PMID- 27863337
TI - Lead accumulation and metallothionein content in female rats of different ages
and generations after daily intake of Pb-contaminated food.
AB - Female Wistar rats of different ages (45, 90 and 140 days) and generations
(mothers and offspring) were fed a feed containing 2.0mg of Pb kg-1 daily from
weaning and the Pb accumulation was determined in different organs and in
maternal milk, in addition metallothioneins (MTs) content was determined in the
liver and kidneys. The results showed that Pb accumulation exhibited the
following pattern: bone>liver>kidney>gut>blood cells>muscle>brain>ovary. Bones
accumulated the most Pb in all animals, with its concentration increasing with
age and prenatal exposure. Pb accumulation in the liver, kidney and blood cells,
did not follow a consistent pattern with increasing age and our data did not
indicate a relationship between the presence of MTs in liver and kidney and metal
accumulation in these organs. However, in the offspring and with increasing age,
Pb accumulated in more organs. Mothers fed with Pb produced contaminated milk,
exposing their offspring to the metal via nursing Thus, increasing age and
prenatal exposure increases susceptibility to Pb toxicity-induced damage.
PMID- 27863336
TI - A potentially protective role of IL-18 Binding Protein in HIV-infected Long-Term
Non-Progressors.
AB - An imbalance between IL-18 and its antagonist, IL-18 Binding Protein, occurs in
the circulation of HIV-infected individuals. We show here for the first time that
HIV-infected Long Term Non-Progressors (LTNPs) do not develop this imbalance, and
maintain normal levels of IL-18BP in the circulation. Their circulating levels of
the antagonist correlate negatively with viral loads and show a positive trend
with CD4+ T cells counts. The maintenance of normal production of IL-18BP may
contribute, at least in part, to the ability of LTNPs to delay AIDS progression.
PMID- 27863338
TI - Improved building up a model of toxicity towards Pimephales promelas by the Monte
Carlo method.
AB - By optimization of so-called correlation weights of attributes of simplified
molecular input-line entry system (SMILES) quantitative structure - activity
relationships (QSAR) for toxicity towards Pimephales promelas are established. A
new SMILES attribute has been utilized in this work. This attribute is a
molecular descriptor, which reflects (i) presence of different kinds of bonds
(double, triple, and stereo chemical bonds); (ii) presence of nitrogen, oxygen,
sulphur, and phosphorus atoms; and (iii) presence of fluorine, chlorine, bromine,
and iodine atoms. The statistical characteristics of the best model are the
following: n=226, r2=0.7630, RMSE=0.654 (training set); n=114, r2=0.7024,
RMSE=0.766 (calibration set); n=226, r2=0.6292, RMSE=0.870 (validation set). A
new criterion to select a preferable split into the training and validation sets
are suggested and discussed.
PMID- 27863339
TI - Classifying injury narratives of large administrative databases for surveillance
A practical approach combining machine learning ensembles and human review.
AB - Injury narratives are now available real time and include useful information for
injury surveillance and prevention. However, manual classification of the cause
or events leading to injury found in large batches of narratives, such as workers
compensation claims databases, can be prohibitive. In this study we compare the
utility of four machine learning algorithms (Naive Bayes, Single word and Bi-gram
models, Support Vector Machine and Logistic Regression) for classifying
narratives into Bureau of Labor Statistics Occupational Injury and Illness event
leading to injury classifications for a large workers compensation database.
These algorithms are known to do well classifying narrative text and are fairly
easy to implement with off-the-shelf software packages such as Python. We propose
human-machine learning ensemble approaches which maximize the power and accuracy
of the algorithms for machine-assigned codes and allow for strategic filtering of
rare, emerging or ambiguous narratives for manual review. We compare human
machine approaches based on filtering on the prediction strength of the
classifier vs. agreement between algorithms. Regularized Logistic Regression (LR)
was the best performing algorithm alone. Using this algorithm and filtering out
the bottom 30% of predictions for manual review resulted in high accuracy
(overall sensitivity/positive predictive value of 0.89) of the final machine
human coded dataset. The best pairings of algorithms included Naive Bayes with
Support Vector Machine whereby the triple ensemble NBSW=NBBI-GRAM=SVM had very
high performance (0.93 overall sensitivity/positive predictive value and high
accuracy (i.e. high sensitivity and positive predictive values)) across both
large and small categories leaving 41% of the narratives for manual review.
Integrating LR into this ensemble mix improved performance only slightly. For
large administrative datasets we propose incorporation of methods based on human
machine pairings such as we have done here, utilizing readily-available off-the
shelf machine learning techniques and resulting in only a fraction of narratives
that require manual review. Human-machine ensemble methods are likely to improve
performance over total manual coding.
PMID- 27863340
TI - Solder joint failure localization of welded joint based on acoustic emission
beamforming.
AB - A localization approach of welded joint damage is proposed based on acoustic
emission (AE) beamforming. In this method, a uniform line array is introduced to
detect the AE signal of welded joints in specified area. In order to investigate
the influence of fillet and crimping commonly existing in a welded plate
structure during the AE wave propagation process, the finite element method (FEM)
is applied to simulate the behavior of AE wave in the specimen. The simulation
localization results indicate that the proposed localization approach can
effectively localize AE sources although there exist the fillet and crimping, and
it is also validated by the pencil-lead-broken test on rectangular steel tube
with welded joints. Finally, the proposed method is adopted to localize the
failure of solder joint in operation vibration condition. The proposed method is
successful to localize the compact AE source caused by the cracked joint based on
wavelet packet transform.
PMID- 27863341
TI - Physical constraints on the non-dimensional absorption coefficients of
compressional and shear waves for viscoelastic cylinders.
AB - BACKGROUND: Normalized absorption coefficients for the longitudinal and shear
waves in viscoelastic (polymer-type) materials, extracted from non-fictional
experimental data showed anomalous effects, such as the generation of a negative
radiation force (NRF) in plane progressive waves, negative energy absorption and
extinction efficiencies and a scattering enhancement, not in agreement with
energy conservation. OBJECTIVE: The objective of this work is directed towards
analyzing those anomalies from the standpoint of energy conservation. Physical
conditions which demonstrate that the ratio of the normalized absorption
coefficients cannot be of arbitrary value but depends on the ratio of the square
of the compressional and shear wave speeds, are established and discussed.
METHOD: The necessary physical condition for the validity of the linear
viscoelastic (VE) model for any passive (i.e. that does not generate energy)
polymeric cylinder with an ultrasonic absorption of hysteresis-type submerged in
a non-viscous fluid requires that the absorption efficiency be positive (Qabs>0)
since there are no active radiating sources inside the core material. This
condition imposes restrictions on the values attributed to the normalized
absorption coefficients for the compressional and shear-wave wavenumbers for each
partial-wave mode n. The forbidden values produce anomalous/unphysical NRF,
negative absorption and extinction efficiencies, as well as an enhancement of the
scattering efficiency using plane progressive waves, not in agreement with energy
conservation. RESULTS: Based on the partial wave series expansion method in
cylindrical coordinates, numerical results for the radiation force, extinction,
absorption and scattering energy efficiencies assuming plane progressive wave
incidence are performed for three VE polymer cylinders immersed in a non-viscous
host liquid (i.e. water) with particular emphasis on the shear-wave absorption
coefficient, the dimensionless size parameter ka (where k is the wavenumber and a
is the radius of the cylinder) and the partial-wave mode number n. Physical and
mathematical conditions are established for the non-dimensional absorption
coefficients of the longitudinal and shear waves for a cylinder (i.e. the 2D
case) in terms of the sound velocities in the VE material. The physical condition
for the spherical 3D case is also noted. CONCLUSION: For passive materials, the
physical conditions must be always satisfied to allow accurate computations of
the acoustic radiation force, torque, and energy absorption, extinction and
scattering efficiencies for VE cylinders having a hysteresis type of absorption
(such as polymers and plastics), and submerged in a non-viscous fluid. The
physical conditions must be always satisfied regardless of the shape of the
incident field. They also serve to validate and verify experimental data for VE
materials and test the accuracy of related numerical computations.
PMID- 27863342
TI - A case report describing diverticulosis of the appendix presenting as acute
appendicitis.
AB - INTRODUCTION: Diverticulosis of the appendix (DA) is a rare clinical finding
which is often confused with acute or chronic appendicitis and is usually only
identified during or after appendectomy. The symptoms of DA can last for up to
two weeks and laboratory studies tend to reflect a more chronic inflammation.
Distinguishing the two entities is important as DA has a higher risk for
perforation and may be associated with an underlying malignancy. PRESENTATION OF
CASE: A 54--year old African--American male presented with three--days of right
sided abdominal pain, nausea, and vomiting. Physical exam and abdominal CT
imaging were concerning for early acute appendicitis. The patient was taken
emergently to the operating room for laparoscopic appendectomy. Extensive
adhesions were found around the Appendix which was grossly abnormal with multiple
diverticula. The patient had an uneventful recovery. DISCUSSION: Patients with DA
are often misdiagnosed with chronic or acute appendicitis based on their
presenting symptoms and imaging. While appendectomy is the definitive treatment,
diagnosing DA before surgery is important in determining the patient's risks and
potential complications. CONCLUSION: Diverticulosis of the Appendix is a rare
clinical entity which is often misdiagnosed. Better imaging techniques and higher
indices of clinical suspicion are needed to make the appropriate diagnoses before
patients are taken for surgery.
PMID- 27863343
TI - Acrometastasis following colorectal cancer: A case report and review of
literature.
AB - INTRODUCTION: Colorectal cancer commonly metastasises to the liver, peritoneum
and lungs. Bony metastases are uncommon in colorectal cancer and in particular
metastases to the hands or feet (acrometastasis) are an extremely rare
occurrence. CASE PRESENTATION: A 65-year-old male with a colonic malignancy
underwent elective anterior resection. Intra-operatively he was found to have a
pelvic collection necessitating an end colostomy. Histology confirmed complete
Dukes B tumour excision with no evidence of lymph node metastases. The patient
underwent chemo-radiotherapy but was unsuitable for reversal of Hartmann's due to
elevated CEA levels and asymmetrical thickening of the rectal stump with a
solitary lung nodule identified at a one-year surveillance CT. The lung nodule
was resected revealing metastatic adenocarcinoma and biopsies from the rectal
stump showed chronic inflammatory changes. The patient was offered further
chemotherapy. However, six years after his original surgery the patient presented
with an acutely painful left foot with radiographic appearances of an
infiltrative sclerotic and lucent lesion confirmed as a calcaneal acrometastasis
on Magnetic Resonance Imaging (MRI). DISCUSSION: Diagnosis of acrometastasis is
challenging and generally constitutes a wider metastatic process with poor
prognosis. Patients are often asymptomatic or present with symptoms mimicking
benign lesions such as arthritis, infection or ligamentous sprains of the hands
or feet. Therefore, there should be a high index of suspicion and prompt
radiological investigation is warranted in order to exclude disease recurrence.
CONCLUSION: Although acrometastasis may indicate a poor prognosis, timely
diagnosis and intervention may facilitate improvement of long-term survival and
symptomatic management.
PMID- 27863344
TI - Selection criteria limit generalizability of smoking pharmacotherapy studies
differentially across clinical trials and laboratory studies: A systematic review
on varenicline.
AB - BACKGROUND: The selection criteria used in clinical trials for smoking cessation
and in laboratory studies that seek to understand mechanisms responsible for
treatment outcomes may limit their generalizability to one another and to the
general population. METHODS: We reviewed studies on varenicline versus placebo
and compared eligibility criteria and participant characteristics of clinical
trials (N=23) and laboratory studies (N=22) across study type and to nationally
representative survey data on adult, daily USA smokers (2014 National Health
Interview Survey; 2014 National Survey on Drug Use and Health). RESULTS: Relative
to laboratory studies, clinical trials more commonly reported excluding smokers
who were unmotivated to quit and for specific medical conditions (e.g.,
cardiovascular disease, COPD), although both study types frequently reported
excluding for general medical or psychiatric reasons. Laboratory versus clinical
samples smoked less, had lower nicotine dependence, were younger, and more
homogeneous with respect to smoking level and nicotine dependence. Application of
common eligibility criteria to national survey data resulted in considerable
elimination of the daily-smoking population for both clinical trials (>=47%) and
laboratory studies (>=39%). Relative to the target population, studies in this
review recruited participants who smoked considerably more and had a later
smoking onset age, and were under-representative of Caucasians. CONCLUSIONS:
Results suggest that selection criteria of varenicline studies limit
generalizability in meaningful ways, and differences in criteria across study
type may undermine efforts at translational research. Recommendations for
improvements in participant selection and reporting standards are discussed.
PMID- 27863345
TI - Suppression of TNF-alpha and free radicals reduces systematic inflammatory and
metabolic disorders: Radioprotective effects of ginseng oligopeptides on
intestinal barrier function and antioxidant defense.
AB - Irradiation therapy is markedly associated with intestinal injure and oxidant
stress. This study aimed to investigate the effects of ginseng (Panax ginseng
C.A. Mey.) oligopeptides (GOP) on irradiation-induced intestinal injury and
antioxidant defense in mice. BALB/c mice (8 weeks old) were randomly divided into
six groups: vehicle control, irradiation control (IR), IR+whey protein [0.30 g/kg
body weight (BW)], IR+GOP 0.15 g/kg BW, IR+GOP 0.30 g/kg BW and IR+GOP 0.60 g/kg
BW. Postirradiation 30-day survival trial, white blood cells count and bone
marrow hematopoietic system damage were performed to identify the injury degree
induced by irradiation. Then, histopathology analysis was observed and intestinal
permeability in vivo was quantified with fluorescein isothiocyanate-dextran. The
enzyme-linked immunosorbent assay was used to determine antioxidant ability,
plasma inflammatory cytokines, diamine oxidase (DAO) and endotoxin (LPS) levels.
The immunohistochemistry assay was used to analyze the expression levels of tight
junction proteins. We found that GOP-treated mice exhibited lower concentrations
of plasma LPS and DAO and decreased instructors of inflammatory and oxidative
stress which were linked to the lower intestinal permeability and higher tight
junction proteins expression. The blockage of GOP was linked with the reduction
of TNF-alpha and free radicals. The 15-day pretreatment of GOP could exhibit
radioprotective effects, and another 15-day posttreatment benefited the quick
repair of irradiation-induced injury. We confirm that GOP would exhibit effective
therapeutic value on attenuating irradiation-induced hematopoietic,
gastrointestinal and oxidative injury in cancer patients.
PMID- 27863346
TI - A diet-induced Sprague-Dawley rat model of nonalcoholic steatohepatitis-related
cirrhosis.
AB - Certain modified diets containing saturated fatty acids, cholesterol or fructose
lead to the development of nonalcoholic steatohepatitis (NASH)-related fibrosis
in rodents; however, progression to cirrhosis is rare. Experimental liver
cirrhosis models have relied on genetic manipulation or administration of
hepatotoxins. This study aimed to establish a reliable dietary model of NASH
related cirrhosis in a relatively short period. Male Sprague-Dawley rats (9 weeks
of age) were randomly assigned to normal, high-fat (HF), or two types (1.25% or
2.5% cholesterol) of high-fat and high-cholesterol (HFC) diets for 18 weeks. All
HFC diets contained 2% cholic acid by weight. Histopathological analysis revealed
that the HFC diets induced obvious hepatic steatosis, inflammation with
hepatocyte ballooning and advanced fibrosis (stage 3-4) in all 12 rats at 27
weeks of age. In contrast, all five rats given the HF diet developed mild
steatosis and inflammation without fibrosis. The amount of cholesterol in the
liver and hepatocellular mitochondrial and microsomal fractions was significantly
higher in rats fed the HFC diets than the normal or HF diets. The HFC diets
significantly suppressed mRNA levels of microsomal triglyceride transfer protein,
adenosine triphosphate binding cassette transporter G5, bile acid CoA: amino acid
N-acyltransferase and bile salt export pump, as well as the enzymatic activity of
carnitine palmitoyltransferase in the liver. In conclusion, the HFC diets induced
liver cirrhosis in conjunction with hepatic features of NASH in Sprague-Dawley
rats within 18 weeks, and altered gene expression and enzyme activity to
accumulate lipid and bile acid in the liver.
PMID- 27863347
TI - Preventive effects of Goji berry on dextran-sulfate-sodium-induced colitis in
mice.
AB - Goji berry (Lycium barbarum) exerts immune modulation and suppresses inflammation
in vitro and in vivo. We hypothesized that Goji berry had beneficial effects on
dextran sulfate sodium (DSS)-induced colitis in C57BL/6 mice through suppressing
inflammation. Six-week-old male C57BL/6 mice were supplemented with a standard
AIN-93G diet with or without 1% (w/w) Goji berry for 4 weeks. Then, colitis was
induced by supplementing 3% DSS in drinking water for 7 days, followed by 7 days
of remission period to mimic ulcerative colitis symptoms. Goji berry
supplementation ameliorated DSS-induced body weight loss, diminished diarrhea and
gross bleeding, and resulted in a significantly decreased disease activity index,
as well as DSS-associated colon shortening. Moreover, 30% mortality rate caused
by DSS-induced colitis was avoided because of Goji berry supplementation.
Histologically, Goji berry ameliorated colonic edema, mucosal damage and
neutrophil infiltration into colonic intestinal tissue in response to DSS
challenge, which was associated with decreased expression of chemokine (C-X-C
motif) ligand 1 and monocyte chemoattractant protein-1, as well as inflammatory
mediators interleukin-6 and cyclooxygenase-2. In conclusion, Goji supplementation
confers protective effects against DSS-induced colitis, which is associated with
decreased neutrophil infiltration and suppressed inflammation. Thus, dietary Goji
is likely beneficial to inflammatory bowel disease patients as a complementary
therapeutic strategy.
PMID- 27863348
TI - Outpatient follow-up during the first year after heart transplantation, is it
feasible?
AB - INTRODUCTION: First year follow-up after heart transplantation requires invasive
tests. Although patients used to be hospitalized for this purpose, ambulatory
invasive procedures now offer the possibility of outpatient follow-up. The
feasibility and security of this strategy is unknown. METHODS: From 2007 we
transitioned to outpatient follow-up. We have retrospectively reviewed the
clinical course of the outpatient group (2007 to 2014) and an inpatient group
(2000-2006). Basal characteristics, hospital stay, infections, rejection episodes
and vascular complications were evaluated. RESULTS: 87 patients had Inpatient
Follow-up (IF) and 98 Outpatient Follow-up (OF). Basal characteristics were
similar, with significant differences in immunosuppression (tacrolimus IF 44.8%
vs. OF 90.8%, and mycophenolate IF 86.2% vs OF 100%, both p values <0.001) and
age (IF 52+/-11.5years vs. OF 56.1+/-11years, p=0.016). In the OF group more
clinical visits were performed (IF 10 vs. OF 13, p<0.001) while hospital stay was
lower (IF 23days vs. OF 3days, p<0.001). The rate of infection, rejection, and
vascular complications was similar. No difference was found in 1-year mortality
(IF 2.3% vs. 1.0%, p=0.60). CONCLUSION: First year post-cardiac transplantation
outpatient follow-up seems to be feasible and safe in terms of infection,
rejection, vascular complications and mortality.
PMID- 27863349
TI - TRPV1 channels in cardiovascular system: A double edged sword?
AB - Apart from modulating nociception, there is vital role of TRPV1 channels in
modulating atherosclerosis, congestive heart failure, systemic hypertension,
pulmonary hypertension, hemorrhagic shock and vascular remodeling. TRPV1 channel
activation has shielding effect against the development of atherosclerosis and
systemic hypertension. TRPV1 channel activation alleviates the formation of
atherosclerotic lesions via increasing the expression of cholesterol efflux
regulatory protein, UCP 2 and enhancing autophagy. Furthermore, activation of
these channels enhances Na+ excretion and NO release to reduce the blood
pressure. TRPV1 channel activation in the cardiac sensory neurons and subsequent
CGRP release reduces ischemia-reperfusion injury. Activation of these channels
during conditioning enhances CGRP and SP release from the sensory nerve fibers
innervating the heart to induce cardioprotection. However, activation of these
channels may elicit detrimental effects in pulmonary hypertension, hemorrhage and
vascular remodeling. Activation of TRPV1 channels enhances smooth muscle cell
proliferation to promote pulmonary hypertension. Moreover, TRPV1 channel
inhibition reduces massive catecholamine release, improves survival during
hemorrhage. Activation of these channels enhances vascular remodeling via
enhancing NO release. Furthermore, dual role of TRPV1 channels has been reported
in the perpetuation of congestive heart failure. On one hand, TRPV1 channel
activation increases the expression of UCP2, PPAR- delta and mitochondrial
sirtuin 3 to decrease oxidative stress and reduce heart injury. On the other
hand, activation of these channels may enhance the expression of hypertrophic
fibrotic proteins viz. GATA4, MMP to promote cardiac fibrosis. The present review
discusses the dual role of activation of TRPV1 channels in diseases associated
with cardiovascular system.
PMID- 27863350
TI - Arterial calcification: A new perspective?
AB - Arterial calcification is commonly seen in atherosclerosis, chronic kidney
disease (CKD) and diabetes and has long been considered a natural progression of
atherosclerosis. Yet it is a systemic condition, occurring in a wide and diverse
range of disease states and no medical treatment for cardiovascular disease has
yet found a way to regress it; on the contrary, lipid-lowering therapy may worsen
its progression. Although numerous studies have found associations between
calcification and biomarkers, none has yet found a unifying mechanism that
explains the calcification found in atherosclerosis, CKD or diabetes and many of
the biomarkers are equally associated with atheroma development and
cardiovascular events. Furthermore, both presence and absence of coronary artery
calcification appear predictive of plaque rupture and cardiovascular events,
indicating that the association is not causal. This suggests that we are no
further forward in understanding the true nature of arterial calcification or its
pathogenesis, other than noting that it is 'multifactorial'. This is because most
researchers view arterial calcification as a progressive pathological condition
which must be treated. Instead, we hypothesise that calcification develops as an
immune response to endothelial injury, such as shear stress or oxidative stress
in diabetics, and is consequently part of the body's natural defences. This would
explain why it has been found to be protective of plaque rupture and why it is
unresponsive to lipid-lowering agents. We propose that instead of attempting to
treat arterial calcification, we should instead be attempting to prevent or treat
all causes of endothelial injury.
PMID- 27863351
TI - Abnormal heart-rate response during cardiopulmonary exercise testing identifies
cardiac dysfunction in symptomatic patients with non-obstructive coronary artery
disease.
AB - BACKGROUND: Symptomatic non-obstructive coronary artery disease is a growing
clinical dilemma for which contemporary testing is proving to be of limited
clinical utility. New methods are needed to identify cardiac dysfunction. METHODS
AND RESULTS: This is a prospective observational cohort study conducted from
December 2013 to August 2015 in two outpatient cardiology clinics (symptomatic
cohort) and 24 outpatient practices throughout the US (healthy cohort) with
centralized methodology and monitoring to compare heart-rate responses during
cardiopulmonary exercise testing (CPET). Participants were 208 consecutive
patients (median age, 61; range, 32-86years) with exercise intolerance and
without prior heart or lung disease in whom coronary anatomy was defined and 116
healthy subjects (median age, 45; range, 26-66years). Compared to stress ECG, the
novel change in heart-rate as a function of work-rate parameter (DeltaHR-WR
Slope) demonstrated significantly higher sensitivity to detect under-treated
atherosclerosis with similar specificity. In men, area under the ROC curve
increased from 60% to 94% for non-obstructive CAD and from 64% to 80% for
obstructive CAD. In women, AUC increased from 64% to 85% for non-obstructive CAD
and from 66% to 90% for obstructive CAD. DeltaHR-WR Slope correctly reclassified
abnormal studies in the non-obstructive CAD group from 22% to 81%; in the
obstructive CAD group from 18% to 84% and in the revascularization group from 35%
to 78%. CONCLUSION: Abnormal heart-rate response during CPET is more effective
than stress ECG for identifying under-treated atherosclerosis and may be of
utility to identify cardiac dysfunction in symptomatic patients with normal
routine cardiac testing.
PMID- 27863352
TI - Ultrafiltration for acute decompensated cardiac failure: A systematic review and
meta-analysis.
AB - BACKGROUND: Ultrafiltration is a method used to achieve diuresis in acute
decompensated heart failure (ADHF) when there is diuretic resistance, but its
efficacy in other settings is unclear. We therefore conducted a systematic review
and meta-analysis to evaluate the use of ultrafiltration in ADHF. METHODS: We
searched MEDLINE and EMBASE for studies that evaluated outcomes following
filtration compared to diuretic therapy in ADHF. The outcomes of interest were
body weight change, change in renal function, length of stay, frequency of
rehospitalization, mortality and dependence on dialysis. We performed random
effects meta-analyses to pool studies that evaluated the desired outcomes and
assessed statistical heterogeneity using the I2 statistic. RESULTS: A total of 10
trials with 857 participants (mean age 68years, 71% male) compared filtration to
usual diuretic care in ADHF. Nine studies evaluated weight change following
filtration and the pooled results suggest a decline in mean body weight -1.8; 95%
CI, -4.68 to 0.97 kg. Pooled results showed no difference between the filtration
and diuretic group in change in creatinine or estimated glomerular filtration
rate. The pooled results suggest longer hospital stay with filtration (mean
difference, 3.70; 95% CI, -3.39 to 10.80days) and a reduction in heart failure
hospitalization (RR, 0.71; 95% CI, 0.51-1.00) and all-cause rehospitalization
(RR, 0.89; 95% CI, 0.43-1.86) compared to the diuretic group. Filtration was
associated with a non-significant greater risk of death compared to diuretic use
(RR, 1.08; 95% CI, 0.77-1.52). CONCLUSIONS: There is insufficient evidence
supporting routine use of ultrafiltration in acute decompensated heart failure.
PMID- 27863353
TI - Association of increased serum CTRP5 levels with in-stent restenosis after
coronary drug-eluting stent implantation: CTRP5 promoting inflammation, migration
and proliferation in vascular smooth muscle cells.
AB - BACKGROUND: We investigated whether serum level of C1q/TNF-related protein (CTRP)
5 is associated with in-stent restenosis (ISR) after percutaneous coronary
intervention (PCI) with drug-eluting stent (DES) implantation, and assessed the
biological effects of CTRP5 in human aortic smooth muscle cells (hASMCs). METHODS
AND RESULTS: Serum CTRP5 levels were assayed in 306 patients with and 306
patients without angiographic ISR at approximately one year after DES-based PCI.
Multivariate logistic regression analysis was performed to determine risk factors
for ISR. Notably, serum CTRP5 levels were higher in ISR patients than in non-ISR
counterparts (197+/-84ng/mL vs. 150+/-74ng/mL, P<0.001). Compared with the lowest
tertile (<125ng/mL) of CTRP5, patients with the mid (125-200ng/mL) and the
highest tertile (>200ng/mL) of CTRP5 had a more than 1.6-fold (adjusted OR=1.670
2.127, P<=0.039) and 7.4-fold (adjusted OR=7.478-11.264, all P<0.001) increased
risk of ISR (all P for trend <0.001), respectively, after adjustment for
potential clinical, biochemical and angiographic characteristics. To assess the
biological effects of CTRP5, we stimulated hASMCs with this protein. CTRP5
concentration-dependently induced the expression of MMP-2, cyclin D1 and TNF
alpha in hASMCs, with activation of Notch1, TGF-beta and hedgehog signaling
pathways. Consistently, this protein promoted migration and proliferation of
hASMCs in wound-healing, Boyden chamber and Brdu incorporation assay. CONCLUSION:
Increased serum CTRP5 level is associated with ISR after PCI with DES
implantation. CTRP5 promotes proliferation, inflammation and migration in
vascular smooth muscle cells through activation of multiple pathways.
PMID- 27863354
TI - Contrast media use in patients with chronic kidney disease undergoing coronary
angiography: A systematic review and meta-analysis of randomized trials.
AB - BACKGROUND: Patients with chronic kidney disease (CKD) undergoing coronary
angiography (CA), adequate hydration and minimizing volume of contrast media (CM)
are class 1b recommendations for preventing contrast induced nephropathy (CIN).
Current data are insufficient to justify specific recommendations about
isoosmolar vs. low-osmolar contrast media by the ACCF/AHA/SCAI guidelines.
METHODS: Randomized trials comparing IOCM to LOCM in CKD stage 3 and above
patients undergoing CA, and reporting incidence of CIN (defined by a rise in
creatinine of 25% from baseline) were included in the analysis. The secondary
outcome of the study was the incidence of serum creatinine increase by >1mg/dl.
RESULTS: A total of 2839 patients were included in 10 trials, in which 1430
patients received IOCM and 1393 received LOCM. When compared to LOCM, IOCM was
not associated with significant benefit in preventing CIN (OR=0.72, [CI: 0.50
1.04], P=0.08, I2=59%). Subgroup analysis revealed non-significant difference in
incidence of CIN based on baseline use of N-acetylcystine (NAC), diabetes status,
ejection fraction, and whether percutaneous coronary intervention vs coronary
angiography alone was performed. The difference between IOCM and LOCM was further
attenuated when restricted to studies with larger sample size (>250 patients)
(OR=0.93; [CI: 0.66-1.30]) or when compared with non-ionic LOCM (OR=0.79, [CI:
0.52-1.21]). CONCLUSION: In patients with CKD stage 3 and above undergoing
coronary angiography, use of IOCM showed overall non-significant difference in
incidence of CIN compared to LOCM. The difference was further attenuated when
IOCM was compared with non-ionic LOCM.
PMID- 27863355
TI - Changes in the severity of aortic regurgitation at peak effort during exercise.
AB - BACKGROUND: Chronic aortic regurgitation can be well tolerated for a long time.
Some patients with normal ventricular function can even reach high levels of
sporting performance. How the severity of regurgitation may change during
exercise, however, is little known, although some studies suggest it diminishes.
The present work examines, during exercise, the functional capacity, ventricular
function, and regurgitation fraction (RF) in asymptomatic subjects with moderate
or severe aortic regurgitation with preserved ejection fraction. METHODS: The
study subjects (n=32; 23 men, 9 women) were patients referred to our
echocardiography laboratory with moderate or severe aortic regurgitation,
preserved left ventricular systolic function, and sinus rhythm into NYHA
functional class I. All underwent transthoracic echocardiography at rest and at
peak effort during an exercise protocol involving an inclined cycloergometer.
Left atrial and ventricular volume indices were recorded, along with diastolic
and systolic function, cardiac index, peripheral resistance, and RF. RESULTS: The
mean age of the subjects was 43.8+/-18.2years; 59% suffered moderate
regurgitation, 41% severe aortic regurgitation, and 84% had a dilated left
ventricle. All subjects managed exercise loads adequate for their age. Peak
effort was associated with a significant reduction (mean 44.5% [range 10-95%]) in
the RF (21.8+/-13.2 vs. 39.3%+/-14.7% at rest; p=0.0001). The absolute reduction
in the RF at peak effort was greater among the subjects with severe aortic
regurgitation (21.2% vs. 13.3% in those with moderate regurgitation; p=0.018).
CONCLUSIONS: The RF becomes smaller during exercise in asymptomatic subjects with
moderate or severe aortic regurgitation and preserved ventricular function.
PMID- 27863356
TI - Left ventricular assist devices and gastrointestinal evaluation: Results of a
consecutive case series.
AB - AIMS: To evaluate the benefit of preoperative gastrointestinal endoscopic
evaluation in patients undergoing continuous flow left ventricular assist devices
(CF-LVADs) implantation. METHODS AND RESULTS: A retrospective review of all fifty
three patients undergoing CF-LVADs at West-German Heart and Vascular Center,
University of Duisburg-Essen was performed. A routine preoperative
esophagogastroduodenoscopy was performed in seven patients to assess
gastrointestinal (GI) bleeding. None of the patients had GI bleeding at the time.
All patients received HeartWare CF-LVAD (HVAD, HeartWare Inc., Framingham, MA,
USA). Six of the patients had relevant endoscopic findings and received therapy
accordingly. None of these patients developed GI bleeding in the first 30days
after CF-LVAD implantation. CONCLUSION: Preoperative GI evaluation in patients
undergoing elective CF-LVAD implantation might be beneficial in reducing GI
bleeding postoperatively.
PMID- 27863357
TI - Cardiovascular health among two ethnic groups living in the same region: A
population-based study.
AB - BACKGROUND: Poor cardiovascular health (CVH) among ethnic/racial minorities,
studied primarily in the USA, may reflect lower access to healthcare. We examined
factors associated with minority CVH in a setting of universal access to
healthcare. METHODS AND RESULTS: CVH behaviors and factors were evaluated in a
random population sample (551 Arabs, 553 Jews) stratified by sex, ethnicity and
age. More Jews (10%) than Arabs (3%) had 3 ideal health behaviors. Only one
participant had all four. Although ideal diet was rare (<=1.5%) across groups,
Arabs were more likely to meet intake recommendations for whole grains, but less
likely to meet intake recommendations for fruits/vegetables and fish. Arabs had
lower odds of attaining ideal levels for body mass index and physical activity.
Smoking prevalence was 57% among Arab men and 6% among Arab women. Having four
ideal health factors (cholesterol, blood pressure, glucose, smoking) was observed
in 2% and 8% of Arab and Jewish men, respectively, and 13% of Arab and Jewish
women. Higher prevalence of ideal total-cholesterol corresponded to lower high
density lipoprotein cholesterol among Arabs. No participant met ideal levels for
all 7 metrics and only 1.8% presented with 6. Accounting for age and lower
socioeconomic status, Arabs were less likely to meet a greater number of metric
goals (odds ratio (95% confidence interval): 0.62 (0.42-0.92) for men, and 0.73
(0.48-1.12) for women). CONCLUSIONS: Ideal CVH, rare altogether, was less
prevalent among the Arab minority albeit universal access to healthcare. Health
behaviors were the main contributors to the CVH disparity.
PMID- 27863358
TI - Temporal trends and long term follow-up of implantable cardioverter defibrillator
therapy for secondary prevention: A 15-year single-centre experience.
AB - BACKGROUND: The aim of this study was to determine overall and aetiology-related
incidence of secondary prevention ICD implantation over the last 15years in
Canton Ticino and to assess clinical outcome according to time period of
implantation. METHODS AND RESULTS: Consecutive patients treated by implantation
of an ICD for secondary prevention from 2000 to 2015 were included in the current
study and compared between 5-year cohorts (2000/2004; 2005/2009; 2010/2015).
Yearly implantation rate, changing in clinical presentation over years and events
during follow-up were evaluated. One-hundred fifty six patients were included.
ICD implantation rate increased from 2.1 in 2000-2005 to 5.1 in 2010-2015,
respectively (p 0.001). There was an increase in the proportion of non-ischaemic
patients and of ventricular tachycardia (VT) as presenting rhythm. No differences
in appropriate ICD interventions were observed according to aetiology, presenting
arrhythmia or type of device. Reverse remodelling was observed more often in non
ischaemic patients, without any influence on the occurrence of appropriate
interventions. Previous myocardial infarction (MI), atrial fibrillation (AF),
NYHA class 2-3 and left ventricular ejection fraction (LVEF)<35% were predictors
of appropriate therapies during follow-up. CONCLUSIONS: Rate of implants for
secondary prevention indication has almost doubled during the last 15years.
Importantly, there has been a progressive increase of non-ischaemic patients
receiving an ICD, and of VT as presenting rhythm. Patients had an overall good
survival and a relatively low incidence of appropriate therapies. Improvement of
ejection fraction did not correlate with risk reduction of ventricular
arrhythmias.
PMID- 27863359
TI - Is hyperhomocysteinemia a causal factor for heart failure? The impact of the
functional variants of MTHFR and PON1 on ischemic and non-ischemic etiology.
AB - BACKGROUND: Hyperhomocysteinemia was found to be uniformly associated with the
development of heart failure (HF) and HF mortality; however, it is uncertain
whether this relation is causative or not. We used Mendelian randomization to
examine the associations of the methylene tetrahydrofolate gene (MTHFR) and
paraoxonase 1 gene (PON1) variants as a proxy for lifelong exposure to high Hcy
and Hcy-thiolactone concentrations with the development of HF in men aged
<=60years and the occurrence of adverse effects at one-year follow-up. METHODS:
The study enrolled 172 men with HF: 117 with ischemic etiology (iHF) related to
coronary artery disease (CAD) and 55 with non-ischemic etiology (niHF) related to
dilated cardiomyopathy (DCM). The reference group of 329 CAD patients without HF
and the control group of 384 men were also analyzed. RESULTS:
Hyperhomocysteinemia (OR=2.0, P<0.05) and the MTHFR 677TT/1298AA, 677CC/1298CC
genotypes (OR=1.6, P=0.03) were associated with HF regardless of its etiology,
especially among normotensives (OR=4.6, P=0.001 and OR=2.3, P=0.003,
respectively). In niHF, the PON1 162AA (OR=2.3, P=0.03) and 575AG+GG (OR=0.46,
P=0.01) genotypes also influenced the risk. The interaction between HDLC<1mmol/L
and the PON1 575GG genotype was found to influence the risk of iHF (OR=7.2,
P=0.009). Hyperhomocysteinemia improved the classification of niHF patients as
'high-risk' by 10.1%. Ejection fraction <30% and DCM increased the probability of
HF death or re-hospitalization within one year. CONCLUSION: Our results provide
evidence that hyperhomocysteinemia is a causal factor for niHF in DCM, while
dysfunctional HDL could contribute to the pathogenesis of iHF.
PMID- 27863360
TI - The effect of beta-blockers on mortality in heart failure with preserved ejection
fraction: A meta-analysis of observational cohort and randomized controlled
studies.
AB - BACKGROUND: Nearly half of patients with heart failure (HF) have preserved
ejection fraction (EF) and the mortality of patients with HF with preserved EF
(HFpEF) is high. However, there is no therapy to improve survival in HFpEF.
Although observational cohort studies (OCSs) have examined the survival benefit
of beta-blockers in HFpEF, the results are inconsistent due to limited power with
small sample sizes and/or lack of adjustment for known confounders. Furthermore,
recent randomized controlled trial (RCT)s failed to show the mortality benefit of
beta-blockers in HFpEF. However, these RCTs were not adequately powered and thus
the definite conclusion cannot be drawn. METHODS AND RESULTS: We aimed to conduct
a meta-analysis of the effect of beta-blockers on mortality in HFpEF. The search
of electronic databases identified 5 OCSs with propensity score (PS) analysis
(12,315 patients), 6 OCSs without PS analysis (15,275 patients), and 3 RCTs (1046
patients). Beta-blocker use was associated with improved survival in the pooled
analysis of OCSs with PS analysis (RR [95% CI]=0.79 [0.66-0.95]) and in that of
OCSs without PS analysis (0.70 [0.52-0.94]). In the pooled analysis of RCTs, beta
blocker use was associated with non-significant reduced risk for mortality (RR
[95% CI]=0.80 [0.61-1.05]). Overall, use of beta-blockers reduced the risk of
mortality by 21% (RR [95% CI]=0.79 [0.71-0.88]). CONCLUSION: Our meta-analysis
suggests the potential mortality benefit of beta-blockers in HFpEF. Well-designed
and powered RCTs should be planned to confirm our observed potential survival
benefit of beta-blockers in HFpEF.
PMID- 27863361
TI - Transradial approach for coronary angiography and intervention in the elderly: A
meta-analysis of 777,841 patients.
AB - BACKGROUND: Studies showing an advantage of transradial approach (TR) for
coronary angiography and intervention (PCI) compared to the transfemoral approach
(TF) predominantly included a younger population. Therefore, we conducted a meta
analysis of published studies to determine the efficacy of TR in the elderly
population. METHODS AND RESULTS: A comprehensive search identified 16 studies [3
randomized controlled studies, 13 observational] comprising 777,841 elderly
patients undergoing PCI. TR was used in 99,201 patients and TF in 678,640
patients. The results from observational studies showed that TR was associated
with a lower rate of vascular complications (0.4% vs. 0.8%, OR 0.36, 95% CI 0.30
0.44), stroke (0.3% vs. 0.4%, OR 0.81, 95% CI 0.66-1.0) and death (2.0% vs. 2.2%,
OR 0.51, 95% CI 0.41-0.63). RCTs confirmed findings from observational studies
for both significant reduction in vascular complications (2.7% vs. 7%, OR 0.37,
95% CI 0.23-0.60) and stroke (0.4% vs. 1.4%, OR 0.31, 95% CI 0.10-0.97) but
showed no effect on mortality (3.3% vs. 2.8%, OR 1.20, 95% CI 0.69-2.09).
However, among patients with ST elevation myocardial infarction (STEMI), TR was
associated with a mortality benefit (5% vs. 7%, OR 0.48, 95% CI 0.25-0.90,
p=0.02). Access site crossover rate was higher for TR compared to the TF approach
(11% vs. 3%, p=0.0003) but there was no difference in contrast media use,
procedure duration, fluoroscopy time and door to balloon time for STEMI.
CONCLUSION: TR for PCI in the elderly is associated with a reduced risk of
stroke, lower rate of vascular complications overall and a mortality benefit for
patients presenting with STEMI. The access site cross rate for TR is higher
compared to TF but remains acceptably low. TR should be the preferred strategy
for PCI in the elderly to optimize clinical benefit in this high-risk group.
PMID- 27863362
TI - Comparison of cardiovascular risk assessment tools and their guidelines in
evaluation of 10-year CVD risk and preventive recommendations: A population based
study.
AB - BACKGROUND: Identification of individuals at risk of cardiovascular diseases
(CVDs) results in better clinical outcomes and may help policy makers in
conscious decision making for community based and national intervention
strategies. The main aim of this study was to compare various CVD risk assessment
tools and their related guidelines in estimation of 10-year CVD risk and
subsequent therapeutic recommendations, respectively. METHODS: Data of 3086
subjects aged 40-74years from a cohort study of northern Iran were utilized in
this cross-sectional study. The risks were calculated based on American College
of Cardiology/American Heart Association (ACC/AHA) tool, two versions of
Systematic Coronary Risk Evaluation (SCORE) equations (for low and high risk
European countries) and Framingham approach. We also detected participants who
ought to be recommended for treatment based on the specific guidelines related to
each of the risk assessment tools. RESULTS: Mean cardiovascular risks were
12.96%, 8.84%, 1.90% and 3.45% in men and 5.87%, 2.13%, 0.8% and 1.13% in women
based on ACC/AHA, Framingham, SCORE equation for low-risk European countries and
high-risk European countries, respectively. Based on ACC/AHA, Adult Treatment
Panel III (ATPIII) and European Society of Cardiology (ESC) guidelines related to
SCORE equations for low and high risk European countries 58.2%, 27.1%, 21.1% and
28.6% of men and 39.7%, 33.0%, 29.5% and 30.7% of women were recommended to
statin therapy, respectively. CONCLUSIONS: In conclusion, more individuals were
recommended for treatment by ACC/AHA guideline than the other guidelines.
PMID- 27863363
TI - Exercise-based cardiac rehabilitation in twelve European countries results of the
European cardiac rehabilitation registry.
AB - AIM: Results from EuroCaReD study should serve as a benchmark to improve
guideline adherence and treatment quality of cardiac rehabilitation (CR) in
Europe. METHODS AND RESULTS: Data from 2.054 CR patients in 12 European countries
were derived from 69 centres. 76% were male. Indication for CR differed between
countries being predominantly ACS in Switzerland (79%), Portugal (62%) and
Germany (61%), elective PCI in Greece (37%), Austria (36%) and Spain (32%), and
CABG in Croatia and Russia (36%). A minority of patients presented with chronic
heart failure (4%). At CR start, most patients already were under medication
according to current guidelines for the treatment of CV risk factors. A wide
range of CR programme designs was found (duration 3 to 24weeks; total number of
sessions 30 to 196). Patient programme adherence after admission was high (85%).
With reservations that eCRF follow-up data exchange remained incomplete, patient
CV risk profiles experienced only small improvements. CR success as defined by an
increase of exercise capacity >25W was significantly higher in young patients and
those who were employed. Results differed by countries. After CR only 9% of
patients were admitted to a structured post-CR programme. CONCLUSIONS: Clinical
characteristics of CR patients, indications and programmes in Europe are
different. Guideline adherence is poor. Thus, patient selection and CR programme
designs should become more evidence-based. Routine eCRF documentation of CR
results throughout European countries was not sufficient in its first application
because of incomplete data exchange. Therefore better adherence of CR centres to
minimal routine clinical standards is requested.
PMID- 27863364
TI - Interactions of digitalis and class-III antiarrhythmic drugs: Amiodarone versus
dronedarone.
AB - BACKGROUND: A post hoc analysis of the PALLAS trial suggested possible
interactions of dronedarone and digitalis glycosides. The aim of the present
study was to compare the effects dronedarone or amiodarone in combination with
digitalis glycosides. METHODS AND RESULTS: Eleven female rabbits underwent
chronic oral treatment with amiodarone (50mg/kg/d for 6weeks). Ten rabbits were
treated with dronedarone (50mg/kg/d for 6weeks). Ten rabbits were used as
controls. Hearts were isolated and Langendorff-perfused. Monophasic action
potentials and ECG showed a moderate prolongation of QT interval and action
potential duration (APD). Both drugs also increased effective refractory period.
Additional application of ouabain (0.2MUM) resulted in a significant decrease of
QT interval, APD, and ERP in all groups. Ventricular arrhythmias were induced by
programmed ventricular stimulation and aggressive burst stimulation. Reproducible
occurrence was defined as occurrence of at least 3 episodes. Under baseline
conditions in control hearts, ventricular fibrillation (VF) was inducible in 1 of
10 hearts (7 episodes). After the application of 0.2MUM ouabain, 4 of 10 control
hearts were inducible (24 episodes). One of 10 dronedarone-pretreated hearts (3
episodes) and 2 of 11 amiodarone-pretreated hearts (6 episodes) showed VF before
ouabain infusion. After the application of 0.2MUM ouabain, 7 of 10 dronedarone
pretreated hearts were inducible (73 episodes). By contrast, only 4 of 11
amiodarone-pretreated hearts (13 episodes) showed VF. CONCLUSION: In the present
study, additional treatment with ouabain resulted in an increased ventricular
vulnerability in al study groups. Of note, chronically dronedarone-pretreated
hearts were significantly more vulnerable than amiodarone-pretreated hearts.
PMID- 27863365
TI - Preoperative evaluation of coronary artery fistula using dual-source computed
tomography.
AB - OBJECTIVES: To evaluate the efficacy of dual-source computed tomography (DSCT) in
assessing the morphological features, quantitative features, and associated
coronary artery lesions among patients with coronary artery fistula (CAF) before
surgery. METHODS: We enrolled 34 patients with CAF that were morphologically and
quantitatively analyzed by DSCT and compared the analyses with surgical results
(reference standard). The associated coronary artery lesions were also assessed.
RESULTS: By DSCT, we identified 15 patients (44.1%) with left-sided CAF, 9
(26.5%) with right-sided CAF, and 10 (29.4%) with bilateral CAF; the left
anterior descending coronary artery (50.0%) was most frequently involved.
Drainage was most commonly in the main pulmonary artery (41.2%), and those with
right-sided CAF had larger feeding coronary arteries and drainage sites than
those with left-sided or bilateral CAF (p<0.05). All the morphological features
presented by DSCT were confirmed at surgery. In the quantitative analysis of CAF,
DSCT was as accurate as surgery (r=0.95-0.98, p<0.001), and it was able to
evaluate associated lesions accurately, including arteriosclerotic plaques,
coronary artery aneurysms, and myocardial bridges. The evaluation could be
completed in a single scan, without requiring an increased radiation dose (mean
ED=2.27+/-1.92mSv). CONCLUSIONS: DSCT is an alternative noninvasive imaging
method that enables accurate assessment of morphological features, quantitative
features, and associated coronary artery lesions in patients with CAF. It can be
used to provide comprehensive information for determining surgical strategies.
PMID- 27863366
TI - Early versus late thrombolysis in acute arterial occlusion of lower extremity.
AB - BACKGROUND: Acute arterial occlusion in lower extremity is an urgent condition
which occurs when there is an abrupt interruption of blood flow into an
extremity. Reperfusion through early intervention can increase limb salvage and
decrease mortality. There was no common agreement when is the best to start
thrombolysis in treating acute arterial occlusion. This study was designed to
study the efficacy of an early thrombolysis compared with a late thrombolysis.
METHOD: We identified all patients discharged from the Gwangju Veterans hospital
with a diagnosis of acute arterial occlusion between 2006 and 2014. 72 patients
were eligible, and every patient had treated with catheter-directed thrombolysis
on the day or 1day after admission. Among them, 42 patients had undergone an
early thrombolysis (less than 7days after the onset of symptoms) and the other 30
patients had undergone a late thrombolysis (more than 7days after the onset of
symptoms). The primary outcome was amputation rate at 6months. The secondary
outcomes were all cause mortality at 6months and increase of ankle brachial index
(ABI). RESULTS: Amputation rate at 180days in the early thrombolysis group was
7.1% as compared with 30% in the late thrombolysis group. All cause mortality at
6months and increase of ABI were not different between two groups. In
multivariable Cox-regression analysis, late thrombolysis was independent
predictor of amputation at 6months. CONCLUSION: Early thrombolysis was superior
in preventing amputation than late thrombolysis.
PMID- 27863367
TI - Prescription pattern of Chinese herbal products for heart failure in Taiwan: A
population-based study.
AB - BACKGROUND: Certain Chinese herbal products (CHPs) may protect against the
progression of heart failure (HF). However, there is a lack of research regarding
the use of CHPs in patients with HF. The aims of this study were to analyze CHPs
usage patterns in patients with HF and to identify the frequency and combination
of CHPs most commonly used for HF. METHODS: This retrospective, nationwide,
population-based cohort study was conducted using a randomly sampled cohort of
one million patients selected from the National Health Insurance Research
Database (NHIRD) for the years 2000-2010 in Taiwan. CHP use and the top ten most
frequently prescribed formulae and single herbs for treating HF were assessed,
including total formulae number and average and frequency of prescriptions.
Demographic characteristics, including sex and age at diagnosis of HF, were
examined, together with existing comorbidities. RESULTS: The cohort included
19,988 newly diagnosed AD patients, who were given CHP treatment for HF between
2000 and 2010. Among them, female patients (53.3%) and those over 65years old
(63.9%) were more likely to use CM. After adjusting for demographic factors, HF
patients suffering from coronary artery disease (CAD) were more likely to seek
traditional Chinese medicine (TCM) treatment than those with non-TCM users (57.6%
vs. 52.6%). Zhi-Gan-Cao-Tang (4.07%) and Danshen (5.13%) were the most frequent
formula CHP and single CHP prescribed by TCM practitioners for treating HF,
respectively. CONCLUSION: Most people with HF who consumed CHPs used CHPs to
supplement Yang-Qi, nourish the Ying-blood, and strengthen the heart spirit as
complementary medicines to relieve HF-related symptoms, in addition to using
standard anti-HF treatments. Further large-scale, randomized clinical trials are
warranted in order to determine the effectiveness and safety of these herbal
medicines.
PMID- 27863368
TI - Clinical and echocardiographic course in tako-tsubo cardiomyopathy: Long-term
follow-up from a multicenter study.
AB - OBJECTIVES: To jointly describe clinical characteristics, ECG and
echocardiographic findings, and adverse cardiovascular events in patients with
tako-tsubo cardiomyopathy (TC) in the long-term. METHODS: Longitudinal
multicenter study including retrospective analysis of clinical and ECG data, and
follow-up evaluation with clinical interview, electrocardiogram and
echocardiogram. RESULTS: Data from 66 cases of TC were available for analysis of
clinical and adverse cardiovascular events, and 56 of them completed the follow
up visit including electrocardiogram and echocardiogram. Most patients (97%) were
asymptomatic or oligosymptomatic (NYHA I [58%] or II [39%], respectively) at
follow-up (median time: 3.7 [1.8-6.6] years). The vast majority of individual QRS
complex and repolarization abnormalities had disappeared (87% with no ECG
abnormalities at follow-up). On echocardiography, left ventricular ejection
fraction was >=50% in all patients (mean: 63+/-6%). Wall motion abnormalities
were observed in 4 patients (7%; 3 with apical wall motion abnormalities and 1
with mild global hypokinesia). Long-term outcomes were as follows: 4 deaths (6%),
2 cardiovascular and 2 non-cardiovascular; no atrial fibrillation development; no
stroke events; 5 acute recurrence events of TC (8%). Globally, 57 patients (86%)
had a clinical course free from adverse cardiovascular events. CONCLUSIONS: After
a long period following the admission event, patients discharged from TC remain
asymptomatic or minimally symptomatic, and feature a low prevalence of both ECG
and left ventricular wall motion abnormalities; moreover, the latter lead to a
very mild impairment of ejection fraction. Among cardiovascular adverse events,
recurrence of the TC event appears to play the most significant role.
PMID- 27863369
TI - Occurrence of antibiotics in rural catchments.
AB - The transfer of 23 antibiotics from domestic and hospital sources was
investigated in two elementary river watersheds receiving wastewater treatment
plant (WWTP) discharges, in relation with the hydrological cycle and seasonal
conditions. Antibiotic concentrations in the effluent of a WWTP treating
wastewaters from both hospital and domestic sources (18-12 850 ng L-1) were far
higher than those from domestic sources exclusively (3-550 ng L-1). In rivers,
upstream of the WWTP discharges, fluoroquinolones only were found at low
concentrations (<=10 ng L-1). Their presence might be explained by transfer from
contaminated agricultural fields located on the river banks. Immediately
downstream of the WWTP discharge, antibiotic occurrence increased strongly with
mean concentrations up to 1210 ng L-1 for ofloxacin and 100% detection
frequencies for vancomycin, sulfamethoxazole, trimethoprim and three
fluoroquinolones. Dilution processes during high-flow periods led to
concentrations 14 times lower than during low-flow periods. Downstream of the
discharge, the antibiotic dissipation rate from the water column was higher for
fluoroquinolones, in relation with their high sorption upon suspended matter and
sediment. Only five antibiotics (vancomycin and four fluoroquinolones
ciprofloxacin, norfloxacin, ofloxacin and enoxacin) were partly distributed (11%
36%) in the particulate phase. Downstream of the discharge, antibiotic contents
in sediment ranged from 1700 to 3500 ng g-1 dry weight, fluoroquinolones
accounting for 97% of the total.
PMID- 27863370
TI - Novel tacrine-1,2,3-triazole hybrids: In vitro, in vivo biological evaluation and
docking study of cholinesterase inhibitors.
AB - A new series of tacrine-1,2,3-triazole hybrids were designed, synthesized, and
evaluated as potent dual cholinesterase inhibitors. Most of synthesized compounds
showed good in vitro inhibitory activities toward both acetylcholinesterase
(AChE) and butyrylcholinesterase (BChE). Among them, 7-chloro-N-((1-(4
methoxybenzyl)-1H-1,2,3-triazol-4-yl)methyl)-1,2,3,4-tetrahydroacridin-9-amine
(5l) was found to be the most potent anti-AChE derivative (IC50 = 0.521 MUM) and
N-((1-(4-methoxybenzyl)-1H-1,2,3-triazol-4-yl)methyl)-1,2,3,4-tetrahydroacridin-9
amine (5j) demonstrated the best anti-BChE activity (IC50 = 0.055 MUM). In vivo
studies of compound 5l in Morris water maze task confirmed memory improvement in
scopolamine-induced impairment. Also, molecular modeling and kinetic studies
showed that compounds 5l and 5j bound simultaneously to the peripheral anionic
site (PAS) and catalytic sites (CS) of the AChE and BChE.
PMID- 27863371
TI - Healthy me: A gender-specific program to address body image concerns and risk
factors among preadolescents.
AB - This study evaluated a gender-specific, school-based program to promote positive
body image and address risk factors for body dissatisfaction. In total, 652
children aged 8-10 years participated (335 intervention, 317 wait-list control).
Children participated in four 60min sessions and a recap session at three months
post-intervention. The broad content areas were body image, peer relationships,
media awareness, healthy diet, and exercise. The activities and examples for each
session were gender specific. The recap session was an overview of the four
sessions. Assessment measures were completed at pre-intervention, post
intervention, and after the recap. Boys and girls in the intervention
demonstrated higher muscle esteem and vegetable intake at post-intervention,
compared to children in the control condition. Boys and girls demonstrated higher
body esteem, muscle esteem and fruit and vegetable intake at the recap. Boys in
the intervention demonstrated less investment in masculine gender norms at post
intervention and at recap.
PMID- 27863372
TI - The fucosylated CD147 enhances the autophagy in epithelial ovarian cancer cells.
AB - Autophagy is modulated by multiple factors including CD147, but little is know
about the effects and mechanism by which the modification of CD147 by Lewis y
antigen regulates autophagy of ovarian cancer cell. Here, we reported that Lewis
y antigen can promote basic autophagy activity and restrain autophagic cell death
in ovarian cancer cells. Furthermore, human whole genome expression profile
microarrays and massage pathway analysis revealed that during early stages of
autophagy in ovarian cancer cells with highly expressing Lewis y antigen,
PI3K/Akt-mTOR activity was reduced, in contrast, the PI3K/Akt-mTOR signaling
pathway was activated as the length of amino acid deprivation increased, which
inhibited eIF4G2 expression, further decreased the transcription of autophagy
related genes, suppressed autophagic cell death. we also elaborated that co
regulates protein degradation in cells via the ubiquitin-proteasome system and
the autophagy-lysosome pathway. These findings suggested that the modification of
CD147 by Lewis y antigen enhanced the survival ability by promoting basic
autophagy activity and restraining autophagic cell death in ovarian cancer , thus
playing an important role in ovarian cancer malignant progression.
PMID- 27863373
TI - Tumor-targeting adenovirus OBP-401 inhibits primary and metastatic tumor growth
of triple-negative breast cancer in orthotopic nude-mouse models.
AB - Our laboratory previously developed a highly-invasive, triple-negative breast
cancer (TNBC) variant using serial orthotopic implantation of the human MDA-MB
231 cell line in nude mice. The isolated variant was highly-invasive in the
mammary gland and lymphatic channels and metastasized to lymph nodes in 10 of 12
mice compared to 2 of 12 of the parental cell line. In the present study, the
tumor-selective telomerase dependent OBP-401 adenovirus was injected
intratumorally (i.t.) (1 * 108 PFU) when the high-metastatic MDA-MB-231 primary
tumor expressing red fluorescent protein (MDA-MB-231-RFP) reached approximately
500 mm3 (diameter; 10 mm). The mock-infected orthotopic primary tumor grew
rapidly. After i.t. OBP-401 injection, the growth of the orthotopic tumors was
arrested. Six weeks after implantation, the fluorescent area and fluorescence
intensity showed no increase from the beginning of treatment. OBP-401 was then
injected into high-metastatic MDA-MB-231-RFP primary orthotopic tumor growing in
mice which already had developed metastasis within lymphatic ducts. All 7 of 7
control mice subsequently developed lymph node metastasis. In contrast, none of 7
mice which received OBP-401 had lymph node metastasis. Seven of 7 control mice
also had gross lung metastasis. In contrast, none of the 7 mice which received
OBP-401 had gross lung metastasis. Confocal laser microscopy imaging demonstrated
that all control mice had diffuse lung metastases. In contrast, all 7 mice which
received OBP-401 only had a few metastatic cells in the lung. OBP-401 treatment
significantly extended survival of the treated mice.
PMID- 27863374
TI - Magic year for multiple myeloma therapeutics: Key takeaways from the ASH 2015
annual meeting.
AB - Despite the availability of various anticancer agents, Multiple Myeloma (MM)
remains incurable in most cases, along with high relapse rate in the patients
treated with these agents. The year 2015 saw major advancements in our battle
against multiple myeloma. In 2015, the U.S. Food and Drug Administration (FDA)
approved three new therapies for multiple myeloma, namely Ixazomib (an oral
proteasome inhibitor), Daratumumab and Elotuzumab (monoclonal antibodies against
CD38 and SLAMF7 respectively). The purpose of this review is to provide a
detailed analysis of these aforementioned breakthrough therapies and two other
newer agents, Filanesib (kinesis spindle inhibitor) and selinexor (SINE
inhibitor), presented at the 2015 annual meeting of American Society of
Hematology (ASH). We also describe the role of agents targeting PD-1 axis and
chimeric antigen receptor T (CAR-T) cells in the treatment of MM.
PMID- 27863375
TI - H2O2 treatment or serum deprivation induces autophagy and apoptosis in naked mole
rat skin fibroblasts by inhibiting the PI3K/Akt signaling pathway.
AB - Naked mole-rats (NMR; Heterocephalus glaber) display extreme longevity and
resistance to cancer. Here, we examined whether autophagy contributes to the
longevity of NMRs by assessing the effects of the PI3K/Akt pathway inhibitor
LY294002 and the autophagy inhibitor chloroquine (CQ) on autophagy and apoptosis
in NMR skin fibroblasts. Serum starvation, H2O2 treatment, and LY294002 treatment
all increased the LC3-II/LC3-I ratio and numbers of double-membraned
autophagosomes and autophagic vacuoles, and decreased levels of p70S6K, p
AktSer473, and p-AktThr308. By contrast, CQ treatment decreased p70S6K,
AktSer473, and AktThr308 levels. The Bax/Bcl-2 ratio increased after 12 h of
exposure to LY294002 or CQ. These data show that inhibiting the Akt pathway
promotes autophagy and apoptosis in NMR skin fibroblasts. Furthermore, LY294002
or CQ treatment decreased caspase-3, p53, and HIF1-alpha levels, suggesting that
serum starvation or H2O2 treatment increase autophagy and apoptosis in NMR skin
fibroblasts by inhibiting the PI3K/Akt pathway. CQ-induced inhibition of late
autophagy stages also prevented Akt activation and induced apoptosis. Finally,
the HIF-1alpha and p53 pathways were involved in serum starvation- or H2O2
induced autophagy in NMR skin fibroblasts.
PMID- 27863376
TI - CXCR4 increases in-vivo glioma perivascular invasion, and reduces radiation
induced apoptosis: A genetic knockdown study.
AB - Glioblastoma (GBM) is a highly invasive brain tumor. Perivascular invasion,
autovascularization and vascular co-option occur throughout the disease and lead
to tumor invasion and progression. The molecular basis for perivascular invasion,
i.e., the interaction of glioma tumor cells with endothelial cells is not well
characterized. Recent studies indicate that glioma cells have increased
expression of CXCR4. We investigated the in-vivo role of CXCR4 in perivascular
invasion of glioma cells using shRNA-mediated knock down of CXCR4. We show that
primary cultures of human glioma stem cells HF2303 and mouse glioma GL26-Cit
cells exhibit significant migration towards human (HBMVE) and mouse (MBVE) brain
microvascular endothelial cells. Blocking CXCR4 on tumor cells with AMD3100 in
vitro, inhibits migration of GL26-Cit and HF2303 toward MBVE and HBMVE cells.
Additionally, genetic down regulation of CXCR4 in mouse glioma GL26-Cit cells
inhibits their in-vitro migration towards MBVE cells; in an in-vivo intracranial
mouse model, these cells display reduced tumor growth and perivascular invasion,
leading to increased survival. Quantitative analysis of brain sections showed
that CXCR4 knockdown tumors are less invasive. Lastly, we tested the effects of
radiation on CXCR4 knock down GL26-Cit cells in an orthotopic brain tumor model.
Radiation treatment increased apoptosis of CXCR4 downregulated tumor cells and
prolonged median survival. In summary, our data suggest that CXCR4 signaling is
critical for perivascular invasion of GBM cells and targeting this receptor makes
tumors less invasive and more sensitive to radiation therapy. Combination of
CXCR4 knock down and radiation treatment might improve the efficacy of GBM
therapy.
PMID- 27863377
TI - Variable patterns of ectopic mineralization in Enpp1asj-2J mice, a model for
generalized arterial calcification of infancy.
AB - Generalized arterial calcification of infancy (GACI) is an autosomal recessive
disorder characterized by early onset of extensive mineralization of the
cardiovascular system. The classical forms of GACI are caused by mutations in the
ENPP1 gene, encoding a membrane-bound pyrophosphatase/phosphodiesterase that
hydrolyzes ATP to AMP and inorganic pyrophosphate. The asj-2J mouse harboring a
spontaneous mutation in the Enpp1 gene has been characterized as a model for
GACI. These mutant mice develop ectopic mineralization in skin and vascular
connective tissues as well as in cartilage and collagen-rich tendons and
ligaments. This study examined in detail the temporal ectopic mineralization
phenotype of connective tissues in this mouse model, utilizing a novel cryo
histological method that does not require decalcification of bones. The wild
type, heterozygous, and homozygous mice were administered fluorescent
mineralization labels at 4 weeks (calcein), 10 weeks (alizarin complexone), and
11 weeks of age (demeclocycline). Twenty-four hours later, outer ears, muzzle
skin, trachea, aorta, shoulders, and vertebrae were collected from these mice and
examined for progression of mineralization. The results revealed differential
timeline for disease initiation and progression in various tissues of this mouse
model. It also highlights the advantages of cryo-histological fluorescent imaging
technique to study mineral deposition in mouse models of ectopic mineralization
disorders.
PMID- 27863378
TI - Aberrant localization of apoptosis protease activating factor-1 in lipid raft sub
domains of diffuse large B cell lymphomas.
AB - Resistance to chemotherapy remains a challenge in the clinical management of
diffuse B cell lymphomas despite aggressive chemotherapy such as CHOP and
monoclonal CD20. Here we provide evidence that the apoptosome adaptor protein,
Apaf-1, is mislocalized in primary cells derived from patients with diffuse large
B cell lymphomas (DLBCL). Whereas, the total expression of Apaf-1 did not change,
its sub-cellular localization was significantly different in DLBCL, compared to T
cell lymphomas as well as cells derived from reactive lymphadenopathy biopsies.
As expected, Apaf-1 was detected in the cytosolic fractions of non-B cell
lymphomas and non-cancerous tissues; however, in B cell derived lymphomas the
protein was detected in membrane raft sub-domains rather than the cytosol.
Disruption of lipid raft structures resulted in the redistribution of Apaf-1 to
the cytosol and restored apoptosis sensitivity of DLBCL. Furthermore, we
identified novel small molecule compounds that target DLBCL by promoting Apaf-1
release form lipid rafts via mechanisms that involve an increase in intracellular
reactive oxygen species production. Taken together, our results implicate Apaf-1
mislocalization as a potential diagnostic and prognostic marker for DLBCL, and
provide a novel therapeutic strategy for circumventing the drug refractory nature
of this sub-class of B cell lymphoma.
PMID- 27863379
TI - Targeted next generation sequencing identifies two novel mutations in SEPN1 in
rigid spine muscular dystrophy 1.
AB - Rigid spine muscular dystrophy 1 (RSMD1) is a neuromuscular disorder, manifested
with poor axial muscle strength, scoliosis and neck weakness, and a variable
degree of spinal rigidity with an early ventilatory insufficiency which can lead
to death by respiratory failure. Mutations of SEPN1 gene are associated with
autosomal recessive RSMD1. Here, we present a clinical molecular study of a
Chinese proband with RSMD1. The proband is a 17 years old male, showing
difficulty in feeding, delayed motor response, problem in running with frequent
fall down, early onset respiratory insufficiency, general muscle weakness and
rigid cervical spine. Muscle biopsy identified increased variability of fiber
size with atrophic muscle cells consistent with non-specific myopathic changes.
Proband's elder brother presented with same phenotype as the proband and died at
the age of 15 years due to acute respiratory failure. Proband's father and mother
are phenotypically normal. Targeted exome capture based next generation
sequencing and Sanger sequencing identified that the proband was a compound
heterozygote with two novel mutations in SEPN1 gene; a novel missense mutation
(c.1384T>C; p.Sec462Arg) and a novel nonsense mutation (c.1525C>T; p.Gln509Ter),
inherited from his father and mother respectively. These two mutations are co
segregated with the disease phenotypes in the proband and was absent in normal
healthy controls. Our present study expands the mutational spectrum of the SEPN1
associated RSMD1.
PMID- 27863380
TI - Arctigenin functions as a selective agonist of estrogen receptor beta to restrict
mTORC1 activation and consequent Th17 differentiation.
AB - Arctigenin was previously proven to inhibit Th17 cell differentiation and thereby
attenuate colitis in mice by down-regulating the activation of mechanistic target
of rapamycin complex 1 (mTORC1). The present study was performed to address its
underlying mechanism in view of estrogen receptor (ER). The specific antagonist
PHTPP or siRNA of ERbeta largely diminished the inhibitory effect of arctigenin
on the mTORC1 activation in T cell lines and primary CD4+ T cells under Th17
polarization condition, suggesting that arctigenin functioned in an ERbeta
dependent manner. Moreover, arctigenin was recognized to be an agonist of ERbeta,
which could bind to ERbeta with a moderate affinity, promote dissociation of
ERbeta/HSP90 complex and nuclear translocation and phosphorylation of ERbeta, and
increase the transcription activity. Following activation of ERbeta, arctigenin
inhibited the activity of mTORC1 by disruption of ERbeta-raptor-mTOR complex
assembly. Deficiency of ERbeta markedly abolished arctigenin-mediated inhibition
of Th17 cell differentiation. In colitis mice, the activation of ERbeta,
inhibition of mTORC1 activation and Th17 response by arctigenin were abolished by
PHTPP treatment. In conclusion, ERbeta might be the target protein of arctigenin
responsible for inhibition of mTORC1 activation and resultant prevention of Th17
cell differentiation and colitis development.
PMID- 27863381
TI - Probucol prevents atrial ion channel remodeling in an alloxan-induced diabetes
rabbit model.
AB - Diabetes mellitus (DM) increases the risk of developing atrial fibrillation (AF),
but the molecular mechanisms of diabetes-induced atrial remodeling processes have
not been fully characterized. The aim of this study was to examine the mechanisms
underlying atrial ion channel remodeling in alloxan-induced diabetes model in
rabbits. A total of 40 Japanese rabbits were randomly assigned to a control group
(C), alloxan-induced diabetic group (DM), probucol-treated control group (Control
P), and probucol-treated diabetic group (DM-P). Using whole-cell voltage-clamp
techniques, ICa,L, INa and action potential durations (APDs) were measured in
cardiomyocytes isolated from the left atria in the four groups, respectively. In
the DM group, increased Ica,L and decreased INa currents were reflected in
prolonged APD90 and APD50 values. These changes were reversed in the DM-P group.
In conclusion, probucol cured AF by alleviating the ion channel remodeling of
atrial myocytes in the setting of diabetes and the promising therapeutic
potential of anti-oxidative compounds in the treatment of AF warrants further
study.
PMID- 27863382
TI - An early-screening biomarker of endometrial carcinoma: NGAL is associated with
epithelio-mesenchymal transition.
AB - Neutrophil gelatinase-associated lipocalin is currently one of the most
interesting and enigmatic proteins involved in the development of malignancies.
In this study, we found that the expression of neutrophil gelatinase-associated
lipocalin was up-regulated in endometrial cancer tissues and cell lines,
significantly increased in early-grade ones, suggesting it may serve as a
biomarker for early-stage screening for endometrial carcinoma. Moreover,
neutrophil gelatinase-associated lipocalin was up-regulated in Ishikawa cells
under going epithelio-mesenchymal transition induced by epidermal growth factor
(5 ng/ml). Up-regulation of neutrophil gelatinase-associated lipocalin may
correlate with the down-regulation of E-cadherin expression, up-regulation of
Vimentin expression, enhanced cell migration, invasion and proliferation, which
are the typical hallmarks of epithelio-mesenchymal transition processes.
Neutrophil gelatinase-associated lipocalin may play a dual role during
tumorigenetic and developmental processes of endometrial carcinoma. These results
suggested neutrophil gelatinase-associated lipocalin to be a potential molecular
target in the early diagnosis and treatment of endometrial carcinoma. Further
studies are warranted to clarify the molecular mechanisms behind the expression
and function of neutrophil gelatinase-associated lipocalin and epithelio
mesenchymal transition.
PMID- 27863383
TI - Adipocytes secreted leptin is a pro-tumor factor for survival of multiple myeloma
under chemotherapy.
AB - Accumulating evidences have shown that adipokines secreted from adipocytes
contributes to tumor development, especially leptin. However, underlying
mechanisms remain unclear. This study aims to explore the effect of leptin on
development and chemoresistance in multiple myeloma cells and the potential
mechanism. Analysis of levels of adipokines including leptin and adiponectin in
28 multiple myeloma patients identified significantly higher leptin compared with
28 normal controls(P < 0.05), and leptin level was positively correlated with
clinical stage, IgG, ER, and beta2MG. Next, by using co-culture system of myeloma
and adipocytes, and pharmacologic enhancement of leptin, we found that increased
growth of myeloma cells and reduced toxicity of bortezomib were best observed at
50 ng/ml of leptin, along with increased expression of cyclinD1, Bcl-2 and
decreased caspase-3 expression. We also found that phosphorylated AKT and STAT3
but not the proteins expression reached peak after 1h and 6h treatment of leptin,
respectively. By using AG490, an agent blocking the phosphorylation of AKT and
ERK, the proliferation of myeloma cells was inhibited, as well as the
phosphorylation of AKT and STAT3, even adding leptin. Taken together, our study
demonstrated that up-regulated leptin could stimulate proliferation of myeloma
and reduce the anti-tumor effect of chemotherapy possibly via activating AKT and
STAT3 pathways, and leptin might be one of the potential therapeutic targets for
treating myeloma.
PMID- 27863385
TI - Gli1 promotes colorectal cancer metastasis in a Foxm1-dependent manner by
activating EMT and PI3K-AKT signaling.
AB - Colorectal cancer(CRC) is one of the most commonly diagnosed cancers in human
beings and metastasis is the main death reason. Recently, Gli1 has been reported
to be a key regulator of various cancer biologies and genes expressions. However,
the detailed molecular mechanism of Gli1 in CRC metastasis remains largely
unknown. In this study, we aimed to investigate the role of Gli1 in CRC
metastasis. We used qRT-PCR, Immunohistochemistry and Western blot to test the
expression levels of Gli1, Foxm1 and other target genes in the tissues and cells;
Lentivirus stable transfection to change the expression levels of Gli1 and Foxm1;
Wound-healing, cell invasion, migration assays and tail vein metastatic assay to
test the role of Gli1 in CRC metastasis in vitro and vivo. We demonstrated that
Gli1 was significantly overexpressed in colorectal cancer tissues and cells.
Foxm1 level had a positive correlation with Gli1. Furthermore, we found that Gli1
promotes colorectal cancer cells metastasis in a Foxm1-dependent manner by
activating EMT and PI3K-AKT signaling. Thus, we proved that Gli1 plays important
role in CRC metastasis and provided a new visual field on the therapy of CRC
metastasis.
PMID- 27863384
TI - A novel highly potent trivalent TGF-beta receptor trap inhibits early-stage
tumorigenesis and tumor cell invasion in murine Pten-deficient prostate glands.
AB - The effects of transforming growth factor beta (TGF-beta) signaling on prostate
tumorigenesis has been shown to be strongly dependent on the stage of
development, with TGF-beta functioning as a tumor suppressor in early stages of
disease and as a promoter in later stages. To study in further detail the
paradoxical tumor-suppressive and tumor-promoting roles of the TGF-beta pathway,
we investigated the effect of systemic treatment with a TGF-beta inhibitor on
early stages of prostate tumorigenesis. To ensure effective inhibition, we
developed and employed a novel trivalent TGF-beta receptor trap, RER, comprised
of domains derived from the TGF-beta type II and type III receptors. This trap
was shown to completely block TbetaRII binding, to antagonize TGF-beta1 and TGF
beta3 signaling in cultured epithelial cells at low picomolar concentrations, and
it showed equal or better anti-TGF-beta activities than a pan TGF-beta
neutralizing antibody and a TGF-beta receptor I kinase inhibitor in various
prostate cancer cell lines. Systemic administration of RER inhibited prostate
tumor cell proliferation as indicated by reduced Ki67 positive cells and invasion
potential of tumor cells in high grade prostatic intraepithelial neoplasia (PIN)
lesions in the prostate glands of Pten conditional null mice. These results
provide evidence that TGF-beta acts as a promoter rather than a suppressor in the
relatively early stages of this spontaneous prostate tumorigenesis model. Thus,
inhibition of TGF-beta signaling in early stages of prostate cancer may be a
novel therapeutic strategy to inhibit the progression as well as the metastatic
potential in patients with prostate cancer.
PMID- 27863386
TI - Prognostic and diagnostic potential of isocitrate dehydrogenase 1 in esophageal
squamous cell carcinoma.
AB - We aimed to investigate the pattern of expression and clinical significance of
isocitrate dehydrogenase 1(IDH1) in esophageal squamous cell carcinoma (ESCC).
The IDH1 expression was determined by quantitative real-time polymerase chain
reaction, immunohistochemistry, and Western blot analysis using 38 pairs of
frozen tissues. Enzyme-linked immunosorbent assay was employed to measure 67
pairs of serum samples from patients and their controls to evaluate its
diagnostic value. Immunohistochemistry analysis of 111 formalin-fixed paraffin
embedded tissue samples was conducted for explaining its prognostic value. After
shRNA transfection, CCK8 and clonal efficiency assays were carried on for
verifying the function of IDH1 in vitro. Increased expression at mRNA (P < 0.001)
and protein levels (immunohistochemistry: P < 0.001, Western blot analysis: P <
0.001) were observed. Similarly, the IDH1 expression in serum from patients with
ESCC was significantly upregulated relative to that from healthy controls (P <
0.001). Kaplan-Meier curve indicated that IDH1 upregulation predicted worse
overall survival (OS) and progression-free survival (PFS). Univariate and
multivariate analyses identified IDH1 expression as an independent prognostic
factor for OS and PFS. Furthermore, OD450 values and colony numbers were
decreased in sh-IDH1 groups (all P < 0.05). In conclusion, IDH1 is upregulated in
patients with ESCC and can be used as a good potential biomarker for diagnosis
and prognosis.
PMID- 27863387
TI - Aberrant overexpression of ADAR1 promotes gastric cancer progression by
activating mTOR/p70S6K signaling.
AB - ADAR1, one of adenosine deaminases acting on RNA, modulates RNA transcripts
through converting adenosine (A) to inosine (I) by deamination. Emerging evidence
has implicated that ADAR1 plays an important role in a few of human cancers,
however, its expression and physiological significance in gastric cancer remain
undefined. In the present study, we demonstrated that ADAR1 was frequently
overexpressed in gastric cancer samples by quantitative real-time PCR analysis.
In a gastric cancer tissue microarray, ADAR1 staining was closely correlated with
tumor stage (P < 0.001) and N classification (P < 0.001). Functional analysis
indicated that ADAR1 overexpression promoted cell proliferation and migration in
vitro, whereas ADAR1 knockdown resulted in an opposite phenotypes. Furthermore,
ADAR1 knockdown also inhibited tumorigenicity and lung metastasis potential of
gastric cancer cells in nude mice models. Mechanistically, ADAR1 expression had a
significant effect on phosphorylation level of mTOR, p70S kinase, and S6
ribosomal protein, implying its involvement in the regulation of mTOR signaling
pathway. We conclude that ADAR1 contributes to gastric cancer development and
progression via activating mTOR/p70S6K/S6 ribosomal protein signaling axis. Our
findings suggest that ADAR1 may be a valuable biomarker for GC diagnosis and
prognosis and may represent a new novel therapeutic opportunities.
PMID- 27863388
TI - Comprehensive analysis of aberrantly expressed profiles of lncRNAs and miRNAs
with associated ceRNA network in muscle-invasive bladder cancer.
AB - Although initially thought to be transcriptional noise, long noncoding RNAs
(lncRNAs) are gaining increased attention in human cancers as its diversity
function. At present, lncRNAs are regarded as the main part of competing
endogenous RNA (ceRNA) network due to its regulation on protein-coding gene
expression by acting as miRNA sponges. However, functional roles of lncRNA
mediated ceRNAs in muscle-invasive bladder cancer remain unclear. To clarify
relevant potential mechanisms, here we comprehensively compared the expression
profiles of mRNAs, lncRNAs and miRNAs between 322 muscle-invasive bladder cancer
tissues and 19 non-tumor bladder tissues, based on the Cancer Genome Atlas
(TCGA). A total of 22 lncRNAs were identified as aberrantly expressed and had
correlations with tumorigenesis and/or progression of muscle-invasive bladder
cancer (|log2FoldChange| > 1.5, corrected P value < 0.01). 6 out of the 22
dysregulated lncRNAs functioned as prognostic biomarkers for patients with muscle
invasive bladder cancer according to the overall survival analysis (P value <
0.05). Finally, a dysregulated lncRNA-associated ceRNA network was successfully
constructed, which inculdes five muscle-invasive bladder cancer-specific lncRNAs,
nine miRNAs and 32 mRNAs. In summary, our study identified novel lncRNAs as
candidate prognostic biomarkers and potential therapeutic targets for muscle
invasive bladder cancer, based on large-scale sample size. More importantly, the
newly identified ceRNA network will be beneficial for improving the understanding
of lncRNA-mediated ceRNA regulatory mechanisms in the pathogenesis of muscle
invasive bladder cancer.
PMID- 27863389
TI - NT1721, a novel epidithiodiketopiperazine, exhibits potent in vitro and in vivo
efficacy against acute myeloid leukemia.
AB - Acute myeloid leukemia (AML) is an aggressive malignancy characterized by
heterogeneous genetic and epigenetic changes in hematopoietic progenitors that
lead to abnormal self-renewal and proliferation. Despite high initial remission
rates, prognosis remains poor for most AML patients, especially for those
harboring internal tandem duplication (ITD) mutations in the fms-related tyrosine
kinase-3 (FLT3). Here, we report that a novel epidithiodiketopiperazine, NT1721,
potently decreased the cell viability of FLT3-ITD+ AML cell lines, displaying
IC50 values in the low nanomolar range, while leaving normal CD34+ bone marrow
cells largely unaffected. The IC50 values for NT1721 were significantly lower
than those for clinically used AML drugs (i.e. cytarabine, sorafenib) in all
tested AML cell lines regardless of their FLT3 mutation status. Moreover,
combinations of NT1721 with sorafenib or cytarabine showed better antileukemic
effects than the single agents in vitro. Combining cytarabine with NT1721 also
attenuated the cytarabine-induced FLT3 ligand surge that has been linked to
resistance to tyrosine kinase inhibitors. Mechanistically, NT1721 depleted DNA
methyltransferase 1 (DNMT1) protein levels, leading to the re-expression of
silenced tumor suppressor genes and apoptosis induction. NT1721 concomitantly
decreased the expression of EZH2 and BMI1, two genes that are associated with the
maintenance of leukemic stem/progenitor cells. In a systemic FLT3-ITD+ AML mouse
model, treatment with NT1721 reduced tumor burdens by > 95% compared to the
control and significantly increased survival times. Taken together, our results
suggest that NT1721 may represent a promising novel agent for the treatment of
AML.
PMID- 27863390
TI - Suppression of hepatic stellate cell activation through downregulation of
gremlin1 expression by the miR-23b/27b cluster.
AB - The imbalance between transforming growth factor beta and bone morphogenetic
protein 7 signaling pathways is a critical step in promoting hepatic stellate
cell activation during hepatic fibrogenesis. Gremlin1 may impair the balance.
Something remains unclear about the regulatory mechanisms of gremlin1 action on
hepatic stellate cell activation and hepatic fibrosis. In the current study,
gremlin1 overexpression promotes activation of hepatic stellate cells. Knockdown
of gremlin1 with siRNAs suppresses hepatic stellate cell activation and
attenuates hepatic fibrosis in rat model. Our results also show that miR-23b/27b
cluster members bind to 3'-untranslated region of gremlin1 resulting in reduction
of transforming growth factor beta, alpha-smooth muscle actin and collagenI
alpha1/2 gene expression. Our findings suggest that gremlin1 promotes hepatic
stellate cell activation and hepatic fibrogenesis through impairment of the
balance between transforming growth factor beta and bone morphogenetic protein 7
signaling pathways. The miR-23b/27b cluster suppresses activation of hepatic
stellate cells through binding gremlin1 to rectify the imbalance.
PMID- 27863391
TI - Nordihydroguaiaretic acid impairs prostate cancer cell migration and tumor
metastasis by suppressing neuropilin 1.
AB - Tumor metastasis is a major cause leading to the deaths of cancer patients.
Nordihydroguaiaretic acid (NDGA) is a natural product that has been demonstrated
to show therapeutic values in multiple diseases. In this study, we report that
NDGA can inhibit cell migration and tumor metastasis via a novel mechanism. NDGA
suppresses NRP1 function by downregulating its expression, which leads to
attenuated cell motility, cell adhesion to ECM and FAK signaling in cancer cells.
Moreover, due to its cross-cell type activity on NRP1 suppression, NDGA also
impairs angiogenesis function of endothelial cells and fibronectin assembly by
fibroblasts, both of which are critical to promote metastasis. Based on these
comprehensive effects, NDGA effectively suppresses tumor metastasis in nude mice
model. Our findings reveal a novel mechanism underlying the anti-metastasis
function of NDGA and indicate the potential value of NDGA in NRP1 targeting
therapy for selected subtypes of cancer.
PMID- 27863392
TI - Discovery of BPR1K871, a quinazoline based, multi-kinase inhibitor for the
treatment of AML and solid tumors: Rational design, synthesis, in vitro and in
vivo evaluation.
AB - The design and synthesis of a quinazoline-based, multi-kinase inhibitor for the
treatment of acute myeloid leukemia (AML) and other malignancies is reported.
Based on the previously reported furanopyrimidine 3, quinazoline core containing
lead 4 was synthesized and found to impart dual FLT3/AURKA inhibition (IC50 =
127/5 nM), as well as improved physicochemical properties. A detailed structure
activity relationship study of the lead 4 allowed FLT3 and AURKA inhibition to be
finely tuned, resulting in AURKA selective (5 and 7; 100-fold selective over
FLT3), FLT3 selective (13; 30-fold selective over AURKA) and dual FLT3/AURKA
selective (BPR1K871; IC50 = 19/22 nM) agents. BPR1K871 showed potent anti
proliferative activities in MOLM-13 and MV4-11 AML cells (EC50 ~ 5 nM). Moreover,
kinase profiling and cell-line profiling revealed BPR1K871 to be a potential
multi-kinase inhibitor. Functional studies using western blot and DNA content
analysis in MV4-11 and HCT-116 cell lines revealed FLT3 and AURKA/B target
modulation inside the cells. In vivo efficacy in AML xenograft models (MOLM-13
and MV4-11), as well as in solid tumor models (COLO205 and Mia-PaCa2), led to the
selection of BPR1K871 as a preclinical development candidate for anti-cancer
therapy. Further detailed studies could help to investigate the full potential of
BPR1K871 as a multi-kinase inhibitor.
PMID- 27863393
TI - A promoter polymorphism in APJ gene is significantly associated with blood
pressure changes and hypertension risk in Chinese women.
AB - The aim of this study was to interrogate the gender-specific association of 5
well-defined polymorphisms in apelin/APJ system with both blood pressure changes
and hypertension risk in a northeastern Chinese population. This is a population
based case-control study, including 650 hypertensive patients and 645
normotensive controls. Data were analyzed by STATA and Haplo.Stats. The genotype
distributions of 5 study polymorphisms were in Hardy-Weinberg equilibrium in both
genders. The rs7119375 and rs10501367 were completely linked. The genotypes (P =
0.001) and alleles (P < 0.001) of rs7119375 differed significantly between
patients and controls in women. Carriers of rs7119375-AA genotype had significant
higher systolic blood pressure (SBP) than carriers of rs7119375-GG genotype in
both patients and controls of female gender (P < 0.01). Moreover, carriers of
rs7119375-A allele were 1.80 times more likely to develop hypertension relative
to carriers of rs7119375-GG genotype after adjusting for age, body mass index and
glucose (odds ratio: 1.80; 95% confidence interval: 1.03-3.16; P= 0.040). Further
allele combination analysis supported the leading contribution of rs7119375 to
hypertension risk. Our findings demonstrated that the mutation of promoter
polymorphism rs7119375 in APJ gene was significantly associated with elevated SBP
and increased hypertension risk in Chinese women.
PMID- 27863394
TI - MDA-9/Syntenin (SDCBP) modulates small GTPases RhoA and Cdc42 via transforming
growth factor beta1 to enhance epithelial-mesenchymal transition in breast
cancer.
AB - Epithelial-mesenchymal transition (EMT) is one of the decisive steps regulating
cancer invasion and metastasis. However, the molecular mechanisms underlying this
transition require further clarification. MDA-9/syntenin (SDCBP) expression is
elevated in breast cancer patient samples as well as cultured breast cancer
cells. Silencing expression of MDA-9 in mesenchymal metastatic breast cancer
cells triggered a change in cell morphology in both 2D- and 3D-cultures to a more
epithelial-like phenotype, along with changes in EMT markers, cytoskeletal
rearrangement and decreased invasion. Conversely, over expressing MDA-9 in
epithelial non-metastatic breast cancer cells instigated a change in morphology
to a more mesenchymal phenotype with corresponding changes in EMT markers,
cytoskeletal rearrangement and an increase in invasion. We also found that MDA-9
upregulated active levels of known modulators of EMT, the small GTPases RhoA and
Cdc42, via TGFbeta1. Reintroducing TGFbeta1 in MDA-9 silenced cells restored
active RhoA and cdc42 levels, modulated cytoskeletal rearrangement and increased
invasion. We further determined that MDA-9 interacts with TGFbeta1 via its PDZ1
domain. Finally, in vivo studies demonstrated that silencing the expression of
MDA-9 resulted in decreased lung metastasis and TGFbeta1 re-expression partially
restored lung metastases. Our findings provide evidence for the relevance of MDA
9 in mediating EMT in breast cancer and support the potential of MDA-9 as a
therapeutic target against metastatic disease.
PMID- 27863395
TI - High cord blood CCL22/CXCL10 chemokine ratios precede allergic sensitization in
early childhood.
AB - Atopic diseases are known to be characterized by a T helper (Th) 2-skewed
immunity; however, there are few studies addressing the Th1/Th2 immunity at birth
related to the development of atopic diseases in early childhood. We investigated
186 children followed up regularly at the clinic for 4 years in a birth cohort
study. The Th1-associated CXC chemokine ligand (CXCL)-10, CXCL11, and the Th2
associated CC chemokine ligand (CCL)-17 and CCL22 were quantified in cord blood
by multiplex Luminex kits. Specific immunoglobulin E antibodies against food and
inhalant allergens were measured at 6 months as well as 1, 1.5, 2, 3, and 4 years
of age. Cord blood CCL22 levels were positively associated with IgE sensitization
at age 2, whereas cord blood CXCL10 levels were negatively associated with mite
sensitization at age 3. Furthermore, a high cord blood CCL22/CXCL10 chemokine
ratio was significantly associated with a higher risk of allergic sensitization
at age 3 (OR, 1.02; 95% confidence interval [CI], 1.005-1.039; P = 0.012).
However, cord blood Th1- and Th2-associated chemokines and their ratios were not
associated with atopic diseases at different age. Our study indicates that a Th2
skewed immunity at birth may increase risk of allergic sensitization but not of
allergic outcomes later in life.
PMID- 27863396
TI - P2rx4 deficiency in mice alleviates allergen-induced airway inflammation.
AB - Compelling evidences point out a crucial role for extracellular nucleotides such
as adenosine triphosphate (ATP) during inflammatory conditions. Once released
into the extracellular space, ATP modulates migration, maturation and function of
various inflammatory cells via activating of purinergic receptors of the P2Y- and
P2X- family. P2RX4 is an ATP-guided ion channel expressed on structural cells
such as alveolar epithelial and smooth muscle cells as well as inflammatory cells
including macrophages, dendritic cells (DCs) and T cells. P2RX4 has been shown to
interact with P2RX7 and promote NLRP3 inflammasome activation. Although P2RX7 has
already been implicated in allergic asthma, the role of P2RX4 in airway
inflammation has not been elucidated yet. Therefore, we used a selective
pharmacological antagonist and genetic ablation to investigate the role of P2RX4
in an ovalbumin (OVA) driven model of allergen-induced airway inflammation (AAI).
Both, P2RX4 antagonist 5-BDBD treatment and P2rx4 deficiency resulted in an
alleviated broncho alveolar lavage fluid eosinophilia, peribronchial
inflammation, Th2 cytokine production and bronchial hyperresponsiveness.
Furthermore, P2rx4-deficient bone marrow derived DCs (BMDCs) showed a reduced IL
1beta production in response to ATP accompanied by a decreased P2rx7 expression
and attenuated Th2 priming capacity compared to wild type (WT) BMDCs in vitro.
Moreover, mice adoptively transferred with P2rx4-deficient BMDCs exhibit a
diminished AAI in vivo. In conclusion our data suggests that P2RX4-signaling
contributes to AAI pathogenesis by regulating DC mediated Th2 cell priming via
modulating IL-1beta secretion and selective P2RX4-antagonists might be a new
therapeutic option for allergic asthma.
PMID- 27863398
TI - Unique epigenetic gene profiles define human breast cancers with poor prognosis.
AB - Epigenetic enzymes are at the nexus of cellular regulatory cascades and can drive
cancer-specific deregulation at all stages of the oncogenic process, yet little
is known about their prognostic value in human patients. Here, we used qRT-PCR to
profile at high resolution the expression of fifty-five epigenetic genes in over
one hundred human breast cancer samples and patient-matched benign tissues. We
correlated expression patterns with clinical and histological parameters and
validated our findings in two independent large patient cohorts (TCGA and
METABRIC). We found that human breast malignancies have unique epigenetic
profiles and cluster into epigenetic subgroups. A subset of epigenetic genes
defined an Epigenetic Signature as an independent predictor of patient survival
that outperforms triple negative status and other clinical variables. Our results
also suggest that breast cancer grade, but not stage, is driven by
transcriptional alterations of epigenetic modifiers. Overall, this study uncovers
the presence of epigenetic subtypes within human mammary malignancies and
identifies tumor subgroups with specific pharmacologically targetable epigenetic
susceptibilities not yet therapeutically exploited.
PMID- 27863397
TI - Targeting GLI by GANT61 involves mechanisms dependent on inhibition of both
transcription and DNA licensing.
AB - The GLI genes are transcription factors and in cancers are oncogenes, aberrantly
and constitutively activated. GANT61, a specific GLI inhibitor, has induced
extensive cytotoxicity in human models of colon cancer. The FOXM1 promoter was
determined to be a transcriptional target of GLI1. In HT29 cells, inhibition of
GLI1 binding at the GLI consensus sequence by GANT61 led to inhibited binding of
Pol II, the pause-release factors DSIF, NELF and p-TEFb. The formation of R-loops
(RNA:DNA hybrids, ssDNA), were reduced by GANT61 at the FOXM1 promoter.
Pretreatment of HT29 cells with alpha-amanitin reduced GANT61-induced gammaH2AX
foci. Co-localization of GLI1 and BrdU foci, inhibited by GANT61, indicated GLI1
and DNA replication to be linked. By co-immunoprecipitation and confocal
microscopy, GLI1 co-localized with the DNA licensing factors ORC4, CDT1, and
MCM2. Significant co-localization of GLI1 and ORC4 was inhibited by GANT61, and
enrichment of ORC4 occurred at the GLI binding site in the FOXM1 promoter. CDT1
was found to be a transcription target of GLI1. Overexpression of CDT1 in HT29
and SW480 cells reduced GANT61-induced cell death, gH2AX foci, and cleavage of
caspase-3. Data demonstrate involvement of transcription and of DNA replication
licensing factors by non-transcriptional and transcriptional mechanisms in the
GLI-dependent mechanism of action of GANT61.
PMID- 27863399
TI - Different non-synonymous polymorphisms modulate the interaction of the WRN
protein to its protein partners and its enzymatic activities.
AB - Werner syndrome (WS) is characterized by the premature onset of several age
associated pathologies including cancer. The protein defective in WS patients
(WRN) is a helicase/exonuclease involved in DNA replication and repair. Here, we
present the results of a large-scale proteome analysis that has been undertaken
to determine protein partners of different polymorphic WRN proteins found with
relatively high prevalence in the human population. We expressed different
fluorescently tagged-WRN (eYFP-WRN) variants in human 293 embryonic kidney cells
(HEK293) and used a combination of affinity-purification and mass spectrometry to
identify different compositions of WRN-associated protein complexes. We found
that a WRN variant containing a phenylalanine residue at position 1074 and an
arginine at position 1367 (eYFP-WRN(F-R)) possesses more affinity for DNA-PKc,
KU86, KU70, and PARP1 than a variant containing a leucine at position 1074 and a
cysteine at position 1367 (eYFP-WRN(L-C)). Such results were confirmed in a WRN
deficient background using WS fibroblasts. Interestingly, the exonuclase activity
of WRN recovered from immunoprecipitated eYFP-WRN(L-C) variant was lower than the
eYFP-WRN(F-R) in WS cells. Finally, HEK293 cells and WS fibroblasts
overexpressing the eYFP-WRN(F-R) variant were more resistant to the benzene
metabolite hydroquinone than cells expressing the eYFP-WRN(L-C) variant. These
results indicate that the protein-protein interaction landscape of WRN is subject
to modulation by polymorphic amino acids, a characteristic associated with
distinctive cell survival outcome.
PMID- 27863400
TI - Differentiated regulation of immune-response related genes between LUAD and LUSC
subtypes of lung cancers.
AB - Lung squamous cell carcinoma (LUSC) and lung adenocarcinoma (LUAD) are the two
major subtypes of lung cancer, with LUSC exhibits faster progression rate than
LUAD. To investigate the roles of immune-response related genes (IRGs) in lung
cancer progression, we used LUAD and LUSC samples at different cancer progression
stages, and identified that the expression profiles of IRGs could serve as a
better classification marker for cancerous tissues of both LUAD and LUSC. We
found that the expression changes of IRGs were different between LUAD and LUSC.
Cell cycle promoting genes, including KIFs and proteasomes, showed faster up
regulation in LUSC, whereas immune response promoting genes, including MHC
molecules and chemokines, were more rapidly repressed in LUSC. Comparative
pathway analysis revealed that members of the Toll-like receptor and T cell
receptor signaling pathways exhibited diverged expression changes between LUAD
and LUSC, especially at the early cancer stages. Our results revealed the
difference of LUAD and LUSC from the immune response point of view, and provided
new clues for the differential treatment of LUAD and LUSC.
PMID- 27863402
TI - A fully human anti-CD47 blocking antibody with therapeutic potential for cancer.
AB - CD47/SIRPalpha interaction serves as an immune checkpoint for macrophage-mediated
phagocytosis. Mouse anti-CD47 blocking antibodies had demonstrated potent
efficacy in the treatment of both leukemic and solid tumors in preclinical
experimentations, and therefore had moved forward rapidly into clinical trials.
However, a fully human blocking antibody, which meets clinical purpose better,
has not been reported for CD47 up to date. In this study, we reported the
isolation of a fully human anti-CD47 blocking antibody, ZF1, from a phage display
library. ZF1 displayed high specificity and affinity for CD47 protein, which were
comparable to those for humanized anti-CD47 blocking antibody B6H12. Importantly,
ZF1 treatment could induce robust, or even stronger than B6H12, phagocytosis of
leukemic cancer cells by macrophage in vitro, and protect BALB/c nude mice from
cancer killing by engrafted leukemic cells (CCRF and U937) to a similar extent as
B6H12 did. Thus, these data provide primary early pre-clinical support for the
development of ZF1 as a fully human blocking antibody to treat human leukemia by
targeting CD47 molecule.
PMID- 27863401
TI - Isoliquiritigenin decreases the incidence of colitis-associated colorectal cancer
by modulating the intestinal microbiota.
AB - Imbalances in intestinal bacteria correlate with colitis-associated colorectal
cancer (CAC). Traditional Chinese medicines have been used to adjust the gut
microbiota, and isoliquiritigenin (ISL), a flavonoid extracted from licorice, has
shown antitumor efficacy. In this study, the effects of ISL on CAC development
and the gut microbiota were evaluated using an azoxymethane and dextran sulphate
sodium (AOM/DSS)-induced mouse model of CAC (CACM). Histopathological analysis
suggested that ISL reduced tumor incidence in vivo. Moreover, high-throughput
sequencing and terminal restriction fragment length polymorphism (T-RFLP) studies
of the bacterial 16S rRNA gene revealed that the structure of the gut microbial
community shifted significantly following AOM/DSS treatment, and that effect was
alleviated by treatment with high-dose ISL (150 mg/kg). Compared to the
microbiota in the control mice (CK), the levels of Bacteroidetes decreased and
the levels of Firmicutes increased during CAC development. ISL reversed the
imbalance at the phylum level and altered the familial constituents of the gut
microbiota. Specifically, the abundance of Helicobacteraceae increased after
treatment with high-dose ISL, while the abundance of Lachnospiraceae and
Rikenellaceae decreased. At the genus level, ISL reduced the abundance of
opportunistic pathogens (Escherichia and Enterococcus), and increased the levels
of probiotics, particularly butyrate-producing bacteria (Butyricicoccus,
Clostridium, and Ruminococcus). Thus, ISL protects mice from AOM/DSS-induced CAC,
and ISL and the gut microbiota may have synergistic anti-cancer effects.
PMID- 27863403
TI - Enumeration and targeted analysis of KRAS, BRAF and PIK3CA mutations in CTCs
captured by a label-free platform: Comparison to ctDNA and tissue in metastatic
colorectal cancer.
AB - Treatment of advanced colorectal cancer (CRC) requires multimodal therapeutic
approaches and need for monitoring tumor plasticity. Liquid biopsy biomarkers,
including CTCs and ctDNA, hold promise for evaluating treatment response in real
time and guiding therapeutic modifications. From 15 patients with advanced CRC
undergoing liver metastasectomy with curative intent, we collected 41 blood
samples at different time points before and after surgery for CTC isolation and
quantification using label-free Vortex technology. For mutational profiling,
KRAS, BRAF, and PIK3CA hotspot mutations were analyzed in CTCs and ctDNA from 23
samples, nine matched liver metastases and three primary tumor samples.
Mutational patterns were compared. 80% of patient blood samples were positive for
CTCs, using a healthy baseline value as threshold (0.4 CTCs/mL), and 81.4% of
captured cells were EpCAM+ CTCs. At least one mutation was detected in 78% of our
blood samples. Among 23 matched CTC and ctDNA samples, we found a concordance of
78.2% for KRAS, 73.9% for BRAF and 91.3% for PIK3CA mutations. In several cases,
CTCs exhibited a mutation that was not detected in ctDNA, and vice versa.
Complementary assessment of both CTCs and ctDNA appears advantageous to assess
dynamic tumor profiles.
PMID- 27863404
TI - Kallikrein-related peptidase 6 induces chemotherapeutic resistance by attenuating
auranofin-induced cell death through activation of autophagy in gastric cancer.
AB - Kallikrein-related peptidase 6 (KLK6) is a biomarker of gastric cancer associated
with poor prognosis. Mechanisms by which KLK6 could be exploited for
chemotherapeutic use are unclear. We evaluated auranofin (AF), a compound with
cytotoxic effects, in KLK6-deficient cells, and we investigated whether KLK6
expression induces autophagy and acquisition of drug resistance in gastric
cancer. Using cultured human cells and a mouse xenograft model, we investigated
how KLK6 affects antitumor-reagent-induced cell death and autophagy. Expression
levels of KLK6, p53, and autophagy marker LC3B were determined in gastric cancer
tissues. We analyzed the effects of knockdown/overexpression of KLK6, LC3B, and
p53 on AF-induced cell death in cancer cells. Increased KLK6 expression in human
gastric cancer tissues and cells inhibited AF-induced cell motility due to
increased autophagy and p53 levels. p53 dependent induction of KLK6 expression
increased autophagy and drug resistance, whereas KLK6 silencing decreased the
autophagy level and increased drug sensitivity. During AF-induced cell death,
KLK6 and LC3B colocalized to autophagosomes, associated with p53, and were then
trafficked to the cytosol. In the xenograft model of gastric cancer, KLK6
expression decreased AF-induced cell death and KLK6-induced autophagy increased
AF resistance. Taken together, the data suggest that the induction of autophagic
processes through KLK6 expression may increase acquisition of resistance to AF.
Our findings may contribute to a new paradigm for tumor therapeutics.
PMID- 27863405
TI - Identification of evolutionarily conserved DNA damage response genes that alter
sensitivity to cisplatin.
AB - Ovarian, head and neck, and other cancers are commonly treated with cisplatin and
other DNA damaging cytotoxic agents. Altered DNA damage response (DDR)
contributes to resistance of these tumors to chemotherapies, some targeted
therapies, and radiation. DDR involves multiple protein complexes and signaling
pathways, some of which are evolutionarily ancient and involve protein orthologs
conserved from yeast to humans. To identify new regulators of cisplatin
resistance in human tumors, we integrated high throughput and curated datasets
describing yeast genes that regulate sensitivity to cisplatin and/or ionizing
radiation. Next, we clustered highly validated genes based on chemogenomic
profiling, and then mapped orthologs of these genes in expanded genomic networks
for multiple metazoans, including humans. This approach identified an enriched
candidate set of genes involved in the regulation of resistance to radiation
and/or cisplatin in humans. Direct functional assessment of selected candidate
genes using RNA interference confirmed their activity in influencing cisplatin
resistance, degree of gammaH2AX focus formation and ATR phosphorylation, in
ovarian and head and neck cancer cell lines, suggesting impaired DDR signaling as
the driving mechanism. This work enlarges the set of genes that may contribute to
chemotherapy resistance and provides a new contextual resource for interpreting
next generation sequencing (NGS) genomic profiling of tumors.
PMID- 27863406
TI - STC2 promotes head and neck squamous cell carcinoma metastasis through modulating
the PI3K/AKT/Snail signaling.
AB - The mammalian peptide hormone stanniocalcin 2 (STC2) plays an oncogenic role in
many human cancers. However, the exact function of STC2 in human head and neck
squamous cell carcinoma (HNSCC) is unclear. We aimed to examine the function and
clinical significance of STC2 in HNSCC. Using in vitro and in vivo assays, we
show that overexpression of STC2 suppressed cell apoptosis, promoted cell
proliferation, migration, invasion, and cell cycle arrest at the G1/S transition.
By contrast, silencing of STC2 inhibited these activities. We further show that
STC2 upregulated the phosphorylation of AKT and enhanced HNSCC metastasis via
Snail-mediated increase of vimentin and decrease of E-cadherin. These responses
were blocked by silencing of STC2/Snail expression or inhibition of pAKT
activity. Furthermore, clinical data indicate that high STC2 expression was
associated with high levels of pAKT and Snail in tumor samples from HNSCC
patients with regional lymph node metastasis (P < 0.01). Thus, we conclude that
STC2 controls HNSCC metastasis via the PI3K/AKT/Snail signaling axis and that
targeted therapy against STC2 may be a novel strategy to effectively treat
patients with metastatic HNSCC.
PMID- 27863407
TI - Induction of mitochondria-mediated apoptosis and PI3K/Akt/ mTOR-mediated
autophagy by aflatoxin B2 in hepatocytes of broilers.
AB - Aflatoxins have been shown to induce hepatotoxicity in animal models, but the
effects of aflatoxin B2 (AFB2) on broiler hepatocytes is unclear. This study
aimed to investigate the effects of AFB2 on apoptosis and autophagy to provide an
experimental basis for understanding the mechanism of aflatoxin-induced
hepatotoxicity. One hundred-twenty Cobb500 broilers were allocated to four groups
and exposed to 0 mg/kg, 0.2 mg/kg, 0.4 mg/kg, and 0.8 mg/kg of AFB2 per day for
21 d. AFB2 exerted potent proapoptotic and proautophagic effects on hepatocytes,
with increased numbers of apoptotic and autophagic hepatocytes.Poly ADP-ribose
polymerase (PARP) was cleaved and caspase-3 was activated in experimental groups,
showing that the apoptosis of hepatocytes was triggered by AFB2. Increased levels
of the autophagy factors Beclin-1 and LC3-II/LC3-I, as well as down-regulation of
p62, a marker of autophagic flux, provided additional evidence for AFB2-triggered
autophagy. AFB2 induced mitochondria-mediated apoptosis via the production of
reactive oxygen species (ROS) and promotion of the translocation of Bax and
cytochrome c (cyt c) between mitochondria and the cytosol, triggering the
formation of apoptosomes. AFB2 also inhibited the phosphoinositide 3
kinase/Akt/mammalian target of rapamycin (PI3K/Akt/mTOR) pathway by activating
PI3K, Akt, and mTOR and inhibiting their phosphorylation, contributing to the
proautophagic activity of AFB2. These findings provide new insights into the
mechanisms involved in AFB2-induced hepatotoxicity in broilers.
PMID- 27863408
TI - An 8-gene signature for prediction of prognosis and chemoresponse in non-small
cell lung cancer.
AB - Identification of a potential gene signature for improved diagnosis in non-small
cell lung cancer (NSCLC) patient is necessary. Here, we aim to establish and
validate the prognostic efficacy of a gene set that can predict prognosis and
benefits of adjuvant chemotherapy (ACT) in NSCLC patients from various
ethnicities. An 8-gene signature was calculated from the gene expression of 181
patients using univariate Cox proportional hazard regression analysis. The
prognostic value of the signature was robustly validated in 1,477 patients from
five microarray independent data sets and one RNA-seq data set. The 8-gene
signature was identified as an independent predictor of patient survival in the
presence of clinical parameters in univariate and multivariate analyses [hazard
ratio (HR): 2.84, 95% confidence interval CI (1.74-4.65), p=3.06e-05, [HR] 2.62,
95% CI (1.51-4.53), p=0.001], respectively. Subset analysis demonstrated that the
8-gene signature could identify high-risk patients in stage II-III with improved
survival from ACT [(HR) 1.47, 95% CI (1.01-2.14), p=0.044]. The 8-gene signature
also stratified risk groups in EGFR-mutated and wild-type patients. In
conclusion, the 8-gene signature is a strong and independent predictor that can
significantly stratify patients into low- and high-risk groups. Our gene
signature also has the potential to predict patients in stage II-III that are
likely to benefit from ACT.
PMID- 27863410
TI - Elevated expression of STIM1 is involved in lung tumorigenesis.
AB - This study aimed to address the potential role of STIM1 (stromal interaction
molecule 1) in lung tumorigenesis. Colony formation in soft agar assay and
tumorigenicity in nude mice assay were conducted. Western blot,
immunohistochemistry and quantitative real-time polymerase chain reaction were
used to measure the STIM1 expression. The distribution of cell cycle was detected
by flow cytometry assay. Our results showed that the expression of STIM1 mRNA was
significantly higher in human lung tumors than that in adjacent non-neoplastic
lung tissues. Significantly increased expression of STIM1 mRNA and protein was
observed in 16HBE-benzo(a)pyrene (BaP) cells and in BaP-treated mice lung tissues
compared with 16HBE-control cells and the control group, respectively. Silencing
STIM1 inhibited the proliferation and colony formation of A549 cells in in vitro
experiments, attenuated the growth of tumor xenografts of A549 cells in in vivo
experiments and induced the arrest of cell cycle in the G1 phase. The markedly
decreased expression of cyclin D1 protein was observed in A549-shRNA-STIM1 cells
as compared to A549-shRNA-control cells. The markedly increased expression of p21
protein was observed in A549-shRNA-STIM1 cells as compared to A549-shRNA-control
cells. The expression levels of beta-catenin and TGIF proteins were lower in A549
shRNA-STIM1 cells than those in A549-shRNA-control cells. In conclusion, this
study indicated that the elevated expression of STIM1 might be involved in lung
tumorigenesis.
PMID- 27863409
TI - Integrating mechanisms of response and resistance against the tubulin binding
agent Eribulin in preclinical models of osteosarcoma.
AB - Osteosarcoma is the most frequently occurring bone cancer in children and
adolescents. Unfortunately, treatment failures are common. Eribulin is a
synthetic microtubule inhibitor that has demonstrated activity in preclinical
osteosarcoma models. The effects of eribulin were evaluated in two human
osteosarcoma cell lines as well as in eribulin-sensitive and -resistant
osteosarcoma xenograft tumors of the Pediatric Preclinical Testing Program (PPTP)
by characterizing cell viability, microtubule destabilization, mitotic arrest and
mechanism of cell death. Eribulin demonstrated cytotoxic activity in vitro,
through promotion of microtubule dynamic instability, arrest of cells in the G2/M
phase, mitotic catastrophe and cell death. The microtubule-destabilizing protein
stathmin-1 (STMN1) was coimmunoprecipitated with the cyclin-dependent kinase
inhibitor p27 indicating that these cytoplasmic complexes can protect cells from
the microtubule destabilizing effect of eribulin. Increased tumoral expression of
P-glycoprotein (P-gp) and TUBB3 were also associated with lower drug sensitivity.
In summary, eribulin successfully blocked cells in G2/M phase but interfered with
mitochondria activity to inhibit proteins involved in apoptosis. Understanding
the complex and inter-related mechanisms involved in the overall drug response to
eribulin may help in the design of therapeutic strategies that enhance drug
activity and improve benefits of eribulin in pediatric patients with
osteosarcoma.
PMID- 27863411
TI - Sesamin inhibits IL-1beta-stimulated inflammatory response in human
osteoarthritis chondrocytes by activating Nrf2 signaling pathway.
AB - Sesamin, a bioactive component extracted from sesame, has been reported to exert
anti-inflammatory and anti-oxidant effects. In this study, we evaluated the anti
inflammatory effects of sesamin on IL-1beta-stimulated human osteoarthritis
chondrocytes and investigated the possible mechanism. Results demonstrated that
sesamin treatment significantly inhibited PGE2 and NO production induced by IL
1beta. Sesamin inhibited MMP1, MMP3, and MMP13 production in IL-1beta-stimulated
chondrocytes. Sesamin also inhibited IL-1beta-induced phosphorylation of NF
kappaB p65 and IkappaBalpha. Meanwhile, sesamin was found to up-regulate the
expression of Nrf2 and HO-1. However, Nrf2 siRNA reversed the anti-inflammatory
effects of sesamin. In conclusion, our results suggested that sesamin showed anti
inflammatory effects in IL-1beta-stimulated chondrocytes by activating Nrf2
signaling pathway.
PMID- 27863412
TI - Associations between XRCC2 rs3218536 and ERCC2 rs13181 polymorphisms and ovarian
cancer.
AB - Recent studies explored XRCC2 rs3218536 and ERCC2 rs13181 polymorphisms and
ovarian cancer (OC) risk. However, the association between these two single
nucleotide polymorphisms and OC risk remains conflicting. Thus, we conducted a
comprehensive systematic review and meta-analysis to investigate the association.
We searched the databases of PubMed, and Embase. Pooled odds ratios (ORs) and 95%
confidence intervals (CIs) were calculated by using fixed-effect or random-effect
models. 15 case-control studies published in 11 papers including 4,757 cases and
8,431 controls were included in this meta-analysis. No associations were obtained
between XRCC2 rs3218536 and ERCC2 rs13181 polymorphisms and OC risk.
Stratification analyses of Hardy-Weinberg equilibrium status indicated that
rs3218536 polymorphism was associated with the decreased risk of OC when in
analysis of combined HWE positive studies. In conclusion, this meta-analysis
indicates that XRCC2 rs3218536 and ERCC2 rs13181 polymorphisms may not be
associated with the risk of OC.
PMID- 27863413
TI - RICTOR amplification identifies a subgroup in small cell lung cancer and predicts
response to drugs targeting mTOR.
AB - Small cell lung cancer (SCLC) is an aggressive cancer that represents ~15% of all
lung cancers. Currently there are no targeted therapies to treat SCLC. Our
genomic analysis of a metastatic SCLC cohort identified recurrent RICTOR
amplification. Here, we examine the translational potential of this observation.
RICTOR was the most frequently amplified gene observed (~14% patients), and co
amplified with FGF10 and IL7R on chromosome 5p13. RICTOR copy number variation
correlated with RICTOR protein expression in SCLC cells. In parallel, cells with
RICTOR copy number (CN) gain showed increased sensitivity to three mTOR
inhibitors, AZD8055, AZD2014 and INK128 in cell growth assays, with AZD2014
demonstrating the best inhibition of downstream signaling. SCLC cells with RICTOR
CN gain also migrated more rapidly in chemotaxis and scratch wound assays and
were again more sensitive to mTOR inhibitors. The overall survival in SCLC
patients with RICTOR amplification was significantly decreased (p = 0.021). Taken
together, our results suggest that SCLC patients with RICTOR amplification may
constitute a clinically important subgroup because of their potential response to
mTORC1/2 inhibitors.
PMID- 27863414
TI - Smoking increases risks of all-cause and breast cancer specific mortality in
breast cancer individuals: a dose-response meta-analysis of prospective cohort
studies involving 39725 breast cancer cases.
AB - Smoking is associated with the risks of mortality from breast cancer (BC) or all
causes in BC survivors. Two-stage dose-response meta-analysis was conducted. A
search of PubMed and Embase was performed, and a random-effect model was used to
yield summary hazard ratios (HRs). Eleven prospective cohort studies were
included. The summary HR per 10 cigarettes/day, 10 pack-years, 10 years increase
were 1.10 (95% confidence interval (CI) = 1.04-1.16), 1.09 (95% CI = 1.06-1.12),
1.10 (95% CI = 1.06-1.14) for BC specific mortality, and 1.15 (95% CI = 1.10
1.19), 1.15 (95% CI = 1.10-1.20), 1.17 (95% CI = 1.11-1.23) for all-cause
mortality, respectively. The linear or non-linear associations between smoking
and risks of mortality from BC or all causes were revealed. Subgroup analyses
suggested a positive association between ever or former smoking and the risk of
all-cause mortality in BC patients, especially in high doses consumption. In
conclusion, higher smoking intensity, more cumulative amount of cigarettes
consumption and longer time for smoking is associated with elevated risk of
mortality from BC and all causes in BC individuals. The results regarding smoking
cessation and "ever or former" smokers should be treated with caution due to
limited studies.
PMID- 27863416
TI - CRISPR-mediated multiplexed genetic manipulation.
PMID- 27863415
TI - Induction of the mitochondria-mediated apoptosis in human esophageal cancer cells
by DS2, a newly synthetic diterpenoid analog, is regulated by Bax and caused by
generation of reactive oxygen species.
AB - Ent-kaurane diterpene compounds have attracted considerable attention in recent
years due to its antitumor, antibacterial, and antiviral activities. However, the
clinical development of natural kaurane diterpenes, for example, oridonin for
cancer therapy has been hampered by its relatively moderate potency, limited
bioavailability. Herein, we report a newly synthetic analog of natural ent
kaurane diterpene, DS2, which exhibits significantly improved activity of
antiproliferation against various cancer cell lines relative to oridonin. DS2
treatment triggers the mitochondria-mediated apoptosis and cell cycle arrest in
human esophageal cancer cell lines (EC9706, EC109). Interestingly, normal human
esophageal epithelial cells (HEECs) and normal human liver cells (HL-7702) are
both significantly more resistant to the growth inhibition by DS2 compared with
esophageal cancer cells. The DS2-induced apoptosis in EC9706 cells correlated
with the drop of mitochondrial membrane potential (MMP), release of cytochrome c
into the cytosol and activation of caspase-9 and -3. The induction of
proapoptotic proteins p21 and Bax were also observed in DS2-treated cells. The
DS2-induced apoptosis was significantly attenuated by knockdown of Bax proteins.
Meanwhile, the DS2 treatment caused generation of reactive oxygen species (ROS)
in human esophageal cancer cells, but not in HEECs, which was attenuated by
pretreatment with ROS scavenger N-acetylcysteine (NAC). More interestingly, the
antioxidants pretreatment completely attenuated DS2 mediated loss of the MMP and
apoptosis, as well as Bax expression and growth inhibition. In conclusion, the
present study reveals that the mitochondria-mediated cell death by DS2 is
associated with Bax regulation and ROS generation, and understanding the function
and mechanism of DS2 will help us to design better anti-cancer drugs.
PMID- 27863417
TI - Hyponatremia normalization as an independent prognostic factor in patients with
advanced non-small cell lung cancer treated with first-line therapy.
AB - The aim of the study was to assess, for the first time, the prognostic role of
hyponatremia and sodium normalization in patients receiving first-line chemo- or
targeted therapy for advanced non-small cell lung cancer.Four hundred thirty
three patients with advanced non small cell lung cancer were treated with first
line chemo- or targeted therapy between 2006 and 2015 at our institutions.
Patients were stratified in two groups, with or without hyponatremia (group A and
B, respectively). Progression free survival (PFS) and overall survival (OS) were
estimated using Kaplan-Meier method. A Cox regression model was carried out for
univariate and multivariate analyses.Sixty-nine patients (16%) presented with
hyponatremia at the start of first-line therapy. The median OS was 8.78 months in
Group A and 15.5 months in Group B (p < 0.001), while the median PFS was 4.1
months and 6.3 months respectively (p = 0.24). In Group A, median OS was
significantly higher in patients who normalized their sodium levels (11.6 vs. 4.7
months, p = 0.0435). Similarly, the median PFS was significantly higher in
patients who normalized their sodium levels (6.7 vs. 3.3 months, p = 0.011). At
multivariate analysis, sodium normalization was an independent prognostic factor
for both OS and PFS.Sodium normalization during first-line therapy is an
independent prognostic factor for OS and PFS in patients with advanced lung
cancer treated with first-line therapies. Frequent clinical monitoring and prompt
treatment of hyponatremia should be emphasized to optimize the outcome of these
patients.
PMID- 27863418
TI - Pin1 induces the ADP-induced migration of human dental pulp cells through P2Y1
stabilization.
AB - PIN1, which belongs to a family of prolyl isomerases, specifically binds to
phosphorylated Ser/Thr-pro motifs to catalytically regulate the post
phosphorylation conformation of its substrates. This study aimed to investigate
the importance of Pin1 expression in human dental pulp cells (hDPCs) to
understand the involvement of Pin1 in the regulation of P2Y1 and the activation
of ADP-mediated P2Y1 signaling. This study found that the protein levels of P2Y1
gradually decreased after the onset of cell recovery following heat stress.
Interestedly, hDPC migration significantly decreased during the recovery period.
An in vitro study revealed that the silencing of PIN1 by siRNA or the
pharmacologic inhibition of its activity decreased the migration of P2Y1 and P2Y1
expression in these cells. In addition, we found that Pin1 directly interacts
with S252 of P2Y1 and that its binding stabilizes the P2Y1 protein to increase
migration activity. These results strongly suggest that Pin1 mediates cell
migration by stabilizing P2Y1 and that the Pin1/P2Y1 signaling pathways might
serve as a novel mechanism of cell migration progression in hDPCs.
PMID- 27863419
TI - TSC loss distorts DNA replication programme and sensitises cells to genotoxic
stress.
AB - Tuberous Sclerosis (TSC) is characterized by exorbitant mTORC1 signalling and
manifests as non-malignant, apoptosis-prone neoplasia. Previous reports have
shown that TSC-/- cells are highly susceptible to mild, innocuous doses of
genotoxic stress, which drive TSC-/- cells into apoptotic death. It has been
argued that this hypersensitivity to stress derives from a metabolic/energetic
shortfall in TSC-/- cells, but how metabolic dysregulation affects the DNA damage
response and cell cycle alterations in TSC-/- cells exposed to genotoxic stress
is not understood. We report here the occurrence of futile checkpoint responses
and an unusual type of replicative stress (RS) in TSC1-/- fibroblasts exposed to
low-dose genotoxins. This RS is characterized by elevated nucleotide
incorporation rates despite only modest origin over-firing. Strikingly, an
increased propensity for asymmetric fork progression and profuse chromosomal
aberrations upon mild DNA damage confirmed that TSC loss indeed proved
detrimental to stress adaptation. We conclude that low stress tolerance of TSC-/-
cells manifests at the level of DNA replication control, imposing strong negative
selection on genomic instability that could in turn detain TSC-mutant tumours
benign.
PMID- 27863420
TI - YWHAE silencing induces cell proliferation, invasion and migration through the up
regulation of CDC25B and MYC in gastric cancer cells: new insights about YWHAE
role in the tumor development and metastasis process.
AB - We previously observed reduced YWHAE (14-3-3epsilon) protein expression in a
small set of gastric cancer samples. YWHAE may act as a negative regulator of the
cyclin CDC25B, which is a transcriptional target of MYC oncogene. The
understanding of YWHAE role and its targets is important for the better knowledge
of gastric carcinogenesis. Thus, we aimed to evaluate the relationship among
YWHAE, CDC25B, and MYC in vitro and in vivo. For this, we analyzed the YWHAE,
CDC25B, and MYC expression in YWHA-silenced, CDC25B-silenced, and MYC-silenced
gastric cancer cell lines, as well as in gastric cancer and non-neoplastic
gastric samples. In gastric cancer cell lines, YWHAE was able to inhibit the cell
proliferation, invasion and migration through the reduction of MYC and CDC25B
expression. Conversely, MYC induced the cell proliferation, invasion and
migration through the induction of CDC25B and the reduction of YWHAE. Most of the
tumors presented reduced YWHAE and increased CDC25B expression, which seems to be
important for tumor development. Increased MYC expression was a common finding in
gastric cancer and has a role in poor prognosis. In the tumor initiation, the
opposite role of YWHAE and CDC25B in gastric carcinogenesis seems to be
independent of MYC expression. However, the inversely correlation between YWHAE
and MYC expression seems to be important for gastric cancer cells invasion and
migration. The interaction between YWHAE and MYC and the activation of the
pathways related to this interaction play a role in the metastasis process.
PMID- 27863421
TI - LncRNA GAS5 contributes to lymphatic metastasis in colorectal cancer.
AB - Colorectal cancer (CRC) ranks the third most common type of cancer worldwide.
However, the detailed molecular mechanisms underlying these processes are poorly
understood. Recent studies have shown that lncRNAs play important roles in
carcinogenesis and progression of CRC. The lncRNA growth arrest special 5 (GAS5),
was previously identified to be down-regulated and functions as a tumor
suppressor gene in many kinds of cancers. In current two-stage, case-control
study, we systematically evaluated the potential role of lncRNA GAS5 and its
genetic variation rs145204276 in the development and metastasis process of CRC in
a Chinese population. We found the allele del of rs145204276 was significantly
associated with 21% decreased risk of CRC (OR=0.79; 95% CI=0.70-0.89; P value =
5.21*10-5). Compared with the genotype ins/ins, both the genotype ins/del
(OR=0.78; 95% CI=0.68-0.91) and del/del (OR=0.64; 95% CI=0.49-0.84) showed
decreased susceptibility. For both in colon and rectum cancers, the associations
kept statistically significant (OR=O.78 and 0.80, while P value = 4.56*10-4, and
3.80*10-3, respectively). The results also showed that the carriers of allele del
are less likely to get lymph node metastasis (OR=0.80; 95% CI=0.68-0.95; P value
= 0.010). Taken together, our findings provided strong evidence for the
hypothesis that GAS5 rs145204276 were significantly associated with the
susceptibility and progression of CRC.
PMID- 27863423
TI - Cancer cell CCL5 mediates bone marrow independent angiogenesis in breast cancer.
AB - It has recently been suggested that the chemokine receptor (CCR5) is required for
bone marrow (BM) derived endothelial progenitor cell (EPC) mediated angiogenesis.
Here we show that suppression of either cancer cell produced CCL5, or host CCR5
leads to distinctive vascular and tumor growth defects in breast cancer.
Surprisingly, CCR5 restoration in the BM alone was not sufficient to rescue the
wild type phenotype, suggesting that impaired tumor growth associated with
inhibiting CCL5/CCR5 is not due to defects in EPC biology. Instead, to promote
angiogenesis cancer cell CCL5 may signal directly to endothelium in the tumor
stroma. In support of this hypothesis, we have also shown: (i) that endothelial
cell CCR5 levels increases in response to tumor-conditioned media; (ii) that the
amount of CCR5+ tumor vasculature correlates with invasive grade; and (iii) that
inhibition of CCL5/CCR5 signaling impairs endothelial cell migration, associated
with a decrease in activation of mTOR/AKT pathway members. Finally, we show that
treatment with CCR5 antagonist results in less vasculature, impaired tumor
growth, reduced metastases and improved survival. Taken as a whole, this work
demonstrates that directly inhibiting CCR5 expressing vasculature constitutes a
novel strategy for inhibiting angiogenesis and blocking metastatic progression in
breast cancer.
PMID- 27863422
TI - In silico and in vitro drug screening identifies new therapeutic approaches for
Ewing sarcoma.
AB - The long-term overall survival of Ewing sarcoma (EWS) patients remains poor; less
than 30% of patients with metastatic or recurrent disease survive despite
aggressive combinations of chemotherapy, radiation and surgery. To identify new
therapeutic options, we employed a multi-pronged approach using in silico
predictions of drug activity via an integrated bioinformatics approach in
parallel with an in vitro screen of FDA-approved drugs. Twenty-seven drugs and
forty-six drugs were identified, respectively, to have anti-proliferative effects
for EWS, including several classes of drugs in both screening approaches. Among
these drugs, 30 were extensively validated as mono-therapeutic agents and 9 in 14
various combinations in vitro. Two drugs, auranofin, a thioredoxin reductase
inhibitor, and ganetespib, an HSP90 inhibitor, were predicted to have anti-cancer
activities in silico and were confirmed active across a panel of genetically
diverse EWS cells. When given in combination, the survival rate in vivo was
superior compared to auranofin or ganetespib alone. Importantly, extensive
formulations, dose tolerance, and pharmacokinetics studies demonstrated that
auranofin requires alternative delivery routes to achieve therapeutically
effective levels of the gold compound. These combined screening approaches
provide a rapid means to identify new treatment options for patients with a rare
and often-fatal disease.
PMID- 27863424
TI - Novel metastatic models of esophageal adenocarcinoma derived from FLO-1 cells
highlight the importance of E-cadherin in cancer metastasis.
AB - There is currently a paucity of preclinical models available to study the
metastatic process in esophageal cancer. Here we report FLO-1, and its isogenic
derivative FLO-1LM, as two spontaneously metastatic cell line models of human
esophageal adenocarcinoma. We show that FLO-1 has undergone epithelial
mesenchymal transition and metastasizes following subcutaneous injection in mice.
FLO-1LM, derived from a FLO-1 liver metastasis, has markedly enhanced
proliferative, clonogenic, anti-apoptotic, invasive, immune-tolerant and
metastatic potential. Genome-wide RNAseq profiling revealed a significant
enrichment of metastasis-related pathways in FLO-1LM cells. Moreover, CDH1, which
encodes the adhesion molecule E-cadherin, was the most significantly
downregulated gene in FLO-1LM compared to FLO-1. Consistent with this, repression
of E-cadherin expression in FLO-1 cells resulted in increased metastatic
activity. Importantly, reduced E-cadherin expression is commonly reported in
esophageal adenocarcinoma and independently predicts poor patient survival.
Collectively, these findings highlight the biological importance of E-cadherin
activity in the pathogenesis of metastatic esophageal adenocarcinoma and validate
the utility of FLO-1 parental and FLO-1LM cells as preclinical models of
metastasis in this disease.
PMID- 27863425
TI - Identification of an HSP90 modulated multi-step process for ERBB2 degradation in
breast cancer cells.
AB - The receptor tyrosine kinase ERBB2 interacts with HSP90 and is overexpressed in
aggressive breast cancers. Therapeutic HSP90 inhibitors, i.e. Geldanamycin (GA),
target ERBB2 to degradation. We have previously shown that HSP90 is responsible
for the missorting of recycling ERBB2 to degradation compartments. In this study,
we used biochemical, immunofluorescence and electron microscopy techniques to
demonstrate that in SKBR3 human breast cancer cells, GA strongly induces
polyubiquitination and internalization of the full-length p185-ERBB2, and
promotes its cleavage, with the formation of a p116-ERBB2 form in EEA1-positive
endosomes (EE). p116-ERBB2 corresponds to a non-ubiquitinated, signaling
impaired, membrane-bound fragment, which is readily sorted to lysosomes and
degraded. To define the sequence of events leading to p116-ERBB2 degradation, we
first blocked the EE maturation/trafficking to late endosomes/lysosomes with
wortmannin, and found an increase in GA-dependent formation of p116-ERBB2; we
then inhibited the proteasome activity with MG-132 or lactacystin, and observed
an efficient block of p185-ERBB2 cleavage, and its accumulation in EE, suggesting
that p185-ERBB2 polyubiquitination is necessary for proteasome-dependent p116
ERBB2 generation occurring in EE. As polyubiquitination has also been implicated
in autophagy-mediated degradation of ERBB2 under different experimental
conditions, we exploited this possibility and demonstrate that GA strongly
inhibits early autophagy, and reduces the levels of the autophagy markers atg5-12
and LC3-II, irrespective of GA-induced ERBB2 polyubiquitination, ruling out a GA
dependent autophagic degradation of ERBB2. In conclusion, we propose that HSP90
inhibition fosters ERBB2 polyubiquitination and proteasome-dependent generation
of a non-ubiquitinated and inactive p116-ERBB2 form in EE, which is trafficked
from altered EE to lysosomes.
PMID- 27863426
TI - Quantification of tumor-derived cell free DNA(cfDNA) by digital PCR (DigPCR) in
cerebrospinal fluid of patients with BRAFV600 mutated malignancies.
AB - Tumor-derived cell free DNA (cfDNA) can be detected in plasma. We hypothesized
that mutated BRAF V600 cfDNA could be quantified in the cerebrospinal fluid (CSF)
of patients with central nervous system (CNS) metastases. We collected CSF from
patients with BRAF V600E or K-mutated melanoma (N=8) or BRAF V600E mutated
Erdheim-Chester Disease (ECD) (N=3) with suspected central nervous system (CNS)
involvement on the basis of neurological symptoms (10/11), MRI imaging (8/11), or
both. Tumor-derived cfDNA was quantified by digital PCR in the CSF of 6/11
patients (range from 0.15-10.56 copies/MUL). Conventional cytology was negative
in all patients except in the two patients with markedly elevated levels of tumor
derived cfDNA. In 2 patients with serial measurements, CSF tumor-derived cfDNA
levels reflected response to treatment or progressive disease. CSF tumor-derived
cfDNA has the potential to serve as a diagnostic tool that complements MRI and
may be more sensitive than conventional cytology.
PMID- 27863427
TI - Sorafenib pretreatment enhances radiotherapy through targeting MEK/ERK/NF-kappaB
pathway in human hepatocellular carcinoma-bearing mouse model.
AB - Patients with unresectable hepatocellular carcinoma (HCC) usually have poor
prognosis because current monotherapy including surgery, chemotherapy and
radiotherapy (RT) are not effective. Combination therapy may be effective to
overcome this clinical problem. Here, we proposed the combination of sorafenib
and RT, which have been applied in HCC treatment, could improve the treatment
outcome of HCC. Our previous study showed that sorafenib could suppress the
expression of NF-kappaB which is related to the chemo- and radio-resistance.
Nevertheless, the expression of NF-kappaB is oscillatory and is affected by the
treatments. Thus, understanding the oscillation of NF-kappaB expression would be
beneficial for determining the optimal treatment schedule in combination therapy.
Here established Huh7/NF-kappaB-tk-luc2/rfp cell line, in which NF-kappaB
indicates a NF-kappaB promoter, was utilized to noninvasively monitor the
expression of NF-kappaB overtime in vitro and in vivo. The results show that
pretreatment of sorafenib with RT suppresses the expressions of NF-kappaB and its
downstream proteins induced by radiation through downregulation of phosphorylated
extracellular signal-regulated kinase (pERK) most significantly compared with
other treatment schedules. The results were further verified with Western
blotting, EMSA, and NF-kappaB molecular imaging. These findings suggest that
pretreatment of sorafenib with RT may be the ideal treatment schedule for the
treatment of HCC.
PMID- 27863428
TI - Impact of diabetes-related gene polymorphisms on the clinical characteristics of
type 2 diabetes Chinese Han population.
AB - We investigated the correlation between type 2 diabetes (T2D)-related genes and
the clinical characteristics of T2D in the Chinese Han population. Our study
included 319 patients and 387 controls. Age, gender, clinical features,
medications intake and biochemical blood profiles were analyzed. Genotyping was
performed on a total of 18 single nucleotide polymorphisms previously reported to
be associated with T2D. Our analyses revealed that the CT genotype of ARHGAP22
rs4838605 is associated with T2D risk. Upon analyzing the subjects' clinical
characteristics, we found that for rs2811893, the TT genotype correlated with
high creatinine levels, while the AA genotype of rs17045754 and the TT genotype
of rs4838605 correlated with elevated triglyceride levels. In addition, the AA
genotype of rs17376456 and the TT genotype of rs6214 (p = 0.006) correlated with
elevated hemoglobin A1c levels. Lastly, those carrying the TT genotype of
rs7772697 and the CA genotype of rs3918227 exhibited higher mean body mass index
and Cystatin C than controls. Our results showing that the ARHGAP22 gene is
associated with an increased risk of T2D, and that seven SNPs in MYSM1, PLXDC2,
ARHGAP22 and HS6ST3 promote T2D progression and could help predict the clinical
course of T2D in patients at risk.
PMID- 27863429
TI - Epigenetically upregulated WIPF1 plays a major role in BRAF V600E-promoted
papillary thyroid cancer aggressiveness.
AB - How the BRAF V600E mutation promotes the pathogenesis and aggressiveness of
papillary thyroid cancer (PTC) is not completely understood. Here we explored a
novel mechanism involving WASP interacting protein family member 1 (WIPF1). In
PTC tumors, compared with the wild-type BRAF, BRAF V600E was associated with over
expression and hypomethylation of the WIPF1 gene. In thyroid cancer cell lines
with wild-type BRAF, WIPF1 expression was robustly upregulated upon introduced
expression of BRAF V600E (P=0.03) whereas the opposite was seen upon BRAF
knockdown or treatment with BRAF V600E or MEK inhibitors in cells harboring BRAF
V600E. Methylation of a functionally critical region of the WIPF1 promoter was
decreased by expressing BRAF V600E in cells harboring the wild-type BRAF and
increased by BRAF knockdown or treatment with BRAF V600E or MEK inhibitors in
cells harboring BRAF V600E mutation. Under-expression and hypermethylation of
WIPF1 induced by stable BRAF knockdown was reversed by DNA demethylating agent 5'
azadeoxycytidine. Knockdown of WIPF1 robustly inhibited anchorage-independent
colony formation, migration, and invasion of thyroid cancer cells and suppressed
xenograft thyroid cancer tumor growth and vascular invasion, mimicking the
effects of BRAF knockdown. In human PTC tumors, WIPF1 expression was associated
with extrathyroidal invasion (P=0.01) and lymph node metastasis (P=2.64E-05). In
summary, BRAF V600E-activated MAP kinase pathway causes hypomethylation and
overexpression of WIPF1; WIPF1 then functions like an oncoprotein to robustly
promote aggressive cellular and tumor behaviors of PTC. This represents a novel
mechanism in BRAF V600E-promoted PTC aggressiveness and identifies WIPF1 as a
novel therapeutic target for thyroid cancer.
PMID- 27863430
TI - Diisononyl phthalate aggravates allergic dermatitis by activation of NF-kB.
AB - Several epidemiological studies have suggested a possible link between exposure
to Diisononyl phthalate (DINP) and the development of allergies. These findings
remain controversial since there is insufficient scientific evidence to assess
the ability of DINP to influence allergic immune responses. In addition, the
mechanisms behind DINP-caused allergic diseases have not been fully elucidated.
In this study, Balb/c mice were orally exposed to DINP for 3 weeks and were then
sensitized with fluorescein isothiocyanate (FITC). We showed that oral exposure
to DINP could aggravate allergic-dermatitis-like lesions, indicated by an
increase in the number of mast cells, and in increased skin edema in FITC-induced
contact hypersensitivity. This deterioration was concomitant with increased total
serum immunoglobulin-E and Th2 cytokines. We determined the oxidative damage and
the activation of nuclear factor-kb (NF-kB). The data demonstrated that DINP
could promote oxidative damage and the activation of NF-kB in the skin. The
expression of thymic stromal lymphopoietin and the activation of signal
transducer and activator of transcriptions 3, 5 and 6 were enhanced concomitant
with exacerbated allergic dermatitis effects and the activation of NF-kB induced
by DINP. These effects were alleviated by pyrollidine dithiocarbamate, an
inhibitor of NF-kB. The results suggest that oral exposure to DINP aggravated
allergic contact dermatitis, which was positively regulated via NF-kB.
PMID- 27863431
TI - Synergistic antitumor activity of the combination of salubrinal and rapamycin
against human cholangiocarcinoma cells.
AB - Less is known about the roles of eukaryotic initiation factor alpha (eIF2alpha)
in cholangiocarcinoma (CCA). Here, we report that eIF2alpha inhibitor salubrinal
inhibits the proliferation of human CCA cells. Clinical application of mammalian
target of rapamycin (mTOR) inhibitors only has moderate antitumor efficacy.
Therefore, combination approaches may be required for effective clinical use of
mTOR inhibitors. Here, we investigated the efficacy of the combination of
salubrinal and rapamycin in the treatment of CCA. Our data demonstrate a
synergistic antitumor effect of the combination of salubrinal and rapamycin
against CCA cells. Rapamycin significantly inhibits the proliferation of CCA
cells. However, rapamycin initiates a negative feedback activation of Akt.
Inhibition of Akt by salubrinal potentiates the efficacy of rapamycin both in
vitro and in vivo. Additionally, rapamycin treatment results in the up-regulation
of Bcl-xL in a xenograft mouse model. It is notable that salubrinal inhibits
rapamycin-induced Bcl-xL up-regulation in vivo. Taken together, our data suggest
that salubrinal and rapamycin combination might be a new and effective strategy
for the treatment of CCA.
PMID- 27863432
TI - E-cadherin downregulation sensitizes PTEN-mutant tumors to PI3Kbeta silencing.
AB - Alterations in phosphatidylinositol 3-kinase (PI3K) and in PTEN (phosphatase and
tensin homolog), the negative regulator of the PI3K pathway, are found in nearly
half of human tumors. As PI3Kbeta, the main isoform activated in PTEN-mutant
tumors, has kinase-dependent and -independent activities, we compared the effects
of depleting vs. drug-inhibiting PI3Kbeta kinase activity in a collection of
diverse tumor types and in a set of bladder carcinoma cell lines grown as
xenografts in mice. PI3Kbeta depletion (by intratumor injection of PIK3CB siRNA)
induced apoptosis and triggered regression of PTEN-mutant tumors more efficiently
than PI3Kbeta inhibition. A small proportion of these tumors was resistant to
PI3Kbeta downregulation; we analyzed what determined resistance in these cases.
Using add-back experiments, we show that both PTEN mutation and low E-cadherin
expression are necessary for PI3Kbeta dependence. In bladder carcinoma, loss of E
cadherin expression coincides with N-cadherin upregulation. We found that
PI3Kbeta associated with N-cadherin and that PIK3CB depletion selectively
disrupted N-cadherin cell adhesions in PTEN-mutant bladder carcinoma. These
results support the use of PIK3CB interfering RNA as a therapeutic approach for
high-risk bladder cancers that show E-cadherin loss and express mutant PTEN.
PMID- 27863433
TI - Assessment of differentially expressed plasma microRNAs in nonsyndromic cleft
palate and nonsyndromic cleft lip with cleft palate.
AB - Plasma microRNAs (miRNAs) have recently emerged as a new class of regulatory
molecules that influence many biological functions. However, the expression
profile of plasma microRNAs in nonsyndromic cleft palate (NSCP) or nonsyndromic
cleft lip with cleft palate (NSCLP) remains poorly investigated. In this study,
we used Agilent human miRNA microarray chips to monitor miRNA levels in three
NSCP plasma samples (mixed as the CP group), three NSCLP plasma samples (mixed as
the CLP group) and three normal plasma samples (mixed as the Control group). Six
selected plasma miRNAs were validated in samples from an additional 16 CP, 33 CLP
and 8 healthy children using qRT-PCR. Using Venn diagrams, distinct and
overlapping dysregulated miRNAs were identified. Their respective target genes
were further assessed using gene ontology and pathway analysis. The results show
that distinct or overlapping biological processes and signalling pathways were
involved in CP and CLP. Our study showed that the common key gene targets
reflected functional relationships to the Notch, Wnt, phosphatidylinositol and
Hedgehog signalling pathways. Further studies should examine the mechanism of the
potential target genes, which may provide new avenues for future clinical
prevention and therapy.
PMID- 27863434
TI - A microRNA biomarker panel for the non-invasive detection of bladder cancer.
AB - The development of accurate, non-invasive urinary assays for bladder cancer would
greatly facilitate the detection and management of a disease that has a high rate
of recurrence and progression. In this study, we employed a discovery and
validation strategy to identify microRNA signatures that can perform as a non
invasive bladder cancer diagnostic assay. Expression profiling of 754 human
microRNAs (TaqMan low density arrays) was performed on naturally voided urine
samples from a cohort of 85 subjects of known bladder disease status (27 with
active BCa). A panel of 46 microRNAs significantly associated with bladder cancer
were subsequently monitored in an independent cohort of 121 subjects (61 with
active BCa) using quantitative real-time PCR (RT-PCR). Multivariable modeling
identified a 25-target diagnostic signature that predicted the presence of BCa
with an estimated sensitivity of 87% at a specificity of 100% (AUC 0.982). With
additional validation, the monitoring of a urinary microRNA biomarker panel could
facilitate the non-invasive evaluation of patients under investigation for BCa.
PMID- 27863435
TI - Myb expression is critical for myeloid leukemia development induced by Setbp1
activation.
AB - SETBP1 missense mutations have been frequently identified in multiple myeloid
neoplasms; however, their oncogenic potential remains unclear. Here we show that
expression of Setbp1 mutants carrying two such mutations in mouse bone marrow
progenitors efficiently induced development of acute myeloid leukemias (AMLs) in
irradiated recipient mice with significantly shorter latencies and greater
penetrance than expression of wild-type Setbp1, suggesting that these mutations
are highly oncogenic. The increased oncogenicity of Setbp1 missense mutants could
be due in part to their capability to drive significantly higher target gene
transcription. We further identify Myb as a critical mediator of Setbp1-induced
self-renewal as its knockdown caused efficient differentiation of myeloid
progenitors immortalized by wild-type Setbp1 and Setbp1 missense mutants.
Interestingly, Myb is also a direct transcriptional target of Setbp1 and Setbp1
missense mutants as they directly bind to the Myb locus in immortalized cells and
dramatically activate a critical enhancer/promoter region of Myb in luciferase
reporter assays. Furthermore, Myb knockdown in Setbp1 and Setbp1 missense
mutations-induced AML cells also efficiently induced their differentiation in
culture and significantly prolonged the survival of their secondary recipient
mice, suggesting that targeting MYB pathway could be a promising strategy for
treating human myeloid neoplasms with SETBP1 activation.
PMID- 27863436
TI - Chemotherapy plus dendritic cells co-cultured with cytokine-induced killer cells
versus chemotherapy alone to treat advanced non-small-cell lung cancer: A meta
analysis.
AB - This study was aimed to investigate the efficacy and safety of the combination
treatment of dendritic cells co-cultured with cytokine-induced killer cells and
chemotherapy for patients with advanced non-small-cell lung cancer (NSCLC).
Literatures were searched from the Cochrane Library Central, PubMed, Web of
Science and EMBASE. The primary endpoint of interest was overall survival (OS),
and secondary endpoints were disease control rate (DCR) and progression free
survival (PFS). Finally 7 trials published between January 2005 and March 2016
met inclusion criteria and totally 610 patients were enrolled. The combination
group showed advance in DCR (RR = 1.31, 95% CI = 1.13-1.52, p = 0.0004), 1-year
OS (RR = 1.18, 95% CI = 1.05-1.33, p = 0.007), and 2-year OS (RR = 1.37, 95% CI =
1.10-1.70, p = 0.005), with statistical significance. The proportions of CD3+ T
cells (p = 0.002), NK cells (p = 0.02) and NKT cells (p = 0.001) were
significantly higher in the peripheral blood of combination group, compared with
those of the control group. Moreover, adverse reactions were obviously decreased
in the combination group. However, no significant difference was identified in
ORR and PFS between two groups (p > 0.05). In conclusion, the combination therapy
was safe and applicable for patients with advanced NSCLC.
PMID- 27863437
TI - Association of multiple genetic variants with breast cancer susceptibility in the
Han Chinese population.
AB - We selected 13 tag single nucleotide polymorphisms (tSNPs) to investigate whether
they were associated with breast cancer risk in the Chinese Han population. Upon
statistical analyses of clinical data from 551 patients and 577 controls, we
found that six of the 13 SNPs were associated with breast cancer; namely,
rs4973768(Odds ratio (OR) = 1.30, 95% confidence interval (CI) =1.01-1.67),
rs981782(OR =1.30, 95% CI=1.01-1.66), rs1432679(OR =0.84, 95% CI=0.70-0.99),
rs10759243(OR=1.30, 95%CI=1.09-1.55), rs10822013(OR =1.18, 95% CI=1.00-1.39) and
rs704010(OR =1.63, 95% CI=1.04-2.56). When stratified based on breast cancer
subtype, our analyses revealed that three SNPs (rs981782, rs10759243 and
rs704010) correlated with ER+ breast cancer, while another three (rs4973768,
rs1432679 and rs10822013) correlated with ER- breast cancer. We obtained similar
results while investigating the correlation of SNPs with PR status or clinical
stage. Our results suggest that associations identified between SNPs and breast
cancer through genome-wide association studies (GWAS) may not always be
generalizable across races.
PMID- 27863438
TI - Immunohistochemical staining of ERG and SOX9 as potential biomarkers of docetaxel
response in patients with metastatic castration-resistant prostate cancer.
AB - We aimed to evaluate ERG and SOX9 as potential biomarkers of docetaxel response
in metastatic castration-resistant prostate cancer (mCRPC) patients. Seventy-one
mCRPC patients were evaluated. Tissue microarrays were constructed and
immunohistochemistry was performed. Treatment response was assessed by prostate
specific antigen (PSA) response rate, PSA progression-free survival (PSA-PFS),
clinical/radiologic PFS (C/R-PFS) and overall survival (OS). ERG and SOX9 were
found in 13 (18.3%) and 62 (87.3%) patients, respectively. ERG-positive had lower
PSA response rates than negative (15.4% vs 62.1%, p = 0.004), and SOX9 showed a
same trend (46.8% vs 100.0%, p = 0.003). ERG positivity correlated with a lower
PSA-PFS (3.2 mos vs 7.4 mos, p < 0.001), C/R-PFS (3.8 mos vs 9.0 mos, p < 0.001)
and OS (10.8 mos vs 21.4 mos, p < 0.001). SOX9 positivity also showed a lower PSA
PFS, C/R-PFS and OS (p =0.006, p =0.012 and p =0.023, respectively). On
multivariate analysis, ERG positivity was a significant risk factor for a lower
PSA-PFS, C/R-PFS and OS (p < 0.001, p < 0.001 and p =0.001, respectively). SOX9
expression was also a risk factor for a lower PSA-PFS, C/R-PFS and OS (p = 0.018,
p = 0.025 and p =0.047, respectively). These findings indicate that ERG and SOX9
is potential biomarkers for prediction to docetaxel treatment in mCRPC patients.
PMID- 27863439
TI - Re-purposing of curcumin as an anti-metastatic agent for the treatment of
epithelial ovarian cancer: in vitro model using cancer stem cell enriched ovarian
cancer spheroids.
AB - Malignant epithelial ovarian cancer (EOC) spheroids high frequently are detected
in the malignant ascites of the patients with the extensive peritoneal metastasis
of ovarian cancer, which represent a significant obstacle to efficacious
treatment. Clinical data also suggested that EOC spheroids play a putative role
in the development of chemoresistance. Since standard surgery and conventional
chemotherapy is the only available treatment, there is an urgent need to identify
a more effective therapeutic strategy. Recent studies demonstrated that curcumin
exerts an anticancer effect in a variety of human cancers including ovarian
cancer. This study evaluates anti-peritoneal metastasis and chemoresistance of
curcumin related to the EOC spheroids. In this study, we confirm that the high
invasive EOC cells forming the spheroids express a high level of a cancer stem
cell (CSC) marker, aldehyde dehydrogenase 1 family member A1 (ALDH1A1), which was
significantly down-regulated by curcumin treatment. Curcumin treatment markedly
enhances the sensitivity of EOC spheroids to cisplatin in a dose-dependent
manner. Our experiments provided evidence that curcumin could abolish the sphere
forming capacity of EOC cells in a dose-dependent manner. Moreover, curcumin
substantially suppressed the growth of the pre-existed EOC spheroids, inhibited
the adhesion of EOC spheroids to ECM as well as the invasion of EOC spheroids to
the mesothelial monolayers. We propose to re-purpose curcumin as anti-metastatic
and chemoresistant agent for EOC management in combination with conventional
regimen. Further preclinical studies are necessary to validate the anti-cancer
effect of curcumin in patients with EOC.
PMID- 27863440
TI - Patient-derived glioblastoma stem cells respond differentially to targeted
therapies.
AB - The dismal prognosis of glioblastoma is, at least in part, attributable to the
difficulty in eradicating glioblastoma stem cells (GSCs). However, whether this
difficulty is caused by the differential responses of GSCs to drugs remains to be
determined. To address this, we isolated and characterized ten GSC lines from
established cell lines, xenografts, or patient specimens. Six lines formed
spheres in a regular culture condition, whereas the remaining four lines grew as
monolayer. These adherent lines formed spheres only in plates coated with poly-2
hydroxyethyl methacrylate. The self-renewal capabilities of GSCs varied, with the
cell density needed for sphere formation ranging from 4 to 23.8 cells/well.
Moreover, a single non-adherent GSC either remained quiescent or divided into two
cells in four-seven days. The stem cell identity of GSCs was further verified by
the expression of nestin or glial fibrillary acidic protein. Of the two GSC lines
that were injected in immunodeficient mice, only one line formed a tumor in two
months. The protein levels of NOTCH1 and platelet derived growth factor receptor
alpha positively correlated with the responsiveness of GSCs to gamma-secretase
inhibitor IX or imatinib, two compounds that inhibit these two proteins,
respectively. Furthermore, a combination of temozolomide and a connexin 43
inhibitor robustly inhibited the growth of GSCs. Collectively, our results
demonstrate that patient-derived GSCs exhibit different growth rates in culture,
possess differential capabilities to form a tumor, and have varied responses to
targeted therapies. Our findings underscore the importance of patient-derived
GSCs in glioblastoma research and therapeutic development.
PMID- 27863442
TI - Loss of organic anion transporting polypeptide 1B3 function causes marked delay
in indocyanine green clearance without any clinical symptoms.
PMID- 27863441
TI - Sulforaphane inhibits proliferation and invasive activity of everolimus-resistant
kidney cancer cells in vitro.
AB - Although the mechanistic target of rapamycin (mTOR) inhibitor, everolimus, has
improved the outcome of patients with renal cell carcinoma (RCC), improvement is
temporary due to the development of drug resistance. Since many patients
encountering resistance turn to alternative/complementary treatment options, an
investigation was initiated to evaluate whether the natural compound,
sulforaphane (SFN), influences growth and invasive activity of everolimus
resistant (RCCres) compared to everolimus-sensitive (RCCpar) RCC cell lines in
vitro. RCC cells were exposed to different concentrations of SFN and cell growth,
cell proliferation, apoptosis, cell cycle, cell cycle regulating proteins, the
mTOR-akt signaling axis, adhesion to human vascular endothelium and immobilized
collagen, chemotactic activity, and influence on surface integrin receptor
expression were investigated. SFN caused a significant reduction in both RCCres
and RCCpar cell growth and proliferation, which correlated with an elevation in
G2/M- and S-phase cells. SFN induced a marked decrease in the cell cycle
activating proteins cdk1 and cyclin B and siRNA knock-down of cdk1 and cyclin B
resulted in significantly diminished RCC cell growth. SFN also modulated adhesion
and chemotaxis, which was associated with reduced expression of the integrin
subtypes alpha5, alpha6, and beta4. Distinct differences were seen in RCCres
adhesion and chemotaxis (diminished by SFN) and RCCpar adhesion (enhanced by SFN)
and chemotaxis (not influenced by SFN). Functional blocking of integrin subtypes
demonstrated divergent action on RCC binding and invasion, depending on RCC cell
sensitivity to everolimus. Therefore, SFN administration could hold potential for
treating RCC patients with established resistance towards everolimus.
PMID- 27863443
TI - Gait assessment with solesound instrumented footwear in spinal muscular atrophy.
AB - INTRODUCTION: Gait impairment is common in spinal muscular atrophy (SMA) and is
described using clinical assessments and instrumented walkways. Continuous over
ground walking has not been studied. METHODS: Nine SMA participants completed the
6-minute walk test (6MWT) and 10-meter walk/run wearing instrumented footwear
(SoleSound). Data were simultaneously collected using a reference system
(GAITRite). The root-mean-square error (RMSE) indicated criterion validity. The
decrease in walking speed represented fatigue. Foot loading patterns were
evaluated using force sensors. RESULTS: The RMSE for stride time, length, and
velocity ranged from 1.3% to 1.7%. Fatigue was 11.6 +/- 9.1%, which corresponded
to an average deceleration of 0.37 +/- 0.28 mm/s2 . Participants spent most of
their stance without heel contact. Forefoot contact occurred early in the gait
cycle. CONCLUSIONS: These results suggest that footwear-based devices are an
alternative to specialized equipment for gait assessment. Better understanding of
gait disturbances should inform ongoing treatment efforts and provide a more
sensitive outcome measure. Muscle Nerve 56: 230-236, 2017.
PMID- 27863445
TI - Dissociable profiles of generalization/discrimination in the human hippocampus
during associative retrieval.
AB - When encountering stimuli that vary slightly from previous experiences, neural
signals within the CA3 and dentate gyrus (CA3 DG) hippocampal subfields are
thought to facilitate mnemonic discrimination, whereas CA1 may be less sensitive
to minor stimulus changes, allowing for generalization across similar events.
Studies have also posited a critical role for CA1 in the comparison of events to
memory-derived expectations, but the degree to which these processes are impacted
by explicit retrieval demands is yet unclear. To evaluate extant accounts of
hippocampal subfield function, we acquired high-resolution fMRI data as
participants performed a task in which famous names were used to cue the
retrieval of previously paired images. Although both left CA3 DG and CA1 showed
match enhancement effects, responding more to original paired images (targets)
than to never-before-seen images (novels), the sensitivity of these subfields to
stimulus changes and task demands diverged. CA3 DG showed a goal-independent, yet
highly specific, preference for previously encountered stimuli, responding
equally strongly to targets and mispaired associates, while showing equally weak
responses to close lures and novels. In contrast, recognition signals in CA1 were
goal-dependent (i.e., not evoked by mispaired associates), yet accommodating of
subtle stimulus differences, such that close lures evoked comparable activity as
targets. (c) 2016 Wiley Periodicals, Inc.
PMID- 27863444
TI - AV-1451 tau and beta-amyloid positron emission tomography imaging in dementia
with Lewy bodies.
AB - OBJECTIVE: Patients with probable dementia with Lewy bodies (DLB) often have
Alzheimer's disease (AD)-related pathology. Our objective was to determine the
pattern of positron emission tomography (PET) tau tracer AV-1451 uptake in
patients with probable DLB, compared to AD, and its relationship to beta-amyloid
deposition on PET. METHODS: Consecutive patients with clinically probable DLB (n
= 19) from the Mayo Clinic Alzheimer's Disease Research Center underwent magnetic
resonance imaging, AV-1451, and Pittsburgh compound-B (PiB) PET examinations. Age
and sex-matched groups of AD dementia (n = 19) patients and clinically normal
controls (n = 95) from an epidemiological cohort served as a comparison groups.
Atlas- and voxel-based analyses were performed. RESULTS: The AD dementia group
had significantly higher AV-1451 uptake than the probable DLB group, and medial
temporal uptake completely distinguished AD dementia from probable DLB. Patients
with probable DLB had greater AV-1451 uptake in the posterior temporoparietal and
occipital cortex compared to clinically normal controls, and in probable DLB, the
uptake in these regions correlated with global cortical PiB uptake (Spearman rho
= 0.63; p = 0.006). INTERPRETATION: Medial temporal lobe AV-1451 uptake
distinguishes AD dementia from probable DLB, which may be useful for differential
diagnosis. Elevated posterior temporoparietal and occipital AV-1451 uptake in
probable DLB and its association with global cortical PiB uptake suggest an
atypical pattern of tau deposition in DLB. ANN NEUROL 2017;81:58-67.
PMID- 27863446
TI - Glutathione transferase P1-1 as an arsenic drug-sequestering enzyme.
AB - Arsenic-based compounds are paradoxically both poisons and drugs. Glutathione
transferase (GSTP1-1) is a major factor in resistance to such drugs. Here we
describe using crystallography, X-ray absorption spectroscopy, mutagenesis, mass
spectrometry, and kinetic studies how GSTP1-1 recognizes the drug phenylarsine
oxide (PAO). In conditions of cellular stress where glutathione (GSH) levels are
low, PAO crosslinks C47 to C101 of the opposing monomer, a distance of 19.9 A,
and causes a dramatic widening of the dimer interface by approximately 10 A. The
GSH conjugate of PAO, which forms rapidly in cancerous cells, is a potent
inhibitor (Ki = 90 nM) and binds as a di-GSH complex in the active site forming
part of a continuous network of interactions from one active site to the other.
In summary, GSTP1-1 can detoxify arsenic-based drugs by sequestration at the
active site and at the dimer interface, in situations where there is a plentiful
supply of GSH, and at the reactive cysteines in conditions of low GSH.
PMID- 27863447
TI - Hepatitis C virus infection triggers a tumor-like glutamine metabolism.
AB - : Chronic infection with hepatitis C virus (HCV) is one of the main causes of
hepatocellular carcinoma. However, the molecular mechanisms linking the infection
to cancer development remain poorly understood. Here we used HCV-infected cells
and liver biopsies to study how HCV modulates the glutaminolysis pathway, which
is known to play an important role in cellular energetics, stress defense, and
neoplastic transformation. Transcript levels of glutaminolytic factors were
quantified in Huh7.5 cells or primary human hepatocytes infected with the
Japanese fulminant hepatitis 1 HCV strain as well as in biopsies of chronic HCV
patients. Nutrient deprivation, biochemical analysis, and metabolite
quantification were performed with HCV-infected Huh7.5 cells. Furthermore, short
hairpin RNA vectors and small molecule inhibitors were used to investigate the
dependence of HCV replication on metabolic changes. We show that HCV modulates
the transcript levels of key enzymes of glutamine metabolism in vitro and in
liver biopsies of chronic HCV patients. Consistently, HCV infection increases
glutamine use and dependence. We finally show that inhibiting glutamine
metabolism attenuates HCV infection and the oxidative stress associated with HCV
infection. CONCLUSION: Our data suggest that HCV establishes glutamine
dependence, which is required for viral replication, and, importantly, that
glutamine addiction is a hallmark of tumor cells. While HCV induces
glutaminolysis to create an environment favorable for viral replication, it
predisposes the cell to transformation. Glutaminolytic enzymes may be interesting
therapeutic targets for prevention of hepatocarcinogenesis in chronic hepatitis
C. (Hepatology 2017;65:789-803).
PMID- 27863448
TI - Lipid zonation and phospholipid remodeling in nonalcoholic fatty liver disease.
AB - : Nonalcoholic fatty liver disease (NAFLD) can progress from simple steatosis
(i.e., nonalcoholic fatty liver [NAFL]) to nonalcoholic steatohepatitis (NASH),
cirrhosis, and cancer. Currently, the driver for this progression is not fully
understood; in particular, it is not known how NAFLD and its early progression
affects the distribution of lipids in the liver, producing lipotoxicity and
inflammation. In this study, we used dietary and genetic mouse models of NAFL and
NASH and translated the results to humans by correlating the spatial distribution
of lipids in liver tissue with disease progression using advanced mass
spectrometry imaging technology. We identified several lipids with distinct zonal
distributions in control and NAFL samples and observed partial to complete loss
of lipid zonation in NASH. In addition, we found increased hepatic expression of
genes associated with remodeling the phospholipid membrane, release of
arachidonic acid (AA) from the membrane, and production of eicosanoid species
that promote inflammation and cell injury. The results of our
immunohistochemistry analyses suggest that the zonal location of remodeling
enzyme LPCAT2 plays a role in the change in spatial distribution for AA
containing lipids. This results in a cycle of AA-enrichment in pericentral
hepatocytes, membrane release of AA, and generation of proinflammatory
eicosanoids and may account for increased oxidative damage in pericentral regions
in NASH. CONCLUSION: NAFLD is associated not only with lipid enrichment, but also
with zonal changes of specific lipids and their associated metabolic pathways.
This may play a role in the heterogeneous development of NAFLD. (Hepatology
2017;65:1165-1180).
PMID- 27863449
TI - IL6-mediated inflammatory loop reprograms normal to epithelial-mesenchymal
transition+ metastatic cancer stem cells in preneoplastic liver of transforming
growth factor beta-deficient beta2-spectrin+/- mice.
AB - : Hepatocellular carcinoma (HCC) is the second-leading cause of cancer-related
deaths worldwide with a poor survival rate. As many as 40% of HCCs are clonal,
with alteration of key tumor-suppressor pathways in stem cells as the primary
cause of HCC initiation. However, mechanisms that generate metastatic stem cells
in preneoplastic liver tissue are not well understood. We hypothesized that
chronic inflammation is a major driver of the transformation of genetically
defective liver stem cells (LSCs) into highly metastatic liver cancer cells in
premalignant liver tissue. We developed models of chronic inflammation in wild
type (WT) and beta2-spectrin (beta2SP)+/- (SPTBN1) mice. CD133+ LSCs derived from
preneoplastic livers of beta2SP+/- mice treated with interleukin-6 (pIL6; IL6
beta2SP+/- LSCs) were highly tumorigenic and metastatic, whereas those derived
from WT mice treated with pIL6 (IL6 WT LSCs) had significantly less proliferation
and no tumorigenic properties. IL6 beta2SP+/- LSCs not only exhibited nuclear
localization of Twist and Slug, markers of epithelial-mesenchymal transition
(EMT), but also constitutive activation of nuclear factor kappa B (NFkappaB;
RELA). Knockdown of NFkappaB decreased the EMT phenotypes and metastatic capacity
of these cells. NFkappaB in IL6 beta2SP+/- LSCs was activated by transforming
growth factor beta (TGFbeta)-activated kinase 1 (TAK1; MAP3K7), which is
associated with poor survival in HCC and interleukin-6 (IL6) expression. The
amount of constitutively activated NFkappaB increased dramatically from normal to
cirrhotic to HCC tissues from human patients. CONCLUSION: IL6-mediated
inflammation programs constitutive activation of the TAK1-NFkappaB signaling
cascade in CD133+ LSCs, and this program interacts with deficient TGFbeta
signaling, thereby accelerating the transformation of normal LSCs to metastatic
cancer stem cells (mCSCs). Indeed, this study delineates the development of EMT
positive mCSCs in HCC-free liver tissue upon chronic inflammation. (Hepatology
2017;65:1222-1236).
PMID- 27863451
TI - Cerebral white matter abnormalities in patients with charcot-marie-tooth disease.
AB - Here, we report the structural evidence of cerebral white matter abnormalities in
Charcot-Marie-Tooth (CMT) patients and the relationship between these
abnormalities and clinical disability. Brain diffusion tensor imaging (DTI) was
performed in CMT patients with demyelinating (CMT1A/CMT1E), axonal (CMT2A/CMT2E),
or intermediate (CMTX1/DI-CMT) peripheral neuropathy. Although all patients had
normal brain magnetic resonance imaging, all genetic subgroups except CMT1A had
abnormal DTI findings indicative of significant cerebral white matter
abnormalities: decreased fractional anisotropy and axial diffusivity, and
increased radial diffusivity. DTI abnormalities were correlated with clinical
disability, suggesting that there is comorbidity of central nervous system damage
with peripheral neuropathy in CMT patients. ANN NEUROL 2017;81:147-151.
PMID- 27863452
TI - Mutation in noncoding RNA RNU12 causes early onset cerebellar ataxia.
AB - OBJECTIVE: Exome sequences account for only 2% of the genome and may overlook
mutations causing disease. To obtain a more complete view, whole genome
sequencing (WGS) was analyzed in a large consanguineous family in which members
displayed autosomal recessively inherited cerebellar ataxia manifesting before 2
years of age. METHODS: WGS from blood-derived genomic DNA was used for
homozygosity mapping and a rare variant search. RNA from isolated blood
leukocytes was used for quantitative polymerase chain reaction (PCR), RNA
sequencing, and comparison of the transcriptomes of affected and unaffected
family members. RESULTS: WGS revealed a point mutation in noncoding RNA RNU12
that was associated with early onset cerebellar ataxia. The U12-dependent minor
spliceosome edits 879 known transcripts. Reverse transcriptase PCR demonstrated
minor intron retention in all of 9 randomly selected RNAs from this group, and
RNAseq showed splicing disruption specific to all U12-type introns detected in
blood monocytes from affected individuals. Moreover, 144 minor intron-containing
RNAs were differentially expressed, including transcripts for 3 genes previously
associated with cerebellar neurodegeneration. INTERPRETATION: Interference with
particular spliceosome components, including small nuclear RNAs, cause
reproducible uniquely distributed phenotypic and transcript-specific effects,
making this an important category of disease-associated mutation. Our approach to
differential expression analysis of minor intron-containing genes is applicable
to other diseases involving altered transcriptome processing. ANN NEUROL
2017;81:68-78.
PMID- 27863450
TI - Interaction network of the ribosome assembly machinery from a eukaryotic
thermophile.
AB - Ribosome biogenesis in eukaryotic cells is a highly dynamic and complex process
innately linked to cell proliferation. The assembly of ribosomes is driven by a
myriad of biogenesis factors that shape pre-ribosomal particles by processing and
folding the ribosomal RNA and incorporating ribosomal proteins. Biochemical
approaches allowed the isolation and characterization of pre-ribosomal particles
from Saccharomyces cerevisiae, which lead to a spatiotemporal map of biogenesis
intermediates along the path from the nucleolus to the cytoplasm. Here, we cloned
almost the entire set (~180) of ribosome biogenesis factors from the thermophilic
fungus Chaetomium thermophilum in order to perform an in-depth analysis of their
protein-protein interaction network as well as exploring the suitability of these
thermostable proteins for structural studies. First, we performed a systematic
screen, testing about 80 factors for crystallization and structure determination.
Next, we performed a yeast 2-hybrid analysis and tested about 32,000 binary
combinations, which identified more than 1000 protein-protein contacts between
the thermophilic ribosome assembly factors. To exemplary verify several of these
interactions, we performed biochemical reconstitution with the focus on the
interaction network between 90S pre-ribosome factors forming the ctUTP-A and
ctUTP-B modules, and the Brix-domain containing assembly factors of the pre-60S
subunit. Our work provides a rich resource for biochemical reconstitution and
structural analyses of the conserved ribosome assembly machinery from a
eukaryotic thermophile.
PMID- 27863453
TI - A new class of hepatitis B and D virus entry inhibitors, proanthocyanidin and its
analogs, that directly act on the viral large surface proteins.
AB - : Introduction of direct-acting antivirals against hepatitis C virus (HCV) has
provided a revolutionary improvement in the treatment outcome. In contrast to
HCV, however, the strategy for developing new antiviral agents against hepatitis
B virus (HBV), especially viral-targeting compounds, is limited because HBV
requires only four viral genes for its efficient replication/infection. Here, we
identify an oligomeric flavonoid, proanthocyanidin (PAC) and its analogs, which
inhibit HBV entry into host cells by targeting the HBV large surface protein
(LHBs). Through cell-based chemical screening, PAC was identified to inhibit HBV
infection with little cytotoxic effect. PAC prevented the attachment of the preS1
region in the LHBs to its cellular receptor, sodium taurocholate cotransporting
polypeptide (NTCP). PAC was shown to target HBV particles and impair their
infectivity, whereas it did not affect the NTCP-mediated bile acid transport
activity. Chemical biological techniques demonstrated that PAC directly
interacted with the region essential for receptor binding in the preS1 region in
the LHBs protein. Importantly, PAC had a pan-genotypic anti-HBV activity and was
also effective against a clinically relevant nucleoside analog-resistant HBV
isolate. We further showed that PAC augmented the ability of a nucleoside analog,
tenofovir, to interrupt HBV spread over time in primary human hepatocytes by
cotreatment. Moreover, derivative analysis could identify small molecules that
demonstrated more-potent anti-HBV activity over PAC. CONCLUSION: PAC and its
analogs represent a new class of anti-HBV agents that directly target the preS1
region of the HBV large surface protein. These agents could contribute to the
development of a potent, well-tolerated, and broadly active inhibitor of HBV
infection. (Hepatology 2017;65:1104-1116).
PMID- 27863454
TI - Sensory organisation and reactive balance control of amateur rugby players: A
cross-sectional study.
AB - PURPOSE: This study compared the sensory organisation and reactive balance
control of amateur rugby players and a control group. METHODS: Forty-one amateur
rugby players (22 males: 19 females; mean height +/- SD = 168.8 +/- 8.8 cm; mean
weight +/- SD = 63.9 +/- 12.5 kg) and 31 control participants (22 males: 9
females; mean height +/- SD = 171.5 +/- 10.3 cm; mean weight +/- SD = 63.8 +/-
10.3 kg) completed the study. Their sensory organisation and standing balance
performance were evaluated using a sensory organisation test (SOT), and their
reactive balance performance was quantified using a motor control test (MCT). The
SOT equilibrium scores (ES) and sensory ratios and the MCT motor response
latencies were the major outcome measures. RESULTS: The results revealed that
compared to the controls, amateur rugby players had lower SOT ESs under different
sensory environments (P < .001, [Formula: see text] = 0.142-0.254) and prolonged
reactive motor response times in the MCT (P < .001, d = 0.890). The vestibular
and visual ratios were also lower in the rugby group (P = .005, [Formula: see
text] = 0.107 and 0.108, respectively). No significant difference was found in
the somatosensory ratio (P = .853, [Formula: see text] < 0.001) between the two
groups. CONCLUSIONS: Amateur rugby players demonstrated inferior standing balance
performance compared to their non-trained counterparts. They relied less heavily
on vestibular and visual inputs to maintain standing balance under different
sensory environments. In addition, they reacted more slowly to postural
disturbance, reflecting their suboptimal reactive balance ability in standing.
PMID- 27863455
TI - What Did We Learn from the Animal Studies of Body Weight-Supported Treadmill
Training and Where Do We Go from Here?
AB - Body weight-supported treadmill training (BWSTT) developed from animal studies of
spinal cord injury (SCI). Evidence that spinal cats (i.e., cats that have a
complete surgical transection of the cord) could regain the ability to step on a
moving treadmill indicated a vast potential for spinal circuits to generate
walking without the brain. BWSTT represented a means to unlock that potential. As
the technique was adapted as a rehabilitation intervention for humans with SCI,
shortcomings in the translation to walking in the real world were exposed.
Evidence that BWSTT has not been as successful for humans with SCI leads us to
revisit key animal studies. In this short review, we describe the task-specific
nature of BWSTT and discuss how this specificity may pose limits on the recovery
of overground walking. Also discussed are more recent studies that have
introduced new strategies and tools that adapt BWSTT ideas to more functionally
relevant tasks. We introduce a new device for weight-supported overground walking
in rats called Circular BART (Body weight supported Ambulatory Rat Trainer) and
demonstrate that it is relatively easy and inexpensive to produce. Future animal
studies will benefit from the development of simple tools that facilitate
training and testing of overground walking.
PMID- 27863456
TI - A microplate reader-based method to quantify NADH-cytochrome b5 reductase
activity for diagnosis of recessive congenital methaemoglobinemia.
AB - OBJECTIVES: Congenital methemoglobinemia due to NADH-cytochrome b5 reductase 3
(CYB5R3) deficiencies is an autosomal recessive disorder that occurs sporadically
worldwide, A sensitive, accurate, and rapid analysis of NADH-CYB5R enzyme
concentrations is necessary for the diagnosis of RCM. Here we present an
alternative microplate method that is based on a standard 96-well microplate
format and microplate reader that simplify the quantification of NADH-CYB5R
activity. METHODS: TECAN (Infinite 200 PRO series) microplate reader with Tecan's
proven MagellanTM software measured the NADH-CYB5R enzyme activity in 250 normal
controls and previously diagnosed 25 cases of RCM due to NADH-CYB5R deficiency in
the Indian population using 96-well microplates using 200 MUl of total reaction
mixture and also compared with standard spectrophotometric assay. We have also
studied stability of the hemolysate stored at 4 and -20 degrees C temperature.
RESULTS AND DISCUSSION: Enzyme activity in all 25 samples ranged from 6.09 to
10.07 IU/g Hb (mean +/- SD: 8.08 +/- 1.99 IU/g Hb) where as normal control ranged
(n = 250) between 13.42 and 21.58 IU/g Hb) (mean +/- SD: 17.5 +/- 4.08 IU/g of
Hb). Data obtained from the microplate reader were compared with standard
spectrophotometer method and found 100% concordance using both methods.
Microplate method allows differentiating between normal, deficient and
intermediate enzyme activity. It was observed that samples had significant loss
of activity when stored at 4 degrees C and retained stable activity at -20
degrees C for 1 week time. CONCLUSION: Our new method, incorporating a whole
process of enzyme assay into a microplate format is readily applicable and allows
rapid monitoring of enzyme assay. It is readily applicable to quantitative assay
on pediatric sample as well as large number of samples for population screening.
PMID- 27863457
TI - The effects of high resistance-few repetitions and low resistance-high
repetitions resistance training on climbing performance.
AB - The aim of the study was to compare the effects of different strength training
intensities on climbing performance, climbing-specific tests and a general
strength test. Thirty lower grade and intermediate-level climbers participated in
a 10-week training programme. The participants were randomized into three groups:
high resistance-few repetitions training groups (HR-FR), low resistance-high
repetitions training groups (LR-HR) and a control group (CON) which continued
climbing/training as usual. Post-testing results demonstrated statistical
tendencies for climbing performance improvements in the HR-FR and LR-HR (p =
0.088-0.090, effect size = 0.55-0.73), but no differences were observed between
the groups (p = 0.950). For the climbing-specific tests, no differences were
observed between the groups (p = 0.507-1.000), but the HR-FR and LR-HR improved
their time in both Dead-hang (p = 0.004-0.026) and Bent-arm hang (p < 0.001
0.002). The HR-FR and LR-HR improved their 12RM strength in pull-down (p <=
0.001), but not the CON group (p = 0.250). No differences were observed in the
CON group in any of the tests (p = 0.190-0.596) with the exception of improvement
in Bent-arm Hang (p = 0.018). The training groups reduced their climbing sessions
during the intervention compared to the CON group (p = 0.057-0.074). In
conclusion, HR-FR and LR-HR training programmes demonstrated an 11% and 12% non
significant improvement in climbing performance despite a 50% reduction in
climbing sessions, but improved the results in strength and climbing-specific
tests. None of the training intensities was superior compared to the others.
PMID- 27863458
TI - Benchtop and Initial Clinical Evaluation of the ShockPulse Stone Eliminator in
Percutaneous Nephrolithotomy.
AB - PURPOSE: Standardized bench testing of the new ShockPulseTM intracorporeal
lithotripter was performed against three commercially available lithotripsy
systems to determine differences and nuances in performance. MATERIALS AND
METHODS: The ShockPulse intracorporeal lithotripter was tested against the LUS
2TM, CyberWand,TM and EMS LithoClastTM in a standardized bench setting using hard
(Ultracal-30) and soft (plaster of Paris) stone phantoms. An in vitro kidney
model was used to record the time needed to fragment stone samples into
retrievable-sized pieces. The time needed to fully comminute and evacuate stone
samples was also recorded. The efficacy of each device at various applied
pressures was determined using a hands-free apparatus, which was used to apply
1.0, 1.5, and 2.0 pounds of fixed force. RESULTS: For hard and soft stones, the
time needed to create retrievable fragments was similar among all systems (p =
0.585). The ShockPulse was significantly faster than the LUS-2 and LithoClast at
fully fragmenting and evacuating stone samples (p = 0.046), while the CyberWand
was significantly slower than all three systems at this task (p = 0.001). When
fixed forces were applied to a large stone phantom, the ShockPulse and CyberWand
were significantly faster than the LUS-2 and LithoClast (p < 0.0001). When groups
of smaller stones were tested, the ShockPulse was significantly faster at 1.0
pound (p < 0.001) and 1.5 pounds (p < 0.002) of force. At 2.0 pounds, no
differences were observed (p = 0.09). CONCLUSIONS: The ShockPulse is equally as
effective and, in some circumstances, more effective than the three commercially
available devices against which it was tested in an in vitro setting.
PMID- 27863460
TI - The effects of a three-year integrated Olympic education programme on
adolescents' prosocial behaviours.
AB - The concept of Olympic education and its use of moral education to shape the
development of personality have received insufficient empirical support. The aim
of this study was to examine the effects of an integrated Olympic education
programme on the development of prosocial behaviour in adolescents. A natural
experimental research design was applied in this study. The pre-test sample
included 411 adolescents (aged 13-14) who were randomly selected from schools
that had begun to apply an integrated Olympic education programme, along with 430
adolescents from schools without an Olympic education programme. The post-test
sample included 381 students from schools implementing the Olympic education
programme and 402 students from schools without an Olympic education programme.
The revised prosocial tendencies measure was administered to the participants at
pre- and post-test time points to assess changes in prosocial behaviour and
specifically on six types of prosocial behaviour: public, anonymous, dire,
emotional, compliant, and altruistic. The analyses showed significant
improvements in prosocial behaviour in adolescents from schools that had
implemented an integrated Olympic education programme. Changes in prosocial
behaviour following the implementation of an integrated Olympic education
programme were observed for the compliant, altruistic, and dire types of
prosocial behaviour. In conclusion, these findings suggest that an integrated
Olympic education programme effectively encourages prosocial behaviour in
adolescents. This study expands our understanding of the efficiency of
implementing an Olympic education programme in schools. We suggest that future
research should investigate the behavioural changes in students of different ages
from perspective of both teachers and students.
PMID- 27863459
TI - Properties of Human Embryonic Stem Cells and Their Differentiated Derivatives
Depend on Nonhistone DNA-Binding HMGB1 and HMGB2 Proteins.
AB - HMGB1 and HMGB2 proteins have been implicated in numerous cellular processes,
including proliferation, differentiation, apoptosis, and tumor growth. It is
unknown whether they are involved in regulating the typical functions of
pluripotent human embryonic stem cells (hESCs) and/or those of the differentiated
derivatives of hESCs. Using inducible, stably transfected hESCs capable of shRNA
mediated knockdown of HMGB1 and HMGB2, we provide evidence that downregulation of
HMGB1 and/or HMGB2 in undifferentiated hESCs does not affect the stemness of
cells and induces only minor changes to the proliferation rate, cell-cycle
profile, and apoptosis. After differentiation is induced, however, the
downregulation of those proteins has important effects on proliferation,
apoptosis, telomerase activity, and the efficiency of differentiation toward the
neuroectodermal lineage. Furthermore, those processes are affected only when one,
but not both, of the two proteins is downregulated; the knockdown of both HMGB1
and HMGB2 results in a normal phenotype. Those results advance our knowledge of
regulation of hESC and human neuroectodermal cell differentiation and illustrate
the distinct roles of HMGB1 and HMGB2 during early human development.
PMID- 27863462
TI - Liver abscess and bacteremia caused by lactobacillus: role of probiotics? Case
report and review of the literature.
AB - BACKGROUND: Lactobacilli are non-spore forming, lactic acid producing, gram
positive rods. They are a part of the normal gastrointestinal and genitourinary
microbiota and have rarely been reported to be the cause of infections.
Lactobacilli species are considered non-pathogenic organisms and have been used
as probiotics to prevent antibiotic associated diarrhea. There are sporadic
reported cases of infections related to lactobacilli containing probiotics. CASE
PRESENTATION: In this paper we discuss a case of an 82 year old female with liver
abscess and bacteremia from lactobacillus after using probiotics containing
lactobacilli in the course of her treatment of Clostridium difficile colitis. The
Lactobacillus strain identification was not performed and therefore, both
commensal microbiota and the probiotic product should be considered as possible
sources of the strain. CONCLUSION: Lactobacilli can lead to bacteremia and liver
abscesses in some susceptible persons and greater awareness of this potential
side effect is warranted with the increasing use of probiotics containing
lactobacilli.
PMID- 27863461
TI - Pooled genome wide association detects association upstream of FCRL3 with Graves'
disease.
AB - BACKGROUND: Graves' disease is an autoimmune thyroid disease of complex
inheritance. Multiple genetic susceptibility loci are thought to be involved in
Graves' disease and it is therefore likely that these can be identified by genome
wide association studies. This study aimed to determine if a genome wide
association study, using a pooling methodology, could detect genomic loci
associated with Graves' disease. RESULTS: Nineteen of the top ranking single
nucleotide polymorphisms including HLA-DQA1 and C6orf10, were clustered within
the Major Histo-compatibility Complex region on chromosome 6p21, with rs1613056
reaching genome wide significance (p = 5 * 10-8). Technical validation of top
ranking non-Major Histo-compatablity complex single nucleotide polymorphisms with
individual genotyping in the discovery cohort revealed four single nucleotide
polymorphisms with p <= 10-4. Rs17676303 on chromosome 1q23.1, located upstream
of FCRL3, showed evidence of association with Graves' disease across the
discovery, replication and combined cohorts. A second single nucleotide
polymorphism rs9644119 downstream of DPYSL2 showed some evidence of association
supported by finding in the replication cohort that warrants further study.
CONCLUSIONS: Pooled genome wide association study identified a genetic variant
upstream of FCRL3 as a susceptibility locus for Graves' disease in addition to
those identified in the Major Histo-compatibility Complex. A second locus
downstream of DPYSL2 is potentially a novel genetic variant in Graves' disease
that requires further confirmation.
PMID- 27863463
TI - The ChIP-Seq tools and web server: a resource for analyzing ChIP-seq and other
types of genomic data.
AB - BACKGROUND: ChIP-seq and related high-throughput chromatin profilig assays
generate ever increasing volumes of highly valuable biological data. To make
sense out of it, biologists need versatile, efficient and user-friendly tools for
access, visualization and itegrative analysis of such data. RESULTS: Here we
present the ChIP-Seq command line tools and web server, implementing basic
algorithms for ChIP-seq data analysis starting with a read alignment file. The
tools are optimized for memory-efficiency and speed thus allowing for processing
of large data volumes on inexpensive hardware. The web interface provides access
to a large database of public data. The ChIP-Seq tools have a modular and
interoperable design in that the output from one application can serve as input
to another one. Complex and innovative tasks can thus be achieved by running
several tools in a cascade. CONCLUSIONS: The various ChIP-Seq command line tools
and web services either complement or compare favorably to related bioinformatics
resources in terms of computational efficiency, ease of access to public data and
interoperability with other web-based tools. The ChIP-Seq server is accessible at
http://ccg.vital-it.ch/chipseq/ .
PMID- 27863464
TI - Low wall shear stress is associated with the rupture of intracranial aneurysm
with known rupture point: case report and literature review.
AB - BACKGROUND: Few previous hemodynamic studies demonstrated the detailed features
of rupture point of intracranial aneurysms. The hemodynamic simulation for the
case that ruptured during angiography was even rare. In the present study, we
studied the hemodynamic characteristics of a posterior communicating artery
segment aneurysm that ruptured during angiography and detailed the hemodynamic
features at the rupture point. CASE PRESENTATION: One 64-years-patient was 60-69
years old and suffered a subarachnoid hemorrhage within 24 h. Standard digital
subtraction angiography and three-dimensional (3D) rotational angiography were
performed and an 8 mm left posterior communicating artery segment aneurysm was
found. The patient had a seizure immediately following 3D angiography for about
40 s and the immediate follow-up angiography showed contrast extravasation from
the tip of identified aneurysms. The consequent vital sign of the patient became
unstable. Urgent embolization under general anesthesia was planned, but the
relatives refused interventional operation considering the high risk of procedure
and poor condition of the patient. The computational fluid dynamic (CFD) method
was used to evaluate the hemodynamic characteristics at rupture point, and the
results showed that the rupture point was associated with markedly low wall shear
stress and high oscillatory shear index without flow impingement. CONCLUSIONS: We
present a rare case of which the rupture site was identified during angiography.
The hemodynamic simulations revealed that the rupture point was associated with
markedly low WSS and high OSI without flow impingement. The result may be unique
to this particular aneurysm; however, our findings provide insight into the
hemodynamics of rupture point.
PMID- 27863465
TI - Bacillus species (BT42) isolated from Coffea arabica L. rhizosphere antagonizes
Colletotrichum gloeosporioides and Fusarium oxysporum and also exhibits multiple
plant growth promoting activity.
AB - BACKGROUND: Colletotrichum and Fusarium species are among pathogenic fungi widely
affecting Coffea arabica L., resulting in major yield loss. In the present study,
we aimed to isolate bacteria from root rhizosphere of the same plant that is
capable of antagonizing Colletotrichum gloeosporioides and Fusarium oxysporum as
well as promotes plant growth. RESULTS: A total of 42 Bacillus species were
isolated, one of the isolates named BT42 showed maximum radial mycelial growth
inhibition against Colletotrichum gloeosporioides (78%) and Fusarium oxysporum
(86%). BT42 increased germination of Coffee arabica L. seeds by 38.89%, decreased
disease incidence due to infection of Colletotrichum gloeosporioides to 2.77% and
due to infection of Fusarium oxysporum to 0 (p < 0.001). The isolate BT42 showed
multiple growth-promoting traits. The isolate showed maximum similarity with
Bacillus amyloliquefaciens. CONCLUSION: Bacillus species (BT42), isolated in the
present work was found to be capable of antagonizing the pathogenic effects of
Colletotrichum gloeosporioides and Fusarium oxysporum. The mechanism of action of
inhibition of the pathogenic fungi found to be synergistic effects of secondary
metabolites, lytic enzymes, and siderophores. The major inhibitory secondary
metabolite identified as harmine (beta-carboline alkaloids).
PMID- 27863466
TI - Brachial-ankle pulse wave velocity and metabolic syndrome in general population:
the APAC study.
AB - BACKGROUND: Metabolic syndrome (MetS) is correlated with arterial stiffness and
can be evaluated by brachial-ankle pulse wave velocity (baPWV). We investigated
potential associations between MetS and baPWV in a Chinese community population.
METHODS: The community-based Asymptomatic Polyvascular Abnormalities in Community
study examined asymptomatic polyvascular abnormalities in a Chinese population
aged >=40 years. The relationship between MetS and its components and baPWV was
analyzed by multivariate logistic and linear regression models. RESULTS: Out of
5181 study participants, 1271 subjects (24.53%) had MetS. Mean values of baPWV in
subjects with 0, 1, 2,3, 4, and 5 components of MetS were 1430, 1526, 1647,
1676,1740, and 1860 cm/s, respectively (p < 0.001 for trend). After adjusting for
confounding risk factors, MetS was significantly associated with baPWV (odds
ratio [OR]: 2.74; 95% CI: 2.28, 3.30). Among the five components of MetS,
elevated blood pressure was the most important factor for baPWV. All models of
multivariate linear regression analysis showed a significant positive correlation
between the increasing numbers of MetS components and baPWV (p < 0.0001).
CONCLUSIONS: baPWV was associated with MetS and was greater with increasing
numbers of MetS components. Elevated blood pressure was the most important factor
for baPWV.
PMID- 27863467
TI - Microarray analysis reveals a potential role of LncRNAs expression in cardiac
cell proliferation.
AB - BACKGROUND: Long non-coding RNAs (LncRNAs) have been identified to play important
roles in epigenetic processes that underpin organogenesis. However, the role of
LncRNAs in the regulation of transition from fetal to adult life of human heart
has not been evaluated. METHODS: Immunofiuorescent staining was used to determine
the extent of cardiac cell proliferation. Human LncRNA microarrays were applied
to define gene expression signatures of the fetal (13-17 weeks of gestation, n =
4) and adult hearts (30-40 years old, n = 4). Pathway analysis was performed to
predict the function of differentially expressed mRNAs (DEM). DEM related to cell
proliferation were selected to construct a lncRNA-mRNA co-expression network.
Eight lncRNAs were confirmed by quantificational real-time polymerase chain
reaction (n = 6). RESULTS: Cardiac cell proliferation was significant in the
fetal heart. Two thousand six hundred six lncRNAs and 3079 mRNAs were found to be
differentially expressed. Cell cycle was the most enriched pathway in down
regulated genes in the adult heart. Eight lncRNAs (RP11-119 F7.5, AX747860,
HBBP1, LINC00304, TPTE2P6, AC034193.5, XLOC_006934 and AL833346) were predicted
to play a central role in cardiac cell proliferation. CONCLUSIONS: We discovered
a profile of lncRNAs differentially expressed between the human fetal and adult
heart. Several meaningful lncRNAs involved in cardiac cell proliferation were
disclosed.
PMID- 27863468
TI - The changing epidemiology of bacillary dysentery and characteristics of
antimicrobial resistance of Shigella isolated in China from 2004-2014.
AB - BACKGROUND: Bacillary dysentery caused by bacteria of the genus Shigella is a
significant public health problem in developing countries such as China. The
objective of this study was to analyze the epidemiological pattern of bacillary
dysentery, the diversity of the causative agent, and the antimicrobial resistance
patterns of Shigella spp. for the purpose of determining the most effective
allocation of resources and prioritization of interventions. METHODS:
Surveillance data were acquired from the National Infectious Disease Information
Reporting System (2004-2014) and from the sentinel hospital-based surveillance
system (2005-2014). We analyzed the spatial and temporal distribution of
bacillary dysentery, age and sex distribution, species diversity, and
antimicrobial resistance patterns of Shigella spp. RESULTS: The surveillance
registry included over 3 million probable cases of bacillary dysentery during the
period 2004-2014. The annual incidence rate of bacillary dysentery decreased from
38.03 cases per 100,000 person-years in 2004 to 11.24 cases per 100,000 person
years in 2014. The case-fatality rate decreased from 0.028% in 2004 to 0.003% in
2014. Children aged <1 year and 1-4 years were most affected, with higher
incidence rates (228.59 cases per 100,000 person-years and 92.58 cases per
100,000 person-years respectively). The annual epidemic season occurred between
June and September. A higher incidence rate of bacillary dysentery was found in
the Northwest region, Beijing and Tianjin during the study period. Shigella
flexneri was the most prevalent species that caused bacillary dysentery in China
(63.86%), followed by Shigella sonnei (34.89%). Shigella isolates were highly
resistant to nalidixic acid (89.13%), ampicillin (88.90%), tetracycline (88.43%),
and sulfamethoxazole (82.92%). During the study period, isolates resistant to
ciprofloxacin and cefotaxime increased from 8.53 and 7.87% in 2005 to 44.65 and
29.94% in 2014, respectively. CONCLUSIONS: The incidence rate of bacillary
dysentery has undergone an obvious decrease from 2004 to 2014. Priority
interventions should be delivered to populations in northwest China and to
individuals aged <5 years. Antimicrobial resistance of Shigella is a serious
public health problem and it is important to consider the susceptibility profile
of isolates before determining treatment.
PMID- 27863469
TI - Changes in intraocular pressure values measured with noncontact tonometer (NCT),
ocular response analyzer (ORA) and corvis scheimpflug technology tonometer (CST)
in the early phase after small incision lenticule extraction (SMILE).
AB - BACKGROUND: Corneal biomechanical properties are always compromised after corneal
refractive surgeries thus leading to underestimated intraocular pressure (IOP)
that complicates the management of IOP. We investigated the changes in
postoperative baseline of IOP values measured with noncontact tonometer (NCT),
ocular response analyzer (ORA) and corvis scheimpflug technology (CST) in the
early phase after small incision lenticule extraction (SMILE). METHODS: Twenty
two eyes (-6.76 +/- 1.39D) of 22 moderate and high myopes, (28.36 +/- 7.14 years,
12 male and 10 female) were involved in this prospective study. IOP values were
measured using a non-contact tomometer (NCT-IOP), an ocular response analyzer
(corneal-compensated IOP, IOPcc and Goldmann-correlated IOP, IOPg) and a Corvis
scheimpflug technology tonometer (CST-IOP) preoperatively, at 20 min and 24 h,
postoperatively. Repeated measures analysis of variance (RM-ANOVA), Pearson's
correlation analysis and multiple linear regression models (stepwise) were
performed. Cut-off P values were 0.05. RESULTS: Except for IOPcc, NCT-IOP, IOPg,
and CST-IOP values significantly decreased after SMILE procedure (All P values
<0.05). DeltaCCT, as well as DeltaMRSE and DeltaKm, did not significantly
correlated with DeltaNCT-IOP, DeltaIOPcc, DeltaIOPg or DeltaCST-IOP, (all P
values >0.05). Multiple linear regression models (stepwise) showed that the
practical post-operative IOP value was the main predictor of the theoretical post
operative NCT-IOP, IOPcc and IOPg values (all P values <0.001). The postoperative
applanation time 1 (AT1) value (B = 8.079, t = 4.866, P < 0.001), preoperative
central corneal thickness (CCT) value (B = 0.035, t = 2.732, P = 0.014) and
postoperative peak distance (PD) value (B = 0.515, t = 2.176, P = 0.043) were the
main predictors of the theoretical post-operative CST-IOP value. CONCLUSIONS: IOP
values are underestimated when assessed after SMILE by using NCT-IOP, IOPg and
CST-IOP. The practical postoperative IOPcc value and theoretical post-operative
CST-IOP value may be more preferable for IOP assessment in the early phase after
SMILE. TRIAL REGISTRATION: Current Controlled Trials ChiCTRONRC13003114 .
Retrospectively registered 17 March 2013.
PMID- 27863470
TI - Transcript profiling for early stages during embryo development in Scots pine.
AB - BACKGROUND: Characterization of the expression and function of genes regulating
embryo development in conifers is interesting from an evolutionary point of view.
However, our knowledge about the regulation of embryo development in conifers is
limited. During early embryo development in Pinus species the proembyo goes
through a cleavage process, named cleavage polyembryony, giving rise to four
embryos. One of these embryos develops to a dominant embryo, which will develop
further into a mature, cotyledonary embryo, while the other embryos, the
subordinate embryos, are degraded. The main goal of this study has been to
identify processes that might be important for regulating the cleavage process
and for the development of a dominant embryo. RESULTS: RNA samples from embryos
and megagametophytes at four early developmental stages during seed development
in Pinus sylvestris were subjected to high-throughput sequencing. A total of 6.6
million raw reads was generated, resulting in 121,938 transcripts, out of which
36.106 contained ORFs. 18,638 transcripts were differentially expressed (DETs) in
embryos and megagametophytes. GO enrichment analysis of transcripts up-regulated
in embryos showed enrichment for different cellular processes, while those up
regulated in megagametophytes were enriched for accumulation of storage material
and responses to stress. The highest number of DETs was detected during the
initiation of the cleavage process. Transcripts related to embryogenic
competence, cell wall modifications, cell division pattern, axis specification
and response to hormones and stress were highly abundant and differentially
expressed during early embryo development. The abundance of representative DETs
was confirmed by qRT-PCR analyses. CONCLUSION: Based on the processes identified
in the GO enrichment analyses and the expression of the selected transcripts we
suggest that (i) processes related to embryogenic competence and cell wall
loosening are involved in activating the cleavage process; (ii) apical-basal
polarization is strictly regulated in dominant embryos but not in the subordinate
embryos; (iii) the transition from the morphogenic phase to the maturation phase
is not completed in subordinate embryos. This is the first genome-wide transcript
expression profiling of the earliest stages during embryo development in a Pinus
species. Our results can serve as a framework for future studies to reveal the
functions of identified genes.
PMID- 27863471
TI - Tanshinone IIA combined with adriamycin inhibited malignant biological behaviors
of NSCLC A549 cell line in a synergistic way.
AB - BACKGROUND: The study was designed to develop a platform to verify whether the
extract of herbs combined with chemotherapy drugs play a synergistic role in anti
tumor effects, and to provide experimental evidence and theoretical reference for
finding new effective sensitizers. METHODS: Inhibition of tanshinone IIA and
adriamycin on the proliferation of A549, PC9 and HLF cells were assessed by CCK8
assays. The combination index (CI) was calculated with the Chou-Talalay method,
based on the median-effect principle. Migration and invasion ability of A549
cells were determined by wound healing assay and transwell assay. Flow cytometry
was used to detect the cell apoptosis and the distribution of cell cycles. TUNEL
staining was used to detect the apoptotic cells. Immunofluorescence staining was
used to detect the expression of Cleaved Caspase-3. Western blotting was used to
detect the proteins expression of relative apoptotic signal pathways. CDOCKER
module in DS 2.5 was used to detect the binding modes of the drugs and the
proteins. RESULTS: Both tanshinone IIA and adriamycin could inhibit the growth of
A549, PC9, and HLF cells in a dose- and time-dependent manner, while the
proliferative inhibition effect of tanshinone IIA on cells was much weaker than
that of adriamycin. Different from the cancer cells, HLF cells displayed a
stronger sensitivity to adriamycin, and a weaker sensitivity to tanshinone IIA.
When tanshinone IIA combined with adriamycin at a ratio of 20:1, they exhibited a
synergistic anti-proliferation effect on A549 and PC9 cells, but not in HLF
cells. Tanshinone IIA combined with adriamycin could synergistically inhibit
migration, induce apoptosis and arrest cell cycle at the S and G2 phases in A549
cells. Both groups of the single drug treatment and the drug combination up
regulated the expressions of Cleaved Caspase-3 and Bax, but down-regulated the
expressions of VEGF, VEGFR2, p-PI3K, p-Akt, Bcl-2, and Caspase-3 protein.
Compared with the single drug treatment groups, the drug combination groups were
more statistically significant. The molecular docking algorithms indicated that
tanshinone IIA could be docked into the active sites of all the tested proteins
with H-bond and aromatic interactions, compared with that of adriamycin.
CONCLUSIONS: Tanshinone IIA can be developed as a novel agent in the
postoperative adjuvant therapy combined with other anti-tumor agents, and improve
the sensibility of chemotherapeutics for non-small cell lung cancer with fewer
side effects. In addition, this experiment can not only provide a reference for
the development of more effective anti-tumor medicine ingredients, but also build
a platform for evaluating the anti-tumor effects of Chinese herbal medicines in
combination with chemotherapy drugs.
PMID- 27863472
TI - The extent of using mobility assistive devices can partly explain fatigue among
persons with late effects of polio - a retrospective registry study in Sweden.
AB - BACKGROUND: Fatigue is reported as one of the most disabling symptoms and is
common among persons living with late effects of polio. Although fatigue has been
studied in the context of people living with late effects of polio, there is a
lack of knowledge concerning the association of fatigue and variables of
importance for participation in daily life. Therefore, the aim of this study was
to explore possible factors associated with fatigue among persons with late
effects of polio in Sweden. METHODS: This retrospective registry study consisted
of 89 persons with late effects of polio living in Sweden. Fatigue was measured
with the Multidimensional Fatigue Inventory (MFI-20) scale, Swedish version.
Pearson's correlation coefficient was used to analyse the correlation between the
factors and fatigue, and a multiple linear regression was carried out to explore
factors for fatigue. RESULTS: Fatigue statistically significantly correlated with
age (r = 0.234, p < 0.05) and the use of mobility assistive devices (r = 0.255, p
< 0.05). The multiple linear regression model showed that the factors age (beta =
0.304, p < 0.019) and mobility assistive devices (beta = 0.262, p < 0.017) were
associated with fatigue among persons living with late effects of polio, and the
model partly explained 14% of the variation of fatigue. CONCLUSIONS: Fatigue
could partly be explained by the extent of using mobility assistive devices and
age. Healthcare professionals should provide and demonstrate the importance of
assistive devices to ensure management of fatigue in persons living with late
effects of polio.
PMID- 27863473
TI - ANLN is a prognostic biomarker independent of Ki-67 and essential for cell cycle
progression in primary breast cancer.
AB - BACKGROUND: Anillin (ANLN), an actin-binding protein required for cytokinesis,
has recently been presented as part of a prognostic marker panel in breast
cancer. The objective of the current study was to further explore the prognostic
and functional value of ANLN as a single biomarker in breast cancer. METHODS:
Immunohistochemical assessment of ANLN protein expression was performed in two
well characterized breast cancer cohorts (n = 484) with long-term clinical follow
up data and the results were further validated at the mRNA level in a publicly
available transcriptomics dataset. The functional relevance of ANLN was
investigated in two breast cancer cell lines using RNA interference. RESULTS:
High nuclear fraction of ANLN in breast tumor cells was significantly associated
with large tumor size, high histological grade, high proliferation rate, hormone
receptor negative tumors and poor prognosis in both examined cohorts.
Multivariable analysis showed that the association between ANLN and survival was
significantly independent of age in cohort I and significantly independent of
proliferation, as assessed by Ki-67 expression in tumor cells, age, tumor size,
ER and PR status, HER2 status and nodal status in cohort II. Analysis of ANLN
mRNA expression confirmed that high expression of ANLN was significantly
correlated to poor overall survival in breast cancer patients. Consistent with
the role of ANLN during cytokinesis, transient knock-down of ANLN protein
expression in breast cancer cell lines resulted in an increase of senescent cells
and an accumulation of cells in the G2/M phase of the cell cycle with altered
cell morphology including large, poly-nucleated cells. Moreover, ANLN siRNA
knockdown also resulted in decreased expression of cyclins D1, A2 and B1.
CONCLUSIONS: ANLN expression in breast cancer cells plays an important role
during cell division and a high fraction of nuclear ANLN expression in tumor
cells is correlated to poor prognosis in breast cancer patients, independent of
Ki-67, tumor size, hormone receptor status, HER2 status, nodal status and age.
PMID- 27863475
TI - Spanish modified version of the palliative care outcome scale-symptoms renal:
cross-cultural adaptation and validation.
AB - BACKGROUND: Patients with chronic kidney disease (CKD) have a high symptoms
burden that is related to a poor health-related quality of life (HRQoL) and high
costs of care. Validated instruments may be useful for assessing the symptoms and
monitoring outcomes in these patients. The Palliative care Outcome Scale-Symptoms
Renal (POS-S Renal) is a patient-reported outcome measure for assessing symptoms
in CKD stage 4-5. This study is the first cross-cultural adaptation and
psychometric analysis of this clinical tool. The purpose of this study is to
carry out a cross-cultural adaptation of the POS-S Renal for Spanish-speaking
patients, and to perform an analysis of the psychometric properties of this
questionnaire. METHODS: The English version of the POS-S Renal was culturally
adapted and translated into Spanish using a double forward and backward method.
An expert panel evaluated the content validity. The questionnaire was pilot
tested in 30 patients. A total of 200 patients with CKD stage 4-5 filled in a
modified Spanish version of the POS-S Renal and the MSAS-SF. Statistical analysis
to evaluate the psychometric properties of the questionnaire was carried out.
RESULTS: The content validity index (CVI) was 0.97, which indicated that the
content of the instrument is an adequate reflection of the symptoms in advanced
CKD (ACKD). The factor analysis indicated a two-factor solution explaining 35.05%
of total variance. The confirmatory factor analysis (CFA) demonstrated that the
two factor model was well supported (comparative fit index = 0.98, root mean
square error of approximation = 0.068). This assessment tool demonstrated a
satisfactory test-retest reliability (r = 0.909 to factor 1, r = 0.695 to factor
2, r = 0.887 to total score), good internal consistency to factor 1 (alpha =
0.78) and moderate internal consistency to factor 2 (alpha = 0.56). Concurrent
criterion-related validity with MSAS-SF was also demonstrated, with r = 0.860,
which indicated a high degree of correlation with a validated instrument that has
been used in patients with ACKD. CONCLUSIONS: The Spanish modified version of the
POS-S Renal is a reliable and valid instrument that can be used to assess
symptoms in Spanish patients with CKD stage 4-5.
PMID- 27863474
TI - Hypoxic resistance of KRAS mutant tumor cells to 3-Bromopyruvate is counteracted
by Prima-1 and reversed by N-acetylcysteine.
AB - BACKGROUND: The metabolic inhibitor 3-bromopyruvate (3-BrPA) is a promising anti
cancer alkylating agent, shown to inhibit growth of some colorectal carcinoma
with KRAS mutation. Recently, we demonstrated increased resistance to 3-BrPA in
wt p53 tumor cells compared to those with p53 silencing or mutation. Since
hypoxic microenvironments select for tumor cells with diminished therapeutic
response, we investigated whether hypoxia unequally increases resistance to 3
BrPA in wt p53 MelJuso melanoma harbouring (Q61L)-mutant NRAS and wt BRAF, C8161
melanoma with (G12D)-mutant KRAS (G464E)-mutant BRAF, and A549 lung carcinoma
with a KRAS (G12S)-mutation. Since hypoxia increases the toxicity of the p53
activator, Prima-1 against breast cancer cells irrespective of their p53 status,
we also investigated whether Prima-1 reversed hypoxic resistance to 3-BrPA.
RESULTS: In contrast to the high susceptibility of hypoxic mutant NRAS MelJuso
cells to 3-BrPA or Prima-1, KRAS mutant C8161 and A549 cells revealed hypoxic
resistance to 3-BrPA counteracted by Prima-1. In A549 cells, Prima-1 increased
p21CDKN1mRNA, and reciprocally inhibited mRNA expression of the SLC2A1-GLUT1
glucose transporter-1 and ALDH1A1, gene linked to detoxification and stem cell
properties. 3-BrPA lowered CAIX and VEGF mRNA expression. Death from joint Prima
1 and 3-BrPA treatment in KRAS mutant A549 and C8161 cells seemed mediated by
potentiating oxidative stress, since it was antagonized by the anti-oxidant and
glutathione precursor N-acetylcysteine. CONCLUSIONS: This report is the first to
show that Prima-1 kills hypoxic wt p53 KRAS-mutant cells resistant to 3-BrPA,
partly by decreasing GLUT-1 expression and exacerbating pro-oxidant stress.
PMID- 27863476
TI - Is immunohistochemistry of BRAF V600E useful as a screening tool and during
progression disease of melanoma patients?
AB - BACKGROUND: In clinical practice the gold standard method to assess BRAF status
in patients with metastatic melanoma is based on molecular assays. Recently, a
mutation-specific monoclonal antibody (VE1), which detects the BRAF V600E mutated
protein, has been developed. With this study we aimed to confirm the clinical
value of the VE1 Ventana(r) antibody, as today a univocal validated and
accredited immunohistochemical procedure does not exist, to preliminary detect
BRAF status in our routine diagnostic procedures. Moreover, we explored the
biological meaning of BRAF immunohistochemical labeling both as a predictor
marker of response to target therapy and, for the first time, as a player of
acquired tumor drug resistance. METHODS: We analyzed a retrospective series of 64
metastatic melanoma samples, previously investigated for molecular BRAF status,
using a fully automatized immunohistochemical method. We correlated the data to
the clinicopathologic characteristics of patients and their clinical outcome.
RESULTS: The sensitivity and the specificity of the Ventana(r) VE1 antibody were
89.2 and 96.2% respectively, while the positive predictive value and negative
predictive value were 97.1 and 86.2%, respectively. For six mutated patients the
histological sample before treatment and when disease progressed was available.
The immunohistochemical BRAF V600E expression in the specimens when disease
progressed was less intense and more heterogeneous compared to the basal
expression. Multivariate analysis revealed that a less intense grade of positive
expression is an independent predictor of a less aggressive stage at diagnosis (p
= 0.0413). CONCLUSIONS: Our findings encourage the introduction of
immunohistochemistry as a rapid screening tool for the assessment of BRAF status
in melanoma patients in routine diagnostic procedures and prepare the ground for
other studies to highlight the role of immunohistochemical BRAF V600E expression
in patients at the time of progression.
PMID- 27863477
TI - Keratin 19 as a key molecule in progression of human hepatocellular carcinomas
through invasion and angiogenesis.
AB - BACKGROUND: Keratin (K) 19-positive hepatocellular carcinoma (HCC) is well known
to have a higher malignant potential than K19-negative HCC: However, the
molecular mechanisms involved in K19-mediated progression of HCC remain unclear.
We attempted to clarify whether K19 directly affects cell survival and
invasiveness in association with cellular senescence or epithelial-mesenchymal
transition (EMT) in K19-positive HCC. METHODS: K19 expression was analysed in 136
HCC surgical specimens. The relationship of K19 with clinicopathological factors
and survival was analysed. Further, the effect of K19 on cell proliferation,
invasion, and angiogenesis was examined by silencing K19 in the human HCC cell
lines, HepG2, HuH-7, and PLC/PRF/5. Finally, we investigated HCC invasion,
proliferation, and angiogenesis using K19-positive HCC specimens. RESULTS:
Analysis of HCC surgical specimens revealed that K19-positive HCC exhibited
higher invasiveness, metastatic potential, and poorer prognosis. In vitro
experiments using the human HCC cell lines revealed that K19 silencing suppressed
cell growth by inducting apoptosis or upregulating p16 and p27, resulting in
cellular senescence. In addition, transfection with K19 siRNA upregulated E
cadherin gene expression, significantly inhibited the invasive capacity of the
cells, downregulated angiogenesis-related molecules such as vasohibin-1 (VASH1)
and fibroblast growth factor 1 (FGFR1), and upregulated vasohibin-2 (VASH2). K19
positive HCC specimens exhibited a high MIB-1 labelling index, decreased E
cadherin expression, and high microvessel density around cancer foci. CONCLUSION:
K19 directly promotes cancer cell survival, invasion, and angiogenesis, resulting
in HCC progression and poor clinical outcome. K19 may therefore be a novel drug
target for the treatment of K19-positive HCC.
PMID- 27863478
TI - Prognostic value of CD66b positive tumor-infiltrating neutrophils in testicular
germ cell tumor.
AB - BACKGROUND: Prognostic value of immune cells is not clear in testicular germ cell
tumors (TGCTs). We aimed to investigate the prognostic value of tumor
infiltrating neutrophils in TGCTs. METHODS: A total of 102 patients who underwent
orchiectomy for TGCT were investigated for CD66b positive tumor-infiltrating
neutrophils (CD66b + TINs). Immmunostaining for CD66b was performed in 102
sections as described. Clinicopathological parameters as well as cancer specific
survival and overall survival were assessed for correlation with CD66b + TIN
density. RESULTS: High density group was significantly correlated with tumor
diameter >= 10 cm, presence of nodal/distant metastasis, S stage, diagnosis of
nonseminomatous germ cell tumor (NGCT), and presence of venous invasion (p =
0.0198, p < 0.0001, p = 0.0275, p = 0.0004, and p = 0.0287, respectively). It was
also significantly associated with cancer-specific and overall survival (logrank
p = 0.0036, and p = 0.0002, respectively). Multivariate analysis showed that
increased CD66b + TIN was an independent prognostic factor for overall survival
(p = 0.0095). CONCLUSIONS: Increased CD66b + TIN was significantly associated
with presence of metastasis, S stage, and nonseminomatous germ cell tumor
diagnosis. It was also an independent prognostic factor of overall survival in
patients with TGCT.
PMID- 27863479
TI - Myasthenic symptoms in anti-low-density lipoprotein receptor-related protein 4
antibody-seropositive amyotrophic lateral sclerosis: two case reports.
AB - BACKGROUND: Myasthenic symptoms can be present in patients with amyotrophic
lateral sclerosis (ALS). These symptoms have been considered to be caused by the
degeneration of distal motor neurons and the neuromuscular junction (NMJ). Recent
studies suggested that antibody to low-density lipoprotein receptor-related
protein 4 (LRP4) was a pathogenic agent of myasthenia gravis (MG), and it was
also detected in ALS patients. CASE PRESENTATION: Patient 1: A 58-year-old
Japanese man developed progressive weakness and subsequent myasthenic symptoms
including oculomotor disturbance. Clinical examination and electrophysiological
studies confirmed upper and lower motor neuron involvement and NMJ dysfunction,
and anti-LRP4 antibody was detected in his serum. A series of immunotherapies,
including steroid pulse therapy, intravenous immunoglobulin, and plasmapheresis,
was performed, and the myasthenic symptoms partially improved. The titer of anti
LRP4 antibody subsequently decreased. However, the therapeutic effect was
transient, and ALS symptoms progressed. His clinical findings fulfilled the
criteria of probable ALS using the Awaji criteria. Patient 2: A 74-year-old
Japanese man suffered from progressive weakness of all limbs and dropped head in
the evening. He complained of diplopia with a lateral horizontal gaze. Probable
ALS was diagnosed because of the upper and lower motor neuron signs, whereas anti
LRP4 antibody was detected. Several immunotherapies were administered, and the
myasthenic symptoms partially responded to each therapy. However, the truncal
muscle weakness progressed, and he died of respiratory failure. CONCLUSION: We
report two anti-LRP4 antibody-seropositive ALS patients with myasthenia who were
not typical of ALS patients, and showed partial responses to immunotherapies. The
anti-LRP4 antibody-seropositive status may influence developing ALS and cause
additional ALS symptoms.
PMID- 27863480
TI - Effects of lifestyle intervention using patient-centered cognitive behavioral
therapy among patients with cardio-metabolic syndrome: a randomized, controlled
trial.
AB - BACKGROUND: Cardio-metabolic syndrome (CMS) is a highly prevalent condition.
There is an urgent need to identify effective and integrated multi-disciplinary
approaches that can reduce risk factors for CMS. METHODS: Sixty-two patients with
a history of CMS were randomized 1:1 into two groups: a standard information
only group (control), or a self-regulated lifestyle waist circumference (patient
centered cognitive behavioral therapy) intervention group. A pretest and
posttest, controlled, experimental design was used. Outcomes were measured at the
baseline (week 0) and at the end of intervention (week 12). Comparisons were
drawn between groups and over time. RESULTS: The mean (standard deviation) age of
the subjects was 48.6 (5.8) years ranging from 32 to 63, and 56.9% of the
participants were female. Both groups showed no significant differences in
Demographic variables and the metabolic syndrome indicators at baseline. While
the control group only showed modest improvement after 12 weeks, compared to
baseline, the intervention group demonstrated significant improvement from
baseline. This study controlled for patients' demographics and baseline
characteristics when assessing the effects of intervention. After adjusting for
age, education and baseline level, the experimental group and the control group
were statistically significant different in the following post-treatment
outcomes: WC (F = 35.96, P < 0.001), TG (F = 18.93, P < 0.001), RSBP (F = 33.89,
P < 0.001) and SF-36(F = 157.93, P < 0.001). The results showed patients' age and
education were not strong predictors of patients' outcome (including WC, TG, RSBP
and SF-36). CONCLUSIONS: Lifestyle intervention on patient-centered cognitive
behavioral therapy can improve the physical and mental health conditions among
individuals reporting a history of cardio-metabolic syndrome, and possibly
provided preliminary benefits for the treatment of CMS. TRIAL REGISTRATION:
Chinese Clinical Trial Register #, ChiCTR15006148 .
PMID- 27863481
TI - Association of nutritional status-related indices and chemotherapy-induced
adverse events in gastric cancer patients.
AB - BACKGROUND: Malnutrition in gastrectomized patients receiving chemotherapy is
associated with the susceptibility to chemotherapy-related adverse events. This
study evaluated pre-operative nutritional status-related indices associated with
adverse events in post-operation gastric cancer patients receiving chemotherapy.
METHODS: Medical records of 234 gastrectomized patients under adjuvant
tegafur/gimeracil/oteracil chemotherapy with extended lymph node dissection were
analyzed. Nutritional status assessment included Patient-Generated Subjective
Global Assessment (PG-SGA), body weight, body mass index, serum albumin
concentration, and Nutrition Risk Index (NRI). Chemotherapy-originated adverse
events were determined using Common Terminology Criteria for Adverse Events.
RESULTS: PG-SGA indicated 59% of the patients were malnourished, and 27.8% of the
patients revealed serious malnutrition with PG-SGA score of >=9. Fifteen % of
patients lost >=10% of the initial body weight, 14.5% of the patients had
hypoalbuminemia (<3.5 g/dL), and 66.2% had NRI score less than 97.5 indicating
moderate to severe malnutrition. Hematological adverse events were present in 94%
(>=grade 1) and 16.2% (>=grade 3). Non-hematological adverse events occurred in
95.7% (>=grade1) and 16.7% (>=grade 3) of the patients. PG-SGA and NRI score was
not associated with treatment-induced adverse events. Multivariate analyses
indicated that female, low body mass index, and hypoalbuminemia were independent
risk factors for grade 3/4 hematological adverse events. Age was an independent
risk factor for grade 3/4 non-hematological adverse events. Neutropenia was the
most frequently occurring adverse event, and associated risk factors were female,
total gastrectomy, and hypoalbuminemia. CONCLUSIONS: Hypoalbuminemia, not PG-SGA
or NRI may predict chemotherapy-induced adverse events in gastrectomized cancer
patients.
PMID- 27863482
TI - In silico SNP analysis of the breast cancer antigen NY-BR-1.
AB - BACKGROUND: Breast cancer is one of the most common malignancies with increasing
incidences every year and a leading cause of death among women. Although early
stage breast cancer can be effectively treated, there are limited numbers of
treatment options available for patients with advanced and metastatic disease.
The novel breast cancer associated antigen NY-BR-1 was identified by SEREX
analysis and is expressed in the majority (>70%) of breast tumors as well as
metastases, in normal breast tissue, in testis and occasionally in prostate
tissue. The biological function and regulation of NY-BR-1 is up to date unknown.
METHODS: We performed an in silico analysis on the genetic variations of the NY
BR-1 gene using data available in public SNP databases and the tools SIFT,
Polyphen and Provean to find possible functional SNPs. Additionally, we
considered the allele frequency of the found damaging SNPs and also analyzed data
from an in-house sequencing project of 55 breast cancer samples for recurring
SNPs, recorded in dbSNP. RESULTS: Over 2800 SNPs are recorded in the dbSNP and
NHLBI ESP databases for the NY-BR-1 gene. Of these, 65 (2.07%) are synonymous
SNPs, 191 (6.09%) are non-synoymous SNPs, and 2430 (77.48%) are noncoding
intronic SNPs. As a result, 69 non-synoymous SNPs were predicted to be damaging
by at least two, and 16 SNPs were predicted as damaging by all three of the used
tools. The SNPs rs200639888, rs367841401 and rs377750885 were categorized as
highly damaging by all three tools. Eight damaging SNPs are located in the
ankyrin repeat domain (ANK), a domain known for its frequent involvement in
protein-protein interactions. No distinctive features could be observed in the
allele frequency of the analyzed SNPs. CONCLUSION: Considering these results we
expect to gain more insights into the variations of the NY-BR-1 gene and their
possible impact on giving rise to splice variants and therefore influence the
function of NY-BR-1 in healthy tissue as well as in breast cancer.
PMID- 27863483
TI - Estimation of tulathromycin depletion in plasma and milk after subcutaneous
injection in lactating goats using a nonlinear mixed-effects pharmacokinetic
modeling approach.
AB - BACKGROUND: Extra-label use of tulathromycin in lactating goats is common and may
cause violative residues in milk. The objective of this study was to develop a
nonlinear mixed-effects pharmacokinetic (NLME-PK) model to estimate tulathromycin
depletion in plasma and milk of lactating goats. Eight lactating goats received
two subcutaneous injections of 2.5 mg/kg tulathromycin 7 days apart; blood and
milk samples were analyzed for concentrations of tulathromycin and the common
fragment of tulathromycin (i.e., the marker residue CP-60,300), respectively,
using liquid chromatography mass spectrometry. Based on these new data and
related literature data, a NLME-PK compartmental model with first-order
absorption and elimination was used to model plasma concentrations and cumulative
excreted amount in milk. Monte Carlo simulations with 100 replicates were
performed to predict the time when the upper limit of the 95% confidence interval
of milk concentrations was below the tolerance. RESULTS: All animals were healthy
throughout the study with normal appetite and milk production levels, and with
mild-moderate injection-site reactions that diminished by the end of the study.
The measured data showed that milk concentrations of the marker residue of
tulathromycin were below the limit of detection (LOD = 1.8 ng/ml) 39 days after
the second injection. A 2-compartment model with milk as an excretory compartment
best described tulathromycin plasma and CP-60,300 milk pharmacokinetic data. The
model-predicted data correlated with the measured data very well. The NLME-PK
model estimated that tulathromycin plasma concentrations were below LOD (1.2
ng/ml) 43 days after a single injection, and 62 days after the second injection
with a 95% confidence. These estimated times are much longer than the current
meat withdrawal time recommendation of 18 days for tulathromycin in non-lactating
cattle. CONCLUSIONS: The results suggest that twice subcutaneous injections of
2.5 mg/kg tulathromycin are a clinically safe extra-label alternative approach
for treating pulmonary infections in lactating goats, but a prolonged withdrawal
time of at least 39 days after the second injection should be considered to
prevent violative residues in milk and any dairy goat being used for meat should
have an extended meat withdrawal time.
PMID- 27863484
TI - Methods used to address fidelity of receipt in health intervention research: a
citation analysis and systematic review.
AB - BACKGROUND: The American Behaviour Change Consortium (BCC) framework acknowledges
patients as active participants and supports the need to investigate the fidelity
with which they receive interventions, i.e. receipt. According to this framework,
addressing receipt consists in using strategies to assess or enhance
participants' understanding and/or performance of intervention skills. This
systematic review aims to establish the frequency with which receipt is addressed
as defined in the BCC framework in health research, and to describe the methods
used in papers informed by the BCC framework and in the wider literature.
METHODS: A forward citation search on papers presenting the BCC framework was
performed to determine the frequency with which receipt as defined in this
framework was addressed. A second electronic database search, including search
terms pertaining to fidelity, receipt, health and process evaluations was
performed to identify papers reporting on receipt in the wider literature and
irrespective of the framework used. These results were combined with forward
citation search results to review methods to assess receipt. Eligibility criteria
and data extraction forms were developed and applied to papers. Results are
described in a narrative synthesis. RESULTS: 19.6% of 33 studies identified from
the forward citation search to report on fidelity were found to address receipt.
In 60.6% of these, receipt was assessed in relation to understanding and in 42.4%
in relation to performance of skill. Strategies to enhance these were present in
12.1% and 21.1% of studies, respectively. Fifty-five studies were included in the
review of the wider literature. Several frameworks and operationalisations of
receipt were reported, but the latter were not always consistent with the guiding
framework. Receipt was most frequently operationalised in relation to
intervention content (16.4%), satisfaction (14.5%), engagement (14.5%), and
attendance (14.5%). The majority of studies (90.0%) included subjective
assessments of receipt. These relied on quantitative (76.0%) rather than
qualitative (42.0%) methods and studies collected data on intervention recipients
(50.0%), intervention deliverers (28.0%), or both (22.0%). Few studies (26.0%)
reported on the reliability or validity of methods used. CONCLUSIONS: Receipt is
infrequently addressed in health research and improvements to methods of
assessment and reporting are required.
PMID- 27863485
TI - Potential effects of samsum ant, Brachyponera sennaarensis, venom on TNF-alpha/NF
kappaB mediated inflammation in CCL4-toxicity in vivo.
AB - BACKGROUND: Ant venom shows antimicrobial, anti-parasitic and anti-inflammatory
activities, both in vitro and in vivo. Our recent studies have confirmed the role
of samsum ant venom (SAV) as a powerful antioxidant. This study aimed to
investigate whether SAV as a potential treatment for CCl4-induced acute liver
toxicity in an animal (rat) model. METHODS: Thirty-two rats were assigned into
four groups; the first one served as the control. The second group received a
single dose of 1 ml/kg CCl4 in a 1:1 ratio with olive oil through an
intraperitoneal injection. The third group received a single dose of 1 ml/kg CCl4
and then treated with SAV at a dose of 100 MUg SAV twice a week for three weeks.
The fourth group received a dose of 100 MUg SAV only twice a week for three
weeks. ELISA, RT-PCR and histopathological examinations were applied. RESULTS:
Results showed that antioxidant enzymes were significantly reduced in the
diseased animals. SAV was found to significantly restore the oxidative stability
in diseased animals. ELISA estimation and RT-PCR analysis also showed significant
upregulation of both nuclear factor (kappaB) NF-kappaB and inhibitor (kappaB)
IkappaB, respectively, in the diseased animals compared to the normal ones. The
expression of tumour necrosis factor alpha (TNF-alpha) and pro-apoptotic receptor
(Fas) were also significantly up-regulated in the diseased rats. Interestingly,
SAV was found to significantly restore NF-kappaB, IkappaB and TNF-alpha in the
diseased rats to the normal values. As a result, liver enzymes, serum proteins
and lipid concentrations were significantly improved by SAV in CCl4-animals in
comparison with the control ones. Moreover, SAV obviously improved the hepatic
tissues of the same group was. CONCLUSION: SAV treatment restores the normal
biochemical and oxidative stability by improving the TNF-alpha/NF-kappaB mediated
inflammation in CCL4-treated rats.
PMID- 27863486
TI - Costs of conservative management of early-stage prostate cancer compared to
radical prostatectomy-a claims data analysis.
AB - BACKGROUND: Due to widespread PSA testing incidence rates of localized prostate
cancer increase but curative treatment is often not required. Overtreatment
imposes a substantial economic burden on health care systems. We compared the
direct medical costs of conservative management and radical therapy for the
management of early-stage prostate cancer in routine care. METHODS: An
observational study design is chosen based on claims data of a German statutory
health insurance fund for the years 2008-2011. Three hundred fifty-three age
matched men diagnosed with prostate cancer and treated with conservative
management and radical prostatectomy, are included. Individuals with diagnoses of
metastases or treatment of advanced prostate cancer are excluded. In an excess
cost approach direct medical costs are considered from an insured community
perspective for in- and outpatient care, pharmaceuticals, physiotherapy, and
assistive technologies. Generalized linear models adjust for comorbidity by
Charlson comorbidity score and recycled predictions method calculates per capita
costs per treatment strategy. RESULTS: After follow-up of 2.5 years per capita
costs of conservative management are ?6611 lower than costs of prostatectomy ([
9734;-3547], p < 0.0001). Complications increase costs of assistive technologies
by 30% (p = 0.0182), but do not influence any other costs. Results are robust to
cost outliers and incidence of prostate cancer diagnosis. The short time horizon
does not allow assessing long-term consequences of conservative management.
CONCLUSIONS: At a time horizon of 2.5 years, conservative management is
preferable to radical prostatectomy in terms of costs. Claims data analysis is
limited in the selection of comparable treatment groups, as clinical information
is scarce and bias due to non-randomization can only be partly mitigated by
matching and confounder adjustment.
PMID- 27863487
TI - Analyses of density-dependent effects are needed to understand how and when
Wolbachia can control dengue vectors.
AB - Releases of Wolbachia-infected mosquitoes have been shown to be an effective
method of controlling Aedes aegypti, the main vector of dengue fever, in
Australia. A study in BMC Biology from Penelope Hancock and others shows that
incorporation of density-dependent effects into population models can provide
major improvements in understanding how and when the infected populations can
become established.See research article:
https://bmcbiol.biomedcentral.com/articles/10.1186/s12915-016-0319-5 .
PMID- 27863489
TI - The effect of cumulative glycemic burden on the incidence of diabetic foot
disease.
AB - BACKGROUND: Glycemic control is a known modifiable risk factor for diabetic foot
disease. Prior attempts to define its relationship with diabetic foot ulcer and
Charcot arthropathy fail to account for variability in control and duration of
diabetic disease. We developed a novel metric to reflect aggregate disease
exposure in a diabetic, termed cumulative glycemic burden. We hypothesized that
it would be positively associated with both diabetic foot ulcer and
radiographically diagnosed Charcot arthropathy. METHODS: Patients aged 18 to 90
years with >=3 hemoglobin A1c (HbA1c) values were identified retrospectively at a
single institution over a 15-year period. Primary outcomes were ICD-9 diagnosis
of foot ulcer and radiographically diagnosed Charcot arthropathy. Cumulative
glycemic burden was calculated by trapezoidal integration of the area under a
curve defined by HbA1c values above 7 over time. Patients were stratified into
quartiles based on cumulative glycemic burden (excellent, good, fair, and poor
control). chi 2 tests compared the proportion of foot ulcer and Charcot across
quartiles. Regression analysis identified associated demographic and comorbidity
factors with diabetic foot disease. Statistical significance was set at P < .05.
RESULTS: Out of 22,913 diabetics, 1643 (7.2%) had a foot ulcer; 54 out of 771
diabetics (7.0%) had radiographic Charcot arthropathy. There was a statistically
significant stepwise increase in the incidence of foot ulcer with increasing
cumulative glycemic burden by patient quartile (5.2 vs. 6.4 vs. 7.9 vs. 13.9%; P
< .001). No significant trend was seen between incidence of Charcot arthropathy
and greater cumulative glycemic burden (7.8 vs. 5.6 vs. 4.4 vs. 10.0%; P = .469).
Peripheral vascular disease was most strongly associated with diabetic foot
ulcer. Hypertension and diabetic neuropathy were independently associated with
Charcot arthropathy. CONCLUSIONS: Increasing cumulative glycemic burden is
positively associated with diabetic foot ulcer. Greater attention should be paid
towards the most poorly controlled diabetics with the longest duration of disease
to reduce their risk. Cumulative glycemic burden is not associated with Charcot
arthropathy.
PMID- 27863488
TI - Mathematical model on Alzheimer's disease.
AB - BACKGROUND: Alzheimer disease (AD) is a progressive neurodegenerative disease
that destroys memory and cognitive skills. AD is characterized by the presence of
two types of neuropathological hallmarks: extracellular plaques consisting of
amyloid beta-peptides and intracellular neurofibrillary tangles of
hyperphosphorylated tau proteins. The disease affects 5 million people in the
United States and 44 million world-wide. Currently there is no drug that can
cure, stop or even slow the progression of the disease. If no cure is found, by
2050 the number of alzheimer's patients in the U.S. will reach 15 million and the
cost of caring for them will exceed $ 1 trillion annually. RESULTS: The present
paper develops a mathematical model of AD that includes neurons, astrocytes,
microglias and peripheral macrophages, as well as amyloid beta aggregation and
hyperphosphorylated tau proteins. The model is represented by a system of partial
differential equations. The model is used to simulate the effect of drugs that
either failed in clinical trials, or are currently in clinical trials.
CONCLUSIONS: Based on these simulations it is suggested that combined therapy
with TNF- alpha inhibitor and anti amyloid beta could yield significant efficacy
in slowing the progression of AD.
PMID- 27863491
TI - The Year of the Wisent.
AB - Delving into European prehistory, two recent studies analyze ancient DNA from
bison species depicted by our ancestors on the walls of their caves. The DNA
tells a story of migrations driven by climate change but leaves some mystery
clouding the genetic descent and climate preference of the still-extant wisent,
otherwise known as the European bison.See research articles:
https://bmcbiol.biomedcentral.com/articles/10.1186/s12915-016-0317-7
http://www.nature.com/articles/ncomms13158.
PMID- 27863490
TI - Suberoylanilide hydroxamic acid represses glioma stem-like cells.
AB - BACKGROUND: Glioma stem-like cells (GSCs) are proposed to be responsible for high
resistance in glioblastoma multiforme (GBM) treatment. In order to find new
strategies aimed at reducing GSC stemness and improving GBM patient survival, we
investigated the effects and mechanism of a histone deacetylases (HDACs)
inhibitor, suberoylanilide hydroxamic acid (SAHA), since HDAC activity has been
linked to cancer stem-like cell (CSC) abundance and properties. METHODS: Human
GBM cell lines were plated in serum-free suspension cultures allowed for sphere
forming and CSC enrichment. Subsequently, upon SAHA treatment, the stemness
markers, cell proliferation, and viability of GSCs as well as cellular apoptosis
and senescence were examined in order to clarify whether inhibition of GSCs
occurs. RESULTS: We demonstrated that SAHA attenuated cell proliferation and
diminished the expression stemness-related markers (CD133 and Bmi1) in GSCs.
Furthermore, at high concentrations (more than 5 MUM), SAHA triggered apoptosis
of GSCs accompanied by increases in both activation of caspase 8- and caspase 9
mediated pathways. Interestingly, we found that a lower dose of SAHA (1 MUM and
2.5 MUM) inhibited GSCs via cell cycle arrest and induced premature senescence
through p53 up-regulation and p38 activation. CONCLUSION: SAHA induces apoptosis
and functions as a potent modulator of senescence via the p38-p53 pathway in
GSCs. Our results provide a perspective on targeting GSCs via SAHA treatment, and
suggest that SAHA could be used as a potent agent to overcome drug resistance in
GBM patients.
PMID- 27863492
TI - Defective autophagy leads to the suppression of stem-like features of CD271+
osteosarcoma cells.
AB - BACKGROUND: As an important stress-response mechanism, autophagy plays crucial
role in the tumor formation and drug resistance of cancer cells including
osteosarcoma (OS). OS cancer stem cells (CSCs) also are considered a key factor
of tumorigenesis, drug resistance and tumor recurrence. However, the relationship
between autophagy and OS CSCs still remains unclear. METHODS: CD271+ OS CSCs and
CD271- OS cells were isolated by magnetic activated cell sorting. The autophagy
level was evaluated by the mRNA expression of autophagy genes, the protein level
of LC3II and p62, and the mean number of GFP-LC3 dot per cell. Lentivirus
delivered specific shRNA was utilized to inhibit the corresponding gene
expression. The cell viability was examined with CCK8 assay. The cell
proliferation level was detected with BrdU staining assay. Cell death was
determined by Annexin V/PI double staining of fluorescence activated cell
sorting, lactate dehydrogenase release and caspase-3 activity. Tumorigenicity
ability was evaluated by colony and sphere formation assay, the protein
expression of stemness markers and tumor formation in nude mice. RESULTS: Our
data indicated that CD271+ OS CSCs had a similar basic autophagy level with CD271
OS cells. Autophagy deficiency had no observable effects on the levels of cell
proliferation and death both in CD271+ and CD271- OS cells under normal
condition. However, CD271+ OS cells showed a higher autophagy activity than CD271
OS cells under hypoxia and low nutrient (LH) condition. Moreover, autophagy
deficient CD271+ OS cells lost the advantage of tolerance to LH condition
compared to CD271- OS cells. Meanwhile, autophagy deficiency enhanced the
sensitivity to chemotherapeutics in the CD271+ cells to the comparable level in
the CD271- cells. More importantly, deficient-autophagy decreased the protein
expression of stemness markers and caused the disappearance of the superiority in
tumorigenicity in vitro and vivo in CD271+ OS cells. CONCLUSION: The results
above demonstrated that autophagy contributes to the stem-like features of CD271+
OS CSCs. Inhibition of autophagy is a promising strategy in the CSCs-targeting OS
therapy.
PMID- 27863493
TI - Impact of low high-density lipoprotein-cholesterol level on 2-year clinical
outcomes after acute myocardial infarction in patients with diabetes mellitus.
AB - BACKGROUND: It is still unclear whether low high-density lipoprotein cholesterol
(HDL-C) affects cardiovascular outcomes after acute myocardial infarction (AMI),
especially in patients with diabetes mellitus. METHODS: A total of 984 AMI
patients with diabetes mellitus from the DIabetic Acute Myocardial InfarctiON
Disease (DIAMOND) Korean multicenter registry were divided into two groups based
on HDL-C level on admission: normal HDL-C group (HDL-C >= 40 mg/dL, n = 519) and
low HDL-C group (HDL-C < 40 mg/dL, n = 465). The primary endpoint was 2-year
major adverse cardiovascular events (MACE), defined as a composite of cardiac
death, non-fatal myocardial infarction (MI), and target vessel revascularization
(TVR). RESULTS: The median follow-up duration was 730 days. The 2-year MACE rates
were significantly higher in the low HDL-C group than in the normal HDL-C group
(MACE, 7.44% vs. 3.49%, p = 0.006; cardiac death, 3.72% vs. 0.97%, p = 0.004; non
fatal MI, 1.75% vs. 1.55%, p = 0.806; TVR, 3.50% vs. 0.97%, p = 0.007). Kaplan
Meier analysis revealed that the low HDL-C group had a significantly higher
incidence of MACE compared to the normal HDL-C group (log-rank p = 0.013). After
adjusting for conventional risk factors, Cox proportional hazards analysis
suggested that low HDL-C was an independent risk predictor for MACE (hazard ratio
[HR] 3.075, 95% confidence interval [CI] 1.034-9.144, p = 0.043). CONCLUSIONS: In
patients with diabetes mellitus, low HDL-C remained an independent risk predictor
for MACE after adjusting for multiple risk factors during 2-year follow-up of
AMI. TRIAL REGISTRATION: This study was the sub-analysis of the prospective multi
center registry of DIAMOND (Diabetic acute myocardial infarction Disease) in
Korea. This is the observational study supported by Bayer HealthCare, Korea.
Study number is 15614. First patient first visit was 02 April 2010 and last
patient last visit was 09 December 2013.
PMID- 27863494
TI - Molecular epidemiology of hydropericardium syndrome outbreak-associated serotype
4 fowl adenovirus isolates in central China.
AB - In several parts of China, there have been a large number of hydropericardium
syndrome (HPS) outbreaks caused by serotype 4 fowl adenovirus (FAdV-4) in broiler
chickens since 2015. These outbreak-associated FAdV-4 strains were distinct from
previous circulating strains which did not lead to severe HPS outbreaks. To
better understand the molecular epidemiology of the currently circulating FAdV
strains for effective diagnosis and treatment of HPS, we isolated 12 HPS outbreak
associated FAdV-4 strains from different regions in central China and
investigated their molecular characteristics by performing phylogenetic analyses
based on the hexon genes. Our results indicated the FAdV-4 strains in this study
all belonged to serotype FAdV-4, species FAdV-C. And in comparison with ON1, KR5,
MX-SHP95, PK-01, PJ-06 strains within the cluster where outbreak-associated FAdV
4 strains were located, the nucleotide sequence divergence were 1.31, 1.10, 1.42,
2.77 and 2.84%, respectively. Phylogenetic analyses revealed the hexon genes of
the 12 outbreak-associated strains clustered to a relatively independent branch
of the tree, and evolved from the same ancestor and we suggested that these
outbreak-associated FAdV-4 strains originate from earlier strains in India.
PMID- 27863495
TI - Anaerobic poly-3-D-hydroxybutyrate production from xylose in recombinant
Saccharomyces cerevisiae using a NADH-dependent acetoacetyl-CoA reductase.
AB - BACKGROUND: Poly-3-D-hydroxybutyrate (PHB) that is a promising precursor for
bioplastic with similar physical properties as polypropylene, is naturally
produced by several bacterial species. The bacterial pathway is comprised of the
three enzymes beta-ketothiolase, acetoacetyl-CoA reductase (AAR) and PHB
synthase, which all together convert acetyl-CoA into PHB. Heterologous expression
of the pathway genes from Cupriavidus necator has enabled PHB production in the
yeast Saccharomyces cerevisiae from glucose as well as from xylose, after
introduction of the fungal xylose utilization pathway from Scheffersomyces
stipitis including xylose reductase (XR) and xylitol dehydrogenase (XDH). However
PHB titers are still low. RESULTS: In this study the acetoacetyl-CoA reductase
gene from C. necator (CnAAR), a NADPH-dependent enzyme, was replaced by the NADH
dependent AAR gene from Allochromatium vinosum (AvAAR) in recombinant xylose
utilizing S. cerevisiae and PHB production was compared. A. vinosum AAR was found
to be active in S. cerevisiae and able to use both NADH and NADPH as cofactors.
This resulted in improved PHB titers in S. cerevisiae when xylose was used as
sole carbon source (5-fold in aerobic conditions and 8.4-fold under oxygen
limited conditions) and PHB yields (4-fold in aerobic conditions and up to 5.6
fold under oxygen limited conditions). Moreover, the best strain was able to
accumulate up to 14% of PHB per cell dry weight under fully anaerobic conditions.
CONCLUSIONS: This study reports a novel approach for boosting PHB accumulation in
S. cerevisiae by replacement of the commonly used AAR from C. necator with the
NADH-dependent alternative from A. vinosum. Additionally, to the best of our
knowledge, it is the first demonstration of anaerobic PHB synthesis from xylose.
PMID- 27863496
TI - Evaluation of anti-tumorigenic activity of BP3B against colon cancer with patient
derived tumor xenograft model.
AB - BACKGROUND: KIOM-CRC#BP3B (BP3B) is a novel herbal prescription that is composed
of three plant extracts. Our preliminary study identified that BP3B exhibited
potent anti-proliferative activity against various types of cancer cell lines in
vitro. Because the in vivo anti-tumor effect of BP3B is not evaluated before
clinical trial, we want to test it using patient's samples. METHODS: To confirm
the in vivo anti-cancer effect of BP3B, we used genetically characterized patient
derived colon tumor xenograft (PDTX) mouse model. Anti-cancer activity was
evaluated with apoptosis, proliferation, angiogenesis and histological analysis.
RESULTS: Oral administration of BP3B significantly inhibited the tumor growth in
two PDTX models. Furthermore, TUNEL assay showed that BP3B induced apoptosis of
tumor tissues, which was associated with degradation of PARP and Caspase 8 and
activation of Caspase 3. We also observed that BP3B inhibited cancer cell
proliferation by down-regulation of Cyclin D1 and induction of p27 proteins.
Inhibition of angiogenesis in BP3B-treated group was observed with
immunofluorescence staining using CD31 and Tie-2 antibodies. CONCLUSION: These
findings indicated that BP3B has a strong growth-inhibitory activity against
colon cancer in in vivo model and will be a good therapeutic candidate for
treatment of refractory colon cancer.
PMID- 27863497
TI - Next-generation sequencing facilitates detection of the classic E13-A20 EML4-ALK
fusion in an ALK-FISH/IHC inconclusive biopsy of a stage IV lung cancer patient:
a case report.
AB - BACKGROUND: Inhibition of the oncogenic fusion-gene EML4-ALK is a current first
line approach for patients with stage IV non-small cell lung cancer. While FISH
was established as the gold standard for identifying these patients, there is
accumulating evidence that other methods of detection, i.e., immunohistochemistry
and next-generation sequencing (NGS), exist that may be equally successful.
However, the concordance of these methods is under investigation. CASE
PRESENTATION: Adding to the current literature, we here report a 56 year old
female never-smoker with stage IV lung adenocarcinoma whose biopsy was IHC and
FISH inconclusive but positive in NGS. Retroactive profiling of the resection
specimen corroborated fusion reads obtained by NGS, FISH-positivity and showed
weak ALK-positivity by IHC. Consequently, we diagnosed the case as ALK-positive
rendering the patient eligible to crizotinib treatment. CONCLUSIONS: With IHC on
biopsy material only, this case would have been overlooked withholding effective
therapy.
PMID- 27863498
TI - Factors affecting implementation of perinatal mental health screening in women of
refugee background.
AB - BACKGROUND: For women of refugee background, the increased risk of mental illness
associated with pregnancy is compounded by pre- and post-settlement stressors. In
Australia, antenatal screening for depression and anxiety symptoms using the
Edinburgh Postnatal Depression Scale is recommended for all women. Despite this,
screening is not routinely implemented and little is known about barriers and
enablers to implementation for women of refugee background. METHODS: Semi
structured interviews were conducted with a range of health professionals (n =
28: midwives, obstetricians, perinatal mental health and refugee health experts,
interpreters) and women of refugee background (n = 9). Themes generated from
thematic analysis were examined in relation to the Theoretical Domains Framework
and Cultural Competence Conceptual Framework, followed by identification of
effective behaviour change techniques to address the barriers and enablers
identified by participants. These techniques formed the basis of recommendations
to inform sustainable implementation of screening and referral. RESULTS: Almost
all participants perceived perinatal mental health screening to be necessary and
most recognised the importance of post-traumatic stress disorder (PTSD)
screening. Barriers and enablers were identified and related to eight domains:
knowledge, skills, professional roles, beliefs about capabilities and
consequences, environmental context, social influences and behavioural
regulation. CONCLUSIONS: This research clarifies how mental health screening may
be integrated into routine antenatal care for women of refugee background, in
order to improve provision of recommended care. These theory-informed
recommendations include an inter-disciplinary approach, coordinating care within
and across services, addition of PTSD screening, and effective communication with
women.
PMID- 27863499
TI - What do health workers in Timor-Leste want, know and do? Findings from a national
health labour market survey.
AB - BACKGROUND: The objectives of this study were to understand the labour market
dynamics among health workers, including their preferences and concerns, and to
assess the skills, competence and performance (i.e. the 'know-do gap') of doctors
working in Timor-Leste. METHODS: This cross-sectional survey was implemented in
all 13 districts of Timor-Leste in 2014. We surveyed 443 health workers,
including 175 doctors, 150 nurses and 118 midwives (about 20% of the health
workers in the country). We also observed 632 clinical consultations with
doctors, including 442 direct clinical observations, and tested 190 vignettes.
RESULTS: The study highlights some positive findings, including the gender
balance of health workers overall, the concentration of doctors in rural areas,
the high overall reported satisfaction of staff with their work and high
motivation, the positive intention to stay in the public sector, the feeling of
being well prepared by training for work, the relatively frequent and
satisfactory supervisions, and the good attitudes towards patients as identified
in observations and vignettes. However, some areas require more investigations
and investments. The overall clinical performance of the doctors was very good in
terms of attitude and moderate in regard to history taking, health education and
treatment. However, the average physical examination performance score was low.
Doctors performed better with simulated cases than the real cases in general,
which means they have better knowledge and skills than they actually
demonstrated. The factors that were significantly associated with the clinical
performance of doctors were location of the health facility (urban doctors were
better) and consultation time (cases with more consultation time were better).
Regression analysis suggests that lack of knowledge was significantly associated
with lack of performance, while lack of motivation and equipment were not
significant. CONCLUSIONS: The survey provides essential information for workforce
planning and for developing training policies and terms and conditions that will
attract and retain health workers in rural service. Improving the work
environment and performance of doctors working in rural health facilities and
ensuring compliance with clinical protocols are two priority areas needed to
improve the performance of doctors in Timor-Leste.
PMID- 27863500
TI - Simultaneous reconstruction of the bone and vessels for complex femoral defect.
AB - BACKGROUND: Several methods have been reported for intercalary reconstruction of
femoral defects. Of these, free vascularized fibula grafts (FVFG) are preferred
because of their durability, bone-healing potential, and tolerance to infection.
If the bone tumor invades the femoral vessels, simultaneous vascular
reconstruction also becomes necessary and significant technical hurdles make limb
salvage difficult. CASE PRESENTATION: We present a 10-year-old girl who underwent
limb-sparing surgery for a distal femur osteosarcoma. The femoral defect was 15
cm long, and the femoral vessel defect was 10 cm long. The femur was
reconstructed with bilateral FVFG, and the femoral vessels were reconstructed
with saphenous vein grafts. The grafts survived without vascular compromise, and
the affected limb was preserved successfully. CONCLUSIONS: Combined use of
bilateral FVFG and autologous vein grafts makes limb-sparing surgery for a large
osteosarcoma of the femur possible.
PMID- 27863501
TI - Mutations in LRRK2 impair NF-kappaB pathway in iPSC-derived neurons.
AB - BACKGROUND: Mutations in leucine-rich repeat kinase 2 (LRRK2) contribute to both
familial and idiopathic forms of Parkinson's disease (PD). Neuroinflammation is a
key event in neurodegeneration and aging, and there is mounting evidence of LRRK2
involvement in inflammatory pathways. In a previous study, we described an
alteration of the inflammatory response in dermal fibroblasts from PD patients
expressing the G2019S and R1441G mutations in LRRK2. METHODS: Taking advantage of
cellular reprogramming, we generated induced pluripotent stem cell (iPSC) lines
and neurons thereafter, harboring LRRK2G2019S and LRRK2R1441G mutations. We used
gene silencing and functional reporter assays to characterize the effect of the
mutations. We examined the temporal profile of TNFalpha-induced changes in
proteins of the NF-kappaB pathway and optimized western blot analysis to capture
alpha-synuclein dynamics. The effects of the mutations and interventions were
analyzed by two-way ANOVA tests with respect to corresponding controls. RESULTS:
LRRK2 silencing decreased alpha-synuclein protein levels in mutated neurons and
modified NF-kappaB transcriptional targets, such as PTGS2 (COX-2) and TNFAIP3
(A20). We next tested whether NF-kappaB and alpha-synuclein pathways converged
and found that TNFalpha modulated alpha-synuclein levels, although we could not
detect an effect of LRRK2 mutations, partly because of the individual
variability. Nevertheless, we confirmed NF-kappaB dysregulation in mutated
neurons, as shown by a protracted recovery of IkappaBalpha and a clear impairment
in p65 nuclear translocation in the LRRK2 mutants. CONCLUSIONS: Altogether, our
results show that LRRK2 mutations affect alpha-synuclein regulation and impair NF
kappaB canonical signaling in iPSC-derived neurons. TNFalpha modulated alpha
synuclein proteostasis but was not modified by the LRRK2 mutations in this
paradigm. These results strengthen the link between LRRK2 and the innate immunity
system underscoring the involvement of inflammatory pathways in the
neurodegenerative process in PD.
PMID- 27863503
TI - Lateral gene transfer in eukaryotes: tip of the iceberg or of the ice cube?
AB - Lateral gene transfer (LGT) is the transmission of genes, sometimes across
species barriers, outwith the classic vertical inheritance from parent to
offspring. LGT is recognized as an important phenomenon that has shaped the
genomes and biology of prokaryotes. Whether LGT in eukaryotes is important and
widespread remains controversial. A study in BMC Biology concludes that LGT in
eukaryotes is neither continuous nor prevalent and suggests a rule of thumb for
judging when apparent LGT may reflect contamination.See research article:
http://bmcbiol.biomedcentral.com/articles/10.1186/s12915-016-0315-9 .
PMID- 27863502
TI - Annexin A2 antibodies but not inhibitors of the annexin A2 heterotetramer impair
productive HIV-1 infection of macrophages in vitro.
AB - During sexual transmission of human immunodeficiency virus (HIV), macrophages are
initial targets for HIV infection. Secretory leukocyte protease inhibitor (SLPI)
has been shown to protect against HIV infection of macrophages through
interactions with annexin A2 (A2), which is found on the macrophage cell surface
as a heterotetramer (A2t) consisting of A2 and S100A10. Therefore, we
investigated potential protein-protein interactions between A2 and HIV-1 gp120
through a series of co-immunoprecipitation assays and a single molecule pulldown
(SiMPull) technique. Additionally, inhibitors of A2t (A2ti) that target the
interaction between A2 and S100A10 were tested for their ability to impair
productive HIV-1 infection of macrophages. Our data suggest that interactions
between HIV-1 gp120 and A2 exist, though this interaction may be indirect.
Furthermore, an anti-A2 antibody impaired HIV-1 particle production in
macrophages in vitro, whereas A2ti did not indicating that annexin A2 may promote
HIV-1 infection of macrophages in its monomeric rather than tetrameric form.
PMID- 27863504
TI - B-cell imaging with zirconium-89 labelled rituximab PET-CT at baseline is
associated with therapeutic response 24 weeks after initiation of rituximab
treatment in rheumatoid arthritis patients.
AB - BACKGROUND: B cells are key players in the pathogenesis of rheumatoid arthritis
(RA). Although successful in 50-60% of patients with RA, anti-B-cell therapy
given as rituximab could be more efficient by identifying potential responders
prior to treatment. Positron emission tomography (PET) using radiolabeled
rituximab for B-cell imaging might provide the means to fulfil this unmet
clinical need. The objective of this study was to investigate the association
between biodistribution of zirconium-89 (89Zr)-rituximab on PET-computed
tomography (CT) and clinical response in patients with RA. METHODS: We included
20 patients with RA who were starting rituximab treatment. At the first
intravenous (i.v.) therapeutic dose, patients were also injected with 89Zr
rituximab, followed by PET-CT. European League Against Rheumatism (EULAR)
response criteria were applied to determine response at week 24. PET-CT was
analyzed visually and quantitatively. Lymph node (LN) biopsies were performed at
0 and 4 weeks to correlate B-cell counts with imaging data. RESULTS: PET-positive
hand joints (range 1-20) were observed in 18/20 patients. Responders had
significantly higher 89Zr-rituximab uptake in PET-positive hand joints than non
responders (median target-to-background (T/B)) ratios (IQR) were 6.2 (4.0-8.8)
vs. 3.1 (2.2-3.9), p = 0.02). At T/B >=4.0, positive and negative predictive
values for clinical response were respectively 90% and 75%. Quantitative 89Zr
rituximab hand joint uptake on PET correlated inversely with CD22+ B-cell count
in LN tissue at 4 weeks of treatment (r = 0.6, p = 0.05). In addition, the CD22+
B-cell count in LN correlated positively with quantitative LN PET data at
baseline, supporting the specificity of B-cell imaging on PET. CONCLUSIONS: Non
invasive B-cell imaging by 89Zr-rituximab PET-CT has promising clinical value to
select RA responders to rituximab at baseline. 89Zr-rituximab PET-CT may also
hold promise for monitoring anti-B-cell therapies in other B-cell driven
autoimmune diseases, such as systemic lupus erythematosus and Sjogren's disease.
PMID- 27863505
TI - A genomic case study of desmoplastic small round cell tumor: comprehensive
analysis reveals insights into potential therapeutic targets and development of a
monitoring tool for a rare and aggressive disease.
AB - BACKGROUND: Genome-wide profiling of rare tumors is crucial for improvement of
diagnosis, treatment, and, consequently, achieving better outcomes. Desmoplastic
small round cell tumor (DSRCT) is a rare type of sarcoma arising from mesenchymal
cells of abdominal peritoneum that usually develops in male adolescents and young
adults. A specific translocation, t(11;22)(p13;q12), resulting in EWS and WT1
gene fusion is the only recurrent molecular hallmark and no other genetic factor
has been associated to this aggressive tumor. Here, we present a comprehensive
genomic profiling of one DSRCT affecting a 26-year-old male, who achieved an
excellent outcome. METHODS: We investigated somatic and germline variants through
whole-exome sequencing using a family based approach and, by array CGH, we
explored the occurrence of genomic imbalances. Additionally, we performed mate
paired whole-genome sequencing for defining the specific breakpoint of the EWS
WT1 translocation, allowing us to develop a personalized tumor marker for
monitoring the patient by liquid biopsy. RESULTS: We identified genetic variants
leading to protein alterations including 12 somatic and 14 germline events (11
germline compound heterozygous mutations and 3 rare homozygous polymorphisms)
affecting genes predominantly involved in mesenchymal cell differentiation
pathways. Regarding copy number alterations (CNA) few events were detected,
mainly restricted to gains in chromosomes 5 and 18 and losses at 11p, 13q, and
22q. The deletions at 11p and 22q indicated the presence of the classic
translocation, t(11;22)(p13;q12). In addition, the mapping of the specific
genomic breakpoint of the EWS-WT1 gene fusion allowed the design of a
personalized biomarker for assessing circulating tumor DNA (ctDNA) in plasma
during patient follow-up. This biomarker has been used in four post-treatment
blood samples, 3 years after surgery, and no trace of EWS-WT1 gene fusion was
detected, in accordance with imaging tests showing no evidence of disease and
with the good general health status of the patient. CONCLUSIONS: Overall, our
findings revealed genes with potential to be associated with risk assessment and
tumorigenesis of this rare type of sarcoma. Additionally, we established a liquid
biopsy approach for monitoring patient follow-up based on genomic information
that can be similarly adopted for patients diagnosed with a rare tumor.
PMID- 27863506
TI - Interleukin 37 limits monosodium urate crystal-induced innate immune responses in
human and murine models of gout.
AB - BACKGROUND: Interleukin (IL)-37 has emerged as a fundamental inhibitor of innate
immunity. Acute gout is a self-limiting inflammatory response to monosodium urate
(MSU) crystals. In the current study, we assessed the preventive and therapeutic
effect of recombinant human IL-37 (rhIL-37) in human and murine gout models.
METHODS: We investigated the expression of IL-37 in patients with active and
inactive gouty arthritis and assessed the effect of rhIL-37 in human and murine
gout models: a human monocyte cell line (THP-1) and human synovial cells
(containing macrophage-like and fibroblast-like synoviocytes) exposed to MSU
crystals, a peritoneal murine model of gout and a murine gouty arthritis model.
After inhibition of Mer receptor tyrosine kinase (Mertk), levels of IL-1beta, IL
8 and chemokine (C-C motif) ligand 2 (CCL-2) were detected by ELISA and
expression of mammalian homologs of the drosophila Mad gene 3 (Smad), suppressor
of cytokine signaling 3 (SOCS3), NACHT-LRR-PYD-containing protein 3 (NLRP3), and
IL-8R of THP-1 were assessed by qPCR and western blot to explore the molecular
mechanisms. RESULTS: Our studies strongly indicated that rhIL-37 played a potent
immunosuppressive role in the pathogenesis of experimental gout models both in
vitro and in vivo, by downregulating proinflammatory cytokines and chemokines,
markedly reducing neutrophil and monocyte recruitment, and mitigating
pathological joint inflammation. In our studies, rhIL-37 suppressed MSU-induced
innate immune responses by enhancing expression of Smad3 and IL-1R8 to trigger
multiple intracellular switches to block inflammation, including inhibition of
NLRP3 and activation of SOCS3. Mertk signaling participated in rhIL-37 inhibitory
pathways in gout models. By inhibition of Mertk, the anti-inflammatory effect of
rhIL-37 was partly abrogated, and IL-1R8, Smad3 and SOCS3 expression were
suppressed, whereas NLRP3 expression was reactivated. CONCLUSIONS: Our studies
reveal that IL-37 limits runaway inflammation initiated by MSU crystal-induced
immune responses, partly in a Mertk-dependent fashion. Thus, rhIL-37 has both
preventive and therapeutic effects in gouty arthritis.
PMID- 27863507
TI - Transgenic mice overexpressing the ALS-linked protein Matrin 3 develop a profound
muscle phenotype.
AB - Amyotrophic lateral sclerosis (ALS) is a progressive neurodegenerative disorder
of upper and lower motor neurons. Mutations in the gene encoding the nuclear
matrix protein Matrin 3 have been found in familial cases of ALS, as well as
autosomal dominant distal myopathy with vocal cord and pharyngeal weakness. We
previously found that spinal cord and muscle, organs involved in either ALS or
distal myopathy, have relatively lower levels of Matrin 3 compared to the brain
and other peripheral organs in the murine system. This suggests that these organs
may be vulnerable to any changes in Matrin 3. In order to determine the role of
Matrin 3 in these diseases, we created a transgenic mouse model for human wild
type Matrin 3 using the mouse prion promoter (MoPrP) on a FVB background.We
identified three founder transgenic lines that produced offspring in which mice
developed either hindlimb paresis or paralysis with hindlimb and forelimb muscle
atrophy. Muscles of affected mice showed a striking increase in nuclear Matrin 3,
as well as the presence of rounded fibers, vacuoles, nuclear chains, and
subsarcolemmal nuclei. Immunoblot analysis of the gastrocnemius muscle from
phenotypic mice showed increased levels of Matrin 3 products migrating at
approximately 120 (doublet), 90, 70, and 55 kDa. While there was no significant
change in the levels of Matrin 3 in the spinal cord in the phenotypic mice, the
ventral horn contained individual cells with cytoplasmic redistribution of Matrin
3, as well as gliosis. The phenotypes of these mice indicate that dysregulation
of Matrin 3 levels is deleterious to neuromuscular function.
PMID- 27863508
TI - The effects of MCH insurance cards on improving equity in access and use of
maternal and child health care services in Tanzania: a mixed methods analysis.
AB - BACKGROUND: Inequity in access and use of child and maternal health services is
impeding progress towards reduction of maternal mortality in low-income
countries. To address low usage of maternal and newborn health care services as
well as financial protection of families, some countries have adopted demand-side
financing. In 2010, Tanzania introduced free health insurance cards to pregnant
women and their families to influence access, use, and provision of health
services. However, little is known about whether the use of the maternal and
child health cards improved equity in access and use of maternal and child health
care services. METHODS: A mixed methods approach was used in Rungwe district
where maternal and child health insurance cards had been implemented. To assess
equity, three categories of beneficiaries' education levels were used and were
compared to that of women of reproductive age in the region from previous
surveys. To explore factors influencing women's decisions on delivery site and
use of the maternal and child health insurance card and attitudes towards the
birth experience itself, a qualitative assessment was conducted at representative
facilities at the district, ward, facility, and community level. A total of 31 in
depth interviews were conducted on women who delivered during the previous year
and other key informants. RESULTS: Women with low educational attainment were
under-represented amongst those who reported having received the maternal and
child health insurance card and used it for facility delivery. Qualitative
findings revealed that problems during the current pregnancy served as both a
motivator and a barrier for choosing a facility-based delivery. Decision about
delivery site was also influenced by having experienced or witnessed problems
during previous birth delivery and by other individual, financial, and health
system factors, including fines levied on women who delivered at home.
CONCLUSIONS: To improve equity in access to facility-based delivery care using
strategies such as maternal and child health insurance cards is necessary to
ensure beneficiaries and other stakeholders are well informed of the programme,
as giving women insurance cards only does not guarantee facility-based delivery.
PMID- 27863509
TI - Profibrotic mediators in tendon disease: a systematic review.
AB - BACKGROUND: Tendon disease is characterized by the development of fibrosis.
Transforming growth factor beta (TGF-beta), bone morphogenic proteins (BMPs) and
connective tissue growth factor (CTGF) are key mediators in the pathogenesis of
fibrotic disorders. The aim of this systematic review was to investigate the
evidence for the expression of TGF-beta, BMPs and CTGF along tendon disease
progression and the response of tendon cells to these growth factors accordingly.
METHOD: We conducted a systematic screen of the scientific literature using the
Medline database. The search terms used were "tendon AND TGF-beta," "tendon AND
BMP" or "tendon AND CTGF." Studies of human samples, animal tendon injury and
overuse models were included. RESULTS: Thirty-three studies were included. In
eight studies the expression of TGF-beta, BMPs or CTGF was dysregulated in
chronic tendinopathy and tendon tear patient tissues in comparison with healthy
control tissues. The expression of TGF-beta, BMPs and CTGF was increased and
showed temporal changes in expression in tendon tissues from animal injury or
overuse models compared with the healthy control (23 studies), but the pattern of
upregulation was inconsistent between growth factors and also the type of animal
model. No study investigated the differences in the effect of TGF-beta, BMPs or
CTGF treatment between patient-derived cells from healthy and diseased tendon
tissues. Tendon cells derived from animal models of tendon injury showed
increased expression of extracellular matrix protein genes and increased cell
signaling response to TGF-beta and BMP treatments compared with the control cells
(two studies). CONCLUSION: The expression of TGF-beta, BMPs and CTGF in tendon
tissues is altered temporally during healing in animal models of tendon injury or
overuse, but the transition during the development of human tendon disease is
currently unknown. Findings from this systematic review suggest a potential and
compelling role for TGF-beta, BMPs and CTGF in tendon disease; however, there is
a paucity of studies analyzing their expression and stimulated cellular response
in well-phenotyped human samples. Future work should investigate the dynamic
expression of these fibrotic growth factors and their interaction with tendon
cells using patient samples at different stages of human tendon disease.
PMID- 27863510
TI - Establishing a reference array for the CS-alphabeta superfamily of defensive
peptides.
AB - BACKGROUND: "Invertebrate defensins" belong to the cysteine-stabilized alpha-beta
(CS-alphabeta), also known as the scorpion toxin-like, superfamily. Some other
peptides belonging to this superfamily of defensive peptides are
indistinguishable from "defensins," but have been assigned other names, making it
unclear what, if any, criteria must be met to qualify as an "invertebrate
defensin." In addition, there are other groups of defensins in invertebrates and
vertebrates that are considered to be evolutionarily unrelated to those in the CS
alphabeta superfamily. This complicates analyses and discussions of this peptide
group. This paper investigates the criteria for classifying a peptide as an
invertebrate defensin, suggests a reference cysteine array that may be helpful in
discussing peptides in this superfamily, and proposes that the superfamily
(rather than the name "defensin") is the appropriate context for studying the
evolution of invertebrate defensins with the CS-alphabeta fold. METHODS: CS
alphabeta superfamily sequences were identified from previous literature and
BLAST searches of public databases. Sequences were retrieved from databases, and
the relevant motifs were identified and used to create a conceptual alignment to
a ten-cysteine reference array. Amino acid sequences were aligned in MEGA6 with
manual adjustments to ensure accurate alignment of cysteines. Phylogenetic
analyses were performed in MEGA6 (maximum likelihood) and MrBayes (Bayesian).
RESULTS: Across invertebrate taxa, the term "defensin" is not consistently
applied based on number of cysteines, cysteine spacing pattern, spectrum of
antimicrobial activity, or phylogenetic relationship. The analyses failed to
reveal any criteria that unify "invertebrate defensins" and differentiate them
from other defensive peptides in the CS-alphabeta superfamily. Sequences from
various groups within the CS-alphabeta superfamily of defensive peptides can be
described by a ten-cysteine reference array that aligns their defining structural
motifs. CONCLUSIONS: The proposed ten-cysteine reference array can be used in
addition to current nomenclature to compare sequences in the CS-alphabeta
superfamily and clarify their features relative to one another. This will
facilitate analysis and discussion of "invertebrate defensins" in an appropriate
evolutionary context, rather than relying on nomenclature.
PMID- 27863511
TI - Analysis of complement biomarkers in systemic sclerosis indicates a distinct
pattern in scleroderma renal crisis.
AB - BACKGROUND: The complement system has been implicated in pathogenesis of systemic
sclerosis (SSc). The goal of the present study was to evaluate improved
complement biomarkers in SSc. METHODS: The presence of C4d, reflecting activation
of the classical/lectin pathways, C3bBbP corresponding to activation of the
alternative pathway, and soluble terminal complement complexes (all complement
pathways), was measured in plasma samples by enzyme-linked immunosorbent assay
and correlated to clinical parameters. The study included 81 patients with
limited cutaneous SSc and 41 with diffuse cutaneous SSc, as well as 47 matched
healthy controls and 81 patients with rheumatoid arthritis, 22 with psoriatic
arthritis and 20 with ankylosing spondylitis. Skin and kidney biopsies of
selected patients were stained to detect deposited C3b as a marker of local
complement activation. RESULTS: Biomarkers of activation of all complement
pathways were increased in SSc compared with healthy controls and were similar to
those in other rheumatic diseases. When patients with SSc were divided into
subgroups, a distinct pattern of complement markers was observed in individuals
with scleroderma renal crisis (SRC). By functional assay, we confirmed a
significant decrease in complement haemolytic activity in SRC vs. non-SRC
patients, indicating complement consumption. Further, we detected glomerular
deposits of C3b in some patients with SRC. CONCLUSIONS: The data indicate that
complement activation is an important feature of SRC.
PMID- 27863513
TI - Epidemiology of rubella virus cases in the pre-vaccination era of Ethiopia, 2009
2015.
AB - BACKGROUND: Rubella is a common mild rash illness caused by rubella virus. The
majority of infections occur in children and young adults. The infection is the
cause of a serious birth defect known as Congenital Rubella Syndrome (CRS) when a
woman acquires infection early in pregnancy. Ethiopia has not yet established
rubella virus surveillance and has not yet introduced rubella vaccine into the
routine immunization program. We characterize the epidemiology of laboratory
confirmed rubella virus cases collected through measles surveillance from 2009 to
2015 to better understand the burden of the disease in the country. METHODS: A
descriptive analysis was made to characterize rubella cases reported through the
national measles case based surveillance system. The measles case definition was
used to capture potential rubella cases. A suspected measles case was a person
with generalized rash and fever with cough, or coryza or conjunctivitis. Those
cases whose sera were negative for measles IgM antibodies were tested for rubella
IgM antibody. A confirmed rubella case was a person who tested positive for
rubella IgM. Only laboratory confirmed rubella cases were analyzed in this
article. RESULTS: Between 2009 and 2015, a total of 28,284 serum/plasma samples
were collected and tested for measles IgM antibody and 11,151 (39.4%) were found
positive. A total of 17,066 measles IgM negative or indeterminate samples were
tested for rubella virus IgM and 2615 (15.3%) were found positive during the same
period. Of 2615 confirmed rubella cases, 52.2% were females. The age of confirmed
cases ranged from one month to 42 years with a mean age of 7.3 years. Three
fourth of all confirmed rubella cases were aged less than 10 years. The number of
laboratory confirmed rubella cases linearly increased from 83 in 2009 to 856 in
2013 but dropped to 222 and 319 in 2014 and 2015 respectively. Higher number of
cases occurred in the hot dry season (January through June) and in the central
and western part of Ethiopia with 127 lab-confirmed outbreaks in the study
period. CONCLUSIONS: Based on our analysis, rubella was found to be endemic
throughout Ethiopia. Children below the age of 10 years were the most affected.
The burden of rubella cases varied from year to year but had a seasonal peak in
March. To better understand the magnitude of rubella prior to vaccine
introduction, establishing rubella surveillance system, conducting sero
prevalence studies among child bearing age females and establishing CRS sentinel
surveillance among young infants are critical.
PMID- 27863512
TI - Rheumatoid synovial fibroblasts differentiate into distinct subsets in the
presence of cytokines and cartilage.
AB - BACKGROUND: We investigated two distinct synovial fibroblast populations that
were located preferentially in the lining or sub-lining layers and defined by
their expression of either podoplanin (PDPN) or CD248, and explored their ability
to undergo self-assembly and transmigration in vivo. METHODS: Synovial
fibroblasts (SF) were cultured in vitro and phenotypic changes following
stimulation with interleukin (IL)-1beta, tumor necrosis factor (TNF)-alpha, and
transforming growth factor (TGF)-beta1 were examined. To examine the phenotype of
SF in vivo, a severe combined immunodeficiency (SCID) human-mouse model of
cartilage destruction was utilised. RESULTS: SF in the lining layer in rheumatoid
arthritis (RA) expressed high levels of PDPN compared to the normal synovium,
whereas CD248 expression was restricted to sub-lining layer cells. TNF-alpha or
IL1 stimulation in vitro resulted in an increased expression of PDPN. In
contrast, stimulation with TGF-beta1 induced CD248 expression. In the SCID human
mouse model, rheumatoid SF recapitulated the expression of PDPN and CD248.
Fibroblasts adjacent to cartilage expressed PDPN, and attached to, invaded, and
degraded cartilage. PDPN+ CD248- SF preceded the appearance of PDPN- CD248+ cells
in contralateral implants. CONCLUSIONS: We have identified two distinct SF
populations identified by expression of either PDPN or CD248 which are located
within different anatomical compartments of the inflamed synovial membrane. These
markers discriminate between SF subsets with distinct biological properties. As
PDPN-expressing cells are associated with early fibroblast migration and
cartilage erosion in vivo, we propose that PDPN-expressing cells may be an
attractive therapeutic target in RA.
PMID- 27863514
TI - Statistical methods and modelling techniques for analysing hospital readmission
of discharged psychiatric patients: a systematic literature review.
AB - BACKGROUND: Psychiatric services have undergone profound changes over the last
decades. CEPHOS-LINK is an EU-funded study project with the aim to compare
readmission of patients discharged with psychiatric diagnoses using a registry
based observational record linkage study design and to analyse differences in the
findings for five different countries. A range of different approaches is
available for analysis of the available data. Although there are some studies
that compare selected methods for evaluating questions on readmission, there are
to our knowledge no published systematic literature reviews on commonly used
methods and their comparison. This work shall therefore provide an overview of
the methods in use, their evolution throughout history and new developments which
can further improve the research quality in this area. METHODS: Based on
systematic literature reviews realized in the course of the CEPHOS-LINK study,
this work is a systematic evaluation of mathematical (statistical and modelling)
methods used in studies examining psychiatric readmission. The starting point
were 502 papers, of which 407 were analysed in detail; Methods used were assigned
to one of five categories with subcategories and analysed accordingly. Our
particular interest next to survival analysis and regression models is modelling
and simulation. RESULTS: As population sizes and follow-up times in the included
studies varied widely, a range of methods was applied. Studies with bigger sample
sizes conducted survival and regression analysis more often than studies with
fewer patients did. These latter relied more on classical statistical tests (e.g.
t-tests and Student Newman Keuls). Statistical strategies were often
insufficiently described, posing a major problem for the evaluation. Almost all
cases failed to provide and explanation of the rationale behind using certain
methods. CONCLUSION: There is a discernible trend from classical
parametric/nonparametric tests in older studies towards regression and survival
analyses in more recent ones. Modelling and simulation were under-represented
despite their high usability, as has been identified in other health applications
and comparable research areas.
PMID- 27863515
TI - Feasibility trial of a scalable psychological intervention for women affected by
urban adversity and gender-based violence in Nairobi.
AB - BACKGROUND: Living in conditions of chronic adversity renders many women more
vulnerable to experiencing gender-based violence (GBV). In addition to GBV's
physical and social consequences, the psychological effects can be pervasive.
Access to evidence-based psychological interventions that seek to support the
mental health of women affected by such adversity is rare in low- and middle
income countries. METHODS: The current study evaluates a brief evidence-informed
psychological intervention developed by the World Health Organization for adults
impacted by adversity (Problem Management Plus; PM+). A feasibility randomised
control trial (RCT) was conducted to inform a fully powered trial. Community
health workers delivered the intervention to 70 women residing in three peri
urban settings in Nairobi, Kenya. Women, among whom 80% were survivors of GBV (N
= 56), were randomised to receive five sessions of either PM+ (n = 35) by
community health workers or enhanced treatment as usual (ETAU; n = 35). RESULTS:
PM+ was not associated with any adverse events. Although the study was not
powered to identify effects and accordingly did not identify effects on the
primary outcome measure of general psychological distress, women survivors of
adversity, including GBV, who received PM+ displayed greater reductions in
posttraumatic stress disorder symptoms following treatment than those receiving
ETAU. CONCLUSIONS: This feasibility study suggests that PM+ delivered by lay
health workers is an acceptable and safe intervention to reach women experiencing
common mental disorders and be inclusive for those affected by GBV and can be
studied in a RCT in this setting. The study sets the stage for a fully powered,
definitive controlled trial to assess this potentially effective intervention.
TRIAL REGISTRATION: ACTRN12614001291673 , 10/12/2014, retrospectively registered
during the recruitment phase.
PMID- 27863516
TI - Residential neighbourhood greenspace is associated with reduced risk of incident
diabetes in older people: a prospective cohort study.
AB - BACKGROUND: Three cross sectional studies suggest that neighbourhood greenspace
may protect against incident diabetes. This study uses data from a longitudinal
study with a large sample size to investigate the association between greenspace
and the occurrence of incident diabetes over time. METHODS: Data was from the
European Prospective Investigation of Cancer Norfolk, UK, cohort, recruitment
1993-2007 (N = 23,865). Neighbourhoods were defined as 800 m circular buffers
around participants' home locations, according to their home postcode (zip code).
Greenspace exposure was defined as the percentage of the home neighbourhood that
was woodland, grassland, arable land, mountain, heath and bog, according to the
UK Land Cover Map. Cox proportional hazards regression examined the association
between neighbourhood greenspace exposure and incident diabetes. The population
attributable fraction assessed the proportion of diabetes cases attributable to
exposure to least green neighbourhoods. Mediation analysis assessed if physical
activity explained associations between greenspace and diabetes. Interaction
analysis was used to test for the modifying effect of rurality and socio-economic
status on the relationship between greenspace and diabetes. Models were adjusted
for known and hypothesised confounders. RESULTS: The mean age of participants was
59 years at baseline and 55.1% were female. The mean follow-up time was 11.3
years. Individuals living in the greenest neighbourhood quartile had a 19% lower
relative hazard of developing diabetes (HR 0.81; 95% CI 0.67, 0.99; p = 0.035;
linear trend p = 0.010). The hazard ratio remained similar (HR 0.81; 95% CI 0.65,
0.99; p = 0.042) after adjusting for age, sex, BMI, whether a parent had been
diagnosed with diabetes and socio-economic status at the individual and
neighbourhood level. A HR of 0.97 was attributed to the pathway through physical
activity in a fully adjusted model, although this was non-significant (95% CI
0.88, 1.08; p = 0.603). The incidence of diabetes in the least green
neighbourhoods (with 20% greenspace on average) would fall by 10.7% (95% CI
2.1%, 25.2%; p = 0.106) if they were as green as the average neighbourhood
observed across the whole cohort (59% greenspace on average). There were no
significant interactions between rurality or socio-economic status and level of
greenspace. CONCLUSIONS: Greener home neighbourhoods may protect against risk of
diabetes in older adults, although this study does not support a mediation role
for physical activity. Causal mechanisms underlying the associations require
further investigation.
PMID- 27863517
TI - Retrospective observation on contribution and limitations of screening for breast
cancer with mammography in Korea: detection rate of breast cancer and incidence
rate of interval cancer of the breast.
AB - BACKGROUND: The purpose of this study was to determine the benefits and
limitations of screening for breast cancer using mammography. METHODS:
Descriptive design with follow-up was used in the study. Data from breast cancer
screening and health insurance claim data were used. The study population
consisted of all participants in breast cancer screening from 2009 to 2014. Crude
detection rate, positive predictive value and sensitivity and specificity of
breast cancer screening and, incidence rate of interval cancer of the breast were
calculated. RESULTS: The crude detection rate of breast cancer screening per
100,000 participants increased from 126.3 in 2009 to 182.1 in 2014. The positive
predictive value of breast cancer screening per 100,000 positives increased from
741.2 in 2009 to 1,367.9 in 2014. The incidence rate of interval cancer of the
breast per 100,000 negatives increased from 51.7 in 2009 to 76.3 in 2014. The
sensitivities of screening for breast cancer were 74.6% in 2009 and 75.1% in 2014
and the specificities were 83.1% in 2009 and 85.7% in 2014. CONCLUSIONS: To
increase the detection rate of breast cancer by breast cancer screening using
mammography, the participation rate should be higher and an environment where
accurate mammography and reading can be performed and reinforcement of quality
control are required. To reduce the incidence rate of interval cancer of the
breast, it will be necessary to educate women after their 20s to perform self
examination of the breast once a month regardless of participation in screening
for breast cancer.
PMID- 27863518
TI - Safety and efficacy of bridging to lung transplantation with antifibrotic drugs
in idiopathic pulmonary fibrosis: a case series.
AB - BACKGROUND: Following recent approval of pirfenidone and nintedanib for
idiopathic pulmonary fibrosis (IPF), questions arise about the use of these
antifibrotics in patients awaiting lung transplantation (LTx). METHODS: Safety
and efficacy of antifibrotic drugs in IPF patients undergoing LTx were
investigated in a single-centre retrospective cohort analysis. RESULTS: A total
of nine patients, receiving antifibrotic therapy for 419 +/- 315 days until
subsequent LTx, were included. No major side effects were noted. Significant
weight loss occurred during antifibrotic treatment (p = 0.0062). FVC tended to
stabilize after 12 weeks of treatment in most patients. A moderate decline in
FVC, TLC and DLCO was noted during the whole pretransplant time period of
antifibrotic therapy. Functional exercise capacity and lung allocation score
remained unchanged. No post-operative thoracic wound healing problems, nor severe
early anastomotic airway complications were attributable to prior antifibrotic
treatment. None of the patients developed chronic lung allograft dysfunction
after a median follow-up of 19.8 (11.2-26.5) months; and post-transplant survival
was 100% after 1 year and 80% after 2 years. CONCLUSIONS: Antifibrotic drugs can
probably be safely administered in IPF patients, possibly attenuating disease
progression over time, while awaiting LTx.
PMID- 27863519
TI - TET-dependent regulation of retrotransposable elements in mouse embryonic stem
cells.
AB - BACKGROUND: Ten-eleven translocation (TET) enzymes oxidise DNA methylation as
part of an active demethylation pathway. Despite extensive research into the role
of TETs in genome regulation, little is known about their effect on transposable
elements (TEs), which make up nearly half of the mouse and human genomes.
Epigenetic mechanisms controlling TEs have the potential to affect their mobility
and to drive the co-adoption of TEs for the benefit of the host. RESULTS: We
performed a detailed investigation of the role of TET enzymes in the regulation
of TEs in mouse embryonic stem cells (ESCs). We find that TET1 and TET2 bind
multiple TE classes that harbour a variety of epigenetic signatures indicative of
different functional roles. TETs co-bind with pluripotency factors to enhancer
like TEs that interact with highly expressed genes in ESCs whose expression is
partly maintained by TET2-mediated DNA demethylation. TETs and 5
hydroxymethylcytosine (5hmC) are also strongly enriched at the 5' UTR of full
length, evolutionarily young LINE-1 elements, a pattern that is conserved in
human ESCs. TETs drive LINE-1 demethylation, but surprisingly, LINE-1s are kept
repressed through additional TET-dependent activities. We find that the SIN3A co
repressive complex binds to LINE-1s, ensuring their repression in a TET1
dependent manner. CONCLUSIONS: Our data implicate TET enzymes in the evolutionary
dynamics of TEs, both in the context of exaptation processes and of
retrotransposition control. The dual role of TET action on LINE-1s may reflect
the evolutionary battle between TEs and the host.
PMID- 27863520
TI - Modeling the effects of palm-house proximity on the theoretical risk of Chagas
disease transmission in a rural locality of the Orinoco basin, Colombia.
AB - BACKGROUND: Chagas disease is a major public health concern in Latin America and
it is transmitted by insects of the subfamily Triatominae, including Rhodnius
spp. Since palm trees are ubiquitous in Colombia and a habitat for Rhodnius spp.,
the presence of palms near villages could increase contact rates between vectors
and humans. Therefore, knowing whether a relationship exists between the
proximity of palms to villages and the abundance and distribution of vectors
therein, may be critical for Chagas disease prevention programs. Adapting a
mathematical model for R. prolixus population dynamics in a small village, we
model the implications of changing distances between palms and dwellings, to the
risk of Chagas disease infection. METHODS: We implemented a mathematical model
that reflects R. prolixus population dynamics in a small village located in the
department of Casanare (Colombia) to study the role of palm-house proximity. We
varied the distance between palms and houses by monitoring the network global
efficiency metric. We constructed 1,000 hypothetical villages varying distances
and each one was run 100 times. RESULTS: According to the model, as palm-house
proximity increases, houses were more likely to be visited by triatomine bugs.
The number of bugs per unit time increased progressively in a non-linear fashion
with high variability. We stress the importance of village configuration on the
model output. CONCLUSIONS: From a theoretical perspective, palm-house proximity
may have a positive effect on the incidence of Chagas disease. The model predicts
a 1% increase in new human cases per year when houses and palms are brought
closer by 75%.
PMID- 27863521
TI - Seroprevalence of bovine theileriosis in northern China.
AB - BACKGROUND: Bovine theileriosis is a common disease transmitted by ticks, and can
cause loss of beef and dairy cattle worldwide. Here, an indirect enzyme-linked
immunosorbent assay (iELISA) based on Theileria luwenshuni surface protein (TlSP)
was developed and used to carry out a seroepidemiological survey of bovine
theileriosis in northern China. METHODS: We used the BugBuster Ni-NTA His*Bind
Purification Kit to purify recombinant TlSP (rTlSP), which was subsequently
analyzed by Western Blotting to evaluate cross-reactivity with other pathogen
positive sera. The iELISA method based on rTlSP was successfully developed. Sera
from 2005 blood samples were tested with the rTlSP-iELISA method, and blood
smears from these samples were observed by microscopy. RESULTS: The specificity
of iELISA was 98.9%, the sensitivity was 98.5%, and the cut-off was selected as
24.6%. Western Blot analysis of rTlSP confirmed that there were cross-reactions
with Theileria luwenshuni, Theileria uilenbergi, Theileria ovis, Theileria
annulata, Theileria orientalis and Theileria sinensis. The epidemiological survey
showed that the highest positive rate of bovine theileriosis was 98.3%, the
lowest rate was 84.1%, and the average positive rate was 95.4% by iELISA. With
microscopy, the highest positive rate was 38.9%, the lowest rate was 5.1%, and
the relative average positive rate was 13.7%. CONCLUSIONS: An rTlSP-iELISA was
developed to detect circulating antibodies against bovine Theileria in northern
China. This is the first report on the seroprevalence of bovine theileriosis in
northern China, and it also provides seroepidemiological data on bovine
theileriosis in China.
PMID- 27863523
TI - Oligoclonal expansion of TCR Vdelta T cells may be a potential immune biomarker
for clinical outcome of acute myeloid leukemia.
AB - BACKGROUND: Recent data have shown that gammadelta T cells can act as mediators
for immune defense against tumors. Our previous study has demonstrated that
persisting clonally expanded TRDV4 T cells might be relatively beneficial for the
outcome of patients with T cell acute lymphoblastic leukemia after hematopoietic
stem cell transplantation (HSCT). However, little is known about the distribution
and clonality of the TRDV repertoire in T cell receptor (TCR) of gammadelta T
cells and their effects on the clinical outcome of patients with acute myeloid
leukemia (AML). The aim of this study was to assess whether the oligoclonal
expansion of TCR Vdelta T cells could be used as an immune biomarker for AML
outcome. FINDINGS: gammadelta T cells were sorted from the peripheral blood of 30
patients with untreated AML and 12 healthy donors. The complementarity
determining region 3 (CDR3) sizes of eight TCR Vdelta subfamily genes (TRDV1 to
TRDV8) were analyzed in sorted gammadelta T cells using RT-PCR and GeneScan. The
most frequently expressed TRDV subfamilies in the AML patients were TRDV8 (86.67
%) and TRDV2 (83.33 %), and the frequencies for TRDV1, TRDV3, TRDV4, and TRDV6
were significantly lower than those in healthy individuals. The most frequent
clonally expanded TRDV subfamilies in the AML patients included TRDV8 (56.67 %)
and TRDV4 (40 %). The clonal expansion frequencies of the TRDV2 and TRDV4 T cells
were significantly higher than those in healthy individuals, whereas a
significantly lower TRDV1 clonal expansion frequency was observed in those with
AML. Moreover, the oligoclones of TRDV4 and TRDV8 were independent protective
factors for complete remission. Furthermore, the oligoclonal expansion
frequencies of TRDV5 and TRDV6 in patients with relapse were significantly higher
than those in non-recurrent cases. CONCLUSIONS: To the best of our knowledge, we
characterized for the first time a significant alteration in the distribution and
clonality of the TRDV subfamily members in gammadelta T cells sorted from AML
patients. Clonally expanded TRDV4 and TRDV8 T cells might contribute to the
immune response directed against AML, while oligoclonal TRDV5 and TRDV6 might
occur in patients who undergo relapse. While the function of such gammadelta T
cell clones requires further investigation, TRDV gammadelta T cell clones might
be potential immune biomarkers for AML outcome.
PMID- 27863522
TI - Cardiometabolic health, prescribed antipsychotics and health-related quality of
life in people with schizophrenia-spectrum disorders: a cross-sectional study.
AB - BACKGROUND: People with schizophrenia-spectrum disorders (SSD) often have high
levels of obesity and poor cardiometabolic health. Certain types of
antipsychotics have been shown to contribute towards weight gain and there is
some equivocal evidence that obesity is related to poor health-related quality of
life (HRQoL) in people with SSD. It is also still uncertain if antipsychotic
polypharmacy/higher doses of antipsychotics are linked with HRQoL and/or
increased risk of obesity/Cardiovascular Disease (CVD). Therefore, this study
aimed to examine potential relationships between prescribed antipsychotic
medication regimens, cardiometabolic health risks and HRQoL in community-based
Chinese people with SSD. METHOD: This cross-sectional study reports the results
of baseline measurements of a random sample of patients in an ongoing controlled
trial of physical health intervention for people with severe mental illness. Data
from these randomly-selected participants (n = 82) were analysed to calculate 10
year CVD relative-risk (using QRISK(r)2 score), estimate the prevalence of
metabolic syndrome and contextualize patients' prescribed antipsychotics (types,
combinations and Daily Defined Dose equivalent). Patients self-reported their
HRQoL (SF12v2) and their obesity condition was assessed by waist-circumference
and Body Mass Index (BMI). RESULTS: Two-thirds of patients had a BMI >=23 kg/m2,
almost half were centrally obese and 29% met the criteria for metabolic syndrome.
The individual relative-risk of CVD ranged from 0.62 to 15, and 13% had a
moderate-to-high 10-year CVD risk score. Regression models showed that lower
physical HRQoL was predicted by higher BMI and lower mental HRQoL. Higher Defined
Daily Dose, clozapine, younger age and male gender were found to explain 40% of
the variance in CVD relative risk. CONCLUSION: The findings indicate that
cardiometabolic health risks in people with SSD may be more common than those
reported in the general Hong Kong population. The results also provide further
support for the need to consider antipsychotic polypharmacy and higher doses of
antipsychotics as factors that may contribute towards cardiometabolic risk in
Chinese patients with SSD. Clinicians in Hong Kong should consider using routine
CVD risk screening, and be aware that younger male patients who are taking
clozapine and prescribed higher Defined Daily Dose seem to have the highest
relative-risk of CVD. TRIAL REGISTRATION: Clinicaltrials.gov NCT02453217 .
Prospectively registered on 19th May 2015.
PMID- 27863524
TI - Positive mental health in outpatients: comparison within diagnostic groups.
AB - BACKGROUND: Positive mental health (PMH) supplements the definition of mental
health which is not just the mere absence of mental illness. It encompasses an
individual's social, emotional and psychological well-being. This cross-sectional
study examines the PMH levels in a multi-ethnic outpatient population and the
socio-demographic correlates of PMH across the various diagnostic groups. In
addition comparisons with the general population were conducted. METHODS:
Outpatients with schizophrenia spectrum, depressive or anxiety disorders seeking
treatment at a tertiary psychiatric care hospital were included in the study
sample. All respondents completed the PMH instrument. Independent t-tests and
ANOVA with Bonferroni post-hoc tests were used to establish differences between
the PMH levels and domains. RESULTS: Three hundred and sixty outpatients with a
mean age of 39.2 years were included in the study. 52.5% were younger adults (21
39 years). There were slightly more males (50.8%) and 56.1% of the sample was
unemployed. PMH scores differed between the patient and general populations.
There were significant associations of the PMH domains with socio-demographic
variables such as age, ethnicity, gender and education status in the patient
population. CONCLUSIONS: PMH can be viewed as a protective factor of mental
illnesses. As such it is critical that mental health professionals examine the
domains of PMH in individuals with mental illnesses. This will in turn allow them
to develop coping strategies that can look into focusing on emotional,
psychological and social well-being appropriately to allow these individuals to
thrive.
PMID- 27863525
TI - Sexual dysfunction among youth: an overlooked sexual health concern.
AB - BACKGROUND: There is growing recognition that youth sexual health entails a broad
range of physical, emotional and psychosocial responses to sexual interactions,
yet little is known about sexual dysfunctions and well being in youth
populations. This study explored sexual dysfunctions among youth and its
associations with other domains of sexual health. Sexual dysfunctions were
defined as: problems related to orgasm, pain during intercourse, lack of sexual
desire or sexual pleasure. METHODS: Data were drawn from the 2010 French national
sexual and reproductive health survey comprising a random sample of 2309
respondents aged 15-24 years. The current analysis included 842 females and 642
males who had sexual intercourse in the last 12 months. Chi square tests were
used to test for differences in sexual dysfunctions by sex and explore
associations with other domains of sexual health. RESULTS: Half of females (48%)
reported at least one sexual dysfunction versus 23% of males. However, over half
(57%) of youth reporting at least one dysfunction did not consider this to hinder
their sexuality. Altogether, 31% of females cited at least one sexual dysfunction
hindering their sexuality-more than three times the 9% of males. Sexual
dysfunction was strongly and inversely related to sexual satisfaction for both
males and females and additionally to a recent diagnosis of STI or unintended
pregnancy for females. Sexual dysfunctions hindering sexuality were also
correlated with a history of unintended pregnancy among males. CONCLUSION: While
most youth in France enjoy a satisfying sexual life, sexual dysfunction is
common, especially among females. Public health programs and clinicians should
screen for and address sexual dysfunction, which substantially reduce youth
sexual wellbeing.
PMID- 27863526
TI - Assessment of ICount software, a precise and fast egg counting tool for the
mosquito vector Aedes aegypti.
AB - BACKGROUND: Widespread in the tropics, the mosquito Aedes aegypti is an important
vector of many viruses, posing a significant threat to human health. Vector
monitoring often requires fecundity estimation by counting eggs laid by female
mosquitoes. Traditionally, manual data analyses have been used but this requires
a lot of effort and is the methods are prone to errors. An easy tool to assess
the number of eggs laid would facilitate experimentation and vector control
operations. RESULTS: This study introduces a built-in software called ICount
allowing automatic egg counting of the mosquito vector, Aedes aegypti. ICount egg
estimation compared to manual counting is statistically equivalent, making the
software effective for automatic and semi-automatic data analysis. This technique
also allows rapid analysis compared to manual methods. Finally, the software has
been used to assess p-cresol oviposition choices under laboratory conditions in
order to test the system with different egg densities. CONCLUSIONS: ICount is a
powerful tool for fast and precise egg count analysis, freeing experimenters from
manual data processing. Software access is free and its user-friendly interface
allows easy use by non-experts. Its efficiency has been tested in our laboratory
with oviposition dual choices of Aedes aegypti females. The next step will be the
development of a mobile application, based on the ICount platform, for vector
monitoring surveys in the field.
PMID- 27863527
TI - The role of social position and depressive symptoms in adolescence for life
course trajectories of education and work: a cohort study.
AB - BACKGROUND: While a vast amount of studies confirm the social reproduction of
class and status from one generation to the next, less is known about the role of
health in the child generation for these processes. Research has shown that
particularly mental distress in adolescence is important for future life chances.
This study aimed to examine the importance of parental socioeconomic position and
depressive symptoms in youth for life-course trajectories of education and labour
market attachment among men and women. METHODS: Based on four waves of
questionnaire data from the Northern Swedish Cohort (n = 1,001), consisting of
individuals born in 1965, three steps of gender-separate analyses were
undertaken. First, the individual trajectories of education and labour market
attachment from age 18 to 42 were mapped through sequence analysis. Second,
cluster analysis was used to identify typical trajectories. Third, two indicators
of parental socioeconomic position - occupational class and employment status -
and depressive symptoms at age 16 were used in multinomial regression analyses to
predict adult life-course trajectories. RESULTS: Four typical trajectories were
identified for men, of which three were characterised by stable employment and
various lengths of education, and the fourth reflected a more unstable situation.
Among women, five trajectories emerged, characterised by more instability
compared to men. Low parental occupational class and unemployment were
significantly associated with a higher risk of ending up in less advantaged
trajectories for men while, for women, this was only the case for occupational
class. Youth levels of depressive symptoms did not significantly differ across
the trajectories. CONCLUSIONS: This study found support for the intergenerational
reproduction of social position, particularly when measured in terms of parental
occupational class. Youth depressive symptoms did not show clear differences
across types of trajectories, subsequently impeding such symptoms to trigger any
selection processes. While this could be a consequence of the specific framework
of the current study, it may also suggest that depressive symptoms in youth are
not a root cause for the more complex processes through which how social position
develops across life. The possible impact of welfare and labour market policies
is discussed.
PMID- 27863529
TI - The expression of SIRT1 in articular cartilage of patients with knee
osteoarthritis and its correlation with disease severity.
AB - BACKGROUND: The study aims to investigate the expression of SIRT1 in articular
cartilage of patients with primary knee osteoarthritis (OA) and its relationship
with disease severity. METHODS: Cartilage tissue samples were collected from 38
knee OA patients and 9 normal healthy controls and then ascribed to normal, mild,
moderate, and severe groups on the basis of the improved Mankin grading system.
The expression of SIRT1 in articular cartilage was detected by
immunohistochemistry and western blots. The expression of p53 and acetylated p53
(Ac-p53) was also measured by western blots. RESULTS: The mutual comparisons of
the SIRT1 expression levels in all groups have statistical significance except
the one between the mild and moderate groups. Moreover, western blot results
showed that the SIRT1 was decreased and p53/Ac-p53 were increased in the OA
group. The average gray level of SIRT1 increases with the improving grade of the
improved Mankin grading system scorers. CONCLUSIONS: The expression of SIRT1 in
articular cartilage is negatively associated with severity of knee OA, indicating
that SIRT1 may act as a monitoring indicator for determining development and
progression of knee OA.
PMID- 27863528
TI - Oligodendrocyte development in the embryonic tuberal hypothalamus and the
influence of Ascl1.
AB - BACKGROUND: Although the vast majority of cells in our brains are glia, we are
only beginning to understand programs governing their development, especially
within the embryonic hypothalamus. In mice, gliogenesis is a protracted process
that begins during embryonic stages and continues into the early postnatal
period, with glial progenitors first producing oligodendrocyte precursor cells,
which then differentiate into pro-oligodendrocytes, pro-myelinating
oligodendrocytes, and finally, mature myelinating oligodendrocytes. The exact
timing of the transition from neurogenesis to gliogenesis and the subsequent
differentiation of glial lineages remains unknown for most of the Central Nervous
System (CNS), and is especially true for the hypothalamus. METHODS: Here we used
mouse embryonic brain samples to determine the onset of gliogenesis and expansion
of glial populations in the tuberal hypothalamus using glial markers Sox9, Sox10,
Olig2, PdgfRalpha, Aldh1L1, and MBP. We further employed Ascl1 and Neurog2 mutant
mice to probe the influence of these proneual genes on developing embryonic
gliogenic populations. RESULTS: Using marker analyses for glial precursors, we
found that gliogenesis commences just prior to E13.5 in the tuberal hypothalamus,
beginning with the detection of glioblast and oligodendrocyte precursor cell
markers in a restricted domain adjacent to the third ventricle. Sox9+ and Olig2+
glioblasts are also observed in the mantle region from E13.5 onwards, many of
which are Ki67+ proliferating cells, and peaks at E17.5. Using Ascl1 and Neurog2
mutant mice to investigate the influence of these bHLH transcription factors on
the progression of gliogenesis in the tuberal hypothalamus, we found that the
elimination of Ascl1 resulted in an increase in oligodendrocyte cells throughout
the expansive period of oligodendrogenesis. CONCLUSION: Our results are the first
to define the timing of gliogenesis in the tuberal hypothalamus and indicate that
Ascl1 is required to repress oligodendrocyte differentiation within this brain
region.
PMID- 27863530
TI - Performances of low level hospital health caregivers after a neonatal
resuscitation course.
AB - BACKGROUND: High fidelity simulation has been executed to allow the evaluation of
technical and non-technical skills of health caregivers. Our objective was to
assess technical and non-technical performances of low level hospitals health
caregivers who attended a Neonatal Resuscitation course using high fidelity
simulation in a standard-setting scenario. METHODS: Twenty-three volunteers were
asked to manage a simple scenario (infant with secondary apnea) after the course.
Technical and non-technical skills were assessed by using previously published
scores. Performances were assessed during the scenario and after 2 months by
filmed video recordings. RESULTS: Sixteen (69.5%) participants failed to pass the
minimum required technical score. Staff experience and participation in previous
courses were associated to higher score in technical and non-technical skills,
while working in level I or II hospitals did not affect the scores. Previous
experience in neonatal resuscitation requiring positive pressure ventilation was
associated to better non-technical performance. Technical and non-technical
scores were significantly correlated (r = 0.67, p = 0.0005). Delayed and direct
evaluation of technical skills provided the same scores. CONCLUSIONS: A neonatal
resuscitation course, performed by using a high fidelity simulation manikin, had
a limited impact on technical and non-technical skills of participants working in
low level hospitals. Training programs should be tailored to the participants'
professional background and to the more relevant sessions.
PMID- 27863531
TI - Effects of continuous renal replacement therapy on linezolid
pharmacokinetic/pharmacodynamics: a systematic review.
AB - BACKGROUND: Major alterations in linezolid pharmacokinetic/pharmacodynamic
(PK/PD) parameters might be expected in critically ill septic patients with acute
kidney injury (AKI) who are undergoing continuous renal replacement therapy
(CRRT). The present review is aimed at describing extracorporeal removal of
linezolid and the main PK-PD parameter changes observed in critically ill septic
patients with AKI, who are on CRRT. METHOD: Citations published on PubMed up to
January 2016 were systematically reviewed according to the preferred reporting
items for systematic reviews and meta-analyses (PRISMA) statement. All authors
assessed the methodological quality of the studies and consensus was used to
ensure studies met inclusion criteria. In-vivo studies in adult patients with AKI
treated with linezolid and on CRRT were considered eligible for the analysis only
if operational settings of the CRRT machine, membrane type, linezolid blood
concentrations and main PK-PD parameters were all clearly reported. RESULTS:
Among 68 potentially relevant articles, only 9 were considered eligible for the
analysis. Across these, 53 treatments were identified among the 49 patients
included (46 treated with high-flux and 3 with high cut-off membranes).
Continuous veno-venous hemofiltration (CVVH) was the most frequent treatment
performed amongst the studies. The extracorporeal clearance values of linezolid
across the different modalities were 1.2-2.3 L/h for CVVH, 0.9-2.2 L/h for
hemodiafiltration and 2.3 L/h for hemodialysis, and large variability in PK/PD
parameters was reported. The optimal area under the curve/minimum inhibitory
concentration (AUC/MIC) ratio was reached for pathogens with an MIC of 4 mg/L in
one study only. CONCLUSIONS: Wide variability in linezolid PK/PD parameters has
been observed across critically ill septic patients with AKI treated with CRRT.
Particular attention should be paid to linezolid therapy in order to avoid
antibiotic failure in these patients. Strategies to improve the effectiveness of
this antimicrobial therapy (such as routine use of target drug monitoring,
increased posology or extended infusion) should be carefully evaluated, both in
clinical and research settings.
PMID- 27863534
TI - Diagnosis a posteriori? Assessing gestational diabetes screening and management
in Morocco.
AB - BACKGROUND: In Morocco, gestational diabetes affects 1 in 10 pregnant women, but
knowledge about screening and management practices outside university settings is
limited. OBJECTIVE: To provide a comprehensive picture about the current
situation of screening and management of gestational diabetes at different levels
of care and to highlight existing challenges. DESIGN: We conducted a descriptive
mixed methods study in the districts of Al Haouz and Marrakech by using both
quantitative and qualitative methods, including document reviews of 369 antenatal
cards and 299 hospital files, health facility inventories related to resource
availability, 20 key informant interviews as well as focus group discussions with
32 pregnant women and exit interviews with 122 antenatal care (ANC) clients.
Quantitative data were descriptively analyzed using STATA Version 13, whereas
qualitative data were thematically analyzed using NVIVO Version 10. RESULTS: The
findings revealed that sensitization of women about gestational diabetes is low,
and only 34.4% have ever heard about it before attending ANC. Fasting blood sugar
is used for screening, and women are sent to external laboratories for testing. A
fasting blood sugar of 0.92 g/l and above was documented in 12.3% of all
antenatal cards examined. Women diagnosed with gestational diabetes are usually
referred to a specialist despite general practitioners at health center level
being responsible for the management of non-pregnant diabetic patients.
CONCLUSIONS: Decentralization of screening for gestational diabetes and initial
management of uncomplicated cases at the primary level of care could ease access
to care and reduce the number of mothers who are diagnosed after a complication
occurred.
PMID- 27863533
TI - Ecological niche modelling of Rift Valley fever virus vectors in Baringo, Kenya.
AB - BACKGROUND: Rift Valley fever (RVF) is a vector-borne zoonotic disease that has
an impact on human health and animal productivity. Here, we explore the use of
vector presence modelling to predict the distribution of RVF vector species under
climate change scenario to demonstrate the potential for geographic spread of
Rift Valley fever virus (RVFV). OBJECTIVES: To evaluate the effect of climate
change on RVF vector distribution in Baringo County, Kenya, with an aim of
developing a risk map for spatial prediction of RVF outbreaks. METHODOLOGY: The
study used data on vector presence and ecological niche modelling (MaxEnt)
algorithm to predict the effect of climatic change on habitat suitability and the
spatial distribution of RVF vectors in Baringo County. Data on species occurrence
were obtained from longitudinal sampling of adult mosquitoes and larvae in the
study area. We used present (2000) and future (2050) Bioclim climate databases to
model the vector distribution. RESULTS: Model results predicted potential
suitable areas with high success rates for Culex quinquefasciatus, Culex
univitattus, Mansonia africana, and Mansonia uniformis. Under the present
climatic conditions, the lowlands were found to be highly suitable for all the
species. Future climatic conditions indicate an increase in the spatial
distribution of Cx. quinquefasciatus and M. africana. Model performance was
statistically significant. CONCLUSION: Soil types, precipitation in the driest
quarter, precipitation seasonality, and isothermality showed the highest
predictive potential for the four species.
PMID- 27863532
TI - Microvesicles secreted from equine amniotic-derived cells and their potential
role in reducing inflammation in endometrial cells in an in-vitro model.
AB - BACKGROUND: It is known that a paracrine mechanism exists between mesenchymal
stem cells and target cells. This process may involve microvesicles (MVs) as an
integral component of cell-to-cell communication. METHODS: In this context, this
study aims to understand the efficacy of MVs in in-vitro endometrial stressed
cells in view of potential healing in in-vivo studies. For this purpose, the
presence and type of MVs secreted by amniotic mesenchymal stem cells (AMCs) were
investigated and the response of endometrial cells to MVs was studied using a
dose-response curve at different concentrations and times. Moreover, the ability
of MVs to counteract the in vitro stress in endometrial cells induced by
lipopolysaccharide was studied by measuring the rate of apoptosis and cell
proliferation, the expression of some pro-inflammatory genes such as tumor
necrosis factor-alpha (TNF-alpha), interleukin-6 (IL-6), interleukin 1beta (IL
1beta), and metalloproteinases (MMP) 1 and 13, and the release of some pro- or
anti-inflammatory cytokines. RESULTS: MVs secreted by the AMCs ranged in size
from 100 to 200 nm. The incorporation of MVs was gradual over time and peaked at
72 h. MVs reduced the apoptosis rate, increased cell proliferation values,
downregulated pro-inflammatory gene expression, and decreased the secretion of
pro-inflammatory cytokines. CONCLUSION: Our data suggest that some microRNAs
could contribute to counteracting in-vivo inflammation of endometrial tissue.
PMID- 27863535
TI - Towards an integrated primary and secondary HIV prevention continuum for the
United States: a cyclical process model.
AB - INTRODUCTION: Every new HIV infection is preventable and every HIV-related death
is avoidable. As many jurisdictions around the world endeavour to end HIV as an
epidemic, missed HIV prevention and treatment opportunities must be regarded as
public health emergencies, and efforts to quickly fill gaps in service provision
for all people living with and vulnerable to HIV infection must be prioritized.
DISCUSSION: We present a novel, comprehensive, primary and secondary HIV
prevention continuum model for the United States as a conceptual framework to
identify key steps in reducing HIV incidence and improving health outcomes among
those vulnerable to, as well as those living with, HIV infection. We further
discuss potential approaches to address gaps in data required for programme
planning, implementation and evaluation across the elements of the HIV prevention
continuum. CONCLUSIONS: Our model conceptualizes opportunities to monitor and
quantify primary HIV prevention efforts and, importantly, illustrates the
interplay between an outcomes-oriented primary HIV prevention process and the HIV
care continuum to move aggressively forward in reaching ambitious reductions in
HIV incidence. To optimize the utility of this outcomes-oriented HIV prevention
continuum, a key gap to be addressed includes the creation and increased
coordination of data relevant to HIV prevention across sectors.
PMID- 27863536
TI - How to support staff deploying on overseas humanitarian work: a qualitative
analysis of responder views about the 2014/15 West African Ebola outbreak.
AB - BACKGROUND: Responding to health crises overseas can be both rewarding and
distressing for staff involved. OBJECTIVE: We interviewed UK staff involved in
the 2014/15 Ebola response to identify experiences that positively or negatively
affected them. METHOD: We conducted qualitative telephone interviews with 30
Public Health England (PHE) staff and 21 non-governmental organisation (NGO)
staff who had deployed to West Africa. RESULTS: The main motivations for
deploying were for moral reasons and personal development. Families were largely
supportive of deployment, although family tension was apparent. Pre-deployment
training was largely viewed positively. Common stressors included dealing with
death and suffering as well as concerns about contagion, while uplifting aspects
included seeing patients improve and receiving thanks from community members.
Communications with home were largely satisfactory, although participants
commonly self-censored their communication. Inter-organisational tensions caused
stress, particularly for PHE staff hosted by NGOs. After deployment, loss of
motivation and being avoided by friends and family were common. CONCLUSION:
Highlighting the personal benefits arising from deployments, as well as their
moral value, may help to increase volunteering. Efforts to improve the support
given to responders should focus on identifying how to better support families,
preparing all staff members for dealing with death and the risk of contagion,
providing opportunities for staff to more frequently experience the uplifting
aspects of deployment, resolving inter-organisational difficulties, and educating
others about the low risk posed by responders on their return.
PMID- 27863537
TI - High-resolution proteomic and lipidomic analysis of exosomes and microvesicles
from different cell sources.
AB - Extracellular vesicles (EVs), including exosomes and microvesicles (MVs), are
explored for use in diagnostics, therapeutics and drug delivery. However, little
is known about the relationship of protein and lipid composition of EVs and their
source cells. Here, we report high-resolution lipidomic and proteomic analyses of
exosomes and MVs derived by differential ultracentrifugation from 3 different
cell types: U87 glioblastoma cells, Huh7 hepatocellular carcinoma cells and human
bone marrow-derived mesenchymal stem cells (MSCs). We identified 3,532 proteins
and 1,961 lipid species in the screen. Exosomes differed from MVs in several
different areas: (a) The protein patterns of exosomes were more likely different
from their cells of origin than were the protein patterns of MVs; (b) The
proteomes of U87 and Huh7 exosomes were similar to each other but different from
the proteomes of MSC exosomes, whereas the lipidomes of Huh7 and MSC exosomes
were similar to each other but different from the lipidomes of U87 exosomes; (c)
exosomes exhibited proteins of extracellular matrix, heparin-binding, receptors,
immune response and cell adhesion functions, whereas MVs were enriched in
endoplasmic reticulum, proteasome and mitochondrial proteins. Exosomes and MVs
also differed in their types of lipid contents. Enrichment in glycolipids and
free fatty acids characterized exosomes, whereas enrichment in ceramides and
sphingomyelins characterized MVs. Furthermore, Huh7 and MSC exosomes were
specifically enriched in cardiolipins; U87 exosomes were enriched in
sphingomyelins. This study comprehensively analyses the protein and lipid
composition of exosomes, MVs and source cells in 3 different cell types.
PMID- 27863538
TI - In vivo relevance of polymorphic Interleukin 8 promoter haplotype for the
systemic immune response to LPS in Holstein-Friesian calves.
AB - Interleukin 8, also known as CXC chemokine ligand 8 (CXCL8), is a critical
chemokine in the recruitment of leukocytes to sites of infection and is a potent
mediator of inflammation. We previously discovered 29 polymorphic sites in the
promoter region of the bovine Interleukin 8 gene, which segregate into two
distinct haplotypes, denoted IL8-h1 and IL8-h2. Population genetic analysis of
these two haplotypes showed significant inter-breed differences in haplotype
frequency, which is suggestive of selection acting at this locus. Furthermore
functional characterisation identified that IL8-h2 was more active in mammary
epithelial cells stimulated with the bacterial endotoxin, LPS. However, the in
vivo relevance of these functional differences in the IL8 gene has not been
ascertained. Therefore, in the current study, we tested the hypothesis that IL8
haplotype would result in variation in the systemic immune response to LPS
challenge in Holstein-Friesian (HF) calves. A Taqman assay was designed to
genotype both Jersey and HF calves, from which 20 healthy HF calves (representing
IL8-h1 and IL8-h2) from the same farm were subjected to LPS stimulation via
jugular venepuncture (100ng/kg). Systemic immune profiling was subsequently
performed up to 216h post-challenge. Haematological analysis showed perturbations
in leukocyte populations of cells but only the lymphocyte response was
significantly different between IL8-h1 and IL8-h2. IL8 expression levels were
significantly different between haplogroups, at both the gene expression and
protein levels (P<0.05). Circulating neutrophils were subsequently purified from
each haplogroup to measure potential haplotype specific effects on neutrophil
migration and bacterial killing but no significant differences were detected,
which is likely due to the low circulating levels of IL8. We conclude that IL8
haplotype significantly affects IL8 expression profile in response to bacterial
endotoxin in vivo, and the significant increase in IL8 in IL8-h2 calves supports
our earlier findings in vitro. Genetic variation at the IL8 locus therefore
explains a proportion of the inter-breed and inter-individual variation in
immunity between neonatal calves which is likely to influence their resistance to
infection.
PMID- 27863539
TI - Single nucleotide polymorphisms in major histocompatibility class II haplotypes
are associated with potential resistance to inflammatory bowel disease in German
shepherd dogs.
AB - German shepherd dogs (GSD) in the UK are at increased risk of developing the
Inflammatory Bowel Disaese (IBD). IBD is believed to be a multifactorial immune
mediated disease affecting genetically predisposed dogs. The aim of the current
study was to investigate whether susceptibility to IBD in GSD is associated with
the major histocompatibility complex (MHC) class II locus (Dog Leukocyte Antigen,
DLA). Sequence-based genotyping of the three polymorphic DLA genes DLA-DRB1,
DQA1 and -DQB1 was performed in 56 GSDs affected by IBD and in 50 breed-matched
controls without any history of gastrointestinal signs. The haplotype DLA
DRB1*015:02-DQA1*006:01-DQB1*023:01 was found to be present only in the control
population and was associated with a reduced risk of IBD (P<0.001). In contrast,
the haplotype DLA-DRB1*015:01-DQA1*006:01-DQB1*003:01 was associated with IBD
(Odds ratio [OR]=1.93, confidence interval [CI]=1.02-3.67, P=0.05). This study
has identified an association between DLA-type and canine IBD, supporting the
immunogenetic aetiology and immunopathogenesis of this disease.
PMID- 27863540
TI - Comparison of serum cytokine levels between dogs with multicentric lymphoma and
healthy dogs.
AB - In humans, multiple cytokines have been linked to the development of lymphoma,
and are relevant biomarkers for response to chemotherapy and prognosis. In
contrast, only a few circulating cytokines have been studied in dogs with
lymphoma. We prospectively enrolled thirty-one dogs newly diagnosed with
multicentric lymphoma. Immunophenotype was determined by flow cytometry in all
dogs, separating them into 2 subgroups: B cell lymphoma (n=21) and T cell
lymphoma (n=10). Nineteen healthy dogs were enrolled in the control group.
Circulating cytokine concentrations were measured using a commercial canine
multiplex magnetic bead-based assay which included Interleukin-2 (IL-2), IL-6, IL
7, IL-8, IL-10, IL-15, IL-18, Granulocyte Macrophage Colony-Stimulating Factor
(GM-CSF), Tumor Necrosis Factor-alpha (TNF-alpha), Interferon gamma (IFN-gamma),
IFN-gamma induced Protein-10 (IP-10), Keratinocyte Chemoattractant-like (KC
like), and Monocyte Chemoattractant Protein-1 (MCP-1). The serum levels of each
cytokine were first compared between the lymphoma and control groups, and then
between the B cell lymphoma, T cell lymphoma, and control groups. There was no
significant difference between the lymphoma and healthy control groups regarding
sex, age and weight. MCP-1, IL-6, and IL-10 were significantly higher in dogs
with lymphoma compared to healthy dogs (p<0.01, p=0.01 and p=0.03, respectively).
MCP-1 and IL-10 were significantly higher in the B cell lymphoma group than in
the healthy group (p=0.01, p=0.01, respectively). MCP-1 and IL-6 levels were
significantly higher in the T cell lymphoma group than in the healthy group
(p=0.02, p<0.01, respectively). IL-6 was significantly higher in the T cell
lymphoma group than in the B cell lymphoma group (p=0.03). Significant
differences among the groups were found for IL-15 and KC-like, but they were
affected by age and/or sex. There were no significant differences in serum IL-2,
IL-7, IL-8, IL-18, GM-CSF, TNF-alpha, IFN-gamma, and IP-10 between any of the
groups. Significant differences in red blood cell, white blood cell, neutrophil,
lymphocyte and monocyte counts were also found between the different groups of
dogs. Our data showed different serum cytokine and peripheral blood cell profiles
between dogs with lymphoma and healthy dogs, and between dogs with B cell and T
cell lymphoma. Further study is necessary to investigate the role of these
cytokines in lymphoma pathogenesis, response to treatment, and prognosis, and the
influence of age, sex and blood cell counts on their expression.
PMID- 27863541
TI - Cellular endocytic compartment localization of expressed canine CD1 molecules.
AB - CD1 molecules are glycoproteins present primarily on dendritic cells (DCs), which
recognize and present a variety of foreign- and self-lipid antigens to T-cells.
Humans have five different CD1 isoforms that survey distinct cellular
compartments allowing for recognition of a large repertoire of lipids. The canine
CD1 family consists of seven functional CD1 molecules (canine CD1a2, CD1a6,
CD1a8, CD1a9, CD1b, CD1c and CD1e) and one presumed non-functional isoform
(canine CD1d) due to a disrupted gene structure. The aim of this study was to
describe in vitro steady-state localization ptterns of canine CD1 isoforms and
their correlation with endocytic organelles. GFP-fused canine CD1 293T cell
transfectants were stained with markers for early endocytic compartments (EEA-1)
and late endocytic/lysosomal compartments (LAMP-1), respectively, and analyzed by
confocal microscopy. Canine CD1a molecules localized to the plasma membrane and
partially to the early endocytic compartment, but not to late endosomes or
lysosomes. In contrast, canine CD1b was highly associated with late
endosomal/lysosomal compartments and showed a predominant intracellular
expression pattern. Canine CD1c protein expression localized more promiscuously
to both the early endosomal compartments and the late endosomal/lysosomal
compartments. The canine CD1e molecule showed a strictly intracellular expression
with a partial overlap with late endosomal/lysosomal compartments. Lastly, canine
CD1d was expressed abnormally showing only a diminished GFP expression. In
conclusion, canine CD1 transfectants show distinct localization patterns that are
similar to human CD1 proteins with the exception of the canine CD1d isoform,
which most likely is non-functional. These findings imply that canine CD1
localization overall resembles human CD1 trafficking patterns. This knowledge is
important for the understanding of lipid antigen-receptor immunity in the dog.
PMID- 27863542
TI - Optimisation and validation of a PCR for antigen receptor rearrangement (PARR)
assay to detect clonality in canine lymphoid malignancies.
AB - PCR for antigen receptor gene rearrangements (PARR) analysis is being
increasingly used to assist diagnosis of canine lymphoma. In this study, PARR was
carried out on consecutive samples received as part of routine diagnostic
practice from 271 patients: 195 with lymphoid malignancies, 53 with reactive
conditions and 23 with other neoplasms. Initially, published primer sets were
used but later minor primer modifications were introduced and primers were
rationalised to give a PARR panel that provides a good compromise between
sensitivity and cost. Results were compared to diagnoses made by histology or
cytology, coupled with immunophenotyping by flow cytometry or
immunohistochemistry where possible. After exclusion of 11 poor quality samples,
230/260 (88%) gave a clear result with 162/163 (99%) of samples classified as
clonal and 56/67 (84%) classified as polyclonal giving results concordant with
the cytological/histological diagnosis. Among 30 samples with equivocal results,
21 had clonal peaks in a polyclonal background and nine showed little
amplification. These were from patients with a range of neoplastic and non
neoplastic conditions emphasising the need to interpret such results carefully in
concert with other diagnostic tests. The combination of primer sets used in this
study resulted in a robust, highly specific and sensitive assay for detecting
clonality.
PMID- 27863543
TI - Reduced humoral immunity and atypical cell-mediated immunity in response to
vaccination in cows naturally infected with bovine leukemia virus.
AB - Bovine leukemia virus (BLV) is a retrovirus that is widely distributed across US
dairy herds: over 83% of herds are BLV-infected and within-herd infection rates
can approach 50%. BLV infection reduces both animal longevity and milk production
and can interfere with normal immune health. With such a high prevalence of BLV
infection in dairy herds, it is essential to understand the circumstances by
which BLV negatively affects the immune system of infected cattle. To address
this question, BLV- and BLV+ adult, lactating Holstein dairy cows were vaccinated
with Bovi-Shield GOLD(r) FP(r) 5 L5 HB and their immune response to vaccination
was measured over the course of 28days. On day 0 prior to vaccination and days 7,
14 and 28 post-vaccination, fresh PBMCs were characterized for T and B cell
ratios in the periphery. Plasma was collected to measure titers of IgM, IgG1 and
IgG2 produced against bovine herpesvirus 1 (BHV1), Leptospira hardjo and L.
pomona, as well as to characterize neutralizing antibody titers produced against
BHV1 and bovine viral diarrhea virus types 1 and 2. On day 18 post-vaccination,
PBMCs were cultured in the presence of BHV1 and flow cytometry was used to
determine IFNgamma production by CD4+, CD8+ and gammadelta T cells and to
investigate CD25 and MHCII expression on B cells. BLV+ cows produced
significantly lower titers of IgM against BHV1, L. hardjo and L. pomona and
produced lower titers of IgG2 against BHV1. gammadelta T cells from BLV+ cows
displayed a hyper reactive response to stimulation in vitro, although no
differences were observed in CD4+ or CD8+ T cell activation. Finally, B cells
from BLV+ cows exhibited higher CD25 expression and reduced MHCII expression in
response to stimulation in vitro. All together, data from this study support the
hypothesis that BLV+ cows fail to respond to vaccination as strongly as BLV- cows
and, consequently, may have reduced protective immunity when compared to healthy
BLV- cows.
PMID- 27863544
TI - Effect of a post-weaning diet supplemented with functional feed additives on
ileal transcriptome activity and serum cytokines in piglets challenged with
lipopolysaccharide.
AB - This study evaluated the potential of a weanling diet supplemented with trace
minerals, vitamins, prebiotics, essential oils, antioxidants and bovine colostrum
(BC) to modulate the inflammatory response of low-weight (LW) and high-weight
(HW) piglets challenged with lipopolysaccharide (LPS). At weaning (20+/-1 d),
litters from 32 sows were assigned to four groups: control diet (CTL), CTL plus
dietary supplements (DS) or the antibiotic chlortetracycline (ATB), or DS plus BC
in place of plasma proteins in the weanling diet (DS+BC). At 37 d (T0), two LW
and two HW piglets were bled to evaluate ex vivo cytokine production by LPS
activated peripheral blood mononuclear cells (PBMCs). In parallel, LW and HW
piglets received intraperitoneal LPS and were bled at slaughter at 4h (T4) or 18h
(T18) post-injection. Ileal tissues from these piglets and two unchallenged
medium weight (MW) piglets per treatment were excised and analyzed by microarray.
At T0, cytokine production of LPS-activated PBMCs was not affected by dietary
treatments. At T4 after LPS challenge, serum concentrations of TNF-alpha, IL-6,
IL-8, and IL-10 were increased in all piglets (P<0.01). Interestingly, the LW
piglets had a higher TNF-alpha level than the HW piglets did (P=0.05). Dietary
treatments had no effect on the piglet serum concentration of these cytokines
neither at T4 nor at T18. Microarray data and QPCR analysis reveal that several
genes were differentially expressed in the LPS-challenged piglets in comparison
with the two control MW piglets (P<0.001). However, the dietary treatments had a
slight effect on the ileal gene expression of the T4 and T18 LPS-challenged
piglets when all piglets were included in the analysis. But when body weight (LW
and HW) was considered as a fixed effect, the microarray analysis showed that the
expression of 54 genes was differentially modulated by the dietary treatments in
the T4 and T18 LPS-challenged LW piglets (P<0.05) while in HW piglets no
difference was observed. QPCR analyses confirm that the level expression of
several genes was reduced in LW piglets fed DS or DS+BC diet compared with ATB
piglets. In conclusion, LPS challenge induced a transitional inflammation in
weanling piglets that was characterized by increased blood-circulating cytokines
and gut transcriptome activity. Results also suggest that the weanling diet
supplemented with feed additives attenuated the ileal gene response to the LPS
challenge, an effect that was more pronounced in the LW piglets.
PMID- 27863545
TI - Porcine Treg depletion with a novel diphtheria toxin-based anti-human CCR4
immunotoxin.
AB - Regulatory T cells (Tregs) are known to play an important role in
immunoregulation and have been shown to facilitate induction of transplantation
tolerance. Chemokine (C-C motif) receptor 4 (CCR4) is expressed on the surface of
effector Tregs involved in controlling alloimmune and autoimmune responses.
Recently we have developed a novel diphtheria-toxin based anti-human CCR4
immunotoxin for depleting CCR4+ cells in vivo. In this study, we have
demonstrated that the anti-human CCR4 immunotoxin bound to porcine lymphocytes
including CD4+FoxP3+ Tregs. Anti-human CCR4 immunotoxin effectively depleted
CCR4+ Foxp3+ porcine Tregs in vivo. We observed depletion of up to 70-85% of the
CCR4+Foxp3+ porcine Tregs in the peripheral blood and 85-91% in the lymph nodes
following the anti-human CCR4 immunotoxin treatment in Massachusetts General
Hospital (MGH) miniature swine. The depletion lasted for about one week with no
significant reduction observed within CCR4- cell populations including CD8alpha+
T cells, CCR4-CD4+ T cells and B cells. In summary, anti-human CCR4 immunotoxin
effectively depleted CCR4+Foxp3+ porcine Tregs in both peripheral blood and lymph
nodes.
PMID- 27863546
TI - Identification of three novel B-cell epitopes of VMH protein from Vibrio mimicus
by screening a phage display peptide library.
AB - Vibrio mimicus is the causative agent of ascites disease in fish. The heat-labile
hemolytic toxin designated VMH is an immunoprotective antigen of V. mimicus.
However, its epitopes have not been well characterized. Here, a commercially
available phage displayed 12-mer peptide library was used to screen epitopes of
VMH protein using polyclonal rabbit anti-rVMH protein antibodies, and then five
positive phage clones were identified by sandwich and competitive ELISA.
Sequences analysis showed that the motif of DPTLL displayed on phage clone 15 and
the consensus motif of SLDDDST displayed on the clone 4/11 corresponded to the
residues 134-138 and 238-244 of VMH protein, respectively, and the synthetic
motif peptides could also be recognized by anti-rVMH-HD antibody in peptide
ELISA. Thus, both motifs DPTLL and SLDDDST were identified as minimal linear B
cell epitopes of VMH protein. Although no similarity was found between VMH
protein and the consensus motif of ADGLVPR displayed on the clone 2/6, the
synthetic peptide ADGLVPR could absorb anti-rVMH-HD antibody and inhibit the
antibody binding to rVMH protein in enhanced chemoluminescence Western blotting,
whereas irrelevant control peptide did not affect the antibody binding with rVMH.
These results revealed that the peptide ADGLVPR was a mimotope of VMH protein.
Taken together, three novel B-cell epitopes of VMH protein were identified, which
provide a foundation for developing epitope-based vaccine against V. mimicus
infection in fish.
PMID- 27863547
TI - Identification of histamine receptors in the canine gastrointestinal tract.
AB - The important role of histamine in chronic gastrointestinal diseases has been
increasingly recognized over the last two decades in human medicine. Histamine is
released following mast cell activation and exerts its action through binding to
four different histamine receptors (H1, H2, H3, and H4). Histamine receptors are
dispersed throughout the body, and each different receptor mediates a unique
response. Documentation of the presence and type of histamine receptors in the
differing sections of the canine gastrointestinal tract will provide additional
research opportunities to further explore the role of histamine and its receptors
in chronic canine enteropathies, as well as potential therapeutic options. Full
thickness gastric, duodenal, jejunal, ileal, and colonic biopsies were obtained
from 6 clinically normal adult dogs immediately after humane euthanasia.
Commercially available histamine receptor antibodies predicted to react with
canine tissues were applied to paraffin-embedded tissue sections using standard
immunohistochemistry techniques to identify different histamine receptors.
Staining intensity was graded from negative to strong, and the specificity of
each antibody was evaluated with western blot. The presence and distribution of
histamine receptors varied by anatomic site and histologic level within sections
of the canine gastrointestinal tract. All 4 histamine receptors were readily
identified, although the distribution of H4 receptors was decreased in comparison
to the other histamine receptors. The distribution of the various histamine
receptors was similar to that seen in the normal human gastrointestinal tract. H1
receptors were located in the stomach, lymphoid tissue of the ileum and colon,
and the smooth muscle and ganglia of all sections. H2 receptors were located in
all sections of the gastrointestinal tract, with greatest staining intensity in
the gastric mucosa. H3 receptors were located in the stomach and colonic mucosa,
smooth muscle and ganglia of all sections, and ileal and colonic lymphoid tissue.
H4 receptors were located in the ganglia and smooth muscle of the
gastrointestinal tract, as well as the gastric and colonic mucosal and ileal
lymphoid tissue. Western blot demonstrated both specific and non-specific
staining with the H1 and H3 receptor antibody, but good specificity with the H4
receptor antibody. The H2 receptor antibody was not compatible with western blot
techniques, despite excellent immunohistochemical specificity and consistency.
Further studies to compare the density and distribution of the various histamine
receptors in dogs with gastrointestinal disease are warranted.
PMID- 27863548
TI - Impact of in vitro treatments of physiological levels of estradiol and
progesterone observed in pregnancy on bovine monocyte-derived dendritic cell
differentiation and maturation.
AB - The specific factors which regulate differentiation and maturation of dendritic
cells in bovine pregnancy remain unclear. We evaluated the influence of
physiologically relevant in vitro treatments of progesterone (PG) and estradiol
(E2) observed in late pregnancy on the differentiation and maturation of CD14+
monocyte-derived dendritic cell (moDC) from non-pregnant, lactating dairy cows
(n=7). We found that moDC differentiated in the presence of both E2 and PG had
impaired E. coli-induced phenotypic maturation, specifically a significant
reduction in CD80 and MHC II expression. Contrary to our previous work
characterizing moDC from late gestating dairy cattle, we did not observe an
increase in CD14 expression relative to the untreated control; this increase was
only observed in the current data in the dexamethasone-treated moDC. The moDC
treated with a combination of both E2 and PG had significantly greater
upregulation of anti-inflammatory cytokine IL-10 relative to the untreated
control, but TNFalpha production was not suppressed; only dexamethasone-treated
moDC showed abrogated TNFalpha production. These data suggest moDC may be
regulated by E2 and PG to hinder phenotypic maturation and regulate inflammatory
responses. Pregnancy-associated hormone profiles appear to be involved in the
generation of maternal immune tolerance in pregnancy. These hormone-facilitated
changes to moDC in pregnancy may also impede optimal immune responses to both
invading pathogens and routine vaccinations administered in late gestation
through limited antigen presentation and increased anti-inflammatory cytokine
production. These results provide insight into maternal immune modulation and
elucidate potential immune changes necessary to facilitate bovine pregnancy.
PMID- 27863549
TI - Cytokine and chemokine profiles of aqueous humor and serum in horses with uveitis
measured using multiplex bead immunoassay analysis.
AB - OBJECTIVE: To determine whether horses with clinically diagnosed Equine Recurrent
Uveitis (ERU) and those with Leptospirosis infection have a specific cytokine
profile in their aqueous humor (AH) and serum that differs from horses with
uveitis secondary to other ocular inflammatory processes and from horses with
normal eyes. ANIMALS STUDIED: Twenty-five client-owned horses with uveitis that
were presented to the North Carolina State University Ophthalmology Service, and
four University-owned horses without history or clinical signs of ocular disease.
PROCEDURE: Samples of AH and serum were obtained from horses with ERU (n=13),
acute or non-recurrent uveitis (UV; n=7), uveitis secondary to infectious
keratitis (IK; n=5), and normal eyes (N; n=4). Cytokine levels in AH and serum
were quantified using a multiplex bead immunoassay. Leptospiral antibody titers
in serum and AH and PCR for Leptospiral DNA in AH were performed. RESULTS: In the
AH of horses with ERU, increased levels of IL-1a, IL-4, IL-6, IL-8, IL-12p70, FGF
2, G-CSF, and RANTES were measured compared to UV, IK and N eyes, but the
differences were not significant. However, IL-10 was significantly higher in ERU
eyes compared to IK and N (P=0.029; 0.013), and IP-10 in ERU eyes was
significantly higher than in UV and N (P=0.004). Furthermore, MCP-1 was
significantly higher in ERU than N (P=0.04). In the serum, increased levels of IL
1a, IL-4, IL-6, IL-8, IL-12p70, fractalkine, and G-CSF were measured in horses
with ERU, but the levels were not significantly higher than those observed in UV,
IK, or N horses. However, serum IP-10 levels in horses with ERU were
significantly higher than in UV and N horses (P=0.005) and MCP-1 levels were
significantly higher in ERU than N (P=0.03). Horses with marked ocular
inflammation had significantly higher serum levels of G-CSF, IL-1a, fractalkine,
IL-13, IL-4, IL-17a, IL-12p70, IFN-gamma, and MCP-1. Elevated IL-10 in AH was
significantly associated with disease chronicity, both overall and in ERU eyes
(P=0.049), and in horses with positive ocular leptospiral titers or leptospiral
PCR, significant elevations of IL-10 (P=0.0018; 0.0032) and IP-10 (P=0.0342;
0.043) were detected in the AH compared to leptospiral negative eyes.
CONCLUSIONS: The anti-inflammatory cytokine IL-10 and the pro-inflammatory
cytokine IP-10 appear to play an important role in ERU. Further studies are
needed to further clarify and characterize cytokine profiles of specific ocular
inflammatory diseases, but multiplex bead immunoassay technology shows promise as
a diagnostically valuable tool.
PMID- 27863550
TI - CC chemokine ligand 2 and CXC chemokine ligand 8 as neutrophil chemoattractant
factors in canine idiopathic polyarthritis.
AB - Canine idiopathic polyarthritis (IPA) is characterized by increased numbers of
polymorphonuclear leukocytes (PMNs) in the synovial fluid (SF). In humans, CC
chemokine ligand 2 (CCL2) and CXC chemokine ligand 8 (CXCL8) recruit monocytes
and neutrophils, respectively, and are involved in various inflammatory
disorders. The aim of this study was to assess the roles of these chemokines in
driving PMNs infiltration into the joints of dogs with IPA. SF samples were
collected from dogs with IPA (n=19) and healthy controls (n=8), and the
concentrations of SF CCL2 and CXCL8 were determined by ELISA. Dogs with IPA had
significantly higher concentrations of CCL2 (3316+/-2452pg/ml, mean+/-SD) and
CXCL8 (3668+/-3879pg/ml) compared with the healthy controls (235+/-45pg/ml and
<15.6pg/ml, respectively). Then, an in vitro chemotaxis assay was performed using
a modified Boyden chamber (pore size: 3MUm). SF from IPA dogs had a
chemoattractant activity for PMNs that purified from the peripheral blood of a
healthy dog. We subsequently found that combination treatment with MK-0812 (an
antagonist of CCL2 receptor) and repertaxin (an antagonist of CXCL8 receptors)
significantly inhibited the migration of PMNs to SF from IPA dogs. Thus,
expression of the CCL2 receptor (chemokine (CC motif) receptor 2 (CCR2)) was
examined using polymerase chain reaction and immunocytochemistry. Canine
peripheral blood PMNs exhibited significantly higher CCR2 mRNA expression levels
than those in monocytes. In addition, we observed strong CCR2 expression on PMNs
obtained from healthy controls and IPA dogs, although mononuclear cells did not
express CCR2. Taken together, the data suggest that CCL2 acts as a canine PMNs
chemotactic factor as well as CXCL8 and both CCL2 and CXCL8 facilitate the
infiltration of PMNs into the joints of dogs with IPA.
PMID- 27863552
TI - Generation of potent porcine monocyte-derived dendritic cells (MoDCs) by modified
culture protocol.
AB - In vitro derivation of dendritic cells (DCs) is an alternative approach to
overcome the low frequency of primary DCs and the difficulty of isolation
techniques for studying DC immunobiology. To date, the conventional culture
protocol of porcine monocyte-derived DCs (MoDCs) has been widely used. However,
this protocol is not practical due to the requirement of a substantial number of
blood monocytes, and the process often interferes with DC maturation. To improve
in vitro porcine MoDC generation, we modified the previous conventional DC
generation protocol, based on the human and mouse primary DC culture system, and
compared phenotypic and functional features of MoDCs derived from the modified
protocol to the conventional protocol. The modified protocol consumed fewer
monocytes but generated higher CD1+ cells with DC-like morphology and the ability
of maturation. In addition, MoDCs from the modified protocol exhibited increased
antigen uptake and IFN-gamma production in response to LPS stimulation. Our
findings indicate that the modified protocol is expedient and reliable for
generating potent MoDCs that substitute for primary DCs. This will be a valuable
platform for future research in antigen delivery, vaccines and immunotherapy in
pigs, as well as relevant veterinary species.
PMID- 27863551
TI - In vitro cow uterine response to Escherichia coli, leukotrienes and cytokines.
AB - The aim was to determine the dynamic profile of interactions between Escherichia
coli (E. coli) and the actions of leukotrienes (LTs) and TNF and INFgamma
(cytokines) in the uterus in vitro. Uterine explants (N=6) were incubated for 2,
12 and 24h either as E. coli-treated (106CFU) or non-treated and/or with: LTB4
and C4 (10-6M, for both LTs), LTs receptors antagonists (aLTR; 10-6M) and/or
cytokines (each 10ng/ml). Toll Like Receptor 4 (TLR4) mRNA expression increased
in explants incubated with E. coli, cytokines and LTs after 2 and 12h and aLTR
inhibited the effect of LTs in explants incubated with E. coli (P<0.05). IL-6
mRNA expression was up-regulated in E.coli-treated explants with cytokines after
2h and cytokines with LTs after 12h (P<0.05). E. coli increased prostaglandin
(PG)E2 output after all examined time points, and PGF2alpha and IL-6 levels in
E.coli-treated explants after 12 and 24h with cytokines, with LTs (P<0.05). aLTR
inhibited LT stimulating action on PGs and IL-6 output in explants incubated with
E. coli after 12 and 24h (P<0.05). LTs modify and enhance experimentally induced
infection: TLR4 and IL-6 mRNA expression, IL-6 and PGs secretion, and cytokines
participate in this process.
PMID- 27863553
TI - A comparison of serum and plasma cytokine values using a multiplexed assay in
cats.
AB - BACKGROUND: Degenerative joint disease (DJD) is highly prevalent in cats, and
pain contributes to morbidity. In humans, alterations of cytokine concentrations
have been associated with joint deterioration and pain. Similar changes have not
been investigated in cats. Cytokine concentrations can be measured using
multiplex technology with small samples of serum or plasma, however, serum and
plasma are not interchangeable for most bioassays. Correlations for cytokine
concentrations between serum and plasma have not been evaluated in cats.
OBJECTIVE: To evaluate the levels of detection and agreement between serum and
plasma samples in cats. ANIMALS: Paired serum and plasma samples obtained from 38
cats. METHODS: Blood was collected into anti-coagulant free and EDTA
Vacutainer(r) tubes, serum or plasma extracted, and samples frozen at -80 degrees
C until testing. Duplicate samples were tested using a 19-plex feline
cytokine/chemokine magnetic bead panel. RESULTS: Agreement between serum and
plasma for many analytes was high, however correlation coefficients ranged from
0.01 to 0.97. Results from >50% of samples were below the lower limit of
quantification for both serum and plasma for nine analytes, and for an additional
three analytes for plasma only. CONCLUSIONS AND CLINICAL IMPORTANCE: While serum
and plasma agreement was generally good, detection was improved using serum
samples.
PMID- 27863554
TI - Acute phase protein haptoglobin as inflammatory marker in serum and synovial
fluid in an equine model of arthritis.
AB - Acute phase proteins are useful inflammatory markers in horses. Haptoglobin (Hp)
serum level is increased in horses undergoing different inflammatory processes,
including arthritis. However, Hp concentration has not been assessed in
inflammatory synovial fluid (SF). The aim of the present study was to investigate
the Hp response in serum and SF in horses undergoing experimentally induced
arthritis. For this purpose, serum and SF samples were collected from 12 animals
before amphotericin B-induced arthritis was created (T0, healthy) and 15days
after the lesion induction (T1, joint inflammation) and Hp was determined by
single radial immunodiffusion. The Hp increase between T0 and T1 was significant
in both serum and SF, and serum Hp concentration at T0 was significantly higher
than in SF, but significant differences were not found at T1, indicating a higher
Hp increase in SF. A significant positive correlation for Hp concentration
between serum and SF samples was found. These results highlight the potential
usefulness of Hp as inflammatory marker in horses, showing for the first time the
increase of Hp in SF from joint inflammation in the horse.
PMID- 27863556
TI - Oral vaccination of cattle with heat inactivated Mycobacterium bovis does not
compromise bovine TB diagnostic tests.
AB - In this study we investigated whether oral uptake of a heat inactivated M. bovis
wildlife vaccine by domestic cattle induced systemic immune responses that
compromised the use of tuberculin or defined antigens in diagnostic tests for
bovine TB. Positive skin test and blood-based IFN-gamma release assay (IGRA)
results were observed in all calves vaccinated via the parenteral route (i.e.
intramuscular). In contrast, no positive responses to tuberculin or defined
antigens were observed in either the skin test or IGRA test when performed in
calves vaccinated via the oral route. In conclusion, our results suggest that the
heat inactivated M. bovis vaccine could be used to vaccinate wildlife in a baited
form in conjunction with the following in cattle: (i) continuation of existing
tuberculin skin testing or novel skin test formats based on defined antigens; and
(ii) the use of IGRA tests utilizing tuberculin or defined antigens.
PMID- 27863555
TI - Test performance of three serological assays for the detection of Mycobacterium
bovis infection in common warthogs (Phacochoerus africanus).
AB - Sporadic cases of bovine tuberculosis (bTB) have been reported in warthogs in
Southern Africa and confirmed through mycobacterial culture. However, there are
no validated ante-mortem tests currently available for bTB in warthogs. In this
study, we evaluated the use of three serological assays for the detection of
Mycobacterium bovis infection in warthogs; an indirect enzyme-linked
immunosorbent assay (ELISA) using bovine purified protein derivative (PPDb) as a
capture antigen (indirect PPD ELISA), as well as two commercial assays, the TB
ELISA-VK(r) and DPP(r) VetTB Assay. Test performance of these assays was compared
using sera from 35 warthogs of known Mycobacterium bovis infection status. All
three assays were able to distinguish M. bovis-infected from uninfected
individuals with high sensitivity (Se) and specificity (Sp) (indirect PPD ELISA
Se: 88%, Sp: 89%; TB ELISA-VK(r) 88%, 79%; DPP(r) VetTB Assay 75%, 89%,
respectively). The assays performed very similarly and the ELISA assays showed
the greatest agreement (kappa=0.89). These results indicate that M. bovis
infected warthogs develop measurable pathogen-specific humoral responses which
can be used to distinguish them from uninfected animals. Therefore, serological
assays have value as ante-mortem bTB diagnostic tests in warthogs.
PMID- 27863557
TI - In vitro assessment of the effects of temperature on phagocytosis, reactive
oxygen species production and apoptosis in bovine polymorphonuclear cells.
AB - Heat stress exerts a direct negative effect on farm animal health, triggering
physiological responses. Environmental high temperature induces immunosuppression
in dairy cows, increasing the risk of mastitis and milk somatic cell counts. The
influence of heat stress on leukocytes activities has not been fully elucidated.
The present in vitro study was aimed at assessing whether the exposure to
temperature simulating conditions of severe whole body hyperthermia affects
defensive functions of bovine blood polymorphonuclear cells. Blood was collected
from seven clinically healthy, multiparous, late lactating Holstein cows. After
isolation, PMN were incubated at either 39 or 41 degrees C. Phagocytosis,
respiratory burst and apoptosis were then investigated. The selected temperatures
of 39 degrees C or 41 degrees C mimicked conditions of normothermia or severe
heat stress, respectively. Phagocytosis assay was carried out by measuring the
fluorescence of phagocyted fluorescein-labelled E. coli bioparticles. The
modulation of oxidative burst activity was studied by the cytochrome C reduction
method. Apoptosis was determined by measuring the activities of two enzymes that
play an effector role in the process, namely Caspase-3 and Caspase-7. Statistical
analyses were performed using SPSS 22.0. A Student t-test for paired samples and
a Generalised Estimating Equation were used based on data distribution. The
phagocytosis rate was reduced (-37%, P<0.01) when PMN were incubated for 2h at 41
degrees C, when compared to phagocytosis rate measured at 39 degrees C. The
oxidative burst, as determined by extracellular production of reactive oxygen
species (ROS), was also reduced by the exposure of cells to 41 degrees C compared
to 39 degrees C. Such reduction ranged between -2 and -21% (P<0.05). Apoptosis
rate was not affected by different temperatures. The results reported in this
study suggest that phagocytosis and ROS production in PMN exposed to severe high
temperature are impaired, partially explaining the higher occurrence of
infections during periods of hot weather.
PMID- 27863558
TI - Development of an autologous canine cancer vaccine system for resectable
malignant tumors in dogs.
AB - While conventional therapies exist for canine cancer, immunotherapies need to be
further explored and applied to the canine setting. We have developed an
autologous cancer vaccine (K9-ACV), which is available for all dogs with
resectable disease. K9-ACV was evaluated for safety and immunogenicity for a
variety of cancer types in a cohort of companion dogs under veterinary care. The
autologous vaccine was prepared by enzymatic digestion of solid tumor biopsies.
The resultant single cell suspensions were then UV-irradiated resulting in
immunogenic cell death of the tumor cells. Following sterility and endotoxin
testing, the tumor cells were admixed with CpG ODN adjuvant and shipped to the
participating veterinary clinics. The treating veterinarians then vaccinated each
patient with three intradermal injections (10 million cells per dose) at 30-day
intervals (one prime and two boost injections). In a cohort of 20 dogs completing
the study, 17 dogs (85%) developed an augmented IgG response to autologous tumor
antigens as demonstrated using western blot analysis of pre- and post-peripheral
blood samples. We also report several dogs have lived beyond expected survival
time based on previously published data. In summary, K9-ACV is an additional
option to be considered for the treatment of dogs with resectable cancer.
PMID- 27863559
TI - Erratum to "Introduction" [Seminars in Diagnostic Pathology 33(5) (2016) 243].
PMID- 27863560
TI - Signaling in the hypothalamus: New concepts.
PMID- 27863561
TI - Precision Medicine and PET/Computed Tomography: Challenges and Implementation.
AB - Precision Medicine is about selecting the right therapy for the right patient, at
the right time, specific to the molecular targets expressed by disease or tumors,
in the context of patient's environment and lifestyle. Some of the challenges for
delivery of precision medicine in oncology include biomarkers for patient
selection for enrichment-precision diagnostics, mapping out tumor heterogeneity
that contributes to therapy failures, and early therapy assessment to identify
resistance to therapies. PET/computed tomography offers solutions in these
important areas of challenges and facilitates implementation of precision
medicine.
PMID- 27863562
TI - Molecular Imaging and Precision Medicine: PET/Computed Tomography and Therapy
Response Assessment in Oncology.
AB - A variety of methods have been developed to assess tumor response to therapy.
Standardized qualitative criteria based on 18F-fluoro-deoxyglucose PET/computed
tomography have been proposed to evaluate the treatment effectiveness in specific
cancers and these allow more accurate therapy response assessment and survival
prognostication. Multiple studies have addressed the utility of the volumetric
PET biomarkers as prognostic indicators but there is no consensus about the
preferred segmentation methodology for these metrics. Heterogeneous intratumoral
uptake was proposed as a novel PET metric for therapy response assessment. PET
imaging techniques will be used to study the biological behavior of cancers
during therapy.
PMID- 27863563
TI - Molecular Imaging and Precision Medicine in Dementia and Movement Disorders.
AB - Precision medicine (PM) has been defined as "prevention and treatment strategies
that take individual variability into account." Molecular imaging (MI) is an
ideally suited tool for PM approaches to neurodegenerative dementia and movement
disorders (MD). Here we review PM approaches and discuss how they may be applied
to other associated neurodegenerative dementia and MD. With ongoing major
therapeutic research initiatives that include the use of molecular imaging, we
look forward to established interventions targeted to specific molecular
pathophysiology and expect the potential benefit of MI PM approaches in
neurodegenerative dementia and MD will only increase.
PMID- 27863564
TI - Designing and Developing PET-Based Precision Model in Thyroid Carcinoma: The
Potential Avenues for a Personalized Clinical Care.
AB - This communication enumerates the current uses and potential areas where PET
could be clinically utilized for developing "precision medicine" type model in
thyroid carcinoma. (1) In routine clinics, PET imaging (with fluorodeoxyglucose
[FDG]) is utilized to investigate patients of differentiated thyroid carcinoma
(DTC) with high thyroglobulin and negative iodine scintigraphy (TENIS) and in
medullary carcinoma thyroid (MCT) when the tumor markers (eg, calcitonin and
carcino embryonic antigen [CEA]) are raised postoperatively (PET with FDG, 68Ga
DOTA-NOC/TATE, FDOPA). Both are examples of management personalization, where PET
computed tomography (CT) has been found substantially useful in detecting sites
of metastatic disease and making decision with regard to feasibility and planning
of surgery on an individual patient basis. (2) The next important area of
management personalization is in patients of TENIS with metastatic disease not
amenable to surgery through examining FDG-PET findings in tandem with radio
iodine scan and 68Ga-DOTA-TATE/NOC PET/CT. Heterogeneous behavior of the
metastatic lesions is frequently observed clinically: analyzing the findings of
three studies aids in sub-segmenting patients into subgroups and thereby deciding
upon the best approach (observation with LT4 suppression vs PRRT vs tyrosine
kinase inhibitors) that could be individualized in a given case. (3) In
metastatic/inoperable MCT, 68Ga-DOTA-TATE/NOC PET-CT helps in deciding upon
feasibility of targeted PRRT in an individual patient and helps in follow-up and
response evaluation. (4) Disease prognostification with FDG-PET is evolving both
in DTC and MCT, where FDG avidity would indicate an aggressive biology, though
the implication of this from treatment viewpoint is unclear at this point.
Conversely, a negative FDG-PET in DTC and TENIS would suggest a favorable
prognosis in an individual. (5) Iodine-124 PET/CT has the added potential of
obtaining lesional dosimetry compared to the SPECT approach, and could help in
selecting appropriate doses on an individual basis.
PMID- 27863565
TI - Molecular Imaging and Precision Medicine in Breast Cancer.
AB - Precision medicine, basing treatment approaches on patient traits and specific
molecular features of disease processes, has an important role in the management
of patients with breast cancer as targeted therapies continue to improve. PET
imaging offers noninvasive information that is complementary to traditional
tissue biomarkers, including information about tumor burden, tumor metabolism,
receptor status, and proliferation. Several PET agents that image breast cancer
receptors can visually demonstrate the extent and heterogeneity of receptor
positive disease and help predict which tumors are likely to respond to targeted
treatments. This review presents applications of PET imaging in the targeted
treatment of breast cancer.
PMID- 27863566
TI - Molecular Imaging and Precision Medicine in Lung Cancer.
AB - Precision medicine allows tailoring of preventive or therapeutic interventions to
avoid the expense and toxicity of futile treatment given to those who will not
respond. Lung cancer is a heterogeneous disease functionally and morphologically.
PET is a sensitive molecular imaging technique with a major role in the precision
medicine algorithm of patients with lung cancer. It contributes to the precision
medicine of lung neoplasia by interrogating tumor heterogeneity throughout the
body. It provides anatomofunctional insight during diagnosis, staging, and
restaging of the disease. It is a biomarker of tumoral heterogeneity that helps
direct selection of the most appropriate treatment, the prediction of early
response to cytotoxic and cytostatic therapies, and is a prognostic biomarker in
patients with lung cancer.
PMID- 27863567
TI - Advancing Precision Nuclear Medicine and Molecular Imaging for Lymphoma.
AB - PET with fluorodeoxyglucose F 18 (18F FDG-PET) is a meaningful biomarker for the
detection, targeted biopsy, and treatment of lymphoma. This article reviews the
evolution of 18F FDG-PET as a putative biomarker for lymphoma and addresses the
current capabilities, challenges, and opportunities to enable precision medicine
practices for lymphoma. Precision nuclear medicine is driven by new imaging
technologies and methodologies to more accurately detect malignant disease.
Although quantitative assessment of response is limited, such technologies will
enable a more precise metabolic mapping with much higher definition image detail
and thus may make it a robust and valid quantitative response assessment
methodology.
PMID- 27863568
TI - Molecular Imaging and Precision Medicine in Head and Neck Cancer.
AB - The concept of using tumor genomic profiling information has revolutionized
personalized cancer treatment. Head and neck (HN) cancer management is being
influenced by recent discoveries of activating mutations in epidermal growth
factor receptor and related targeted therapies with tyrosine kinase inhibitors,
targeted therapies for Kristen Rat Sarcoma, and MET proto-oncogenes. Molecular
imaging using PET plays an important role in assessing the biologic behavior of
HN cancer with the goal of delivering individualized cancer treatment. This
review summarizes recent genomic discoveries in HN cancer and their implications
for functional PET imaging in assessing response to targeted therapies, and drug
resistance mechanisms.
PMID- 27863569
TI - Molecular Imaging and Precision Medicine in Prostate Cancer.
AB - The aim of the present review is to discuss about the role of new probes for
molecular imaging in the evaluation of prostate cancer (PCa). This review focuses
particularly on the role of new promising radiotracers for the molecular imaging
with PET/computed tomography in the detection of PCa recurrence. The role of
these new imaging techniques to guide lesion-target therapies and the potential
application of these molecular probes as theranostics agents is discussed.
Finally, the molecular mechanisms underlying resistance to castration in PCa and
the maintenance of active androgen receptor are discussed.
PMID- 27863570
TI - Radionuclide Therapies in Molecular Imaging and Precision Medicine.
AB - This article reviews recent advances and applications of radionuclide therapy.
Individualized precision medicine, new treatments, and the evolving role of
radionuclide therapy are discussed.
PMID- 27863571
TI - Precision Medicine and PET/Computed Tomography: Time Has Arrived.
PMID- 27863572
TI - Emergency Preparedness and the Development of Health Care Coalitions: A Dynamic
Process.
AB - Health care emergency preparedness has undergone significant changes since the
first widespread distribution of federal funds occurred in 2002. Prior to the
development of the Health Resources and Service Administration Bioterrorism
Preparedness grant, support to hospitals and public health was limited to smaller
regional preparedness programs such as the Chemical Stockpile Emergency
Preparedness Program. Measurable progress with both the hospital preparedness
program and public health emergency preparedness requires development of
partnerships, establishment of coalitions, development of measurable objectives,
and a community willingness to work together to solve complex preparedness
problems.
PMID- 27863573
TI - National Disaster Health Consortium: Competency-Based Training and a Report on
the American Nurses Credentialing Center Disaster Certification Development.
AB - As the largest profession of health care providers, nurses are an integral
component of disaster response. Having clearly delineated competencies and
developing training to acquire those competencies are needed to ensure nurses are
ready when disasters occur. This article provides a review of nursing and
interprofessional disaster competencies and development of a new
interprofessional disaster certification. An overview of a standardized disaster
training program, the National Disaster Health Consortium, is provided as an
exemplar of a competency-based interprofessional disaster education program.
PMID- 27863574
TI - All the Resources was Gone: The Environmental Context of Disaster Nursing.
AB - US nurses are not prepared for the altered conditions of the disaster
environment, nor has the context of providing disaster nursing care been a focus
of disaster research. Using an existential phenomenologic approach, US nurses
described the "not normal" conditions of the disaster environment they
experienced as physically and emotionally challenging, because of the reduced
infrastructural capabilities, unfamiliar patient populations, and reliance on low
technology nursing with insufficient staff and supplies. Preparation for the
reduced resources of the disaster environment should be integrated into current
nursing education, clinical practice, and disaster preparedness policy.
PMID- 27863575
TI - Complicated Realities: Mental Health and Moral Incongruence in
Disaster/Humanitarian Response.
AB - In the course of disaster/humanitarian response, providers are exposed to chaotic
environments riddled with morally complex situations. This article disseminates
research findings that highlight the impact of moral incongruence on responder
mental health within the disaster/humanitarian setting by focusing on the theme
"Everything was gray." The information is extracted from a larger, mixed methods
study examining numerous variables considered within relevant literature to be
influential in the occurrence of psychological distress among
disaster/humanitarian responders.
PMID- 27863576
TI - Federal Emergency Management Agency Response in Rural Appalachia: A Tale of
Miscommunication, Unrealistic Expectations, and "Hurt, Hurt, Hurt".
AB - In spring of 2012, rural southeastern Kentucky was impacted by torrential storms
and flooding that activated federal disaster response through Federal Emergency
Management Agency (FEMA). This qualitative research study examines the experience
of community members affected by the Kentucky floods of 2012 (N=9) and describes
their interactions with FEMA representatives, in their own voice, thereby giving
insight into gaps in rural disaster response. The principal investigator spent 6
weeks living in the community and conducted open-ended interviews. Narratives
were transcribed verbatim and analyzed using a phenomenological approach.
PMID- 27863577
TI - US Military Nurses: Serving Within the Chaos of Disaster.
AB - The purpose of this article is to share US military nurses' experiences of
responding to disasters. Using phenomenology, 23 participants serving as US
military nurses from different service branches and the US Public Health Service
volunteered for a single face-to-face interview. Five polar themes plus one final
theme emerged from the narratives. Nurses expressed a sense of loss, reshaping of
thoughts regarding disasters, and new appreciation of how people's lives are
totally disrupted. Findings showed a need for change in nursing education,
practice, training, policy, and recommendations for higher education and how the
military may better educate its personnel.
PMID- 27863578
TI - Wildfire Disasters and Nursing.
AB - Multiple factors contribute to wildfires in California and other regions:
drought, winds, climate change, and spreading urbanization. Little has been done
to study the multiple roles of nurses related to wildfire disasters. Major
nursing organizations support disaster education for nurses. It is essential for
nurses to recognize their roles in each phase of the disaster cycle: mitigation,
preparedness, response, and recovery. Skills learned in the US federal all
hazards approach to disasters can then be adapted to more specific disasters,
such as wildfires, and issues affecting health care. Nursing has an important
role in each phase of the disaster cycle.
PMID- 27863579
TI - Evolution of a Nursing Model for Identifying Client Needs in a Disaster Shelter:
A Case Study with the American Red Cross.
AB - From the time of Clara Barton, Red Cross nursing has had a key role in the care
and support of persons affected by disasters in the United States. Hurricane
Katrina and other events brought to light the need for a shelter model that was
inclusive of the whole community, including persons with disabilities, at-risk
and vulnerable populations, and children. From an intake process to a nursing
model for assessment, an evidence-guided process informed a systematic approach
for a registered nurse-led model of care.
PMID- 27863580
TI - Hospital Decontamination: What Nurses Need to Know.
AB - Incidents involving the release of hazardous materials challenge medical
providers with safely, quickly, and correctly removing contaminants from the
victim. While doing so, the safety of the first receiver, current patients,
bystanders, as well as the victim all have to be considered. Key challenges with
hospital decontamination include, but are not limited to, selection of team
members, training protocols, employee turnover, and funding. Best practices,
based on the available literature and evidence, include administration buy-in and
support; strong policy and procedure documentation; equipment maintenance
programs; and team member recruitment, retention, and education.
PMID- 27863581
TI - Radiation, Fear, and Common Sense Adaptations in Patient Care.
AB - Lack of understanding about the basic nature of radiation exposure and
contamination may lead to unreasonable fear in nursing staff. A brief review of a
well-known case shows that in general, both the public and health care providers
are radiophobic. Studies have shown that the level of fear correlates inversely
with an understanding of radiation. This article explores underlying principles
of ionizing radiation and their application in patient management. Reality based,
scientifically accurate information along with practical suggestions can free
health care providers from unreasonable fear of victims of a radiation accident.
PMID- 27863582
TI - Vehicle of Hope: Faith-based Disaster Response.
AB - In August 2005, the United States experienced one of the most catastrophic and
costly disasters in its history: Hurricane Katrina. Faith-based Organizations
(FBOs) made a major contribution to the response and recovery efforts. Whereas
the activities and skill sets of FBOs vary, their core missions are very similar:
they want to provide hope. As a concept, hope has been purported to be essential
for health and well-being, is viewed as multidimensional and a life force, as
well as is highly individualized. This mixed methods study used interviews of the
phenomenology tradition and the Herth Hope Index.
PMID- 27863583
TI - Disaster Planning, Preparedness, Mitigation, Response, and Recovery: A Call for
All Nurses to Action.
PMID- 27863584
TI - Implications of Disaster Preparedness for Nursing.
PMID- 27863585
TI - Transcending Our Boundaries.
PMID- 27863586
TI - Jo Eland May Be Gone, but Her Legacy Remains.
PMID- 27863587
TI - Corrigendum to "Maintaining canine sperm function and osmolyte content with
multistep freezing protocol and different cryoprotective agents" [Cryobiol. 71
(2015) 344-349].
PMID- 27863588
TI - From the Editor's Perspective....
PMID- 27863589
TI - Limb loss: The unspoken psychological aspect.
AB - In the United States, health care providers have diagnosed 29.1 million people
with diabetes. Uncontrolled diabetes is the main reason for limb loss. This
review addresses the lack of psychological support after limb loss. Few scholarly
sources analyze the psychological aspects of limb loss before and after
amputation. These sources report that patients do not have a clear understanding
of their disease process and that patients often report a lack of empathy and
communication from health care providers. There is no standardization of
postoperative care instructions causing great confusion and increasing anxiety
for both the patient and the caregivers. Individuals with limb amputation express
increased depression and body image disturbance along with social embarrassment
after amputation. Postoperatively, patients report a decrease in resources once
discharged home. Some studies suggest psychiatric consultation preamputation and
postamputation. The literature also suggests increased communication between the
patient undergoing amputation and their health care provider preoperatively and
postoperatively.
PMID- 27863590
TI - Quality of life of people with chronic ulcers.
AB - INTRODUCTION: Patients with chronic ulcers have physical, social, and
psychological changes that directly affect their quality of life. OBJECTIVES: To
evaluate the quality of life and analyze the association between personal and
health characteristics in patient quality of life for those with chronic ulcers.
METHOD: A cross-sectional study, nonprobabilistic. The questionnaire to evaluate
personal and health characteristics and the Ferrans and Power Quality of Life
Index-Wound Version were used for the data collection. The sample consisted of
200 patients with chronic ulcers of several etiologies (venous ulcers, pressure
ulcers, diabetic ulcers, and mixed) recruited at the basic health units in two
cities in the South of Minas Gerais, Brazil. RESULTS: Overall, the study found
that their quality of life was rated as good. There was a positive correlation
between the different ages (P = 0.0165), number of children (P = 0.0083), and
practice of religion (P = 0.0394) with quality of life.
PMID- 27863591
TI - Identifying beliefs about smoking in patients with peripheral vascular disease.
AB - Peripheral vascular disease (PVD) is a chronic disabling illness that frequently
results in the occlusion of arteries in lower limbs and may cause ischemia,
gangrene, or infection. The single most significant factor contributing to both
the onset and progression of PVD is smoking, which has addictive properties. Once
smoking becomes a habitual behavior, combined with the atherosclerotic process, a
disastrous pathway ensues. The aim of this project was to gain an understanding
of the beliefs of patients with PVD who smoked. A nonexperimental, descriptive
design was used. Data were obtained from a sample of participants with a known
history of PVD who have had a previous vascular intervention and who are
established patients in a vascular surgeon's private office N = 50. The majority
of participants were male (n = 31; 63%) 65-74 years of age (n = 18; 36%), were
unemployed (n = 30; 60%), and have smoked for greater than 51+ years (n = 13;
26%). A scale that was developed guided by the behavioral beliefs subscale
revealed that PVD patients enjoyed smoking, smoking helped them to relax, and
they believed that they were addicted to smoking. Results from the normative
beliefs subscale indicated that the spouse or significant other, children or
those whom they lived with, did not approve of their smoking and also that their
healthcare provider had discussed smoking cessation with them. The control
beliefs subscale results demonstrated that most patients had attempted to quit
smoking and believed that it would be a difficult behavior to stop and they would
require the assistance of a patch or medication and feared the withdrawal
symptoms. In conclusion, exploring the beliefs and thought process of patients
who smoked provided a rich body of knowledge which can afford the healthcare
professional with the ability to better understand the smoking experience as seen
through the patients' eyes.
PMID- 27863592
TI - Nursing interventions in stroke care delivery: An evidence-based clinical review.
AB - INTRODUCTION: Generally, nursing interventions during the acute stages following
a stroke aim at preventing secondary brain injury (intracranial hypertension),
maintaining the airways (due to paralysis of the pharynx muscles), providing
general body support (vital signs, fluid and electrolyte balance), and
anticipating the occurrence of complications (atelectasis and pneumonia). AIM:
This literature review is to prioritize nursing interventions for acute stroke
and to update nursing roles and input considering recommended levels of evidence
of care to date. METHOD AND MATERIALS: A systematic review was undertaken, and
databases searched were Electronic Library Information Navigator (ELIN), Medline
and the Cumulative Index to Nursing and Allied Health Literature from 1990 to
2015, using the OVID interface. RESULTS: The search originally yielded 400
articles of which 65 were selected for analysis and 12 of these included evidence
synthesis (class I-IV, level A-Good Clinical Practice [GCP]). To facilitate early
patient recovery, advanced nursing care should include the routine practice of a
wide range of specific nursing interventions such as continence management,
pressure area care, swallowing management, and early mobilization. Other
important nursing interventions include the prevention of pulmonary
thromboembolism and early antiplatelet therapy. CONCLUSIONS: For over 20 years,
it has been established that specialized stroke care save lives, reduce
disability, shorten length of stay, and generally have been associated with
improved patient outcomes. Highly specialized nursing input is of paramount
importance in achieving optimum patient outcomes and high quality of
interdisciplinary care, providing a comprehensive, interactive, and holistic
approach for both acute stroke and rehabilitation.
PMID- 27863593
TI - Quality of life in elder adults one-year after coronary bypass.
AB - BACKGROUND: Survival rates in the elderly after cardiac surgery have improved
over the last decades and therewith more attention is directed toward Quality of
Life (QoL) as a patient reported outcome measure. OBJECTIVE: The purpose of this
study was to explore QoL in patients one year after coronary artery bypass
grafting, with special interest in the elderly patients (>=80 years). METHODS: In
a quantitative, retrospective single-center study patients with isolated coronary
artery bypass grafting (eg, nonvalve) surgery aged 80 years or older and operated
in 2013 were included (n = 32). A control group of patients aged younger than 80
years was selected by matching based on gender and a recalculated (for age)
logistic European System for Cardiac Operative Risk Evaluation (log EuroSCORE I)
during the same period (n = 48). QoL assessment by the EuroQol questionnaire (EQ
5D) and additional questions were performed at one-year follow-up. RESULTS: QoL
in elderly patients was 0.79 versus 0.90 in younger patients (P = 0.013).
Overall, 54.8% of the elderly experience some or extreme problems in mobility
versus 18.8% in the younger group (P = 0.001). Elderly patients also experience
more problems in self care (19.3 vs 4.2%, P = 0.029). Nine of the elderly (29%)
valued their postoperative health status to be worse than preoperatively versus 5
(10%) in the younger group (P = 0.028). Only patients aged 80 years or older
would choose not to have surgery again (12.9%). Hospital mortality was 3.1% in
the elderly group (n = 32) and 0% in the younger group (n = 48). CONCLUSION: Not
all elderly patients experience benefits in terms of QoL one year after cardiac
surgery. Therefore, potential benefits and risks need to be considered and
discussed by physicians and patients before making the decision to operate or
not.
PMID- 27863594
TI - Research article review: Clinical comparison of automatic, noninvasive
measurements of blood pressure in the forearm and upper arm.
PMID- 27863595
TI - Utility of the hand-held continuous wave Doppler in the vascular examination: A
review.
PMID- 27863596
TI - Dermal Drug Delivery by Nanocarriers.
PMID- 27863597
TI - Erratum.
PMID- 27863598
TI - The effects of a simulated laughter programme on mood, cortisol levels, and
health-related quality of life among haemodialysis patients.
AB - OBJECTIVE: This study aimed to evaluate the effects of a simulated laughter
programme on mood, cortisol levels, and health-related quality of life among
haemodialysis patients. METHODS: Forty participants were randomly assigned to a
laughter group (n = 20) or a control group (n = 20). Eleven participants
completed the laughter programme after haemodialysis sessions and 18 control
participants remained. The 4-week simulated laughter programme included weekly 60
min group sessions of simulated laughter, breathing, stretching exercises, and
meditation, as well as daily 15 s individual laughter sessions administered via
telephone. Mood, cortisol levels, and health-related quality of life were
analysed using the rank analysis of covariance, and Wilcoxon's signed rank test.
RESULTS: The laughter group exhibited improvements in mood, symptoms, social
interaction quality, and role limitations due to physical health. CONCLUSION: The
simulated laughter programme may help improve mood and health-related quality of
life among haemodialysis patients.
PMID- 27863599
TI - Comparison between the effects of muscle relaxation and support groups on the
anxiety of nursing students: A randomized controlled trial.
AB - OBJECTIVE: To compare the effects of the two methods of muscle relaxation and
support group on the anxiety levels of the nursing students. METHODS: In this
randomized controlled trial, 150 nursing students who met the inclusion criteria
were divided into three equal groups-muscle relaxation, support group, and
control-using the permuted-block randomization method. The experimental groups
received 5 sessions of intervention, while the control group did not receive any
intervention at all. Using Spielberger's inventory, the researchers measured the
anxiety levels of all three groups before and after the intervention. RESULTS:
The results showed that both methods had a significant impact on anxiety levels
of the nursing students; however, a comparison between their effects revealed
that muscle relaxation had been more effective than support group. CONCLUSIONS:
Considering the seriousness of the issue of anxiety for nursing students, it is
important that measures be taken to reduce anxiety in this group.
PMID- 27863600
TI - Herbal medicine for low sexual desire in menopausal women: A clinical review.
AB - Many women typically experience a significant reduction in sexual desire during
the late perimenopausal and early postmenopausal stages, with the biggest decline
in sexual desire occurring from three years prior to two years after the final
menstrual period. Despite being a prevalent female complaint, currently no
standard treatment for low sexual desire exists. Herbal medicines have been used
therapeutically all around the world, and are an important component of
Traditional and Complementary Medicine. There have been numerous trials and
pharmacological studies of specific herbal preparations related to the treatment
of low sexual desire. This article serves to provide a clinical review of the
evidence relating to the herbal treatment options for this common condition.
PMID- 27863601
TI - Clinical efficacy of turmeric use in gingivitis: A comprehensive review.
AB - INTRODUCTION: Gingivitis affects an estimated 80% of the population, and is
characterized as the world's most predominant inflammatory periodontal disease.
Without intervention, gingivitis can advance to alveolar bone loss. Therefore,
the primary goal in patients suffering with gingivitis is to control plaque
buildup and soft tissue inflammation. Current guidelines consider chlorhexidine
as the gold standard in the prevention and treatment of gingivitis. However,
negative side effects of chlorhexidine, including oral mucosal erosion,
discoloration of teeth, and bitter taste, provide an opportunity for alternative
medications. Turmeric, a commonly used herb, possesses anti-inflammatory,
antioxidant, antibacterial, antiviral, and antifungal properties. By virtue of
these properties, multiple controlled trials have been performed to investigate
the efficacy of turmeric in gingivitis. OBJECTIVES: The aim of this comprehensive
review is to summarize and evaluate the evidence on the efficacy of turmeric as
compared to chlorhexidine in the prevention and treatment of gingivitis. RESULTS:
PubMed, MedLine (Web of Science), and EBSCO (academic search complete) were
utilized as primary literature search tools. The following search strategy was
used: ((turmeric OR curcumin OR curcuma) AND (gingivitis OR "gum inflammation")).
Five reviewed studies show that both turmeric and chlorhexidine significantly
decrease plaque index (PI) and gingival index (GI), and can therefore be used in
the prevention and treatment of gingivitis. CONCLUSIONS: Both chlorhexidine and
turmeric can be used as an adjunct to mechanical means in preventing and treating
gingivitis. However, trials longer than 21 days with a greater number of patients
are necessary to further evaluate the comparison between turmeric and
chlorhexidine.
PMID- 27863602
TI - Effect of Fordyce Happiness Model on depression, stress, anxiety, and fatigue in
patients with multiple sclerosis.
AB - PURPOSE: This study was conducted to investigate the effect of Fordyce Happiness
Model (FHM) on depression, stress, anxiety, and fatigue in MS patients. METHODS:
In this clinical trial, 140 MS patients assigned to experimental and control
groups. Depression, anxiety, stress, and fatigue were measured by Depression
Anxiety Stress Scale-21 and Piper Standard Scale before and immediately and three
months after the implementation of FHM. The data were analyzed by SPSS 18.
RESULTS: Independent t-test indicated that total scores of stress, depression,
and fatigue of the two groups were not significantly different before the
intervention but were significantly different after the intervention (P?0.05).
Moreover, anxiety scores of the two were not significantly different after the
intervention (P?0.05). CONCLUSION: FHM can assist MS patients to manage their
disease and associated problems in life. Besides that, since FHM is efficient and
costless, it can be incorporated into the health interventions for MS patients.
PMID- 27863603
TI - Effect of 'Exercise Without Movement' yoga method on mindfulness, anxiety and
depression.
AB - OBJECTIVE: To analyze the effect of the 'Exercise Without Movement' (E.W.M) yoga
method on mindfulness and on the improvement of anxiety and depression symptoms.
METHODS: A quasi-experimental study examined the effect of one month E.W.M.
intervention among 38 participants who were enrolled voluntarily to both groups,
study (n = 16) and control (n = 22). Five participants dropped out during the
study. The State Mindfulness Scale (SMS) was used to measure mindfulness. The
Anxiety Inventory Beck (BAI) and the Beck Depression Inventory (BDI-II) were used
to measure the anxiety and depression symptoms, respectively, before and after
the intervention. RESULTS: Study group showed both a statistically significant
increase in mindfulness and decrease in anxiety and depression symptoms, compared
with the control group. CONCLUSIONS: The E.W.M. has been useful in the
development of mindfulness and in the treatment of anxiety and depression
symptoms and may represent a new method in the mindfulness-based therapeutic
application.
PMID- 27863604
TI - Bowenwork for symptom management of women breast cancer survivors with
lymphedema: A pilot study.
AB - PURPOSE: The objectives of this pilot study for women breast cancer survivors
with lymphedema was 1) to evaluate recruitment rates, retention rates, adherence
to Bowenwork (a noninvasive complementary therapy involving gentle muscle
movements), home exercises, safety and comfort; 2) determine the effect of
Bowenwork on quality of life (QOL), functional status, perceived pain, range of
motion (ROM), arm/ankle circumference (to assess for localized and systemic
changes). METHODS: Participants received 4 Bowenwork sessions with home
exercises. Initial and post assessments included QOL, functional status, and
pain. ROM, arm/ankle circumference and pain measures were recorded before each
session. RESULTS: Twenty-one women enrolled in the study; 95% completion;
adherence 100%; home exercises 95%; no adverse events. The intervention improved
mental health (SF-36-MCS); breast cancer-related functional (FACT-B); increased
ROM; reduced arm circumferences. P value set at <0.05. CONCLUSIONS: The Bowenwork
intervention was safe and acceptable for women breast cancer survivors with
lymphedema.
PMID- 27863605
TI - Perceptions of a hospital-based animal assisted intervention program: An
exploratory study.
AB - Research has shown that there are multiple benefits of animal assisted
interventions for patients. However, the impact of interaction with these animals
in staff is understudied, particularly in the acute care setting, and is thus a
novel contribution to the literature on human-animal interaction. The purpose of
this qualitative pilot study was to contribute to the body of knowledge
surrounding the experiences and perceptions of hospital staff who have
participated in a hospital-based animal assisted intervention program. Nine face
to-face semi-structured interviews were conducted (4 staff nurses, 3 support
staff members, and 2 hospital volunteers). Five themes emerged from the
respondent interviews: (1) descriptions of the therapy dogs; (2) contacts with
the dogs at work; (3) connection with the dogs outside of work; (4) benefits; (5)
drawbacks. Our findings reflect abundantly positive hospital staff experiences.
PMID- 27863606
TI - Use of complementary and alternative medicine in pregnancy and its impact on
obstetric outcome.
AB - OBJECTIVE: To evaluate the prevalence of use of complementary and alternative
medicine (CAM) in a Malaysian antenatal population and its impact on obstetric
outcome. DESIGN: Cross sectional study. SETTING: Obstetric Unit, Hospital
Sultanah Bahiyah, Alor Setar, Kedah. MATERIAL AND METHOD: Women attending
antenatal clinic and Patient Admission Centre (PAC) above 30 weeks gestation were
given structured questionnaires to fill. Pregnancy outcome measures were
documented and analyzed in relation to the information gathered through the
questionnaire. SPSS Version 21 was used to analyze all data obtained. RESULTS:
Out of 447 women, the overall prevalence of CAM usage in pregnancy was 85.2%. It
was popular among pregnant mothers aged between 26 and 35 years old and most
commonly used in the third trimester (p = 0.0.010) to facilitate labour. Other
sociodemographic factors such as race, parity, education, occupation and
residence were not significantly important. Traditional herbs was the commonest
type of CAM used in pregnancy (58.3%) followed by selusuh (24.3%). About 78.5% of
the CAM users delivered vaginally (p = 0.020) but a significant proportion
(14.3%) had fetal distress (p = 0.035) compared to non CAM users. The most common
type of herbs used was akar kayu bunga Fatimah (37.7%) and gamat (13.4%). In our
study, usage of selusuh product and akar kayu bunga Fatimah had a significant
impact in achieving vaginal delivery and shortened the duration of labour
particularly in multiparae. The usage of Kacip Fatimah and Salindah was
associated with preterm labour (p = 0.04)Tongkat Ali herbal coffee had a
significant association with hypertensive disorders in pregnancy (p = 0.011) and
fetal distress (p = 0.04) Meanwhile, the usage of Jamu Mustika Ratu was
significantly associated with low birth weight in grandmultiparae (p = 0.026)and
spirulina was significantly associated with oligohydramnios (p = 0.04).
CONCLUSION: Usage of CAM in pregnancy in the Malaysian population is of high
prevalence CAM in pregnancy has beneficial and adverse obstetric outcomes. More
research is needed to establish the safety of usage of various forms of CAM in
pregnancy.
PMID- 27863607
TI - "Awareness is the first step": An interprofessional course on mindfulness &
mindful-movement for healthcare professionals and students.
AB - High levels of stress and related burnout in healthcare professionals (HCPs) are
prevalent and costly conditions. Mindfulness training has received recent
attention as a possible prevention/intervention strategy to enhance resilience to
stress and reduce risk of burnout in HCPs. The purpose of this mixed-methods
pilot study was to evaluate the preliminary feasibility, acceptability, and
preliminary effects of an 8-week mindfulness curriculum for interprofessional
HCPs and trainees (n = 27). Qualitative findings supported feasibility and
acceptability of the course for a wide variety of HCP disciplines, including
nursing, dentistry, medicine, pharmacy, social work, mental health, and clinical
research. Despite being limited by a small sample size, there were statistically
significant reductions in perceived stress, anxiety, and specific aspects of
burnout from pre-to post-intervention and there was a trend in an enhanced sense
of personal accomplishment over time.
PMID- 27863608
TI - Is energy healing an effective non-pharmacological therapy for improving symptom
management of chronic illnesses? A systematic review.
AB - BACKGROUND: Emerging evidence suggests that some people living with non
communicable diseases (NCDs) have integrated energy healing into their self
management strategy, however little is known about its efficacy. PURPOSE: To
identify energy healing interventions that impacted positively on the symptom
management outcomes for patients living in the community with various NCDs.
METHODS: A systematic review of energy healing interventions for the management
of non-communicable disease related symptoms, conducted between 01 January 2000
and 21 April 2015, published in an English peer-reviewed journal. This review
conforms to the PRISMA statement. RESULTS: Twenty seven studies were identified
that evaluated various energy healing interventions involving 3159 participants.
Thirteen of the energy healing trials generated statistically significant
outcomes. CONCLUSIONS: Energy healing has demonstrated some improvement in
illness symptoms, however high level evidence consistently demonstrating efficacy
is lacking. Further more robust trials are required to better understand which
elements of energy healing interventions are associated with positive outcomes.
PMID- 27863609
TI - The impact of listening to pleasant natural sounds on anxiety and physiologic
parameters in patients undergoing coronary angiography: A pragmatic quasi
randomized-controlled trial.
AB - OBJECTIVE: This study aimed to investigate the impact of listening to pleasant
natural sounds on anxiety and physiological parameters in patients undergoing
coronary angiography. METHODS: The present pragmatic quasi-randomized controlled
clinical trial was conducted on 130 patients undergone elective angiography. The
participants were randomly divided into two groups, including a pleasant natural
sounds group, and a control group (n1/2 65 per group). Spielberger's state/trait
anxiety inventory was used to assess levels of anxiety. The patients' anxiety
level and physiological parameters were measured at baseline, before, during,
immediately after, and 20 min after coronary angiography. RESULTS: The mean level
of anxiety was similar in both arms at baseline (t = 1.317, df = 128, p = 0.190).
The intervention arm displayed significantly lower anxiety levels than the
control arm during the intervention (Wilks' lambda 0.11, Pillai's trace 0.89, P
0.001, F 2.05). The physiological parameters (systolic and diastolic blood
pressure, mean arterial pressure, heart rate, and oxygen saturation) of both
groups showed statistically significant differences (p < 0.05) over time and in
group-by time interactions. CONCLUSION: As an effective nursing intervention
presenting no side-effects, listening to pleasant natural sounds can be helpful
in the management of anxiety.
PMID- 27863610
TI - Exploring the body through reflexology: Physical behaviors observed during
application.
AB - Recent studies on reflexology describe the appearance of different application
associated effects, attributed to a self-regulatory mechanism related to
treatment efficacy. On the other hand, sleep is a physiological process of vital
importance for health. Its main value lies in restoring the natural balance
between neuronal centers. Among its associated behavioral characteristics are
spontaneous movements and eye movements. The aim of this study is to investigate
the effects that occur during application of reflexology and that are not
described in the literature. This is a descriptive observational study with a
quantitative methodology. Abivariate anlysis has been conductec through chi
square test or Anova as apropiate. A total of 111 clients of a therapy center in
Tarragona have participated in the study. They were assigned into four groups
(musculoskeletal, stress, anxiety, mantenance). Reflexology was administered and
observered the manifestations that occured during the session. The findings have
identified four categories of effects, of which there was no previous reference.
These effects can be related to any of the stages of sleep. This study shows that
reflexology promotes its application for different effects, such as eye movements
and spontaneous movements. These data reveal the need to investigate these
effects and their impact on health as well as their possible relationship with
sleep.
PMID- 27863611
TI - Mindfulness based stress reduction adapted for depressed disadvantaged women in
an urban Federally Qualified Health Center.
AB - BACKGROUND: In this study we examine the feasibility and preliminary
effectiveness of mindfulness based stress reduction adapted for delivery in an
urban Federally Qualified Health Center (FQHC). METHODS: Thirty-one African-
American adult women ages 18-65 with depressive symptoms enrolled to participate
in an 8-week mindfulness group intervention. The primary outcome (depression) and
secondary outcomes (stress, mindfulness, functioning, well-being, and depression
stigma) were assessed at baseline, 8 and 16-weeks. RESULTS: Depressive symptoms
significantly decreased from baseline to 16 weeks. A significant decrease in
stress and significant increase in mindfulness was found from baseline to 8 weeks
and baseline to 16 weeks. Additionally, aspects of well-being-self-acceptance and
growth-significantly increased from baseline to 8-weeks. Stigma significantly
increased from baseline to 8 weeks and significantly decreased from 8 to 16 weeks
(all p's < 0.05). CONCLUSIONS: Mindfulness-based interventions implemented in
FQHCs may increase access to effective treatments for mental health symptoms.
PMID- 27863612
TI - Complementary and alternative medicine use in children with cystic fibrosis.
AB - PURPOSE: To estimate the overall prevalence of complementary and alternative
medicine use among children with cystic fibrosis, determine specific modalities
used, predictors of use and subjective helpfulness or harm from individual
modalities. RESULTS: Of 53 children attending the cystic fibrosis clinic in
London, Ontario (100% recruitment), 79% had used complementary and alternative
medicine. The most commonly used modalities were air purifiers, humidifiers,
probiotics, and omega-3 fatty acids. Family complementary and alternative
medicine use was the only independent predictor of overall use. The majority of
patients perceived benefit from specific modalities for cystic fibrosis symptoms.
CONCLUSIONS: Given the high frequency and number of modalities used and lack of
patient and disease characteristics predicting use, we recommend that health care
providers should routinely ask about complementary and alternative medicine among
all pediatric cystic fibrosis patients and assist patients in understanding the
potential benefits and risks to make informed decisions about its use.
PMID- 27863613
TI - Effect of aromatherapy massage with lavender essential oil on pain in patients
with osteoarthritis of the knee: A randomized controlled clinical trial.
AB - BACKGROUND: Osteoarthritis of the knee is the most common chronic joint disease
that involves middle aged and elderly people. The purpose of this study was to
investigate the effect of aromatherapy massage with lavender essential oil on
pain in patients with osteoarthritis of the knee. METHODS: In this single
blinded, randomized clinical trial, 90 patients with osteoarthritis of the knee
who referred to the outpatient rheumatology clinics affiliated with Birjand
University of Medical Sciences were selected through convenience sampling method.
They were randomly assigned to three groups: intervention (aromatherapy massage
with lavender essential oil), placebo (massage with almond oil) and control
(without massage). The patients were evaluated at baseline, immediately after the
intervention, 1 week, and 4 weeks after the intervention in terms of pain via
visual analogue scale. The data were analyzed in SPSS (version 16) using the
repeated measure ANOVA, one-way ANOVA, and chi-squared test. RESULTS: Pain
severity of the patients in the intervention group was significantly different
immediately and 1 week after the intervention compared with their initial status
(p < 0.001) and that of the control group (p < 0.001 and p = 0.009 respectively).
However, at the third phase of follow-up (i.e., 4 weeks after the intervention),
there was no significant difference between the groups according to the visual
analogue scale (p = 0.67). CONCLUSION: Aromatherapy massage with lavender
essential oil was found effective in relieving pain in patients with knee
osteoarthritis. However, further studies are needed to confirm findings of this
study.
PMID- 27863614
TI - Guided imagery effects on chemotherapy induced nausea and vomiting in Iranian
breast cancer patients.
AB - BACKGROUND: The objective of this study was to examine the effect of guided
imagery on chemotherapy induced nausea and vomiting in breast cancer patients.
DESIGN: This was a quasi-experimental study in which a group of sample was
evaluated pre and post intervention. A convenience sample of 55 eligible breast
cancer patients enrolled to participate in this study after giving informed
consent. They completed the Morrow Assessment of Nausea and Vomiting, before and
after the intervention. The intervention consisted of listening to the two guided
imagery tracks. FINDINGS: After the intervention, patients at the third session
of chemotherapy had significantly lower mean scores in the frequency and severity
of nausea and vomiting pre and post chemotherapy (p < 0.05). CONCLUSIONS: Guided
imagery, as an inexpensive and noninvasive method, is particularly appealing
option to alleviate chemotherapy induced nausea and vomiting. It can be used in
addition to pharmacological strategies and can be implemented by patients
independently with sufficient training. Therefore, this therapy will considerably
improve severity and frequency of chemotherapy induced nausea and vomiting.
PMID- 27863615
TI - The effect of aromatherapy with lavender essence on severity of labor pain and
duration of labor in primiparous women.
AB - OBJECTIVE: The aim of this study was to investigate the effect of Lavender
essence inhalation on severity of labor pain and duration of labor. METHODS AND
MATERIALS: This single-blind, randomized clinical trial was conducted on 120
pregnant women in two groups. The experimental group received 2 drops of Lavender
essence inhaled at three stages (4-5, 6-7, 8-9 cm cervical dilation) and severity
of the labor pain and duration of labor was measured before and after
intervention. The control group was treated with distilled water as a placebo in
the similar ways, too. RESULTS: The results showed that difference in the labor
pain before and after intervention in two groups was significant (P = 0/001). But
there was no difference in mean duration of the active phase and the second stage
of labor between the two groups. CONCLUSION: Lavender essence aromatherapy may be
an effective therapeutic option for pain management for women in labor.
PMID- 27863616
TI - Attitudes, beliefs and behaviours of Australia dietitians regarding dietary
supplements: A cross-sectional survey.
AB - BACKGROUND: The aim of this study was to investigate the attitudes, beliefs and
behaviors of Australian dietitians regarding dietary supplements. METHODS: An
online survey was disseminated through the mailing lists of multiple healthcare
organizations. There were 231 Australian dietitians that replied to the online
survey. RESULTS: The results indicate that Australian dietitians are interested
in dietary supplements (65%); however, the results also indicate that Australian
dietitians are tentative about integrating dietary supplements into their
dietetic practice. Concerns regarding potential drug-nutrient/herbal interactions
were reported as the primary barrier (67%) to utilizing dietary supplements as
part of clinical practice. In addition, there was a strong interest in additional
training in dietary supplements (79%). CONCLUSIONS: In summary, Australian
dietitians are interested in the use of dietary supplements; however, due to
current barriers, few dietitians utilize dietary supplements as part of dietetic
practice.
PMID- 27863617
TI - Effectiveness of SP6 (Sanyinjiao) acupressure for relief of primary dysmenorrhea
symptoms: A systematic review with meta- and sensitivity analyses.
AB - We reviewed the available evidence for SP6 (Sanyinjiao) acupressure for the
relief of primary dysmenorrhea (PD) symptoms, as well as patients' experiences of
this intervention. We searched six relevant databases and gray literature for
publications dated up to March 2016. The search yielded 72 potential studies. Six
of these studies, contributing a total of 461 participants, were included in this
review. The primary outcome was pain intensity. Studies with significant
homogeneity were pooled for meta-analysis. Qualitative data and quantitative data
not suitable for meta-analysis were presented as a narrative synthesis. The
Cochrane criteria demonstrated that the included studies were generally of low
quality with a high risk of bias. SP6 acupressure delivered by trained personnel
significantly decreased pain intensity immediately after the intervention (effect
size = -0.718; CI = -0.951 to -0.585; p = 0.000), and pain relief remained up to
3 h after the intervention (effect size = -0.979; CI = -1.296 to 0.662; p =
0.000). However, patient-administered intervention required multiple monthly
cycles to effect pain reduction. SP6 acupressure appears to be effective when
delivered by trained personnel for some PD symptoms. Findings suggest that self
administered acupressure shows promise for the alleviation of PD symptoms. High
quality research is needed before conclusive recommendations are proposed.
PMID- 27863619
TI - Identification of SLC26A4 mutations p.L582LfsX4, p.I188T and p.E704K in a Chinese
family with large vestibular aqueduct syndrome (LVAS).
AB - Large vestibular aqueduct syndrome (LVAS) is a type of hearing loss characterized
by an autosomal recessive inheritance. LVAS has been shown to be associated with
mutations in SLC26A4 gene. In the present study, we report the clinical, genetic
and molecular characterization of a Chinese family with LVAS. By using the
targeted sequence capture and next-generation sequencing, we identified
heterozygous mutations of SLC26A4 p.I188T (c.563T > C), p.L582LfsX4 (c.1746 delG)
and p.E704K (c.2110G > A) in the affected individual of this family, of which
SLC26A4 p.E704K is a novel mutation associated with LVAS. By tracing the
transmission and functional prediction of these mutations in the pedigree, the
heterozygous mutations of p.I188T, p.L582LfsX4 and p.E704K in SLC26A4 gene were
responsible for the LVAS of the affected individual. This is the first case of
LVAS caused by these mutations.
PMID- 27863620
TI - Paediatric airway foreign body - The human factors influencing patient safety in
our hospitals.
AB - Foreign bodies in the pediatric airway are an uncommon emergency with a high
morbidity and mortality rate. Morbidity ranges from 10 to 20% worldwide and this
pathology accounts for up to 7% of accidental deaths in children under 4. Dealing
with this emergency safely and effectively is complex, requiring a tight coupling
of procedures and processes and optimal anesthetic and operating conditions to
prevent errors. These factors are recognized by the World Health Organization as
'Human Factors'. We perform a multi-center assessment of human factors pertinent
to this emergency. Specifically, equipment provision and staff training in this
emergency. Data was collected from 13 sites in the United Kingdom, using two
questionnaires for medical and nursing staff. Information including equipment
availability, location of equipment, and surgeon and nursing experience was
recorded. Royal Manchester Children's Hospital (RMCH) set the study standard. Our
study shows there is huge variability in equipment provision across units. There
is a lack of experience, confidence and training amongst middle grade
otolaryngology surgeons and emergency theatre staff in handling this emergency.
Issues with equipment and inexperience of both middle grade doctors and nursing
staff could result in significant patient morbidity and mortality. We suggest a
standardized age appropriate equipment list and staff training in use of this
equipment. Implementation of these simple changes could reduce preventable error
in this rare but serious emergency.
PMID- 27863621
TI - Impact of adenotonsillectomy on the quality of life in children with sleep
disordered breathing.
PMID- 27863622
TI - Objective characterization of airway dimensions using image processing.
AB - OBJECTIVES: With the evolution of medical and surgical management for pediatric
airway disorders, the development of easily translated techniques of measuring
airway dimensions can improve the quantification of outcomes of these
interventions. We have developed a technique that improves the ability to
characterize endoscopic airway dimensions using common bronchoscopic equipment
and an open-source image-processing platform. METHODS: We validated our technique
of Endoscopic Airway Measurement (EAM) using optical instruments in simulation
tracheas. We then evaluated EAM in a large animal model (Ovis aries, n = 5),
comparing tracheal dimensions obtained with EAM to measurements obtained via 3-D
fluoroscopic reconstruction. The animal then underwent resection of the measured
segment, and direct measurement of this segment was performed and compared to
radiographic measurements and those obtained using EAM. RESULTS: The simulation
tracheas had a direct measurement of 13.6, 18.5, and 24.2 mm in diameter. The
mean difference of diameter in simulation tracheas between direct measurements
and measurements obtained using EAM was 0.70 +/- 0.57 mm. The excised ovine
tracheas had an average diameter of 18.54 +/- 0.68 mm. The percent difference in
diameter obtained from EAM and from 3-D fluoroscopic reconstruction when compared
to measurement of the excised tracheal segment was 4.98 +/- 2.43% and 10.74 +/-
4.07% respectively. Comparison of these three measurements (EAM, measurement of
resected trachea, 3-D fluoroscopic reconstruction) with repeated measures ANOVA
demonstrated no statistical significance. CONCLUSIONS: Endoscopic airway
measurement (EAM) provides equivalent measurements of the airway with the
improved versatility of measuring non-circular and multi-level dimensions. Using
optical bronchoscopic instruments and open-source image-processing software, our
data supports preclinical and clinical translation of an accessible technique to
provide objective quantification of airway diameter.
PMID- 27863623
TI - Tuberculous lymphadenitis of the head and neck in Canadian children: Experience
from a low-burden region.
AB - BACKGROUND: Children are more likely than adults to develop extra-pulmonary
tuberculosis (EPTB), which often presents as cervical lymphadenopathy. The role
of surgery in management is uncertain. We reviewed all head and neck EPTB cases
presenting to our tertiary care pediatric institution over a twelve-year period.
METHODS: All children 18 years of age and younger with EPTB involving the head
and neck were included. We recorded clinical data and age at diagnosis, birth
country, BCG vaccination status, as well as radiographic, surgical, histological,
and microbiological results. RESULTS: All 16 patients presented with cervical
lymphadenopathy. Fourteen were born outside of Canada in TB endemic areas and all
had foreign-born parents. Diagnosis was confirmed microbiologically from lymph
node biopsies in 14 cases. Multi-drug resistant TB was identified in two cases:
both had previous excisional node biopsies that had not been cultured. Two
patients had culture negative suppuration despite adequate anti-tuberculous
treatment that required surgery for cure. CONCLUSION: Ongoing suppuration despite
appropriate drug therapy is seen in a minority of patients. We found that
excisional lymph node biopsy of diseased cervical lymph nodes is diagnostic, and
also therapeutic in some cases with ongoing suppuration despite appropriate drug
therapy. Mycobacterial culture of lymph nodes is important for diagnosis and
determination of drug resistance patterns.
PMID- 27863624
TI - Comparison of auditory comprehension skills in children with cochlear implant and
typically developing children.
AB - OBJECTIVE: The main goal of this study was to obtain auditory comprehension
skills of native Hindi speaking children with cochlear implant and typically
developing children across the age of 3-7 years and compare the scores between
two groups. METHODOLOGY: A total of sixty Hindi speaking participants were
selected for the study. They were divided into two groups- Group-A consisted of
thirty children with normal hearing and Group-B thirty children using cochlear
implants. To assess the auditory comprehension skills, Test of auditory
comprehension in Hindi (TACH) was used. The participant was required to point to
one of three pictures which would best correspond to the stimulus presented.
Correct answers were scored as 1 and incorrect answers as 0. RESULTS: TACH was
administered on for both groups. Independent t-test was applied and it was found
that auditory comprehension scores of children using cochlear implant were
significantly poorer than the score of children with normal hearing for all three
subtests. Pearson's correlation coefficient revealed poor correlation between the
scores of children with normal hearing and children using cochlear implant.
CONCLUSION: The results of this study suggest that children using cochlear
implant have poor auditory comprehension skills than children with normal
hearing.
PMID- 27863625
TI - Facial nerve stimulation following cochlear implantation for X-linked stapes
gusher syndrome leading to identification of a novel POU3F4 mutation.
AB - We report a case of a nine-year-old male who presented with facial nerve
stimulation four years after cochlear implantation. Computed tomography was
performed revealing a dilated internal auditory meatus and the cochlear implant
electrode was found to be protruding into the fallopian canal at the level of the
geniculate ganglion. Subsequent genetic analysis demonstrated X-linked deafness
type 2 (DFNX2) caused by a novel c.769C > T nucleotide change in the POU domain,
class 3, transcription factor 4 gene (POU3F4). Inactivation of electrodes 1 and
19-21 successfully abated facial nerve stimulation.
PMID- 27863626
TI - Temporalis myofascial flap coverage for extrusion of internal device after
cochlear implantation.
AB - Two pediatric patients with internal device exposure of cochlear implant (CI)
were treated successfully using vascularized temporalis myofascial flaps. The
visible scarring was minimal, and the CI function was excellent. Although we used
temporalis myofascial flaps for late complications of an implanted ear, it can
also be used in a primary case to provide flap reinforcement. In conclusion, the
temporalis myofascial flap technique is an ultimate surgical option that offers
advantages for CI patients with flap-related problems.
PMID- 27863627
TI - A mismatch negativity study in Mandarin-speaking children with sensorineural
hearing loss.
AB - OBJECTIVE: a) To examine the effects of sensorineural hearing loss on the
discriminability of linguistic and non-linguistic stimuli at the cortical level,
and b) to examine whether the cortical responses differ based on the
chronological age at intervention, the degree of hearing loss, or the acoustic
stimulation mode in children with severe and profound hearing loss. METHODS:
Mismatch negativity (MMN) responses were collected from 43 children with severe
and profound bilateral sensorineural hearing loss, and 20 children with normal
hearing (age: 3-6 years). In the non-verbal stimulation condition, pure tones
with frequencies of 1 kHz and 1.1 kHz were used as the standard and the deviant
respectively. In the verbal stimulation condition, the Chinese mandarin
tokens/ba2/and/ba4/were used as the standard and the deviant respectively.
Latency and amplitude of the MMN responses were collected and analyzed. RESULTS:
Overall, children with hearing loss showed longer latencies and lower amplitudes
of the MMN responses to both non-verbal and verbal stimulations. The latency of
the verbal/ba2/-/ba4/pair was longer than that of the nonverbal 1 kHz-1.1 kHz
pair in both groups of children. CONCLUSIONS: Children with hearing loss,
especially those who received intervention after 2 years of age, showed
substantial weakness in the neural responses to lexical tones and pure tones.
Thus, the chronological age when the children receive hearing intervention may
have an impact on the effectiveness of discriminating between verbal and non
verbal signals.
PMID- 27863628
TI - An effective compromise between cost and referral rate: A sequential hearing
screening protocol using TEOAEs and AABRs for healthy newborns.
AB - OBJECTIVE: This study evaluated the efficacy of a sequential hearing screening
protocol using transient evoked otoacoustic emission (TEOAE) and automated
auditory brainstem response (AABR) tests in healthy newborns. DESIGN: A TEOAE
screening was performed during the first 48-72 h of life. If the infants failed,
an AABR test was performed at the same time, and they were referred for a TEOAE
rescreening at six weeks old. The results of screening Protocol 1 (only TEOAE)
were compared with those of screening Protocol 2 (sequential TEOAE + AABR
screenings for the first screening and TEOAE for the rescreening). STUDY SAMPLE:
A total of 1062 healthy newborns were enrolled in this research. RESULTS: For
Protocol 1, the first screening and rescreening referral rates were 11.1% and
2.2%, respectively. In contrast, for Protocol 2, the referral rates were
significant lower at 3.8% and 0.9%, respectively. Using the two protocols, six
infants were diagnosed with hearing loss (0.57%). CONCLUSIONS: Adding
simultaneous AABR tests for infants who fail TEOAE testing at the first screening
stage can significantly reduce referral rates without increasing misdiagnosis
rates. Although this sequential screening process involves slightly more time and
has a higher cost than TEOAE alone, its greater accuracy compensates for this
difference.
PMID- 27863629
TI - Development of the maxillary sinus in infants and children.
AB - OBJECTIVE: To examine the age related volume change of the maxillary sinus in
children by measuring the change of the height, weight, and depth using computed
tomography (CT). METHODS: Children <18 years of age who underwent a CT Scan of
the sinuses for reasons other than sinus related issues were included in the
study. RESULTS: 139 patients were included (68 females and 71 Males) and the mean
age of the patients was 9.6 +/- 5.4 years. The cohort was divided into three
groups based on their ages - Age <6 years (n = 45), age between 6 and 12 years (n
= 44) and age > 12 years (n = 50). Patients in each age group demonstrated an
increase in their Maxillary sinus height (p<0.001). Patients < 6years of age and
between 6 and 12 years of age had a significant increase in their maxillary sinus
width and depth (p < 0.001). The maxillary sinus width, depth and volume did not
increase significantly after the age of 12 years in these patients. CONCLUSION:
We demonstrated periods of significant size increase of the maxillary sinuses as
determined by different dimensions in children at various ages. The height of the
maxillary sinus has steady growth from birth to at least the age of 18 years. The
width and depth increase up to 12 years of age.
PMID- 27863630
TI - Comparison of treatment outcomes between intracapsular and total tonsillectomy
for pediatric obstructive sleep apnea.
AB - BACKGROUND: Intracapsular tonsillectomy (IT) has been advocated as a treatment
for pediatric obstructive sleep apnea (OSA). However, evidence in the literature
utilizing polysomnography (PSG) is limited. OBJECTIVE: To examine the experience
at a tertiary children's hospital to evaluate the effectiveness and risks of
intracapsular tonsillectomy compared to total tonsillectomy (TT) for treating
pediatric OSA. METHODS: A retrospective study was undertaken of pediatric
tonsillectomy cases performed for OSA at a tertiary children's hospital from 2005
to 2010. Patients with recurrent tonsillitis, craniofacial abnormalities,
chromosomal abnormalities, neuromuscular disease, and congenital malformations
were excluded. Main outcome measures were apnea-hypopnea index (AHI), minimum
oxygen saturation (minO2), and surgical complications. RESULTS: Of the 1583
patients reviewed in this study, there were 75 IT and 93 TT patients with pre-
and post-operative PSG results. The IT patients were younger, had lower BMI,
larger tonsil size, lower pre-operative (AHI) and lower post-operative AHI (p <
0.05). There was a similar percentage of patients that showed improvement in AHI
and minimum oxygen saturation between the IT and TT groups. There were
statistically similar average change in AHI and minimum oxygen saturation between
the IT and TT groups at 5.6 +/- 8.6 and 8.6 +/- 12.9, respectively (p = 0.8) as
well as similar improvement in minimum oxygen saturation between the two groups
at 3.3% +/- 4.3% and 3.0% +/- 5.2%, respectively (p = 0.66). Of TT patients, 2.9%
experienced post-operative bleeding with 1.6% requiring OR for control of
hemorrhage. Of IT patients, 2.2% were found to have tonsillar regrowth with 2.0%
returning to the OR for secondary tonsillectomy. CONCLUSIONS: Intracapsular
tonsillectomy, like total tonsillectomy, is effective in improving polysomnogram
results in appropriately selected children. Intracapsular tonsillectomy is a
suitable option for the surgical treatment of pediatric OSA consequent to its
demonstrated efficacy in relieving OSA and its favorable safety profile.
PMID- 27863631
TI - Diagnostic hearing testing of infants aged 0-36 months in 3 South African
provinces - Comparison of audiology records to HPCSA guidelines.
AB - INTRODUCTION: Within the Early Hearing Detection and Intervention (EHDI) pathway,
which includes the processes of screening, diagnosis and intervention for
paediatric hearing loss, paediatric diagnostic audiology involves a battery of
specific tests and procedures. International studies have highlighted a golden
standard for diagnosis of paediatric hearing loss as based on the Joint Committee
of Infant Hearing (2007) diagnostic guidelines, closely resembling the HPCSA
diagnostic guidelines. There are limited South African studies on the processes
and protocols followed in diagnostic paediatric audiology. OBJECTIVES: This study
aims to provide a comparison for how the tests used for diagnosis of paediatric
hearing loss in South Africa (within both the public and private healthcare
sectors) compare to the HPCSA recommended diagnostic guidelines. METHODS: A
retrospective record review of paediatric clients with hearing loss (recruited
through nonprobability convenience sampling) was conducted. This study is part of
a longitudinal study of 711 deaf or hard of hearing children referred to the HI
HOPES early intervention programme from September 2006 to December 2011.
Diagnostic data from audiology reports of 117 children between 0 and 36 months
were coded and analysed. RESULTS: Large variation was found in the tests included
in the diagnostic audiology reports. For 22 children (19%) a comprehensive test
battery was used. Health Professions Council of South Africa (HPCSA) recommended
guidelines for diagnostic testing were not followed in any of the records
analysed. Components of the HPCSA recommended test battery most frequently
omitted was bone conduction testing. For both electrophysiology and behavioural
testing, there was limited frequency specificity information. This exclusion of
information is evidence of deficiencies in data recording and management, as well
as having an effect on accuracy of classification of degree and type of hearing
loss. CONCLUSION: There are gaps in age-appropriate assessment protocols, which
will have an effect on accurate differential diagnosis of paediatric hearing
loss. Reasons for not including all testing components of the HPCSA recommended
guidelines, as well as the possibility of developing guidelines more relevant to
a developing world context, should be explored. There might be a need for. The
impact of South African specific factors that have an effect on provision of
accurate paediatric diagnostic audiology services should be determined.
PMID- 27863632
TI - Soft-wall reconstruction of the canal wall with retrograde bone work for
pediatric cholesteatoma: Long-term results.
AB - OBJECTIVE: To retrospectively evaluate the long-term results of surgery for
retrograde bone work, using soft-wall reconstruction of the canal wall, for
pediatric cholesteatoma. METHODS: We retrospectively evaluated a series of 25
consecutive ears of 24 patients who were <=16 years of age. All children
underwent cholesteatoma surgery between October 2002 and August 2008. The type of
cholesteatoma, the length of follow-up, the incidence of residual and recurrent
cholesteatoma, postoperative hearing results, and the form of the reconstructed
external canal wall and tympanic membrane were assessed. RESULTS: There were 21
males and 3 females. The procedure was performed on both ears of one patient who
had bilateral congenital cholesteatoma (CC). At the initial surgery, 16 cases
(64%) had CC and nine (36%) had acquired cholesteatoma (AC). The mean age at
surgery was 8.2 years and 10.4 years for CC and AC cases, respectively. The mean
postoperative follow-up period after the initial surgery was 90 months for CC
cases and 108 months for AC cases. Cholesteatoma recurrence occurred for 6% and
56% of cases with CC and AC, respectively. Successful serviceable hearing was
achieved for 93.8% with CC and 100% with AC. Cases with inadequate hearing after
surgery were characterized by disease extension to the mastoid and the
protympanum. The long-term forms of the reconstructed external canal wall changed
depending on their middle ear aeration. Some cases of tympanic membrane
perforation and otitis media with effusion were occurred during the follow-up
period. CONCLUSION: Overall, the retrograde approach with soft-wall
reconstruction of the canal wall achieved a low recurrence rate for cholesteatoma
and good hearing outcomes during long-term follow-up for the pediatric case.
However, in cases with eustachian tube dysfunction and/or cholesteatoma involving
the protympanum, the hearing outcomes were less favorable.
PMID- 27863633
TI - Intersurgical interval increased with use of quadrivalent human papillomavirus
vaccine (Gardasil) in a pediatric patient with recurrent respiratory
papillomatosis: A case report.
AB - This is a case of a 4 year old female with recalcitrant recurrent respiratory
papillomatosis with decreasing intersurgical interval that had improvement in
clinical course after administration of the quadrivalent HPV vaccine.
PMID- 27863634
TI - Predictors of flourishing among children with hearing loss.
AB - OBJECTIVES: To examine parent report of flourishing in children with hearing
impairments compared to children without hearing impairments, and to explore
whether school engagement and bullying related to child flourishing. METHODS:
Participants were 655 children with hearing impairments and 44, 618 children
without hearing impairments who were 10-17 years of age. Caregivers completed
telephone interviews about their child's functioning for the National Survey of
Children's Health. RESULTS: Children without hearing loss had higher parent
reported flourishing compared to children with hearing loss when controlling for
child demographics (i.e., race, age, sex). School engagement was positively
related to flourishing of children with hearing loss. Bullying behaviors were not
related to flourishing of children with hearing loss. CONCLUSION: Improving
school engagement may increase flourishing of children with hearing loss, which
is critical given that children with hearing loss experience lower flourishing
than children without hearing loss. Examining the relationships among other risk
and resilience factors and flourishing for children with hearing loss will
provide information for interventions to enhance the adaptation of these
children.
PMID- 27863635
TI - Cochlear implantation of solid organ transplant patients receiving
immunosuppressive therapy.
AB - OBJECTIVE: To evaluate the incidence of infectious complications and healing
problems in cochlear implant (CI) patients receiving immunosuppressive therapy
following solid organ transplant. STUDY DESIGN: IRB-approved retrospective chart
review of implanted patients. SETTING: Tertiary care children's hospital.
METHODS: Seven patients of the more than 1000 implanted during the time period
between 1991 and 2014 underwent cochlear implantation while on immunosuppressive
therapy after having received a solid organ transplant. Their charts were
reviewed for demographic and medical information pre- and post-implantation. The
4 males and 3 females ranged in age at CI from 2.4 to 18.8 years, with a mean of
9.0 years. Postimplant follow-up averaged 3.9 years (range: 0.1-13.1). Main
outcome measures were occurrence of wound healing, infectious complications,
whether open-set word recognition was achieved, and communication mode(s).
RESULTS: No wound infections or delayed healing, mastoiditis, or bacterial
meningitis occurred after cochlear implantation. All seven patients had received
at least one pneumococcal vaccination prior to implantation. Five of 6 (83%)
developed open-set speech perception, of whom 4 (67%) use only oral
communication. CONCLUSION: In our series of patients receiving immunosuppressive
therapy following solid organ transplantation, none developed wound healing or
infectious complications after cochlear implantation. History of solid organ
transplantation alone should not be a contraindication to cochlear implant
candidacy which would deprive the child of the potential benefits of hearing,
including language development.
PMID- 27863636
TI - The effect of propofol infusion with topical epinephrine on cochlear blood flow
and hearing: An experimental study.
AB - BACKGROUND AND OBJECTIVE: Propofol is the most commonly used intravenous (IV)
anesthetic agent and is associated with hypotension upon induction of anesthesia.
Intravenous propofol infusion has several properties that may be beneficial to
patients undergoing middle ear surgery. Topical application of concentrated
epinephrine is a valuable tool for achieving hemostasis in the middle ear and
during mastoid surgery. The purpose of the present study was to determine the
effects of propofol infusion with topical epinephrine on cochlear blood flow
(CBF) and hearing in rats. MATERIALS AND METHODS: Twenty one male Sprague-Dawley
rats were divided into three groups. The rate of intravenous infusion of propofol
was 4-6 ml/kg/hour. The first group (control group, n = 7) was given IV infusion
of phosphate buffered saline (PBS) with topical application of PBS in the round
window. In study group A (n = 7), the effect of topical phosphate buffered saline
with IV infusion of propofol on CBF and hearing was evaluated. In study group B
(n = 7), additional effects of topical epinephrine with IV infusion of propofol
on CBF and hearing were evaluated. The laser Doppler blood flowmeter, CBF, and
the mean arterial blood pressure (MAP) were measured and analyzed. Additionally,
hearing test using auditory brainstem response (ABR) was performed in both
groups. RESULTS: In both groups, infusion of propofol induced a time-dependent
decrease in MAP. Approximately 30 min after the start of the propofol infusion,
the CBF started to decrease slowly. The decrease in CBF was significantly greater
in the study group compared to the control group. The threshold was elevated in
the study group relative to the control group. CONCLUSION: During middle ear
surgery, use of IV infusion of propofol with topical epinephrine cotton ball or
cottonoid application is not recommended.
PMID- 27863637
TI - Nasal septal abscess in association with pediatric acute rhinosinusitis.
AB - INTRODUCTION: Nasal septal abscess (NSA) in the pediatric population is rare and
can result in devastating complications. Objective of this study is to review a
case series of pediatric patients presenting with NSA in association with acute
rhinosinusitis (ARS) for possible risk factors and predisposing conditions.
METHOD: This is a retrospective review of pediatric patients that presented to a
tertiary care hospital with nasal septal abscess associated with ARS. Patient
demographics, presence of ARS, sinuses involved, and other potential risk factors
were recorded. In addition, a literature review was conducted to evaluate other
reported cases of NSA in association with ARS. Cases of NSA associated with
trauma or other causes were excluded from analysis. RESULTS: Five patients with
NSA were treated by the pediatric otolaryngology department of a tertiary care
center from 2003 to 2014. Three of these cases were associated with ARS. Of these
cases, the average age at diagnosis was 9.7 years. Two were male and one was
female. In these cases, the bilateral frontal, maxillary and ethmoid sinuses were
involved. Sphenoid involvement occurred in two patients. One case was associated
with ipsilateral middle turbinate concha bullosa. The cartilaginous septum was
compromised in all three cases. All patients were treated with incision and
drainage. CONCLUSION: This is the largest case series of NSA described in the
pediatric population. NSA is exceedingly rare but may be associated with ARS.
While the etiology of NSA is not always clear, identifying and treating nasal
septal abscesses early is imperative to reduce potentially devastating
complications.
PMID- 27863638
TI - Comparison of the effects of lipoic acid and glutathione against cisplatin
induced ototoxicity in auditory cells.
AB - OBJECTIVES: The aims of this study were to examine lipoic acid (LA)- or
glutathione (GSH)-mediated protection against cytotoxicity following cisplatin
exposure in HEI-OC1 auditory cells and measure the potential of LA and GSH to
scavenge reactive oxygen species (ROS). This study also compares their protective
effects and discusses the determination of a preventive or therapeutic dose.
METHODS: HEI-OC1 cells were pretreated with LA or GSH for 24 h and then exposed
to 15 MUM cisplatin for 48 h. The resulting cytotoxicity was measured using a
cell counting kit-8, and intracellular ROS level was measured using flow
cytometry. The protective or anti-ROS effects of LA and GSH were compared.
Measurement of caspase 3, 8, 9 activity and Western blot analysis of PARP were
performed. RESULTS: Pretreatment with LA at 300 MUM and GSH at 3 mM protected HEI
OC1 cells against cisplatin-induced cytotoxicity and significantly reduced the
cisplatin-induced increase in ROS. LA showed a significantly more effective
protection against cisplatin-induced ototoxicity compared to that shown by GSH
(85.4% vs. 73.1% cell viability). Both LA and GSH showed the maximal protective
effect at different concentrations in normal or cisplatin-induced cytotoxic
conditions. The preventive or therapeutic dose for harmful conditions is quite
different for the two drugs and needs careful adjustments. CONCLUSION: This
comparative study on the protective effects of LA and GSH against cisplatin
induced ototoxicity in an auditory cell line posed many challenges. Although LA
and GSH showed a significant protective effect against cisplatin, the LA's effect
was superior. The concentration at which the maximal protective effect of LA or
GSH was noted was 3 times higher in cytotoxic conditions than in normal
conditions, which suggests the need for drug dose adjustments based on the
purpose (preventive or therapeutic).
PMID- 27863639
TI - Conversion of traditional osseointegrated bone-anchored hearing aids to the
Baha(r) attract in four pediatric patients.
AB - Bone-anchored hearing aids are external devices attached to the skull via a
titanium implant, and can be used for multiple types of hearing loss.
Traditionally, osseointegrated implants have been coupled to the external
processor with a percutaneous abutment, but more recently, a fully implanted,
transcutaneous magnet-based system has become available. Skin reactions from the
percutaneous portion are a common complication that can prevent use of the device
during critical windows of language development and learning in children. We
describe our experience replacing the Baha(r) abutment system with the Baha(r)
Attract in four pediatric patients. Specific operative considerations for
incision placement, and magnet and implant coverage are discussed. All patients
maintained osseointegration, had excellent long-term wound healing without post
operative infection, and were able to wear their devices more consistently.
PMID- 27863640
TI - Assessment of adenotonsillar size and caregiver-reported sleep symptoms among 3-6
year old children undergoing adenotonsillectomy.
AB - INTRODUCTION: Adenotonsillectomy remains the accepted first-line treatment for
obstructive sleep apnea syndrome (OSAS) in children. Tonsillar size may be
especially relevant in risk stratification as it may impact symptoms of sleep
disordered breathing (SDB). This study assesses correlations among subjective
tonsillar grading, measured tonsillar size, and degree of adenoid obstruction in
patients age 3-6 years with caregiver-reported symptoms. METHODS: Children 3-6
years old undergoing adenotonsillectomy for OSAS were enrolled prospectively. The
subjective tonsillar grade and degree of adenoid obstruction were recorded on
physical examination by the otolaryngologist, and the objective tonsillar size
was obtained from pathology reports. Spearman's rho was used to assess agreement
among measures of tonsillar size and adenoid obstruction; and to correlate these
measures with caregiver-reported SDB symptoms obtained from a pre-operative
standardized questionnaire. RESULTS: The cohort included 103 boys and 97 girls of
median age 4.8 (interquartile range [IQR]: 3.9, 5.9) years. Median subjective
tonsillar grade was 3+ (IQR: 3+, 4+) while median tonsillar size was 2.7 cm (IQR:
2.5, 3) and median adenoid obstruction was 60% (IQR: 50%, 80%). The subjective
tonsillar grade and measured tonsillar size were strongly correlated (rho = 0.31,
p < 0.001), whereas adenoid obstruction was uncorrelated with either subjective
tonsillar grade (rho = 0.01, p = 0.860) or measured size (rho = -0.05, p =
0.497). Tonsillar grade was positively correlated with 3 common caregiver
reported SDB symptoms (loud snoring, trouble breathing at night, and daytime
sleepiness). Objective tonsillar size was positively correlated only with
difficulty organizing tasks or activities, and adenoid obstruction was positively
correlated only with stopping breathing during sleep. CONCLUSION: Subjective
tonsillar grading by the otolaryngologist achieved better correlation than
measured tonsillar size or degree of adenoid obstruction with caregiver-reported
SDB symptoms in children 3-6 years of age undergoing adenotonsillectomy.
PMID- 27863641
TI - The influence of pediatric tracheostomy on the body weight percentile of
children.
AB - OBJECTIVES: The purpose of this study was to evaluate the changes in body weight
following tracheostomy in pediatric patients. METHODS: Ninety-eight patients who
underwent tracheostomy at the age of 0-6 years were enrolled. The body weight and
growth percentile were measured before tracheostomy and at 1, 6, and 12 months
after surgery. The body weight and growth percentile were plotted against time,
which was compared with Korean growth chart curve. A Retrospective observational
cohort study was performed. RESULTS: The mean body weight increased gradually
from 6.7 (+/-0.51) kg to 10.84 (+/-0.15) kg at 12 months post-surgery (p < 0.01).
The growth percentile also increased from 24.41 (+/-3.18) to 40.6 (+/-4.10)
during the follow-up period (p < 0.01). We analyzed the patients with a low
growth percentile (<=50th percentile). In these patients, the mean body weight
increased from 4.92 (+/-0.27) kg to 8.97 (+/-0.27) kg and the growth percentile
also increased from 11.02 (+/-1.32) to 30.56 (+/-3.31) (all p < 0.01). Ventilator
independent patients also presented similar pattern of body weight and its
percentile. CONCLUSIONS: Body weight increased after tracheostomy that was safely
performed in children requiring airway management.
PMID- 27863642
TI - Tissue-engineered trachea: A review.
AB - Tracheal replacement is performed after resection of a portion of the trachea
that was impossible to reconnect via direct anastomosis. A tissue-engineered
trachea is one of the available options that offer many advantages compared to
other types of graft. Fabrication of a functional tissue-engineered trachea for
grafting is very challenging, as it is a complex organ with important components,
including cartilage, epithelium and vasculature. A number of studies have been
reported on the preparation of a graftable trachea. A laterally rigid but
longitudinally flexible hollow cylindrical scaffold which supports cartilage and
epithelial tissue formation is the key element. The scaffold can be prepared via
decellularization of an allograft or fabricated using biodegradable or non
biodegradable biomaterials. Commonly, the scaffold is seeded with chondrocytes
and epithelial cells at the outer and luminal surfaces, respectively, to hasten
tissue formation and improve functionality. To date, several clinical trials of
tracheal replacement with tissue-engineered trachea have been performed. This
article reviews the formation of cartilage tissue, epithelium and
neovascularization of tissue-engineered trachea, together with the obstacles,
possible solutions and future. Furthermore, the role of the bioreactor for in
vitro tracheal graft formation and recently reported clinical applications of
tracheal graft were also discussed. Generally, although encouraging results have
been achieved, however, some obstacles remain to be resolved before the tissue
engineered trachea can be widely used in clinical settings.
PMID- 27863643
TI - The safety and efficacy of pediatric lingual tonsillectomy.
AB - OBJECTIVE: Lingual tonsillar hypertrophy is recognized as a cause of persistent
obstructive sleep apnea (OSA) after adenotonsillectomy in children. However,
little has been reported regarding the complications, postoperative course and
effectiveness of lingual tonsillectomy (LT). Our objective was to review the
safety and effectiveness of LT in children. METHODS: Retrospective review of
children undergoing LT from January 2009 to December 2015 at a tertiary
children's hospital. Complications, postoperative course and polysomnographic
(PSG) outcomes were recorded for all patients. RESULTS: We identified 92 children
(mean age = 8.6 years, 50% female) who underwent LT; 43.5% had a syndromic
diagnosis. The most common complications were emergency department presentation
for bleeding (4.4%) and poor oral intake (3.3%). The readmission rate was 4.4%
including 2 children (2.2%) who required operative control of hemorrhage. No
children required unplanned reintubation or ICU admission. In children with PSG
data (n = 18), the median apnea-hypopnea index (AHI) decreased from 8.5 to 3.8
events/hour (p = 0.022) and the median obstructive AHI (oAHI) decreased from 8.3
to 3.1 events/hour (p = 0.021). In addition, the oxygen saturation nadir
increased from 83.8% to 89.0% (p = 0.0007). After surgery the percentage of
patients with oAHI<5 events/hour increased from 27.8% to 61.1% (p = 0.08).
CONCLUSIONS: Readmission and bleeding rates after lingual tonsillectomy in
children were similar to that seen with tonsillectomy. Polysomnographic data
showed that lingual tonsillectomy resulted in a significant reduction of both AHI
and oAHI with a postoperative oAHI <5 achieved in 61% of patients.
PMID- 27863644
TI - Ultrasound-guided removal of impalpable, radiolucent vegetative foreign bodies
embedded into facial soft tissues of a toddler.
AB - Otolaryngologists frequently encounter children with foreign bodies retained
inside the lumen of their upper aerodigestive tract. However, total capture in
the soft tissues happens rarely, and could be clinically, as well as
radiographically, silent. Ultrasonography is a safe and effective imaging
modality, aiding both diagnosis and surgical treatment. We report on a 14-month
girl with chronic, fluctuating cheek edema and erythema, induced by an
impalpable, radiolucent bundle of organic fibers embedded intramuscularly.
Foreign body identification and atraumatic removal were facilitated by real-time
ultrasound scanning.
PMID- 27863645
TI - Identification of a de novo mutation of SOX10 in a Chinese patient with
Waardenburg syndrome type IV.
AB - OBJECTIVES: Waardenburg syndrome is a rare genetic disorder, characterized by the
association of sensorineural hearing loss and pigmentation abnormalities. Four
subtypes have been classified. The present study aimed to analyze the clinical
feature and investigate the genetic cause for a Chinese case of Waardenburg type
IV (WS4). METHODS: The patient and his family members were subjected to mutation
detection in the candidate gene SOX10 by Sanger sequencing. RESULTS: The patient
has the clinical features of WS4, including sensorineural hearing loss, bright
blue irides, premature graying of the hair and Hirschsprung disease. A novel
heterozygous frameshift mutation, c.752_753ins7 (p.Gly252Alafs*31) in the exon 5
of SOX10 was detected in the patient, but not found in the unaffected family
members and 100 normal controls. This mutation results in a premature stop codon
31 amino acid downstream. CONCLUSIONS: The novel mutation c.752_753ins7
(p.Gly252Alafs*31) arose de novo and was considered as the cause of WS4 in the
proband. This study further characterized the molecular complexity of WS4 and
provided a clinical case for genotype-phenotype correlation studies of different
phenotypes caused by SOX10 mutations.
PMID- 27863646
TI - Regenerative efficacy of mesenchymal stromal cells from human placenta in
sensorineural hearing loss.
AB - INTRODUCTION: Hearing loss is a common chronic disorder characterized by decline
of auditory function. The global population have suffered from deafness and the
transplantation of stem cells is regarded as a therapeutic strategy for this
disease. METHODS: We collected placenta from a total of 13 samples of full term
pregnant women and isolated MSCs derived from human placenta and transplanted
MSCs on deaf animal model. The normal group and the sensorineural hearing loss
(SNHL) group and the experimental (transplanted MSCs) group were compared and
estimated hearing level using auditory brainstem response (ABR) recordings and
the otoacoustic emission (OAE) test. RESULTS: ABR threshold value and DPOAE level
showed that MSCs transplantation groups was improved than the SNHL group. And the
number of spiral ganglion neurons were increased in all turn of the cochlea. And
there was no evidence of acute immunological rejection and inflammation response
was not observed. DISCUSSION: This study is to evaluate regenerative efficacy of
hearing loss by transplanting mesenchymal stromal cells (MSCs) derived from human
placenta (amnion and chorion) in deaf animal model. We identified that MSCs
transplantation restored auditory impairment and promoted cell regeneration. We
hope to overcome sensorineural hearing loss by transplanting stem cells such as
mesenchymal stromal cells (MSCs) from easily accessible adult stem cell source in
placenta.
PMID- 27863647
TI - A tonsillectomy increased the risk of chronic rhinosinusitis among children: A
three-year follow-up study.
AB - OBJECTIVES: The study aimed to estimate the risk of developing chronic
rhinosinusitis (CRS) among children who had undergone a tonsillectomy by
utilizing a cohort study based on a population-based database. METHODS: Data for
this retrospective cohort study were sourced from the Taiwan "Longitudinal Health
Insurance Database 2000". We included 202 children who had undergone a
tonsillectomy as the study group and 2020 sex- and age-matched children as the
comparison group. We used stratified Cox proportional hazard regressions to
calculate the hazard ratio (HR) and its corresponding 95% confidence interval
(CI) for CRS during the 3-year follow-up period. RESULTS: Of 2222 sampled
children, the incidence rate of CRS during the 3-year follow-up period was 3.2
(95% CI = 2.0-4.8) 1000 person-years; 18.2 (95% CI = 9.1-32.5) per 1000 person
years and 1.7 (95% CI = 0.8-3.0) per 1000 person-years for the study and
comparison group, respectively. The stratified Cox proportional analysis showed
that the adjusted hazard ratio for CRS during the 3-year follow-up period was
8.28 (95% CI = 3.24-21.16) for children who had undergone a tonsillectomy than
comparison patients. CONCLUSIONS: We demonstrated that the risk of developing CRS
is significantly increased among children who have undergone a tonsillectomy.
PMID- 27863648
TI - Biodegradable airway stents in infants - Potential life-threatening pitfalls.
AB - OBJECTIVE: The solution of severe tracheobronchial obstructions in early
childhood means a great challenge. Biodegradable stents were intended to be a
minimally invasive temporary solution which may decrease the number of
interventions and limit the possible complications of stenting procedures.
However, our first experiences have brought out a new, - especially in childhood
potentially life-threatening complication of this concept. METHODS: Five SX-ELLA
biodegradable polydioxanone stents was applied in three patients because of
severe tracheobronchial obstruction: congenital tracheomalacia (7 day-old),
acquired tracheomalacia (10 month-old), and congenital trachea-bronchomalacia (10
month-old). RESULTS: The breathing of all children improved right after the
procedure. We observed degradation of the stent from the 5th postoperative week
which resulted in large intraluminar fragments causing significant airway
obstruction: one patient died of severe pneumonia, the other baby required urgent
bronchoscopy to remove the obstructing 'foreign body' from the trachea. In the
third case repeated stent placements successfully maintained the tracheal lumen.
CONCLUSIONS: Polydioxanone stents may offer an alternative to metallic or
silastic stents for collapse or external compression of the trachea in children;
however, large decaying fragments mean a potential risk especially in the small
size pediatric airway. The fragmentation of the stent, which generally starts in
the 4-6 postoperative weeks, may create large sharp pieces. These may be anchored
to the mucosa and covered by crust leading to obstruction. As repeated
interventions are required, we do not consider the application of biodegradable
stents unambiguously advantageous.
PMID- 27863649
TI - The universal newborn hearing screening program in a public hospital: The
importance of the day of examination.
AB - OBJECTIVES: Newborn hearing screening programs are already implemented in many
countries worldwide. Nonetheless there is still no consensus about the most
proper post-birth day of examination. The purpose of this study was to assess the
most appropriate day of universal hearing screening program in a public hospital.
MATERIAL AND METHODS: A prospective cohort study was conducted in "Attiko
University National Health System Hospital" and included 2494 newborns. They were
examined before discharge from the hospital, using transient evoked otoacoustic
emissions (TEOAEs). RESULTS: From 2494 neonates included in the study, 2129
(85.4%) bilaterally passed the screening examination, while 365 (14.6%) failed
the test. Higher levels of "pass" result per day of life were presented the third
(90%) and fourth (94%) day of life. These days the referral scores were lower,
reaching 6% the 4th post-birth day. CONCLUSION: All infants should be screened
for their hearing in the first days of life as otoacoustic emissions can be
recorded from the first 24 h of life. If the babies stay in the maternity unit
for more that 1-2 days for any reason (protocol of the maternity unit, parents
leave in remote areas, etc.) we recommend the 4th day of life as the most
appropriate day of examination in order to minimize the false positive ("refer")
results at the initial examination.
PMID- 27863650
TI - Perioperative considerations and complications in pediatric parathyroidectomy.
AB - OBJECTIVES/HYPOTHESIS: To evaluate perioperative considerations and post
operative complications associated with parathyroidectomy in the pediatric
population. METHODS: The Kids' Inpatient Database 21 (KID) was searched for
patients who underwent parathyroidectomy in 2009 and 2012. Patient demographics,
hospital stay, associated charges, and post-operative adverse sequelae were
evaluated in all patients and included patient comorbidity and additional
procedure requirement analysis. RESULTS: There were 182 patients extrapolating to
262 parathyroidectomies over the two years analyzed. Although a minority of
patients were male (45.4%), these patients had greater rates of complications,
length of stay, and hospital charges. Importantly, minorities and younger
patients (<=15y) also had more complicated post-operative courses. The lengths of
stay for patients experiencing post-operative altered mental status (18.7d), post
operative infection (15.5d), respiratory complications (19d), and cardiac
complications (13d) were significantly increased compared to individuals without
major complications (3.4d) (p < 0.001). Patients with pre-existing chronic kidney
disease, dialysis-dependence, and bone sequelae (most commonly from hungry bone
syndrome) also had significantly lengthier stays and greater associated costs.
CONCLUSION: Findings from this analysis can be included in a comprehensive pre
operative informed consent process between physicians and patients discussing
perioperative considerations and potential complications of parathyroidectomy.
Males, younger children, and patients with preexisting renal conditions
experienced lengthier and more complicated hospital stays, suggesting the need
for closer monitoring of these cohorts.
PMID- 27863651
TI - Professor Alexander T. Florence: An exceptional scientist and man.
PMID- 27863652
TI - Hyaluronic acid-conjugated lipoplexes for targeted delivery of siRNA in a murine
metastatic lung cancer model.
AB - We have investigated the impact of hyaluronic acid (HA)-coating on the targeting
capacity of siRNA lipoplexes to CD44-overexpressing tumor cells. Cellular uptake
and localization of HA-lipoplexes were evaluated by flow cytometry and
fluorescence microscopy and both methods showed that these lipoplexes were
rapidly internalized and localized primarily within the cytoplasm. Inhibition of
luciferase expression on the A549-luciferase lung cancer cell line was achieved
in vitro using an anti-Luc siRNA. 81% of luciferase gene expression inhibition
was obtained in vitro with HA-lipoplexes at +/- ratio 2. In vivo, in a murine
A549 metastatic lung cancer model, the treatment with HA-lipoplexes carrying anti
luciferase siRNA led to a statistically significant decrease of luciferase
expression as opposed to progressive increase with non-modified lipoplexes or
NaCl 0.9%. The reduction of the expression of luciferase mRNA tumor of mice
treated with HA-lipoplexes supported the inhibition effect due to siRNA. These
results highlight the potential of HA-lipoplexes in CD44-targeting siRNA
delivery.
PMID- 27863653
TI - Pharmacy preparations: Back in the limelight? Pharmacists make up your mind!
AB - In this contribution to the theme issue recognizing prof. Florence's achievements
as editor -in-chief of the Int. J. Pharmaceutics, we analyze the future of
pharmacy preparations (also known as extemporaneous preparations or compounded
products). Pharmacy preparations, long considered as an endangered part of the
pharmacy profession on its way to extinction, may be at the brink of a revival.
Drivers of this revival are a set of changes related to new clinical concepts and
supply shortages. Moreover, new production and IT paradigms are being developed
that facilitate the preparation processes and provide the necessary quality
management systems. Finally, more detailed legislation (EU) and guidelines (US)
gets a better hold on preparation in pharmacies. The question is now: is the
pharmacy profession willing to accept preparation of high quality medicines in
the pharmacy as an integral part of its professional tasks? If so, institutions
for pharmacy education should provide the required competences to the pharmacy
student. If not, alternative scenarios with other disciplines taking the lead
should be considered. Whatever the choice made, the 'Physicochemical principles
of pharmacy: in manufacture, formulation and clinical use' by Florence and
Attwood (2016); will be on the engineer/pharmacy student's desk.
PMID- 27863654
TI - High systemic availability of core-crosslinked polymeric micelles after
subcutaneous administration.
AB - Covalent entrapment of drug molecules within core-crosslinked polymeric micelles
(CCPM) represents an attractive approach to improve their therapeutic index. As
an alternative to the most commonly employed intravenous (i.v.) route,
subcutaneous (s.c.) administration offers the possibility of self-administration
and thereby may reduce healthcare costs. The aim of this work was to assess the
pharmacokinetic profile and systemic availability of drug-containing CCPM
following s.c. injection. We here derivatised dexamethasone (DMS) with three
different linkers, which enabled covalent attachment of this drug to the core of
CCPM. The obtained DMS-containing CCPM exhibited varying drug release kinetics in
vitro. Remarkably, a single dose of DMS-containing CCPM resulted in high systemic
availability of about 30% following s.c. injection into the flank of healthy
mice, as evidenced by an AUC between 26-37% relative to the AUC attained
following i.v. injection. Although different linkers resulted in moderate
variations in pharmacokinetic parameters, the overall pharmacokinetic profiles of
these i.v. or s.c. administered nanomedicines were not substantially different.
Next to DMS, we covalently attached paclitaxel (PTX) to the core of CCPM.
Similarly, a single s.c. dose of PTX-containing CCPM resulted in high systemic
availability of about 40% compared to i.v. injection and PTX (entrapped plus
released) was detected in the blood for at least 3days. Importantly, the systemic
availability of s.c. administered drug-containing CCPM is substantially higher
than that of other nanoformulations as reported in the literature (e.g. 3% in
rodents). These results demonstrate that s.c. administration is a promising route
to attain high systemic availability of CCPM, enabling a potentially more patient
friendly and cost-effective treatment approach than the i.v. route.
PMID- 27863655
TI - Direct in vivo evidence on the mechanism by which nanoparticles facilitate the
absorption of a water insoluble, P-gp substrate.
AB - Here we examine the mechanisms by which nanoparticles enable the oral absorption
of water-insoluble, P-glycoprotein efflux pump (P-gp) substrates, without
recourse to P-gp inhibitors. Both 200nm paclitaxel N-(2-phenoxyacetyl)-6-O
glycolchitosan (GCPh) nanoparticles (GCPh-PTX) and a simulated Taxol formulation,
facilitate drug dissolution in biorelevant media, unlike paclitaxel nanocrystals.
Verapamil (40mgkg-1) increased the oral absorption from low dose Taxol (6 or
10mgkg-1) by 100%, whereas the oral absorption from high dose Taxol (20mgkg-1) or
low dose GCPh-PTX (6 or 10mgkg-1) was largely unchanged by verapamil. There was
virtually no absorption from control paclitaxel nanocrystals (20mgkg-1). Imaging
of ex-vivo rat ileum samples showed that fluorescently labelled GCPh
nanoparticles are mucoadhesive and are taken up by ileum epithelial cells. GCPh
nanoparticles were also found to open Caco-2 cell tight junctions. In conclusion,
mucoadhesive, drug solubilising GCPh nanoparticles enable the oral absorption of
paclitaxel via the saturation of the P-gp pump (by high local drug
concentrations) and by particle uptake and tight junction opening mechanisms.
PMID- 27863656
TI - Engineering thermosensitive liposome-nanoparticle hybrids loaded with doxorubicin
for heat-triggered drug release.
AB - The engineering of responsive multifunctional delivery systems that combine
therapeutic and diagnostic (theranostic) capabilities holds great promise and
interest. We describe the design of thermosensitive liposome-nanoparticle (NP)
hybrids that can modulate drug release in response to external heating stimulus.
These hybrid systems were successfully engineered by the incorporation of gold,
silver, and iron oxide NPs into the lipid bilayer of lysolipid-containing
thermosensitive liposomes (LTSL). Structural characterization of LTSL-NP hybrids
using cryo-EM and AFM revealed the incorporation of metallic NPs into the lipid
membranes without compromising doxorubicin loading and retention capability. The
presence of metallic NPs in the lipid bilayer reinforced bilayer retention and
offered a nanoparticle concentration-dependent modulation of drug release in
response to external heating. In conclusion, LTSL-NP hybrids represent a
promising versatile platform based on LTSL liposomes that could further utilize
the properties of the embedded NPs for multifunctional theranostic applications.
PMID- 27863657
TI - Development and characterization of polo-like kinase 2 loaded nanoparticles-A
novel strategy for (serine-129) phosphorylation of alpha-synuclein.
AB - Polo like kinase 2 (PLK2), a serine/threonine serum inducible kinase, has been
proposed to be the major factor responsible for phosphorylating alpha-synuclein
(alpha-syn) at Serine-129 (Ser-129) in Parkinson's disease (PD). A suitable
strategy to gain insights into PLK2's biological effects might be to increase
PLK2 intracellular levels with the aim of reproducing the slow progressive
neuronal changes that occur in PD. The goal of this study was to develop and
characterize a novel drug delivery system (DDS) for PLK2 cytosolic delivery using
Total recirculating one machine system (TROMS), a technique capable of
encapsulating fragile molecules while maintaining their native properties. A
protocol for nanoparticle (NP) preparation using TROMS was set up. NPs showed a
mean diameter of 257+/-15.61nm and zeta potential of -16+/-2mV, suitable for cell
internalization. TEM and SEM images showed individual, spherical, dispersed NPs.
The drug entrapment efficacy was 61.86+/-3.9%. PLK2-NPs were able to enter SH
SY5Y cells and phosphorylate alpha-syn at Ser-129, demonstrating that the enzyme
retained its activity after the NP manufacturing process. This is the first study
to develop a DDS for continuous intracellular delivery of PLK2. These promising
results indicate that this novel nanotechnology approach could be used to
elucidate the biological effects of PLK2 on dopaminergic neurons.
PMID- 27863658
TI - Regulatory aspects in the pharmaceutical development of nanoparticle drug
delivery systems designed to cross the intestinal epithelium and M-cells.
AB - This article reviews the field of oral uptake of nanoparticles across the
gastrointestinal epithelium for the period 2006-2016. Analysis is conducted from
the viewpoint of i) M-cell genetics and model development, ii) drug targeting to
Peyer's patches and M-cells, and iii) physicochemical interactions of
nanoparticles in the intestinal milieu. In light of these recent developments,
regulatory considerations in the development of orally-absorbable nanoparticle
drug products are discussed and focused on Module 3.2.P sub-sections of the
Common Technical Document. Particular attention is paid to novel excipients,
ligands and the non-standard method of manufacture. The novelty of this drug
delivery system demands not only a multi-disciplinary scientific and regulatory
approach but also a risk-adjusted consideration for a system defined by both
processes and specifications. Given the current state of scientific development
in the field it is suggested (in the author's personal opinion) that the design
of nanoparticulate drug delivery systems should be kept as simple as possible
(from a regulatory and manufacturing perspective) and to target the entire
gastrointestinal epithelium.
PMID- 27863659
TI - Docetaxel-loaded liposomes: The effect of lipid composition and purification on
drug encapsulation and in vitro toxicity.
AB - Docetaxel (DTX)-loaded liposomes have been formulated to overcome DTX solubility
issue, improve its efficacy and reduce its toxicity. This study investigated the
effect of steric stabilisation, varying liposome composition, and lipid:drug
molar ratio on drug loading and on the physicochemical properties of the DTX
loaded liposomes. Size exclusion chromatography (SEC) was used to remove free DTX
from the liposomal formulation, and its impact on drug loading and in vitro
cytotoxicity was also evaluated. Liposomes composed of fluid, unsaturated lipid
(DOPC:Chol:DSPE-PEG2000) showed the highest DTX loading compared to rigid,
saturated lipids (DPPC:Chol:DSPE-PEG2000 and DSPC:Chol:DSPE-PEG2000). The
inclusion of PEG showed a minimum effect on DTX encapsulation. Decreasing
lipid:drug molar ratio from 40:1 to 5:1 led to an improvement in the loading
capacities of DOPC-based liposomes only. Up to 3.6-fold decrease in drug loading
was observed after liposome purification, likely due to the loss of adsorbed and
loosely entrapped DTX in the SEC column. Our in vitro toxicity results in PC3
monolayer showed that non-purified, DTX-loaded DOPC:Chol liposomes were initially
(24h) more potent than the purified ones, due to the fast action of the surface-
adsorbed drug. However, we hypothesize that over time (48 and 72h) the purified,
DTX-loaded DOPC:Chol liposomes became more toxic due to high intracellular
release of encapsulated DTX. Finally, our cytotoxicity results in PC3 spheroids
showed the superior activity of DTX-loaded liposomes compared to free DTX, which
could overcome the DTX poor tissue penetration, drug resistance, and improve its
therapeutic efficacy following systemic administration.
PMID- 27863660
TI - Microfluidics based manufacture of liposomes simultaneously entrapping
hydrophilic and lipophilic drugs.
AB - Despite the substantial body of research investigating the use of liposomes,
niosomes and other bilayer vesicles for drug delivery, the translation of these
systems into licensed products remains limited. Indeed, recent shortages in the
supply of liposomal products demonstrate the need for new scalable production
methods for liposomes. Therefore, the aim of our research has been to consider
the application of microfluidics in the manufacture of liposomes containing
either or both a water soluble and a lipid soluble drug to promote co-delivery of
drugs. For the first time, we demonstrate the entrapment of a hydrophilic and a
lipophilic drug (metformin and glipizide respectively) both individually, and in
combination, using a scalable microfluidics manufacturing system. In terms of the
operating parameters, the choice of solvents, lipid concentration and
aqueous:solvent ratio all impact on liposome size with vesicle diameter ranging
from ~90 to 300nm. In terms of drug loading, microfluidics production promoted
high loading within ~100nm vesicles for both the water soluble drug (20-25% of
initial amount added) and the bilayer embedded drug (40-42% of initial amount
added) with co-loading of the drugs making no impact on entrapment efficacy.
However, co-loading of glipizide and metformin within the same liposome
formulation did impact on the drug release profiles; in both instances the
presence of both drugs in the one formulation promoted faster (up to 2 fold)
release compared to liposomes containing a single drug alone. Overall, these
results demonstrate the application of microfluidics to prepare liposomal systems
incorporating either or both an aqueous soluble drug and a bilayer loaded drug.
PMID- 27863661
TI - Doxorubicin enhances curcumin's cytotoxicity in human prostate cancer cells in
vitro by enhancing its cellular uptake.
AB - Doxorubicin (DOX) is a widely used drug in cancer treatment. Despite its
popularity, it suffers from systemic side effects and susceptibility to drug
resistance. Curcumin (CURC), on the other hand, is a drug that recently gained
popularity due to its wide range of biological activities, including anti
inflammatory and anti-cancer activities. Limitations to its clinical translation
include its poor water solubility and the need for administration of high doses.
Combinatory anti-cancer therapy has been proposed as a common approach to
overcome one or more of these challenges. In this work, we propose a combinatory
DOX and CURC anti-cancer therapy of prostate cancer cells in vitro. DOX and CURC
were administered in the free drug and nanocapsule form, respectively. Cell size
and complexity, cytotoxicity and apoptosis were studied by flow cytometry, MTT
assay and sub-G1 quantification, respectively. Cellular uptake of CURC
nanocapsules (CURC NCs) was quantified by fluorescence microscopy and high
performance liquid chromatography fluorescence detection. Results showed that in
vitro treatment with CURC NCs in the presence of subtherapeutic concentrations of
DOX, led to significant increase in prostate cancer cells (PC3) apoptosis and
death. This was likely due to significantly enhanced CURC uptake by the cells.
The study presents a good rationale for pursuing combinatory CURC/DOX therapy in
pre-clinical tumor animal models in the near future.
PMID- 27863662
TI - Surface-assembled poly(I:C) on PEGylated PLGA microspheres as vaccine adjuvant:
APC activation and bystander cell stimulation.
AB - Biodegradable poly(lactic-co-glycolic acid) (PLGA) microspheres are potential
vehicles to deliver antigens for vaccination. Because they lack the full capacity
to activate professional antigen presenting cells (APCs), combination with an
immunostimulatory adjuvant may be considered. A candidate is the synthetic TLR3
ligand polyriboinosinic acid-polyribocytidylic acid, poly(I:C), which drives cell
mediated immunity. However, poly(I:C) has also been linked to the pathogenesis of
autoimmunity, as affected by widespread stimulation of non-hematopoietic
bystander cells. To address this aspect, we propose to minimize the poly(I:C)
dose as well as to control the stimulation of non-immune bystander cells by
poly(I:C). To facilitate the maturation of APCs with minimal poly(I:C) doses, we
surface-assembled poly(I:C) onto PLGA microspheres. The microspheres' surface was
further modified by poly(ethylene glycol) (PEG) coronas with varying PEG
densities. PLGA microspheres loaded with tetanus toxoid (tt) as model antigen
were manufactured by microextrusion-based solvent extraction. The negatively
charged PLGA(tt) microspheres were coated with polycationic poly(l-lysine) (PLL)
polymers, either PLL itself or PEG-grafted PLL (PLL-g-PEG) with varying grafting
ratios (g=2.2 and g=10.1). Stable surface assembly of poly(I:C) was achieved by
subsequent incubation of polymer-coated PLGA microspheres with aqueous poly(I:C)
solutions. We evaluated the immunostimulatory potential of such PLGA(tt)
microsphere formulations on monocyte-derived dendritic cells (MoDCs) as well as
human foreskin fibroblasts (HFFs) as model for non-hematopoietic bystander cells.
Formulations with surface-assembled poly(I:C) readily activated MoDCs with
respect to the expression of maturation-related surface markers, proinflammatory
cytokine secretion and directed migration. When surface-assembled, poly(I:C)
enhanced its immunostimulatory activity by more than one order of magnitude as
compared to free poly(I:C). On fibroblasts, surface-assembled poly(I:C)
upregulated class I MHC but not class II MHC. Phagocytosis of PLGA(tt)
microsphere formulations by MoDCs and HFFs remained mostly unaffected by PEG
grafted PLL coatings. In contrast, high concentrations of free poly(I:C) led to a
marked drop of microsphere phagocytosis by HFFs. Overall, surface assembly on
PEGylated PLGA microspheres holds promise to improve both efficacy and safety of
poly(I:C) as vaccine adjuvant.
PMID- 27863663
TI - Preparation of theophylline inhalable microcomposite particles by wet milling and
spray drying: The influence of mannitol as a co-milling agent.
AB - Inhalable theophylline particles with various amounts of mannitol were prepared
by combining wet milling in isopropanol followed by spray drying. The effect of
mannitol as a co-milling agent on the micromeritic properties, solid state and
aerosol performance of the engineered particles was investigated. Crystal
morphology modelling and geometric lattice matching calculations were employed to
gain insight into the intermolecular interactions that may influence the
mechanical properties of theophylline and mannitol. The addition of mannitol
facilitated the size reduction of the needle-like crystals of theophylline and
also their assembly in microcomposites by forming a porous structure of mannitol
nanocrystals wherein theophylline particles are embedded. The microcomposites
were found to be in the same crystalline state as the starting material(s)
ensuring their long-term physical stability upon storage. Incorporation of
mannitol resulted in microcomposite particles with smaller size, more spherical
shape and increased porosity. The aerosol performance of the microcomposites was
markedly enhanced compared to the spray-dried suspension of theophylline wet
milled without mannitol. Overall, wet co-milling with mannitol in an organic
solvent followed by spray drying may be used as a formulation approach for
producing respirable particles of water-soluble drugs or drugs that are prone to
crystal transformation in an aqueous environment (i.e. formation of hydrates).
PMID- 27863664
TI - Optimized synthesis and crystalline stability of gamma-cyclodextrin metal-organic
frameworks for drug adsorption.
AB - The biocompatible and renewable cyclodextrin metal-organic frameworks (CD-MOFs)
have addressed a range of opportunities in molecular storage and separation
sciences. The reported protocols for their synthesis, however, were carried out
at room temperature over long time periods of time (24h), producing crystals of
relatively poor uniformity. In this investigation, micron sized gamma-CD-MOFs
were synthesized by an optimized vapor diffusion method at elevated temperature
(50 degrees C) within 6h, after which the size control, crystalline stability and
drug adsorption behavior were investigated in detail. In this manner, uniform
cubic gamma-CD-MOF crystals were obtained when the reaction temperature was
raised to 50 degrees C with pre-addition of the reaction solvent. The size of
gamma-CD-MOFs was adjusted efficiently by changing the reactant concentrations,
temperatures, time, gamma-CD ratios to KOH and surfactant concentrations, without
influencing the porosity and crystallinity of the material markedly. Varing
degrees of reduction in crystallinity and change in morphology were observed when
the gamma-CD-MOF crystals are treated under conditions of high temperature (100
degrees C), high humidity (92.5%) and polar solvents (e.g., MeOH and DMF). In
relation to drug adsorption by gamma-CD-MOFs, most of the drug molecules
containing carboxyl groups showed relatively high adsorption (>5%), while low
adsorption (<5%) was found for drugs with nitrogen-containing heterocyclic rings.
In addition, the adsorption kinetics of captopril to standard gamma-CD-MOFs
matched a pseudo-second-order model rather well, whilst captopril adsorption to
the damaged gamma-CD-MOFs only partially matched the pseudo-second-order model.
In summary, based upon the optimized synthesis and size control of gamma-CD-MOFs,
the crystalline stability and drug adsorption characteristics of gamma-CD-MOF
crystals have been evaluated as a fundamental requirement of a potential vehicle
for drug delivery.
PMID- 27863665
TI - Biodegradable hydrophilic carriers for the oral delivery of hematological factor
IX for hemophilia B treatment.
AB - Current protein replacement therapies for hemophilia B, a genetic bleeding
disorder caused by a deficiency in coagulation factor IX, rely on IV injections
and infusions. Oral delivery of factor IX is a desirable needle-free option,
especially for prophylaxis. We have developed a biodegradable, pH-responsive
hydrogel microcarrier system based on the poly(methacrylic acid)-grafted
poly(ethylene glycol) [P(MAA-g-EG)]. Incorporation of an enzymatically degradable
peptide crosslinking agent allows for site-specific degradation by trypsin in the
small intestine. P(MAA-g-EG) polymer was synthesized by UV polymerization, and
then subsequently crosslinked with peptide crosslinking agent using EDC-NHS
chemistry. Physical characterization included FTIR for determining the
composition of the peptide crosslinked polymer and SEM for microparticle
morphology. The pH-responsive swelling and enzyme-specific degradation were
confirmed by bright-field microscopy and the corresponding kinetics were
determined by turbidimetric measurements. Evaluating the drug delivery
application of this degradable system, factor IX release studies showed site
specific release, and in vitro transport studies resulted in improved factor IX
absorption. Incorporation of the degradable crosslinking agent significantly
improved the delivery potential as compared to previously reported non-degradable
drug delivery systems. Using this degradable P(MAA-g-EG) system as a delivery
vehicle for factor IX can possibly lead to an orally administered prophylactic
treatment for hemophilia B patients.
PMID- 27863666
TI - Nasal powders of thalidomide for local treatment of nose bleeding in persons
affected by hereditary hemorrhagic telangiectasia.
AB - In this work nasal powder formulations of thalidomide were designed and studied
to be used by persons affected by hereditary hemorrhagic telangiectasia as a
complementary anti-epistaxis therapy, with the goal of sustaining the effect
obtained with thalidomide oral treatment after its discontinuation for adverse
effects. Three nasal powders were prepared using as carriers beta-CD or its more
hydrophilic derivatives such as hydropropyl-beta-CD and sulphobutylether-beta-CD
and tested with respect to technological and biopharmaceutical features after
emission with active and passive nasal powder devices. For all formulated
powders, improved dissolution rate was found compared to that of the raw
material, making thalidomide promptly available in the nasal environment at a
concentration favouring an accumulation in the mucosa. The very limited
transmucosal transport measured in vitro suggests a low likelihood of significant
systemic absorption. The topical action on bleeding could benefit from the poor
absorption and from the fact that about 2-3% of the thalidomide applied on the
nasal mucosa was accumulated within the tissue, particularly with the beta-CD
nasal powder.
PMID- 27863667
TI - Ibuprofen delivery into and through the skin from novel oxidized cellulose-based
gels and conventional topical formulations.
AB - The delivery of ibuprofen into and through the skin from novel formulations
containing TEMPO-oxidized cellulose nanofibril-based (TOCN) gels was compared to
that from two conventional and commercially available products. The gels were
evaluated in-vitro (using both silicone membranes, and pig skin) and in-vivo in
human volunteers. All gels showed consistent behaviour in a standard in vitro
release test. The stratum corneum (SC) uptake and skin penetration of ibuprofen
in vitro from the novel gels and the marketed formulations were generally
comparable even though the drug loading in the TOCN-based vehicles was only 20%
of that in the 'reference' products. In vivo, the new gels appeared to enhance
drug uptake into the SC following a relatively short application time, again
matching the performance of the commercial formulations. Taken together, the
results of this research provide proof-of-concept for the idea that the
sustainable, oxidized cellulose gels may provide more efficient drug delivery
into and through the skin, thereby improving drug utilisation and reducing
potential adverse effects when such formulations are applied chronically over
large skin areas.
PMID- 27863668
TI - Nanotechnologies for the treatment of colon cancer: From old drugs to new hope.
AB - Colorectal cancer is a wide-reaching health problem due to its incidence and to
the high mortality rates. Adjuvant chemotherapies have considerably improved the
prognosis and/or the overall survival of patients with locally advanced and
metastatic cancers. Nevertheless, their efficiency remains limited due to
intrinsic and emerging multidrug resistance (MDR) of cancer cells, and to major
adverse effects and dose limiting toxicities. The present review discusses the
knowledge of clinically relevant mechanisms of resistance to cytotoxic and
targeted therapies for the treatment of colorectal cancer, and focuses on the
benefit of nanomedicine approach to circumvent these processes. Nanomedicaments
should allow extensive cancer cell drug loading independent on cell surface
transporters, -thus overwhelming drug metabolism and efflux-, but also alleviate
side-effects related to tissue-dependent drug uptake. Finally, we provide an
outline of preclinical and clinical studies of nanoparticles formulations for
colorectal cancer treatment, and briefly discuss strategies to optimize the
selective delivery of these nanomedicines to colorectal cancer cells.
PMID- 27863669
TI - UV-curable gels as topical nail medicines:In vivo residence, anti-fungal efficacy
and influence of gel components on their properties.
AB - UV-curable gels, used as nail cosmetics for their in vivo durability, were
reported to be promising as topical nail medicines. Our first aim was thus to
investigate whether such durability applies to drug-loaded formulations. This was
found to be true. However, ethanol inclusion in the pharmaceutical formulation
(to enable drug loading) reduced the in vivo residence. The second aim was
therefore to determine any other effects of ethanol, and if ethanol could be
avoided by the choice of monomers. Thus, three methacrylate monomers, ethyl
methacrylate, isobornyl methacrylate and 2-hydroxyethyl methacrylate (HEMA) were
selected, and their influence on the formulation properties were determined.
Ethanol and the methacrylate monomer influenced some (but not all) of the
formulation properties. The most significant was that HEMA could dissolve drug
and enable the preparation of ethanol-free, drug-loaded formulations, which would
benefit in vivo residence. The absence of ethanol reduced drug loading, release
and ungual flux, but had no negative impact on the in vitro anti-fungal efficacy.
Thus, judicious selection of gel components enabled the exclusion of ethanol. The
long in vivo residence, little residual monomers, sufficient ungual permeation
and in vitro anti-fungal activity of the gels indicates their potential as anti
onychomycotic topical medicines.
PMID- 27863670
TI - Selection and fabrication of a non-woven polycarbonate urethane cover for a
tissue engineered airway stent.
AB - One of the major problems in end-stage bronchotracheal cancer is stenosis of the
upper airways, either due to luminal ingrowth of the tumor or mucus plugging.
Airway stents that suppress tumor ingrowth and sustain mucociliary transport can
alleviate these problems in end-stage bronchial cancer. We evaluated different
types of polymeric covers for a tissue engineered airway stent. The
distinguishing feature of this stent concept is that respiratory epithelial cells
can grow on the luminal surface of the stent which facilitates mucociliary
clearance. To facilitate growth of epithelial cells at the air-liquid interface
of the stent, we developed a polyurethane cover that allows transport of
nutrients to the cells. Nonwoven polycarbonate urethane (PCU) covers were
prepared by a spraying process and evaluated for their porosity and glucose
permeability. Respiratory epithelial cells harvested from sheep trachea were
cultured onto the selected PCU cover and remained viable at the air-liquid
interface when cultured for 21days. Lastly, we evaluated the radial force of a
PCU-covered nitinol stent, and showed the PCU covers did not adversely affect the
mechanical properties of the stents for their intended application in the smaller
bronchi. These in vitro data corroborate the design of a novel airway stent for
palliative treatment of bronchotracheal stenosis by combination of stent
technology with tissue-engineered epithelial cells.
PMID- 27863671
TI - Oral transmucosal delivery of naratriptan.
AB - Naratriptan (NAR) is currently used as the hydrochloride salt (NAR.HCl) for the
treatment of migraine and is available in tablet dosage forms for oral
administration. Buccal drug delivery offers a number of advantages compared with
conventional oral delivery including rapid absorption, avoidance of first pass
metabolism and improved patient compliance. We have previously prepared and
characterised the base form of NAR and shown that it has more favourable
properties for buccal delivery compared with NAR.HCl. This study describes the
design and evaluation of a range of formulations for oral transmucosal delivery
of NAR base. Permeation studies were conducted using excised porcine buccal
tissue mounted in Franz cells. Of the neat solvents examined, Transcutol(r) P
(TC) showed the greatest enhancement effects and was the vehicle in which NAR was
most soluble. The mechanisms by which TC might promote permeation were further
probed using binary systems containing TC with either buffer or Miglyol 812(r)
(MG). Mass balance studies were also conducted for these systems. The permeation
of TC as well as NAR was also monitored for TC:MG formulations. Overall, TC
appears to promote enhanced membrane permeation of NAR because of its rapid
uptake into the buccal tissue. Synergistic enhancement of buccal permeation was
observed when TC was combined with MG and this is attributed to the increased
thermodynamic activity of NAR in these formulations. Significantly enhanced
permeation of NAR was achieved for TC:MG and this was also associated with less
TC remaining on the tissue or in the tissue at the end of the experiment. To our
knowledge this is the first report where both enhancer and active have been
monitored in buccal permeation studies. The findings underline the importance of
understanding the fate of vehicle components for rational formulation design of
buccal delivery systems.
PMID- 27863672
TI - Hot melt extruded transdermal films based on amorphous solid dispersions in
Eudragit RS PO: The inclusion of hydrophilic additives to develop moisture
activated release systems.
AB - A series of Eudragit RS PO-based hot melt extruded films were evaluated as
potential transdermal systems, with particular emphasis on the inclusion of
hydrophilic excipients to allow water sorption, which in turn would allow drug
release on application to the skin. More specifically, sucrose, methyl cellulose,
xanthan gum (Xantural(r)75), poloxamer (Pluronic(r)F127), Gelucire 44/14 were
added to Eudragit RS PO and assessed in terms of physical structure (modulated
temperature DSC (MTDSC), thermogravimetric analysis (TGA), powder XRD (PXRD),
scanning electron microscopy(SEM)) and in vitro drug release and permeation
properties. In addition, the effect of prior hydration on drug permeation was
studied for selected systems. Phase separation was noted for sucrose,
methylcellulose (high loading), xanthan gum (high loading), poloxamer and
Gelucire 44/14 (high loading) using both visual observation and MTDSC. PXRD
studies indicated drug crystallization within the phase separated systems. SEM
studies broadly followed the same pattern. Dissolution studies indicated that the
hydrophilic excipients considerably enhanced the release rate, while Franz
diffusion cell studies showed a much greater variability in effectiveness, which
we ascribe to the paucity of water of hydration present which would not allow
swellable additives such as xanthan to release the drug. However, films
containing Gelucire 44/14 emerged as the most satisfactory systems, despite the
higher additive loaded systems showing drug phase separation. This may be related
to emulsification rather than swelling on contact with water, as noted for the
permeation studies involving pre-hydration. This strategy therefore presents a
promising approach for triggered transdermal drug delivery, activated by
hydration from the skin itself.
PMID- 27863673
TI - Laser irradiation to produce amorphous pharmaceuticals.
AB - Using a high-power CO2 laser to irradiate powder beds, it was possible to induce
phase transformation to the amorphous state. Irradiation of a model drug,
indometacin, resulted in formation of a glass. Varying the settings of the laser
(power and raster speed) was shown to change the physicochemical properties of
the glasses produced and all irradiated glasses were found to be more stable than
a reference glass produced by melt-quenching. Irradiation of a powder blend of
paracetamol and polyvinylpyrrolidone K30 was found to produce a solid amorphous
dispersion. The results suggest that laser-irradiation might be a useful method
for making amorphous pharmaceuticals.
PMID- 27863674
TI - Fused-filament 3D printing of drug products: Microstructure analysis and drug
release characteristics of PVA-based caplets.
AB - Fused deposition modeling (FDM) 3-Dimensional (3D) printing is becoming an
increasingly important technology in the pharmaceutical sciences, since it allows
the manufacture of personalized oral dosage forms by deposition of thin layers of
material. Here, a filament extruder was used to obtain filaments of polyvinyl
alcohol (PVA) containing paracetamol or caffeine appropriate for 3D printing. The
filaments were used to manufacture caplets for oral administration by FDM 3D
printing, with the aim of evaluating the effect of the internal structure
(micropore volume), drug loading and composition on drug dissolution behaviour.
Micropore volume of the caplets was primarily determined by the presence of large
pores due to gaps in the printed layers/net while printing, and the porosity of
the caplets was 10 fold higher than the porosity of the extruded filament.
Dynamic dissolution drug release tests on the caplets in biorelevant bicarbonate
media revealed distinctive release profiles, which were dependent on drug
solubility and drug loading. Porosity of the caplets did not help to predict the
different drug release profiles. This study confirms the potential of 3D printing
to fabricate caplets and helps to elucidate which factors influence drug release
from this type of new dosage form.
PMID- 27863675
TI - Age-appropriate and acceptable paediatric dosage forms: Insights into end-user
perceptions, preferences and practices from the Children's Acceptability of Oral
Formulations (CALF) Study.
AB - A lack of evidence to guide the design of age-appropriate and acceptable dosage
forms has been a longstanding knowledge gap in paediatric formulation
development. The Children's Acceptability of Oral Formulations (CALF) study
captured end-user perceptions and practices with a focus on solid oral dosage
forms, namely tablets, capsules, chewables, orodispersibles, multiparticulates
(administered with food) and mini-tablets (administered directly into the mouth).
A rigorous development and testing phase produced age-adapted questionnaires as
measurement tools with strong evidence of validity and reliability. Overall, 590
school children and adolescents, and 428 adult caregivers were surveyed across
hospitals and various community settings. Attitudes towards dosage forms
primarily differed based on age and prior use. Positive attitudes to tablets and
capsules increased with age until around 14 years. Preference was seen for
chewable and orodispersible preparations across ages, while multiparticulates
were seemingly less favourable. Overall, 59.6% of school children reported
willingness to take 10mm diameter tablets, although only 32.1% of caregivers
perceived this size to be suitable. While not to be taken as prescriptive
guidance, the results of this study provide some evidence towards rational dosage
form design, as well as methodological approaches to help design tools for
further evaluation of acceptability within paediatric studies.
PMID- 27863676
TI - My dear friend Professor Alexander Taylor Florence the epitome of British humour
and elegance, who has had a tremendous influence on the Japanese pharmaceutical
community.
PMID- 27863677
TI - An observational study of the effect of vibration on the caking of suspensions in
oily vehicles.
AB - An oily suspension of penethamate (PNT) that was physically stable on storage,
caked solidly during road/air transport. This paper reports on the caking
behaviour of PNT oily suspension formulations exposed to vibrations in a lab
based test designed to simulate road/air transport. The lab-test was used to
study the effects of container type (glass v PET) and formulation (oil,
surfactant type and concentration) on the physical stability of suspension under
vibration. Redispersibility of the sediment was lower at longer vibrations times
and at higher intensity of vibration. Caking on vibration was strongly influenced
by the type of container (caking in glass but not in PET) possibly due to tribo
charging of particles. Caking on vibration was dependent on the formulation: type
and concentration of surfactant; type of oil. The physical stability of oily
suspensions, and the effect of vibration are two areas which have been largely
neglected in the pharmaceutical literature. This paper discusses some potential
mechanisms for the observations but studies using fully characterised materials
are required. Finally we conclude that static testing of physical stability of
oily suspensions is not sufficient and that a vibrational stress test is
required.
PMID- 27863678
TI - Formulation and characterization of a liquid crystalline hexagonal mesophase
region of phosphatidylcholine, sorbitan monooleate, and tocopherol acetate for
sustained delivery of leuprolide acetate.
AB - Although liquid crystal (LC) systems have been studied before, their utility in
drug delivery applications has not been explored in depth. This study examined
the development of a 1-month sustained release formulation of leuprolide acetate
using an in situ-forming LC matrix. The phase progression upon water absorption
was tested through construction of ternary phase diagrams of phosphatidylcholine,
sorbitan monooleate, and tocopherol acetate (TA) at increasing water content.
Small angle X-ray scattering revealed the presence of lamellar and hexagonal
mesophases. The physicochemical characteristics and in vitro drug release were
evaluated as a function of the ternary component ratio and its resultant phase
behavior. Formulations with increased water uptake capacity displayed greater
drug release and enhanced erodability. Removal of TA resulted in increased water
uptake capacity and drug release, where 8% (w/w) TA was determined as the
critical concentration threshold for divergence of release profiles. In
conclusion, characterization of the resultant HII mesophase region provided
information of the impact the individual components have on the physicochemical
properties and potential drug release mechanisms. This high mitigating impact of
TA on drug release indicates the use of TA as a tailoring agent, broadening the
therapeutic applications of this LC system.
PMID- 27863679
TI - Effect of moisture sorption on the performance of crospovidone.
AB - Crospovidone is a commonly used tablet disintegrant. However, the synthetic
disintegrant has been known to be hygroscopic and high moisture content in
crospovidone used could exert deleterious effects on tablets formulated with it.
The objective of this study was to elicit a better understanding between
crospovidone-water interaction and its effect on disintegrant performance.
Moisture sorption and desorption isotherms were obtained together with the
enthalpy of immersion. Crospovidone samples stored at four relative humidities
were used to formulate tablets and the resultant tablets were evaluated for their
mechanical, dimensional and disintegratability attributes. Analyses of the
moisture sorption isotherms indicated that externally adsorbed moisture accounted
for the bulk of the total moisture content in crospovidone, with minimal amount
of moisture absorbed intramolecularly. Enthalpy of immersion became less
exothermic with crospovidone samples stored at increasing storage humidity.
Correspondingly, improvement in disintegration time became less pronounced. This
was postulated to be a consequence of premature wetting of the particle surfaces
by externally adsorbed moisture. High humidity was also detrimental to tablet
hardness and thickness. In conclusion, the impact of moisture sorption during
storage by excipients such as crospovidone could be better understood by the
appreciation of crospovidone-water interaction and its consequence on tablet
quality.
PMID- 27863680
TI - In honor of Prof. A.T. Florence.
PMID- 27863682
TI - Professor A.T. Florence: A towering figure in Pharmaceutics.
PMID- 27863681
TI - Combinatorial nanodiamond in pharmaceutical and biomedical applications.
AB - One of the newly emerging carbon materials, nanodiamond (ND), has been exploited
for use in traditional electric materials and this has extended into biomedical
and pharmaceutical applications. Recently, NDs have attained significant
interests as a multifunctional and combinational drug delivery system. ND studies
have provided insights into granting new potentials with their wide ranging
surface chemistry, complex formation with biopolymers, and combination with
biomolecules. The studies that have proved ND inertness, biocompatibility, and
low toxicity have made NDs much more feasible for use in real in vivo
applications. This review gives an understanding of NDs in biomedical engineering
and pharmaceuticals, focusing on the classified introduction of ND/drug
complexes. In addition, the diverse potential applications that can be obtained
with chemical modification are presented.
PMID- 27863683
TI - Thirty years with cyclodextrins.
AB - This paper reviews the work carried out on cyclodextrins during some thirty years
at the Institut Galien Paris-Sud, UMR CNRS 8612, Universite Paris-Sud. It
represents the normal evolution of this domain of science and the numerous
possibilities of cyclodextrins for being a tool adaptable to the most complex
situations. The works which have been carried out concern: the investigation of
general characteristics of cyclodextrins and derivatives, the preparation and
evaluation of inclusion complexes, the use of cyclodextrins in the preparation of
drug delivery systems, the various possibilities offered by cyclodextrins and
their derivatives for nanoparticle preparation and finally the use of
cyclodextrins for the preparation of biomaterials is evoked.
PMID- 27863684
TI - Sandy Florence: The renaissance man.
PMID- 27863685
TI - The gut in the beaker: Missing the surfactants?
AB - Gastrointestinal drug administration is the preferred route for the majority of
drugs however, the natural physiology and physicochemistry of the
gastrointestinal tract is critical to absorption but complex and influenced by
factors such as diet or disease. The pharmaceutical sciences drive for product
consistency has led to the development of in vitro product performance tests
whose utility and interpretation is hindered by the complexity, variability and a
lack of understanding. This article explores some of these issues with respect to
the drug, formulation and the presence of surfactant excipients and how these
interact with the natural bile salt surfactants. Interactions start in the mouth
and during swallowing but the stomach and small intestine present the major
challenges related to drug dissolution, solubility, the impact of surfactants and
supersaturation along with precipitation. The behaviour of lipid based
formulations and the influence of surfactant excipients is explored along with
the difficulties of translating in vitro results to in vivo performance. Possible
future research areas are highlighted with the conclusion that, "a great deal of
work using modern methods is still required to clarify the situation".
PMID- 27863686
TI - Formulation design space for stable, pH sensitive crystalline nifedipine
nanoparticles.
AB - Enteric coated formulations protect drugs from degrading in the harsh environment
of the stomach (acidic pH and enzymes), and promotes drug delivery to and
absorption into the duodenum and/or later parts of the intestine. Four DoE models
were applied to optimize formulation parameters for the preparation of pH
sensitive nifedipine nanoparticles. Stability studies were performed on the
optimized formulations to monitor any possible variation in particle size
distribution, homogeneity index, surface charge and drug release (pH 1.2 and pH
6.8). Stability studies were performed for 3 months at 4 degrees C, 25 degrees C
and 40 degrees C. A combination of Eudragit(r)L 100-55 and polyvinyl alcohol was
determined to be the most effective in stabilizing the nanoparticle suspension.
The average particle size distribution, polydispersity index and surface charge
of the optimized pH sensitive nifedipine nanoparticles were determined to be
131.86+/-8.21nm, 0.135+/-0.008 and -7.631+/-0.146mV, respectively. Following
three months storage, it was observed that the formulations stored at 4 degrees C
were stable in terms of particle size distribution, polydispersity index, surface
charge, drug loading and drug release, whereas those stored at 25 degrees C and
40 degrees C were relatively unstable. A predictive model to prepare stable pH
sensitive nifedipine nanoparticles, was successfully developed using multiple
linear regression analysis.
PMID- 27863687
TI - Sandy Florence, a man of many talents!
PMID- 27863688
TI - Determination of the activity of maleimide-functionalized phospholipids during
preparation of liposomes.
AB - Numerous examples exist in the literature for the use of maleimide-thiol
reactions in the area of functionalized nanoparticles. Although the hydrolysis
tendency of maleimides is well-known, qualitative and quantitative information on
the stability and reactivity of maleimide groups during preparation and in final
formulations are missing. This is surprising, since hydrolysis of maleimides
prevents nanoparticle functionalization and results in an increase of negative
surface charge due to the hydrolysis product maleic acid. In this study we
investigated the stability of 1,2-distearoyl-sn-glycero-3-phosphoethanolamine-N
[maleimide-2000] (DSPE-PEG2000-Mal) during the preparation of liposomes via two
common preparation methods, which can be distinguished by the insertion of DSPE
PEG2000-Mal during or after the liposome formation process (pre-insertion and
post-insertion process). The liposomes prepared by the pre-insertion method had
63% active maleimide groups remaining on their surface. The activity decreased
dramatically during the purification process down to 32%. The preparation by post
insertion showed minimal effects with regard to maleimide activity. 76% of
maleimide groups were active and therefore available for coupling reaction. By
identifying active maleimide groups on the surface of the final formulations, the
presented work revealed the dramatic impact of preparation methods on the
activity of maleimide groups.
PMID- 27863689
TI - Endophthalmitis.
PMID- 27863690
TI - Cocoon syndrome with hepatic involvement. Incidental finding during orthotopic
liver transplantation.
PMID- 27863691
TI - Atypical location of a glomus tumor in the liver.
PMID- 27863692
TI - Intrahepatic Masson tumor (intravascular papillary endothelial hyperplasia).
PMID- 27863693
TI - Surgical treatment of non-functioning pancreatic neuroendocrine tumours based on
three clinical scenarios.
AB - INTRODUCTION: The treatment of patients with non-functioning pancreatic
neuroendocrine tumours (NFPNET) is resection in locally pancreatic disease, or
with resectable liver metastases. There is controversy about unresectable liver
disease. METHODS: We analysed the perioperative data and survival outcome of 63
patients who underwent resection of NFPNET between 1993 and 2012. They were
divided into 3 scenarios: A, pancreatic resection (44patients); B, pancreatic and
liver resection in synchronous resectable liver metastases (12patients); and C,
pancreatic resection in synchronous unresectable liver metastases (6patients).
The prognostic factors for survival and recurrence were studied. RESULTS: Distal
pancreatectomy (51%) and pancreaticoduodenectomy (38%) were more frequently
performed. Associated surgery was required in 44% of patients, including
synchronous liver resections in 9patients. Two patients received a liver
transplant during follow-up. According to the WHO classification they were
distributed into G1: 10 (16%), G2: 45 (71%), and G3: 8 (13%). The median hospital
stay was 11days. Postoperative morbidity and mortality were 49% and 1.6%,
respectively. At the closure of the study, 43 (68%) patients were still alive,
with a mean actuarial survival of 9.6years. The WHO classification and tumour
recurrence were risk factors of mortality in the multivariate analysis. The
median actuarial survival by scenarios was 131months (A), 102months (B), and
75months (C) without statistically significant differences. CONCLUSIONS: Surgical
resection is the treatment for NFPNET without distant disease. Resectable liver
metastases in well-differentiated tumours must be resected. The resection of the
pancreatic tumour with unresectable synchronous liver metastasis must be
considered in well-differentiated NFPNET. The WHO classification grade and
recurrence are risk factors of long-term mortality.
PMID- 27863694
TI - Titanium posts and bonded amalgam core longevity: A 22-year clinical survival
retrospective study.
AB - BACKGROUND: The authors conducted a retrospective study to evaluate the long-term
(18-22 years) clinic results of titanium post and bonded amalgam core
restorations with metal-ceramic crowns placed in patients. METHODS: From 1992
through 1996, the authors placed 88 restorations in 66 patients. They measured
the ferrule effect in the minor dentin collar area. In 2014, the authors analyzed
the following variables: ferrule length, length and thickness of the post, and
tooth position. RESULTS: The overall survival of the restorations decreased over
time with survival rates of 89.6% after 5 years of follow-up appointments and
64.2% after 18 years of follow-up appointments. There were 42 failures, and the
maxillary premolars had the most failures. The teeth with 2 or more millimeters
ferrule length had a higher survival rate than those with a 0 to less than 2 mm
ferrule length; these results were not statistically significant. CONCLUSIONS:
Statistically significant differences were detected according to the location of
the tooth. The cores in the anterior teeth were 3.26 times more likely to fail
than those in the molars, which presented higher survival rates; maxillary
premolars had the most failures (28.5%). Both the metallic post length and its
diameter did not influence restoration survival. The ferrule length was not
statistically significant. PRACTICAL IMPLICATIONS: The clinical technique to
restore endodontically treated teeth that includes a titanium post and bonded
amalgam restorations results in greater coronal destruction but shows good long
term results, ease of fabrication, and modest cost in comparison with other
techniques.
PMID- 27863695
TI - Analysis of a Low Dose Protocol to Reduce Patient Radiation Exposure During
Percutaneous Coronary Interventions.
AB - The cardiac catheterization laboratory is an important source of radiation for
patients and operators and it is good practice to limit exposure as much as
possible. The purpose of this study was to evaluate the effectiveness and impact
of a radiological low dose protocol (LDP) in terms of reduction in patient
radiation exposure during percutaneous coronary interventions (PCIs). From
November 2014 to October 2015, 906 consecutive patients who underwent PCI were
evaluated. Of these, 571 patients (63%) were treated with the standard dose
protocol (SDP) of 15 frames per second for cine acquisition and standard settings
for fluoroscopy, and 335 patients (37%) with the LDP of 7.5 frames per second for
cine acquisition and low-dose settings for fluoroscopy. In the LDP group, we
observed a significant reduction of kerma area product (53.3 LDP vs 115 SDP
Gycm2, p <0.0001) and air kerma at interventional reference point (0.79 LDP vs
1.976 SDP Gy, p <0.0001). Marked differences were observed regarding the
exceeding of International Commission on Radiological Protection and National
Council on Radiation Protection and Measurements' air kerma at interventional
reference point trigger level (cutoff for potential skin injuries), which were
significantly lower in the LDP group (1.8% vs 7.2%, p <0.0001). Such difference
was more relevant in complex PCI. In conclusion, the implementation of LDP
allowed a marked reduction in patient dosimetric parameters for PCI and
significantly reduced the risk of exceeding the International Commission on
Radiological Protection/National Council on Radiation Protection and Measurements
trigger levels for potential skin injuries.
PMID- 27863696
TI - A Discussion of Contemporary Nomenclature, Diagnosis, Imaging, and Management of
Patients With Hypertrophic Cardiomyopathy.
PMID- 27863697
TI - A new model for the study of neuropathic pain after brachial plexus injury.
AB - The study was to introduce a new and reliable behavioral model of upper trunk of
brachial plexus avulsion for the study of persistent neuropathic pain. 60 rats
were divided into three groups randomly: upper trunk of brachial plexus avulsion
(UTBPA) group (20), global brachial plexus avulsion (GBPA) group (20), and sham-
operated group (20). The animals were tested for behavioral responsiveness before
surgeries and 3, 7, 14, 21, 28, 56, 84days after surgeries. The injured level of
spinal cord was resected and the sections were processed for GFAP (astrocyte) and
Iba1 (microglia) immunohistochemistry 3 weeks after surgeries. The UTBPA group
developed significant signs both of mechanical and cold hypersensitivity, which
matched the immunohistochemistry result, as well as the nature of avulsion was
close to the clinical type of injury, the UTBPA group could be used as a suitable
and effective persistent neuropathic pain model following brachial plexus injury.
PMID- 27863699
TI - Systematic review and meta-analysis of prostatic artery embolisation for lower
urinary tract symptoms related to benign prostatic hyperplasia.
AB - AIM: To evaluate the efficacy of prostatic artery embolisation (PAE) in lower
urinary tract symptoms (LUTS) related to benign prostatic hyperplasia (BPH) at
short- and mid-term follow-up. MATERIALS AND METHODS: The current study included
484 BPH patients from seven eligible studies. A meta-analysis was performed to
determine the mean differences in parameters associated with LUTS, including the
international prostate symptom score (IPSS), peak urinary flow (Qmax), post-void
residual volume (PVR), quality of life score (QoL), prostate-specific antigen
level (PSA), and prostatic volume (PV), between baseline and follow-up periods.
RESULTS: Nearly all parameters at follow-up of 3-24 months were significantly
improved compared to the baseline. Mean differences in IPSS at 3, 6, 12, and 24
months were -14.06 (95% confidence interval [CI]: -16.47 to -11.64), -12.32 (95%
CI: -15.57 to -9.08), -16.41 (95% CI: -19.81 to -13.02), and -17 (95% CI: -17.91
to -16.09), respectively. In addition, mean differences of Qmax, PVR, PV, and QoL
between the follow-up period and baseline were improved significantly; however,
there were no significant differences in PSA at 24 months. CONCLUSION: The
present data shows that PAE could improve LUTS by BPH after short- and mid-term
follow-up; however, more cumulative studies for long-term follow-up and
comparison with other therapeutic modalities will be needed.
PMID- 27863700
TI - The Journal of Comparative Pathology Educational Trust 2016 Awards.
PMID- 27863698
TI - Striatal H3K27 Acetylation Linked to Glutamatergic Gene Dysregulation in Human
Heroin Abusers Holds Promise as Therapeutic Target.
AB - BACKGROUND: Opiate abuse and overdose reached epidemic levels in the United
States. However, despite significant advances in animal and in vitro models,
little knowledge has been directly accrued regarding the neurobiology of the
opiate-addicted human brain. METHODS: We used postmortem human brain specimens
from a homogeneous European Caucasian population of heroin users for
transcriptional and epigenetic profiling, as well as direct assessment of
chromatin accessibility in the striatum, a brain region central to reward and
emotion. A rat heroin self-administration model was used to obtain translational
molecular and behavioral insights. RESULTS: Our transcriptome approach revealed
marked impairments related to glutamatergic neurotransmission and chromatin
remodeling in the human striatum. A series of biochemical experiments tracked the
specific location of the epigenetic disturbances to hyperacetylation of lysine 27
of histone H3, showing dynamic correlations with heroin use history and acute
opiate toxicology. Targeted investigation of GRIA1, a glutamatergic gene
implicated in drug-seeking behavior, verified the increased enrichment of lysine
27 acetylated histone H3 at discrete loci, accompanied by enhanced chromatin
accessibility at hyperacetylated regions in the gene body. Analogous epigenetic
impairments were detected in the striatum of heroin self-administering rats.
Using this translational model, we showed that bromodomain inhibitor JQ1, which
blocks the functional readout of acetylated lysines, reduced heroin self
administration and cue-induced drug-seeking behavior. CONCLUSIONS: Overall, our
data suggest that heroin-related histone H3 hyperacetylation contributes to
glutamatergic transcriptional changes that underlie addiction behavior and
identify JQ1 as a promising candidate for targeted clinical interventions in
heroin use disorder.
PMID- 27863702
TI - Detecting asymptomatic rams infected with Mycoplasma agalactiae in ovine
artificial insemination centers.
AB - Mycoplasma agalactiae (Ma) is the main causative agent of ovine contagious
agalactia, which is a serious disease of small ruminants. In endemic areas, its
most common clinical situation consists of chronically infected herds, and
asymptomatic infected individuals represent an epidemiological risk regarding the
transmission of this disease. The aim of this work was to detect the presence of
asymptomatic rams infected with Ma in different artificial insemination centers,
and to determine the most effective way to identify these individuals so as to
implement adequate surveillance protocols. For this purpose, 215 rams and 14
teaser sheep were sampled taking auricular, nasal, and vaginal swabs and serum
samples. In addition, ejaculates from 147 rams were analyzed. These samples were
subjected to specific culture and molecular techniques to isolate and identify
mycoplasmas, and to a serological test to detect antibodies against Ma.
Mycoplasma agalactiae was detected in 47 (4.4%) of the 1077 samples analyzed, and
also one individual resulted seropositive. Thus, 37 (17.2%) of the 215 studied
rams were infected with Ma. The specimens which proportionally yielded the
greatest number of positive results for this pathogen were semen samples (13.6%),
followed by nasal swabs (5.8%). In contrast, the sampling of the external
auricular canal and the serological analyses resulted insufficient to effectively
detect infected individuals. Asymptomatic rams infected with Ma were detected in
all the analyzed artificial insemination centers, highlighting the need to
implement adequate surveillance protocols to prevent the presence of these
individuals in these centers, reducing the risk of transmitting contagious
agalactia.
PMID- 27863703
TI - Reprint of: Object-based attentional facilitation and inhibition are
neuropsychologically dissociated.
AB - Salient peripheral cues produce a transient shift of attention which is
superseded by a sustained inhibitory effect. Cueing part of an object produces an
inhibitory cueing effect (ICE) that spreads throughout the object. In dynamic
scenes the ICE stays with objects as they move. We examined object-centred
attentional facilitation and inhibition in a patient with visual form agnosia.
There was no evidence of object-centred attentional facilitation. In contrast,
object-centred ICE was observed in 3 out of 4 tasks. These inhibitory effects
were strongest where cues to objecthood were highly salient. These data are
evidence of a neuropsychological dissociation between the facilitatory and
inhibitory effects of attentional cueing. From a theoretical perspective the
findings suggest that 'grouped arrays' are sufficient for object-based
inhibition, but insufficient to generate object-centred attentional facilitation.
PMID- 27863701
TI - The many wounds of war: The association of service-related and clinical
characteristics with problems with the law in Iraq and Afghanistan veterans.
AB - Previous research has demonstrated that veterans with posttraumatic stress
disorder (PTSD) are at higher risk for aggression, hostility, and anger,
potentially leading to problems with the law or disciplinary action while in the
military. There have been calls for increased consideration of the unique aspects
of the judicial system in legal matters with veterans involved. The bulk of the
research so far has considered the link between PTSD, traumatic brain injury
(TBI), and combat exposure, but little is known about the potential role of
chronic pain and Military Sexual Trauma (MST) in a veteran's experience of anger,
aggression, and discipline while in the military and legal concerns. The present
study used retrospective cross-sectional health screening data in a sample of
1250 Iraq and Afghanistan veterans to examine the associations of demographic,
service-related, and clinical characteristics with self-reported legal problems.
A total of 440 veterans (34.6%) endorsed having experienced some type of problems
with the law. Independent logistic regression analyses demonstrated that those
who screened positive for PTSD, MST, TBI, and clinical levels of pain were more
likely to report legal problems; only the associations with positive PTSD
(p=0.001) and MST (p=0.007) screens remained significant in multivariate
regression analyses. The findings underscore the need for a thorough
psychological evaluation when veterans are involved in the legal system with a
special emphasis on factors prevalent to Iraq and Afghanistan veterans.
PMID- 27863704
TI - Cardiovascular Effects of Glucose-lowering Therapies for Type 2 Diabetes: New
Drugs in Perspective.
AB - PURPOSE: The purpose of this study was to review the results of clinical trials
assessing the cardiovascular effects of drugs for type 2 diabetes and the
cardiovascular effects of newer available drugs. METHODS: We performed a detailed
search of PubMed-listed publications, reports from international meetings, and
ongoing studies from clinical trials.gov. FINDINGS: Currently available drugs
have neutral or, in some cases, negative effects on cardiovascular outcomes.
Modern sulfonylureas appear to be safe, although the biguanide metformin has a
slightly better cardiovascular safety profile than the sulfonylureas and is the
first choice for monotherapy. The cardiovascular tolerability of
thiazolidinediones (glitazones) remains controversial, with particularly adverse
effects in patients with cardiac failure. The cardiovascular effects of insulin
in type 2 diabetes appear neutral. Newer incretin-based therapies have been
closely examined in a large number of clinical trials, some of which are still
ongoing. The dipeptidyl peptidase-4 inhibitor (gliptins) trials to date have all
found a neutral effect. Of the glucagon-like peptide-1 (GLP-1) agonists,
lixisenatide had a neutral effect, whereas liraglutide and semaglutide had a
benefit on outcomes. The results of the sodium-glucose transporter-2 (SGLT-2)
inhibitor empaglifozin attracted interest when it was the first to report a
strong benefit on cardiovascular mortality. Liraglutide and semaglutide had a
neutral effect on cardiac failure admissions, whereas empaglifozin had a benefit.
In each of the trials, there was not a clear effect on myocardial infarction and
stroke. The mechanism of the cardiovascular benefit is debated, and further
studies with other GLP-1 agonists and SGLT-2 inhibitors are awaited.
IMPLICATIONS: After 2 decades of disappointment in attempting to control
cardiovascular progression in type 2 diabetes with careful glycemic control,
there is distinct hope that newer drugs, particularly the GLP-1 agonists and the
SGLT-2 inhibitors, will have cardiovascular benefits independent of glycemic
control.
PMID- 27863705
TI - Intake of selective beta blockers has no impact on survival in patients with
epithelial ovarian cancer.
AB - BACKGROUND AND OBJECTIVE: Some authors have claimed a significant impact of beta
blocking agents on outcome in epithelial ovarian cancer (EOC). This study
investigated the impact of concurrent medication with selective beta blockers
(SBB) in patients undergoing primary treatment for EOC. METHODS: The study
included all consecutive patients with primary EOC treated in two tertiary
gynecological-oncologic units between 1999 and 2014. Medication was
retrospectively analyzed by chart review. RESULTS: The study cohort comprised 801
patients, of whom 141 (17.6%) had received SBB. Median age of patients without
SBB medication was 56years (range: 19-90years) and 64years (range: 41-84years) in
patients taking SBB (p<0.001). The main prognostic factor FIGO stage did not
differ between both cohorts. 63.8% of patients taking SBB underwent complete
tumor resection compared to 74.2% of patients without SBB (p=0.012). Patients
without SBB experienced less severe post-operative complications according to the
Clavien-Dindo classification (18.8% vs 29.0%; p=0.003). Between the both groups
without and with SBB intake, PFS and OS did not differ significantly (PFS:
27months and 24months, p=0.40; OS: 56months and 44, p=0.15). Multivariate
analyses did not yield any association between SBB intake and prognosis but
confirmed well-known prognostic factors. CONCLUSIONS: Intake of selective beta
blockers did not influence the prognosis of patients with EOC.
PMID- 27863707
TI - Outcomes in childhood following therapeutic hypothermia for neonatal hypoxic
ischemic encephalopathy (HIE).
AB - In this article, we review the childhood outcomes of neonates with birth
depression and/or hypoxic-ischemic encephalopathy. The outcomes of these children
prior to the era of hypothermia for neuroprotection will first be summarized,
followed by discussion of results from randomized controlled trials of
therapeutic hypothermia for neonatal hypoxic-ischemic encephalopathy. The
predictors of outcome in childhood following neonatal HIE using clinical and
imaging biomarkers following hypothermia therapy will be described.
PMID- 27863706
TI - Advanced neuroimaging and its role in predicting neurodevelopmental outcomes in
very preterm infants.
AB - Up to 35% of very preterm infants survive with neurodevelopmental impairments
(NDI) such as cognitive deficits, cerebral palsy, and attention deficit disorder.
Advanced MRI quantitative tools such as brain morphometry, diffusion MRI,
magnetic resonance spectroscopy, and functional MRI at term-equivalent age are
ideally suited to improve current efforts to predict later development of
disabilities. This would facilitate application of targeted early intervention
therapies during the first few years of life when neuroplasticity is optimal. A
systematic search and review identified 47 published studies of advanced MRI to
predict NDI. Diffusion MRI and morphometry studies were the most commonly studied
modalities. Despite several limitations, studies clearly showed that brain
structural and metabolite biomarkers are promising independent predictors of NDI.
Large representative multicenter studies are needed to validate these studies.
PMID- 27863708
TI - Nuclear distribution of the chromatin-remodeling protein ATRX in mouse early
embryogenesis.
AB - The nucleus of mammalian embryos differs by transcriptional activity at different
stages of early development. Here, we studied nuclear distribution of the
chromatin-remodeling protein ATRX in pre-implantation mouse embryos.
Immunofluorescent staining revealed the changes of ATRX nuclear distribution at
the initial stages of early mouse development. At the stage of early zygote, a
diffuse ATRX distribution pattern was prevalent. During the course of zygotic
genome activation (ZGA), zones of increased ATRX concentration are observed, and
they are most expressed in the nuclei of late 2-cell embryos. In the morula
stage, the ATRX distribution becomes diffuse again. In zygotes, the patterns of
ATRX distribution differ between male and female pronuclei. At all the stages,
ATRX concentrates in the DAPI-positive areas of condensed chromatin. The level of
colocalization between ATRX and heterochromatin was found the highest at the late
2-cell stage. When transcription was artificially suppressed, the pattern of
intranuclear ATRX distribution was mostly determined by the mechanism of
inhibitor action rather than the decreased level of transcriptional activity.
Thus, the obvious changes of ATRX distribution occur and partially correlate with
the main stages of ZGA during mouse early development, but these changes seem to
be determined by other processes of structural and functional rearrangements of
blastomere nuclei.
PMID- 27863709
TI - The neuronal basis of copper induced modulation of anxiety state in rat.
AB - Recently, studies have provided strong evidence indicating the involvement of
trace elements in the physiopathology of psychiatric disorders, particularly
anxiety. We aimed, through the present study, to describe the effect of acute
exposure to Cu (10mg/kg BW) on anxiety state together with the serotoninergic and
dopaminergic systems in rat by means of neurobehavioral tests (elevated plus
maze, dark light box) and immunohistochemistry using anti-serotonin (5HT) and
anti-tyrosine hydroxylase (TH). Our data report that Cu enhanced 5HT innervation
in the dorsal raphe nucleus (DRN) together with a loss of TH expression within
the ventral tegmental area (VTA), Substantia nigra compacta (SNc) and their
subsequent outputs including the medial forebrain bundle (MFB) and striatum. In
the elevated plus maze Cu significantly increased the time and the number of
entries into the open arms, and raised the time spent in the Dark Box indicating
a clear reduced anxiety state induced by Cu. The present data show for the first
time a powerful neuro-modulatory potential of Cu in rat which involves primarily
a dysfunction of 5HT and DA neurotransmissions.
PMID- 27863711
TI - N-Acylated chitosan bis(arylcarbamate)s: A class of promising chiral separation
materials with powerful enantioseparation capability and high eluents
tolerability.
AB - In order to comprehensively understand the influence of coordination of the
substituent at 2-position with those at 3- and 6-positions on the properties of
chitosan derivatives, a series of chitosan 3,6-bis(arylcarbamate)-2-(amide)s
(CACAs) and the related chiral stationary phases (CSPs) were prepared and
reported in the present study. Specifically, chitosan was N-acylated with
carboxylic acid anhydrides, and then further derivatized with various aryl
isocyanates to afford CACAs, from which a class of coated-type CSPs were
prepared. When the substituent introduced on the acyl group at 2-position and
those on the phenyl group of the carbamates at 3- and 6-positions were fittingly
combined, these prepared CACAs based CSPs would exhibit powerful chiral
recognition ability, further resulting in a class of promising chiral separation
materials with excellent enantioseparation performance. Meanwhile, these newly
developed materials with suitable molecular weight also bear a high tolerability
towards organic solvents, even including pure tetrahydrofuran, thus broadening
their application in enantiomeric separation.
PMID- 27863710
TI - Predictors of remission from generalized anxiety disorder and major depressive
disorder.
AB - BACKGROUND: The predictors of onset of major depressive disorder (MDD) and
generalized anxiety disorder (GAD) are well-characterized. However the factors
that predict remission from these conditions are less clear, and the study of
this area is further complicated by differing definitions of remission. METHODS:
Data come from the National Comorbidity Survey - Replication, and analysis was
limited to respondents with a lifetime history of GAD (n=621) or MDD (n=1299)
assessed by the Composite International Diagnostic Interview. Predictors of
remission included demographic factors, adverse childhood events, family history,
and clinical characteristics. Multiple definitions of remission were explored to
account for residual symptoms. RESULTS: Half (54.4%) of respondents with MDD and
41.1% of respondents with GAD experienced full remission. Older age and higher
socioeconomic status were positively related to remission in a dose-response
manner for both disorders. Adverse childhood experiences and family history of
anxious/depressive symptoms were negatively associated with remission from MDD.
Comorbid GAD was inversely associated with remission from MDD (Odds ratio (OR):
0.62, 95% Confidence interval (CI): 0.44-0.88), but comorbid MDD did not impact
remission from GAD (OR: 0.93, 95% CI: 0.64-1.35). With the exception of the
influence of comorbidity, these associations were robust across definitions of
remission. LIMITATIONS: Cross-sectional analysis and retrospective recall of
onset of MDD/GAD. CONCLUSIONS: Many individuals with MDD or GAD will experience
full remission. Some predictors appear to have a general association with
remission from both disorders, while others are uniquely associated with
remission from MDD.
PMID- 27863712
TI - Glycoform analysis of alpha1-acid glycoprotein by capillary electrophoresis.
AB - A relatively fast and reproducible CE separation was developed for the glycoform
analysis of alpha1-acid glycoprotein (AGP). Factors that were considered included
the pH for this separation and various techniques for coating the capillary
and/or to minimize electroosmotic flow and protein adsorption. Optimum resolution
of the AGP glycoforms was obtained at pH 4.2 with a running buffer containing
0.1% Brij 35 and by using static and dynamic coatings of PEO on the capillary.
These conditions made it possible to separate nine AGP glycoform bands in about
20min. The limit of detection (based on absorbance measurements) ranged from 0.09
to 0.38MUM for these AGP glycoform bands, and the linear range extended up to a
total AGP concentration of at least 240MUM. The migration times for the glycoform
bands had typical within-day and day-to-day precisions of +/-0.16-0.23% or less,
respectively, on a single treated capillary and the variation between capillaries
was +/-0.56% or less. A charge ladder approach was employed to examine the mass
or charge differences in the glycoforms that made up these bands, giving a good
fit to a model in which the neighboring bands differed by one charge (e.g., from
a sialic acid residue) and had an average mass difference of approximately 0.7
0.9kDa. The approaches used to develop this separation method are not limited to
AGP but could be extended to the analysis of other glycoproteins by CE.
PMID- 27863714
TI - Corrigendum to "Revisiting the understanding of "transactional sex" in sub
Saharan Africa: A review and synthesis of the literature" [Soc. Sci. Med. 168
(2016) 186-197].
PMID- 27863713
TI - Improving the productivity of a multidimensional chromatographic preparative
system by collecting pure chemicals after each of three chromatographic
dimensions.
AB - The enhanced sample collection capability of a heart-cutting three-dimensional GC
prep system is reported. In its original configuration, a highly pure component
can be usually collected after the last (3D) column outlet by means of a
dedicated preparative station. The latter is located after the last
chromatographic column, and this poses the requirement for multiple heart cuts
even for those components showing satisfactory degree of purity after the first
(or second) separation dimension. The feasibility to collect pure components
after each chromatographic dimension is here described, employing a three
dimension MDGC system equipped with high-temperature valves, located inside the
first and second GC ovens, with the aim to improve the productivity of the
collection procedure. In addition to a commercial preparative collector located
at the 3D outlet, two laboratory-made collection systems were applied in the
first and second dimension, reached by the effluent to be collected trough a high
temperature valve switching the heart-cut fraction between either the detector
(FID), or the collector. Highly pure sesquiterpene components were collected,
namely: patchouli alcohol after the first column [poly(5% diphenyl/95%
dimethylsiloxane)], alpha-bulnesene after a second column coated with high
molecular weight polyethylene glycol, and alpha-guaiene after an ionic-liquid
based column (SLB-IL60), used as the third dimension. Purity levels ranging from
85 to 95% were achieved with an average collection recovery of 90% (n=5). The
following average amounts were collected per run: 160MUg for alpha-guaiene,
295MUg for alpha-bulnesene, and 496MUg for patchouli alcohol.
PMID- 27863715
TI - Kawasaki disease and immunisation: Standardised case definition & guidelines for
data collection, analysis.
PMID- 27863716
TI - Antibodies against the C-terminus of alpha-synuclein modulate its fibrillation.
AB - The 140-residue natively disordered protein alpha-synuclein (aSN) is a central
component in the development of a family of neurodegenerative diseases termed
synucleinopathies. This is attributed to its ability to form cytotoxic aggregates
such as oligomers and amyloid fibrils. Consequently there have been intense
efforts to avoid aggregation or reroute the aggregation pathway using
pharmaceutical agents such as small molecules, chaperones and antibodies. aSN's
lack of persistent structure in the monomeric state, as well as the multitude of
different oligomeric and even different fibrillar states, makes it difficult to
raise antibodies that would be efficacious in neutralizing all conformations of
aSN. However, the C-terminal 20-40 residues of aSN are a promising epitope for
antibody development. It is primarily disordered in both monomeric and aggregated
forms, and an anti-C-terminal antibody will therefore be able to bind all forms.
Furthermore, it might not interfere with the folding of aSN into membranes, which
could be important for its physiological role. Here we report a screen of a
series of monoclonal antibodies, which all target the C-terminal of aSN.
According to dot blot analyses, different antibodies bound different forms of aSN
with different preferences and showed reduced binding to monomeric compared to
aggregated (oligomeric and fibrillary) aSN. Consequently they have different
effects on aSN's ability to fibrillate and permeabilize membranes. Generally, the
antibodies with strongest binding to aggregated aSN in dot blot, also inhibited
fibrillation and membrane permeabilization the most, and promoted formation of
amorphous aggregates surrounded by small and thin fibers. This suggests that the
development of antibodies that targets the C-terminus, exposed in the aggregated
forms of aSN, may be beneficial for improved immunotherapy against PD.
PMID- 27863717
TI - Finding routes towards understanding and the successful correction of presbyopia.
PMID- 27863718
TI - The impact of immediate breast reconstruction after mastectomy on time to first
adjuvant treatment in women with breast cancer in a community setting.
AB - BACKGROUND: The impact of immediate breast reconstruction on the time to first
adjuvant therapy is controversial. METHODS: Retrospective study design comparing
time to first treatment in women undergoing mastectomy with and without immediate
reconstruction in a community cancer center. RESULTS: Seventy-six cases fit
inclusion criteria of which 44 (58%) underwent mastectomy with immediate
reconstruction. Women undergoing immediate reconstruction were younger, had more
bilateral mastectomies and had fewer prior breast procedures. The median time to
first adjuvant therapy was longer in the immediate reconstruction group [80.5days
(36-343) versus 53.5 days (18-96), p = 0.003]. Fifteen of 44 patients had the
start of adjuvant treatment over 90 days after resection, 14 of whom (93%) had
immediate reconstruction versus 1 (7%) who did not (p = 0.01). CONCLUSION: In
this study immediate breast reconstruction was associated with a longer time to
first adjuvant treatment, with adjuvant therapies being more likely delayed over
90 days.
PMID- 27863719
TI - Utility of feeding jejunostomy tubes in pancreaticoduodenectomy.
AB - BACKGROUND: Routine placement of jejunostomy tubes (JT) during
pancreaticoduodenectomy (PD) is controversial. METHODS: A retrospective chart
review of patients undergoing PD from 1/1/08 through 12/31/14 was performed. The
patients were divided into groups by placement of JT. Outcome measures were 90
day morbidity, 90-day mortality, length of stay, rate of delayed gastric emptying
(DGE), and JT-specific complications. RESULTS: 256 patients were included. There
were no significant differences in 90-day morbidity (39.9% vs. 37.9%, p = 0.747),
90-day mortality (3.9% vs. 1.0%, p = 0.247) or TPN use (24.8 vs. 25.2%, p =
0.941) between those with and without JT, respectively. Patients with a JT had a
higher rate of DGE (p < 0.001), longer hospital stay (14.3 vs. 11.6, p < 0.001),
and longer time to solid intake (9.4 vs. 7.3, p < 0.001). Eleven patients (7.2%)
with JT had tube-related morbidity. CONCLUSIONS: Routine placement of JT at the
time of PD should be abandoned with efforts focused on preoperative nutrition
optimization and early oral diet trials.
PMID- 27863720
TI - Can residents detect errors in technique while observing central line insertions?
AB - BACKGROUND: Procedural teaching and the ability to detect and correct errors are
important components of surgical education. This study evaluates whether review
of an instructional video will improve residents' ability to detect errors. We
hypothesized that clinical experience and confidence do not correlate with
ability to detect errors. METHODS: Participants were randomized to 2 groups: the
study group viewed an instructional video demonstrating correct technique,
whereas the control group did not view the instructional video. Forty general
surgery residents described errors in technique during an ultrasound-guided right
internal jugular vein catheterization pre and post randomization. RESULTS:
Participants who viewed the video improved their error identification rate by
72.6% (P < .001). No correlation between postgraduate year or confidence in error
detection and the actual ability to detect errors was noted (r = .17 and r = .14
respectively). CONCLUSIONS: Experience and seniority may not be sufficient to
detect procedural errors during central line insertion. Instructional videos
improve error recognition.
PMID- 27863721
TI - Ambulatory bilateral neck exploration for primary hyperparathyroidism: Is it
safe?
PMID- 27863723
TI - Actually, guns Do kill people.
PMID- 27863722
TI - Is nasotracheal intubation safe in facial trauma patients?
AB - BACKGROUND: In the prehospital setting, oral intubation is preferred in facial
trauma patients due to the potential for further injury during nasotracheal
intubation. This study compared the complications of nasal vs. oral vs. nasal +
oral intubations performed by first responder crews in facial trauma patients.
Our objective was to assess patient outcomes and complications to determine the
risk of nasal intubation in facial trauma patients in the prehospital setting.
METHODS: Patients with facial trauma between 2008 and 13 were abstracted from the
Miami Valley Hospital trauma registry: 50 were nasal only (n), 27 nasal + oral
(no), and 135 oral only (o) intubation. Analysis of variance with the post-hoc
Least Significance Difference Test and the chi square test were used in the
analysis. RESULTS: The oral group was older [41.1 +/- 17.6 (o) vs. 36.2 +/- 14.1
(n) vs. 33.0 +/- 15.7 (no), p = 0.032] and had a higher facial abbreviated injury
severity (AIS) mean score (1.8 +/- 0.6 vs. 1.3 +/- 0.5 vs. 1.5 +/- 0.5, p <
0.001). The three groups did not differ in mortality (n = 20% vs. o = 18% vs. no
= 30%, p = 0.37). The n + o group was intubated longer (p < 0.001) and had longer
ICU and hospital lengths of stay (p = 0.015 and p = 0.023). The three groups did
not differ on the composite of any pulmonary complication - i.e., any one of
sinusitis, pneumonia, atelectasis, and respiratory failure - 44% (no) vs. 24% (o)
vs. 30% (n), p = 0.10). However, nasal + oral patients were more likely to have
one or more of the eight complication studied [63% (no) vs. 28% (o) vs. 34% (n),
p = 0.002], and have a longer ICU and HLOS. CONCLUSIONS: Prehospital nasal
intubation is a viable short-term alternative to oral intubation in patients with
facial trauma and warrants further research.
PMID- 27863724
TI - [Which approach of therapeutic education (TE) for adolescents and young adults
with cancer? Experience from the TE working group of "Go-AJA"].
AB - INTRODUCTION: Therapeutic education (TE) is a practice developed over 40 years at
an international level to give people with chronic illness the skills necessary
to help them better manage their disease. The lengthening survival time of cancer
patients as well as changes in the patient-caregiver relationship have
contributed to the development of TE in oncologic diseases. Every year in France,
about 1900 adolescents and young adults (15-25 years old) are diagnosed with
cancer which is the second leading cause of death in this age group. The observed
survival rates for these patients are lower when compared with children's. Some
of the hypotheses put forward to explain this difference include a lack of
constancy in care and a non-following treatment, as failure to adhere to
therapies is common in this age group. "Go-AJA", an interdisciplinary national
organization established in 2012, aims to improve the quality of care and
treatment results for AYA living with cancer. Therapeutic education for AYA in
oncology is an active working group of "Go-AJA" and intends to draw
recommendations and to improve adapted communication on different education
topics. Elaboration and preparation of TE programs by skilled multidisciplinary
teams engaged in interactive educational actions is the first and most crucial
step. MATERIALS AND METHODS: The TE "Go-AJA" working group has federated
pediatric and adult oncologists, nurses, psychologists, TE professionals, and
resource patients, thanks to the commitment of professionals from the 8 national
teams supported by the National Cancer Institute. Physical meetings and
conference calls were organized from 2012 to 2015 to construct TE tools and
programs for AYA with cancer. RESULTS: A competence referential was built and
adapted to AYA population with cancer, after focused groups organized in 2 main
oncology centers with on-therapy sarcoma patients and members of the
multidisciplinary TE working group. Tools were validated and adapted to
adolescents or young adults with cancer, to help in the 4 stages of TE: the
"educational diagnosis" allowing the caregiver to better understand the patient
in his life journey with the disease; the "therapeutic alliance" allowing to
agree with the patient on his/her priorities; the "implementation" which is an
action step: information, awareness, learning and psychosocial support. The final
step called "assessment" allows the caregiver to take stock on the changes and
difficulties with the patient. TE for AYA with cancer included individual and/or
group sessions to improve self-care skills: knowledge about the disease (group
sessions "what is cancer?" with use of microscopes to visualize sarcoma cells,
and guided tours in a tumor research laboratory), and details about the treatment
and its consequences (workshops about "management of febrile neutropenia").
Moreover, TE aimed to enrich the field of coping skills, in particular to improve
the coordination and experience of cares between the different complex and varied
network of care (group and/or individual sessions focused on physical
rehabilitation, and adapted school/professional orientation). CONCLUSION:
Regardless of the care system, care workers dedicated to AYA with cancer should
use TE-specific actions to reinforce treatment participation and therapeutic
relationships. This active multidisciplinary TE working group dedicated to AYA
with cancer elaborated TE programs by skilled multidisciplinary teams engaged in
interactive educational actions. After this work of a national TE organization,
more studies using methodological tools are still required to evaluate the impact
of such implemented programs on the treatment results and the quality of life.
PMID- 27863725
TI - [What specific socialisation, social, educational and professional for teenagers
and young adults with cancer?]
AB - Socialisation, education, first jobs and autonomy are key steps to teenagers and
young adults (TYA) integration into the society. The occurrence of a cancer in
this population increases the difficulties. Although, suffering of cancer do
affect TYA life journey at social, scholar and professional levels, from
diagnosis to the after-cancer live and sometime forever, few studies exist in
France. A national study on TYA with cancer (TYAC) social, scholar and
professional pathways is on-going (ESPOIR-AJA). A national survey of the existing
TYAC insertion support in 2013 by the "Insertion group" of groupe onco
hematologie adolescents et jeunes adultes (GO-AJA) revealed structured and
ancient support at scholar level based on national governmental or associative
structures, but insufficient and non-specific scholar help in secondary school
and professional help. Specific initiatives have emerged since 2012 with the
"Plan cancer 2". All these helps remain unequal across the country. A referential
on TYAC social, scholar and professional insertion has been prepared by GO-AJA in
collaboration with the association francophone des soins oncologiques de support
(AFSOS). The impact of the action 9 of the nation "plan cancer 3" is awaited.
PMID- 27863726
TI - [MET receptor inhibition: Hope against resistance to targeted therapies?]
AB - Overcoming the drug resistance remains a crucial issue in cancer treatment. For
refractory patients, the use of MET receptor tyrosine kinase inhibitors seems to
be hopeful. Indeed, important mechanisms underlying drug resistance argue for
association of MET inhibitors with targeted therapies, both on first-line to
prevent a primary resistance and on the second line to overcoming acquired
resistance. Indeed, met gene amplification is the second most common alteration
involved in acquired resistance to anti-epidermal growth factor receptor (EGFR)
therapies in non-small cells lung cancer (NSCLC). Hypoxia, for its part, can
activate MET transcription and amplifies HGF signaling resulting in MET
activation, which could be involved in vascular endothelial growth factor (VEGF)
inhibitors escape. In HER2 positive breast cancers, MET amplification may also
induce tumor cells a hatch escape, resulting in secondary resistance. Finally,
some patients with BRAF mutated melanoma exhibit primary resistance to BRAF
inhibition by stromal HGF (ligand of MET) secretion resulting in MET receptor
activation. Experimental data highlight the role of MET in primary and secondary
resistance and encourage combined treatments including MET inhibitors. In this
context, several promising clinical trials are in progress in numerous cancers
(NSCLC, melanoma, breast cancer, glioblastoma...) using combination of anti-MET
and other specific therapies targeting EGFR, BRAF, VEGF or HER2. This review
summarizes the potential benefits that MET inhibition should provide to patients
with cancer refractory to targeted therapies.
PMID- 27863729
TI - Outcomes of Anterolateral Thoracotomy With or Without Partial Sternotomy for
Kommerell Diverticulum.
AB - BACKGROUND: Kommerell diverticulum is a rare aortic arch anomaly. The indications
for operative intervention and surgical strategy are still controversial. The
standard surgical procedure at our institution is total aortic arch plus
descending aortic replacement using anterolateral thoracotomy with partial
sternotomy. The aberrant subclavian artery is reconstructed anatomically or
extraanatomically. METHODS: From 2002 to 2014, 6 patients (1 woman), aged 55 to
78 years, underwent graft replacement through an anterolateral thoracotomy or
anterolateral thoracotomy with a partial sternotomy approach for Kommerell
diverticulum. All patients underwent graft replacement of the descending aorta or
total aortic arch plus descending aorta in addition to aberrant subclavian artery
reconstruction. RESULTS: No hospital deaths or major complications occurred.
Recurrent nerve injury developed at discharge in 1 patient. All patients were
well during the follow-up period (range, 11 to 116 months). CONCLUSIONS:
Kommerell diverticulum and its associated symptoms were surgically treated with
acceptable outcomes.
PMID- 27863728
TI - Fontan Patient Survival After Pediatric Heart Transplantation Has Improved in the
Current Era.
AB - BACKGROUND: Historically, patients with a prior Fontan procedure for complex
congenital heart disease (CHD) have been considered at higher risk for death
after heart transplant (HT) compared with other HT transplant candidates. With
the overall trend of improved survival of pediatric HT recipients, it is unclear
of Fontan patient post-HT survival has also improved in the current era. METHODS:
Data from the Pediatric Heart Transplant Study database for Fontan patients who
underwent HT was compared between the early era (1993 to 2006, n = 150) and late
era (2007 to 2014, n = 252). Post-HT survival and pre-HT characteristics were
compared among eras and also with non-Fontan CHD patients. RESULTS: At time of
HT, Fontan patients in the late era were more likely to require inotropic
support, have protein-losing enteropathy, have failure to thrive, and be further
from time of Fontan, although less likely to be on ventilator support. Only
ventilator support and earlier year of HT were significant risk factors for death
in the multivariate analysis. Post-HT Fontan patient survival significantly
improved from the early to late era (p = 0.02), particularly in the early phase,
with 1-year survival of 77% in the early era and 89% in the late era. Late era
non-Fontan CHD patient 1-year post-HT survival was similar to Fontan patients at
92%. CONCLUSIONS: Survival of Fontan patients after HT has significantly improved
in the current era. Currently, expected post-HT survival for Fontan patients is
on par with other CHD patients. Fontan patients should not be excluded from
consideration for HT solely on a history of Fontan.
PMID- 27863730
TI - Psoas Muscle Area and Length of Stay in Older Adults Undergoing Cardiac
Operations.
AB - BACKGROUND: Frailty assessment can help predict which older adults will
experience adverse events after cardiac surgical procedures. Low muscle mass is a
core component of frailty that is suboptimally captured by self-reported weight
loss; refined measures using computed tomographic (CT) images have emerged and
are predictive of outcomes in noncardiac surgical procedures. The objective of
this study was to evaluate the association between CT muscle area and length of
stay (LOS) after cardiac surgical procedures. METHODS: Frail patients who had a
perioperative abdominal or thoracic CT scan were identified. The CT scans were
analyzed to measure cross-sectional lean muscle area at the L4 vertebra (psoas
muscle area [PMA], lumbar muscle area [LMA]) and the T4 vertebra (thoracic muscle
area [TMA]). The associations of PMA, LMA, and TMA with frailty markers and
postoperative LOS were investigated. RESULTS: Eighty-two patients were included;
the mean age was 69.2 +/- 9.97 years. Low muscle area was correlated with lower
handgrip strength and short physical performance battery (SPPB) scores indicative
of physical frailty. Postoperative LOS was correlated with PMA (R = -0.47, p =
0.004), LMA (R = -0.41, p = 0.01), and TMA (R = -0.29, p = 0.03). After
adjustment for the predicted risk of prolonged LOS, age, sex, and body surface
area, PMA remained significantly associated with LOS (beta = -2.35, 95% CI -4.48
to -0.22). The combination of low PMA and handgrip strength, indicative of
sarcopenia, yielded the greatest incremental value in predicting LOS.
CONCLUSIONS: Low PMA is a marker of physical frailty associated with increased
LOS in older adults undergoing cardiac surgical procedures. Further research is
necessary to validate PMA as a prognostic marker and therapeutic target in this
vulnerable population.
PMID- 27863731
TI - Pulmonary Resection for Synchronous M1b-cStage IV Non-Small Cell Lung Cancer
Patients.
AB - BACKGROUND: We wanted to assess the efficacy of curative intent pulmonary
resection for non-small cell lung cancer (NSCLC) patients with synchronous M1b
distant metastases in a single organ or lesion. METHODS: Between 1995 and 2015,
23 consecutive synchronous M1b-cStage IV NSCLC patients who underwent any
treatment for metastases and curative intent pulmonary resection were
retrospectively analyzed. RESULTS: Sixteen patients were men and 7 were women,
with a median age of 56 years (range: 41 to 76 years). There were 17
adenocarcinoma, 4 large-cell carcinoma, 1 large-cell neuroendocrine cancer, and 1
carcinosarcoma. Thirteen patients had no lymph node metastasis. Fourteen patients
received preoperative chemotherapy, and 10 received postoperative chemotherapy.
The metastatic sites were the brain in 13 patients; bone in 3 patients; adrenal
glands and extrathoracic lymph nodes in 2 patients each; and the liver, small
intestine, and subcutaneous tissue in 1 patient each. Nineteen patients underwent
lobectomy, and the other 4 patients underwent pneumonectomy. Seventeen patients
experienced recurrence as follows: local recurrence in 3 patients, distant
recurrence in 13 patients, and both in 1 patient. The 5-year progression-free
survival rates in the 23 patients was14.5% (95% confidence interval: 0% to
30.6%), and the 5-year overall survival rate was 41.7% (95% confidence interval:
19.6% to 63.8%). CONCLUSIONS: Some M1b-cStage IV NSCLC patients achieved longer
survival than others with the same stage disease by using local treatment for
distant metastases and curative intent pulmonary resection. Oligometastatic
patients might have been inadvertently included in the present cohort. However,
at present, the optimum method for patient selection remains unclear.
PMID- 27863732
TI - Efficacy of Aortic Valve Resuspension in Establishing Valve Competence in Acute
Type A Dissections.
AB - BACKGROUND: This study investigates the efficacy of aortic valve (AV)
resuspension with preservation of the native aortic root in maintaining AV
competence during type A dissection repair. METHODS: A total of 154 acute type A
dissection repairs were performed from January 2000 to July 2015. AV resuspension
was performed in 120 patients to address AV insufficiency (AI). Survival data
were derived from 120 patients who had AV resuspensions and all 154 acute type A
dissection repairs. RESULTS: Of the 70 patients who presented initially with
moderate-to-severe AI, 43 underwent AV resuspension. Echocardiographic data for
analysis were available in 40 of these 43 patients. In the group with moderate-to
severe AI at presentation, AV resuspension was able to achieve mild or less AI in
38 of 40 patients (95%) and trivial or no AI in 29 of 40 patients (73%) after
weaning from cardiopulmonary bypass. The presence of moderate-to-severe
preoperative AI did not predict the ability to achieve trivial or no AI with
resuspension immediately after coming off cardiopulmonary bypass (p = 0.3) or on
subsequent follow-up (p = 0.8). Mean echocardiographic follow-up for AV
resuspension was 1.21 +/- 2.57 years. Three patients who underwent AV
resuspension required AV reoperation at follow-up. There was no survival
difference between patients who did or did not have AV resuspension (p = 0.3).
CONCLUSIONS: AV resuspension is able to improve valve competency with good
outcomes even in patients with moderate or severe AI at presentation. Overall
long-term survival is unchanged compared with other operative strategies for the
AV.
PMID- 27863733
TI - Impact of Left Ventricular Systolic Function on Outcome of Correction of Chronic
Severe Aortic Valve Regurgitation: Implications for Timing of Surgical
Intervention.
AB - BACKGROUND: The timing of valve repair or replacement in patients with severe
aortic valve regurgitation (AR) is controversial. We investigated the effect of
left ventricular (LV) function on survival and recovery of LV performance and
dimensions after correction of chronic severe AR. METHODS: We reviewed 530
consecutive patients who underwent aortic valve repair or replacement for severe
AR between January 1, 2004, and June 30, 2014. RESULTS: The 30-day mortality was
0.75%. In multivariate analysis, older age (hazard ratio [HR] = 1.02, p = 0.03),
preoperative LV ejection fraction (EF) <60% (HR = 1.78, p = 0.04), previous
myocardial infarction (HR = 2.53, p = 0.01), and previous cardiac operation (HR =
1.82, p = 0.03) were associated with all-cause mortality. Ejection fraction was
reduced before hospital discharge but then improved and was greater than
preoperative levels at all subsequent intervals. The LV dimensions decreased
early postoperatively and continued to decrease thereafter. In multivariate
analysis, factors associated with LV dysfunction (EF <60%) 1 year after aortic
valve replacement were preoperative LV end-systolic dimension >=40 mm (odds ratio
[OR] = 5.39, p < 0.01) and previous myocardial infarction (OR = 3.62, p = 0.04).
CONCLUSIONS: Preoperative LV dysfunction (EF <60%) had an adverse effect on
overall survival after correction of chronic severe AR. Because survival is
improved in patients with greater preoperative LVEF and because reverse LV
remodeling is more complete with smaller LV dimensions, surgical intervention
should be considered promptly in patients with chronic severe AR and
deterioration of these indicators during echocardiographic surveillance.
PMID- 27863734
TI - Provider-parent Communication When Discussing Vaccines: A Systematic Review.
AB - PROBLEM: Expert literature on communication practices with vaccine hesitant
parents posits that a non-confrontational/participatory discussion with the
parent would be the best approach to improve compliance. A prior literature
review found limited evidence to recommend any particular face to face
intervention other than to incorporate communication about vaccination
effectiveness during an encounter. Hence, a systematic review was performed in an
attempt to determine the most efficacious communication practices to use with
parents with vaccination concerns. ELIGIBILITY CRITERIA: Quantitative and
qualitative studies written in English that assessed the communication
framework/style of the provider-parent interaction and studies where provider
communication was listed as an intervention were reviewed. SAMPLE: Nine articles
were included in the sample. RESULTS: The majority of the studies were
descriptive and qualitative in nature with only one randomized controlled trial.
Five of the 9 studies utilized a descriptive cross-sectional design. Two main
themes included message types recommended or given by the provider and message
types that were requested by the parent. CONCLUSIONS: Overall, findings showed
that there is currently not enough information to definitively state the type of
provider-parent communication style that should be employed to affect the
parents' vaccination viewpoint. However, recurring themes of trust in the
provider and a personalized provider-parent interaction were evident, which
promotes a participatory type of interaction. IMPLICATIONS: The literature
indirectly supports providers engaging with vaccine hesitant parents in a more
individualized, participatory format, though higher quality and more rigorous
studies that focus specifically on provider-parent communication practices are
needed.
PMID- 27863735
TI - Validity and reliability of the Functional Dexterity Test in children.
AB - STUDY DESIGN: Clinical measurement study. INTRODUCTION: The Functional Dexterity
Test (FDT) has not been validated in children. PURPOSE OF THE STUDY: To determine
reliability and validity of the FDT in a pediatric population. METHODS:
Intraclass Correlation Coefficients (ICCs) were used to calculate interrater and
test-retest reliability in typically developing children. Pearson correlation
coefficients were used to compare FDT speed with the Jebsen-Taylor Hand Function
Test (JHFT) and with 2 activities of daily living tasks to establish validity in
children with congenital hand differences. RESULTS: The FDT demonstrated
excellent interrater (ICC, 0.99) and test-retest (ICC, 0.90) reliability. Pearson
correlation coefficients exceeded 0.67 for JHFT subsets of fine dexterity and
were all less than 0.66 for JHFT subsets of gross grasp. Correlations with the
activities of daily living tasks were good to excellent. FDT speeds in TD
children exceeded those of children with congenital hand differences (P < .001),
demonstrating discriminant validity. DISCUSSION: Children with congenital hand
differences are often treated early in life, making it important to reliably
assess hand function of these young children to distinguish developmental change
from changes due to interventions. The FDT can reliably measure functional
progress over time, help clinicians monitor the efficacy of treatment, and
provide families realistic feedback on their child's progress. CONCLUSION: The
FDT is a valid and reliable instrument for the measurement of fine motor
dexterity in children.
PMID- 27863736
TI - Moberg Picking-Up Test in patients with hand osteoarthritis.
AB - STUDY DESIGN: Clinical measurement. INTRODUCTION: The Moberg Pick-up Test (MPUT)
was previously used to evaluate functional performance in patients with hand
inflammatory disease. This is the first study using the MPUT in hand
osteoarthritis (OA). PURPOSE OF THE STUDY: Compare the functional performance
(MPUT) in hand OA patients and healthy controls. METHODS: Fifty hand OA patients
and 50 controls were assessed using the MPUT, AUSCAN and Cochin questionnaires,
grip and pinch strength, pain using a visual analog scale and a Likert scale
regarding difficulty to perform MPUT. RESULTS: In the MPUT evaluation, the OA
group presented a statistically significant difference from the control group.
The OA group spent more time executing test. The grip and pinch strength
measurements showed higher values for the control group. The OA group reported a
greater difficulty than the control group in performing the test. CONCLUSION: The
MPUT is a short and easy to apply test, which can be safely used to assess the
functional performance of the hand OA. LEVEL OF EVIDENCE: II.
PMID- 27863738
TI - Presence of an adsorbent cake layer improves the performance of gravity-driven
membrane (GDM) filtration system.
AB - Gravity-driven membrane (GDM) filtration is a promising decentralized drinking
water treatment process. To improve the performance of GDM system, a thin layer
of adsorbent was pre-deposited on the membrane surface prior to filtration
(adsorbent-laden GDM system). The tested adsorbents include powdered activated
carbon (PAC) and anion exchange resin (AER), and an unmodified GDM system and a
SiO2-laden GDM system were used as controls. In the adsorbent-laden GDM systems,
the adsorption of the PAC and AER increased the removal efficiency of natural
organic matter by 7.2-43.5% and microcystin-LR, atrazine, and bisphenol A by 7.9
81.2%. The presence of adsorbent particles increased the amount of microorganisms
in the cake layer and therefore increased the removal efficiency of assimilable
organic matter (AOC) by 20.1-34.4%. In the adsorbent-laden GDM systems, the
physically irrecoverable fouling decreased because of the reduction in membrane
foulants by the adsorbent layer. However, the presence of adsorbent particles in
the cake layer counteracted this effect and increased the physically recoverable
fouling. Consequently, the pre-deposited adsorbent layers had only a limited
effect on the stabilized flux (2.26-2.65 L/m2 h). A bilayer structure was found
in the cake layer of the adsorbent-laden GDM systems via scanning electron
microscopy (SEM), and the cake layer was looser in the presence of adsorbent
particles. These results demonstrate that pre-depositing a thin layer of
adsorbents on the membrane surface of the GDM system can significantly improve
the quality of the permeate without decreasing the stabilized flux.
PMID- 27863737
TI - Intensified nitrate and phosphorus removal in an electrolysis -integrated
horizontal subsurface-flow constructed wetland.
AB - A novel electrolysis-integrated horizontal subsurface-flow constructed wetland
system (E-HFCWs) was developed for intensified removal of nitrogen and phosphorus
contaminated water. The dynamics of nitrogen and phosphorus removal and that of
main water qualities of inflow and outflow were also evaluated. The hydraulic
retention time (HRT) greatly enhanced nitrate removal when the electrolysis
current intensity was stabilized at 0.07 mA/cm2. When the HRT ranged from 2 h to
12 h, the removal rate of nitrate increased from 20% to 84%. Phosphorus (P)
removal was also greatly enhanced-exceeding 90% when the HRT was longer than 4 h
in the electrolysis-integrated HFCWs. This improved P removal is due to the in
situ formation of ferric ions by anodizing of sacrificial iron anodes, causing
chemical precipitation, physical adsorption and flocculation of phosphorus. Thus,
electrolysis plays an important role in nitrate and phosphorus removal. The
diversity and communities of bacteria in the biofilm of substrate was established
by the analysis of 16S rDNA gene sequences, and the biofilm was abundant with
Comamonadaceae and Xanthomonadaceae bacteria in E-HFCWs. Test results illustrated
that the electrolysis integrated with horizontal subsurface-flow constructed
wetland is a feasible and effective technology for intensified nitrogen and
phosphorus removal.
PMID- 27863739
TI - The influence of testing angle on the biomechanical properties of the rat
supraspinatus tendon.
AB - Rotator cuff tears are a common shoulder pathology. The rat supraspinatus tendon
model is commonly employed for preclinical assessment of rotator cuff pathology
or regeneration. However, there is a lack of a standardized biomechanical testing
protocol; previous studies have tested the tendon at abduction angles ranging
from -15 degrees to 90 degrees . This study aimed to assess the effect of
abduction/testing angle on the biomechanical properties of the rat supraspinatus
tendon. Fourty-eight shoulders (n=12/group) from healthy Sprague-Dawley rats were
randomized to 4 testing angle groups: 0 degrees (corresponding to 90 degrees
abduction), 30 degrees , 60 degrees , and 90 degrees (0 degrees abduction).
Biomechanical testing of the supraspinatus was performed, consisting of stress
relaxation and load-to-failure. Mechanical properties were calculated, and
nonlinear tensile modeling was performed via the Quasilinear Viscoelastic (QLV)
and Structurally Based Elastic (SBE) models. Results indicate that testing angle
significantly affects supraspinatus tendon biomechanics. Stiffness and modulus
significantly decreased with increasing testing angle (stiffness: 20.93+/-5.8N/mm
at 0 degrees vs. 6.12+/-1.0N/mm at 90 degrees , P<.001; modulus: 59.51+/-34.0MPa
at 0 degrees vs. 22.37+/-7.4MPa at 90 degrees , P=.002). Testing angle
correlated significantly to ultimate strain, yield strain, and all coefficients
of the SBE and QLV models, implying differences in collagen fiber crimp patterns
and viscoelastic behavior as a function of testing angle. These results suggest
that differences in testing methodology, in particular testing angle,
significantly affect the measured mechanical properties of the supraspinatus
tendon. Future studies may consider utilizing testing angles of 0 degrees -30
degrees , at which tendon stiffness is maximized, and full standardization of rat
rotator cuff testing protocols is necessary.
PMID- 27863740
TI - Understanding the fluid mechanics behind transverse wall shear stress.
AB - The patchy distribution of atherosclerosis within arteries is widely attributed
to local variation in haemodynamic wall shear stress (WSS). A recently-introduced
metric, the transverse wall shear stress (transWSS), which is the average over
the cardiac cycle of WSS components perpendicular to the temporal mean WSS
vector, correlates particularly well with the pattern of lesions around aortic
branch ostia. Here we use numerical methods to investigate the nature of the
arterial flows captured by transWSS and the sensitivity of transWSS to inflow
waveform and aortic geometry. TransWSS developed chiefly in the acceleration,
peak systolic and deceleration phases of the cardiac cycle; the reverse flow
phase was too short, and WSS in diastole was too low, for these periods to have a
significant influence. Most of the spatial variation in transWSS arose from
variation in the angle by which instantaneous WSS vectors deviated from the mean
WSS vector rather than from variation in the magnitude of the vectors. The
pattern of transWSS was insensitive to inflow waveform; only unphysiologically
high Womersley numbers produced substantial changes. However, transWSS was
sensitive to changes in geometry. The curvature of the arch and proximal
descending aorta were responsible for the principal features, the non-planar
nature of the aorta produced asymmetries in the location and position of streaks
of high transWSS, and taper determined the persistence of the streaks down the
aorta. These results reflect the importance of the fluctuating strength of Dean
vortices in generating transWSS.
PMID- 27863741
TI - Shear targeted drug delivery to stenotic blood vessels.
AB - In this review we focus on shear targeted drug delivery as a novel strategy to
selectively deliver drugs to sites of vascular obstruction. We review the physics
of stenotic (abnormally narrowed) blood vessels, while focusing mainly on the
hemodynamics and transport phenomena at these sites. We then discuss how the
local abnormal levels of shear stress, which can mechanically activate platelets,
can be leveraged for localized drug delivery. We describe the development of
Shear Activated Nano-particle Aggregates (SA-NPAs) that are designed to release
and localize their nanoparticle drug carriers at sites of vascular stenosis. We
present results in a variety of in vivo models, demonstrating the superiority of
SA-NPAs carrying a thrombolytic drug compared to conventional treatment with the
free drug. We also describe, shear-stress sensitive lenticular liposomes, which
also show selective release under stenotic flow conditions. We then discuss
limitations of both technologies, challenges in this new field and potential
future applications. Altogether, we believe that mechano-sensitive therapeutics
may offer a potential new approach for treatment of cardiovascular diseases.
PMID- 27863743
TI - In vitro and in silico approaches to quantify the effects of the Mitraclip(r)
system on mitral valve function.
AB - Mitraclip(r) implantation is widely used as a valid alternative to conventional
open-chest surgery in high-risk patients with severe mitral valve (MV)
regurgitation. Although effective in reducing mitral regurgitation (MR) in the
majority of cases, the clip implantation produces a double-orifice area that can
result in altered MV biomechanics, particularly in term of hemodynamics and
mechanical stress distribution on the leaflets. In this scenario, we combined the
consistency of in vitro experimental platforms with the versatility of numerical
simulations to investigate clip impact on MV functioning. The fluid dynamic
determinants of the procedure were experimentally investigated under different
working conditions (from 40bpm to 100bpm of simulated heart rate) on six swine
hearts; subsequently, fluid dynamic data served as realistic boundary conditions
in a computational framework able to quantitatively assess the post-procedural MV
biomechanics. The finite element model of a human mitral valve featuring an
isolated posterior leaflet prolapse was reconstructed from cardiac magnetic
resonance. A complete as well as a marginal, sub-optimal grasping of the leaflets
were finally simulated. The clipping procedure resulted in a properly coapting
valve from the geometrical perspective in all the simulated configurations.
Symmetrical complete grasping resulted in symmetrical distribution of the
mechanical stress, while uncomplete asymmetrical grasping resulted in higher
stress distribution, particularly on the prolapsing leaflet. This work pinpointed
that the mechanical stress distribution following the clipping procedure is
dependent on the cardiac hemodynamics and has a correlation with the proper
execution of the grasping procedure, requiring accurate evaluation prior to clip
delivery.
PMID- 27863742
TI - Nanoparticle transport and delivery in a heterogeneous pulmonary vasculature.
AB - Quantitative understanding of nanoparticles delivery in a complex vascular
networks is very challenging because it involves interplay of transport,
hydrodynamic force, and multivalent interactions across different scales.
Heterogeneous pulmonary network includes up to 16 generations of vessels in its
arterial tree. Modeling the complete pulmonary vascular system in 3D is
computationally unrealistic. To save computational cost, a model reconstructed
from MRI scanned images is cut into an arbitrary pathway consisting of the upper
4-generations. The remaining generations are represented by an artificially
rebuilt pathway. Physiological data such as branch information and connectivity
matrix are used for geometry reconstruction. A lumped model is used to model the
flow resistance of the branches that are cut off from the truncated pathway.
Moreover, since the nanoparticle binding process is stochastic in nature, a
binding probability function is used to simplify the carrier attachment and
detachment processes. The stitched realistic and artificial geometries coupled
with the lumped model at the unresolved outlets are used to resolve the flow
field within the truncated arterial tree. Then, the biodistribution of 200nm,
700nm and 2um particles at different vessel generations is studied. At the end,
0.2-0.5% nanocarrier deposition is predicted during one time passage of drug
carriers through pulmonary vascular tree. Our truncated approach enabled us to
efficiently model hemodynamics and accordingly particle distribution in a complex
3D vasculature providing a simple, yet efficient predictive tool to study drug
delivery at organ level.
PMID- 27863745
TI - A Systematic Review of Voice Therapy: What "Effectiveness" Really Implies.
AB - INTRODUCTION: Behavioral voice therapy guided by a speech-language pathologist is
recommended as the main treatment approach for many kinds of voice disorders.
Encouraging evidence regard of good outcomes from voice therapy has been found in
two previous reviews on broad patient populations. However, no definitive
conclusion on the effectiveness of direct voice therapy can be drawn from these
reviews due to limitations of the included studies. AIMS: To review recent
literature on voice therapy; to provide clinicians with a list of evidence-based
voice therapy techniques; to incorporate the therapy components in a
physiologically based model; to assess the limitations and progress achieved in
the recent research on voice therapy. METHODS: A literature search was conducted
using three electronic databases: PubMed, Scopus, and CINAHL. A similar strategy
was used in all three databases to highlight the concepts of "therapy" and "voice
disorders." Only randomized controlled trials were included in the review.
RESULTS: Fifteen papers met the inclusion criteria, covering five categories of
voice disorders (functional, Parkinson induced, GERD induced, presbyphonia,
unilateral vocal fold paresis) and seven specific behavioral voice therapy
approaches. Statistically significant improvements were found postintervention on
at least one outcome variable in all but one study. Clinical significance of the
results was rarely discussed. Discrepancies in reported outcome measures were
found across studies, making comparisons between interventions challenging.
CONCLUSION: Behavioral voice therapy generally leads to significant improvements
in voice outcomes, but further research considering clinical meaningfulness of
the results are needed to establish what is really meant by the term
"effectiveness" when it comes to voice therapy.
PMID- 27863744
TI - Towards the improved quantification of in vivo abnormal wall shear stresses in
BAV-affected patients from 4D-flow imaging: Benchmarking and application to real
data.
AB - Bicuspid aortic valve (BAV), i.e. the fusion of two aortic valve cusps, is the
most frequent congenital cardiac malformation. Its progression is often
characterized by accelerated leaflet calcification and aortic wall dilation.
These processes are likely enhanced by altered biomechanical stimuli, including
fluid-dynamic wall shear stresses (WSS) acting on both the aortic wall and the
aortic valve. Several studies have proposed the exploitation of 4D-flow magnetic
resonance imaging sequences to characterize abnormal in vivo WSS in BAV-affected
patients, to support prognosis and timing of intervention. However, current
methods fail to quantify WSS peak values. On this basis, we developed two new
methods for the improved quantification of in vivo WSS acting on the aortic wall
based on 4D-flow data. We tested both methods separately and in combination on
synthetic datasets obtained by two computational fluid-dynamics (CFD) models of
the aorta with healthy and bicuspid aortic valve. Tests highlighted the need for
data spatial resolution at least comparable to current clinical guidelines, the
low sensitivity of the methods to data noise, and their capability, when used
jointly, to compute more realistic peak WSS values as compared to state-of-the
art methods. The integrated application of the two methods on the real 4D-flow
data from a preliminary cohort of three healthy volunteers and three BAV-affected
patients confirmed these indications. In particular, quantified WSS peak values
were one order of magnitude higher than those reported in previous 4D-flow
studies, and much closer to those computed by highly time- and space-resolved CFD
simulations.
PMID- 27863746
TI - Biophysical skin properties of grade 1 pressure ulcers and unaffected skin in
spinal cord injured and able-bodied persons in the unloaded sacral region.
AB - AIM OF THE STUDY: To examine biophysical skin properties in the sacral region in
spinal cord injury (SCI) patients suffering from a grade 1 pressure ulcer (PU)
defined as non-blanchable erythema (SCI/PU), SCI patients in the post-acute phase
(SCI/PA) and able-bodied participants (CON). Also, for SCI/PU patients, both the
affected skin and healthy skin close to the PU were examined. STUDY DESIGN: An
experimental controlled study with a convenience sample. SETTING: A Swiss acute
care and rehabilitation clinic specializing in SCIs. MATERIALS AND METHODS: We
determined hydration, redness, elasticity and perfusion of the unloaded skin in
the sacral region of 6 SCI/PU patients (affected and healthy skin), 20 SCI/PA
patients and 10 able-bodied controls. These measures were made by two trained
examiners after the patients were lying in the supine position. RESULTS: The
affected skin of SCI/PU patients showed elevated redness: median 595.5 arbitrary
units (AU) (quartiles 440.4; 631.6) and perfusion: 263.0 AU (104.1; 659.4), both
significantly increased compared to the healthy skin in SCI/PA patients and CON
(p < 0.001). Similarly, healthy skin of SCI/PA patients showed elevated redness
(p = 0.016) and perfusion (p < 0.001) compared to CON. On the other hand,
differences in redness and perfusion between the affected and unaffected skin in
SCI/PU patients were not significant. The results for skin hydration and skin
elasticity were similar in all groups. CONCLUSIONS: Skin perfusion and redness
were significantly increased in grade 1 PUs and for healthy skin in both SCI/PA
patients and CON participants; thus, these are important in understanding the
pathophysiology of PUs and skin in SCI.
PMID- 27863747
TI - Symmetrical aryl linked bis-iminothiazolidinones as new chemical entities for the
inhibition of monoamine oxidases: Synthesis, in vitro biological evaluation and
molecular modelling analysis.
AB - The multifactorial nature of Parkinson's disease necessitates the development of
new chemical entities with inherent ability to address key pathogenic processes.
To this end, two series of new symmetrical 1,2- and 1,4-bis(2-aroyl/alkoylimino-5
(2-methoxy-2-oxoethylidene)-4-oxo-thiazolidin-3-yl)benzene derivatives (3a-g and
5a-e) were synthesized in good yields by the cyclization of 1,2- and 1,4-bis(N'
substituted thioureido)benzene intermediates with dimethyl acetylenedicarboxylate
(DMAD) in methanol at ambient temperature. The bis-iminothiazolidinone compounds
were investigated in vitro for their inhibition of monoamine oxidase (MAO-A & MAO
B) enzymes with the aim to identify new and distinct pharmacophores for the
treatment of neurodegenerative disorders like Parkinson's disease. Most of the
designed compounds exhibited good inhibitory efficacy against monoamine oxidases.
Compound 5a was identified as the most potent inhibitor of MAO-A depicting an
IC50 value of 0.001MUM, a 4-fold stronger inhibitory strength compared to
standard inhibitor (clorgyline: IC50=0.0045MUM). Molecular docking studies
provided insights into enzyme-inhibitor interactions and a rationale for the
observed inhibition towards monoamine oxidases.
PMID- 27863749
TI - Emerging issues on hepatitis C virus infection after the introduction of the
Directly Acting Antivirals.
PMID- 27863750
TI - Tribute to 100 years of Professor Dr. Michel Abu Jamra.
PMID- 27863748
TI - Ethyl nitrobenzoate: A novel scaffold for cholinesterase inhibition.
AB - A series of novel cholinesterase inhibitors containing nitrobenzoate core
structure were synthesized by a facile and efficient method. The structure of the
novel compounds were fully characterized and confirmed by analytical as well as
spectroscopic methods. Compound indicated as 2f was found to possess the best
cholinesterase inhibitory activities of all the evaluated compounds. Results
suggest that 2f is a selective acetylcholinesterase inhibitor, although it also
inhibits butyrylcholinesterase at higher concentration. Kinetics inhibition
result suggest that 2f is a mixed-mode inhibitor of acetylcholinesterase. In
addition, it was found to have low cytotoxicity. Molecular docking on compound 2f
was carried out to rationalize the observed in vitro enzymatic assay results.
Most importantly, the potential of nitrobenzoate derivatives as cholinesterase
inhibitor was shown through this study. In summary, we discovered nitrobenzoates
as a new scaffold that may eventually yield useful compounds in treatment of
Alzheimer's disease.
PMID- 27863751
TI - New developments in the understanding and diagnosis of myelodysplastic syndromes
with ring sideroblasts.
PMID- 27863752
TI - Hematopoietic stem cell mobilization for autologous transplantation in multiple
myeloma patients previously exposed to cyclophosphamide, thalidomide, and
dexamethasone: is granulocyte-colony stimulating factor alone enough?
PMID- 27863753
TI - Platelet and reticulocyte new parameters: why and how to use them?
PMID- 27863754
TI - Survival and treatment response in adults with acute promyelocytic leukemia
treated with a modified International Consortium on Acute Promyelocytic Leukemia
protocol.
AB - Acute promyelocytic leukemia has good prognosis in view of the high complete
remission and survival rates achieved with therapies containing all-trans
retinoic acid or arsenic trioxide. However, there is a significant risk of death
during induction due to hemorrhage secondary to disseminated intravascular
coagulation. This has contributed to a gap in the prognosis of patients between
developed and developing countries. The International Consortium on Acute
Promyelocytic Leukemia was created in 2005 and proposed a treatment protocol
based on daunorubicin and all-trans retinoic acid stratified by risk geared
toward developing countries. Herein are presented the results from the first
patient cohort treated in a single developing country hospital employing a
slightly modified version of the International Consortium protocol in a real life
setting. Twenty patients with acute promyelocytic leukemia were enrolled: 27.8%
had low-risk, 55.6% intermediate risk and 16.7% high-risk. The complete remission
rate was 94.4% after a median of 42 days. Both relapse rates and death rates were
one patient (5.5%) each. No deaths were observed during consolidation. After a
median follow-up of 29 months, the overall survival rate was 89.1%. Efficacy and
safety of the International Consortium on Acute Promyelocytic Leukemia protocol
has been reproduced in acute promyelocytic leukemia patients from a developing
country.
PMID- 27863756
TI - Herpes zoster after autologous hematopoietic stem cell transplantation.
AB - BACKGROUND: The autologous hematopoietic stem cell transplantation procedure
involves immunosuppression of the patient. Thus, the patient has an elevated risk
for several diseases, such as infections with the varicella-zoster virus.
Prevention protocols have been proposed based on the use of acyclovir from the
first day of conditioning, and maintaining this drug for 30-100 days after the
procedure or for as much as one year. The objective of this work was to evaluate
the incidence of herpes zoster after autologous transplantations related to the
early suspension of acyclovir. METHODS: A retrospective study was carried out
based on the collection of data from 231 medical records of transplant patients
in the Bone Marrow Transplant Unit of the teaching hospital of the Universidade
Federal de Juiz de Fora in the period between 2004 and 2014. RESULTS: Fourteen
(6.1%) patients had herpes zoster in the post-transplant period on average within
six months of the procedure. Patients with multiple myeloma (64.3%) were the most
affected. There was a statistically significant difference in the age of the
patients, with older individuals having a greater chance of developing the
infection (p-value=0.002). There were no significant differences for the other
variables analyzed. CONCLUSION: The early suspension of acyclovir can be safe in
patients who receive autologous hematopoietic stem cell transplants. However some
groups may benefit from extended prophylaxis with acyclovir, particularly older
patients and patients with multiple myeloma.
PMID- 27863755
TI - Identification of the MYST3-CREBBP fusion gene in infants with acute myeloid
leukemia and hemophagocytosis.
AB - BACKGROUND: Acute myeloid leukemia presenting the MYST3-CREBBP fusion gene is a
rare subgroup associated with hemophagocytosis in early infancy and monocytic
differentiation. The aim of this study was to define the relevant molecular
cytogenetic characteristics of a unique series of early infancy acute myeloid
leukemia cases (<=24months old), based on the presence of hemophagocytosis by
blast cells at diagnosis. METHODS: A series of 266 infant cases of acute myeloid
leukemia was the reference cohort for the present analysis. Acute myeloid
leukemia cases with hemophagocytosis by blast cells were reviewed to investigate
the presence of the MYST3-CREBBP fusion gene by fluorescence in situ
hybridization (FISH) and reverse transcription polymerase chain reaction.
RESULTS: Eleven cases with hemophagocytosis were identified with hemophagocytic
lymphohistiocytosis being ruled out. Six cases were classified as myelomonocytic
leukemia, three as AML-M7 and two as AML-M2. In five cases, the presence of the
MYST3-CREBBP fusion gene identified by molecular cytogenetics was confirmed by
fluorescence in situ hybridization. All patients received treatment according to
the Berlin-Frankfurt-Munster acute myeloid leukemia protocols and only one out of
the five patients with the MYST3-CREBBP fusion gene is still alive. CONCLUSIONS:
Our findings demonstrate that the presence of hemophagocytosis in acute myeloid
leukemia was not exclusively associated to the MYST3-CREBBP fusion gene.
Improvements in molecular cytogenetics may help to elucidate more complex
chromosomal rearrangements in infants with acute myeloid leukemia and
hemophagocytosis.
PMID- 27863757
TI - Is it feasible to use granulocyte-colony stimulating factor alone to mobilize
progenitor cells in multiple myeloma patients induced with a cyclophosphamide,
thalidomide and dexamethasone regimen?
AB - BACKGROUND: Cyclophosphamide plus thalidomide as induction for multiple myeloma
patients eligible for autologous stem cell transplantation may be a limiting
factor for cell mobilization. The minimum acceptable mobilized peripheral blood
stem cell count to prevent deleterious effects during transplantation is 2.0*106
CD34+ cells/kg. Combining other treatments to granulocyte-colony stimulating
factor, such as cyclophosphamide, could overcome the mobilization limitation. The
objective of this study was to assess the number of CD34+ cells mobilized using
granulocyte-colony stimulating factor with and without cyclophosphamide after
induction with cyclophosphamide, thalidomide and dexamethasone. METHODS: A
retrospective study was performed of a cohort of multiple myeloma patients
submitted to autologous stem cell transplantations at two Brazilian centers
between May 2009 and July 2013. The oral cyclophosphamide and thalidomide
induction doses used were 1500mg/month and 100-200mg/day, respectively.
Mobilization doses were 10-15mcg/kg granulocyte-colony stimulating factor with 2
4g/m2 cyclophosphamide, or 15-20mcg/kg granulocyte-colony stimulating factor
alone for 5 days. Collection of >2.0*106 CD34+ cells/kg was considered
sufficient. RESULTS: Eighty-eight patients were analyzed; only 18 received
cyclophosphamide. The median age was 58 years old (range: 51-62) for the
granulocyte-colony stimulating factor group and 56.5 years old (range: 54-60) for
granulocyte-colony stimulating factor plus cyclophosphamide group. Fifty-two
patients were male. Eighty cases (90.9%) were Durie-Salmon Staging System III-A/B
and 38 (44.7%) and 20 cases (23.5%) were International Staging System 2 and 3,
respectively. The group that received cyclophosphamide collected a higher median
number of progenitor cells [3.8 (range: 3.1-4.4) vs. 3.2 (range: 2.3-3.8)] (p
value=0.008). No correlation was observed between better responses or number of
induction cycles and the number of cells collected. CONCLUSION: The number of
cells mobilized with granulocyte-colony stimulating factor plus cyclophosphamide
was higher. However, in both groups, the median number of CD34+ cells was
sufficient to perform a single autologous stem cell transplantation; no
deleterious effects were reported during harvesting.
PMID- 27863758
TI - Determination of reference ranges for immature platelet and reticulocyte
fractions and reticulocyte hemoglobin equivalent.
AB - INTRODUCTION: The immature platelet and immature reticulocyte fractions represent
the ratios of platelets and reticulocytes recently released into the circulation
and thus with higher RNA content. They are considered early indicators of bone
marrow recovery. OBJECTIVE: The aim of this study was to determine the reference
ranges for the immature platelet and reticulocyte fractions of hematologically
normal individuals in a university hospital. METHODS: Venous blood samples
collected in ethylenediaminetetraacetic acid K3 were analyzed using a Sysmex XE
5000TM analyzer. Individuals with platelet and reticulocyte counts within the
reference ranges, and a blood count within the laboratory's screening criteria
were included. Individuals with clinical conditions that could affect
hematological results were excluded. The immature platelet fraction, high, medium
and low fluorescence reticulocyte fractions and reticulocyte hemoglobin
equivalent were evaluated. The reference ranges were determined according to the
recommendations of the International Federation of Clinical Chemistry. RESULTS:
One hundred and thirty-two outpatients were evaluated. The mean age was 44 years
(range: 13-80 years), 72 (54.5%) were women treated in a university hospital. The
mean platelet count was 250.8*109/L and the mean reticulocyte count was
0.052*109/L. The following reference ranges were obtained: immature reticulocyte
fraction 1.6-12.1%, the high, medium and low fluorescence reticulocyte fractions
were 0.0-1.7%, 1.6-11.0% and 87.9-98.4%, respectively, the reticulocyte
hemoglobin equivalent was 30.0-37.6% and immature platelet fraction was 0.8-5.6%.
There was a statistically significant difference (p-value=0.006) between genders
in respect to the immature platelet fraction with 0.8-4.7% for females and 0.7
6.1% for males. The immature reticulocyte fraction was directly correlated with
the reticulocyte count. CONCLUSION: Determining the reference range is critical
to the introduction of a new parameter. The reference ranges obtained herein
corroborate those reported in previous publications and will contribute to the
clinical and laboratory application of the indices.
PMID- 27863759
TI - Outcomes of allogeneic hematopoietic stem cell transplantation for lymphomas: a
single-institution experience.
AB - INTRODUCTION: Allogeneic hematopoietic stem cell transplantation offers the
opportunity for extended survival in patients with Hodgkin's and non-Hodgkin
lymphomas who relapsed after, or were deemed ineligible for, autologous
transplantation. This study reports the cumulative experience of a single center
over the past 14 years aiming to define the impact of patient, disease, and
transplant-related characteristics on outcomes. METHODS: All patients with
histologically confirmed diagnosis of Hodgkin's or non-Hodgkin lymphomas who
received allogeneic transplantation from 2000 to 2014 were retrospectively
studied. RESULTS: Forty-one patients were reviewed: 10 (24%) had Hodgkin's and 31
(76%) had non-Hodgkin lymphomas. The median age was 50 years and 23 (56%) were
male. The majority of patients (68%) had had a prior autologous transplantation.
At the time of allogeneic transplantation, 18 (43%) patients were in complete and
seven (17%) were in partial remission. Most (95%) patients received reduced
intensity conditioning, 49% received matched sibling donor grafts, 24% matched
unrelated donor grafts, and 27% received double umbilical cord blood grafts. The
100-day treatment-related mortality rate was 12%. After a median duration of
follow up of 17.1 months, the median progression-free and overall survival was
40.5 and 95.8 months, respectively. On multivariate analysis, patients who had
active disease at the time of transplant had inferior survival. CONCLUSIONS:
Allogeneic transplantation results extend survival in selected patients with
relapsed/refractory Hodgkin's and non-Hodgkin lymphomas with low treatment
related mortality. Patients who have active disease at the time of allogeneic
transplantation have poor outcomes.
PMID- 27863760
TI - Splicing factor SF3B1 mutations and ring sideroblasts in myelodysplastic
syndromes: a Brazilian cohort screening study.
AB - BACKGROUND: Myelodysplastic syndromes (MDS) comprise a group of malignant clonal
hematologic disorders characterized by ineffective hematopoiesis and propensity
for progression to acute myeloid leukemia. Acquired mutations in the gene
encoding RNA splicing factor 3B subunit 1 (SF3B1) are highly associated with the
MDS subtypes presenting ring sideroblasts, and represent a specific nosological
entity. The effects of these mutations on clinical outcomes are diverse and
contrasting. METHODS: A cohort of 91 Brazilian MDS patients, including patients
with ring sideroblasts in the bone marrow, were screened for mutations in the
SF3B1 hotspots (exons 12-15) by direct Sanger sequencing. RESULTS: SF3B1
heterozygous mutations were identified in six patients (7%), all of them with
ring sideroblasts, thus confirming the association between SF3B1 mutations and
myelodysplastic syndrome subtypes bearing this morphologic feature (frequency of
6/13, p-value<0.0001). CONCLUSION: This is the first screening of SF3B1 mutations
in a cohort of Brazilian myelodysplastic syndrome patients. Our findings confirm
that mutations in this splicing gene correlate with bone marrow ringed
sideroblasts.
PMID- 27863761
TI - Iron deficiency in cancer patients.
AB - Anemia is a frequent complication in cancer patients, both at diagnosis and
during treatment, with a multifactorial etiology in most cases. Iron deficiency
is among the most common causes of anemia in this setting and can develop in
nearly half of patients with solid tumors and hematologic malignancies.
Surprisingly, this fact is usually neglected by the attending physician in a way
that proper and prompt investigation of the iron status is either not performed
or postponed. In cancer patients, functional iron deficiency is the predominant
mechanism, in which iron availability is reduced due to disease or the therapy
related inflammatory process. Hence, serum ferritin is not reliable in detecting
iron deficiency in this setting, whereas transferrin saturation seems more
appropriate for this purpose. Besides, lack of bioavailable iron can be further
worsened by the use of erythropoiesis stimulating agents that increase iron
utilization in the bone marrow. Iron deficiency can cause anemia or worsen pre
existing anemia, leading to a decline in performance status and adherence to
treatment, with possible implications in clinical outcome. Due to its frequency
and importance, treatment of this condition is already recommended in many
specialty guidelines and should be performed preferably with intravenous iron.
The evidences regarding the efficacy of this treatment are solid, with response
gain when combined with erythropoiesis stimulating agents and significant
increments in hemoglobin as monotherapy. Among intravenous iron formulations,
slow release preparations present more favorable pharmacological characteristics
and efficacy in cancer patients.
PMID- 27863762
TI - Structural diversity and biological importance of ABO, H, Lewis and secretor
histo-blood group carbohydrates.
AB - ABO, H, secretor and Lewis histo-blood system genes control the expression of
part of the carbohydrate repertoire present in areas of the body occupied by
microorganisms. These carbohydrates, besides having great structural diversity,
act as potential receptors for pathogenic and non-pathogenic microorganisms
influencing susceptibility and resistance to infection and illness. Despite the
knowledge of some structural variability of these carbohydrate antigens and their
polymorphic levels of expression in tissue and exocrine secretions, little is
known about their biological importance and potential applications in medicine.
This review highlights the structural diversity, the biological importance and
potential applications of ABO, H, Lewis and secretor histo-blood carbohydrates.
PMID- 27863763
TI - Guidelines on Beta-thalassemia major - regular blood transfusion therapy:
Associacao Brasileira de Hematologia, Hemoterapia e Terapia Celular: project
guidelines: Associacao Medica Brasileira - 2016.
PMID- 27863766
TI - A closer look into blood group discrepancy arising due to an underlying
malignancy.
PMID- 27863765
TI - A clinical challenge: Treatment of acute myeloid leukemia in a Jehovah's Witness.
PMID- 27863767
TI - Stroke-like encephalopathy following high-dose intravenous methotrexate in an
adolescent with osteosarcoma: a case report.
PMID- 27863769
TI - Erratum to "Frequencies of polymorphisms of Rh, Kell, Kidd, Duffy and Diego
systems of Santa Catarina, southern Brazil" [Rev Bras Hematol Hemoter.
2016;38(3):199-205].
PMID- 27863764
TI - Diagnosis and treatment of chronic lymphocytic leukemia: recommendations from the
Brazilian Group of Chronic Lymphocytic Leukemia.
AB - Chronic lymphocytic leukemia is characterized by clonal proliferation and
progressive accumulation of B-cell lymphocytes that typically express CD19+, CD5+
and CD23+. The lymphocytes usually infiltrate the bone marrow, peripheral blood,
lymph nodes, and spleen. The diagnosis is established by immunophenotyping
circulating B-lymphocytes, and prognosis is defined by two staging systems (Rai
and Binet) established by physical examination and blood counts, as well as by
several biological and genetic markers. In this update, we present the
recommendations from the Brazilian Group of Chronic Lymphocytic Leukemia for the
diagnosis and treatment of chronic lymphocytic leukemia. The following
recommendations are based on an extensive literature review with the aim of
contributing to more uniform patient care in Brazil and possibly in other
countries with a similar social-economic profile.
PMID- 27863768
TI - Differential profile of CDKN1A and TP53 expressions in bone marrow mesenchymal
stromal cells from myeloid neoplasms.
PMID- 27863770
TI - Chimney, periscope, or snorkel technique to relieve dysphagia.
PMID- 27863771
TI - Selection of emission factor standards for estimating emissions from diesel
construction equipment in building construction in the Australian context.
AB - Emissions from equipment usage and transportation at the construction stage are
classified as the direct emissions which include both greenhouse gas (GHG) and
non-GHG emissions due to partial combustion of fuel. Unavailability of a reliable
and complete inventory restricts an accurate emission evaluation on construction
work. The study attempts to review emission factor standards readily available
worldwide for estimating emissions from construction equipment. Emission factors
published by United States Environmental Protection Agency (US EPA), Australian
National Greenhouse Accounts (AUS NGA), Intergovernmental Panel on Climate Change
(IPCC) and European Environmental Agency (EEA) are critically reviewed to
identify their strengths and weaknesses. A selection process based on the
availability and applicability is then developed to help identify the most
suitable emission factor standards for estimating emissions from construction
equipment in the Australian context. A case study indicates that a fuel based
emission factor is more suitable for GHG emission estimation and a time based
emission factor is more appropriate for estimation of non-GHG emissions. However,
the selection of emission factor standards also depends on factors like the place
of analysis (country of origin), data availability and the scope of analysis.
Therefore, suitable modifications and assumptions should be incorporated in order
to represent these factors.
PMID- 27863773
TI - Simulating the environmental performance of post-harvest management measures to
comply with the EU Nitrates Directive.
AB - Nitrate (NO3-) leaching from farmland remains the predominant source of nitrogen
(N) loads to European ground- and surface water. As soil mineral N content at
harvest is often high and may increase by mineralisation from crop residues and
soil organic matter, it is critical to understand which post-harvest management
measures can be taken to restrict the average NO3- concentration in ground- and
surface waters below the norm of 50 mg l-1. Nitrate leaching was simulated with
the EU-rotate_N model on a silty and a sandy soil following the five main arable
crops cultivated in Flanders: cut grassland, silage maize, potatoes, sugar beets
and winter wheat, in scenarios of optimum fertilisation with and without post
harvest measures. We compared the average NO3- concentration in the leaching
water at a depth of 90 cm in these scenarios after dividing it by a factor of 2.1
to include natural attenuation processes occurring during transport towards
ground- and surface water. For cut grassland, the average attenuated NO3-
concentration remained below the norm on both soils. In order to comply with the
Nitrates Directive, post-harvest measures seemed to be necessary on sandy soils
for the four other crops and on silty soils for silage maize and for potatoes.
Successful measures appeared to be the early sowing of winter crops after
harvesting winter wheat, the undersowing of grass in silage maize and the removal
of sugar beet leaves. Potatoes remained a problematic crop as N uptake by winter
crops was insufficient to prevent excessive NO3- leaching. For each crop, maximum
levels of soil mineral N content at harvest were proposed, both with and without
additional measures, which could be used in future nutrient legislation. The
approach taken here could be upscaled from the field level to the subcatchment
level to see how different crops could be arranged within a subcatchment to
permit the cultivation of problem crops without adversely affecting the water
quality in such a subcatchment.
PMID- 27863772
TI - The evolution of crop cultivation and paleoenvironment in the Longji Terraces,
southern China: Organic geochemical evidence from paleosols.
AB - The Longji ancient agricultural terraces in the Longji Mountain area (Guilin,
southern China), which still remain in use, are famous for their magnificent
terraced landscape with a mix of ecosystem and human inhabitation. Previous
research has revealed the genesis and preliminary paleoenvironmental record of
the agricultural terraces, but little is known about variations in crop
cultivation over time. In this study, organic geochemical analyses and
radiocarbon dating of an aggradational cultivated soil from a terrace profile
were used to explore crop type variation and relevant paleoenvironmental change
during the period of cultivation on the Longji Terraces. Hydroponic farming with
rice (C3) planting has been the dominant cultivation mode since the initial
construction of the terraces. Warm-dry climate contributed to the growth of
drought-tolerant crop (C4) cultivation in the late 15th century. Temperature
deterioration during the Little Ice Age had a negative impact on dry and
hydroponic farming activities from the late 15th century to the late 19th
century, while climate warming after the Little Ice Age promoted the
redevelopment of hydroponic farming.
PMID- 27863774
TI - [Women's knowledge of folic acid].
AB - Many trials have shown that folic acid supplementation before and during
pregnancy reduces the risk of neural tube defects in general population. We
investigated the knowledge of folic acid in women of child-bearing age. Women of
child-bearing age were interviewed by 20 pharmacists living in Haute-Garonne
between January and February 2014. One hundred ninety-six women were included in
the present study. Out of them, 36% of women never heard of folic acid and 82%
were not aware of its benefits. Knowledge was higher in older women, women in a
couple and women with higher educational level (P<10-2). This study underlines
that women are not enough aware of benefits of folic acid during pregnancy.
Moreover, previous studies have shown that French women have low use of folic
acid during peri-conceptional period. Information of general population will be
required for a better prevention of folic acid-preventable NTDs.
PMID- 27863775
TI - Operation for insulinomas in multiple endocrine neoplasia type 1: When
pancreatoduodenectomy is appropriate.
AB - BACKGROUND: Distal pancreatectomy is the most frequent operation for insulinomas
complicating multiple endocrine neoplasia type 1 insulinoma, although there are
conditions for which a different operative approach might be preferable. In this
article, we report the operative experience of a referral center for multiple
endocrine neoplasia type 1 insulinoma. METHODS: Twelve patients underwent
operations between 1992 and 2015: 8 underwent a distal pancreatic resection, and
4 underwent a pancreatoduodenectomy. Enucleation of other macroadenomas present
in the remnant pancreas was performed in 9 out of these 12 patients. RESULTS:
Operative complications (2 pancreatic fistulas and 2 cases of pancreatitis)
occurred in 4 of the 8 distal pancreatic resections. In 1 patient, reoperation
was required to resolve the complications of the first operation. At pathologic
analysis, multiple insulinomas were found in 5 patients, lymph-nodal metastasis
positive for insulin in 2 patients, multiple nonfunctioning pancreatic tumors in
all patients, glucagonoma in 4 patients, and gastrinoma in the duodenum or lymph
nodes in 4 patients. All the patients were treated successfully for the
hypoglycemic/hyperinsulinemic syndrome with no clinical recurrence at a mean
follow-up of 85 months (range 4-242 months). Recurrent nonfunctioning pancreatic
tumor macroadenomas in the remnant pancreas occurred in only 1 of the 12
patients, and no progression of the gastrinomas was observed. None of the
patients developed diabetes mellitus. CONCLUSION: Resection of the most severely
affected part of the pancreas, whether left or right, associated with enucleation
of concomitant macroadenomas in the preserved pancreas is recommended for the
treatment of hypoglycemic/hyperinsulinemic syndrome and to prevent malignant
progression of nonfunctioning pancreatic tumors in patients with multiple
endocrine neoplasia type 1. If the head of the pancreas is the most affected site
and the Zollinger-Ellison syndrome is concomitant, then pancreatoduodenectomy
should be preferred over distal pancreatectomy.
PMID- 27863776
TI - Parathyroidectomy prior to kidney transplant decreases graft failure.
AB - BACKGROUND: Uncorrected uremic hyperparathyroidism is associated with delayed
graft function after kidney transplantation. The current guidelines of the Kidney
Disease Improving Global Outcomes recommend maintaining parathyroid hormone <=9x
normal in patients pre-kidney transplantation. This study explores the effect of
increased levels of serum parathyroid hormone and preoperative parathyroidectomy
on outcomes after kidney transplantation. METHODS: A retrospective review was
performed of adult patients who underwent kidney transplantation between January
1, 2005, and December 31, 2014, at a single institution. Biochemistries and
outcomes were analyzed pre-kidney transplantation and at 30 days, 6 months, and 1
year post-kidney transplantation. RESULTS: A total of 913 patients underwent
kidney transplantation from 2005-2014. Graft survival 1 year post-kidney
transplantation was 97.8%. Overall, 462 (50.6%) patients had a pre-kidney
transplantation diagnosis of uncorrected uremic hyperparathyroidism, which was
associated with complications in the first year post-kidney transplantation (odds
ratio 1.44; 95% confidence interval, 1.11-1.87); no statistical association with
delayed graft function or graft failure was detected. Pre-kidney transplantation
parathyroid hormone >=6x normal was associated with post-kidney transplantation
graft failure (P < .05). A total of 57 (6.2%) patients underwent pre-kidney
transplantation parathyroidectomy, which was associated with lesser risk of graft
failure (odds ratio: 0.547; 95% confidence interval, 0.327-0.913), but no
statistically significant association with delayed graft function or
complications were detected. CONCLUSION: Pre-kidney transplantation
parathyroidectomy decreases post-kidney transplantation graft failure and may
benefit patients whose serum parathyroid hormone levels decrease into the target
range of current Kidney Disease Improving Global Outcomes guidelines.
PMID- 27863777
TI - Concentration of serum calcium is not correlated with symptoms or severity of
primary hyperparathyroidism: An examination of 20,081 consecutive adults.
AB - BACKGROUND: Guidelines for operative treatment of primary hyperparathyroidism
include calcium levels >1 mg/dL above normal. We sought to determine whether
greater calcium concentrations were associated with increased symptoms or disease
severity. METHODS: A retrospective review of a prospectively maintained database
of adults undergoing parathyroidectomy for primary hyperparathyroidism, grouped
according to greatest preoperative calcium level: those patients with calcium
concentrations between 10.0 and 11.0 mg/dL and those with >11.0 mg/dL. We
compared subjective symptoms and objective measures of disease severity. RESULTS:
The review included 20,081 adults who were split nearly evenly between calcium
concentrations between 10.0 and 11.0 (10,430, 51.9%) and those with >11.0 mg/dL
(9,651, 48.1%). In both groups, an absence of symptoms related to primary
hyperparathyroidism was uncommon (<5%). All subjective and objective measures of
disease severity were nearly identical with no significant differences
(percentages for calcium concentrations between 10.0 and 11.0 and those with
>11.0 mg/dL, respectively), including fatigue (72% for both groups), heartburn
(37% vs 34%), bone pain (50% vs 48%), sleep disturbances (68% vs 65%),
osteoporosis (40% in both groups), kidney stones (21% vs 22%), chronic kidney
disease with glomerular filtration rate <60 (29% vs 32%), and hypertension (50%
vs 53%). CONCLUSION: Serum calcium concentrations of greater than or less than 11
mg/dL are unrelated to symptoms and disease severity in primary
hyperparathyroidism. There is no evidence to support a serum calcium threshold in
parathyroidectomy guidelines.
PMID- 27863778
TI - Discussion.
PMID- 27863779
TI - How long should we follow patients after apparently curative parathyroidectomy?
AB - BACKGROUND: Little is known about the long-term recurrence risk for primary
hyperparathyroidism after immediately "curative" parathyroidectomy. This study
aimed to evaluate the risk of recurrent hyperparathyroidism in the 10 years after
operation. METHOD: We retrospectively identified patients with sporadic primary
hyperparathyroidism undergoing initial parathyroidectomy between November 1, 2000
and June 30, 2005. Recurrence was defined as serum calcium >10.2 mg/dL after 6
months from operation. Kaplan-Meier estimates and Cox proportional hazards were
used to evaluate disease-free survival and predictors of recurrence. RESULTS: We
evaluated 196 patients with a 14.8% 10-year recurrence rate. Median time to
recurrence was 6.3 years (interquartile range 3.4-10.8 years), and 34.5% of all
recurrences were identified >10 years after operation. There was no difference in
recurrence between open and minimally invasive operation (P = .448). Double
adenomas (P = .006), intraoperative parathyroid hormone drop <70% (P = .015), and
young age (P = .032) were predictive of disease recurrence. Multivariable
analysis demonstrated that older age was protective against recurrence (hazard
ratio 0.97, 95% confidence interval 0.94-0.99, P = .034), while double adenomas
(hazard ratio 3.52, 95% confidence interval 1.23-10.08, P = .019) were an
independent predictor for recurrence. CONCLUSION: The long-term recurrence rate
for sporadic primary hyperparathyroidism after "curative" parathyroidectomy is
likely greater than reported. With over one-third of our institutional
recurrences at >10 years after the initial operation, long-term follow-up is
essential.
PMID- 27863781
TI - Discussion.
PMID- 27863782
TI - Discussion.
PMID- 27863780
TI - Identification of primary tumors in patients presenting with metastatic
gastroenteropancreatic neuroendocrine tumors.
AB - BACKGROUND: Patients with gastroenteropancreatic neuroendocrine tumors often
present with metastases. Identification of the primary tumor is important for
operative management, and therefore we sought to determine our success at
identifying primary tumors with diagnostic testing and operative exploration.
METHODS: A clinical neuroendocrine tumor database was reviewed to identify
patients presenting with metastases and primary tumor in situ. Results of
radiologic, endoscopic, and operative procedures were evaluated to determine
which correctly identified the primary tumor. RESULTS: There were 197 patients
presenting with metastases and unresected primaries, 134 who had an operation and
63 managed nonoperatively. Primaries were identified preoperatively in 168 (84%),
at operative exploration in 7, and were not found in 22 patients. Computed
tomography found 150/197 primary tumors, somatostatin-receptor scintigraphy
88/155, and endoscopy 43/107. The sensitivity of computed tomography surpassed
scintigraphy (76% vs 57%, P < .01). The primary was removed in 130/134 (97%)
patients, and hepatic debulking was performed in 67%. Median survival for
operative patients with small bowel and pancreatic tumors was 145 and 71 months,
respectively. CONCLUSION: Imaging and endoscopy identified the primary tumor in
most patients, and the majority of the others were found at exploration.
Preoperative testing facilitated operative planning, allowing for resection of
the primary and hepatic debulking in most patients.
PMID- 27863783
TI - Discussion.
PMID- 27863784
TI - Discussion.
PMID- 27863785
TI - Discussion.
PMID- 27863786
TI - Preoperative detection of RAS mutation may guide extent of thyroidectomy.
AB - BACKGROUND: Preoperative detection of RAS mutations can contribute to cancer risk
assessment in indeterminate thyroid nodules, although RAS is not always
associated with malignancy. METHODS: Fine-needle aspiration samples classified in
1 of 3 indeterminate cytology categories were prospectively tested for N-, H-,
and K-RAS mutations using next-generation sequencing assay. RESULTS: In the
study, 93 patients with 94 nodules had preoperative RAS detected, of whom 86
patients had an operation (69% total thyroidectomy, 29% lobectomy). In total, 76%
of RAS-positive nodules were malignant and follicular variant papillary thyroid
cancer was the most common cancer type (83%). HRAS mutations had the greatest
risk of cancer (92%) followed by NRAS (74%) and KRAS (64%; P = .05). No
preoperative variables were associated with malignancy including age (P = .07),
sex (P = .49), RAS isoform (P = .05), mutational allelic frequency (P = .49),
nodule size (P = .14), cytology category (P = .63), or ultrasound bilaterality (P
= .24), multifocality (P = .23), or presence of >=1 suspicious feature (P = .86).
Only 60% of patients with a unifocal nodule on ultrasound had single focus low
risk encapsulated follicular variant papillary thyroid cancer or benign disease.
CONCLUSION: Preoperative RAS mutation detection in thyroid nodules carries a
substantial risk of cancer with a greater risk associated with HRAS and NRAS.
Most RAS malignancies are follicular variant papillary thyroid cancer, which may
inform the extent of operation.
PMID- 27863787
TI - Characterizing the operative findings and utility of intraoperative parathyroid
hormone (IOPTH) monitoring in patients with normal baseline IOPTH and
normohormonal primary hyperparathyroidism.
AB - BACKGROUND: During parathyroidectomy with intraoperative parathyroid hormone
monitoring, the successful removal of a hypersecreting gland(s) resulting in
normocalcemia is indicated by a >50% decrease in intraoperative parathyroid
hormone level, typically into the normal range. Some patients, however, will have
baseline parathyroid hormone levels within the normal range. We sought to
determine the utility of intraoperative parathyroid hormone testing in these
patients. METHODS: We retrospectively studied all patients who underwent
parathyroidectomy for primary hyperparathyroidism at our institution over a 10
year period. RESULTS: Overall, 317 (17%) patients had parathyroid hormone within
the normal range at the onset of operation (baseline intraoperative parathyroid
hormone), and 1,544 (83%) had classic primary hyperparathyroidism. The
intraoperative parathyroid hormone degradation was slower in normal baseline
intraoperative parathyroid hormone patients than classic primary
hyperparathyroidism patients, though this did not reach statistical significance
(P < .254). A >50% intraoperative parathyroid hormone decrease predicted cure in
98.7% of normal baseline patients and 98.8% of classic primary
hyperparathyroidism patients (P = .810). Normal baseline patients had a lesser
cure rate the longer it took to achieve a 50% decrease intraoperatively; however,
the cure rate was constant at any time point the 50% decrease occurred in
patients with classic primary hyperparathyroidism (P < .05). CONCLUSION: The 50%
rule delineating operative cure can be applied with equal confidence to patients
with normal range, baseline intraoperative parathyroid hormone. Moreover, the
time at which the 50% drop is achieved impacts operative success rates in these
patients.
PMID- 27863788
TI - Editorial: Treatment decision making in the era of genetic testing and molecular
diagnostics.
PMID- 27863790
TI - The history of the American Association of Endocrine Surgeons' Oliver Cope
Meritorious Achievement Award and its eighth recipient: Stuart D. Wilson.
PMID- 27863791
TI - Discussion.
PMID- 27863789
TI - Comparative analysis of radioactive iodine versus thyroidectomy for definitive
treatment of Graves disease.
AB - BACKGROUND: Management of Graves disease includes antithyroid drugs, 131I
therapy, or thyroidectomy. Our aim was to review our institutional experience
with definitive treatments for Graves disease. METHODS: This was a retrospective
review of patients undergoing 131I therapy (n = 295) or thyroidectomy (n = 103)
for Graves disease (2003-2015). Demographic, clinical, pathology, and outcome
data were collected from institutional databases. RESULTS: 131I therapy patients
were older (39.1 years vs 33.4 years, P = .001). There was no difference in the
presence of ophthalmopathy between groups. A larger proportion of children
received thyroidectomy than 131I therapy (17.1% vs 9.2%, P = .026). The success
rate of the first 131I therapy dose was 81.4%. Overall success rate, including
additional doses, was 90.1%. Rapid turnover of iodine correlated with 131I
therapy failure (58.3% rapid turnover failure vs 14.9% non-rapid turnover
failure, P < .05). All surgical patients underwent total or near-total
thyroidectomy. 131I therapy complications included worsening thyrotoxicosis (1%)
and deteriorating orbitopathy (0.7%). Operative complications were higher than
131I therapy complications (P < .05) but were transient. There was no worsening
orbitopathy or recurrent Graves disease among surgical patients. CONCLUSION: A
higher proportion of pediatric Graves disease patients underwent thyroidectomy
than 131I therapy. Rapid turnover suggested more effective initial management
with operation than 131I therapy. Although transient operative complications were
high, 131I therapy complications included worsening of Graves orbitopathy among
those with pre-existing orbitopathy.
PMID- 27863792
TI - Presence of Latent Myofascial Trigger Points and Determination of Pressure Pain
Thresholds of the Shoulder Girdle in Healthy Children and Young Adults: A Cross
sectional Study.
AB - OBJECTIVE: The primary objective of this study was to compare the number of
myofascial trigger points (MTPs) and the pressure pain thresholds (PPTs) in the
shoulder girdle, on the dominant and nondominant sides, between healthy children
and adults. The secondary aim was to assess the correlations between the number
of MTPs and the PPTs in these populations. METHODS: A cross-sectional study was
performed. Thirty-five children (aged 9.1 +/- 1.7 years) and 35 adults (aged 23.4
+/- 3.4 years) with no history of shoulder or cervical pathology were included.
All participants were examined for MTPs in the shoulder muscles and assessed for
PPTs in the neck, shoulder, and tibialis anterior. Parametric and nonparametric
tests, effect sizes, and odds ratios were used to determine the differences
between groups and sides. Spearman's sigma test was used to assess correlations
between latent MTPs (LTPs) and PPTs in each group. RESULTS: Children had fewer
LTPs than adults did (P = .03). The upper trapezius was the muscle with the
largest number of LTPs, affecting 13 adults on the dominant side. Children had
lower PPTs compared with adults (P < .05). Correlations between the number of
LTPs (on both sides and in total) and PPTs were observed only in adults.
CONCLUSIONS: Healthy children have fewer LTPs and lower PPTs in the shoulder
girdle than healthy adults. A relationship was observed between sensitivity to
pressure and the presence of LTPs in adults, in whom lower PPT was associated
with more LTPs. This relationship was not detected in children.
PMID- 27863793
TI - Plantar pressure asymmetry and risk of stress injuries in the foot of young
soccer players.
AB - BACKGROUND: Asymmetries in the magnitude of plantar pressure are considered a
risk factor for stress fracture of the fifth metatarsal in soccer athletes.
OBJECTIVE: To investigate the presence of plantar pressure asymmetries among
young soccer athletes. DESIGN: Observational. SETTING: Laboratory. PARTICIPANTS:
Thirty young adolescents divided into a soccer player group (n = 15) or a matched
control group (n = 15). MAIN OUTCOME MEASURES: Mean plantar pressure was
determined for seven different regions of the foot. Data were compared between
the preferred and non-preferred foot, and between the groups, during barefoot
standing on a pressure mat system. RESULTS: Higher pressure was found in the
hallux, 5th metatarsal and medial rearfoot of the non-preferred foot in the young
soccer players. These asymmetries were not observed in the control group.
Magnitudes of plantar pressure did not differ between the groups. CONCLUSION:
Young soccer players present asymmetries in plantar pressure in the hallux, 5th
metatarsal and medial rearfoot, with higher pressure observed in the non
preferred foot.
PMID- 27863794
TI - Biotransfer of Cd along a soil-plant- mealybug-ladybird food chain: A comparison
with host plants.
AB - Agro-ecosystem contamination by the heavy metals present in different
agricultural products is a serious challenge faced by the living organisms. This
study explains the cadmium (Cd) transfer from soils contaminated with different
cadmium concentrations through a plant (eggplant and tomato) - mealybug
(Dysmicoccus neobrevipes) - predator (Cryptolaemus-montrouzieri) food chain. The
soils were amended with Cd at the rates of 0, 12.5, 25 and 50 mg/kg (w/w). Our
findings showed that considerably higher Cd transfer through tomato plant.
Cadmium was biomagnified during soil-root transfer while bio-minimization of Cd
was observed for shoot-mealybug - ladybird transfer. Our results further showed
sequestration of Cd during the metamorphosis of ladybird beetle whilst transfer
of Cd through soil-plant-mealybug-ladybird multi-trophic food chain increased in
a dose dependent manner. Our results emphasize the need of further studies to
elaborate possible mechanisms of Cd bio-minimization by plants, mealybugs and
ladybirds observed during this study.
PMID- 27863795
TI - Decomposition of acetaminophen in water by a gas phase dielectric barrier
discharge plasma combined with TiO2-rGO nanocomposite: Mechanism and degradation
pathway.
AB - Acetaminophen (APAP) served as the model pollutant to evaluate the feasibility of
pollutant removal by gas phase dielectric barrier discharge plasma combined with
the titanium dioxide-reduced Graphene Oxide (TiO2-rGO) nanocomposite. TiO2-rGO
nanocomposite was prepared using the modified hydrothermal method and
characterized by TEM and XPS before and after plasma process. The results
indicated that the APAP degradation efficiency was significantly improved to 92%
after 18min of discharge plasma treatment coupling 0.25gL-1 TiO2-rGO 5%wt at
18kV, compared with the plasma alone and plasma combined with P25 TiO2. The
degradation mechanism for APAP in this system was studied by investigating the
effects of the operational variables (e.g. discharge voltage and pH value) and
the amount of the generated active species; and the results showed that O3 and
H2O2 yields were influenced notably by adding TiO2-rGO. Also, it was observed
that, compared with unused TiO2-rGO, the photocatalytic performance of used TiO2
rGO declined after several recirculation times due to the further reduction of
Graphene Oxide in plasma system. Finally, intermediate products were analyzed by
UV-vis spectrometry and HPLC/MS, and possible transformation pathways were
identified with the support of theoretically calculating the frontier electron
density of APAP.
PMID- 27863796
TI - Reprint of "Extracellular production of tellurium nanoparticles by the
photosynthetic bacterium Rhodobacter capsulatus".
AB - The toxic oxyanion tellurite (TeO32-) is acquired by cells of Rhodobacter
capsulatus grown anaerobically in the light, via acetate permease ActP2 and then
reduced to Te0 in the cytoplasm as needle-like black precipitates. Interestingly,
photosynthetic cultures of R. capsulatus can also generate Te0 nanoprecipitates
(TeNPs) outside the cells upon addition of the redox mediator lawsone (2-hydroxy
1,4-naphtoquinone). TeNPs generation kinetics were monitored to define the
optimal conditions to produce TeNPs as a function of various carbon sources and
lawsone concentration. We report that growing cultures over a 10 days period with
daily additions of 1mM tellurite led to the accumulation in the growth medium of
TeNPs with dimensions from 200 up to 600-700nm in length as determined by atomic
force microscopy (AFM). This result suggests that nucleation of TeNPs takes place
over the entire cell growth period although the addition of new tellurium Te0 to
pre-formed TeNPs is the main strategy used by R. capsulatus to generate TeNPs
outside the cells. Finally, X-ray photoelectron spectroscopy (XPS) and Fourier
transform infrared (FT-IR) analysis of TeNPs indicate they are coated with an
organic material which keeps the particles in solution in aqueous solvents.
PMID- 27863797
TI - Stabilization of Pb(II) accumulated in biomass through phosphate-pretreated
pyrolysis at low temperatures.
AB - The remediation of heavy metal-contaminated soil and water using plant biomass is
considered to be a green technological approach, although the harmless disposal
of biomass accumulated with heavy metals remains a challenge. A potential
solution to this problem explored in this work involves combining phosphate
pretreatment with pyrolysis. Pb(II) was accumulated in celery biomass with
superior sorption capacity and also in ordinary wood biomass through biosorption.
The Pb(II)-impregnated biomass was then pretreated with phosphoric acid or
calcium dihydrogen phosphate (CaP) and pyrolyzed at 350 or 450 degrees C. Pb(II)
from biomass was in turn almost totally retained in chars, and the percentage of
DTPA-extractable Pb(II) was reduced to less than 5% of total Pb(II) in chars
through CaP pretreatment. Pb(II) stabilization was further confirmed through a
sequential extraction test, which showed that more than 95% of Pb(II) was
converted into stable species composed mainly of lead phosphates according to X
ray diffraction (XRD) and scanning electron microscopy/energy-dispersive X-ray
spectroscopy (SEM/EDX) analyses. Overall, phosphate-pretreated pyrolysis can
stabilize both Pb(II) and degradable biomass, so as to control efficiently the
hazards of heavy metal-contaminated biomass.
PMID- 27863798
TI - Use of soil amendments to immobilize antimony and lead in moderately contaminated
shooting range soils.
AB - Shooting ranges are a source of environmental concern around the world as they
are a source of toxic antimony (Sb) and lead (Pb). In-situ chemical stabilization
is a strategy to reduce metal(loid) leaching and bioavailability. However it is
difficult to find the right treatment due to the fact that Pb is a cation and Sb
an anion, under oxidised conditions and they often show the opposite mobility in
soil, on the application of amendments. A batch experiment was set up with two
soils (slightly acidic and alkaline), two red mud based amendments (ViroSoilTM 1
and 2) alone and in combination with two reducing agents (zero valent iron and
iron sulphate), to assess the effect of the treatments on metal(loid) leaching
and compare it to unamended soil and soil amended with goethite, a known Sb
adsorbent. Iron sulphate was effective at reducing Sb leaching due to the
reduction of SbV to SbIII which bound more strongly to iron (hyr)oxides in soil.
However it had an adverse effect on the leaching of Pb due to its acidifying
effect and reductive dissolution of manganese (hyd)roxides. Combining ViroSoilTM
amendments with FeSO4 still reduced Sb leaching but also Pb leaching and proved a
suitable treatment.
PMID- 27863799
TI - Retrospective analysis of the prevalence and incidence of caries in the distal
surface of mandibular second molars in British military personnel.
AB - Mandibular third molars are commonly removed because of distal caries in the
adjacent tooth. To find out the prevalence of distal caries in mandibular second
molars we retrospectively studied the primary care dental records of 720 British
military personnel (653 men and 67 women) from various centres. These records are
standardised and personnel are required to attend for inspection regularly. Those
who had been under 20 years of age at enlistment, who had served for at least
five years, and had five recorded dental inspections, were included. The median
(IQR) period from the first to last inspection was 15 (9.7 - 19.2) years, and
inspections were a median (IQR) of 14.1 (12.8 - 15.8) months apart. A total of
59/1414 (4.2%) mandibular second molars developed caries in their distal
surfaces. This was 4% higher when they were associated with a partially-erupted
mandibular third molar than when associated with one that was fully erupted or
absent (29/414 (7%) compared with 30/1000 (3%); p=0.001). Carious lesions
developed in the distal aspect of 22/133 mandibular second molars (16.5%) that
were adjacent to a mesioangularly impacted third molar. Of these, 19/22 were
successfully restored. Four mesioangularly impacted mandibular third molars would
have to be extracted to prevent one case of distal caries in a second molar
(number needed to treat=3.25). Second molars that are associated with a partially
erupted mesioangular mandibular third molar have a higher risk of caries, and
this can be reduced by removal of the third molar. However, distal caries in
second molars seems to be a treatable and slowly-developing phenomenon and we
recommend that the merits and risks of the prophylactic removal of third molars
should be discussed with the patient, who should have long-term clinical and
radiographic checks if the tooth is retained.
PMID- 27863800
TI - Rare incidence of two histologically different, separate tumours in the right
parotid gland.
PMID- 27863801
TI - Long-acting injectable antipsychotics for the prevention of relapse in patients
with recent-onset psychotic disorders: A systematic review and meta-analysis of
randomized controlled trials.
AB - This meta-analysis of randomized controlled trials (RCTs) investigated the
advantages of long-acting injectable antipsychotics (LAI-APs) over oral
antipsychotics (OAPs) with regard to efficacy and safety for patients with recent
onset psychotic disorders. Effect sizes and 95% confidence intervals (95%CIs)
were calculated. We identified five RCTs (1022 patients, mean study duration=18+/
7.59 months) that compared LAI-APs (paliperidone or risperidone) with OAPs.
Pooled LAI-APs did not outperform OAPs in terms of the preventing of relapse
(N=3, n=875). However, there was significant heterogeneity (I2=76%), with one
study showing no superiority of LAI-APs over OAPs [Malla 2013: risk ratio
(RR)=1.83, 95%CI=0.70-4.77, n=77] and the other two studies showing LAI-APs to be
superior [Schreiner 2015: [RR=0.71, 95%CI=0.51-0.97, number needed to treat
(NNT)=-17, n=715, Subotnik 2015: RR=0.15, 95%CI=0.04-0.63, NNT=-4, n=83]. Pooling
the studies, there were no significant differences between LAI-APs and OAPs in
the improvement of Positive and Negative Syndrome Scale scores or in
discontinuation due to all-cause, adverse events (AEs), and death, but LAI-APs
outperformed OAPs in terms of discontinuation due to inefficacy (RR=0.34, NNT=
50) and nonadherence (RR=0.30, NNT=-33). However, the LAI-APs were associated
with a higher incidence of at least one AE (RR=1.13) and tremor (RR=2.38)
compared with OAPs.
PMID- 27863802
TI - Calcium mass balance with citrate dialysate is lower than with acetate.
PMID- 27863804
TI - Phthalate metabolites in 24-h urine samples of the German Environmental Specimen
Bank (ESB) from 1988 to 2015 and a comparison with US NHANES data from 1999 to
2012.
AB - The German Environmental Specimen Bank (ESB) continuously collects 24-h urine
samples since the early 1980s in Germany. In this study we analyzed 300 urine
samples from the years 2007 to 2015 for 21 phthalate metabolites (representing
exposure to 11 parent phthalates) and combined the data with two previous
retrospective measurement campaigns (1988 to 2003 and 2002 to 2008). The combined
dataset comprised 1162 24-h urine samples spanning the years 1988 to 2015. With
this detailed set of human biomonitoring data we describe the time course of
phthalate exposure in Germany over a time frame of 27 years. For the metabolites
of the endocrine disrupting phthalates di(2-ethylhexyl) phthalate (DEHP), di-n
butyl phthalate (DnBP) and butylbenzyl phthalate (BBzP) we observed a roughly ten
fold decline in median metabolite levels from their peak levels in the late
1980s/early 1990s compared to most recent levels from 2015. Probably, bans (first
enacted in 1999) and classifications/labelings (enacted in 2001 and 2004) in the
European Union lead to this drop. A decline in di-isobutyl phthalate (DiBP)
metabolite levels set in only quite recently, possibly due to its later
classification as a reproductive toxicant in the EU in 2009. In a considerable
number of samples collected before 2002 health based guidance values (BE, HBM I)
have been exceeded for DnBP (27.2%) and DEHP (2.3%) but also in recent samples
some individual exceedances can still be observed (DEHP 1.0%). A decrease in
concentration for all low molecular weight phthalates, labelled or not, was seen
in the most recent years of sampling. For the high molecular weight phthalates,
DEHP seems to have been substituted in part by di-isononyl phthalate (DiNP), but
DiNP metabolite levels have also been declining in the last years. Probably, non
phthalate alternatives increasingly take over for the phthalates in Germany. A
comparison with NHANES (National Health and Nutrition Examination Survey) data
from the United States covering the years 1999 to 2012 revealed both similarities
and differences in phthalate exposure between Germany and the US. Exposure to
critical phthalates has decreased in both countries with metabolite levels more
and more aligning with each other, but high molecular weight phthalates
substituting DEHP (such as DiNP) seem to become more important in the US than in
Germany.
PMID- 27863803
TI - Research domain criteria and the study of trauma in children: Implications for
assessment and treatment research.
AB - By definition, the Diagnostic and Statistical Manual (DSM) diagnosis of
posttraumatic stress disorder (PTSD) requires exposure to a traumatic event. Yet,
the DSM diagnostic requirements for children and adolescents for PTSD may fail to
capture traumatized youth with significant distress and functional impairment.
Many important studies have utilized PTSD diagnosis as a mechanism for grouping
individuals for comparative studies examining brain functioning,
neuroendocrinology, genetics, attachment, and cognition; however, focusing only
on those with the diagnosis of PTSD can miss the spectrum of symptoms and
difficulties that impact children who experience trauma and subsequent
impairment. Some studying child trauma have focused on examining brain and
biology of those with exposure and potential impairment rather than only those
with PTSD. This line of inquiry, complementary to PTSD specific studies, has
aided our understanding of some of the changes in brain structure and
neuroregulatory systems at different developmental periods following traumatic
exposure. Application of the Research Domain Criteria (RDoC) framework proposed
by NIMH to the study of child trauma exposure and subsequent impairment is an
opportunity to examine domains of function and how they are impacted by trauma.
Research to date has focused largely in the areas of negative valence,
regulatory, and cognitive systems, however those studying complex or
developmental trauma have identified an array of domains that are impacted which
map onto many of the RDoC categories. This paper will review the relevant
literature associated with child trauma as it relates to the RDoC domains,
outline areas of needed research, and describe their implications for treatment
and the advancement of the field.
PMID- 27863805
TI - Computational Dehydration of Crystalline Hydrates Using Molecular Dynamics
Simulations.
AB - Molecular dynamics (MD) simulations have evolved to an increasingly reliable and
accessible technique and are today implemented in many areas of biomedical
sciences. We present a generally applicable method to study dehydration of
hydrates based on MD simulations and apply this approach to the dehydration of
ampicillin trihydrate. The crystallographic unit cell of the trihydrate is used
to construct the simulation cell containing 216 ampicillin and 648 water
molecules. This system is dehydrated by removing water molecules during a 2200 ps
simulation, and depending on the computational dehydration rate, different
dehydrated structures were observed. Removing all water molecules immediately and
removing water relatively fast (10 water molecules/10 ps) resulted in an
amorphous system, whereas relatively slow computational dehydration (3 water
molecules/10 ps) resulted in a crystalline anhydrate. The structural changes
could be followed in real time, and in addition, an intermediate amorphous phase
was identified. The computationally identified dehydrated structure (anhydrate)
was slightly different from the experimentally known anhydrate structure
suggesting that the simulated computational structure could represent a
kinetically trapped dehydration intermediate.
PMID- 27863806
TI - Pharmacokinetics, Safety, and Tolerability of Single and Multiple Doses of ABT
493: A First-In-Human Study.
AB - ABT-493 is a hepatitis C virus nonstructural protein 3/4A protease inhibitor with
pangenotypic antiviral activity. This study investigated the pharmacokinetics,
safety, and tolerability of single and multiple ascending doses of ABT-493 and
the effect of food and ritonavir coadministration on ABT-493 pharmacokinetics in
healthy adults. In the blinded, randomized, placebo-controlled phase 1 single-
and multiple-dose portions of the study, ABT-493 25-800 mg were evaluated as
single doses, and 200, 400, and 800 mg were evaluated as multiple doses. The
effect of food and ritonavir was assessed in a crossover unblinded fashion. ABT
493 pharmacokinetic parameters were estimated using noncompartmental methods. ABT
493 25-800 mg showed a greater than dose-proportional increase in exposures.
Minimal accumulation (<=15%) was observed after ABT-493 200- and 400-mg multiple
dosing; higher accumulations (approximately 80%) were observed after the 800-mg
dose. ABT-493 harmonic mean half-life was 6-9 hours. Food had a minimal effect on
ABT-493 exposures. All adverse events were assessed by the investigator as mild
to moderate in severity, no serious adverse events were reported, and no subjects
discontinued from the study. No clinically significant laboratory tests, vital
signs, or electrocardiogram values were reported. A maximum tolerated dose was
not reached.
PMID- 27863807
TI - Head-to-head comparison of certolizumab pegol versus adalimumab in rheumatoid
arthritis: 2-year efficacy and safety results from the randomised EXXELERATE
study.
AB - BACKGROUND: To date, head-to-head trials comparing the efficacy and safety of
biological disease-modifying antirheumatic drugs within the same class, including
TNF inhibitors, in patients with active rheumatoid arthritis despite methotrexate
therapy are lacking. We aimed to compare the efficacy and safety of two different
TNF inhibitors and to assess the efficacy and safety of switching to the other
TNF inhibitor without a washout period after insufficient primary response to the
first TNF inhibitor at week 12. METHODS: In this 104-week, randomised, single
blind (double-blind until week 12 and investigator blind thereafter), parallel
group, head-to-head superiority study (EXXELERATE), eligible patients from 151
centres worldwide were aged 18 years or older with a diagnosis of rheumatoid
arthritis at screening, as defined by the 2010 ACR/EULAR criteria, and had
prognostic factors for severe disease progression, including a positive
rheumatoid factor, or anti-cyclic citrullinated peptide antibody result, or both.
Participants were randomly assigned (1:1) via an interactive voice and web
response system with no stratification to receive certolizumab pegol plus
methotrexate or adalimumab plus methotrexate. All study staff were kept masked
throughout the study and participants were masked until week 12. At week 12,
patients were classified as responders (by either achieving low disease activity
[LDA] according to Disease Activity Score 28-erythrocyte sedimentation rate
[DAS28-ESR] <=3.2 or DAS28-ESR reduction >=1.2 from baseline) or as non
responders. Non-responders to the first TNF inhibitor to which they were
randomised were switched to the other TNF inhibitor with no washout period.
Primary endpoints were the percentage of patients achieving a 20% improvement
according to the American College of Rheumatology criteria (ACR20) at week 12 and
LDA at week 104 (week 12 non-responders were considered LDA non-responders). This
study is registered with ClinicalTrials.gov, number NCT01500278. FINDINGS:
Between Dec 14, 2011, and Nov 11, 2013, 1488 patients were screened of whom 915
were randomly assigned; 457 to certolizumab pegol plus methotrexate and 458 to
adalimumab plus methotrexate. No statistically significant difference was
observed in ACR20 response at week 12 (314 [69%] of 454 patients and 324 [71%] of
454 patients; odds ratio [OR] 0.90 [95% CI 0.67-1.20]; p=0.467) or DAS28-ESR LDA
at week 104 (161 [35%] of 454 patients and 152 [33%] of 454 patients; OR 1.09
[0.82-1.45]; p=0.532) between certolizumab pegol plus methotrexate and adalimumab
plus methotrexate, respectively. At week 12, 65 non-responders to certolizumab
pegol were switched to adalimumab and 57 non-responders to adalimumab were
switched to certolizumab pegol; 33 (58%) of 57 patients switching to certolizumab
pegol and 40 (62%) of 65 patients switching to adalimumab responded 12 weeks
later by achieving LDA or a DAS28-ESR reduction 1.2 or greater. 389 [75%] of 516
patients who received certolizumab pegol plus methotrexate and 386 [74%] of 523
patients who received adalimumab plus methotrexate reported treatment-emergent
adverse events. Three deaths (1%) occurred in each group. No serious infection
events were reported in the 70-day period after treatment switch. INTERPRETATION:
These results show that certolizumab pegol plus methotrexate is not superior to
adalimumab plus methotrexate. The data also show the clinical benefit and safety
of switching to a second TNF inhibitor without a washout period after primary
failure to a first TNF inhibitor. FUNDING: UCB Pharma.
PMID- 27863808
TI - Targeting tau protein in Alzheimer's disease.
PMID- 27863810
TI - Bending the blood pressure curve down: are we succeeding?
PMID- 27863811
TI - EXXELERATE: a negative trial with importance for clinical practice.
PMID- 27863809
TI - Efficacy and safety of tau-aggregation inhibitor therapy in patients with mild or
moderate Alzheimer's disease: a randomised, controlled, double-blind, parallel
arm, phase 3 trial.
AB - BACKGROUND: Leuco-methylthioninium bis(hydromethanesulfonate; LMTM), a stable
reduced form of the methylthioninium moiety, acts as a selective inhibitor of tau
protein aggregation both in vitro and in transgenic mouse models.
Methylthioninium chloride has previously shown potential efficacy as monotherapy
in patients with Alzheimer's disease. We aimed to determine whether LMTM was safe
and effective in modifying disease progression in patients with mild to moderate
Alzheimer's disease. METHODS: We did a 15-month, randomised, controlled double
blind, parallel-group trial at 115 academic centres and private research clinics
in 16 countries in Europe, North America, Asia, and Russia with patients younger
than 90 years with mild to moderate Alzheimer's disease. Patients concomitantly
using other medicines for Alzheimer's disease were permitted to be included
because we considered it infeasible not to allow their inclusion; however,
patients using medicines carrying warnings of methaemoglobinaemia were excluded
because the oxidised form of methylthioninium in high doses has been shown to
induce this condition. We randomly assigned participants (3:3:4) to 75 mg LMTM
twice a day, 125 mg LMTM twice a day, or control (4 mg LMTM twice a day to
maintain blinding with respect to urine or faecal discolouration) administered as
oral tablets. We did the randomisation with an interactive web response system
using 600 blocks of length ten, and stratified patients by severity of disease,
global region, whether they were concomitantly using Alzheimer's disease-labelled
medications, and site PET capability. Participants, their study partners
(generally carers), and all assessors were masked to treatment assignment
throughout the study. The coprimary outcomes were progression on the Alzheimer's
Disease Assessment Scale-Cognitive Subscale (ADAS-Cog) and the Alzheimer's
Disease Co-operative Study-Activities of Daily Living Inventory (ADCS-ADL) scales
from baseline assessed at week 65 in the modified intention-to-treat population.
This trial is registered with Clinicaltrials.gov (NCT01689246) and the European
Union Clinical Trials Registry (2012-002866-11). FINDINGS: Between Jan 29, 2013,
and June 26, 2014, we recruited and randomly assigned 891 participants to
treatment (357 to control, 268 to 75 mg LMTM twice a day, and 266 to 125 mg LMTM
twice a day). The prespecified primary analyses did not show any treatment
benefit at either of the doses tested for the coprimary outcomes (change in ADAS
Cog score compared with control [n=354, 6.32, 95% CI 5.31-7.34]: 75 mg LMTM twice
a day [n=257] -0.02, -1.60 to 1.56, p=0.9834, 125 mg LMTM twice a day [n=250]
0.43, -2.06 to 1.20, p=0.9323; change in ADCS-ADL score compared with control [
8.22, 95% CI -9.63 to -6.82]: 75 mg LMTM twice a day -0.93, -3.12 to 1.26,
p=0.8659; 125 mg LMTM twice a day -0.34, -2.61 to 1.93, p=0.9479).
Gastrointestinal and urinary effects were the most common adverse events with
both high doses of LMTM, and the most common causes for discontinuation. Non
clinically significant dose-dependent reductions in haemoglobin concentrations
were the most common laboratory abnormality. Amyloid-related imaging
abnormalities were noted in less than 1% (8/885) of participants. INTERPRETATION:
The primary analysis for this study was negative, and the results do not suggest
benefit of LMTM as an add-on treatment for patients with mild to moderate
Alzheimer's disease. Findings from a recently completed 18-month trial of
patients with mild Alzheimer's disease will be reported soon. FUNDING: TauRx
Therapeutics.
PMID- 27863812
TI - Open letter on the SDGs: a robust measure for universal health coverage is
essential.
PMID- 27863814
TI - Erratum to "Personalized peptide vaccine-induced immune response associated with
long-term survival of a metastatic cholangiocarcinoma patient".
PMID- 27863815
TI - Risk factors for recurrent wheezing in the first year of life in the city of
Cordoba, Argentina.
AB - BACKGROUND: Wheezing is a very common respiratory symptom in infants. The
prevalence of wheezing in infants, conducted in developed countries shows
prevalence rates ranging between 20% and 30%. However, we do not know the risk
factors in our population of wheezing infants. METHODS: A standardised written
questionnaire (WQ-P1-EISL) in infants between 12 and 18 months of age residing in
the city of Cordoba was used; population/sample included 1031 infants. Recurrent
wheezing (RW) was defined as three or more episodes of wheezing reported by the
parents during the first 12 months of life. Data obtained were coded in Epi
InfoTM (version 7) and statistically analysed with SPSS (version 17.5) software
in Spanish. Parametric tests (one-way ANOVA) were performed for identifying
significantly associated variables. RESULTS: The prevalence of wheezing infants
was 39.7%; recurrent wheezing 33%; and severe wheezing 14.7%; 13.7% had pneumonia
before the first year and of these 6.3% were hospitalised, multiple variables as
risk factors for wheezing were found such as: >6 high airway infections and
bronchiolitis in the first three months of life, smokers who smoke in the home
among other risk factors and protective factors in those who have an elevated
socioeconomic status. CONCLUSION: It is known that persistent respiratory
problems in children due to low socioeconomic status is a risk factor for
wheezing, pneumonia and could be a determining factor in the prevalence and
severity of RW in infants. Research suggests that there are areas for improvement
in the implementation of new educational strategies.
PMID- 27863816
TI - Incidence of allergic rhinitis in a cohort of young adults from 13-15 years old
to 23-25 years old in Castellon (Spain).
AB - BACKGROUND: The objective of this study was to estimate the incidence of Allergic
Rhinitis (AR) in young adults and its risk or protective factors. METHODS: A
population-based prospective cohort study was carried out in 2012. The cohort
participated in the International Study of Asthma and Allergy in Childhood in
Castellon in 1994 and 2002. A telephone survey was conducted using the same
questionnaires. A new case of AR was defined as the participants free of the
disease in 2002, who self-reported suffering from AR or taking medications for AR
in the period 2002-2012. RESULTS: Of the 1805 schoolchildren in the cohort in
2002, 1435 young adults (23-25 years old) participated (follow-up 79.1%) in 2012;
743 were female and 692 male; their mean age was 24.9+/-0.6 years. Two hundred
new cases of AR occurred in 1259 participants free of the disease with an
incidence of 17.3 per 1000 person-years, and the incidence increased from 2002
(RR=1.42; 95% CI 1.15-1.75). The risk factors of AR adjusted by age and gender
were sinusitis (RR=1.77; 95% CI 1.16-2.68), atopic dermatitis (RR=1.51; 95% CI
1.11-2.06) and constant exposure to truck traffic (RR=1.88; 95% CI 1.12-3.17).
For male participants, the risk factors were asthma, sinusitis and atopic
dermatitis, and for females bronchitis was a risk factor and presence of older
siblings a protective factor. CONCLUSIONS: An increase in AR incidence was
observed. Sinusitis, atopic dermatitis and constant exposure to truck traffic
were the risk factors of the AR with some differences by gender.
PMID- 27863817
TI - Tumor clone dynamics in lethal prostate cancer.
PMID- 27863818
TI - Re: Ten-year Outcomes after Monitoring, Surgery, or Radiotherapy for Localized
Prostate Cancer.
PMID- 27863819
TI - Unfounded concerns about the use of automated office blood pressure measurement
in SPRINT.
AB - SPRINT reported significantly fewer cardiovascular events when patients with a
higher cardiovascular risk were treated to a target systolic blood pressure (BP)
of <120 versus <140 mm Hg. In SPRINT, BP was recorded using the automated office
BP (AOBP) method, with multiple readings being taken automatically with the
patient resting alone. This technique for BP measurement eliminates the white
coat effect and gives lower BP readings than conventional manual office BP.
Critics have questioned if the readings were actually taken with the subject
alone and have expressed concerns about the time taken to obtain the readings and
the cost of automated sphygmomanometers. Others have suggested that the findings
in SPRINT can be applied to current clinical practice if a correction factor is
used to convert conventional BP readings to AOBP. This article responds to these
criticisms and explains why current methods for recording BP in clinical practice
should be changed to AOBP, the technique for BP measurement used in SPRINT.
PMID- 27863820
TI - B cell depleting therapy regulates splenic and circulating T follicular helper
cells in immune thrombocytopenia.
AB - B cells are involved in immune thrombocytopenia (ITP) pathophysiology by
producing antiplatelet auto-antibodies. However more than a half of ITP patients
do not respond to B cell depletion induced by rituximab (RTX). The persistence of
splenic T follicular helper cells (TFH) that we demonstrated to be expanded
during ITP and to support B cell differentiation and antiplatelet antibody
production may participate to RTX inefficiency. Whereas it is well established
that the survival of TFH depends on B cells in animal models, nothing is known in
humans yet. To determine the effect of B cell depletion on human TFH, we
quantified B cells and TFH in the spleen and in the blood from ITP patients
treated or not with RTX. We showed that B cell depletion led to a dramatic
decrease in splenic TFH and in CXCL13 and IL-21, two cytokines predominantly
produced by TFH. The absolute count of circulating TFH and serum CXCL13 also
decreased after RTX treatment, whatever the therapeutic response. Therefore, we
showed that the maintenance of TFH required B cells and that TFH are not involved
in the inefficiency of RTX in ITP.
PMID- 27863821
TI - Analyzing recurrent events when the history of previous episodes is unknown or
not taken into account: proceed with caution.
AB - OBJECTIVE: Researchers in public health are often interested in examining the
effect of several exposures on the incidence of a recurrent event. The aim of the
present study is to assess how well the common-baseline hazard models perform to
estimate the effect of multiple exposures on the hazard of presenting an episode
of a recurrent event, in presence of event dependence and when the history of
prior-episodes is unknown or is not taken into account. METHODS: Through a
comprehensive simulation study, using specific-baseline hazard models as the
reference, we evaluate the performance of common-baseline hazard models by means
of several criteria: bias, mean squared error, coverage, confidence intervals
mean length and compliance with the assumption of proportional hazards. RESULTS:
Results indicate that the bias worsen as event dependence increases, leading to a
considerable overestimation of the exposure effect; coverage levels and
compliance with the proportional hazards assumption are low or extremely low,
worsening with increasing event dependence, effects to be estimated, and sample
sizes. CONCLUSIONS: Common-baseline hazard models cannot be recommended when we
analyse recurrent events in the presence of event dependence. It is important to
have access to the history of prior-episodes per subject, it can permit to obtain
better estimations of the effects of the exposures.
PMID- 27863822
TI - Surgical site infection reporting: more than meets the agar.
AB - BACKGROUND/PURPOSE: Surgical site infection (SSI) rate in pediatric appendicitis
is a commonly used hospital quality metric. We hypothesized that surveillance of
organ-space SSI (OSI) using cultures alone would fail to capture many clinically
important events. METHODS: A prospective, multidisciplinary surveillance program
recorded 30-day SSI and hospital length of stay (LOS) for patients <18years
undergoing appendectomy for perforated appendicitis from 2012 to 2015.
Standardized treatment pathways were utilized, and OSI was identified by imaging
and/or bacterial cultures. RESULTS: Four hundred ten appendectomies for
perforated appendicitis were performed, and a total of 84 OSIs (20.5%) were
diagnosed with imaging. Positive cultures were obtained for 39 (46%) OSIs,
whereas 45 (54%) had imaging only. Compared to the mean LOS for patients without
OSI (5.2+/-2.9days), LOS for patients with OSI and positive cultures (13.7+/
5.4days) or with OSI without cultures (10.4+/-3.7days) was significantly longer
(both p<0.001). The OSI rate identified by positive cultures alone was 9.5%,
whereas the clinically-relevant OSI rate was 20.5%. CONCLUSIONS: Using positive
cultures alone to capture OSI would have identified less than half of clinically
important infections. Utilizing clinically-relevant SSI is an appropriate metric
for comparing hospital quality but requires agreed upon standards for diagnosis
and reporting. LEVEL OF EVIDENCE: II. TYPE OF STUDY: Diagnostic study.
PMID- 27863823
TI - General endotracheal vs. non-endotracheal regional anesthesia for elective
inguinal hernia surgery in very preterm neonates: A single institution
experience.
AB - BACKGROUND: Very pre-term infants (VP) at <32 weeks post menstrual age PMA have a
high incidence of bronchopulmonary dysplasia BPD. BPD places them at risk for
pulmonary-related perioperative complications from general endotracheal
anesthesia GE during elective inguinal hernia repair. METHODS: A retrospective
cohort study was done to compare pulmonary-related perioperative risks between VP
patients undergoing non-emergent inguinal hernia repair prior to NICU discharge
under GE (n=58) vs regional anesthesia RA (n=37). RESULTS: Median PMA (RA 26 vs
GE 27 weeks), operative weight (RA 2.2 vs GE 2.27 kg), % with BPD, medical and
surgical comorbidities, number of concurrent procedures are similar between
groups, except for sac laparoscopy (0% RA vs 36% GE). Procedural anesthesia time
was 40 minutes for RA vs 69 minutes for GE, (p < 0.001). GE (17%) vs RA (0%)
remained intubated post op (p<0.001). Oral feeding was fully tolerated in RA
(97%) vs GE (72%, p=0.002) by 48h after surgery. The statistical differences hold
after regression analysis controlling for sac laparoscopy and procedure time. No
difference in intraoperative or postoperative hernia complications is found.
CONCLUSION: RA is safe. RA is associated with early resumption of full feed,
avoidance of prolonged mechanical intubation. We recommend a randomized
controlled trial comparing the safety and efficacy of GE vs RA in VP infants
undergoing elective NICU inguinal hernia repair. LEVEL OF EVIDENCE: II
Retrospective study.
PMID- 27863824
TI - The financial impact of flipping the coin.
AB - BACKGROUND: Esophageal foreign body retrieval is typically performed by rigid or
flexible esophagoscopy. Despite evidence supporting the efficacy and safety of
balloon extraction, it is rarely performed. We sought to establish the financial
benefits of this minimally invasive approach. METHODS: A retrospective review of
241 children with esophageal coins between 2011 and 2013 was performed. Coins
were removed via endoscopy or fluoroscopic-guided balloon retrieval. Timing,
symptoms, facility cost, and patient charges were compared. RESULTS: Two hundred
patients had attempted balloon retrieval with 80% success. Forty-one patients
went directly for operative removal. Patients with respiratory difficulty
(p=0.05), wheezing (p<0.01), or fever (p=0.03) were more often taken directly for
endoscopic retrieval. The median cost and charges for attempted balloon
extraction were $484 and $1647. The median cost and charges for primary endoscopy
were $1834 and $6746. The median total cost and charges of attempted balloon
extraction including ED, OR, transport, admission, and balloon retrieval were
$1231 and $3539 versus $3615 and $12,204 in the primary endoscopy group (p<0.001,
p<0.001). Seventeen percent of patients who underwent attempted balloon retrieval
were admitted prior to removal compared to 76% who underwent primary endoscopy
(p<0.001). CONCLUSIONS: Fluoroscopic guided balloon extraction of esophageal
coins is a financially prudent choice which shortens hospital stay. LEVEL OF
EVIDENCE: III. TYPE OF STUDY: Retrospective treatment and economic study.
PMID- 27863825
TI - Implications of lipid monolayer charge characteristics on their selective
interactions with a short antimicrobial peptide.
AB - Many antimicrobial peptides (AMPs) target bacterial membranes and they kill
bacteria by causing structural disruptions. One of the fundamental issues however
lies in the selective responses of AMPs to different cell membranes as a lack of
selectivity can elicit toxic side effects to mammalian host cells. A key
difference between the outer surfaces of bacterial and mammalian cells is the
charge characteristics. We report a careful study of the binding of one of the
representative AMPs, with the general sequence G(IIKK)4I-NH2 (G4), to the spread
lipid monolayers of DPPC (1,2-dipalmitoyl-sn-glycero-3-phosphocholine) and DPPG
(1,2-dipalmitoyl-sn-glycero-3-phospho-(1'-rac-glycerol) (sodium salt)) mimicking
the charge difference between them, using the combined measurements from Langmuir
trough, Brewster angle microscopy (BAM) and neutron reflection (NR). The
difference in pressure rise upon peptide addition into the subphase clearly
demonstrated the different interactions arising from different lipid charge
features. Morphological changes from the BAM imaging confirmed the association of
the peptide into the lipid monolayers, but there was little difference between
them. However, NR studies revealed that the peptide bound 4 times more onto the
DPPG monolayer than onto the DPPC monolayer. Importantly, whilst the peptide
could only be associated with the head groups of DPPC it was well penetrated into
the entire DPPG monolayer, showing that the electrostatic interaction
strengthened the hydrophobic interaction and that the combined molecular
interactive processes increased the power of G4 in disrupting the charged
membranes. The results are discussed in the context of general antibacterial
actions as observed from other AMPs and membrane lytic actions.
PMID- 27863826
TI - [An unusual cause of acute respiratory failure].
PMID- 27863827
TI - [Assessment of physicians' and nurses' knowledge and practices of aerosol
therapy].
AB - INTRODUCTION: Aerosol therapy is an efficient, but complex procedure. National
and international practice guidelines are regularly updated. However, only a few
studies have assessed the application of guidelines by users. The aim of this
study is to assess the knowledge and practices of physicians and nurses regarding
these guidelines. METHODS: Two self-administered questionnaires were designed by
a working team and presented to physicians and nurses of four university
hospitals in Paris. A pharmacy resident collected and analyzed the data with the
aid of an online survey website. RESULTS: A total of 481 physicians and nurses
completed the questionnaires (33 % of physicians and 67 % of nurses). Only
241/480 physicians and nurses (50 %) knew that several intravenous drugs cannot
be nebulized. Ninety-four of 422 (22 %) of them always choose oxygen as the
driving gas and 239/311 nurses (77 %) think that single use nebulizers can be re
used for the same patient. CONCLUSIONS: This survey shows that many physicians
and nurses lack knowledge and use inappropriate practices. Based on these
results, a booklet has been designed by the working team. This booklet should
help health professionals to harmonize practices across hospitals and to follow
the guidelines correctly.
PMID- 27863829
TI - The Effect of Carpal Tunnel Release on Typing Performance.
AB - PURPOSE: To describe the effect of carpal tunnel release (CTR) on typing
performance. METHODS: We prospectively studied 27 patients undergoing open CTR.
Patient demographics and clinical characteristics including nerve conduction
studies, electromyography results, and duration of symptoms were collected.
Before surgery and at 8 time points after surgery, ranging from 1 to 12 weeks,
typing performance for an approximately 500-character paragraph was assessed via
an on-line platform. The Michigan Hand Questionnaire (MHQ) and the Boston Carpal
Tunnel Questionnaire functional component (BCTQ-F) and symptom severity component
(BCTQ-S) component were completed before surgery and at 1, 3, 6, and 12 weeks
after surgery. We used repeated-measures analyses of variance and follow-up
dependent-samples t tests to analyze change in typing performance across
sessions, and linear regressions to assess relationships between typing
performance and demographic and outcome measures. We compared typing speed with
the MHQ, BCTQ-F, and BCTQ-S using the Pearson correlation test. RESULTS: Average
typing speed decreased significantly from 49.7 +/- 2.7 words per minute (wpm)
before surgery to 45.2 +/- 3.1 wpm at 8 to 10 days after surgery. Mean typing
speed for the group exceeded the preoperative value between weeks 2 and 3, with
continued improvement to 53.5 +/- 3.5 wpm at 12 weeks after surgery. No clinical
or demographic variables were associated with the rate of recovery or the
magnitude of improvement after CTR. The MHQ, BCTQ-F, and BCTQ-S each demonstrated
significant improvement from preoperative values over the 12-week period. The MHQ
and BCTQ-F scores correlated well with typing speed. CONCLUSIONS: On average,
typing speed returned to preoperative levels between 2 and 3 weeks after CTR and
typing speed showed improvement beyond preoperative levels after surgery. The MHQ
and BCTQ-F correlate well with typing speed after CTR. TYPE OF STUDY/LEVEL OF
EVIDENCE: Prognostic IV.
PMID- 27863828
TI - [Health care providers' knowledge and abilities to use inhalation devices and
spacers].
AB - INTRODUCTION: Inhaled therapy is the mainstay of asthma treatment due to its
local and rapid action. However, its efficiency relies on the teaching of a good
inhalation technique by health care providers. We assessed health care providers'
knowledge and practical skills in the use of inhalation devices. METHODS: An
observational multicenter study was conducted in the pulmonology and paediatric
wards in Marseille. The departments' common practices, theoretical knowledge and
practical skills were assessed through a questionnaire and a demonstration using
a spacer device. RESULTS: Forty health care providers were interviewed (9
attending physicians, 14 residents, 16 nurses and 1 physiotherapist), in 8
different pulmonology and paediatric wards. A total of 42.5% reported previous
training in inhalation device technique. When evaluating theoretical knowledge,
we found a mean of 54% correct answers. Attending physicians did significantly
better than residents and nurses. With regard to practical skills, we found a
mean of 1.12 failed steps out of 7. Here again attending physicians did
significantly better than residents and nurses. CONCLUSION: Based on the results
of our study, we recommend that attending physicians provide training of
inhalation technique to nurses and residents, as they did significantly better
theoretically and practically.
PMID- 27863830
TI - Caveolin-3 is aberrantly expressed in skeletal muscle cells in myasthenia gravis.
AB - Caveolin-3 is a muscle-specific membrane protein that localizes to the sarcolemma
and T-tubule system. Caveolin-3 is needed for muscle repair and skeletal muscle
development. The objective of this study was to compare caveolin-3 expression in
myasthenia gravis (MG) and control muscles. Caveolin-3 was abnormally expressed
in the MG muscle membrane, with partial loss of expression and overexpression in
5/15 and 10/15 patients, respectively. Caveolin-3 mRNA and protein levels were
higher in MG than in control muscles, suggesting that partial deficiency of
caveolin-3 is occasionally detected in MG muscle and that caveolin-3
overexpression may be required after MG muscle damage.
PMID- 27863832
TI - Management of non-ST-elevation myocardial infarction: A constant challenge.
PMID- 27863831
TI - Therapy of Treatment-Related Hypertension in Metastatic Renal-Cell Cancer
Patients Receiving Sunitinib.
AB - INTRODUCTION: Treatment-related hypertension (tHTN) is frequent during sunitinib
treatment. However, data on risk factors and treatment of tHTN remain scarce.
PATIENTS AND METHODS: Patients with metastatic renal-cell carcinoma treated with
sunitinib from June 2004 to December 2011 were included. Medical records were
retrospectively analyzed for tHTN risk factors and antihypertensive treatments
(AHT). Descriptive statistics, Cox regression, and competitive risk models were
applied. RESULTS: A total of 51 (70.8%) of 72 patients developed tHTN after a
median sunitinib treatment of 28 days. Mean blood pressure increased from 130/75
(range, 90 to 190/58 to 101) mm Hg on day 1 to 140/80 (range, 90 to 190/60 to
120, P < .001) mm Hg on day 28. Standard dose of sunitinib, age > 50 years, and
prehypertension were identified as independent risk factors for tHTN. Thirty
eight patients (72.5%) in the tHTN subgroup received modification of AHT. Calcium
channel blockers (CCB) were identified as the best at controlling tHTN compared
to other drugs (P = .045). The combination of AHT was more potent than a dose
increase of a single-drug AHT, and early AHT intervention was more efficacious
than delayed start of therapy. CONCLUSION: Patients at risk for tHTN require more
rigorous blood pressure measurement. CCB seemed to be most potent and efficient,
and an early combination of different classes of AHT was more efficacious than
full-dose, single-agent AHT.
PMID- 27863833
TI - Rapid Systematic Review: Intra-Arterial Thrombectomy ("Clot Retrieval") for
Selected Patients with Acute Ischemic Stroke.
AB - BACKGROUND: Acute ischemic stroke (AIS) is a leading cause of morbidity and
mortality. However, precisely defining the optimal treatment for individual
patients early after AIS onset remains elusive. There has recently been a surge
in published studies documenting the effectiveness of mechanical intra-arterial
thrombectomy for treatment of a subset of patients with AIS. This therapy has
been proposed and studied for the small (<1.2%) subgroup of patients with
ischemic strokes who have "large vessel" strokes or strokes that fail to improve
after the administration of tissue plasminogen activator (t-PA). The current
rapid systematic review provides practicing emergency physicians updated
information regarding mechanical thrombectomy as a treatment option for carefully
selected AIS patients. METHODS: A PubMed literature search was conducted from
January 1996 to June 2016 and limited to human clinical trials written in English
with relevant keywords. High-quality randomized controlled studies identified
then underwent a structured review. RESULTS: In total, 179 papers fulfilling the
search criteria were screened and 8 appropriate articles were rigorously reviewed
in detail and recommendations given on the effectiveness and indication of
mechanical intra-arterial thrombectomy for the treatment of AIS. CONCLUSIONS:
Mechanical intra-arterial thrombectomy reduces long-term disability in a properly
selected subset of patients who have an AIS caused by large vessel occlusion.
Many of these patients will have failed to improve after intravenous
administration of t-PA, and mortality is not increased when combined with t-PA.
Careful screening criteria should be in place to identify the limited subset of
patients to whom this therapy is delivered to derive optimal treatment benefits.
PMID- 27863834
TI - Correlation Between United States Medical Licensing Examination and Comprehensive
Osteopathic Medical Licensing Examination Scores for Applicants to a Dually
Approved Emergency Medicine Residency.
AB - BACKGROUND: It is important for emergency medicine (EM) residency programs to be
able to correlate the United States (US) Medical Licensing Examination (USMLE)
and Comprehensive Osteopathic Medical Licensing Examination (COMLEX) scores of
applicants. OBJECTIVE: We sought to determine the correlation between USMLE and
COMLEX scores for EM residency applicants. METHODS: Retrospectively, from 2006
through 2013, USMLE and COMLEX examination scores for applicants to our 4-year,
56-member, dually approved EM residency were analyzed. Using the COMLEX score as
the outcome variable and USMLE score as the predictor, multiple linear regression
models, stratified by test step, were created. RESULTS: There were 556 students
representing 25 discrete medical schools included. Pair 1 consisted of applicants
submitting COMLEX Level-1 and USMLE Step-1 scores (n = 486). Pair 2 were those
with COMLEX Level-2 and USMLE Step-2 scores (n = 356). For Pair 1, mean, standard
deviation, and median scores on the COMLEX were 551, 69, and 548, respectively;
for the USMLE, scores were 216, 16, and 217, respectively. Results for Pair 2 on
COMLEX were 566, 80, and 562, respectively; USMLE results were 228, 18, and 229,
respectively. A strong correlation was observed for Pair 1 (r = 0.78; p < 0.001).
A 1-point increase in USMLE Step-1 is associated with a 3.55-point increase in
the COMLEX Level-1 score (beta = 3.55; 95% confidence interval [CI] 3.30-3.80; p
< 0.001). A similar strong correlation was observed for Pair 2 (r = 0.72; p <
0.001), where a 1-point increase in USMLE Step-2 is associated with a 3.29-point
increase in the COMLEX Level-2 score (beta = 3.29; 95% CI 2.96-3.62; p < 0.001).
CONCLUSIONS: A strong positive correlation between Steps 1 and 2 of the USMLE and
COMLEX was found.
PMID- 27863835
TI - Metabolites profiling reveals for antimicrobial compositional differences and
action mechanism in the toothbrushing stick "miswak" Salvadora persica.
AB - Among many plant species suitable for preparing toothbrushing sticks, miswak
(Salvadora persica, family Salvadoraceae) is found the most effective tool for
oral hygiene. S. persica possesses antibacterial, antiviral and antifungal
effects against oral microbes, mostly due to its benzyl isothiocyanate content.
To provide insight into S. persica chemical composition, volatile constituents
from roots and stems of S. persica grown in Egypt and Saudi Arabia were profiled
using solid-phase microextraction (SPME) coupled to gas chromatography-mass
spectrometry (GC-MS). A total of 21 volatiles were identified with sulfur
compounds amounting for the major volatile class. Orthogonal projection to latent
structures-discriminant analysis (OPLS-DA) revealed for benzyl isothiocyanate
(BITC) enrichment in roots versus stems. Primary metabolites contributing to S.
persica taste viz. sugars and organic acids were profiled using GC-MS with
silylation. Polyols (sugars) viz. arabitol, meso-erythritol, and mannitol were
found to predominate sugars composition in S. persica stems being most enriched
in meso-erythritol. The impact of saliva on S. persica aroma profile was further
assessed and revealing for no enhancement in BITC production with salivation, and
further not being detected in toothpaste preparation claimed to contain S.
persica extract. This study provides the most complete profile of volatiles,
sugars, and organic acids in S. persica organs and more rationalizing its use as
a toothbrush.
PMID- 27863836
TI - Looking at flubromazolam metabolism from four different angles: Metabolite
profiling in human liver microsomes, human hepatocytes, mice and authentic human
urine samples with liquid chromatography high-resolution mass spectrometry.
AB - Flubromazolam is a triazolam benzodiazepine that recently emerged as a new
psychoactive substance. Since metabolism data are scarce and good analytical
targets besides the parent are unknown, we investigated flubromazolam metabolism
in vitro and in vivo. 10MUmol/L flubromazolam was incubated with human liver
microsomes for 1h and with cryopreserved human hepatocytes for 5h. Mice were
administered 0.5 or 1.0mg flubromazolam/kg body weight intraperitoneally, urine
was collected for 24h. All samples, together with six authentic forensic human
case specimens, were analyzed (with or without hydrolysis, in case it was urine)
by UHPLC-HRMS on an Acquity HSS T3 column with an Agilent 6550 QTOF. Data mining
was performed manually and with MassMetasite software (Molecular Discovery). A
total of nine metabolites were found, all generated by hydroxylation and/or
glucuronidation. Besides O-glucuronidation, flubromazolam formed an N+
glucuronide. Flubromazolam was not metabolized extensively in vitro, as only two
monohydroxy metabolites were detected in low intensity in hepatocytes. In the
mice samples, seven metabolites were identified, which mostly matched the
metabolites in the human samples. However, less flubromazolam N+-glucuronide and
an additional hydroxy metabolite were observed. The six human urine specimens
showed different extent of metabolism: some samples had an intense flubromazolam
peak next to a minute signal for a monohydroxy metabolite, others showed the
whole variety of hydroxylated and glucuronidated metabolites. Overall, the most
abundant metabolite was a monohydroxy metabolite, which we propose as alpha
hydroxyflubromazolam based on MSMS fragmentation. These metabolism data will
assist in interpretation and analytical method development.
PMID- 27863837
TI - The effects of ropivacaine hydrochloride on the expression of CaMK II mRNA in the
dorsal root ganglion neurons.
AB - In this study, we identified the subtype of Calcium/calmodulin-dependent protein
kinase II (CaMK II) mRNA in dorsal root ganglion neurons and observed the effects
of ropivacaine hydrochloride in different concentration and different exposure
time on the mRNA expression. Dorsal root ganglion neurons were isolated from the
SD rats and cultured in vitro. The mRNA of the CaMK II subtype in dorsal root
ganglion neurons were detected by real-time PCR. As well as, the dorsal root
ganglion neurons were treated with ropivacaine hydrochloride in different
concentration (1mM,2mM, 3mM and 4mM) for the same exposure time of 4h, or
different exposure time (0h,2h,3h,4h and 6h) at the same concentration(3mM). The
changes of the mRNA expression of the CaMK II subtype were observed with real
time PCR. All subtype mRNA of the CaMK II, CaMK IIalpha, CaMK IIbeta, CaMK II
delta, CaMK IIgamma, can be detected in dorsal root ganglion neurons. With the
increased of the concentration and exposure time of the ropivacaine
hydrochloride, all the subtype mRNA expression increased. Ropivacaine
hydrochloride up-regulate the CaMK IIbeta, CaMK IIdelta, CaMK IIg mRNA expression
with the concentration and exposure time increasing. The nerve blocking or the
neurotoxicity of the ropivacaine hydrochloride maybe involved with CaMK II.
PMID- 27863838
TI - Resveratrol enhances the efficacy of sorafenib mediated apoptosis in human breast
cancer MCF7 cells through ROS, cell cycle inhibition, caspase 3 and PARP
cleavage.
AB - Despite advances in diagnosis and treatment options, breast cancer is one of the
main causes of cancer related death among women worldwide. Present study is aimed
to preliminarily evaluate our hypothesis that the combination of resveratrol
(RSV), a natural antioxidant, and lower dose of sorafenib (SF), a multi-kinase
inhibitor and a component of ERK1/2 (extracellular signal-regulated kinase 1/2)
pathway, would augment apoptosis in human breast cancer MCF7 cells. MCF7
cellexpressions s were treated with RSV, SF and their combination. MTT (3-[4,5
dimethylthiazol-2-yl] -2, 5-diphenyl-tetrazolium bromide) assay, DNA
fragmentation assay, Hoechst33342, H2DCFDA (2', 7'-Dichlorodihydrofluorescein
diacetate), Rhodamine123 staining, and Western Blot to detect different signaling
protein expressions, were conducted to test the hypothesis. Combination of RSV
and SF showed higher cytotoxicity on MCF7 cells than their individual treatment.
Results from morphology change, Hoechst33342 staining, and DNA fragmentation
suggested higher apoptosis data in the combinational treatment. Intracellular ROS
(reactive oxygen species) levels, p53 and Bax/Bcl2 expressions, and decrease in
mitochondrial membrane potential were also higher in the combinational treatment.
Up-regulation of apaf-1, cl. caspase 9, cl. caspase 3 and cl. PARP (poly (ADP
Ribose) polymerase) were also noticed, while the expressions of cyclinD1 and
cyclinB1 were decreased in the combinational group. The increase in apoptosis and
signaling protein expressions with RSV and SF combinational treatment were
increased over time. The combination of RSV and lower dose of SF at 6MUM showed
enhanced apoptotic activity than SF alone. Therefore, RSV can be considered as a
neo-adjuvant to improve SF efficacy in breast cancer treatment.
PMID- 27863839
TI - Antihyperuricemic effect of liquiritigenin in potassium oxonate-induced
hyperuricemic rats.
AB - The aim is to investigate the anti-hyperuricemic and renal protective effects of
liquiritigenin in potassium oxonate-induced hyperuricemic rats. Hyperuricemia in
rats was induced were induced with potassium oxonate (250mg/kg) intragastrically
for 7 days, and liquiritigenin (20, 40mg/kg) and allopurinol (5mg/kg) were daily
administrated to the rats orally 1h after the potassium oxonate exposure.
Liquiritigenin significantly reversed the elevated productions of uric acid in
serum and urine and pro-inflammation cytokines in serum and kidney, which shown
that liquiritigenin has renal protective effects. Histological study shows that
liquiritigenin inhibited severe necrosis and inflammatory cell infiltration in
potassium oxonate-treated rats. Furthermore, liquiritigenin mediated the
activities of aquaporins 4 (AQP4), and regulated the activation of NF-kappaB p65
and the degradation of IkappaBalpha. Finally, significant increases of nod-like
receptor protein 3 (NLRP3) inflammasome, apoptosis-associated speck-like protein
adaptor (ASC) adaptor and cleaved caspased-1 were restored by liquiritigenin.
Therefore, liquiritigenin might improve renal inflammation by suppressing renal
AQP4/NF-kappaB/IkappaBalpha and NLRP3 inflammasome activation in hyperuricemic
rats.
PMID- 27863840
TI - Long-term pre-treatment of antioxidant Ginkgo biloba extract EGb-761 attenuates
cerebral-ischemia-induced neuronal damage in aged mice.
AB - Antioxidant activity has been attributed to the neuroprotective effects of Ginkgo
biloba extract EGb-761 on brain disorders including ischemic stroke. It is
suggested that oxidative stress implicates in neuron injuries during aging.
However, whether or not EGb-761 could be used to treat age-related cerebral
ischemia is unclear. In the present study, we directly studied the protective
effects of EGb-761 in brain ischemia in the mice with different age. As expected,
the recovery from brain damages was impaired in aged mice (24 months) in an
animal model of middle cerebral artery occlusion (MCAO). Notably, a 12-month
pretreatment of EGb-761 significantly ameliorated the ischemic injury of aged
mice in a dose-dependent manner. The decreased stroke severity by EGb-761 was
suggested by the reduced infarct volumes and brain edema, accompanied by
alleviated oxidative stress. Additionally, we further explored the potential
involvement of extra-cellular signal-regulated kinase (ERK) activation by MCAO in
aged mice. ERK activation after MCAO was diminished by EGb-761, and this
reduction may be mediated through an upregulation of phosphatase PP2A by EGb-761.
These observations collectively support that natural antioxidant EGb-761 could be
potentially exploited as an effective approach in treating neurological injury
during aging.
PMID- 27863841
TI - The role and impact of estrogens and xenoestrogen on the development of cervical
cancer.
AB - Throughout an individual's lifetime, the human body is exposed to many different
chemical compounds, including xenoestrogens (XEs) that can be found in the
environment, food, air, cosmetics and other substances, which have a positive or
negative impact on their health and lifestyle. Whereas high-risk human
papillomavirus (HR-HPV) is necessary but not sufficient for full malignant
cervical cell transformation, other compounds such as estrogens and XEs may be
risk factors for cervical cancer (CC) development. The causes and effects of some
diseases such as cancer, cardiovascular, metabolic or immune system disorders are
partly due to signaling pathways in response to estrogens. XEs are a vast group
of natural and synthetic compounds, behaving like estrogens, that have been
studied over the recent years and which may interact with estrogen receptors. The
major problem with XEs is the difficulty in studying the mechanism of such
complex substances as well as investigating the influences of some of the
compounds (dose-dependent) over time. The impact of XEs on CC is variable, with
no direct comparison between in vitro studies and in vivo XEs action.
PMID- 27863813
TI - Worldwide trends in blood pressure from 1975 to 2015: a pooled analysis of 1479
population-based measurement studies with 19.1 million participants.
AB - BACKGROUND: Raised blood pressure is an important risk factor for cardiovascular
diseases and chronic kidney disease. We estimated worldwide trends in mean
systolic and mean diastolic blood pressure, and the prevalence of, and number of
people with, raised blood pressure, defined as systolic blood pressure of 140 mm
Hg or higher or diastolic blood pressure of 90 mm Hg or higher. METHODS: For this
analysis, we pooled national, subnational, or community population-based studies
that had measured blood pressure in adults aged 18 years and older. We used a
Bayesian hierarchical model to estimate trends from 1975 to 2015 in mean systolic
and mean diastolic blood pressure, and the prevalence of raised blood pressure
for 200 countries. We calculated the contributions of changes in prevalence
versus population growth and ageing to the increase in the number of adults with
raised blood pressure. FINDINGS: We pooled 1479 studies that had measured the
blood pressures of 19.1 million adults. Global age-standardised mean systolic
blood pressure in 2015 was 127.0 mm Hg (95% credible interval 125.7-128.3) in men
and 122.3 mm Hg (121.0-123.6) in women; age-standardised mean diastolic blood
pressure was 78.7 mm Hg (77.9-79.5) for men and 76.7 mm Hg (75.9-77.6) for women.
Global age-standardised prevalence of raised blood pressure was 24.1% (21.4-27.1)
in men and 20.1% (17.8-22.5) in women in 2015. Mean systolic and mean diastolic
blood pressure decreased substantially from 1975 to 2015 in high-income western
and Asia Pacific countries, moving these countries from having some of the
highest worldwide blood pressure in 1975 to the lowest in 2015. Mean blood
pressure also decreased in women in central and eastern Europe, Latin America and
the Caribbean, and, more recently, central Asia, Middle East, and north Africa,
but the estimated trends in these super-regions had larger uncertainty than in
high-income super-regions. By contrast, mean blood pressure might have increased
in east and southeast Asia, south Asia, Oceania, and sub-Saharan Africa. In 2015,
central and eastern Europe, sub-Saharan Africa, and south Asia had the highest
blood pressure levels. Prevalence of raised blood pressure decreased in high
income and some middle-income countries; it remained unchanged elsewhere. The
number of adults with raised blood pressure increased from 594 million in 1975 to
1.13 billion in 2015, with the increase largely in low-income and middle-income
countries. The global increase in the number of adults with raised blood pressure
is a net effect of increase due to population growth and ageing, and decrease due
to declining age-specific prevalence. INTERPRETATION: During the past four
decades, the highest worldwide blood pressure levels have shifted from high
income countries to low-income countries in south Asia and sub-Saharan Africa due
to opposite trends, while blood pressure has been persistently high in central
and eastern Europe. FUNDING: Wellcome Trust.
PMID- 27863842
TI - Development of T follicular helper cells and their role in disease and immune
system.
AB - The T follicular helper cells (TFH) are a subset of CD4+ T cells specialized to
regulate antibody responses. The production of these cells is associated with the
dendritic cells (DCs) and B cells. TFH cells help B cells form germinal centers
(GC) differentiate into memory and plasma cells (antibody-secreting cells) as
humoral responses. In addition, there is strong evidence that TFH cells play a
pivotal role in the development of long-lived humoral immunity. Molecular factors
such as transcription factors, surface receptors, cytokine and micro RNAs are
involved in the formation of TFH cells. Such TFH cells are diagnosed by
transcription factor (BCL-6), surface marker expression (including CXCR5, PD-1,
ICOS and CD40L) and a unique cytokine production pattern (such as IL-21 and IL
6). Memory TFH cells, accompanied by memory B cells, are known to be formed
during antibody responses. It is now clear that the precise control of TFH cells
is critically important for both inducing the optimal affinity maturation of
antibody responses and preventing self-reactivity. Exclusive controls of TFH cell
function and production are essential for human health. However, it is important
to note that excessive activities may lead to autoimmune diseases, while reduced
activity often results in immunodeficiency. It has also been shown that TFH cells
are associated with cancers such as angioimmunoblastic T-cell lymphoma (AITL),
follicular T-cell lymphoma (FTCL) and nonspecific Peripheral T-cell lymphomas
(PTCLs). The biology of TFH cells, including their differentiation and
transcriptional regulation will be described in the present review. Some of The
developments of these cells in immunodeficiency diseases, autoimmunity and cancer
will also be taken into account.
PMID- 27863843
TI - SCORE2 Report 2: Study Design and Baseline Characteristics.
AB - PURPOSE: To describe the design and baseline characteristics of participants in
the Study of COmparative Treatments for REtinal Vein Occlusion 2 (SCORE2) and to
compare with cohorts from other retinal vein occlusion trials. DESIGN: Phase III
prospective, multicenter, randomized clinical trial designed to assess whether
intravitreal bevacizumab is noninferior to intravitreal aflibercept for treatment
of decreased vision attributable to macular edema associated with central retinal
vein occlusion (CRVO) or hemiretinal vein occlusion (HRVO). PARTICIPANTS: Total
of 362 participants: 307 with CRVO and 55 with HRVO. METHODS: Demographic and
study eye characteristics are summarized and compared between CRVO and HRVO study
participants. MAIN OUTCOME MEASURES: Baseline ophthalmic characteristics,
including visual acuity and retinal thickness, and medical history
characteristics, including hypertension, diabetes mellitus, and coronary artery
disease. RESULTS: The mean age of participants was 69 years, 76% of participants
were white, and 90% were non-Hispanic. There was a racial disparity with respect
to disease type, with 38% of HRVO patients being black compared with 11% of CRVO
patients (P value adjusted for multiple testing = 0.0001). This is similar to
findings from the previous SCORE Study. Comorbidities included hypertension
(77%), diabetes mellitus (31%), and coronary artery disease (15%). At baseline,
mean visual acuity letter score was 50 (20/100) (range, 19-73 [20/400 to 20/40]),
mean optical coherence tomography (OCT)-measured central subfield thickness was
678 MUm (range, 300-1203 MUm), and mean number of months from diagnosis of
macular edema to randomization was 6 (range, 0-104 months). One hundred twenty
(33%) SCORE2 participants had been treated previously with anti-vascular
endothelial growth factor (anti-VEGF) therapy, with these participants having
baseline visual acuity letter score and OCT-measured central subfield thickness
similar to those without prior anti-VEGF treatment, but longer mean duration of
macular edema before randomization (18 months vs. 1 month for those without prior
anti-VEGF treatment; P < 0.0001). CONCLUSIONS: The SCORE2 cohort is a
heterogeneous population, including both CRVO and HRVO eyes and both treatment
naive eyes and eyes treated previously with anti-VEGF, which will allow study
results to have broad applicability to CRVO and HRVO patients receiving treatment
for macular edema. Similarities of the baseline characteristics of the SCORE2
population to other CRVO trial cohorts will allow meaningful comparisons of
outcome results across trials.
PMID- 27863844
TI - Persistent Impairment of Quality of Life in Patients with Herpes Simplex
Keratitis.
AB - PURPOSE: To evaluate the quality of life (QoL) in patients with quiescent herpes
simplex keratitis compared with control patients without ocular herpes. DESIGN:
Prospective, case-control study. PARTICIPANTS: Thirty-three patients with a
unilateral and relapsing herpes simplex keratitis (HSK group) that was quiescent
during evaluation (no acute episode in the past 3 months) and 66 patients with no
history of HSK (control group). Both groups were age and gender matched. METHODS:
Three previously validated QoL questionnaires were used in this study: the
National Eye Institute Visual Functioning Questionnaire-25 (NEI VFQ-25), Glaucoma
Quality of Life 17 (Glau-QoL17) questionnaire, and Ocular Surface Disease Quality
of Life (OSD-QoL) questionnaire. Each questionnaire covered various aspects of
the disease. MAIN OUTCOME MEASURES: The outcomes of the 3 questionnaires were
compared between groups. For the HSK group, the results were correlated to the
clinical findings and the history of herpetic disease. RESULTS: The mean total
questionnaire scores of the 3 QoL questionnaires were significantly lower in the
HSK group compared with controls (NEI VFQ-25: 70.5+/-3.8 vs. 91.1+/-0.8, P <
0.0001; Glau-QoL17: 68.2+/-3.1 vs. 87.9+/-1.0, P < 0.0001; and OSD-QoL: 65.4+/
2.9 vs. 93.1+/-0.6, P < 0.0001, respectively). In the HSK group, the level of
visual acuity (VA) in the affected eye had the greatest impact on QoL, inducing
lower QoL results related to "general vision," "distance activities,"
"dependency," "peripheral vision," "self-image," "daily living," and "driving"
dimensions. Decreased VA in the unaffected eye also negatively affected "self
image" and "driving" results. Patients with frequent HSK relapses had lower QoL
related to "ocular pain" and "acknowledgement." CONCLUSIONS: Even during a
quiescent phase of the disease, unilateral and relapsing HSK significantly
impairs the QoL of patients to a similar level as most sight-threatening
diseases. The decrease of VA has the greatest overall effect, but other factors
also significantly affect QoL, such as the frequency of relapses.
PMID- 27863846
TI - Chemotherapy and radiation components of neoadjuvant treatment of pancreatic head
adenocarcinoma: Impact on perioperative mortality and long-term survival.
AB - BACKGROUND: We compared outcomes of neoadjuvant therapy delivered as chemotherapy
only (Chemo) versus concurrent chemoradiation (ChemoRT) versus chemotherapy
followed by radiation (Chemo-ChemoRT) among pancreatic head adenocarcinoma
patients receiving pancreaticoduodenectomy. METHODS: National Cancer Data Base
cases diagnosed 2006-2011 treated by neoadjuvant therapy and
pancreaticoduodenectomy. RESULTS: 1163 pts received neoadjuvant treatment with
Chemo (n = 309; 26.6%), ChemoRT (n = 626; 53.8%), or Chemo-ChemoRT (n = 228;
19.6%). Odds of 30-day and 90-day mortality were not influenced by delivery of
any neoadjuvant therapy type. Median overall survival for Chemo, ChemoRT, and
Chemo-ChemoRT groups were 25.6 (95% confidence interval 23.1-28.7), 22.9 (21.4
24.8), and 26.9 (23.7-29.4) months, respectively. There was no statistically
significant difference between Chemo and Chemo-ChemoRT groups (log rank test p =
0.854), while there was significant difference of ChemoRT (p = 0.017 versus
Chemo; p = 0.021 versus Chemo-ChemoRT). Multivariate model suggests delivery of
concurrent ChemoRT as opposed to neoadjuvant therapy with full dose systemic
chemotherapy is associated with shortened survival (aHR = 1.311, p = 0.001).
CONCLUSIONS: There is no detectable difference in early outcomes (30-day and 90
day postsurgical mortality) among pancreaticoduodenectomy patients treated with
various types of neoadjuvant therapy. Overall survival appears better among
patients exposed preoperatively to full dose systemic chemotherapy rather than
concurrent chemoradiation only. Further studies with more detailed data sources
are needed.
PMID- 27863845
TI - Macular Atrophy in Neovascular Age-Related Macular Degeneration with Monthly
versus Treat-and-Extend Ranibizumab: Findings from the TREX-AMD Trial.
AB - PURPOSE: To compare the enlargement rate of macular atrophy (ERMA) in eyes
treated with ranibizumab monthly or using a treat-and-extend (TREX) regimen for
neovascular age-related macular degeneration (AMD) or fellow control eyes, as
well as analyze risk factors for macular atrophy (MA) development and
progression. DESIGN: Eighteen-month, multicenter, randomized, controlled clinical
trial. PARTICIPANTS: Sixty patients with treatment-naive neovascular AMD in 1 eye
randomized 1:2 to monthly or TREX ranibizumab. METHODS: Patients' study and
fellow eyes were followed for 18 months using spectral-domain optical coherence
tomography (SD OCT) and fundus autofluorescence (FAF) imaging. The MA was
quantified on FAF images using Heidelberg Region Finder software (Heidelberg
Engineering, Heidelberg, Germany), with suspected areas of atrophy confirmed by
SD OCT and infrared reflectance imaging. For eyes without baseline MA yet
developed MA by 18 months, intervening visits were assessed to determine the
first visit at which MA appeared to define progression rates. Foveal choroidal
thickness (FCT), subretinal hyperreflective material (SHRM), and pigment
epithelial detachment (PED), were assessed at baseline to determine whether they
influenced MA progression. MAIN OUTCOME MEASURES: Mean ERMA at 18 months.
Relationship between visual acuity and MA, and the baseline risk factors for ERMA
were also assessed. RESULTS: The final analysis cohort included 88 eyes in 3
groups: monthly (n = 19), TREX (n = 30), and control fellow eyes (n = 39). Mean
ERMA over 18 months was 0.39+/-0.67 (monthly), 1.1+/-1.9 (TREX), and 0.49+/-1 mm2
(control, P = 0.12). Mean ERMA per group among the 40.9% (n = 36) of baseline
patients with MA was 0.9+/-1, 1.9+/-2.2, and 1+/-1.3 mm2, respectively (P =
0.31). The incidence rate of MA in the 3 groups was 40%, 0%, and 8.3%,
respectively. Mann-Whitney U test revealed a statistically significant
association between baseline FCT (127+/-46 vs. 155+/-55 MUm, P = 0.01) and SHRM
thickness (106+/-131 vs. 50+/-85 MUm, P = 0.02) on MA. In eyes with no baseline
MA, presence of SHRM, SHRM, and PED thickness, and presence of baseline
hemorrhage were all significant predictors of new MA development (P = 0.04, 0.01,
0.04, 0.004, 0.01, respectively). CONCLUSIONS: Ranibizumab did not show a
statistically significant influence on new MA development in eyes with
neovascular AMD, whether dosed monthly or per TREX regimen. The FCT, SHRM
thickness, and hemorrhage at baseline were all significant predictors of new MA.
PMID- 27863847
TI - Parental Attitudes About Placebo Use in Children.
AB - OBJECTIVE: To assess parental attitudes regarding placebo use in pediatric
randomized controlled trials and clinical care. STUDY DESIGN: Parents with
children under age 18 years living in the US completed and submitted an online
survey between September and November 2014. RESULTS: Among all 1300 participants,
1000 (76.9%; 538 mothers and 462 fathers) met the study inclusion criteria. The
majority of surveyed parents considered the use of placebos acceptable in some
pediatric care situations (86%) and some pediatric trials (91.5%), whereas only
5.7% of parents found the use of placebos in children always unacceptable. The
clinical use of placebo was considered acceptable by a majority of parents for
only 7 (mostly psychological) of the 17 conditions presented. Respondents'
judgment about acceptability was influenced by the doctors' opinions about the
therapeutic benefits of placebo treatment, the conditions for pediatric placebo
use, transparency, safety, and purity of placebos. CONCLUSION: Most surveyed
parents accepted the idea of using placebos in pediatric trials and within the
clinic for some conditions without the practice of deception and with the
creation of guidelines for ethical and safe use. This study suggests a need to
reconsider pediatric trial design and clinical therapy in the light of generally
positive parental support of appropriate placebo use.
PMID- 27863848
TI - Hypermobility and Musculoskeletal Pain in Adolescents.
AB - OBJECTIVE: To determine the prevalence of generalized joint hypermobility (GJH)
in a large cohort of Australian children and determine the associations between
GJH and musculoskeletal pain. STUDY DESIGN: This is a cross-sectional analysis of
the Western Australian Pregnancy Cohort (Raine) Study. Hypermobility was measured
in 1584 participants at 14 years of age using the Beighton scoring system, along
with a range of other factors including musculoskeletal pain status. Logistic
regression models were used to assess independent associations of GJH with
factors of interest. RESULTS: The prevalence of GJH was 60.6% and 36.7% in girls
and boys, respectively, when defined as a Beighton score of >=4; when defined as
>=6, it was 26.1% and 11.5%. In girls, positive associations between GJH and
higher socioeconomic status and better motor competence were observed. In boys,
positive associations between GJH and lower body mass index were observed. After
adjusting for potential confounders, an association between number of pain areas
in the last month and made worse with sport were identified in boys but not
girls. CONCLUSION: The high prevalence rates of GJH as defined by commonly used
Beighton cutoff values in this cohort highlight the need to question the
appropriateness of these cutoffs in future studies. Future prospective studies of
the association between GJH and musculoskeletal pain should be adjusted for
confounding variables identified in this study, and be powered for sex-specific
analyses owing to the differing prevalence rates and hypermobility correlates in
male and female samples.
PMID- 27863849
TI - Nasogastric Tube in the Right Hemithorax: Where Is It?
PMID- 27863850
TI - Pediatric Concussion Management in the Emergency Department: A National Survey of
Parents.
AB - OBJECTIVE: To examine parental expectations and beliefs about diagnosis and
management of pediatric concussion. STUDY DESIGN: We conducted a cross-sectional
web-based survey of a nationally representative panel of US parents in March
2014. Parents of 10- to 17-year-old children responded to questions about their
expectations and beliefs about diagnosis and management of pediatric concussion
in the emergency department (ED). Weighted percentages for descriptive statistics
were calculated, and chi2 statistics were used for bivariate analysis. RESULTS:
Survey participation was 53%, and of 912 parent respondents with a child 10-17
years of age who were presented with a scenario of their child having mild
symptoms of concussion, 42% would seek immediate ED care. Parents who would seek
immediate ED care for this scenario were more likely than parents who would
consult their child's usual provider or wait at home to "definitely expect"
imaging (65% vs 21%), definitive diagnosis of concussion (77% vs 61%), a timeline
for return to activity (80% vs 60%), and a signed return to play form (55% vs
41%). CONCLUSIONS: Many parents who bring children to the ED following a possible
concussion are likely to expect comprehensive and definitive care, including
imaging, a definitive diagnosis, a timeline for return to activity, and a signed
return to play form. To manage these expectations, healthcare providers should
continue to educate parents about the evaluation and management of concussion.
PMID- 27863851
TI - Long-term exposition to a high fat diet favors the appearance of beta-amyloid
depositions in the brain of C57BL/6J mice. A potential model of sporadic
Alzheimer's disease.
AB - AIMS: The sporadic and late-onset form of Alzheimer's disease (AD) constitutes
the most common form of dementia. This non-familiar form could be a consequence
of metabolic syndrome, characterized by obesity and the development of a brain
specific insulin resistance known as type III diabetes. This work demonstrates
the development of a significant AD-like neuropathology due to these metabolic
alterations. METHODS: C57BL/6J mice strain were divided into two groups, one fed
with a diet rich in palmitic acid (high-fat diet, HFD) since their weaning until
16 months of age, and another group used as a control with a regular diet. The
analyses were carried out in the dentate gyrus area of the hippocampus using a
Thioflavin-S stain and immunofluorescence assays. RESULTS: The most significant
finding of the present research was that HFD induced the deposition of the betaA
peptide. Moreover, the diet also caused alterations in different cell processes,
such as increased inflammatory reactions that lead to a decrease in the neuronal
precursor cells. In addition, the results show that there were also
dysregulations in normal autophagy and apoptosis, mechanisms related to betaA
formation. CONCLUSIONS: The present findings confirm that HFD favors the
formation of betaA depositions in the brain, a key feature of AD, supporting the
metabolic hypothesis of sporadic AD.
PMID- 27863852
TI - The RAG recombinase: Beyond breaking.
AB - DNA double-strand breaks (DSBs) are commonly seen as lesions that threaten genome
integrity and contribute to cancer and aging processes. However, in the context
of antigen receptor gene assembly, known as V(D)J recombination, DSBs are
obligatory intermediates that allow the establishment of genetic diversity and
adaptive immunity. V(D)J recombination is initiated when the lymphoid-restricted
recombination-activating genes RAG1 and RAG2 are expressed and form a site
specific endonuclease (the RAG nuclease or RAG recombinase). Here, we discuss the
ability of the RAG nuclease to minimize the risks of genome disruption by
coupling the breakage and repair steps of the V(D)J reaction. This implies that
the RAG genes, derived from an ancient transposon, have undergone strong
selective pressure to prohibit transposition in favor of promoting controlled DNA
end joining in cis by the ubiquitous DNA damage response and DNA repair
machineries. We also discuss the idea that, in addition to being essential for
the rearrangement of antigen receptor genes, RAG-mediated DSBs could impact
cellular processes and outcomes by affecting genetic and epigenetic programs.
PMID- 27863853
TI - Balancing Inflammation: Computational Design of Small-Molecule Toll-like Receptor
Modulators.
AB - As essential proteins of the innate immune system, Toll-like receptors (TLRs) are
involved in a plethora of physiological pathologies and their modulation is an
ongoing quest in the field of drug discovery. Although TLRs recognize an
unusually broad range of different molecular patterns, only a few small-molecule
TLR modulators have been reported to date. Recent advances in crystallography and
in silico techniques provide promising opportunities for TLR investigations and
drug design. Here, three application areas for computational approaches are
considered: (i) exploration of TLR structure and activation; (ii) understanding
TLR modulation; and (iii) TLR drug discovery. By providing an overview on state
of-the-art computational methods, we highlight the value of molecular modeling in
mechanistically understanding TLR function and guiding drug design.
PMID- 27863854
TI - The Various Metabolic Sources of Histone Acetylation.
AB - Glucose-derived acetyl-CoA levels directly impact histone acetylation, linking
the energetic status of the cell to its epigenome. Two recent reports showed that
other carbon sources can contribute to the acetyl-CoA pool used for histone
acetylation, highlighting the metabolic plasticity that allows cells to respond
to nutrient availability.
PMID- 27863856
TI - Accuracy of intraoral digital impressions using an artificial landmark.
AB - STATEMENT OF PROBLEM: Intraoral scanners have been reported to have limited
accuracy in edentulous areas. Large amounts of mobile tissue and the lack of
obvious anatomic landmarks make it difficult to acquire a precise digital
impression of an edentulous area with an intraoral scanner. PURPOSE: The purpose
of this in vitro study was to determine the effect of an artificial landmark on a
long edentulous space on the accuracy outcomes of intraoral digital impressions.
MATERIAL AND METHODS: A mandibular model containing 4 prepared teeth and an
edentulous space of 26 mm in length was used. A blue-light light-emitting diode
tabletop scanner was used as a control scanner, and 3 intraoral scanners were
used as experimental groups. Five scans were made using each intraoral scanner
without an artificial landmark, and another 5 scans were performed after
application of an artificial landmark (a 4*3 mm alumina material) on the
edentulous area. The obtained datasets were used to evaluate trueness and
precision. RESULTS: Without an artificial landmark on the edentulous area, the
mean trueness for the intraoral scanner ranged from 36.1 to 38.8 MUm and the mean
precision ranged from 13.0 to 43.6 MUm. With an artificial landmark on the
edentulous area, accuracy was improved significantly: the mean trueness was 26.7
to 31.8 MUm, and the mean precision was 9.2 to 12.4 MUm. CONCLUSIONS: The use of
an alumina artificial landmark in an edentulous space improved the trueness and
precision of the intraoral scanners tested.
PMID- 27863855
TI - Erratum to "Intra-individual changes in Stroop-related activations linked to
cigarette abstinence in adolescent tobacco smokers: Preliminary findings" [Drug
Alcohol Depend. 167 (2016) 182-189].
PMID- 27863857
TI - Retention and wear behaviors of two implant overdenture stud-type attachments at
different implant angulations.
AB - STATEMENT OF PROBLEM: Implant angulation should be considered when selecting an
attachment. Some in vitro studies have investigated the relationship between
implant angulation and changes in the retention force of the stud attachment, but
few studies have evaluated the effect of cyclic loading and repeated cycles of
insertion and removal on the stud attachment. PURPOSE: The purpose of this in
vitro study was to evaluate the effects of implant angulation on the retentive
characteristics of overdentures with 2 different stud attachments, an
experimental system and O-rings in red and orange, after cyclic loading and
repeated insertion and removal cycles. MATERIAL AND METHODS: The canine region of
a mandibular experimental model was fitted with 2 implant fixtures with 2
different stud attachment systems at implant angulations of 0, 15, or 30 degrees.
A mastication simulator was used to simulate cyclic loading, and a universal
testing machine was used to evaluate retentive force changes after repeated
insertion and removal cycles. To simulate the numbers of mastication and
insertion and removal cycles per annum, 400000 cyclic loadings and 1080 insertion
and removal cycles were performed. Wear patterns and attachment surface
deformations were evaluated by scanning electron microscopy. Data were analyzed
using the Kruskal-Wallis test, Mann-Whitney U test with Bonferroni correction
(alpha=.05/3=.017), and the paired-sample Student t test (alpha=.05). RESULTS:
When retentive forces before and after testing were compared, O-ring showed
significant retention loss at all implant angulations (P<.001). In contrast, the
experimental system showed little retention loss in the 0- and 15-degree models
(P>.05), whereas the 30-degree model showed a significant increase in retentive
force (P=.001). At all implant angulations, retention loss increased
significantly for the orange O-ring, followed by the red O-ring, and the
experimental system (P<.001). Scanning electron microscopy analysis showed more
intense wear in the matrix than the patrix (abutment that matches to matrix) and
more severe wear and deformation of the O-ring rubber matrix than of the
experimental zirconia ball. CONCLUSIONS: Upon completion of the experiment, wear
and deformation were found for all attachment systems. Even when implants are not
installed in parallel, the experimental system can be used without involving
great loss of retention.
PMID- 27863858
TI - Authors' Response.
PMID- 27863859
TI - Effect of fiber posts on the fracture resistance of maxillary central incisors
with Class III restorations: An in vitro study.
AB - STATEMENT OF PROBLEM: Endodontically treated teeth with Class III restorations
show reduced fracture resistance. The placement of glass fiber posts may affect
fracture resistance and should be further evaluated. PURPOSE: The purpose of this
in vitro study was to evaluate the effect of fiber posts on the fracture
resistance of endodontically treated maxillary central incisors with Class III
restorations. MATERIAL AND METHODS: Forty extracted human maxillary central
incisors were selected and divided into 4 test groups (n=10 each) according to
the restoration strategy: control group; endodontically treated teeth without
endodontic posts (GHT); endodontically treated teeth with 2 Class III
restorations simulating coronal destruction (GCT); endodontically treated teeth
with 2 Class III restorations and a carbon fiber post (GCF); and endodontically
treated teeth with 2 Class III restorations and a glass-fiber post (GGF). The
fiber posts were adhesively cemented with composite resin cement, and the
cavities were restored with composite resin. After 3 months of water storage, all
specimens were loaded at 45 degrees in a universal testing machine until fracture
and evaluated for fracture mode. The data were analyzed using 1-way ANOVA,
followed by the Tukey honest significant difference multiple comparisons test
(alpha=.05). RESULTS: Mean +/-SD failure loads ranged from 687.5 +/-84.0 N to
943.8 +/-93.1 N. One-way ANOVA revealed a statistically significant difference
among the groups (P<=.05). The control group (GHT) had significantly higher
resistance to fracture than the other groups (P<=.05). CONCLUSIONS: Within the
limitations of this in vitro study, placement of a fiber post did not affect the
fracture resistance of endodontically treated maxillary central incisors with 2
Class III restorations.
PMID- 27863860
TI - Orofacial rehabilitation with zygomatic implants: CAD-CAM bar and magnets for
patients with nasal cancer after rhinectomy and partial maxillectomy.
AB - Nasal carcinoma extending into the premaxilla requires radical surgical excision
including rhinectomy and partial maxillectomy. Rehabilitation is complex and
involves the use of removable prostheses. Three patients treated with zygomatic
implants and custom-milled bars to retain an obturator and nasal prosthesis are
presented.
PMID- 27863861
TI - Health care utilization and consequences of readmission in the first year after
lung transplantation.
AB - BACKGROUND: Hospital readmissions are costly and have become a focus for quality
improvement. We aimed to determine risk factors, rate, and outcomes of
readmissions within the first year after lung transplantation and the potential
impact on patient survival. METHODS: A retrospective cohort study of all lung
transplant recipients >=18 years old who had undergone initial transplantation
(2004-2013) at a single center was conducted. Logistic regression was used to
identify independent predictors of readmission for patients who survived
hospitalization. Cox regression was used to explore the relationship between
readmission and long-term risk of death, while adjusting for potential
confounders for patients who survived the first year. RESULTS: During the study
period, 412 patients met inclusion criteria for the readmission analysis. There
were 276 patients (67%) readmitted within 1 year after lung transplantation for a
total of 609 readmissions (average +/- SD, 1.5 +/- 2). Average length of
readmission stay was 6 days +/- 7, with 44% of readmissions lasting <=3 days.
Airway complications were found to be a significant risk factor for readmission
(odds ratio, 4.18; 95% confidence interval, 1.78-9.54; p = 0.001). After
adjustment, the overall risk of death was significantly higher with each
readmission during the first year (hazard ratio, 1.22; 95% confidence interval,
1.13-1.31, p < 0.0001). CONCLUSIONS: Most patients who survive the first post
operative year experience at least 1 readmission, with patients who experience
airway complications at particular risk. Patients discharged to inpatient
rehabilitation were less likely to be readmitted. The cumulative burden of
multiple readmissions is associated with worse long-term survival.
PMID- 27863862
TI - Extracorporeal membrane oxygenation with multiple-organ failure: Can molecular
adsorbent recirculating system therapy improve survival?
AB - BACKGROUND: Liver dialysis, molecular adsorbent recirculating system (MARS)
particularly, has been used in liver failure to bridge to transplantation. We
expanded the indication for MARS to patients with acute shock liver failure and
cardiopulmonary failure on extracorporeal membrane oxygenation (ECMO), aiming to
improve survival to wean from ECMO. METHODS: Retrospective chart analysis of
patients on ECMO between 2010 and 2015 found 28 patients who met the criteria for
acute liver failure, diagnosed by hyperbilirubinemia (total bilirubin >=10 mg/dl)
or by elevated transaminase (alanine transaminase >1,000 IU/liter). Of these
patients, 14 underwent MARS treatment (Group M), and 14 were supported with
optimal medical treatment without MARS (Group C). Patient characteristics, liver
function, and survival were compared between groups. RESULTS: Demographics,
clinical risk factors, and pre-ECMO laboratory data were identical between the
groups. MARS was used continuously for 8 days +/- 9 in Group M. Total bilirubin,
alanine transaminase, and international normalized ratio were improved
significantly in Group M. There were no MARS-related complications. Survival to
wean from ECMO for Group M was 64% (9/14) vs 21% (3/14) for Group C (p = 0.02).
Mortality related to worsening liver dysfunction during ECMO was 40% (2/5 deaths)
in Group M and 100% (11/11 deaths) in Group C (p = 0.004). The 30-day survival
after ECMO was 43% (6/14) in Group M and 14% (2/14) in Group C (p = 0.09).
CONCLUSIONS: MARS therapy in patients on ECMO safely accelerated recovery of
liver function and improved survival to wean from ECMO, without increasing
complications.
PMID- 27863863
TI - Effect of beta2-adrenergic receptor stimulation on lung fluid in stable heart
failure patients.
AB - BACKGROUND: The purpose of this study was to determine: (1) whether stable heart
failure patients with reduced ejection fraction (HFrEF) have elevated
extravascular lung water (EVLW) when compared with healthy control subjects; and
(2) the effect of acute beta2-adrenergic receptor (beta2AR) agonist inhalation on
lung fluid balance. METHODS: Twenty-two stable HFrEF patients and 18 age- and
gender-matched healthy subjects were studied. Lung diffusing capacity for carbon
monoxide (DLCO), alveolar-capillary membrane conductance (DmCO), pulmonary
capillary blood volume (Vc) (via re-breathe) and lung tissue volume (Vtis) (via
computed tomography) were assessed before and within 30 minutes after
administration of nebulized albuterol. EVLW was derived as Vtis - Vc. RESULTS:
Before administration of albuterol, Vtis and EVLW were higher in HFrEF vs control
(998 +/- 200 vs 884 +/- 123 ml, p = 0.041; and 943 +/- 202 vs 802 +/- 133 ml, p =
0.015, respectively). Albuterol decreased Vtis and EVLW in HFrEF patients (-4.6
+/- 7.8%, p = 0.010; -4.6 +/- 8.8%, p = 0.018) and control subjects (-2.8 +/-
4.9%, p = 0.029; -3.0 +/- 5.7%, p = 0.045). There was an inverse relationship
between pre-albuterol values and pre- to post-albuterol change for EVLW (r2 =
0.264, p = 0.015) and DmCO (r2 = -0.343, p = 0.004) in HFrEF only. CONCLUSION:
Lung fluid is elevated in stable HFrEF patients relative to healthy subjects.
Stimulation of beta2ARs may cause fluid removal in HFrEF, especially in patients
with greater evidence of increased lung water at baseline.
PMID- 27863864
TI - Laminin-5 and insulin-like growth factor-II mRNA binding protein-3 (IMP3)
expression in preoperative biopsy specimens from oral cancer patients: Their role
in neural spread risk and survival stratification.
AB - Perineural invasion (PNI) hinders the ability to establish local control of oral
squamous cell carcinoma (OSCC). To date, PNI can be evaluated only in surgical
specimens and not in preoperative biopsy material, rendering timely therapeutic
planning impossible. Insulin-like growth factor-II mRNA binding protein-3 (IMP3)
expression appears to be of diagnostic and prognostic utility for many solid
tumours, and laminin-5 expression in surgical specimens has been identified as a
valid predictor of neural spread of head-and-neck neoplasms. The ability to use
preoperative biopsy material to identify patients exhibiting PNI is fundamental
for good management of OSCC. We examined a series of 64 consecutive patients
treated (primarily via surgery) for OSCC between 2009 and 2014 at the
Maxillofacial Surgery Unit, University of Bologna. We evaluated IMP3 and laminin
5 expression in preoperative biopsy material using immunohistochemistry and
quantitative reverse transcription polymerase chain reaction. We sought to
correlate expression of IMP3 and laminin-5 with PNI evident in surgical
specimens. Expression of IMP3 and laminin-5 in preoperative biopsy material
appeared to be predictive of PNI in patients with OSCC (P < 0.001). Additionally,
the results of multivariate analyses showed that IMP3 status was an independent
predictor of death of patients with OSCC (P = 0.001). The present study
demonstrates that IMP3 and laminin-5 expression in preoperative biopsy material
correlate well with PNI status and may allow accurate preoperative risk
stratification of patients with OSCC.
PMID- 27863866
TI - Insights into bedrock surface morphology using low-cost passive seismic surveys
and integrated geostatistical analysis.
AB - The HVSR (Horizontal to Vertical Spectral Ratio) technique is very popular in the
context of seismic microzonation and for the mapping of shallow seismic
reflectors, such as the sediment/bedrock transition surface. This easy-to-deploy
single station passive seismic technique permits the collection of a considerable
amount of HVSR data in a cost-effective way. It is not surprising that some
recent studies have adopted single station micro-tremor analyses in order to
retrieve information on geological structures in 1D, 2D or even 3D
reconstructions. However, the interpolation approaches followed in these studies
for extending the punctual HVSR data spatially are not supported by a detailed
spatial statistical analysis. Conversely, in order to exploit the informative
content and quantify the related uncertainty of HVSR data it is necessary to
utilize a deep spatial statistical analysis and objective interpolation
approaches. Moreover, the interpolation approach should make it possible to use
expert knowledge and auxiliary information. Accordingly, we present an integrated
geostatistical approach applied to HVSR data, collected for retrieving
information on the morphology of a buried bedrock surface. The geostatistical
study is conducted on an experimental dataset of 116 HVSR data collected in a
small thermal basin located in the Venetian Plain (Caldiero Basin, N-E Italy).
The explorative geostatistical analysis of the data coupled with the use of
interpolation kriging techniques permit the extraction of relevant information on
the resonance properties of the subsoil. The utilized approach, based on kriging
with external drift (or its extension, i.e. regression kriging), permits the
researcher to take into account auxiliary information, evaluate the related
prediction uncertainty, and highlight abrupt variations in subsoil resonance
frequencies. The results of the analysis are discussed, also with reflections
pertaining to the geo-engineering and geo-environmental context.
PMID- 27863865
TI - Solubility of indium-tin oxide in simulated lung and gastric fluids: Pathways for
human intake.
AB - From being a metal with very limited natural distribution, indium (In) has
recently become disseminated throughout the human society. Little is known of how
In compounds behave in the natural environment, but recent medical studies link
exposure to In compounds to elevated risk of respiratory disorders. Animal tests
suggest that exposure may lead to more widespread damage in the body, notably the
liver, kidneys and spleen. In this paper, we investigate the solubility of the
most widely used In compound, indium-tin oxide (ITO) in simulated lung and
gastric fluids in order to better understand the potential pathways for metals to
be introduced into the bloodstream. Our results show significant potential for
release of In and tin (Sn) in the deep parts of the lungs (artificial lysosomal
fluid) and digestive tract, while the solubility in the upper parts of the lungs
(the respiratory tract or tracheobronchial tree) is very low. Our study confirms
that ITO is likely to remain as solid particles in the upper parts of the lungs,
but that particles are likely to slowly dissolve in the deep lungs. Considering
the prolonged residence time of inhaled particles in the deep lung, this
environment is likely to provide the major route for uptake of In and Sn from
inhaled ITO nano- and microparticles. Although dissolution through digestion may
also lead to some uptake, the much shorter residence time is likely to lead to
much lower risk of uptake.
PMID- 27863867
TI - Prenatal di-2-ethylhexyl phthalate exposure and cord blood adipokine levels and
birth size: The Hokkaido study on environment and children's health.
AB - Di-2-ethylhexyl phthalate (DEHP) is one of the most widely used phthalates.
Metabolites of DEHP are detectable in majority of the population. Findings on
adverse health outcomes, particularly birth weight in association with prenatal
exposure to DEHP remain equivocal. Besides, there is insufficient evidence to
address influence on metabolic function from epidemiological studies. Thus, our
objective was to investigate cord blood adipokine levels and birth size in
association with prenatal DEHP exposure in prospective birth cohort study. Mono-2
methylhexyl phthalate (MEHP), primary metabolite of DEHP was determined as
exposure by using maternal blood sample of 3rd trimester. Leptin and adiponectin
levels in cord blood were measured as markers of metabolic function. Birth weight
and length were obtained from birth record. Association between maternal MEHP
levels and cord blood adiponectin and leptin levels, birth weight and ponderal
index (PI) were examined for 167 mother-child pairs who had both MEHP and cord
blood adipokine measurements. The median MEHP level was 8.81ng/ml and the
detection rate was 100%. There was no sex difference in MEHP levels. Both leptin
and adiponectin levels were higher in girls than in boys. MEHP level was
positively associated with adiponectin level among boys and was negatively
associated with leptin level among girls. MEHP level were negatively associated
with PI only in girls and this could be due to decreased leptin level. This study
suggested that prenatal DEHP exposure may be associated with cord blood adipokine
and birth size. The influence potentially be sex-specific and could be more
significant in girls.
PMID- 27863868
TI - Establishing geochemical background variation and threshold values for 59
elements in Australian surface soil.
AB - During the National Geochemical Survey of Australia over 1300 top (0-10cm depth)
and bottom (~60-80cm depth) sediment samples (including ~10% field duplicates)
were collected from the outlet of 1186 catchments covering 81% of the continent
at an average sample density of 1 site/5200km2. The <2mm fraction of these
samples was analysed for 59 elements by ICP-MS following an aqua regia digestion.
Results are used here to establish the geochemical background variation of these
elements, including potentially toxic elements (PTEs), in Australian surface
soil. Different methods of obtaining geochemical threshold values, which
differentiate between background and those samples with unusually high element
concentrations and requiring attention, are presented and compared to Western
Australia's 'ecological investigation levels' (EILs) established for 14 PTEs. For
Mn and V these EILs are so low that an unrealistically large proportion (~24%) of
the sampled sites would need investigation in Australia. For the 12 remaining
elements (As, Ba, Cd, Co, Cr, Cu, Hg, Mo, Ni, Pb, Sn and Zn) few sample sites
require investigation and as most of these are located far from human activity
centres, they potentially suggest either minor local contamination or mineral
exploration potential rather than pollution. No major diffuse source of
contamination by PTEs affects Australian soil at the continental scale. Of the
statistical methods used to establish geochemical threshold values, the most
pertinent results come from identifying breaks in cumulative probability
distributions, the Tukey inner fence and the 98th percentile. Geochemical
threshold values for 59 elements, including emerging 'high-tech' critical
elements such as lanthanides, Be, Ga or Ge, for which no EILs currently exist,
are presented.
PMID- 27863869
TI - Similarities and differences in occurrence and temporal fluctuations in
glyphosate and atrazine in small Midwestern streams (USA) during the 2013 growing
season.
AB - Glyphosate and atrazine are the most intensively used herbicides in the United
States. Although there is abundant spatial and temporal information on atrazine
occurrence at regional scales, there are far fewer data for glyphosate, and
studies that compare the two herbicides are rare. We investigated temporal
patterns in glyphosate and atrazine concentrations measured weekly during the
2013 growing season in 100 small streams in the Midwestern United States.
Glyphosate was detected in 44% of samples (method reporting level 0.2MUg/L);
atrazine was detected above a threshold of 0.2MUg/L in 54% of samples. Glyphosate
was detected more frequently in 12 urban streams than in 88 agricultural streams,
and at concentrations similar to those in streams with high agricultural land use
(>40% row crop) in the watershed. In contrast, atrazine was detected more
frequently and at higher concentrations in agricultural streams than in urban
streams. The maximum concentration of glyphosate measured at most urban sites
exceeded the maximum atrazine concentration, whereas at agricultural sites the
reverse was true. Measurement at a 2-day interval at 8 sites in northern Missouri
revealed that transport of both herbicide compounds appeared to be controlled by
spring flush, that peak concentration duration was brief, but that peaks in
atrazine concentrations were of longer duration than those of glyphosate. The 2
day sampling also indicated that weekly sampling is unlikely to capture peak
concentrations of glyphosate and atrazine.
PMID- 27863870
TI - Fast and slow adsorption of carbamazepine on biochar as affected by carbon
structure and mineral composition.
AB - The influence of carbon structure and mineral composition of biochar on fast
adsorption and slow adsorption of ionic organic contaminants (IOCs) is still
unclear. This study used carbamazepine (CBZ) as adsorbate, peanut-shell-derived
biochars produced at different charred temperatures, and the corresponding acid
washed biochars as adsorbents, to investigate the adsorption kinetics as affected
by carbon structure and mineral composition of biochar. The adsorption of CBZ on
amorphous (loose) carbon was lower than aromatic (condensed) carbon, but the
former mainly contributed to the fast adsorption of CBZ. The hydrophobic and pi
pi interactions were likely the predominant adsorption mechanisms of CBZ on
biochar. The ratio of CBZ fast and slow adsorption fractions (ffast/fslow)
significantly reduced after acid-wash treatment of the biochars. The X-ray
diffraction and Fourier transform infrared spectra indicated that minerals,
including CaCO3, KAlO2, and quartz, were likely responsible for the fast
adsorption of CBZ by the hydrogen bonds between CBZ and -OH on the surface of
minerals. These results are useful for better understanding of the environmental
behavior and prediction of the environmental risks of IOCs in biochar-amended
soils.
PMID- 27863871
TI - Bacterial mineralization of phenanthrene on thermally activated palygorskite: A
14C radiotracer study.
AB - Clay-bacterial interaction can significantly influence the biodegradation of
organic contaminants in the environment. A moderate heat treatment of
palygorskite could alter the physicochemical properties of the clay mineral and
thus support the growth and function of polycyclic aromatic hydrocarbon (PAH)
degrading bacteria. By using 14C-labelled phenanthrene and a model bacterium
Burkholderia sartisoli, we studied the mineralization of phenanthrene on the
surface of a moderately heat-treated (up to 400 degrees C) palygorskite. The heat
treatment at 400 degrees C induced a reduction of binding sites (e.g., by the
elimination of organic matter and/or channel shrinkage) in the palygorskite and
thus imparted a weaker sequestration of phenanthrene on its surface and within
the pores. As a result, a supplement with the thermally modified palygorskite
(400 degrees C) significantly increased (20-30%; p<0.05) the biomineralization of
total phenanthrene in a simulated soil slurry system. These results are highly
promising to develop a clay mineral based technology for the bioremediation of
PAH contaminants in water and soil environments.
PMID- 27863873
TI - A Case of Transient Global Amnesia with Small Left Putamen Infarction.
AB - A 67-year-old right-handed woman experienced a sudden anterograde and retrograde
short-term memory deficit that recovered on the next day. Magnetic resonance
imaging (MRI) showed a spotty high-intensity lesion in the left putamen in the
diffusion-weighted and fluid-attenuated inversion recovery images. Transient
global amnesia due to lacunar infarction of the left putamen was diagnosed.
Transient global amnesia is characterized by a sudden onset of anterograde
amnesia that disappears within 24 hours. The cause of transient global amnesia is
still uncertain. Some studies with MRI showed small lesions in the hippocampus at
24-74 hours after the episode. These lesions disappear within several weeks.
However, our case of transient global amnesia showed a small lesion in the left
putamen, not the hippocampus. Some studies with functional MRI reported that the
stratum plays an important role in short-term memory and cognitive function. This
case showed only a left putamen lesion, which indicates that a putamen lesion can
cause transient global amnesia.
PMID- 27863872
TI - Seasonal and temperature modifications of the association between fine
particulate air pollution and cardiovascular hospitalization in New York state.
AB - It is known that extreme temperature and ambient air pollution are each
independently associated with human health outcomes. However, findings from the
few studies that have examined modified effects by seasons and the interaction
between air pollution and temperature on health endpoints are inconsistent. This
study examines the effects of short-term PM2.5 (particulate matter less than or
equal to 2.5MUm in aerodynamic diameter) on hospitalization for cardiovascular
diseases (CVDs), its modifications by season and temperature, and whether these
effects are heterogeneous across different regions in New York State (NYS). We
used daily average temperature and PM2.5 concentrations as exposure indicators
and performed a time series analysis with a quasi-Poisson model, controlling for
possible confounders, such as time-relevant variables and dew point, for CVDs in
NYS, 1991-2006. Stratification parametric models were applied to evaluate the
modifying effects by seasons and temperature. Across the whole year, a 10-MUg/m3
increment in PM2.5 concentration accounted for a 1.37% increase in CVDs (95%
confidence interval (CI): 0.90%, 1.84%) in New York City, Long Island & Hudson.
The PM2.5 effect was strongest in winter, with an additional 2.06% (95% CI:
1.33%, 2.80%) increase in CVDs observed per 10-MUg/m3 increment in PM2.5.
Temperature modified the PM2.5 effects on CVDs, and these modifications by
temperature on PM2.5 effects on CVDs were found at low temperature days. These
associations were heterogeneous across four PM2.5 concentration regions. PM2.5
was positively associated with CVD hospitalizations. The short-term PM2.5 effect
varied with season and temperature levels, and stronger effects were observed in
winter and at low temperature days.
PMID- 27863874
TI - Successful autologous haematopoietic stem cell transplantation for refractory
myasthenia gravis - a case report.
AB - Myasthenia gravis (MG) is an autoimmune disease, with immune reactivity against
the post-synaptic endplate of the neuromuscular junction. Apart from symptomatic
treatment with choline esterase blockers, many patients also require
immunomodulatory treatment. Despite existing treatment options, some patients are
treatment refractory. We describe a patient with severe MG refractory to
corticosteroids, four oral immunosuppressants, cyclophosphamide, rituximab and
bortezomib who was treated with autologous haematopoietic stem cell
transplantation. Two years after this, the patient has significantly improved in
objective tests and in quality of life and leads an active life. Diplopia is her
only remaining symptom and she is completely free of medication for MG. We
believe that autologous haematopoietic stem cell transplantation can be an
effective therapeutic option for carefully selected cases of severe, treatment
refractory MG.
PMID- 27863875
TI - Knowledge of carrier status and barriers to testing among mothers of sons with
Duchenne or Becker muscular dystrophy.
AB - Our study objective was to survey female carriers for Duchenne and Becker
muscular dystrophy to identify barriers to carrier testing and the impact of
carrier risk knowledge on cardiac and reproductive health management. We surveyed
women who have or had biological sons with Duchenne or Becker muscular dystrophy
and were enrolled in the US DuchenneConnect patient registry, with questions
assessing knowledge of carrier status and recurrence risk, knowledge of care
standards for carriers, and barriers to testing. Of the 182 eligible respondents,
25% did not know their carrier status and 14% incorrectly classified themselves
as not at risk. Cost of testing was the most commonly identified barrier to
testing. Women reporting unknown carrier status were 13 times as likely to
express uncertainty regarding their recurrence risk compared to women reporting
positive carrier status. 37% of women at an increased risk for cardiomyopathy had
never had an echocardiogram. Women who were certain of their positive carrier
status were twice as likely to have had an echocardiogram in the last five years
compared to women with unknown carrier status. Future research on reducing
barriers to counseling and carrier testing, such as cost, may improve care
standard adherence.
PMID- 27863876
TI - Scoping review: The use of early warning systems for the identification of in
hospital patients at risk of deterioration.
AB - INTRODUCTION: Early warning systems (EWS) were developed as a means of alerting
medical staff to patient clinical decline. Since 85% of severe adverse events are
preceded by abnormal physiological signs, the patient bed-side vital signs
observation chart has emerged as an EWS tool to help staff identify and quantify
deteriorating patients. There are three broad categories of patient observation
chart EWS: single or multiple parameter systems; aggregated weighted scoring
systems; or combinations of single or multiple parameter and aggregated weighted
scoring systems. OBJECTIVE: This scoping review is an overview of quantitative
studies and systematic reviews examining the efficiency of the adult EWS charts
in the recognition of in-hospital patient deterioration. METHOD: A broad search
was undertaken of peer-reviewed publications, official government websites and
databases housing research theses, using combinations of keywords and phrases.
DATA SOURCES: CINAHL with full text; MedLine, PsycINFO, MasterFILE Premier,
GreenFILE and ScienceDirect. Also, the Cochrane Library database, Department of
Health government websites and Ethos, ProQuest and Trove databases were searched.
EXCLUSIONS: Paediatric, obstetric and intensive care studies, studies undertaken
at the point of hospital admission or pre-admission, non-English publications and
editorials. RESULTS: Five hundred and sixty five publications, government
documents, reports and theses were located of which 91 were considered and 21
were included in the scoping review. Of the 21 publications eight studies
compared the efficacy of various EWS and 13 publications validated specific EWS.
CONCLUSIONS: There is low level quantitative evidence that EWS improve patient
outcomes and strong anecdotal evidence that they augment the ability of the
clinical staff to recognise and respond to patient decline, thus reducing the
incidence of severe adverse events. Although aggregated weighted scoring systems
are most frequently used, the efficiency of the specific EWS appears to be
dependent on the patient cohort, facilities available and staff training and
attitude. While the review demonstrates support for EWS, researchers caution that
given the contribution of human factors to the EWS decision-making process,
patient EWS charts alone cannot replace good clinical judgment.
PMID- 27863877
TI - Pro: Inhaled Pulmonary Vasodilators Should Be Used Routinely in the Management of
Patients Undergoing Lung Transplantation.
PMID- 27863878
TI - A Hazard of Transesophageal Echocardiography in a Neonate.
PMID- 27863879
TI - Settling velocity of microplastic particles of regular shapes.
AB - Terminal settling velocity of around 600 microplastic particles, ranging from 0.5
to 5mm, of three regular shapes was measured in a series of sink experiments:
Polycaprolactone (material density 1131kgm-3) spheres and short cylinders with
equal dimensions, and long cylinders cut from fishing lines (1130-1168kgm-3) of
different diameters (0.15-0.71mm). Settling velocities ranging from 5 to 127mms-1
were compared with several semi-empirical predictions developed for natural
sediments showing reasonable consistency with observations except for the case of
long cylinders, for which the new approximation is proposed. The effect of
particle's shape on its settling velocity is highlighted, indicating the need of
further experiments with real marine microplastics of different shapes and the
necessity of the development of reasonable parameterization of microplastics
settling for proper modeling of their transport in the water column.
PMID- 27863880
TI - Fractionation of heavy metals in sediments and assessment of their availability
risk: A case study in the northwestern of Persian Gulf.
AB - Sequential extraction procedure was applied to determine the chemical forms of
heavy metals in sediments in order to assess their mobility and availability in
the aquatic environment. The mean concentrations of Cr, Ni, Pb, Cd, Cu and Zn in
exchangeable and carbonate fractions were 14.9, 30.9, 17, 0.37, 4.4 and
14.4mg/kg, respectively. Based on the sediments quality guidelines (SQGs), the
adverse biological effects caused by Ni, can occur frequently across the study
area. Risk assessment code (RAC) suggested that the Cd has the highest level of
environmental risk compared with other studied metals. Modified risk assessment
code (mRAC) demonstrated a high potential adverse effect in the many of sampling
sites. According to the fractionation results, the concentration of Ni in
exchangeable and carbonate fractions was very considerable and more than other
metals, hence, the potential risk of nickel release can be much more than other
investigated metals.
PMID- 27863881
TI - Isolation and characterization of a high-efficiency erythromycin A-degrading
Ochrobactrum sp. strain.
AB - In this work, Erythromycin A(EA)- degrading bacteria was isolated from the
contaminated soil obtained from a pharmaceutical factory in China. The isolate
designated as strain WX-J1 was identified as Ochrobactrum sp. by sequence
analysis of its 16S rDNA gene. It can grow in a medium containing EA as the sole
source of carbon and its optimal growth pH and temperature were 6.5 and 32
degrees C, respectively. Under these conditions, when the initial Erythromycin A
concentration was 100mg.L-1, 97% of Erythromycin A has been degraded. HPLC-MS
analyses indicated that Erythromycin A degradation produced intermediates
contained the following three substances: 3-depyranosyloxy erythromycin A, 7,12
dyhydroxy-6-deoxyerythronolide B, 6-deoxyerythronolide B and propionaldehyde.
Since Erythromycin A-degrading Ochrobactrum sp. strain rapidly degraded
Erythromycin A, this strain might be useful for bioremediation purposes.
PMID- 27863882
TI - Chemical composition of two mineralogically contrasting Arctic bivalves' shells
and their relationships to environmental variables.
AB - The main goal of this study was to determine the concentrations of trace elements
in the mineralogically contrasting shells of two Arctic bivalves: Chlamys
islandica and Ciliatocardium ciliatum. Aragonite shells seem to be more
susceptible to the binding of metal ions, which is most likely a result of their
crystal lattice structure. We suggest that less biologically controlled aragonite
mineralization tends to incorporate more metal impurities into the crystal
lattice in waters with a lower pH, where metal ions are more available. Higher
concentrations of impurities may further increase the lattice distortion causing
lower crystal lattice stability and higher susceptibility to dissolution.
Calcitic shells seem to be less prone to bind metal ions than aragonite shells
most likely because under strict biological control, the uptake of ions from
ambient seawater is more selective; thus, the final crystal lattice is less
contaminated by other metals and is more resistant to dissolution.
PMID- 27863883
TI - Polymer/reduced graphene oxide functionalized sponges as superabsorbents for oil
removal and recovery.
AB - Polyurethane dish-washing (PU-DW) sponges are functionalized sequentially with
polyethylenimine (PEI) and graphene oxide (GO) to form PEI/reduced graphene oxide
(RGO) PU-DW sponges. The PEI/RGO PU-DW sponge consists of PEI/RGO sheets having
numerous pores, with diameters ranging from 236 to 254nm. To further enhance
hydrophobicity and absorption capacity of oil, PEI/RGO PU-DW sponge is further
coated with 20% phenyltrimethoxysilane (PTMOS). The PTMOS/PEI/RGO PU-DW sponge
absorbs various oils within 20s, with maximum absorption capacity values of 880%
and 840% for bicycle chain oil and motorcycle engine oil, respectively. The
absorbed oils were released completely by squeezing or immersed in hexane. The
PTMOS/PEI/RGO PU-DW sponge efficiently separates oil/water mixtures through a
flowing system. Having the advantages of faster absorption rate, reusability, and
low cost, the PTMOS/PEI/RGO PU-DW sponge holds great potential as a
superabsorbent for efficient removal and recovery of oil spills as well as for
the separation of oil/water mixtures.
PMID- 27863884
TI - Cultivation of activated sludge using sea mud as seed to treat industrial
phenolic wastewater with high salinity.
AB - A technique is proposed to treat saline hazardous wastewater by using marine
activated sludge, cultivated with sea mud as seed. Since the developed marine
activated sludge had phenol-tolerant microorganisms (MAS-1, MAS-2 and MAS-3)
which originated from the ocean, it was envisaged that these bacteria could
survive and breakdown phenol in saline environments. In this work, typical phenol
tolerant microorganisms were isolated from the marine activated sludge and
identified. After a hierarchical acclimation process, the marine activated sludge
was used to treat the industrial phenolic wastewater with high salinity. The
marine activated sludge was able to break down phenol and other organic
components effectively and efficiently in treating the wastewater with salinity
of 5.7% w/v. The results showed a high removal of phenol (99%), COD (80%) and NH3
N (68%).
PMID- 27863885
TI - Cell-type specific variation in the induction of ER stress and downstream events
in chikungunya virus infection.
AB - Over the last decade infections with the mosquito transmitted chikungunya virus
(CHIKV) have become a major worldwide concern, and considerable efforts have been
made in understanding the interaction of this virus with the host cell machinery.
Studies have documented the induction of the unfolded protein response (UPR), as
well as the induction of apoptosis and autophagy in response to CHIKV infection.
This study comparatively analysed these three processes in two cell lines, Hela
and HepG2. Infection of Hela cells was characterized by activation of the
PERK/eIF2alpha branch of the UPR, the induction of autophagy and early apoptosis,
while infection of HepG2 cells was characterized by activation of the IRE/XBP1
branch of the UPR, limited or no activation of autophagy and comparatively later
apoptosis. These results show that the specific cell context is an important
mediator of the host cell response to CHIKV infection.
PMID- 27863886
TI - Cognitive Control As a Double-Edged Sword.
AB - Cognitive control, the ability to limit attention to goal-relevant information,
aids performance on a wide range of laboratory tasks. However, there are many day
to-day functions which require little to no control and others which even benefit
from reduced control. We review behavioral and neuroimaging evidence
demonstrating that reduced control can enhance the performance of both older and,
under some circumstances, younger adults. Using healthy aging as a model, we
demonstrate that decreased cognitive control benefits performance on tasks
ranging from acquiring and using environmental information to generating creative
solutions to problems. Cognitive control is thus a double-edged sword - aiding
performance on some tasks when fully engaged, and many others when less engaged.
PMID- 27863888
TI - Lipoma arborescens in bilateral knee joints accompany gouty tophi: A case-based
review of the literature.
PMID- 27863887
TI - Comfort and acceptability of various immobilization positions using a shoulder
external rotation and abduction brace.
AB - BACKGROUND: Immobilization with shoulder braces is used for conservative
treatment of an initial shoulder dislocation. Various arm positions have been
investigated to determine optimal position in terms of the anatomical reduction
of the Bankart lesion. Recently, the effect of immobilization in shoulder
abduction as well as in external rotation has been reported. However, there are
few studies assessing subjects' acceptability of the brace in various arm
positions. We hypothesized that a certain arm position regarding abduction or
external rotation for immobilization would induce significant discomfort during
activities of daily living. METHODS: A dominant arm of 20 healthy participants
was immobilized with a shoulder brace in 4 different positions; adduction and
internal rotation (Add-IR), adduction and external rotation (Add-ER), 30 degrees
of abduction and 30 degrees of external rotation (Abd-30ER), and 30 degrees of
abduction and 60 degrees of external rotation (Abd-60ER). After completing
immobilization for 24 h, subjects were asked to assess the discomfort of bracing
for overall and individual activities, using a visual analogue scale. Data were
compared among the four positions. RESULTS: For overall activities and several
activities (eating, reading books, and removing and putting on pants), Abd-60ER
was significantly more uncomfortable than adducted arm positions. Abd-30ER did
not show any differences compared to the other arm positions. CONCLUSION:
Immobilization in abduction and external rotation seems to be acceptable although
the arm position in 30 degrees of abduction and 60 degrees of external rotation
is less comfortable than the others. Our results might be useful in determining
and developing the ideal shoulder brace which could keep patients' compliance and
improve their outcomes.
PMID- 27863889
TI - Same-Day Discharge in Laparoscopic Acute Non-Perforated Appendectomy.
AB - BACKGROUND: Small studies done during the past decade have demonstrated same-day
discharge after appendectomy as an option for non-perforated appendicitis. Here
we have examined a large cohort to confirm that same-day discharge in acute non
perforated appendicitis is a safe option. STUDY DESIGN: This was a retrospective
study of patients from 14 Southern California Region Kaiser Permanente medical
centers. All patients older than 18 years of age with acute, non-perforated
appendicitis who underwent a laparoscopic appendectomy between 2010 and 2014 were
included. We compared patients discharged on the day of surgery with patients
hospitalized for 1 night. We examined readmission rates, complication rates,
postoperative emergency department visits, postoperative diagnostic or
therapeutic radiology visits, reoperations, and cost of treatment. RESULTS: The
cohort was composed of 12,703 patients; 6,710 patients were in the same-day
discharge group and 5,993 patients were in the hospitalized group. Patients in
the same-day discharge group had a lower rate of readmission within 30 days when
compared with the hospitalized group (2.2% vs 3.1%; p < 0.005). In both groups,
postoperative rates of visits to emergency or radiology department for diagnostic
or therapeutic imaging studies were statistically similar. Postoperative general
surgery department visits were slightly higher in the hospitalized group (85% vs
81%; p < 0.001). CONCLUSIONS: Adult patients with acute, non-perforated
appendicitis can be discharged safely on the day of surgery without higher rates
of postoperative complication or readmission rates compared with those
hospitalized after surgery. In addition, same-day discharge in this patient group
is cost-effective.
PMID- 27863890
TI - Normal values of cervical vertebral measurements according to age and sex in CT.
AB - INTRODUCTION: Atlantodental interval (ADI), basion-dental interval (BDI) and the
thickness of prevertebral soft tissue (TOPST) measured in lateral cervical
radiographs were reported to be useful indicators and indirect signs of
underlying cervical spine injuries. However, cervical computed tomography (MDCT)
is the first method of imaging used in all trauma patients and upper normal
limits (UNLs) of cervical distances according to age and sex are undetermined.
Therefore, we aimed to calculate these metrics. METHODS: 500 adult trauma
patients with cervical MDCT at the time of admission were retrospectively
selected. ADI, BDI, and TOPSTs were measured by two blinded researchers. RESULTS:
488 cervical spine CT scans were reported to be normal and 12 has pathological
findings. Mean ADI, BDI and TOPST of C1, C2, C6 and C7 were statistically
significantly wider in males. In females, ADI and BDI were significantly narrower
with the increase in age. In males, only ADI was significantly narrower, and
TOPST of C6 and C7 vertebra were significantly wider with the increase in age. We
found the optimal UNLs as follows: ADI 2.5mm, BDI 8.5mm, C1 6.5mm, C2 5.7mm, C3
6.3mm (6mm for C1-3 for practical purposes), C4 11.7 and C5-7 17mm. DISCUSSION:
We believe that the increase in distances with age may be affected by the height
losses of discs and vertebral bodies, formation of anterior osteophytes and
regional kyphosis by age. Those results were compatible with the previous
reports.
PMID- 27863891
TI - Splenic rupture after emesis: A rare finding of generalized abdominal pain.
PMID- 27863893
TI - Professor Carlo J. De Luca (1943-2016).
PMID- 27863892
TI - Pericarditis mimicking Brugada syndrome.
AB - INTRODUCTION: Brugada syndrome (BrS) is a genetic heart disorder due to
alteration of the ion channels function that causes an impaired in the cardiac
conduction system. It is characterized by an abnormal electrocardiogram pattern
and may be complicated by malignant ventricular arrhythmias. Pericarditis is an
inflammation of the pericardium and 90% of isolated cases of acute pericarditis
are idiopathic or viral. Acute pericarditis may appears with chest pain, fever,
pericardial friction rub, and cardiac tamponade. Moreover, widespread ST segment
changes occur due to involvement of the underlying epicardium. CASE REPORT: A 27
year-old man was admitted to the Emergency Department of the .... Hospital due to
fatigue and chest discomfort. Laboratory findings showed that WBC count and C
reactive protein were increased. Echocardiographic finding was normal. The
patient was admitted with a diagnosis of pericarditis. Electrocardiogram (ECG)
showed a "saddle back"-type ST elevation in leads V2, recognised as type 2
Brugada pattern. The ECG normalized within a few days after the beginning of anti
inflammatory therapy and the follow-up was uneventful. Based on findings in our
patient and data from literature, we hypothesize that the patient developed a
Brugada ECG pattern due the pericarditis. CONCLUSIONS: Our case report shows that
the pericarditis may mimic BrS. Moreover, it is important to underline that a
Brugada ECG pattern should only be considered as a sign of electrical heart
disease but detailed diagnostic tests are anyway needed.
PMID- 27863894
TI - Precise measurement of scleral radius using anterior eye profilometry.
AB - PURPOSE: To develop a new and precise methodology to measure the scleral radius
based on anterior eye surface. METHODS: Eye Surface Profiler (ESP, Eaglet-Eye,
Netherlands) was used to acquire the anterior eye surface of 23 emmetropic
subjects aged 28.1+/-6.6years (mean+/-standard deviation) ranging from 20 to 45.
Scleral radius was obtained based on the approximation of the topographical
scleral data to a sphere using least squares fitting and considering the axial
length as a reference point. To better understand the role of scleral radius in
ocular biometry, measurements of corneal radius, central corneal thickness,
anterior chamber depth and white-to-white corneal diameter were acquired with
IOLMaster 700 (Carl Zeiss Meditec AG, Jena, Germany). RESULTS: The estimated
scleral radius (11.2+/-0.3mm) was shown to be highly precise with a coefficient
of variation of 0.4%. A statistically significant correlation between axial
length and scleral radius (R2=0.957, p<0.001) was observed. Moreover, corneal
radius (R2=0.420, p<0.001), anterior chamber depth (R2=0.141, p=0.039) and white
to-white corneal diameter (R2=0.146, p=0.036) have also shown statistically
significant correlations with the scleral radius. Lastly, no correlation was
observed comparing scleral radius to the central corneal thickness (R2=0.047,
p=0.161). CONCLUSIONS: Three-dimensional topography of anterior eye acquired with
Eye Surface Profiler together with a given estimate of the axial length, can be
used to calculate the scleral radius with high precision.
PMID- 27863895
TI - Paraoxonase 1 (Q192R) gene polymorphism, coronary heart disease and the risk of a
new acute coronary event.
AB - INTRODUCTION: Paraoxonase 1 (PON1) plays a major role in the oxidation of low
density lipoprotein and in the prevention of coronary atherogenesis. In this
context, coding region polymorphisms of PON1 gene, responsible for the enzyme
activity, has become of interest as a marker for atherogenesis. METHODS: A study
and follow-up was conducted on 529 patients with an acute coronary event in order
to assess the association between the PON1 Q192R (rs662;A/G) polymorphism, the
type of acute coronary syndrome, cardiovascular risk factors (arterial
hypertension, diabetes mellitus, dyslipidaemia, and smoking), the extent and
severity of coronary atherosclerosis, and the medium-term clinical follow-up.
RESULTS: The QQ genotype was found in 245 (46.3%) patients, with 218 (41.2%)
patients showing the QR genotype, and 66 (14.5%) patients had the RR genotype. No
significant differences were found between the QQ and QR/RR genotypes as regards
the clinical characteristics, the analytical data, and the angiographic
variables. Similarly, Kaplan-Meier survival analysis showed no significant
differences in presenting with a new acute coronary event (p=0.598), cardiac
mortality (p=0.701), stent thrombosis (p=0.508), or stent re-stenosis (p=0.598)
between QQ and QR/RR genotypes during the follow-up period (3.3+/-2.2 years).
CONCLUSIONS: In patients with an acute coronary syndrome, the PON1 Q192R
genotypes did not influence the risk of suffering a new acute coronary event
during the medium-term follow-up.
PMID- 27863897
TI - Fishing and the Radiologist.
PMID- 27863896
TI - [Chronic kidney disease and dyslipidaemia].
AB - Chronic kidney disease (CKD) has to be considered as a high, or even very high
risk cardiovascular risk condition, since it leads to an increase in
cardiovascular mortality that continues to increase as the disease progresses. An
early diagnosis of CKD is required, together with an adequate identification of
the risk factors, in order to slow down its progression to more severe states,
prevent complications, and to delay, whenever possible, the need for renal
replacement therapy. Dyslipidaemia is a factor of the progression of CKD that
increases the risk in developing atherosclerosis and its complications. Its
proper control contributes to reducing the elevated cardiovascular morbidity and
mortality presented by these patients. In this review, an assessment is made of
the lipid-lowering therapeutic measures required to achieve to recommended
objectives, by adjusting the treatment to the progression of the disease and to
the characteristics of the patient. In CKD, it seems that an early and intensive
intervention of the dyslipidaemia is a priority before there is a significant
decrease in kidney function. Treatment with statins has been shown to be safe and
effective in decreasing LDL-Cholesterol, and in the reduction of cardiovascular
events in individuals with CKD, or after renal transplant, although there is less
evidence in the case of dialysed patients.
PMID- 27863898
TI - Automated 3D Rendering of Ribs in 110 Polytrauma Patients: Strengths and
Limitations.
AB - RATIONALE AND OBJECTIVES: To evaluate the strengths and limitations of a rib
unfolding software in a polytrauma context. MATERIALS AND METHODS: Chest computed
tomography (CT) examinations of 110 patients were reviewed for specific detection
of rib fractures using: (1) transverse CT sections +/- multiplanar reformattings
(ie, the standard of reference), and (2) unfolded rib images reconstructed by the
CT Bone Reading software with the possibility of rib analysis along their long
axis and creation of standard orthogonal views in different orientations of any
area suspected of fracture. RESULTS: The software provided complete
reconstruction of the whole rib cage in 94 patients (85.5%) and partially
incomplete reconstruction in 16 patients (14.5%). The percentage of ribs
inadequately reconstructed was 1.5% (40 of 2640 ribs), mainly related to unfused
epiphyses (13 of 40), costal hypoplasia (8 of 40), and vertebral fracture (6 of
40). The sensitivity and specificity in detecting rib fractures at a per-patient,
per-rib, and per-costal arc level ranged from 0.73 to 0.84 and 0.99 to 1,
respectively. At a costal arc level, the reader's misinterpretations accounted
for 67% (4 of 6) of false-positive and 24% (20/84) of false-negative results, and
interpretive difficulties were encountered for single-cortex fractures or
fractures at the extremities of the costal shaft. CONCLUSIONS: An accurate
diagnosis of rib fracture was achieved with the reading of unfolded rib images.
In a polytrauma context, the evaluated system could facilitate rib analysis.
PMID- 27863899
TI - CT Angiography: Post-processed Contrast Enhancement for Improved Detection of
Pulmonary Embolism.
AB - RATIONALE AND OBJECTIVES: The study aimed to improve the detection of pulmonary
embolism via an iodine contrast enhancement tool in patients who underwent
suboptimal enhanced computed tomography angiography (CTA). MATERIALS AND METHODS:
We evaluated the CT examinations of 41 patients who underwent CTA for evaluation
of the pulmonary arteries which suffered from suboptimal contrast enhancement.
The contrast enhancement of the reconstructed images was increased via a post
processing tool (vContrast). Image noise and contrast-to-noise ratio (CNR) were
assessed in eight different regions: main pulmonary artery, right and left
pulmonary arteries, right and left segment arteries, muscle, subcutaneous fat,
and bone. For subjective image assessment, three experienced radiologists
evaluated the diagnostic quality. RESULTS: While employing the post-processing
algorithm, the CNR for contrast-filled lumen and thrombus/muscle improves
significantly by a factor of 1.7 (CNR without vContrast = 8.48 +/- 6.79/CNR with
vContrast = 14.46 +/- 5.29) (P <0.01). No strengthening of artifacts occurred,
and the mean Hounsfield unit values of the muscle, subcutaneous fat, and the bone
showed no significant changes. Subjective image analysis illustrated a
significant improvement using post-processing for clinically relevant criteria
such as diagnostic confidence. CONCLUSIONS: vContrast makes CT angiograms with
inadequate contrast applicable for diagnostic evaluation, offering an improved
visualization of the pulmonary arteries. In addition, vContrast can help in the
significant reduction of the iodine contrast material.
PMID- 27863900
TI - Introduction to special issue.
PMID- 27863902
TI - Prediction and Prevention of Parasitic Diseases Using a Landscape Genomics
Framework.
AB - Substantial heterogeneity exists in the dispersal, distribution and transmission
of parasitic species. Understanding and predicting how such features are governed
by the ecological variation of landscape they inhabit is the central goal of
spatial epidemiology. Genetic data can further inform functional connectivity
among parasite, host and vector populations in a landscape. Gene flow correlates
with the spread of epidemiologically relevant phenotypes among parasite and
vector populations (e.g., virulence, drug and pesticide resistance), as well as
invasion and re-invasion risk where parasite transmission is absent due to
current or past intervention measures. However, the formal integration of spatial
and genetic data ('landscape genetics') is scarcely ever applied to parasites.
Here, we discuss the specific challenges and practical prospects for the use of
landscape genetics and genomics to understand the biology and control of
parasitic disease and present a practical framework for doing so.
PMID- 27863903
TI - TrypTag.org: A Trypanosome Genome-wide Protein Localisation Resource.
PMID- 27863904
TI - Exacerbating factors of itch in atopic dermatitis.
AB - Atopic dermatitis (AD) displays different clinical symptoms, progress, and
response to treatment during early infancy and after childhood. After the
childhood period, itch appears first, followed by formation of well-circumscribed
plaque or polymorphous dermatoses at the same site. When accompanied with
dermatitis and dry skin, treatment of skin lesions should be prioritized. When
itch appears first, disease history, such as causes and time of appearance of
itch should be obtained by history taking. In many cases, itch increases in the
evening when the sympathetic nerve activity decreased. Treatment is provided
considering that hypersensitivity to various external stimulations can cause
itch. Heat and sweating are thought to especially exacerbate itch. Factors
causing itch, such as cytokines and chemical messengers, also induce itch mainly
by stimulating the nerve. Scratching further aggravates dermatitis. Skin
hypersensibility, where other non-itch senses, such as pain and heat, are felt as
itch, sometimes occurs in AD. Abnormal elongation of the sensory nerve into the
epidermis, as well as sensitizing of the peripheral/central nerve, are possible
causes of hypersensitivity, leading to itch. To control itch induced by
environmental factors such as heat, treatment for dermatitis is given priority.
In the background of itch exacerbated by sweating, attention should be given to
the negative impact of sweat on skin homeostasis due to 1) leaving excess sweat
on the skin, and 2) heat retention due to insufficient sweating. Excess sweat on
the skin should be properly wiped off, and dermatitis should be controlled so
that appropriate amount of sweat can be produced. Not only stimulation from the
skin surface, but also visual and auditory stimulation can induce new itch. This
"contagious itch" can be notably observed in patients with AD. This article
reviews and introduces causes of aggravation of itch and information regarding
how to cope with such causes.
PMID- 27863905
TI - Eyes in the Chameleon Vine?
PMID- 27863907
TI - Evidence of epigenetic tags in cardiac fibrosis.
AB - In cardiac fibrosis, following an injury or a stress, non-functional fibrotic
tissue substitutes normal myocardium, thus leading to progressive heart failure.
Activated fibroblasts are principal determinants of cardiac fibrosis by producing
excessive fibrotic extracellular matrix and causing hypertrophy of
cardiomyocytes. Epigenetic changes, such as DNA methylation, histone
modifications, and miRNAs have been involved in these mechanisms. Therefore,
there is a strong interest in reverting such epigenetic transformations in order
to arrest myocardial fibrotic degeneration. Demethylating agents, such as 5-aza
2'-deoxycytidine, 5-azacytidine, some selective histone deacetylase inhibitors,
including mocetinostat, trichostatin A, and MPT0E014, have a direct action on
important inducers of cardiac fibrosis. Also dietary compounds, such as
resveratrol, can suppress the differentiation of fibroblasts to myofibroblasts.
Although in vivo and in vitro studies suggest specific epigenetic therapies to
treat cardiac fibrosis, the related clinical trials are still lacking. A better
understanding of the epigenetic effects of dietary compounds (e.g. curcumin and
green tea catechins) on the onset and progression of cardiac fibrosis, will allow
the identification of protective dietary patterns and/or the generation of novel
potential epidrugs.
PMID- 27863906
TI - Post-translational Control of Intracellular Pathogen Sensing Pathways.
AB - Mammalian cells recognize virus-derived nucleic acids using a defined set of
intracellular sensors including the DNA sensors cyclic GMP-AMP (cGAMP) synthase
(cGAS) and interferon gamma (IFNgamma)-inducible protein 16 (IFI16) as well as
viral RNA receptors of the retinoic acid-inducible gene I (RIG-I)-like receptor
(RLR) family. Following innate immune recognition, these sensors launch an immune
response that is characterized by the transcriptional upregulation of many
antiviral molecules, including proinflammatory cytokines, chemokines, and IFN
stimulated genes. Recent studies have demonstrated that the signal transduction
initiated by these sensors is sophisticatedly regulated by post-translational
modifications (PTMs) resulting in a robust yet 'tunable' cytokine response to
maintain immune homeostasis. Here we summarize recent advances in our
understanding of how PTMs and regulatory enzymes control the signaling activity
of RLRs, cGAS, and IFI16 as well as their proximal adaptor proteins.
PMID- 27863908
TI - Role of echocardiography for catheter-based management of valvular heart disease.
AB - Catheter-based treatment of valvular heart disease, such as transvalvular aortic
valve replacement (TAVR) or mitral clip procedure, has been increasingly accepted
as a treatment choice for the past several years. Such new treatment options have
been changing the management of patients with valvular heart disease drastically
while socio-economic factors regarding their application need to be taken into
consideration. The use of echocardiography, including transesophageal
echocardiography (TEE), for such catheter-based treatments is essential for the
success of the procedures. Severe hypotension after TAVR is a life-threatening
emergency. Rapid assessment and diagnosis in the catheterization or hybrid
laboratory is essential for safety and a positive outcome. Possible diagnoses in
this critical situation would include severe left ventricular dysfunction due to
coronary obstruction, cardiac tamponade, aortic rupture, acute severe aortic
and/or mitral valve regurgitation, and hypovolemia due to bleeding. Although new
types of TAVR valves reduce para-valvular aortic regurgitation (AR)
significantly, it is still important to judge the severity of para-valvular AR
correctly in the laboratory. As for mitral clip procedure, TEE is vital for
guiding and monitoring the entire process. Accurate identification of the
location and the geometry of the regurgitant orifice is necessary for proper
placement of the clip. Real-time 3D TEE provides helpful en face view of the
mitral valve and clip together to this end. Residual mitral regurgitation (MR)
after the first clip is not uncommon. Quick and precise imaging of the residual
MR (location and severity) with TEE is extremely important for the
interventionist to place the second clip and possibly third clip properly. After
the completion of the clip procedure, mitral valve stenosis and also iatrogenic
atrial septal defect need to be checked by TEE. Echocardiography, especially TEE,
is also vital for the success of other newer trans-catheter procedures such as
device closure of para-valvular MR of the artificial valve, valve in valve
procedure, and native valve replacement.
PMID- 27863909
TI - Low frequency of toothbrushing practices is an independent risk factor for
diabetes mellitus in male and dyslipidemia in female: A large-scale, 5-year
cohort study in Japan.
AB - BACKGROUND: We previously reported the association between toothbrushing
practices and diabetes mellitus (DM) and dyslipidemia (DL) in a cross-sectional
study. This study was conducted to clarify whether low frequency of toothbrushing
practices is an independent risk factor for DM and DL using a follow-up design.
METHODS: This study was a 5-year retrospective cohort study at St. Luke's
International Hospital, Tokyo, Japan. We analyzed study subjects between 30 and
85 years old in 2004, who underwent annual medical examination both in 2004 and
2009. We compared the cumulative incidences of developing DM, DL, hypertension
(HT), and hyperuricemia (HUA) between 2004 and 2009 among 3 groups: toothbrushing
practices 'after every meal,' 'at least once a day,' and 'less than once a day'.
Furthermore, we analyzed odds ratios (ORs) of risk for developing DM and DL by
sex after making adjustments for age, obesity, DM, DL, HT, and HUA between two
groups: 'after every meal' and 'not after every meal.' RESULTS: The number of
study subjects was 13,070. Of 13,070 study subjects, 575 had DM, 5118 had DL,
2599 had HT, and 1908 had HUA in 2004. We excluded the subjects with each disease
in 2004. The cumulative incidences (rates) of DM, DL, HT, and HUA between 2004
and 2009 were 318 (2.5%), 1454 (18.3%), 1108 (10.6%), and 489 (4.4%),
respectively. Toothbrushing practices 'not after every meal' was a significant
risk factor for developing DM in male [OR: 1.43; 95% confidence interval (CI),
1.040-1.970] and developing DL in female (OR: 1.18; 95% CI, 1.004-1.383) compared
with toothbrushing practices 'after every meal.' CONCLUSION: Toothbrushing
practices 'after every meal' prevented developing DM in males and DL in females
significantly. Toothbrushing practices may be beneficial to reduce developing
risk factors for cardiovascular disease.
PMID- 27863901
TI - A systematic review of the literature on disorders of sleep and wakefulness in
Parkinson's disease from 2005 to 2015.
AB - Sleep disorders are among the most common non-motor manifestations in Parkinson's
disease (PD) and have a significant negative impact on quality of life. While
sleep disorders in PD share most characteristics with those that occur in the
general population, there are several considerations specific to this patient
population regarding diagnosis, management, and implications. The available
research on these disorders is expanding rapidly, but many questions remain
unanswered. We thus conducted a systematic review of the literature published
from 2005 to 2015 on the following disorders of sleep and wakefulness in PD: REM
sleep behavior disorder, insomnia, nocturia, restless legs syndrome and periodic
limb movements, sleep disordered breathing, excessive daytime sleepiness, and
circadian rhythm disorders. We discuss the epidemiology, etiology, clinical
implications, associated features, evaluation measures, and management of these
disorders. The influence on sleep of medications used in the treatment of motor
and non-motor symptoms of PD is detailed. Additionally, we suggest areas in need
of further research.
PMID- 27863910
TI - Gabor frames for classification of paroxysmal and persistent atrial fibrillation
episodes.
AB - In this study, we propose a new classification method for early differentiation
of paroxysmal and persistent atrial fibrillation episodes, i.e. those which
spontaneously or with external intervention will return to sinus rhythm within 7
days of onset from the ones where the arrhythmia is sustained for more than 7
days. Today, clinicians provide patients classification once the course of the
arrhythmia has been disclosed. This classification problem is dealt with in this
study. We study a sparse representation of surface electrocardiogram signals by
means of Gabor frames and afterwards we apply a linear discriminant analysis.
Thus, we provide an early discrimination, obtaining promising performances on a
heterogeneous cohort of patients in terms of pharmacological treatment and state
of progression of the arrhythmia: 95% sensitivity, 82% specificity, 89% accuracy.
In this manner, the proposed method can help clinicians to choose the most
appropriate treatment using the electrocardiogram, which is a widely available
and non-invasive technique. This early differentiation is clinically highly
significant in order to choose optimal patients who may undergo catheter ablation
with higher success rates.
PMID- 27863911
TI - Crizotinib for ROS1 patients: One small step in biomarker testing, one giant leap
for advanced NSCLC patients.
PMID- 27863912
TI - Concerns About the "Methods" Sections of Reviewed Papers.
PMID- 27863913
TI - Commentary on "Randomised Controlled Trial: Potential Benefit of a Footplate
Neuromuscular Electrical Stimulation Device in Patients with Chronic Venous
Disease".
PMID- 27863914
TI - Cultural aspects and mythologies surrounding menstruation and abnormal uterine
bleeding.
AB - The objective of this chapter is to present an overview of how menstruation, a
normal bodily function, was and is perceived in various ethnic groups and
cultures in the world, from ancient mythology, historical, or traditional
practices to contemporary belief systems. Mythical tales about menstruation
abound in the legends and prehistory of ancient cultures. These tales
characterize menstrual blood variously as sacred, a gift from the gods, or a
punishment for sin, but it is almost always magical and powerful. In contrast,
most world religions view menstruation, with varying degrees of severity, as a
major problem, a sign of impurity and uncleanliness, and therefore, menstruating
women are isolated, prohibited from polluting the holy places, and shunned. Many
of these myths and cultural misperceptions persist to the present day, reflected
in a wide range of negative attitudes toward menstruation, which can have serious
and direct implications for reproductive health. In view of the increasingly
globalized nature of current clinical practice, it is crucial that health care
providers are familiar with existing cultural and social views and attitudes
toward the menstrual function. The ultimate goal is to be able to provide women
culturally sensitive and medically appropriate therapies for their menstrual
disorders. This biocultural approach to menstruation management is desirable in
contemporary medical practice.
PMID- 27863915
TI - Prenatal ultrasound monitoring of homozygous alpha0-thalassemia-induced fetal
anemia.
AB - A noninvasive approach by serial ultrasound examination at 12-15, 18, and 30
weeks of gestation can be used to exclude homozygous alpha0-thalassemia-induced
fetal anemia. At 12-15 weeks of gestation, the predictive values for the fetal
cardio-thoracic ratio were better than that for the placental thickness. At 16-20
weeks of gestation, measuring middle cerebral artery peak systolic velocity is
associated with a low false-positive rate. However, the false-positive rate of
this noninvasive approach can be about 3%, requiring an invasive test to confirm
the diagnosis. A false-negative may result in a delay in diagnosis. The success
of this noninvasive approach depends on an accurate measurement of the fetal
cardiothoracic ratio which can be improved by adequate training and subsequent
quality control. Currently, there is a lack of data reporting the performance of
a noninvasive approach before 12 weeks of gestation.
PMID- 27863916
TI - Structure-activity relationship study towards non-peptidic positron emission
tomography (PET) radiotracer for gastrin releasing peptide receptors: Development
of [18F] (S)-3-(1H-indol-3-yl)-N-[1-[5-(2-fluoroethoxy)pyridin-2
yl]cyclohexylmethyl]-2-methyl-2-[3-(4-nitrophenyl)ureido]propionamide.
AB - Gastrin-releasing peptide receptors (GRP-Rs, also known as bombesin 2 receptors)
are overexpressed in a variety of human cancers, including prostate cancer, and
therefore they represent a promising target for in vivo imaging of tumors using
positron emission tomography (PET). Structural modifications of the non-peptidic
GRP-R antagonist PD-176252 ((S)-1a) led to the identification of the fluorinated
analog (S)-3-(1H-indol-3-yl)-N-[1-[5-(2-fluoroethoxy)pyridin-2
yl]cyclohexylmethyl]-2-methyl-2-[3-(4-nitrophenyl)ureido]propionamide ((S)-1m)
that showed high affinity and antagonistic properties for GRP-R. This antagonist
was stable in rat plasma and towards microsomal oxidative metabolism in vitro.
(S)-1m was successfully radiolabeled with fluorine-18 through a conventional
radiochemistry procedure. [18F](S)-1m showed high affinity and displaceable
interaction for GRP-Rs in PC3 cells in vitro.
PMID- 27863917
TI - Pelvic fracture in multiple trauma: A 67-case series.
AB - INTRODUCTION: Severe pelvic trauma remains associated with elevated mortality,
largely due to hemorrhagic shock. OBJECTIVE: The main study objective was to test
for correlation between fracture type and mortality. The secondary objective was
to assess the efficacy in terms of mortality of multidisciplinary management
following a decision-tree in multiple trauma victims admitted to a level 1 trauma
center. MATERIAL AND METHODS: Between July 2011 and July 2013, 534 severe trauma
patients were included in a single-center continuous prospective observational
study. All patients with hemorrhagic shock received early treatment by pelvic
binder. Patients with active bleeding on full-body CT or persisting hemorrhagic
shock underwent arteriography with or without embolization. Pelvic trauma was
graded on the Tile classification. The principle end-point was mortality.
RESULTS: Median age was 40 years (range, 26-48 years), with a 79% male/female sex
ratio. Thirty-two of the 67 patients with pelvic trauma (48%) were in hemorrhagic
shock at admission. Median injury severity score (ISS) was 36 (range, 24-43). On
the Tile classification, 22 patients (33%) were grade A, 33 (49%) grade B and 12
(18%) grade C. Overall mortality was 19%, and 42% in case of hemorrhagic shock.
Mortality was significantly higher with Tile C than A or B (58% vs. 9.1% and
12.1%, respectively; P=0.001). CONCLUSION: Vertical shear fracture (Tile C) was
associated with greater mortality from hemorrhagic shock. LEVEL OF EVIDENCE: IV,
case series.
PMID- 27863918
TI - Discontinuation of Plavix(r) (clopidogrel) for hip fracture surgery. A systematic
review of the literature.
AB - : The elderly population is increasing worldwide, associated with an increase in
diseases related to aging, such as hip fractures. These patients are sometimes
treated with clopidogrel. There are no arguments at present to clearly determine
the risk/benefit ratio of early surgical management of traumatic hip fractures in
patients treated with clopidogrel (perioperative blood loss, postoperative
complications). The goal of this systematic review of the literature was to show
that early surgical management (<48h) of patients treated with clopidogrel does
not increase postoperative morbidity or mortality. Systematic review of the
literature: level of evidence IV. A bibliographic search was performed in July
2015 in PubMed, Embase and Cochrane databases using the MeSh keywords
"Clopidogrel or Plavix(r)" AND "hip fracture". Two of the authors analyzed 48
articles based on the title and abstract. Twenty-one articles were selected and
read completely with an analysis of the references. Nine articles were chosen.
Early surgical management (<48h) of patients receiving clopidogrel did not
increase mortality at 30days, 3months or 1 year (between 25 and 30% mortality at
1 year) and did not result in an increase in perioperative bleeding. The
risk/benefit ratio of early surgical management of patients with hip fractures
receiving clopidogrel is good; morbidity and mortality are not increased in these
patients if surgery is performed immediately or less than 48h after admission.
LEVEL OF EVIDENCE: IV.
PMID- 27863919
TI - Direct lateral vs posterolateral approach to hemiarthroplasty for femoral neck
fractures.
AB - INTRODUCTION: Adopting the direct lateral (DL) instead of the posterolateral (PL)
approach in hip arthroplasty for femoral neck fracture (FNF) patients could lower
the rate of prosthetic dislocation. However, little is known about how the
approach influences the functional outcome. HYPOTHESIS: We hypothesized that both
approaches would give comparable results. MATERIAL AND METHODS: In a prospective
cohort study, we enrolled 185 hips (183 patients, 128 women, median age 84 years)
with a displaced FNF. Subjects were assigned to treatment using DL (n=102) or PL
approach (n=83) with a hemiarthroplasty (HA). Functional outcome was assessed by
Harris Hip Score (HHS), Western Ontario and McMaster Universities Arthritis
(WOMAC) index, pain numeric rating scale (PNRS) for pain, mortality and hip
complications. Patients were followed-up after 1 year. RESULTS: The HHS was 71
(SD 18) in the DL group and 72 (SD 17) in the PL group (P=0.59). We found no
difference in WOMAC, PNRS and mortality. Seven patients (6.9%) in the DL group
and 11 patients (13.3%) in the PL group had undergone a major reoperation
(adjusted OR 0.51; 95% CI, 0.18-2.07; P=0.23). DISCUSSION: In this prospective
cohort study, patients treated with HA for FNF using either the DL or PL
approaches had comparable functional outcome after 1 year. The PL approach had a
tendency towards a higher reoperation rate. TYPE OF STUDY: Prospective cohort
study. LEVEL OF PROOF: Level 2.
PMID- 27863920
TI - Haplotype data for 17 Y-STR loci in the population of Madhya Pradesh, India.
PMID- 27863921
TI - Unhealthy Weight Management Practices and Non-medical Use of Prescription Drugs.
AB - INTRODUCTION: Non-medical use of prescription drugs (NMUPD) has reached epidemic
proportions in the U.S. With approximately one in five high school students
engaging in NMUPD, it is important to understand behavioral correlates. METHODS:
Data were combined from the 2011 and 2013 cycles of the Youth Risk Behavior
Survey, a nationally representative, cross-sectional survey. After restricting
the analytic sample to students who reported a weight loss goal of either staying
the same weight or losing weight, logistic regression models were used to
estimate adjusted prevalence ratios and 95% CIs for associations between
unhealthy weight management practices (UWMPs) and lifetime NMUPD. Individual
UWMPs-fasting; taking diet pills, powders, or liquids without a doctor's advice;
and vomiting or taking laxatives-and total number of UWMPs were examined. Data
were analyzed in 2016. RESULTS: UWMPs were more prevalent among female students
(21.1% vs 10.7% for fasting; 7.5% vs 5.2% for taking diet pills, powders, or
liquids; and 7.6% vs 3.2% for vomiting or taking laxatives). Significant
associations between individual UWMPs and NMUPD and between the number of UWMPs
and NMUPD were observed. DISCUSSION: UWMPs were associated with NMUPD. Health
educators in the school setting, as well as other health professionals who
provide services to an adolescent population, can focus on healthy weight
management strategies, and other substance-specific messages. CONCLUSIONS: The
association between UWMPs and NMUPD may reflect a constellation of problem
behaviors exhibited among some adolescents.
PMID- 27863922
TI - Dual energy CT to reveal pseudo leakage of frozen elephant trunk.
PMID- 27863923
TI - Pretreatment Red Blood Cell Total Folate Concentration Is Associated With
Response to Pemetrexed in Stage IV Nonsquamous Non-Small-cell Lung Cancer.
AB - INTRODUCTION: Pemetrexed inhibits folate-dependent enzymes involved in pyrimidine
and purine synthesis. Previous studies of genetic variation in these enzymes as
predictors of pemetrexed efficacy have yielded inconsistent results. We
investigated whether red blood cell (RBC) total folate, a phenotypic rather than
genotypic, marker of cellular folate status was associated with the response to
pemetrexed-based chemotherapy in advanced nonsquamous non-small-cell lung cancer
(NSCLC). MATERIALS AND METHODS: We conducted a prospective cohort study of
patients with stage IV nonsquamous NSCLC receiving first-line chemotherapy
containing pemetrexed. The pretreatment RBC total folate level was quantified
using liquid chromatography mass spectrometry. We then compared the objective
response rate (ORR) between patients with RBC total folate concentrations greater
than and less than an optimal cutoff value determined from the receiver operating
characteristic curve. A logistic regression model was used to adjust for age,
sex, and the use of bevacizumab. RESULTS: The ORR was 62% (32 of 52 patients).
Receiver operating characteristic analysis was used to establish that a RBC total
folate cutoff value of 364.6 nM optimally discriminated between pemetrexed
responders and nonresponders. Patients with RBC total folate < 364.5 nM had an
ORR of 27% compared with 71% for patients with RBC total folate > 364.5 nM (P =
.01). This difference persisted after adjusting for age, sex, and the use of
bevacizumab (odds ratio, 0.07; 95% confidence interval, 0.01-0.57; P = .01).
CONCLUSION: A low pretreatment RBC total folate was associated with an inferior
response to pemetrexed-based chemotherapy in stage IV nonsquamous NSCLC. Larger,
multicenter studies are needed to validate RBC total folate as a predictive
marker of pemetrexed response.
PMID- 27863924
TI - Successful Use of Afatinib After Erlotinib-induced Pneumonitis in a Patient With
Epidermal Growth Factor Receptor-mutant Lung Cancer.
PMID- 27863925
TI - Number and function of circulating endothelial progenitor cells in patients with
primary Budd-Chiari syndrome.
AB - BACKGROUND AND AIM: Primary Budd-Chiari syndrome (BCS) is associated with
vascular endothelial injury. Circulating endothelial progenitor cells (EPCs)
provide an endogenous mechanism to repair endothelial injury. This study
investigated the levels and functionality of EPCs in patients with primary BCS.
METHODS: EPCs (CD34+/CD133+/KDR+) were quantified in 82 patients with primary BCS
(inferior vena cava type: n=19; hepatic vein type: n=22; and mixed type: n=41),
10 cirrhosis controls (CC group) and 10 age-matched healthy controls (HC group),
using flow cytometry. EPCs proliferation was detected by MTT assay, adhesion by
adhesion activity assay, and migration capacity by Transwell assay. RESULTS: EPCs
levels were significantly lower in the BCS group (0.020+/-0.005%) than in the CC
and HC groups (0.260+/-0.201%, 0.038+/-0.007%; P<0.001 for each). EPCs cultured
in vitro from BCS and CC groups had, respectively, lower proliferation activity
(0.20+/-0.04, 0.23+/-0.06 vs 0.58+/-0.07, each P<0.001), adhesion activity
(15.8+/-1.7, 18.2+/-4.3 vs 35.0+/-2.5 cells/random microscopic field (RMF), each
P<0.001) and migration activity (16.1+/-1.5, 16.7+/-3.0 vs 23.9+/-2.0 cells/RMF,
each P<0.001) than in the HC group. EPCs functionality did not significantly
differ between the BCS and CC groups. The numbers and functions of EPCs did not
significantly differ among patients with inferior vena cava type, hepatic vein
type and mixed type of BCS. CONCLUSION: Patients with primary BCS had lower EPCs
levels, with less proliferation, adhesion and migration activities. These
findings suggest that lower levels of less functional EPCs may be associated with
venous occlusion in primary BCS patients.
PMID- 27863926
TI - Combination of temsirolimus and adriamycin exhibits an enhanced antitumor effect
in hepatocellular carcinoma.
AB - OBJECTIVE: The oncogenic PI3K/Akt/mTOR pathway is frequently activated in
hepatocellular carcinoma (HCC). The aim of this study is to investigate the anti
HCC effect of combination of temsirolimus, an mTOR inhibitor, and adriamycin, a
routinely used drug for treating HCC. METHODS AND MATERIALS: Proliferation of HCC
cells exposure to temsirolimus, adriamycin, and their combination was determined
using MTT assay in vitro as well as in a nude mice model in vivo. Cell apoptosis
was examined using flow cytometry. Expressions of apoptosis-related proteins
including caspase-9, -3, PARP, Bax, and Bcl-2 were determined using Western
blotting. RESULTS: Temsirolimus plus adriamycin showed an enhanced inhibitory
effect on cell proliferation compared to temsirolimus or adriamycin in HCC cells
PLC/PRF/5, BEL7402, and HuH7 in vitro. The drug combination solicited a higher
percentage of apoptosis cells and induced higher levels of cleaved caspase-9, -3,
and PARP than temsirolimus or adriamycin used alone. The ratio of Bax/Bcl-2 was
increased in cells exposed to the combination treatment. The enhanced anti-tumor
effect of this drug combination was verified in a nude mice model. We also
observed that half doses of temsirolimus and adriamycin used in combination
achieved a comparable tumor growth inhibitor rate with full dose of temsirolimus
or adriamycin used alone. CONCLUSION: Temsirolimus plus adriamycin exhibited an
enhanced antitumor effect in HCC and this drug combination might have a potential
value in treatment of HCC. Studies are warranted to comprehensively evaluate the
efficacy and safety of this regimen in the future.
PMID- 27863927
TI - Concomitant injury of the annular ligament in fractures of the coronoid process
and the supinator crest.
AB - BACKGROUND: Fractures of the coronoid process or the supinator crest, as well as
arthroscopic resection of osteophytes around the coronoid process, can endanger
the attachment of the annular ligament (AL) to the proximal ulna. The purpose of
this study was to investigate the corresponding insertional areas of the AL
within this context. METHODS: In 30 embalmed human cadaveric elbow specimens, the
insertional area of the AL at the anterior and posterior margin of the sigmoid
notch was characterized. The distances and relations of the AL insertion
anteriorly to the coronoid surface, the coronoid tip, and the depth of the
coronoid process, as well as posteriorly to the supinator crest, were evaluated
macroscopically. RESULTS: The mean distance of the anterior insertion area was
1.9 +/- 0.6 mm (range, 1.0-3.1 mm) to the coronoid articular surface and 6.2 +/-
1.7 mm (range, 2.9-10.2 mm) to the tip of the coronoid. The distance of the
anterior insertion in relation to the depth of the coronoid process was 44% +/-
11% (range, 30%-69%). The distance of the posterior insertion area to the level
of the sigmoid notch measured from 3.5 +/- 1.5 mm (range, 0.5-6.5 mm) to 17.7 +/-
2.9 mm (range, 13.1-25.4 mm). CONCLUSIONS: Coronoid fractures involving 44% or
more of the coronoid process and anterolaterally oriented fractures where one
third of the anterolateral facet is affected are accompanied by a complete
anterior bony disruption of the AL. Arthroscopic resection of the coronoid tip
should be limited to 1 mm distal to the coronoid articular surface to avoid
injury to the AL. Fractures of the upper half of the supinator crest place the AL
at risk at its posterior insertion.
PMID- 27863928
TI - A scoping review of the role of LEGO(r) therapy for improving inclusion and
social skills among children and youth with autism.
AB - BACKGROUND: LEGO(r) therapy uses children's natural interest in play to help
motivate behavioural change and may be an effective teaching tool to increase
social competency and communication skills. Although the literature is growing it
has not been synthesized. OBJECTIVES: To review the literature on the role of
LEGO(r) therapy on social skills and inclusion among children and youth with
Autism Spectrum Disorder (ASD). METHODS: A scoping review was conducted,
involving comprehensive searches of international databases. Eligible articles
included: (a) youth aged 19 or younger, with ASD; (b) empirical research on
LEGO(r) therapy interventions; (c) published from 1996 to 2016 in a peer-reviewed
journal, conference proceedings, or dissertation. RESULTS: Of the 6964 studies
identified, 15 articles-involving 293 participants, aged 5-16 (mean age 8.7
years), across five countries-met the inclusion criteria. Although the outcomes
of the LEGO(r) therapy varied across the studies, 14 studies reported at least
one improvement in social and communication skills (e.g., building friendships,
improved social interactions and social competence), ASD-specific behaviors,
belonging, family relationships, coping, and reductions in playing alone.
CONCLUSIONS: Although LEGO(r) therapy shows promise as an intervention for
children and youth with ASD, more rigorously designed studies are needed to fully
understand its impact.
PMID- 27863930
TI - [An atypical presentation of human brucellosis].
PMID- 27863929
TI - Global Trends in Adolescent Fertility, 1990-2012, in Relation to National Wealth,
Income Inequalities, and Educational Expenditures.
AB - PURPOSE: National wealth, income inequalities, and expenditures on education can
profoundly influence the health of a nation's women, children, and adolescents.
We explored the association of trends in national socioeconomic status (SES)
indicators with trends in adolescent birth rates (ABRs), by nation and region.
METHODS: An ecologic research design was employed using national-level data from
the World Bank on birth rates per 1,000 women aged 15-19 years, national wealth
(per capita gross domestic product or GDP), income inequality (Gini index), and
expenditures on education as a percentage of GDP (EduExp). Data were available
for 142 countries and seven regions for 1990-2012. Multiple linear regression for
repeated measures with generalized estimating equations was used to examine
independent associations. RESULTS: ABRs in 2012 varied >200-fold-with the highest
rates in Sub-Saharan Africa and lowest rates in the Western Europe/Central Asia
region. The median national ABR fell 40% from 72.4/1,000 in 1990 to 43.6/1,000 in
2012. The largest regional declines in ABR occurred in South Asia (70%),
Europe/Central Asia (63%), and the Middle East/North Africa (53%)-regions with
lower income inequality. In multivariable analyses considering change over time,
ABRs were negatively associated with GDP and EduExp and positively associated
with greater income inequality. CONCLUSIONS: ABRs have declined globally since
1990. Declines closely followed rising socioeconomic status and were greater
where income inequalities were lower in 1990. Reducing poverty and income
inequalities and increasing investments in education should be essential
components of national policies to prevent adolescent childbearing.
PMID- 27863932
TI - Late-onset folie a deux in monozygotic twins.
PMID- 27863933
TI - Lifestyle factors may be linked to symptoms of metabolic syndrome in people at
risk for psychosis.
PMID- 27863931
TI - The Multibiome: The Intestinal Ecosystem's Influence on Immune Homeostasis,
Health, and Disease.
AB - Mammalian evolution has occurred in the presence of mutualistic, commensal, and
pathogenic micro- and macro-organisms for millennia. The presence of these
organisms during mammalian evolution has allowed for intimate crosstalk between
these colonizing species and the host immune system. In this review, we introduce
the concept of the 'multibiome' to holistically refer to the biodiverse
collection of bacteria, viruses, fungi and multicellular helminthic worms
colonizing the mammalian intestine. Furthermore, we discuss new insights into
multibiome-host interactions in the context of host-protective immunity and
immune-mediated diseases, including inflammatory bowel disease and multiple
sclerosis. Finally, we provide reasons to account for the multibiome in
experimental design, analysis and in therapeutic applications.
PMID- 27863934
TI - Child death and maternal psychosis-like experiences in 44 low- and middle-income
countries: The role of depression.
AB - BACKGROUND: Studies on the effect of child death on the mental wellbeing of women
in low- and middle-income countries (LMICs) are scarce despite the high child
mortality rates. Thus, the aim of the current study was to assess the association
between child death and psychosis-like experiences (PLEs), as well as the role of
depression in this association. METHODS: Data from 44 LMICs which participated in
the World Health Survey (WHS) were analyzed. A total of 59,444 women who ever
gave birth, aged 18-49years, without a self-reported lifetime psychosis
diagnosis, were included in the analysis. The World Mental Health Survey version
of the Composite International Diagnostic Interview (CIDI) was used to establish
the diagnosis of past 12-month DSM-IV depression, and assess four positive
psychotic symptoms. Depression was defined as self-reported lifetime depression
diagnosis and/or past 12-month depression. Multivariable logistic regression
analyses were performed. RESULTS: After adjustment for potential confounders,
women who experienced child death had higher odds for all types of PLEs (when
unadjusted for depression) (OR 1.20-1.71; p<0.05) and depression (OR=1.64;
95%CI=1.39-1.93). When adjusted for depression, only delusion of control was
strongly associated with child death (OR=1.54; 95%CI=1.20-1.97). CONCLUSIONS:
Child death may be an important determinant of mental wellbeing among women in
LMICs. Given the known adverse health outcomes associated with PLEs and
depression, as well as the co-occurrence of these symptoms, mental health care
may be particularly important for mothers who have experienced child loss in
LMICs.
PMID- 27863936
TI - Measurements of time intervals after in-hospital cardiac arrest give important
information but can be further improved.
PMID- 27863935
TI - Association of sFlt-1 and worsening psychopathology in relatives at high risk for
psychosis: A longitudinal study.
AB - BACKGROUND: Angiogenic dysfunction and abnormalities in psychopathology and brain
structure have been reported in schizophrenia, but their relationships are mostly
unknown. We recently demonstrated that sFlt-1, anti-angiogenic factor, was
significantly elevated in patients at familial high-risk for psychosis (FHR). We
hypothesized that elevated sFlt-1 correlates with baseline and longitudinal
changes in psychopathology, cognition, and brain structure. METHODS: Plasma sFlt
1 in FHR (n=35) and HC (n=39) was obtained at baseline. Schizotypal, cognitive,
soft neurologic signs, and structural brain imaging (1.5T T1-weighted MRI,
FreeSurfer software) measures were obtained in both groups. Longitudinal clinical
and brain structural measures were obtained in a subgroup of FHR patients.
Baseline data analysis used correlations between sFlt-1 and clinical/imaging
measures and adjusted for multiple corrections. Linear mixed-effects models
described differences in trajectories between high sFlt-1 and low sFlt-1.
RESULTS: Baseline sFlt-1 was significantly correlated with soft neurologic signs
(r=0.27, p=0.02) and right entorhinal volume (r=0.50, p=0.02), but not other
baseline clinical/brain structural measures. Longitudinal examination of the FHR
group (sFlt-1 high, n=14; sFlt-1 low, n=14) demonstrated that high sFlt-1 was
significantly associated with worsening schizotypal symptoms (t=2.4, p=0.018).
Reduced right hippocampal/parahippocampal volume/thickness trajectories were
observed in high versus low sFlt-1 groups. CONCLUSIONS: The findings from this
FHR study demonstrate that peripheral markers of angiogenic dysfunction can
predict longitudinal clinical and brain structural changes. Also, these findings
further support the hypothesis of altered microvascular circulation in
schizophrenia and those at risk.
PMID- 27863937
TI - Corrigendum to "Clinical Experience of Contrast Venography Guided Axillary Vein
Puncture in Biventricular Pacing" [Int. J. Cardiol. 92 (2003) 55-58].
PMID- 27863938
TI - Corrigendum to "One-quarter standard-dose ticagrelor better than standard-dose
clopidogrel in Chinese patients with stable coronary artery disease: A
randomized, single-blind, crossover clinical study" [Int. J. Cardiol. 215 (2016)
209-213].
PMID- 27863939
TI - All cause mortality and body mass index in a young Asian occupational cohort
without baseline metabolic syndrome components: Methodological issues.
PMID- 27863940
TI - Acute fluoride poisoning alters myocardial cytoskeletal and AMPK signaling
proteins in rats.
AB - BACKGROUND: Our previous findings revealed that increased oxidative stress,
apoptosis and necrosis were implicated in acute fluoride (F-) induced cardiac
dysfunction apart from hypocalcemia and hyperkalemia. Cardiac intermediate
filaments (desmin and vimentin) and cytoskeleton linker molecule vinculin plays
an imperative role in maintaining the architecture of cardiac cytoskeleton. In
addition, AMPK is a stress activated kinase that regulates the energy homeostasis
during stressed state. The present study was aimed to examine the role of
cytoskeletal proteins and AMPK signaling molecules in acute F- induced
cardiotoxicity in rats. METHODS: In order to study this, male Wistar rats were
treated with single oral doses of 45 and 90mg/kgF- for 24h. RESULTS: Acute F-
intoxicated rats showed declined cytoskeletal protein expression of desmin,
vimentin and vinculin in a dose dependent manner compared to control. A
significant increase in phosphorylation of AMPKalpha (Thr172), AMPKbeta1 (Ser108)
and Acetyl-coA carboxylase (ACC) (Ser79) in the myocardium and associated ATP
deprivation were found in acute F- intoxicated rats. Further, ultra-structural
studies confirmed myofibril lysis with interruption of Z lines, dilated
sarcoplasmic reticulum and damaged mitochondrion were observed in both the groups
of F- intoxicated rats. CONCLUSION: Taken together, these findings reveal that
acute F- exposure causes sudden heart failure by altering the expression of
cytoskeletal proteins and AMPK signaling molecules.
PMID- 27863941
TI - The l-arginine/NO pathway in the MELAS syndrome: An insufficiently explored and
controversial research area.
PMID- 27863942
TI - What is really meant by "complete mesocolic excision?"
PMID- 27863943
TI - Surgical management of chronic mesh infection following incisional hernia repair.
PMID- 27863944
TI - How to introduce a program of Enhanced Recovery after Surgery? The experience of
the CAPIO group.
AB - The traditional model of hospital care has been challenged by the development of
a care-management process that allows early patient autonomy (outpatient surgery,
Enhanced Recovery after Surgery). Hospitalization has been transformed in
response to this development, based on innovative medical and organizational
strategies. Within a surgical service, the deployment of these processes requires
the creation of a support structure, with re-organization of existing structures,
analysis of potential obstacles, implementation of management tools, and ongoing
follow-up of organizational function, clinical results, organizational and
patient satisfaction. These will ultimately assess adaptation of structures
within these new organizations. In this article, we share our insights based on
experience gained over the past six years by surgical teams of the CAPIO group.
PMID- 27863945
TI - Intussuscepted pancreatico-gastric anastomosis: A reproducible technique.
PMID- 27863946
TI - Should CT scan be performed when CRP is elevated after colorectal surgery?
Results from the inflammatory markers after colorectal surgery study.
AB - INTRODUCTION: Serum concentration of C-reactive protein (CRP) that exceeds a pre
defined threshold between the 3rd and 5th postoperative day is a reliable marker
of infectious complications after colorectal surgery. However, the optimal
strategy to follow when a high CRP is found has not been defined. The aim of this
study was to analyze the usefulness of computed tomography (CT) scan in this
situation in a prospective cohort of patients following colorectal surgery.
METHODS: Between November 2011 and April 2015, patients at two surgical centers
who had undergone elective colorectal resection with anastomosis and who had a
CRP>12.5mg/dL on the 4th postoperative day (POD) were prospectively included in a
database. Data were collected concerning all complications occurring during the
30days following surgery, method of diagnosis, management and clinical course.
The decision to perform a CT scan between POD 4 and POD 6 day was guided only by
the elevation of CRP in the absence of any other clinical signs; results were
analyzed to evaluate the diagnostic value of elevated CRP. Uni- and multivariable
analyses were performed to identify risk factors for postoperative infection.
RESULTS: The study included a total of 174 patients: 56 (32.1%) had a CT between
POD 4 and 6, and this helped detect a postoperative complication in 55.4% of
cases. Patients who did not undergo CT had lower CRP values (16.3 vs. 18.5,
P=0.02). Among the 118 patients who did not undergo CT, 50.8% eventually
developed an infectious complication. The sensitivity of CRP-guided CT was 76.7%
with a negative predictive value of 78.8%. CONCLUSION: If an elevated CRP is
found on POD 4, an abdominopelvic CT should be performed. A normal result does
not formally eliminate the existence of intra-abdominal complication. A study
protocol should be set up to evaluate whether systematic revisional surgery or
repeat CT scan is the appropriate management if CRP in the next two days reveals
persistent inflammation.
PMID- 27863947
TI - The effects of low-dose radiotherapy on fresh osteochondral allografts: An
experimental study in rabbits.
AB - OBJECTIVE: The aim of this study was to investigate the effects of low-dose
fractionated radiotherapy on cartilage degeneration after distal femoral fresh
massive osteochondral allograft transplantation. METHODS: Twenty-four New Zealand
White rabbits were divided into three groups of 8 rabbits each. All rabbits
underwent distal femoral medial condyle fresh massive osteochondral allograft
transplantation from California rabbits. The group 1 underwent transplantation
without any preliminary process. The group 2 underwent fractionated local
radiotherapy of 100 cGy for five days starting on the transplantation day. The
group 3 included the rabbits to which the grafts transplanted after radiating in
vitro by a single dose radiation of 1500 cGy. The hosts were sacrificed twelve
weeks later. Anteroposterior and lateral radiographs were taken. Synovial tissue,
cartilaginous tissue, and subchondral bone were assessed histopathologically.
RESULTS: Nonunion was present in three cases of group 2 and one of group 3 in
which cartilage degeneration was more severe. Synovial hypertrophy and pannus
formation were more obvious in non-radiated rabbits. Hypocellularity and necrosis
of the subchondral bone were rare in group 2. More cartilage tissue impairment
was present in group 3 compared to group 1. CONCLUSION: In osteochondral massive
allograft transplantations, the immune reaction of the host could be precluded
with radiotherapy, and the side-effects can be prevented by low-dose fractionated
regimen. The total dose of fractionated radiotherapy for an immune suppression
should be adjusted not to damage the cartilage tissue, but to avoid articular
degeneration in the long term.
PMID- 27863948
TI - Tree-structured survival analysis of patients with Pseudomonas aeruginosa
bacteremia: A multicenter observational cohort study.
AB - This study aimed to construct a prediction algorithm, which is readily applicable
in the clinical setting, to determine the mortality rate for patients with P.
aeruginosa bacteremia. A multicenter observational cohort study was performed
retrospectively in seven university-affiliated hospitals in Korea from March 2012
to February 2015. In total, 264 adult patients with monomicrobial P. aeruginosa
bacteremia were included in the analyses. Among the predictors independently
associated with 30-day mortality in the Cox regression model, Pitt bacteremia
score >2 and high-risk source of bacteremia were identified as critical nodes in
the tree-structured survival analysis. Particularly, the empirical combination
therapy was not associated with any survival benefit in the Cox regression model
compared to the empirical monotherapy. This study suggests that determining the
infection source and evaluating the clinical severity are critical to predict the
clinical outcome in patients with P. aeruginosa bacteremia.
PMID- 27863949
TI - Imipenem-avibactam: a novel combination for the rapid detection of carbapenemase
activity in Enterobacteriaceae and Acinetobacter baumannii by matrix-assisted
laser desorption ionization-time of flight mass spectrometry.
AB - In the present study, we propose a novel matrix-assisted laser desorption
ionization-time of flight mass spectrometry (MALDI-TOF MS)-based method for
detecting carbapenemase-producing Enterobacteriaceae and Acinetobacter baumannii.
For this, we analyzed a series of 131 isolates. Among them, a total of 115
Enterobacteriaceae: 79 of them carrying a carbapenemase enzyme (15blaKPC,
7blaNDM, 11blaIMP, 12blaVIM, and 34blaOXA-48) and 16 A. baumannii isolates: 15 of
them carrying carbapenemases (10blaOXA-23, 2blaOXA-58, 2blaOXA-24, and 1blaOXA
237). The rest of the isolates were noncarbapenemase producers and used as
negative controls. The isolates were submitted to susceptibility testing using a
combination of imipenem-avibactam and analysis by the MALDI-TOF Biotyper Compass
software (Bruker Daltonik, Germany). The assay showed an overall sensitivity and
specificity for carbapenemase detection of 98% and 100%, respectively. The
combination of imipenem and avibactam displayed activity against KPC and OXA-48
producing Enterobacteriaceae and thus represents a new strategy for identifying
and confirming these carbapenemases. However, the combination did not provide any
benefit over A. baumannii.
PMID- 27863950
TI - Evaluation of the SHIGA TOXIN QUIK CHEK and ImmunoCard STAT! EHEC as screening
tools for the detection of Shiga toxin in fecal specimens.
AB - In this study we evaluated the performance of the SHIGA TOXIN QUIK CHEK
(Techlab(r), Blacksburg, VA) and the ImmunoCard STAT! Enterohaemorrhagic E. coli
(EHEC) (Meridian BioScience, Cincinnati, OH, USA) assays as methods for
qualitatively detecting the presence of Shiga toxin in human fecal specimens. A
multiplex PCR for the detection of stx1 and stx2 was used as the standard for
comparison. The SHIGA TOXIN QUIK CHEK detected all known Shiga toxin subtypes
with the exception of Stx2f, while the ImmunoCard STAT! EHEC was unable to
identify four of the seven Stx2 subtypes, including Stx2b and Stx2d. When
compared to multiplex PCR based on Shiga toxin gene presence alone both assays
demonstrated 100% specificity, and gave sensitivity values of 50.0% and 41.2%
respectively. Correlation between each assay and the multiplex PCR was calculated
by the use of kappa, with both assays exhibiting a moderate level of agreement.
PMID- 27863951
TI - Detection of Helicobacter pylori and the genotypes of resistance to
clarithromycin and the heterogeneous genotype to this antibiotic in biopsies
obtained from symptomatic children.
AB - : The aim of this study was to use a commercially available kit (GenoType(r)
HelicoDR; Hain Life Science, Germany) to detect Helicobacter pylori infection and
clarithromycin resistance genotype in biopsies obtained from symptomatic
children. RESULTS: 111 out of 136 (81.6%) biopsies were H. pylori positive by
genotype: 47 (42.3%) showed wild-type genotype, 53 resistant genotype (47.7%) and
11 heterogeneous genotype (9.9%). Culture was negative in 27 out of the 111
genotyped biopsies. Mutation A2143G (87.5%), followed by A2142G (7.5%) and double
mutant A2142C-A2143G (5%) were found. The 11 heterogeneous genotype biopsies
showed wild-type plus A2143G in 9 and plus A2142G in 2. CONCLUSIONS: This kit is
a rapid, culture-independent method for routine application in biopsies from the
pediatric population that allows detection of clarithromycin resistance and
heterogeneous genotypes. It is important to know the clinical impact of infection
with this type of strains as well as the role in treatment success.
PMID- 27863952
TI - Differences in the dynamics of affective and cognitive processing - An ERP study.
AB - A controversy in emotion research concerns the question of whether affective or
cognitive primacy are evident in processing affective stimuli and the factors
contributing to each alternative. Using electrophysiological recordings in an
adapted visual oddball paradigm allowed tracking the dynamics of affective and
cognitive effects. Stimuli consisted of face pictures displaying affective
expressions with rare oddballs differing from frequent stimuli in either
affective expression, structure (while frequent stimuli were shown frontally
these deviants were turned sideways) or they differed on both dimensions, i.e. in
affective expression and structure. Results revealed a defined sequence of
differences in ERP amplitudes: For stimuli deviating in their affective
expression only, P1 modulations ~100ms were evident, while affective differences
of structure deviants were not evident before the N170 time window. All three
types of deviants differed in P300 amplitudes, indicating integration of
affective and structural information. These results encompass evidence for both,
cognitive and affective primacy depending on stimulus properties. Specifically
affective primacy is only visible when the respective facial features can be
extracted with ease. When structural differences make face processing harder,
however, cognitive primacy is brought forward.
PMID- 27863953
TI - Reliability of a Kid's Activity and Nutrition Questionnaire for School-Based SNAP
Ed Interventions as Part of a Tiered Development Process.
AB - OBJECTIVE: To assess the reliability of the Kids' Activity and Nutrition
Questionnaire (KAN-Q) as part of a tiered process for developing Supplemental
Nutrition Assistance Program-Education tools. METHODS: The KAN-Q was administered
at 2 time points to assess internal consistency using standardized values of
Cronbach alpha and test-retest reliability using the intraclass correlation
coefficient for continuous variables, Cohen's kappa (kappa) statistic for
categorical variables, and the weighted kappa statistic for ordinal data.
RESULTS: Data were collected from 119 fourth graders. Cronbach alpha was adequate
for behavior (.71) and knowledge (.72) scales and nutrition behavior (.78) and
nutrition knowledge (.75) subscales. Test-retest reliability was generally
acceptable, with intraclass correlation coefficients from 0.40 to 0.75 and kappa
coefficients showing fair to substantial agreement (0.30 to 0.72). CONCLUSIONS
AND IMPLICATIONS: The KAN-Q is a practical and reliable questionnaire for school
based administration that aligns directly with Supplemental Nutrition Assistance
Program-Education evaluation priorities.
PMID- 27863954
TI - Cardiovascular disease biomarkers on cognitive function in older adults: Joint
effects of cardiovascular disease biomarkers and cognitive function on mortality
risk.
AB - Previous research demonstrates an inverse association between age and
cardiovascular disease (CVD) biomarkers with cognitive function; however, little
is known about the combined associations of CVD risk factors and cognitive
function with all-cause mortality in an older adult population, which was the
purpose of this study. Data from the 1999-2002 NHANES were used (N=2,097;
60+yrs), with mortality follow-up through 2011. Evaluated individual biomarkers
included mean arterial pressure (MAP), high-sensitivity C-reactive protein (CRP),
HDL-C, total cholesterol (TC), A1C, and measured body mass index (BMI). Cognitive
function was assessed using the Digit Symbol Substitution Test (DSST). Further, 4
groups were created based on CVD risk and cognitive function. Group 1: high
cognitive function and low CVD risk; Group 2: high cognitive function and high
CVD risk; Group 3: low cognitive function and low CVD risk; Group 4: low
cognitive function and high CVD risk. An inverse relationship was observed where
those with more CVD risk factors had a lower (worse) cognitive function score.
Compared to those in Group 1, only those in Group 3 and 4 had an increase
mortality risk.
PMID- 27863955
TI - The BLUEPRINT Data Analysis Portal.
AB - The impact of large and complex epigenomic datasets on biological insights or
clinical applications is limited by the lack of accessibility by easy, intuitive,
and fast tools. Here, we describe an epigenomics comparative cyber-infrastructure
(EPICO), an open-access reference set of libraries to develop comparative
epigenomic data portals. Using EPICO, large epigenome projects can make available
their rich datasets to the community without requiring specific technical skills.
As a first instance of EPICO, we implemented the BLUEPRINT Data Analysis Portal
(BDAP). BDAP provides a desktop for the comparative analysis of epigenomes of
hematopoietic cell types based on results, such as the position of epigenetic
features, from basic analysis pipelines. The BDAP interface facilitates
interactive exploration of genomic regions, genes, and pathways in the context of
differentiation of hematopoietic lineages. This work represents initial steps
toward broadly accessible integrative analysis of epigenomic data across
international consortia. EPICO can be accessed at https://github.com/inab, and
BDAP can be accessed at http://blueprint-data.bsc.es.
PMID- 27863956
TI - The International Human Epigenome Consortium Data Portal.
AB - The International Human Epigenome Consortium (IHEC) coordinates the production of
reference epigenome maps through the characterization of the regulome, methylome,
and transcriptome from a wide range of tissues and cell types. To define
conventions ensuring the compatibility of datasets and establish an
infrastructure enabling data integration, analysis, and sharing, we developed the
IHEC Data Portal (http://epigenomesportal.ca/ihec). The portal provides access to
>7,000 reference epigenomic datasets, generated from >600 tissues, which have
been contributed by seven international consortia: ENCODE, NIH Roadmap, CEEHRC,
Blueprint, DEEP, AMED-CREST, and KNIH. The portal enhances the utility of these
reference maps by facilitating the discovery, visualization, analysis, download,
and sharing of epigenomics data. The IHEC Data Portal is the official source to
navigate through IHEC datasets and represents a strategy for unifying the
distributed data produced by international research consortia.
PMID- 27863957
TI - Ex-vivo complexation, skin permeation, interaction and cytodermal toxicity
studies of p-tertbutylcalix[4]arene nanoemulsion for radiation decontamination.
AB - AIMS: p-tertbutylcalix[4]arene loaded nanoemulsion has been designed,
characterized and evaluated for skin decontamination of radionuclides of interest
in nuclear and radiological emergencies. Further, nanoemulsion was evaluated for
Ex-vivo complexation, skin permeation, interaction and cytodermal toxicity.
MATERIALS AND METHODS: Ex-vivo skin complexation studies were conducted using
High-resolution sector field inductively coupled plasma mass spectroscopy (HR-SF
ICPMS). Skin studies at dermal and cyto-dermal level have been carried out using
techniques such as florescence microscopy, Differential scanning calorimetry
(DSC), Flow cytometry, Confocal microscopy, Prestoblue and Comet assay. KEY
FINDINGS: HR-SF-ICPMS study confirmed >95% complexation of surrogate nuclides of
thallium and Iodine applied on excised rat skin mounted over Franz diffusion
cell. Temporal analysis of aliquots obtained from Franz diffusion cell using UV
Vis absorption spectroscopy indicated that only 3.37% of formulation permeates
through the skin. Skin penetration study of rhodamine 123 nanoemulsion carried
out using florescence microscopy confirmed that formulation remains localised in
epidermis of rat skin. DSC data confirmed skin compatibility of nanoemulsion, as
no lipid extraction was observed from skin. In-vitro cell viability and cellular
uptake assays performed on human skin fibroblasts prove no cellular uptake and
cytotoxic effects. Comet assay, cell cycle arrest, and apoptosis-inducing
mechanistic studies prove that prepared nanoemulsion is safe at cellular level.
SIGNIFICANCE: Taken together, data indicate that p-tertbutylcalix[4]arene
nanoemulsion is both effective and safe formulation to use on skin for radio
decontamination.
PMID- 27863958
TI - The expression of bitter taste receptors in mesenteric, cerebral and omental
arteries.
AB - AIM: Bitter taste is sensed by the bitter taste receptor (TAS2R), which is mainly
expressed in the tongue as well as in extra-oral organs, such as the
gastrointestinal tract, respiratory tract, brain, heart and testis. This study
aimed to investigate whether TAS2R is expressed in the mesenteric, cerebral and
omental arteries. MAIN METHODS: The expression levels of TAS2R mRNA and protein
were determined by reverse-transcription polymerase chain reaction and Western
blotting, respectively. The location of TAS2R was determined by
immunofluorescence imaging. TAS2R agonists were used in a sensitive myograph to
study the function of TAS2R in arteries. KEY FINDINGS: The mRNA of rat TAS2Rs,
including rTAS2R39, rTAS2R40, rTAS2R108, rTAS2R114, rTAS2R130, rTAS2R137, and
rTAS2R140, was expressed in rat mesenteric and cerebral arteries, but rTAS2R114
was not expressed in the cerebral arteries. The mRNA of human TAS2Rs, including
hTAS2R3, hTAS2R4, hTAS2R7, hTAS2R10, hTAS2R14, hTAS2R39 and hTAS2R40, was
expressed in human omental arteries. The TAS2R7 protein was expressed in rat
mesenteric and cerebral arteries, as well as in human omental arteries.
Immunofluorescence imaging confirmed that TAS2R7 was located in vascular smooth
muscle cells and endothelial cells. The TAS2R agonists, chloroquine and quinine
relaxed rat mesenteric arteries and cerebral arteries and human omental arteries
in a concentration-dependent manner. SIGNIFICANCE: TAS2R is expressed in the
arteries of systemic circulation, including rat mesenteric and cerebral arteries
and human omental arteries. This study provides evidence that TAS2R do exist in
the arteries and may be involved in the mediation of vessel functions.
PMID- 27863960
TI - Route of transmission of Staphylococcus aureus.
PMID- 27863959
TI - Transmission of Staphylococcus aureus between health-care workers, the
environment, and patients in an intensive care unit: a longitudinal cohort study
based on whole-genome sequencing.
AB - BACKGROUND: Health-care workers have been implicated in nosocomial outbreaks of
Staphylococcus aureus, but the dearth of evidence from non-outbreak situations
means that routine health-care worker screening and S aureus eradication are
controversial. We aimed to determine how often S aureus is transmitted from
health-care workers or the environment to patients in an intensive care unit
(ICU) and a high-dependency unit (HDU) where standard infection control measures
were in place. METHODS: In this longitudinal cohort study, we systematically
sampled health-care workers, the environment, and patients over 14 months at the
ICU and HDU of the Royal Sussex County Hospital, Brighton, England. Nasal swabs
were taken from health-care workers every 4 weeks, bed spaces were sampled
monthly, and screening swabs were obtained from patients at admission to the ICU
or HDU, weekly thereafter, and at discharge. Isolates were cultured and their
whole genome sequenced, and we used the threshold of 40 single-nucleotide
variants (SNVs) or fewer to define subtypes and infer recent transmission.
FINDINGS: Between Oct 31, 2011, and Dec 23, 2012, we sampled 198 health-care
workers, 40 environmental locations, and 1854 patients; 1819 isolates were
sequenced. Median nasal carriage rate of S aureus in health-care workers at 4
weekly timepoints was 36.9% (IQR 35.7-37.3), and 115 (58%) health-care workers
had S aureus detected at least once during the study. S aureus was identified in
8-50% of environmental samples. 605 genetically distinct subtypes were identified
(median SNV difference 273, IQR 162-399) at a rate of 38 (IQR 34-42) per 4-weekly
cycle. Only 25 instances of transmission to patients (seven from health-care
workers, two from the environment, and 16 from other patients) were detected.
INTERPRETATION: In the presence of standard infection control measures, health
care workers were infrequently sources of transmission to patients. S aureus
epidemiology in the ICU and HDU is characterised by continuous ingress of
distinct subtypes rather than transmission of genetically related strains.
FUNDING: UK Medical Research Council, Wellcome Trust, Biotechnology and
Biological Sciences Research Council, UK National Institute for Health Research,
and Public Health England.
PMID- 27863961
TI - Glycan region of GPI anchored-protein is required for cytocidal oligomerization
of an anticancer parasporin-2, Cry46Aa1 protein, from Bacillus thuringiensis
strain A1547.
AB - Parasporin-2 (PS2), alternatively named Cry46Aa1, an anticancer protein derived
from Bacillus thuringiensis strain A1547, causes specific cell damage via PS2
oligomerization in the cell membrane. Although PS2 requires
glycosylphosphatidylinositol (GPI)-anchored proteins for its cytocidal action,
their precise role is unknown. Here, we report that the glycan of GPI induces PS2
oligomerization, which causes cell death. Cytotoxicity, cell-binding and
oligomerization of the toxin were not observed in GPI-anchored protein-deficient
Chinese hamster ovary cells. Expression and protease-treatment analyses showed
that the actions of the toxin were dependent on the glycan core, not the
polypeptide moiety, of GPI-anchored proteins. However, surface expression of some
GPI-anchored proteins is observed in PS2-insensitive cells. These data suggest
that GPI-anchored proteins do not determine the target specificity, but instead
function as a kind of coreceptor, in the cytocidal action of PS2.
PMID- 27863963
TI - The dose-response of salvage radiotherapy following radical prostatectomy: A
systematic review and meta-analysis.
AB - PURPOSE/OBJECTIVES: To date neither the optimal radiotherapy dose nor the
existence of a dose-response has been established for salvage RT (SRT).
MATERIALS/METHODS: A systematic review from 1996 to 2015 and meta-analysis was
performed to identify the pathologic, clinical and treatment factors associated
with relapse-free survival (RFS) after SRT (uniformly defined as a PSA>0.2ng/mL
or rising above post-SRT nadir). A sigmoidal dose-response curve was objectively
fitted and a non-parametric statistical test used to determine significance.
RESULTS: 71 studies (10,034 patients) satisfied the meta-analysis criteria. SRT
dose (p=0.0001), PSA prior to SRT (p=0.0009), ECE+ (p=0.039) and SV+ (p=0.046)
had significant associations with RFS. Statistical analyses confirmed the
independence of SRT dose-response. Omission of series with ADT did not alter
results. Dose-response is well fit by a sigmoidal curve (p=0.0001) with a TCD50
of 65.8Gy, with a dose of 70Gy achieving 58.4% RFS vs. 38.5% for 60Gy. A 2.0%
[95% CI 1.1-3.2] improvement in RFS is achieved for each Gy. The SRT dose
response remarkably parallels that for definitive RT of localized disease.
CONCLUSIONS: This study provides level 2a evidence for dose-escalated SRT>70Gy.
The presence of an SRT dose-response for microscopic disease supports the
hypothesis that prostate cancer is inherently radio-resistant.
PMID- 27863962
TI - Understanding the impact of pelvic organ motion on dose delivered to target
volumes during IMRT for cervical cancer.
AB - BACKGROUND: Advanced radiotherapy techniques reduce normal tissue dose by
conforming closely to target volumes. In cervical cancer radiotherapy, organ
filling affects clinical target volume (CTV; cervix, uterus) position. This study
estimates the dosimetric effect of this primary CTV position variation during
chemoradiation. METHODS/MATERIALS: Twice weekly cone-beam computed tomography
(CBCT) images of ten patients undergoing cervical chemoradiation were
retrospectively analysed. Primary CTV, bladder and rectum were delineated.
RapidArc plans were created using 10-15mm CTV-PTV margins and dose delivered to
CTV based on each CBCT position was calculated using a novel vector approach.
Dose delivered along the central uterine, mid-uterus and cervix vectors were
analysed as well as dose delivered to points at uterine tip, anterior mid-uterus
and anterior cervix. Additional RapidArc plans were created for large planning
bladder volume cases using the CTV acquired with bladder volume at 150-300cc.
RESULTS: 105 scans for 10 patients were analysed. Vector analysis revealed CTV
underdosing in certain cases. Below 95% average vector coverage was found for all
three vectors in 2 cases and one vector in 1 case. Volumetric analysis revealed
D99<95% in 48% of fractions. Patients with large planning bladder volumes
(>300cc) demonstrated the largest variation. Replanning improved this coverage.
The anterior mid-uterus point was least well-covered; median 98.7% dose, reducing
to 91.4% in cases with large planning bladder volumes. Again, replanning
significantly improved this. D99>95% was maintained in 93% of fractions when
bladder volume was 50cc below to 150cc above planning volume compared to 24% of
fractions if bladder volume was outside this range. Similarly, D95>95% was 100%
versus 84%. CONCLUSION: Organ position variation detrimentally affected dose
delivered to CTV including cervix. Large planning bladder volumes (>300cc) led to
more variation. We recommend bladder volumes of 150-300cc at planning and a range
of 50cc below to 150cc above planning for treatment.
PMID- 27863964
TI - Clinical evidence of variable proton biological effectiveness in pediatric
patients treated for ependymoma.
AB - BACKGROUND AND PURPOSE: A constant relative biological effectiveness (RBE) is
used for clinical proton therapy; however, experimental evidence indicates that
RBE can vary. We analyzed pediatric ependymoma patients who received proton
therapy to determine if areas of normal tissue damage indicated by post-treatment
image changes were associated with increased biological dose effectiveness.
MATERIAL AND METHODS: Fourteen of 34 children showed T2-FLAIR hyperintensity on
post-treatment magnetic resonance (MR) images. We delineated regions of treatment
related change and calculated dose and linear energy transfer (LET) distributions
with Monte Carlo. Voxel-level image change data were fit to a generalized linear
model incorporating dose and LET. Cross-validation was used to determine model
parameters and for receiver operating characteristic curve analysis. Tolerance
dose (TD50; dose at which 50% of patients would experience toxicity) was
interpolated from the model. RESULTS: Image changes showed dependence on
increasing LET and dose. TD50 decreased with increasing LET, indicating an
increase in biological dose effectiveness. The cross-validated area under the
curve for the model was 0.91 (95% confidence interval 0.88-0.94). CONCLUSIONS:
Our correlation of changes on MR images after proton therapy with increased LET
constitutes the first clinical evidence of variable proton biological
effectiveness.
PMID- 27863965
TI - Robustness of the Reichert Unistat Bilirubinometer for analysis of hemolyzed
samples from neonates.
AB - BACKGROUND AND OBJECTIVES: Bilirubin is routinely measured in neonates to avoid
the irreversible effects of kernicterus. Grossly hemolyzed samples are routinely
rejected under the assumption that bilirubin cannot be accurately measured in
hemolyzed samples. The objective of this study was to determine the effect of
hemolysis on bilirubin measurement in neonates. METHODS: Data included 2-years of
paired bilirubin results (n=70) where hemolyzed and non-hemolyzed samples were
collected and measured within 6h of each other. Bilirubin results were compared
by Passing-Bablock linear regression and difference plots. Bilirubin results were
also compared using the Bhutani nomogram to determine if hemolysis affected the
hyperbilirubinemia risk-category. RESULTS: Gross hemolysis resulted in a mean
negative bias of -5.2MUmol/L (95% CI: -30.7 to 20.3MUmol/L). Based on the Bhutani
nomogram, 1/70 samples would have been classified as Low-intermediate instead of
High-intermediate risk and 3/70 would have been classified as Low instead of Low
intermediate risk; 5/70 samples would have been classified as Low-intermediate
instead of Low risk. CONCLUSIONS: Collectively the data support that neonatal
bilirubin may be reported from grossly hemolyzed samples measured using the
Reichert Unistat Bilirubinometer. This practice has been adopted at our
institution where results are reported with comments describing the effects of
hemolysis. Implementation of this approach has decreased the number of blood re
collections in neonates.
PMID- 27863966
TI - Activation of telomerase by HPVs.
AB - Telomerase extends the ends of linear chromosomes, and its expression leads to
cellular immortalization. In HPV-associated cancers, telomerase is universally
detected, and this occurs by activation of the catalytic subunit of telomerase,
hTERT. The expression of hTERT is affected by both high-risk HPV E6 and E7.
Seminal studies over the last two decades have identified the transcriptional,
epigenetic, and post-transcriptional roles high-risk E6 and E7 have in telomerase
regulation. This review will summarize these findings and highlight the
importance of telomerase activation as an oncogenic pathway in HPV-associated
cancer development and progression.
PMID- 27863968
TI - Periocular, periorbital, and orbital pathology in liver disease.
AB - Although the connection is not often apparent, hepatic pathology may be
associated with ophthalmic disease. We review the literature concerning the
periocular, periorbital, and orbital manifestations of various hepatic disorders.
This includes periocular or periorbital jaundice, the impact of hepatitis B and
C, eyelid changes in cirrhosis, orbital mass lesions in hepatocellular carcinoma,
and cutaneous vascular malformations as they are related to liver disease. The
motility disorders associated with Wilson disease, the ophthalmic manifestations
of Alagille syndrome, and the effects of liver transplantation are also
discussed.
PMID- 27863969
TI - Moving forward with obesity research in breast cancer.
PMID- 27863971
TI - Systemic inaccuracies in the National Surgical Quality Improvement Program
database: Implications for accuracy and validity for neurosurgery outcomes
research.
AB - The American College of Surgeons (ACS) National Surgical Quality Improvement
Program (NSQIP) provides a rich database of North American surgical procedures
and their complications. Yet no external source has validated the accuracy of the
information within this database. Using records from the 2006 to 2013 NSQIP
database, we used two methods to identify errors: (1) mismatches between the
Current Procedural Terminology (CPT) code that was used to identify the surgical
procedure, and the International Classification of Diseases (ICD-9) post
operative diagnosis: i.e., a diagnosis that is incompatible with a certain
procedure. (2) Primary anesthetic and CPT code mismatching: i.e., anesthesia not
indicated for a particular procedure. Analyzing data for movement disorders,
epilepsy, and tumor resection, we found evidence of CPT code and postoperative
diagnosis mismatches in 0.4-100% of cases, depending on the CPT code examined.
When analyzing anesthetic data from brain tumor, epilepsy, trauma, and spine
surgery, we found evidence of miscoded anesthesia in 0.1-0.8% of cases. National
databases like NSQIP are an important tool for quality improvement. Yet all
databases are subject to errors, and measures of internal consistency show that
errors affect up to 100% of case records for certain procedures in NSQIP. Steps
should be taken to improve data collection on the frontend of NSQIP, and also to
ensure that future studies with NSQIP take steps to exclude erroneous cases from
analysis.
PMID- 27863970
TI - Treatment of distal anterior cerebral artery aneurysms with the Pipeline
Embolization Device.
AB - Aneurysms of the anterior cerebral artery (ACA) located distal to the anterior
communicating artery complex (ACOM) remain challenging to treat with surgical
clip reconstruction as well as with endovascular coil-embolization strategies. We
have treated five complex geometry distal ACA aneurysms with endoluminal
reconstruction using the Pipeline Embolization Device (PED). Two aneurysms were
of the dysplastic fusiform type. Three aneurysms were of complex saccular
configuration. Three aneurysms were treated electively at the outset with PED.
One patient had previously undergone aborted clip reconstruction, and one was
treated for recurrent aneurysm growth after coil embolization. The mean diameter
of the ACA in this cohort was 1.96mm proximal to the aneurysm and 1.79mm distal
to the aneurysmal segment. A single PED of 2.5mm inner diameter was the sole
treatment in four cases. Two PEDs, telescopically overlapped across the aneurysm,
were used in the remaining case. All devices were deployed successfully. No
parent artery occlusion or stenosis was observed. In all cases an associated
branch vessel arising from the vicinity of the aneurysm or incorporated into its
neck was covered by the endoluminal construct. At follow-up angiography, robust
antegrade flow was maintained in the jailed branch. One patient experienced
asymptomatic, delayed occlusion of the jailed branch. Complete aneurysm occlusion
was seen in all patients. We confirm that PED can be deployed in parent vessels
smaller than 2mm diameter, and that endoluminal reconstruction with the PED may
be a safe and effective treatment alternative for selected distal ACA aneurysms.
PMID- 27863972
TI - Vagus nerve stimulator-related speech/exercise induced cough.
AB - Vagal nerve stimulation (VNS) therapy is an effective adjunctive treatment for
chronic or recurrent treatment resistant depression. Although considered a safe
procedure, increasing output current can be associated with stimulation induced
side effects. We report the first case of dry cough induced by speech and mild
exercise, occurring in the off mode following implantation of a vagal nerve
stimulator (VNSor). We discuss the possible pathophysiology and the potential
treatment options of this rare complication.
PMID- 27863973
TI - White blood cell subtypes and risk of type 2 diabetes.
AB - OBJECTIVE: It is reported that total white blood cell is associated with risk of
diabetes mellitus. The present study is to investigate the relationship of white
blood cell subsets with incidence of type 2 diabetes at baseline and 3year follow
up. METHODS: We chose individuals without diabetes history as our study
population; 8991 individuals were included at baseline. All of the participants
underwent a 75-g OGTT at baseline. White blood cell count including all the
subsets were measured along with all the other laboratory indices. The
participants who were not diagnosed with type 2 diabetes according to the WHO
1999 diagnostic criteria underwent another 75-g OGTT at 3year follow-up. RESULTS:
The total WBC count, neutrophil count, and lymphocyte count were significantly
increased in subjects newly diagnosed with diabetes mellitus compared to non-DM
subjects at baseline (all p<0.001). The ORs for DM were increased from the 1st to
the 4th quartiles at both baseline and follow-up (both p<0.001 for trend). At
baseline, in the highest WBC quartile, the adjusted OR of DM was 2.51 (95%
confidence interval [CI] 2.09 to 3.02). In the highest neutrophils quartile, the
adjusted OR of DM was 2.11 (95% confidence interval [CI] 1.78 to 2.51). In the
highest lymphocytes quartile, the adjusted OR of DM was 1.85 (95% confidence
interval [CI] 1.56 to 2.18). At follow-up, in the highest WBC quartile, the
adjusted OR of DM was 1.79 (95% confidence interval [CI] 1.38 to 2.33). In the
highest neutrophils quartile, the adjusted OR of DM was 1.58 (95% confidence
interval [CI] 1.23 to 2.03). In the highest lymphocytes quartile, the adjusted OR
of DM was 1.74 (95% confidence interval [CI] 1.37 to 2.22). HOMA-IR and HbA1c
correlated to elevated levels of WBC count, neutrophils and lymphocytes
significantly (all p<0.001). CONCLUSIONS: Increased levels of WBC count,
neutrophils and lymphocytes are all predictors for incidence of type 2 diabetes.
PMID- 27863974
TI - Establishment of Aedes aegypti (L.) in mountainous regions in Mexico: Increasing
number of population at risk of mosquito-borne disease and future climate
conditions.
AB - The study was conducted in the central region of Veracruz Mexico, in the
metropolitan area of Xalapa. It is a mountainous area where Aedes aegypti (L.) is
not currently endemic. An entomological survey was done along an elevation
gradient using the Ae. aegypti occurrences at different life cycle stages. Seven
sites were sampled and a total of 24 mosquito species were recorded: 9 species
were found in urban areas, 18 in non-urban areas with remnant vegetation, and 3
occurred in both environments. Ae. aegypti was found only in the urban areas,
usually below 1200m a.s.l., but in this study was recorded for the first time at
1420m a.s.l. These occurrences, together with additional distribution data in the
state of Veracruz were used to developed species distribution models using
Maxlike software in R to identify the current projected suitable areas for the
establishment of this vector and the human populations that might be affected by
dengue transmission at higher elevations. Its emergence in previously unsuitable
places appears to be driven by both habitat destruction and biodiversity loss
associated with biotic homogenization. A border study using data from the edges
of the vector's distribution might allow sensitive monitoring to detect any
changes in this mosquito's distribution pattern, and any changes in the anthropic
drivers or climate that could increase transmission risk.
PMID- 27863967
TI - Host cell restriction factors that limit transcription and replication of human
papillomavirus.
AB - The life cycle of human papillomaviruses (HPV) is tightly regulated by the
differentiation state of mucosal and cutaneous keratinocytes. To counteract viral
infection, constitutively expressed cellular factors, which are defined herein as
restriction factors, directly mitigate viral gene expression and replication. In
turn, some HPV gene products target these restriction factors and abrogate their
anti-viral effects to establish efficient gene expression and replication
programs. Ironically, in certain circumstances, this delicate counterbalance
between viral gene products and restriction factors facilitates persistent
infection by HPVs. This review serves to recapitulate the current knowledge of
nuclear restriction factors that directly affect the HPV infectious cycle.
PMID- 27863975
TI - Brief Academic Bio of the Senior Guest Editor: Dr Jerard Seghatchian.
PMID- 27863976
TI - Postoperative hyperglycaemia control reduces postoperative complications in
patients subject to total knee arthroplasty.
AB - BACKGROUND: The aim of our study was the early detection and treatment of
patients with unknown alterations of the hydrocarbon metabolism subject to total
knee arthroplasty in order to reduce the incidence of postoperative
complications. METHODS: Patients were classified as non-diabetic patients (group
1), diabetic patients (group 2) and patients with stress hyperglycaemia (group
3). The last two groups were recommended assessment by a primary care physician
(PCP). After one year follow-up the groups were compared with respect to
incidence of postoperative complications. The groups were also compared regarding
the decrease or increase of HbA1c levels with the incidence of complications.
RESULTS: Of the 228 patients, 116 (50%) were included in group 1, 40 (17.5%) in
group 2 and 72 (31.6%) in group 3. Patients that consulted their PCP presented
lower medical complication rates than those who did not (9.2% vs. 26.4%,
P=0.020). Not being attended by a PCP was an independent predictive factor of
medical complication (odds ratio (OR): 21.3; 95% confidence interval (95% CI):
4.6-98.5), surgical site infection (OR: 4.1; 95% CI: 1.1-15.0) and mechanical
complication (OR: 5.0; 95% CI: 1.3-18.8). A decrease of HbA1c value was related
to less medical systemic complications (7.3% vs. 24.2%, P=0.035). CONCLUSIONS:
Patients with hyperglycaemia during the postoperative total knee arthroplasty
period, who are controlled by the PCP present lower incidence of complications.
Decrease of HbA1c value during postoperative total knee arthroplasty period leads
to a lower rate of medical complications.
PMID- 27863977
TI - Foreword.
PMID- 27863978
TI - Predicting the physiological response of Tivela stultorum hearts with digoxin
from cardiac parameters using artificial neural networks.
AB - Multi-layer perceptron artificial neural networks (MLP-ANNs) were used to predict
the concentration of digoxin needed to obtain a cardio-activity of specific
biophysical parameters in Tivela stultorum hearts. The inputs of the neural
networks were the minimum and maximum values of heart contraction force, the time
of ventricular filling, the volume used for dilution, heart rate and weight,
volume, length and width of the heart, while the output was the digoxin
concentration in dilution necessary to obtain a desired physiological response.
ANNs were trained, validated and tested with the dataset of the in vivo
experiment results. To select the optimal network, predictions for all the
dataset for each configuration of ANNs were made, a maximum 5% relative error for
the digoxin concentration was set and the diagnostic accuracy of the predictions
made was evaluated. The double-layer perceptron had a barely higher performance
than the single-layer perceptron; therefore, both had a good predictive ability.
The double-layer perceptron was able to obtain the most accurate predictions of
digoxin concentration required in the hearts of T. stultorum using MLP-ANNs.
PMID- 27863980
TI - The need for international consensus on prediabetes.
PMID- 27863979
TI - Comparative prognostic performance of definitions of prediabetes: a prospective
cohort analysis of the Atherosclerosis Risk in Communities (ARIC) study.
AB - BACKGROUND: No consensus on definitions of prediabetes exists among international
organisations. Analysis of associations with different definitions and clinical
complications can inform the comparative value of different prediabetes
definitions. We compared the risk of future outcomes across different prediabetes
definitions based on fasting glucose concentration, HbA1c, and 2 h glucose
concentration during over two decades of follow-up in the community-based
Atherosclerosis Risk in Communities (ARIC) study. We aimed to analyse the
associations of definitions with outcomes to provide a comparison of different
definitions. METHODS: We did a prospective cohort study of participants in the
ARIC study who did not have diagnosed diabetes and who attended visit 2 (1990-92;
n=10 844) and who attended visit 4 (1996-98; n=7194). ARIC participants were
enrolled from four communities across the USA. Fasting glucose concentration and
HbA1c were measured at visit 2 and fasting glucose concentration and 2 h glucose
concentration were measured at visit 4. We compared prediabetes definitions based
on fasting glucose concentration (American Diabetes Association [ADA] fasting
glucose concentration cutoff 5.6-6.9 mmol/L and WHO fasting glucose concentration
cutoff 6.1-6.9 mmol/L), HbA1c (ADA HbA1c cutoff 5.7-6.4% [39-46 mmol/mol] and
International Expert Committee [IEC] HbA1c cutoff 6.0-6.4% [42-46 mmol/mol]), and
2 h glucose concentration (ADA and WHO 2 h glucose concentration cutoff 7.8-11.0
mmol/L). FINDINGS: Prediabetes defined using the ADA fasting glucose
concentration cutoff (prevalence 4112 [38%] of 10 844 people; 95% CI 37.0-38.8)
was the most sensitive for major clinical outcomes, whereas using the ADA HbA1c
cutoff (2027 [19%] of 10 884 people; 18.0-19.4) and IEC HbA1c cutoff (970 [9%] of
10 844 people; 8.4-9.5), and the WHO fasting glucose concentration cutoff (1213
[11%] of 10 844 people; 10.6-11.8) were more specific. After demographic
adjustment, HbA1c-based definitions of prediabetes had higher hazard ratios and
better risk discrimination for chronic kidney disease, cardiovascular disease,
peripheral arterial disease, and all-cause mortality than did fasting glucose
concentration-based definitions (all p<0.05). The C-statistic for incident
chronic kidney disease was 0.636 for ADA fasting glucose concentration clinical
categories and 0.640 for ADA HbA1c clinical categories (difference -0.005, 95% CI
-0.008 to -0.001). The C-statistics were 0.662 for ADA fasting glucose clinical
concentration categories and 0.672 for ADA HbA1c clinical categories for
atherosclerotic cardiovascular disease, 0.701 for ADA fasting glucose
concentration clinical categories and 0.722 for ADA HbA1c clinical categories for
peripheral arterial disease, and 0.683 for ADA fasting glucose concentration
clinical categories and 0.688 for ADA HbA1c clinical categories for all-cause
mortality. Prediabetes defined using the ADA HbA1c cutoff showed a significant
overall improvement in the net reclassification index for cardiovascular outcomes
and death compared with prediabetes defined with glucose-based definitions. ADA
fasting glucose concentration clinical categories, WHO fasting glucose
concentration clinical categories, and ADA and WHO 2 h glucose concentrations
clinical categories were not significantly different in terms of risk
discrimination for chronic kidney disease, cardiovascular outcomes, or mortality
outcomes. INTERPRETATION: Our results suggest that prediabetes definitions using
HbA1c were more specific and provided modest improvements in risk discrimination
for clinical complications. The definition of prediabetes using the ADA fasting
glucose concentration cutoff was more sensitive overall. FUNDING: US National
Institutes of Health.
PMID- 27863983
TI - Professor Alexander T. Florence: An exceptional scientist and man.
PMID- 27863981
TI - Physicians' Perceived Roles, as Well as Barriers, Toward Caring for Women Sex
Assault Survivors.
AB - BACKGROUND: Sexual assault (SA) affects about 40% of women in the United States
and has many mental and physical health sequelae. Physicians often do not address
SA with patients, although SA survivors describe a desire to talk to physicians
to obtain additional help. Little information exists on how providers perceive
their roles regarding caring for women SA survivors and what barriers they face
in providing this care. METHODS: We performed a qualitative study using
semistructured one-on-one interviews with 16 faculty physicians from five
specialties: obstetrics and gynecology (n = 4), internal medicine (n = 4), family
medicine (n = 1), emergency medicine (n = 3), and psychiatry (n = 4). Interviews
were conducted between July 2011 and July 2012, transcribed verbatim, and coded
using a constant comparative approach. Once a final coding scheme was applied to
all transcripts, we identified patterns and themes related to perceived roles and
barriers to caring for SA survivors. RESULTS: Physicians described two main
categories of roles: clinical tasks (e.g., testing and treating for sexually
transmitted infections, managing associated mental health sequelae) and
interpersonal roles (e.g., providing support, acting as patient advocate).
Physician barriers fell into three main categories: 1) internal barriers (e.g.,
discomfort with the topic of SA), 2) physician-patient communication, and 3)
system obstacles (e.g., competing priorities for time). CONCLUSIONS: Although
physicians describe key roles in caring for SA survivors, several barriers hinder
their ability to fulfill these roles. Training interventions are needed to reduce
the barriers that would ultimately improve clinical care for SA survivors.
PMID- 27863984
TI - Pharmacy preparations: Back in the limelight? Pharmacists make up your mind!
AB - In this contribution to the theme issue recognizing prof. Florence's achievements
as editor -in-chief of the Int. J. Pharmaceutics, we analyze the future of
pharmacy preparations (also known as extemporaneous preparations or compounded
products). Pharmacy preparations, long considered as an endangered part of the
pharmacy profession on its way to extinction, may be at the brink of a revival.
Drivers of this revival are a set of changes related to new clinical concepts and
supply shortages. Moreover, new production and IT paradigms are being developed
that facilitate the preparation processes and provide the necessary quality
management systems. Finally, more detailed legislation (EU) and guidelines (US)
gets a better hold on preparation in pharmacies. The question is now: is the
pharmacy profession willing to accept preparation of high quality medicines in
the pharmacy as an integral part of its professional tasks? If so, institutions
for pharmacy education should provide the required competences to the pharmacy
student. If not, alternative scenarios with other disciplines taking the lead
should be considered. Whatever the choice made, the 'Physicochemical principles
of pharmacy: in manufacture, formulation and clinical use' by Florence and
Attwood (2016); will be on the engineer/pharmacy student's desk.
PMID- 27863985
TI - High systemic availability of core-crosslinked polymeric micelles after
subcutaneous administration.
AB - Covalent entrapment of drug molecules within core-crosslinked polymeric micelles
(CCPM) represents an attractive approach to improve their therapeutic index. As
an alternative to the most commonly employed intravenous (i.v.) route,
subcutaneous (s.c.) administration offers the possibility of self-administration
and thereby may reduce healthcare costs. The aim of this work was to assess the
pharmacokinetic profile and systemic availability of drug-containing CCPM
following s.c. injection. We here derivatised dexamethasone (DMS) with three
different linkers, which enabled covalent attachment of this drug to the core of
CCPM. The obtained DMS-containing CCPM exhibited varying drug release kinetics in
vitro. Remarkably, a single dose of DMS-containing CCPM resulted in high systemic
availability of about 30% following s.c. injection into the flank of healthy
mice, as evidenced by an AUC between 26-37% relative to the AUC attained
following i.v. injection. Although different linkers resulted in moderate
variations in pharmacokinetic parameters, the overall pharmacokinetic profiles of
these i.v. or s.c. administered nanomedicines were not substantially different.
Next to DMS, we covalently attached paclitaxel (PTX) to the core of CCPM.
Similarly, a single s.c. dose of PTX-containing CCPM resulted in high systemic
availability of about 40% compared to i.v. injection and PTX (entrapped plus
released) was detected in the blood for at least 3days. Importantly, the systemic
availability of s.c. administered drug-containing CCPM is substantially higher
than that of other nanoformulations as reported in the literature (e.g. 3% in
rodents). These results demonstrate that s.c. administration is a promising route
to attain high systemic availability of CCPM, enabling a potentially more patient
friendly and cost-effective treatment approach than the i.v. route.
PMID- 27863982
TI - Predictors of Breast Cancer Worry in a Hispanic and Predominantly Immigrant
Mammography Screening Population.
AB - OBJECTIVE: Worry about developing breast cancer (BC) has been associated with
participation in screening and genetic testing and with follow-up of abnormal
screening results. Little is known about the scope and predictors of BC worry in
Hispanic and immigrant populations. METHODS: We collected in-person interview
data from 250 self-identified Hispanic women recruited from an urban mammography
facility (average age 50.4 years; 82% foreign-born). Women reported whether they
worried about developing breast cancer rarely/never (low worry), sometimes
(moderate worry), or often/all the time (high worry). We examined whether
sociocultural and psychological factors (e.g., acculturation, education,
perceived risk), and risk factors and objective risk for BC (e.g., family
history, Gail model 5-year risk estimates, parity) predicted BC worry using
multinomial and logistic regression. RESULTS: In multivariable models, women who
perceived higher absolute BC risk (odds ratio, 1.66 [95% confidence interval,
1.28-2.14] for a one-unit increase in perceived lifetime risk) and comparative BC
risk (e.g., odds ratio, 2.73, 95% confidence interval, 1.23-6.06) were more
likely to report high BC worry than moderate or low BC worry. There were no
associations between BC worry and indicators of objective risk or acculturation.
CONCLUSIONS: In Hispanic women undergoing screening mammography, higher
perceptions of BC risk, in both absolute and comparative terms, were associated
independently with high BC worry, and were stronger predictors of BC worry than
indicators of objective BC risk, including family history, mammographic density,
and personal BC risk estimates.
PMID- 27863986
TI - Microfluidics based manufacture of liposomes simultaneously entrapping
hydrophilic and lipophilic drugs.
AB - Despite the substantial body of research investigating the use of liposomes,
niosomes and other bilayer vesicles for drug delivery, the translation of these
systems into licensed products remains limited. Indeed, recent shortages in the
supply of liposomal products demonstrate the need for new scalable production
methods for liposomes. Therefore, the aim of our research has been to consider
the application of microfluidics in the manufacture of liposomes containing
either or both a water soluble and a lipid soluble drug to promote co-delivery of
drugs. For the first time, we demonstrate the entrapment of a hydrophilic and a
lipophilic drug (metformin and glipizide respectively) both individually, and in
combination, using a scalable microfluidics manufacturing system. In terms of the
operating parameters, the choice of solvents, lipid concentration and
aqueous:solvent ratio all impact on liposome size with vesicle diameter ranging
from ~90 to 300nm. In terms of drug loading, microfluidics production promoted
high loading within ~100nm vesicles for both the water soluble drug (20-25% of
initial amount added) and the bilayer embedded drug (40-42% of initial amount
added) with co-loading of the drugs making no impact on entrapment efficacy.
However, co-loading of glipizide and metformin within the same liposome
formulation did impact on the drug release profiles; in both instances the
presence of both drugs in the one formulation promoted faster (up to 2 fold)
release compared to liposomes containing a single drug alone. Overall, these
results demonstrate the application of microfluidics to prepare liposomal systems
incorporating either or both an aqueous soluble drug and a bilayer loaded drug.
PMID- 27863987
TI - Optimized synthesis and crystalline stability of gamma-cyclodextrin metal-organic
frameworks for drug adsorption.
AB - The biocompatible and renewable cyclodextrin metal-organic frameworks (CD-MOFs)
have addressed a range of opportunities in molecular storage and separation
sciences. The reported protocols for their synthesis, however, were carried out
at room temperature over long time periods of time (24h), producing crystals of
relatively poor uniformity. In this investigation, micron sized gamma-CD-MOFs
were synthesized by an optimized vapor diffusion method at elevated temperature
(50 degrees C) within 6h, after which the size control, crystalline stability and
drug adsorption behavior were investigated in detail. In this manner, uniform
cubic gamma-CD-MOF crystals were obtained when the reaction temperature was
raised to 50 degrees C with pre-addition of the reaction solvent. The size of
gamma-CD-MOFs was adjusted efficiently by changing the reactant concentrations,
temperatures, time, gamma-CD ratios to KOH and surfactant concentrations, without
influencing the porosity and crystallinity of the material markedly. Varing
degrees of reduction in crystallinity and change in morphology were observed when
the gamma-CD-MOF crystals are treated under conditions of high temperature (100
degrees C), high humidity (92.5%) and polar solvents (e.g., MeOH and DMF). In
relation to drug adsorption by gamma-CD-MOFs, most of the drug molecules
containing carboxyl groups showed relatively high adsorption (>5%), while low
adsorption (<5%) was found for drugs with nitrogen-containing heterocyclic rings.
In addition, the adsorption kinetics of captopril to standard gamma-CD-MOFs
matched a pseudo-second-order model rather well, whilst captopril adsorption to
the damaged gamma-CD-MOFs only partially matched the pseudo-second-order model.
In summary, based upon the optimized synthesis and size control of gamma-CD-MOFs,
the crystalline stability and drug adsorption characteristics of gamma-CD-MOF
crystals have been evaluated as a fundamental requirement of a potential vehicle
for drug delivery.
PMID- 27863988
TI - Ibuprofen delivery into and through the skin from novel oxidized cellulose-based
gels and conventional topical formulations.
AB - The delivery of ibuprofen into and through the skin from novel formulations
containing TEMPO-oxidized cellulose nanofibril-based (TOCN) gels was compared to
that from two conventional and commercially available products. The gels were
evaluated in-vitro (using both silicone membranes, and pig skin) and in-vivo in
human volunteers. All gels showed consistent behaviour in a standard in vitro
release test. The stratum corneum (SC) uptake and skin penetration of ibuprofen
in vitro from the novel gels and the marketed formulations were generally
comparable even though the drug loading in the TOCN-based vehicles was only 20%
of that in the 'reference' products. In vivo, the new gels appeared to enhance
drug uptake into the SC following a relatively short application time, again
matching the performance of the commercial formulations. Taken together, the
results of this research provide proof-of-concept for the idea that the
sustainable, oxidized cellulose gels may provide more efficient drug delivery
into and through the skin, thereby improving drug utilisation and reducing
potential adverse effects when such formulations are applied chronically over
large skin areas.
PMID- 27863989
TI - The gut in the beaker: Missing the surfactants?
AB - Gastrointestinal drug administration is the preferred route for the majority of
drugs however, the natural physiology and physicochemistry of the
gastrointestinal tract is critical to absorption but complex and influenced by
factors such as diet or disease. The pharmaceutical sciences drive for product
consistency has led to the development of in vitro product performance tests
whose utility and interpretation is hindered by the complexity, variability and a
lack of understanding. This article explores some of these issues with respect to
the drug, formulation and the presence of surfactant excipients and how these
interact with the natural bile salt surfactants. Interactions start in the mouth
and during swallowing but the stomach and small intestine present the major
challenges related to drug dissolution, solubility, the impact of surfactants and
supersaturation along with precipitation. The behaviour of lipid based
formulations and the influence of surfactant excipients is explored along with
the difficulties of translating in vitro results to in vivo performance. Possible
future research areas are highlighted with the conclusion that, "a great deal of
work using modern methods is still required to clarify the situation".
PMID- 27863991
TI - Applicability of the modified CHA2DS2-VASc score for stroke risk stratification
in Caucasian atrial fibrillation patients.
PMID- 27863990
TI - Cytochrome P450 enzymes but not NADPH oxidases are the source of the NADPH
dependent lucigenin chemiluminescence in membrane assays.
AB - : Measuring NADPH oxidase (Nox)-derived reactive oxygen species (ROS) in living
tissues and cells is a constant challenge. All probes available display
limitations regarding sensitivity, specificity or demand highly specialized
detection techniques. In search for a presumably easy, versatile, sensitive and
specific technique, numerous studies have used NADPH-stimulated assays in
membrane fractions which have been suggested to reflect Nox activity. However, we
previously found an unaltered activity with these assays in triple Nox knockout
mouse (Nox1-Nox2-Nox4-/-) tissue and cells compared to wild type. Moreover, the
high ROS production of intact cells overexpressing Nox enzymes could not be
recapitulated in NADPH-stimulated membrane assays. Thus, the signal obtained in
these assays has to derive from a source other than NADPH oxidases. Using a
combination of native protein electrophoresis, NADPH-stimulated assays and mass
spectrometry, mitochondrial proteins and cytochrome P450 were identified as
possible source of the assay signal. Cells lacking functional mitochondrial
complexes, however, displayed a normal activity in NADPH-stimulated membrane
assays suggesting that mitochondrial oxidoreductases are unlikely sources of the
signal. Microsomes overexpressing P450 reductase, cytochromes b5 and P450
generated a NADPH-dependent signal in assays utilizing lucigenin, L-012 and
dihydroethidium (DHE). Knockout of the cytochrome P450 reductase by CRISPR/Cas9
technology (POR-/-) in HEK293 cells overexpressing Nox4 or Nox5 did not interfere
with ROS production in intact cells. However, POR-/- abolished the signal in
NADPH-stimulated assays using membrane fractions from the very same cells.
Moreover, membranes of rat smooth muscle cells treated with angiotensin II showed
an increased NADPH-dependent signal with lucigenin which was abolished by the
knockout of POR but not by knockout of p22phox. IN CONCLUSION: the cytochrome
P450 system accounts for the majority of the signal of Nox activity
chemiluminescence based assays.
PMID- 27863992
TI - Delirium: Time to climb this babylon tower.
PMID- 27863993
TI - Association between Micronutrients Intake/Status and Carotid Intima Media
Thickness: A Systematic Review.
AB - BACKGROUND: Carotid intima media thickness (IMT) is a noninvasive marker of the
extent and severity of subclinical atherosclerosis. Micronutrient intake may
affect atherosclerosis and play a major role in the development of cardiovascular
diseases (CVDs). OBJECTIVE: The primary aim of this review was to synthesize the
evidence regarding the association between carotid IMT and selected
micronutrients. METHOD: The authors searched PubMed, Cochrane, and EMBASE
databases from inception to June 2016 for selected micronutrients, CVD, carotid
IMT, and antioxidants. Thirty-five original studies met the inclusion criteria
and were reviewed following preferred reporting items for systematic review and
meta-analysis guidelines. RESULTS: Although not all studies found consistent
results, the weight of the evidence suggests that high intakes and/or circulatory
levels of magnesium, as well as vitamin D and the vitamin B group, may be
associated with lower carotid IMT or reduced progression of carotid IMT. The
majority of studies did not find any significant association between vitamin E
and C and carotid IMT. Less evidence was available for associations of retinol,
zinc, and iron with carotid IMT. CONCLUSIONS: In general, the current evidence
concerning micronutrient intake and carotid IMT is largely inconclusive.
Pragmatic clinical trials are required to determine whether dietary or
supplemental intake of specific micronutrients alters carotid IMT, which is a
surrogate measure of cardiovascular risk.
PMID- 27863994
TI - Understanding the Physics of Functional Fibers in the Gastrointestinal Tract: An
Evidence-Based Approach to Resolving Enduring Misconceptions about Insoluble and
Soluble Fiber.
AB - Enduring misconceptions about the physical effects of fiber in the gut have led
to misunderstandings about the health benefits attributable to insoluble and
soluble fiber. This review will focus on isolated functional fibers (eg, fiber
supplements) whose effects on clinical outcomes have been readily assessed in
well-controlled clinical studies. This review will also focus on three health
benefits (cholesterol lowering, improved glycemic control, and normalizing stool
form [constipation and diarrhea]) for which reproducible evidence of clinical
efficacy has been published. In the small bowel, clinically meaningful health
benefits (eg, cholesterol lowering and improved glycemic control) are highly
correlated with the viscosity of soluble fibers: high viscosity fibers (eg, gel
forming fibers such as b-glucan, psyllium, and raw guar gum) exhibit a
significant effect on cholesterol lowering and improved glycemic control, whereas
nonviscous soluble fibers (eg, inulin, fructooligosaccharides, and wheat dextrin)
and insoluble fibers (eg, wheat bran) do not provide these viscosity-dependent
health benefits. In the large bowel, there are only two mechanisms that drive a
laxative effect: large/coarse insoluble fiber particles (eg, wheat bran)
mechanically irritate the gut mucosa stimulating water and mucous secretion, and
the high water-holding capacity of gel-forming soluble fiber (eg, psyllium)
resists dehydration. Both mechanisms require that the fiber resist fermentation
and remain relatively intact throughout the large bowel (ie, the fiber must be
present in stool), and both mechanisms lead to increased stool water content,
resulting in bulky/soft/easy-to-pass stools. Soluble fermentable fibers (eg,
inulin, fructooligosaccharide, and wheat dextrin) do not provide a laxative
effect, and some fibers can be constipating (eg, wheat dextrin and fine/smooth
insoluble wheat bran particles). When making recommendations for a fiber
supplement, it is essential to recognize which fibers possess the physical
characteristics required to provide a beneficial health effect, and which fiber
supplements are supported by reproducible, rigorous evidence of one or more
clinically meaningful health benefits.
PMID- 27863996
TI - Interventions to improve adherence to antiretroviral therapy: a systematic review
and network meta-analysis.
AB - BACKGROUND: High adherence to antiretroviral therapy is crucial to the success of
HIV treatment. We evaluated comparative effectiveness of adherence interventions
with the aim of informing the WHO's global guidance on interventions to increase
adherence. METHODS: For this systematic review and network meta-analysis, we
searched for randomised controlled trials of interventions that aimed to improve
adherence to antiretroviral therapy regimens in populations with HIV. We searched
Cochrane Central Register of Controlled Trials, Embase, and MEDLINE for reports
published up to July 16, 2015, and searched major conference abstracts from Jan
1, 2013, to July 16, 2015. We extracted data from eligible studies for study
characteristics, interventions, patients' characteristics at baseline, and
outcomes for the study populations of interest. We used network meta-analyses to
compare adherence and viral suppression for all study settings (global network)
and for studies in low-income and middle-income countries only (LMIC network).
FINDINGS: We obtained data from 85 trials with 16 271 participants. Short message
service (SMS; text message) interventions were superior to standard of care in
improving adherence in both the global network (odds ratio [OR] 1.48, 95%
credible interval [CrI] 1.00-2.16) and in the LMIC network (1.49, 1.04-2.09).
Multiple interventions showed generally superior adherence to single
interventions, indicating additive effects. For viral suppression, only cognitive
behavioural therapy (1.46, 1.05-2.12) and supporter interventions (1.28, 1.01
1.71) were superior to standard of care in the global network; none of the
interventions improved viral response in the LMIC network. For the global
network, the time discrepancy (whether the study outcome was measured during or
after intervention was withdrawn) was an effect modifier for both adherence to
antiretroviral therapy (coefficient estimate -0.43, 95% CrI -0.75 to -0.11) and
viral suppression (-0.48; -0.84 to -0.12), suggesting that the effects of
interventions wane over time. INTERPRETATION: Several interventions can improve
adherence and viral suppression; generally, their estimated effects were modest
and waned over time. FUNDING: WHO.
PMID- 27863997
TI - A link between antiretrovirals and perinatal outcomes?
PMID- 27863995
TI - Tumor-targeted delivery of sunitinib base enhances vaccine therapy for advanced
melanoma by remodeling the tumor microenvironment.
AB - Development of an effective treatment against advanced tumors remains a major
challenge for cancer immunotherapy. We have previously developed a potent mannose
modified lipid calcium phosphate (LCP) nanoparticle (NP)-based Trp2 vaccine for
melanoma therapy, but because this vaccine can induce a potent anti-tumor immune
response only during the early stages of melanoma, poor tumor growth inhibition
has been observed in more advanced melanoma models, likely due to the development
of an immune-suppressive tumor microenvironment (TME). To effectively treat this
aggressive tumor, a multi-target receptor tyrosine kinase inhibitor, sunitinib
base, was efficiently encapsulated into a targeted polymeric micelle nano
delivery system (SUNb-PM), working in a synergistic manner with vaccine therapy
in an advanced mouse melanoma model. SUNb-PM not only increased cytotoxic T-cell
infiltration and decreased the number and percentage of MDSCs and Tregs in the
TME, but also induced a shift in cytokine expression from Th2 to Th1 type while
remodeling the tumor-associated fibroblasts, collagen, and blood vessels in the
tumor. Additionally, inhibition of the Stat3 and AKT signaling pathways by SUNb
PM may induce tumor cell apoptosis or decrease tumor immune evasion. Our findings
indicated that targeted delivery of a tyrosine kinase inhibitor to tumors can be
used in a novel synergistic way to enhance the therapeutic efficacy of existing
immune-based therapies for advanced melanoma.
PMID- 27863999
TI - Assessing progress with HIV incidence in national cohorts.
PMID- 27863998
TI - Swaziland HIV Incidence Measurement Survey (SHIMS): a prospective national cohort
study.
AB - BACKGROUND: Swaziland has the highest national HIV prevalence worldwide. The
Swaziland HIV Incidence Measurement Survey (SHIMS) provides the first national
HIV incidence estimate based on prospectively observed HIV seroconversions.
METHODS: A two-stage survey sampling design was used to select a nationally
representative sample of men and women aged 18-49 years from 14 891 households in
575 enumeration areas in Swaziland, who underwent household-based counselling and
rapid HIV testing during 2011. All individuals aged 18-49 years who resided or
had slept in the household the night before and were willing to undergo home
based HIV testing, answer demographic and behavioural questions in English or
siSwati, and provide written informed consent were eligible for the study. We
performed rapid HIV testing and assessed sociodemographic and behavioural
characteristics with use of a questionnaire at baseline and, for HIV-seronegative
individuals, 6 months later. We calculated HIV incidence with Poisson regression
modelling as events per person-years * 100, and we assessed covariables as
predictors with Cox proportional hazards modelling. Survey weighting was applied
and all models used survey sampling methods. FINDINGS: Between Dec 10, 2010, and
June 25, 2011, 11 897 HIV-seronegative adults were enrolled in SHIMS and 11 232
(94%) were re-tested. Of these, 145 HIV seroconversions were observed, resulting
in a weighted HIV incidence of 2.4% (95% CI 2.1-2.8). Incidence was nearly twice
as high in women (3.1%; 95% CI 2.6-3.7) as in men (1.7%; 1.3-2.1, p<0.0001).
Among men, partner's HIV-positive status (adjusted hazard ratio [aHR] 2.67, 1.06
6.82, p=0.040) or unknown serostatus (aHR 4.64, 2.32-9.27, p<0.0001) in the past
6 months predicted HIV seroconversion. Among women, significant predictors
included not being married (aHR 2.90, 1.44-5.84, p=0.0030), having a spouse who
lives elsewhere (aHR 2.66, 1.29-5.45, p=0.0078), and having a partner in the past
6 months with unknown HIV status (aHR 2.87, 1.44-5.84, p=0.0030). INTERPRETATION:
Swaziland has the highest national HIV incidence in the world. In high-prevalence
countries, population-based incidence measures and programmes that further expand
HIV testing and support disclosure of HIV status are needed. FUNDING: President's
Emergency Plan for AIDS Relief (PEPFAR) by the Centers for Disease Control and
Prevention.
PMID- 27864000
TI - Timing of initiation of antiretroviral therapy and adverse pregnancy outcomes: a
systematic review and meta-analysis.
AB - BACKGROUND: Although lifelong combination antiretroviral therapy (ART) is
recommended for all individuals with HIV, few data exist for pregnancy outcomes
associated with ART initiation before conception. We assessed adverse pregnancy
outcomes associated with ART initiated before conception compared with that of
ART started after conception. METHODS: We did a systematic review of studies from
low-income, middle-income, and high-income countries by searching the Cochrane
Central Register of Controlled Trials, Embase, LILACS, MEDLINE, Toxline, Web of
Knowledge, and WHO Global Index Medicus and trials in progress (International
Clinical Trials Registry Platform) for randomised trials, quasi-randomised
trials, and prospective cohort studies done between Jan 1, 1980, and June 1,
2016, in which timing of ART initiation in pregnant women living with HIV was
reported. We used the risk ratio (RR) and corresponding 95% CIs as the primary
measure to assess the association between the selected outcomes and ART
initiation before conception versus after conception. We used a random-effects
model to pool risk ratios. FINDINGS: We included 11 studies with 19 189 mother
infant pairs. Women who started ART before conception were significantly more
likely to deliver preterm (pooled RR 1.20, 95% CI 1.01-1.44) or very preterm
(1.53, 1.22-1.92), or to have low-birthweight infants (1.30, 1.04-1.62) than were
those who began ART after conception. Few data exist for neonatal mortality. The
risk of very low birthweight, small for gestational age, severe small for
gestational age, stillbirth, and congenital anomalies did not differ
significantly between women who were taking ART before conception and those who
began ART after conception. INTERPRETATION: The benefits of ART for maternal
health and prevention of perinatal transmission outweigh risks, but data for the
extent and severity of these risks are scarce and of low quality. As use of ART
before conception rapidly increases globally, monitoring for potential adverse
pregnancy outcomes will be crucial. FUNDING: WHO.
PMID- 27864001
TI - Actionable adherence monitoring to optimise intervention.
PMID- 27864002
TI - Gamma-tocotrienol reverses multidrug resistance of breast cancer cells with a
mechanism distinct from that of atorvastatin.
AB - In addition to its antioxidant properties, gamma-tocotrienol also has the ability
to inhibit HMG-CoA reductase, which is the key enzyme in the mevalonate pathway
for cholesterol biosynthesis. Statins, the competitive inhibitors of HMG-CoA
reductase, display potent anticancer activity and reversal ability of multidrug
resistance in a variety of tumor cells, which is believed to be due to their
inhibition of HMG-CoA reductase. Here, we determined the role of the mevalonate
pathway in gamma-tocotrienol-mediated reversal of multidrug resistance in cancer
cells. We found both gamma-tocotrienol and atorvastatin effectively reversed
multidrug resistance of MCF-7/Adr and markedly inhibited the intracellular levels
of FPP and GGPP. Exogenous addition of mevalonate or FPP and GGPP almost
completely prevented the reversal ability of atorvastatin but only partly
attenuated the reversal effect of gamma-tocotrienol on doxorubicin resistance. In
addition, gamma-tocotrienol actively inhibited the expression of P-gp and
increased the accumulation of doxorubicin in cells, which led to the enhanced
G2/M arrest and cell apoptosis. Taken together, gamma-tocotrienol reversed the
multidrug resistance of MCF-7/Adr with a mechanism distinct from that of
atorvastatin. Instead of the mevalonate pathway, the inhibition of P-gp
expression is a potential mechanism by which gamma-tocotrienol reverses multidrug
resistance in MCF-7/Adr.
PMID- 27864003
TI - Novel screening system for high-affinity ligand of heredity vitamin D-resistant
rickets-associated vitamin D receptor mutant R274L using bioluminescent sensor.
AB - Hereditary vitamin D-resistant rickets (HVDRR) is caused by mutations in the
vitamin D receptor (VDR) gene. Arg274 located in the ligand binding domain (LBD)
of VDR is responsible for anchoring 1alpha,25-dihydroxyvitamin D3
(1alpha,25(OH)2D3) by forming a hydrogen bond with the 1alpha-hydroxyl group of
1alpha,25(OH)2D3. The Arg274Leu (R274L) mutation identified in patients with
HVDRR causes a 1000-fold decrease in the affinity for 1alpha,25(OH)2D3, and
dramatically reduces vitamin D- related gene expression. Recently, we
successfully constructed fusion proteins consisting of split-luciferase and LBD
of the VDR. The chimeric protein LucC-LBD-LucN, which displays the C-terminal
domain of luciferase (LucC) at its N-terminus, can detect and discriminate
between VDR agonists and antagonists. The LucC-LBD (R274L)-LucN was constructed
to screen high-affinity ligands for the mutant VDR (R274L). Of the 33 vitamin D
analogs, 5 showed much higher affinities for the mutant VDR (R274L) than
1alpha,25(OH)2D3, and 2alpha-[2-(tetrazol-2-yl)ethyl]-1alpha,25-(OH)2D3 showed
the highest affinity. These compounds might be potential therapeutics for HVDRR
caused by the mutant VDR (R274L).
PMID- 27864004
TI - Beta adrenergic blockade and decompensated cirrhosis.
AB - Non-selective betablockers (NSBBs) remain the cornerstone of medical treatment of
portal hypertension. The evidence for their efficacy to prevent variceal bleeding
is derived from prospective trials, which largely excluded patients with
refractory ascites and renal failure. In parallel to the increasing knowledge on
portal hypertension-induced changes in systemic hemodynamics, cardiac function,
and renal perfusion, emerging studies have raised concerns about harmful effects
of NSBBs. Clinicians are facing an ongoing controversy on the use of NSBBs in
patients with advanced cirrhosis. On the one hand, NSBBs are effective in
preventing variceal bleeding and might also have beneficial non-hemodynamic
effects, however, they also potentially induce hypotension and limit the cardiac
reserve. An individualized NSBB regimen tailored to the specific
pathophysiological stage of cirrhosis might optimize patient management at this
point. This article aims to give practical recommendations on the use of NSBBs in
patients with decompensated cirrhosis.
PMID- 27864005
TI - Changes in DTI parameters in the optic tracts of macaque monkeys with monocular
blindness.
AB - For humans and non-human primates, the alteration of the visual pathway's white
matter fibers after visual deprivation has been partially explored. However, the
changes in the optic tracts after the transection of the optic nerve have not
been well characterized. In the current study, we attempted to investigate the
differences in optic tracts between normal and unilateral optic nerve transected
macaque monkeys using diffusion tensor imaging (DTI). Four healthy neonatal
macaque monkeys were randomly divided into 2 groups, with 2 in each group. Group
A served as a control group, and Group B underwent unilateral (right eye) optic
nerve transection to produce monocular blindness. Sixteen months (Group B16M) and
thirty-two months (Group B32M) after optic nerve transection, diffusion tensor
imaging was performed on all monkeys. Then, we compared fractional anisotropy
(FA), mean diffusivity (MD), axial diffusivity (AD) and radial diffusivity (RD)
in bilateral optic tracts between Group A and Group B and between Group B16M and
Group B32M. In both Group B16M and Group B32M, when compared with normal monkeys
in Group A, FA was decreased and MD, AD and RD were increased in the bilateral
optic tracts of monkeys with monocular blindness. Furthermore, compared with
Group B16M, FA was reduced and MD, AD, RD were more obviously increased in the
bilateral optic tracts of Group B32M, and noticeable differences in MD, AD and RD
were found between the left and right optic tracts in group B32M. We believe that
the results of this study would be helpful in investigation of the histological
abnormalities of the integrity damage, axonal degeneration and demyelination of
optic tracts in macaque monkeys with monocular blindness by DTI parameters in
noninvasively and quantitatively.
PMID- 27864006
TI - Corrections.
PMID- 27864007
TI - Six generations of epidermolytic palmoplantar keratoderma, associated with a KRT9
R163W mutation.
AB - Epidermolytic palmoplantar keratoderma (EPPK) is a rare autosomal dominant skin
disorder characterized by diffuse hyperkeratosis on the palms and soles. Whole
exome sequencing (WES) has become a powerful tool for the detection of rare
causal variants of Mendelian disorders. However, no causal gene for EPPK in the
Uygur population has been identified until now, and no treatment exists than can
address the underlying pathology.WES analysis was undertaken on two individuals
from a large Uygur EPPK pedigree whose disease locus mapped to 17q21.2
(chr:38994621-39893408) following previous linkage analysis. KRT9
(NM_000226.3:c.487C>T, p.Arg163Trp), and KRT15 (XM_005257346.1:c.212G>T,
XP_005257403.1:p.Gly71Val) located in this region, have been identified as two
candidate causative genes for EPPK in the Uygur family. Sanger sequencing was
conducted on this region in other affected individuals (n = 38) from this family,
non-affected individuals (n = 56) from this family and 100 unrelated controls.
The missense mutation KRT9 c.487C>T, identified in this large Uygur population,
is a potential causative mutation. To date, EPPK has no effective therapy, and
siRNA is a potential avenue for EPPK therapy. To investigate this, full-length
wild-type Keratin9 (KRT9; pKRT9-WT) and p.Arg163Trp (pKRT9-R163W) were then
transfected into HaCaT cells. The small interfering RNAs targeting the KRT9 R163W
mutant and wildtype KRT9 were transfected into HaCaT cells, and total RNA
isolated at 72 h post-transfection. Quantitative polymerase chain reaction and
western blotting were used to analyse the effects of knock-down on KRT9 mRNA and
protein levels, respectively. siRNA was shown to specifically inhibit mutant KRT9
mRNA and protein expression (p < 0.01, with 95% confidence limits). Our study
suggests that KRT9 is a causal gene for EPPK. This information is helpful for
understanding the pathogenesis of EPPK in the Uygur population and raises the
possibility of designing a novel siRNA treatment strategy for this population of
EPPK patients.
PMID- 27864009
TI - Evolutionary dynamics of triosephosphate isomerase gene intron location pattern
in Metazoa: A new perspective on intron evolution in animals.
AB - Intron evolution, including its dynamics in the evolutionary transitions and
diversification of eukaryotes, remains elusive. Inadequate taxon sampling due to
data shortage, unclear phylogenetic framework, and inappropriate outgroup
application might be among the causes. Besides, the integrity of all the introns
within a gene was often neglected previously. Taking advantage of the ancient
conserved triosephosphate isomerase gene (tim), the relatively robust phylogeny
of Metazoa, and choanoflagellates as outgroup, the evolutionary dynamics of tim
intron location pattern (ILP) in Metazoa was investigated. From 133
representative species of ten phyla, 30 types of ILPs were identified. A most
common one, which harbors the maximum six intron positions, is deduced to be the
common ancestral tim ILP of Metazoa, which almost had formed in their protozoan
ancestor and was surprisingly retained and passed down till to each ancestors of
metazoan phyla. In the subsequent animal diversification, it underwent different
evolutionary trajectories: within Deuterostomia, it was almost completely
retained only with changes in a few species with relatively recently fast
evolving histories, while within the rapidly radiating Protostomia, besides few
but remarkable retention, it usually displayed extensive intron losses and a few
gains. Therefore, a common ancestral exon-intron arrangement pattern of an animal
gene is definitely discovered; besides the 'intron-rich view' of early animal
genes being confirmed, the novel insight that high exon-intron re-arrangements of
genes seem to be associated with the relatively recently rapid evolution of
lineages/species/genomes but have no correlation with the ancient major
evolutionary transitions in animal evolution, is revealed.
PMID- 27864008
TI - Renal plasma flow (RPF) measured with multiple-inversion-time arterial spin
labeling (ASL) and tracer kinetic analysis: Validation against a dynamic contrast
enhancement method.
AB - PURPOSE: To propose and validate a method for accurately quantifying renal plasma
flow (RPF) with arterial spin labeling (ASL). MATERIALS AND METHODS: The proposed
method employs a tracer-kinetic approach and derives perfusion from the slope of
the ASL difference signal sampled at multiple inversion-times (TIs). To validate
the method's accuracy, we performed a HIPAA-compliant and IRB-approved study with
15 subjects (9 male, 6 female; age range 24-73) to compare RPF estimates obtained
from ASL to those from a more established dynamic contrast-enhanced (DCE) MRI
method. We also investigated the impact of TI-sampling density on the accuracy of
estimated RPF. RESULTS: Good agreement was found between ASL- and DCE-measured
RPF, with a mean difference of 9+/-30ml/min and a correlation coefficient R=0.92
when ASL signals were acquired at 16 TIs and a mean difference of 9+/-57ml/min
and R=0.81 when ASL signals were acquired at 5 TIs. RPF estimated from ASL
signals acquired at only 2 TIs (400 and 1200ms) showed a low correlation with DCE
measured values (R=0.30). CONCLUSION: The proposed ASL method is capable of
measuring RPF with an accuracy that is comparable to DCE MRI. At least 5 TIs are
recommended for the ASL acquisition to ensure reliability of RPF measurements.
PMID- 27864010
TI - Differentially expressed genes in the testicular tissues of adenylyl cyclase 3
knockout mice.
AB - Adenylate cyclase 3 (AC3) is an important component of the cyclic adenosine 3',5'
monophosphate (cAMP) signaling pathway and converts adenosine triphosphate into
cAMP. Male mice with AC3 deletion (AC3-/-) are sterile. However, the mechanical
mechanism remains unclear. By TUNEL staining, we found that cell apoptosis in the
testicular tissues of AC3-/- mice increased significantly compared with that in
the wild-type (AC3+/+) mice. Differentially expressed genes regulated by AC3 in
the testicular tissues were identified by gene chip hybridization. We observed
that the expression of 693 genes was altered in the testicular tissues of AC3-/-
mice, including 330 up-regulated and 363 down-regulated gene expression with fold
changes higher than 2 (>=2) as the standards. Furthermore, part of these
differentially expressed genes was verified by the real-time fluorescence
quantification PCR and immunofluorescent staining. The expression levels of the
genes related to olfactory receptors, cell apoptosis, transcriptional activity,
defensive reaction, cell adhesion, cell death, and immunoreactions were
significantly altered in the testicular tissues of AC3-/- mice compared with
AC3+/+ mice. In addition, the corresponding Ca2+, cAMP, and cell adhesion
signaling pathways, as well as the signaling pathways related to axon guidance
and cell interaction, were altered significantly in the AC3-/- mice. These data
would help elucidate the general understanding of the mechanisms underlying the
sterility in AC3-/- male mice.
PMID- 27864011
TI - Molecular characterization of Candida isolates from intensive care unit patients,
Krakow, Poland.
AB - BACKGROUND: Over the last decades, Candida species have emerged as important
pathogens in immunocompromised patients. Nosocomial infections are mainly of
endogenous origin. Nevertheless, some cases of exogenous candidiasis have also
been reported. AIMS: The aim of this study was to evaluate the genetic
relatedness between Candida albicans, Candida glabrata, Candida tropicalis,
Candida krusei and Candida kefyr isolates recovered from intensive care unit
(ICU) patients. METHODS: A total of 132 Candida clinical isolates (62 C.
albicans, 40 C. glabrata, 13 C. tropicalis, 11 C. krusei, 6 C. kefyr), obtained
from specimens of endotracheal aspirate, urine and blood taken from patients of a
tertiary hospital in Poland, were included in the study. Species identification
was performed by PCR method and genetic relatedness was assessed by randomly
amplified polymorphic DNA assay (RAPD) with five primers. RESULTS: The RAPD
analysis revealed high genetic diversity among the studied Candida isolates,
indicating that most of the strains were from endogenous sources. Only two clonal
strains of C. glabrata isolated from different patients were observed, suggesting
a possible cross-transmission of these pathogens. CONCLUSIONS: Our study
confirmed the high discriminatory power of the RAPD assay. This genotyping method
can be applied to local epidemiological studies of Candida species.
PMID- 27864012
TI - Toolbox of multiple-item measures aligning with the ICF Core Sets for children
and youth with cerebral palsy.
AB - Selecting appropriate measure(s) for clinical and/or research applications for
children and youth with Cerebral Palsy (CP) poses many challenges. The newly
developed International Classification of Functioning, Disability and Health
(ICF) Core Sets for children and youth with CP serve as universal guidelines for
assessment, intervention and follow-up. The aims of this study were: 1) to
identify valid and reliable measures used in studies with children and youth with
CP, 2) to characterize the content of each measure using the ICF Core Sets for
children and youth with CP as a framework, and finally 3) to create a toolbox of
psychometrically sound measures covering the content of each ICF Core Set for
children and youth with CP. All clearly defined multiple-item measures used in
studies with CP between 1998 and 2015 were identified. Psychometric properties
were extracted when available. Construct of the measures were linked to the ICF
Core Sets. Overall, 83 multiple-item measures were identified. Of these, 68
measures (80%) included reliability and validity testing. The majority of the
measures were discriminative, generic and designed for school-aged children. The
degree to which measures with proven psychometric properties represented the ICF
Core Sets for children and youth with CP varied considerably. Finally, 25 valid
and reliable measures aligned highly with the content of the ICF Core Sets, and
as such, these measures are proposed as a novel ICF Core Sets-based toolbox of
measures for CP. Our results will guide professionals seeking appropriate
measures to meet their research and clinical needs worldwide.
PMID- 27864013
TI - Factors predictive of response, disease progression, and overall survival after
dabrafenib and trametinib combination treatment: a pooled analysis of individual
patient data from randomised trials.
AB - BACKGROUND: Dabrafenib plus trametinib treatment provides significant benefits
over BRAF-inhibitor monotherapy in patients with BRAFV600E-mutant or BRAFV600K
mutant advanced melanoma; however, in many patients the disease progresses,
leading to death. With many treatment options available, understanding clinical
factors that predict long-term response and survival for treatments is important
for optimisation of patient management. We aimed to identify clinical factors
associated with long-term response and survival using pooled data from randomised
trials of dabrafenib plus trametinib in patients with metastatic BRAF-mutant
melanoma. METHODS: We did a retrospective individual data analysis based on all
published randomised trials that included treatment-naive patients with BRAFV600E
mutant or BRAFV600K-mutant metastatic melanoma who received the approved dose of
dabrafenib 150 mg twice daily plus trametinib 2 mg once daily. Data were pooled
from patients in the BRF113220 (part C; March 26, 2010, to Jan 15, 2015), COMBI-d
(May 4, 2012, to Jan 12, 2015), and COMBI-v (June 4, 2012, to March 13, 2015)
randomised trials. Patients with untreated brain metastases were not permitted to
enrol in these trials. Baseline factors, identified a priori based on known
melanoma clinical or prognostic characteristics, were analysed for association
with progression-free survival and overall survival using univariate and
multivariate analyses and assessed for hierarchical effect on outcomes using
regression tree analyses. We also analysed factors identified after baseline, on
treatment, and at progression, for associations with survival after progression.
The trials included in this analysis are registered with ClinicalTrials.gov:
BRF113220, number NCT01072175; COMBI-d, number NCT01584648; COMBI-v, number
NCT01597908. FINDINGS: 617 patients were included in this analysis with a median
follow-up of 20.0 months (range 0-48.0, IQR 10.1-24.8); 396 patients had
progression events (ie, disease progression or death) and 290 patients had died.
Median progression-free survival (11.1 months [95% CI 9.7-12.9]), median overall
survival (25.6 months [23.1-34.3]), 1-year progression-free survival (48% [44
52]) and overall survival (74% [71-78]), and 2-year progression-free survival
(30% [26-34]) and overall survival (53% [49-57]) were consistent with those in
the individual trials. Patients with normal lactate dehydrogenase (LDH)
concentration and fewer than three organ sites containing metastases (n=237) had
the longest 1-year progression-free survival (68% [95% CI 62-74]) and overall
survival (90% [87-94]) and 2-year progression-free survival (46% [40-54]) and
overall survival (75% [70-81]), whereas patients with LDH concentration at least
two times the upper limit of normal (n=70) had the shortest 1-year progression
free survival (8% [3-19]) and overall survival (40% [29-55]) and 2-year
progression-free survival (2% [0-13]) and overall survival (7% [3-19]). Of
patients with disease progression (n=379), survival after progression was longest
in those with progression in baseline or new non-CNS lesions (n=205; median 10.0
months [95% CI 7.9-12.0]) and shortest in those with new CNS lesions or
concurrent progression in baseline and new lesions (n=171; median 4.0 months [3.5
4.9]). INTERPRETATION: Several patient and clinical characteristics at and after
baseline are associated with outcomes with dabrafenib plus trametinib, and
durable benefit is possible with targeted treatment in defined patient subsets.
FUNDING: Novartis.
PMID- 27864014
TI - Challenges of data sharing: valuable but costly?
PMID- 27864016
TI - Generation of antigen-specific cytotoxic T lymphocytes with activated B cells.
AB - BACKGROUND AIMS: Dendritic cells are well known as the most potent antigen
presenting cells. Nonetheless, their use in immunotherapy has been limited by the
time-consuming and laborious steps involved in their generation in vitro.
Therefore, much attention has been placed on alternative antigen-presenting cells
that are relatively more convenient to manipulate. METHODS: In this study, the
efficacy of B cells as antigen-presenting cells, compared with dendritic cells,
in the induction of cytotoxic T lymphocytes against cytomegalovirus-specific
antigens was evaluated. B cells were isolated from the peripheral blood
mononuclear cells of healthy individuals, loaded with alpha-galactosylceramide
for activation, and nucleofected with cytomegalovirus-antigen coding plasmid DNA.
Antigen-nucleofected B cells or dendritic cells were cocultured with T cells for
14 days in vitro. RESULTS: The proliferation of cytotoxic T lymphocytes induced
by B cells was similar to that of those induced by dendritic cells. Additionally,
the immunogenicity of both sets of cytotoxic T lymphocytes was similar not only
in interferon-gamma enzyme-linked immunospot assays but also in cytotoxicity
assays. DISCUSSION: These observations suggest that alpha-galactosylceramide
loaded B cells could be used as antigen-presenting cells as an alternative to
dendritic cells. Using B cells has several benefits, including cost-effectiveness
and being both less time-consuming and less labor-intensive.
PMID- 27864017
TI - Value-added products from dairy waste using edible fungi.
PMID- 27864018
TI - Synthesis and biological evaluation of novel 3-O-tethered triazoles of diosgenin
as potent antiproliferative agents.
AB - Diosgenin, a promising anticancer steroidal sapogenin, was isolated from
Dioscorea deltoidea. Keeping its stereochemistry rich architecture intact, a
scheme for the synthesis of novel diosgenin analogues was designed using Cu (I)
catalysed alkyne-azide cycloaddition in order to study their structure-activity
relationship. Both diosgenin and its analogues exhibited interesting anti
proliferative effect against four human cancer cell lines viz. HBL-100 (breast),
A549 (lung), HT-29 (colon) and HCT-116 (colon) using [3-(4,5-dimethylthiazolyl-2)
2,5-diphenyltetrazoliumbromide] (MTT) assay. Among the synthesized analogues, Dgn
1 bearing a simple phenyl R moiety attached via triazole to the parent molecule
was identified as the most potent analogue against A549 cancer cell line having
IC50 of 5.54MUM, better than the positive control (BEZ-235). Dgn-2 and Dgn-5
bearing o-nitrophenyl and o-cyanophenyl R moieties respectively, displayed
impressive anti-proliferative activity against all the tested human cancer cell
lines with IC50 values ranging from 5.77 to 9.44MUM. The structure-activity
relationship (SAR) revealed that the analogues with simple phenyl R moiety or
electron withdrawing ortho substituted R moieties seem to have beneficial impact
on the anti-proliferative activity.
PMID- 27864019
TI - Bioconversion of 6-(N-methyl-N-phenyl)aminomethyl androstane steroids by
Nocardioides simplex.
AB - The newly synthesized (alpha/beta)-diastereomers of 6-(N-methyl-N
phenyl)aminomethylandrost-4-ene-3,17-dione (5) and 6-(N-methyl-N
phenyl)aminomethylandrost-4-en-17beta-ol-3-one (6) were firstly investigated as
substrates for the whole cells of Nocardioides simplex VKM Ac-2033D in comparison
with their unsubstituted analogs, - androst-4-ene-3,17-dione (1) and androst-4-en
17beta-ol-3-one (2). 1(2)-Dehydroderivatives were identified as the major
bioconversion products from all the substrates tested. When using the mixtures of
(alpha/beta)-stereoisomers of 5 and 6 as the substrates, only beta-stereoisomers
of the corresponding 1,4-diene-steroids were formed. Along with 1(2)
dehydrogenation, N. simplex VKM Ac-2033D promoted oxidation of the hydroxyl group
at C-17 position of 6: both 6(alpha) and 6(beta) were transformed to the
corresponding 17-keto derivatives. No steroid core destruction was observed
during the conversion of the 6-substituted androstanes 5 and 6, while it was
significant when 1 or 2 was used as the substrate. The results suggested high
potentials of N. simplex VKM Ac-2033D for the generation of novel 1(2)
dehydroanalogs.
PMID- 27864020
TI - Regio- and stereoselective reduction of 17-oxosteroids to 17beta-hydroxysteroids
by a yeast strain Zygowilliopsis sp. WY7905.
AB - The reduction of 17-oxosteroids to 17beta-hydroxysteroids is one of the important
transformations for the preparation of many steroidal drugs and intermediates.
The strain Zygowilliopsis sp. WY7905 was found to catalyze the reduction of C-17
carbonyl group of androst-4-ene-3,17-dione (AD) to give testosterone (TS) as the
sole product by the constitutive 17beta-hydroxysteroid dehydrogenase (17beta
HSD). The optimal conditions for the reduction were pH 8.0 and 30 degrees C with
supplementing 10g/l glucose and 1% Tween 80 (w/v). Under the optimized
transformation conditions, 0.75g/l AD was reduced to a single product TS with
>90% yield and >99% diastereomeric excess (de) within 24h. This strain also
reduced other 17-oxosteroids such as estrone, 3beta-hydroxyandrost-5-en-17-one
and norandrostenedione, to give the corresponding 17beta-hydroxysteroids, while
the C-3 and C-20 carbonyl groups were intact. The absence of by-products in this
microbial 17beta-reduction would facilitate the product purification. As such,
the strain might serve as a useful biocatalyst for this important transformation.
PMID- 27864021
TI - Trio approach reveals higher risk of PD in carriers of severe vs. mild GBA
mutations.
AB - Heterozygote GBA (glucosylceramidase beta) mutations increase the risk of
Parkinson's disease (PD). Data based on the measured frequencies of GBA mutated
alleles in the healthy population suggest that severe GBA mutations are
associated with even higher risk for PD. These data, however, are prone to
methodological biases resulting from the rarity of severe mutations and from
ethnic-dependent differences in allele frequencies. To overcome these biases, we
traced 13 Gaucher disease (GD) patients who were compound heterozygotes for one
mild (N370S) and one severe GBA mutation and who reported a parent with PD. We
determined the GBA mutation status of all parents and examined them whenever
possible. While 50% of the parents carried a mild GBA mutation, we hypothesized
that PD cases would be more likely to carry a severe mutation. We found that
10/13 PD parents had a severe mutation and only 3/10 carried a mild mutation
(binomial test P<0.05). Using an unbiased methodology, we show that carriers of
severe GBA mutations are at higher risk for PD relative to carriers of the mild
mutations.
PMID- 27864015
TI - Prediction of overall survival for patients with metastatic castration-resistant
prostate cancer: development of a prognostic model through a crowdsourced
challenge with open clinical trial data.
AB - BACKGROUND: Improvements to prognostic models in metastatic castration-resistant
prostate cancer have the potential to augment clinical trial design and guide
treatment strategies. In partnership with Project Data Sphere, a not-for-profit
initiative allowing data from cancer clinical trials to be shared broadly with
researchers, we designed an open-data, crowdsourced, DREAM (Dialogue for Reverse
Engineering Assessments and Methods) challenge to not only identify a better
prognostic model for prediction of survival in patients with metastatic
castration-resistant prostate cancer but also engage a community of international
data scientists to study this disease. METHODS: Data from the comparator arms of
four phase 3 clinical trials in first-line metastatic castration-resistant
prostate cancer were obtained from Project Data Sphere, comprising 476 patients
treated with docetaxel and prednisone from the ASCENT2 trial, 526 patients
treated with docetaxel, prednisone, and placebo in the MAINSAIL trial, 598
patients treated with docetaxel, prednisone or prednisolone, and placebo in the
VENICE trial, and 470 patients treated with docetaxel and placebo in the ENTHUSE
33 trial. Datasets consisting of more than 150 clinical variables were curated
centrally, including demographics, laboratory values, medical history, lesion
sites, and previous treatments. Data from ASCENT2, MAINSAIL, and VENICE were
released publicly to be used as training data to predict the outcome of interest
namely, overall survival. Clinical data were also released for ENTHUSE 33, but
data for outcome variables (overall survival and event status) were hidden from
the challenge participants so that ENTHUSE 33 could be used for independent
validation. Methods were evaluated using the integrated time-dependent area under
the curve (iAUC). The reference model, based on eight clinical variables and a
penalised Cox proportional-hazards model, was used to compare method performance.
Further validation was done using data from a fifth trial-ENTHUSE M1-in which 266
patients with metastatic castration-resistant prostate cancer were treated with
placebo alone. FINDINGS: 50 independent methods were developed to predict overall
survival and were evaluated through the DREAM challenge. The top performer was
based on an ensemble of penalised Cox regression models (ePCR), which uniquely
identified predictive interaction effects with immune biomarkers and markers of
hepatic and renal function. Overall, ePCR outperformed all other methods (iAUC
0.791; Bayes factor >5) and surpassed the reference model (iAUC 0.743; Bayes
factor >20). Both the ePCR model and reference models stratified patients in the
ENTHUSE 33 trial into high-risk and low-risk groups with significantly different
overall survival (ePCR: hazard ratio 3.32, 95% CI 2.39-4.62, p<0.0001; reference
model: 2.56, 1.85-3.53, p<0.0001). The new model was validated further on the
ENTHUSE M1 cohort with similarly high performance (iAUC 0.768). Meta-analysis
across all methods confirmed previously identified predictive clinical variables
and revealed aspartate aminotransferase as an important, albeit previously under
reported, prognostic biomarker. INTERPRETATION: Novel prognostic factors were
delineated, and the assessment of 50 methods developed by independent
international teams establishes a benchmark for development of methods in the
future. The results of this effort show that data-sharing, when combined with a
crowdsourced challenge, is a robust and powerful framework to develop new
prognostic models in advanced prostate cancer. FUNDING: Sanofi US Services,
Project Data Sphere.
PMID- 27864022
TI - Shikonin inhibits gefitinib-resistant non-small cell lung cancer by inhibiting
TrxR and activating the EGFR proteasomal degradation pathway.
AB - Non-small cell lung cancer (NSCLC) is the dominant type of lung cancer. Molecular
targeting has highly improved the treatment efficacy of lung cancer, but new
challenges have emerged, such as gefitinib-resistance and cancer recurrence.
Therefore, new chemotherapeutic agents and treatment strategies are urgently
needed. Shikonin is the main active component of a Chinese medicinal plant 'Zi
Cao', which has been shown to exhibit powerful anti-cancer activity in certain
types of cancer; however, its activity in gefitinib-resistant lung cancer has
never been addressed. In this study, we used a high-throughput screening assay
for epidermal growth factor receptor (EGFR) inhibitors and discovered that
Shikonin is a potent inhibitor of EGFR. The cytotoxicity of Shikonin and its anti
cancer mechanism in NSCLC was deeply explored. Shikonin exhibited selective
cytotoxicity among two NSCLC cell lines (H1975 and H1650) and one normal lung
fibroblast cell line (CCD-19LU). Shikonin significantly increased the activity of
caspases and poly (ADP-ribosyl) polymerase (PARP), which are indicators of
apoptosis, and the intensity of ROS by greater than 10-fold. NAC, an inhibitor of
ROS, completely blocked apoptosis, caspase and PARP activation induced by
Shikonin. Shikonin remarkably suppressed the phosphorylation of EGFR and led to
EGFR degradation. The enhancement of ROS generation in H1650 and H1975 gefitinib
resistant NSCLC cells leads to impairment of growth and induction of apoptosis,
whereas modulation of EGFR degradation and its downstream signalling pathways by
Shikonin contributes to its anti-tumour properties in H1975 gefitinib-resistant
NSCLC cells (with T790M and L858R activating mutations). Shikonin-induced cell
apoptosis is closely associated with ROS elevation in the cells. These findings
indicate that Shikonin can be an effective small molecule treating gefitinib
resistant NSCLC.
PMID- 27864023
TI - Association of ORAI1 gene polymorphisms with chronic spontaneous urticaria and
the efficacy of the nonsedating H1 antihistamine desloratadine.
PMID- 27864025
TI - Heterotaxy syndrome with and without spleen: Different infection risk and
management.
PMID- 27864024
TI - Efficacy and safety of the SQ house dust mite sublingual immunotherapy tablet in
Japanese adults and adolescents with house dust mite-induced allergic rhinitis.
AB - BACKGROUND: The SQ house dust mite (HDM) sublingual immunotherapy (SLIT) tablet
has been approved in 11 European countries and Japan for patients with HDM
induced respiratory allergic disease. OBJECTIVE: This trial was conducted to
confirm the efficacy and safety of the SQ HDM SLIT tablet in Japanese patients
with moderate-to-severe HDM-induced allergic rhinitis (AR). METHODS: The trial
was a randomized, double-blind, placebo-controlled trial including 946 Japanese
adults and adolescents (12-64 years). Subjects were randomly assigned to daily
treatment with the SQ HDM SLIT tablet at a dose of 10,000 Japanese allergy units
(JAU) or 20,000 JAU or to placebo (1:1:1). The primary end point was the total
combined rhinitis score (TCRS), which is composed of AR symptom and medication
scores during the efficacy evaluation period. Symptom and medication scores of AR
and conjunctivitis, rhinitis quality of life, and symptom-free and symptom-severe
days were evaluated as secondary end points. RESULTS: Analysis of the primary end
point demonstrated statistically significant reductions in TCRSs of 1.15 (22%, P
< .001) in the 10,000-JAU group and 0.99 (19%, P < .001) in the 20,000-JAU group
compared with the placebo group. The statistically significant treatment effect
was evident from 12 weeks of treatment onward. All secondary end points, except
AR medication score, were statistically significant in favor of active treatment
compared with placebo. Post hoc analysis of TCRSs in adolescents showed the same
efficacy as in adults (P < .05). The treatment was well tolerated by both adults
and adolescents. CONCLUSION: The trial confirmed the efficacy and safety profile
of the SQ HDM SLIT tablet in Japanese adult and adolescent patients with moderate
to-severe HDM-induced AR. These data support the robust efficacy and safety
profile of previously reported European data.
PMID- 27864026
TI - B cells differentiate in human thymus and express AIRE.
PMID- 27864027
TI - Patterns of neural activity predict picture-naming performance of a patient with
chronic aphasia.
AB - Naming objects represents a substantial challenge for patients with chronic
aphasia. This could be in part because the reorganized compensatory language
networks of persons with aphasia may be less stable than the intact language
systems of healthy individuals. Here, we hypothesized that the degree of
stability would be instantiated by spatially differential neural patterns rather
than either increased or diminished amplitudes of neural activity within a
putative compensatory language system. We recruited a chronic aphasic patient
(KL; 66 year-old male) who exhibited a semantic deficit (e.g., often said "milk"
for "cow" and "pillow" for "blanket"). Over the course of four behavioral
sessions involving a naming task performed in a mock scanner, we identified
visual objects that yielded an approximately 50% success rate. We then conducted
two fMRI sessions in which the patient performed a naming task for multiple
exemplars of those objects. Multivoxel pattern analysis (MVPA) searchlight
revealed differential activity patterns associated with correct and incorrect
trials throughout intact brain regions. The most robust and largest cluster was
found in the right occipito-temporal cortex encompassing fusiform cortex, lateral
occipital cortex (LOC), and middle occipital cortex, which may account for the
patient's propensity for semantic naming errors. None of these areas were found
by a conventional univariate analysis. By using an alternative approach, we
extend current evidence for compensatory naming processes that operate through
spatially differential patterns within the reorganized language system.
PMID- 27864029
TI - Time-frequency phase-synchrony approaches with ERPs.
AB - Time-frequency signal processing approaches are well-developed, and have been
widely employed for the study of the energy distribution of event-related
potential (ERP) data across time and frequency. Wavelet time-frequency transform
(TFT) and Cohen's class of time-frequency distributions (TFD) are the most widely
used in the field. While ERP TFT approaches have been most extensively developed
for amplitude measures, reflecting the magnitude of regional neuronal activity,
time-frequency phase-synchrony measures have gained increased utility in recent
years for the assessment of functional connectivity. Phase synchrony measures can
be used to index the functional integration between regions (interregional), in
addition to the consistency of activity within region (intertrial). In this
paper, we focus on a particular class of time-frequency distributions belonging
to Cohen's class, known as the Reduced Interference Distribution (RID) for
quantifying functional connectivity, which we recently introduced (Aviyente et
al., 2011). The present report first summarizes common time-frequency approaches
to computing phase-synchrony with ERP data in order to highlight the similarities
and differences relative to the RID. In previous work, we demonstrated
differences between the RID and wavelet approaches to indexing phase-synchrony,
and have applied the RID to demonstrate that RID-based time-frequency phase
synchrony measures can index increased functional connectivity between medial and
lateral prefrontal regions during control processing, observed in the theta band
during the error-related negativity (ERN). Because ERN amplitude measures have
been associated with two other widely studied medial-frontal theta components (no
go N2; feedback negativity, FN), the application of the RID phase synchrony
measure in the present report extends our previous work with ERN to include theta
activity during the no-go N2 (inhibitory processing) and the feedback negativity
(FN; loss feedback processing). Findings support the idea that similar medial
lateral prefrontal functional connectivity underlies the ERN, no-go N2, and FN
components, and provide initial validation that the proposed RID-based time
frequency phase-synchrony measure can index this activity.
PMID- 27864028
TI - Anti-TNF therapy is able to stabilize bowel damage progression in patients with
Crohn's disease. A study performed using the Lemann Index.
AB - AIMS: The Lemann Index (LI) was developed to assess the cumulative structural
damage of the intestinal tract in patients with Crohn's Disease (CD)
independently of clinical and biochemical activity. Recently, the goal of CD
focused on obtaining mucosal healing and deep remission rather than simple
symptom control. These new therapeutic aims emphasize the need to prevent
progression of bowel damage. In this study we aimed to evaluate the influence of
different treatments on structural damage progression, assessed by means of LI in
a series of CD patients consistently treated with various drugs. METHODS: The LI
was calculated at inclusion and at the end of follow-up in 104 CD patients
subdivided according to treatments received: biological drugs (n=40, 38.4%),
azathioprine (n=19, 18.3%), and mesalazine (n=45, 43.3%). RESULTS: The median
follow-up was 29 months, with no difference among groups. During follow-up, the
median LI was stable in the biological group [from 6.3 (range, 0.6-37.3) to 6.4
(range, 0.6-37.6), P=0.543], whereas it significantly increased from 4.1 (range,
0.6-30) to 8.3 (range, 0.6-31.8) in the azathioprine group (P=0.0006), and from
2.4 (range, 0.6-25.8) to 4.1 (range, 0.6-28.8) in the mesalazine group
(P<0.0001). Also during follow-up the LI increased significantly (P=0.004) in the
azathioprine (68.4%) and mesalazine (60.0%) groups as compared with the
biological therapy group (30.0%). CONCLUSIONS: In CD patients the LI tends to
increase over time, although the use of biological drugs rather than azathioprine
or mesalazine seems to be able to reduce the progressive bowel damage.
PMID- 27864031
TI - Fractional CO2 Laser Treatment of the Vestibule for Patients with Vestibulodynia
and Genitourinary Syndrome of Menopause: A Pilot Study.
AB - INTRODUCTION: Chronic vulvar pain and burning remains one of the most perplexing
problems faced by practicing gynecologists. AIM: To evaluate the effectiveness
and safety of the application of micro-ablative fractional CO2 laser to the
vulvar vestibule in the management of patients with vulvar pain from
vestibulodynia or genitourinary syndrome of menopause. METHODS: Patients (N = 70)
underwent fractional micro-ablative CO2 laser treatment for vestibular pain plus
vestibulodynia (n = 37) or genitourinary syndrome of menopause (n = 33).
Inclusion criteria were the existence of vestibular atrophic changes and the
absence of moderate or severe pelvic floor hypertonic dysfunction. MAIN OUTCOME
MEASURES: A visual analog scale of pain and the Marinoff score of dyspareunia
were chosen to evaluate improvement. Grading of vestibular health also was
quantified using a four-point scoring system (0 = no atrophy, 3 = severe
atrophy). Data were collected at baseline, at weeks 4, 8, and 12, and 4 months
after the final treatment. RESULTS: For visual analog scale and dyspareunia
scoring and for the overall vestibular health index scoring, statistically
significant improvement was noted after three sessions of vestibular fractional
CO2 laser treatment. Improvement gradually increased throughout the study period
and was maintained through the 4-month follow-up visit. There was no
statistically significant difference in outcomes between the two study groups. No
adverse events from fractional CO2 laser treatment were noted. Overall, 67.6% of
patients stated significant improvement from the laser procedure. CONCLUSION:
This preliminary case series showed encouraging results using fractional CO2
laser treatment of the vestibule in women with vestibulodynia and genitourinary
syndrome of menopause.
PMID- 27864030
TI - Incident Heart Failure and Cognitive Decline: The Atherosclerosis Risk in
Communities Study.
AB - BACKGROUND: Cognitive impairment is found in a significant proportion of patients
with heart failure (HF). Although cognitive impairment may be a consequence of
HF, early signs of cognitive impairment may also indicate subclinical vascular
disease, and thus a risk factor for future cardiovascular events. METHODS AND
RESULTS: The Atherosclerosis Risk in Communities Study is a prospective cohort
study of the development of atherosclerosis. Cox proportional hazards regression
was used to examine the association between mean 6-year change in cognitive
function and incident HF in 7962 white and 1933 African-American men and women
aged 46 to 70 years and free of clinical stroke. Scores were obtained for the
Delayed Word Recall Test, the Digit Symbol Substitution Test (DSST), and the Word
Fluency Test. There was a significantly increased risk of developing HF during
the mean 12.6-year follow-up period after adjustment for age, gender, race, and
education for those in the quartile with the greatest decline in DSST scores
(hazard ratio [HR] = 1.17, P = .009), and in the quartile with the lowest
baseline DSST scores (HR = 1.43, P < .001). CONCLUSIONS: The results suggest that
relatively low performance on a test of information processing speed may serve as
an indicator of HF risk in middle age.
PMID- 27864033
TI - Bronchiectasis: shaking off its orphan status.
PMID- 27864032
TI - alpha1A-Adrenergic Receptor Antagonism Improves Erectile and Cavernosal Responses
in Rats With Cavernous Nerve Injury and Enhances Neurogenic Responses in Human
Corpus Cavernosum From Patients With Erectile Dysfunction Secondary to Radical
Prostatectomy.
AB - INTRODUCTION: Cavernous nerve injury (CNI) in rats and radical prostatectomy (RP)
in men result in loss of nitrergic function and increased adrenergic-neurogenic
contractions of cavernosal tissue. AIM: To evaluate the modulation of the alpha
adrenergic system as a strategy to relieve erectile dysfunction (ED) and
functional cavernosal alterations induced by CNI. METHODS: A non-selective alpha
blocker (phentolamine 1 mg/kg daily), a selective alpha1A-blocker (silodosin
[SILOD] 0.1 mg/kg daily), or vehicle was orally administered for 4 weeks after
bilateral crush CNI (BCNI). Erectile and neurogenic responses of the corpus
cavernosum (CC) were evaluated. The acute effects of SILOD also were evaluated in
vivo (0.03 mg/kg intravenously) and ex vivo (10 nmol/L). The effects of SILOD and
tadalafil (TAD) on nitrergic relaxations were determined in human CC from
patients with ED with a vascular etiology or ED secondary to RP. MAIN OUTCOME
MEASURES: Erectile responses in vivo in rats and neurogenic contractions and
relaxations of rat and human CC. RESULTS: Long-term treatment with SILOD
significantly improved erectile responses and allowed for the potentiation of
erectile responses by acute treatment with TAD (0.3 mg/kg intravenously) in rats
with BCNI. SILOD partly recovered nitrergic relaxations and normalized neurogenic
contractions in CC from rats with BCNI. Long-term treatment with SILOD partly
prevented BCNI-induced decreases in neuronal nitric oxide synthase expression.
Acute administration of SILOD (0.03 mg/kg intravenously) improved erectile
responses in vivo and potentiated nitrergic relaxation and decreased neurogenic
contractions ex vivo in CC from rats with BCNI. In human CC from patients with ED
with a vascular etiology, TAD (30 nmol/L), SILOD (10 nmol/L), or their
combination increased nitrergic relaxations. Potentiation by TAD was lost in
human CC from patients with ED after RP but was recovered after co-treatment with
SILOD. CONCLUSION: alpha-Adrenergic modulation, especially selective alpha1A
blockade, improves erectile and cavernosal functions after BCNI. Modulation of
the adrenergic system, mainly in combination strategies, could have a role in the
management of ED after RP.
PMID- 27864034
TI - 2016 CHEST annual meeting.
PMID- 27864035
TI - 47th Union World Conference on Lung Health.
PMID- 27864036
TI - Comorbidities and the risk of mortality in patients with bronchiectasis: an
international multicentre cohort study.
AB - BACKGROUND: Patients with bronchiectasis often have concurrent comorbidities, but
the nature, prevalence, and impact of these comorbidities on disease severity and
outcome are poorly understood. We aimed to investigate comorbidities in patients
with bronchiectasis and establish their prognostic value on disease severity and
mortality rate. METHODS: An international multicentre cohort analysis of
outpatients with bronchiectasis from four European centres followed up for 5
years was done for score derivation. Eligible patients were those with
bronchiectasis confirmed by high-resolution CT and a compatible clinical history.
Comorbidity diagnoses were based on standardised definitions and were obtained
from full review of paper and electronic medical records, prescriptions, and
investigator definitions. Weibull parametric survival analysis was used to model
the prediction of the 5 year mortality rate to construct the Bronchiectasis
Aetiology Comorbidity Index (BACI). We tested the BACI as a predictor of outcomes
and explored whether the BACI added further prognostic information when used
alongside the Bronchiectasis Severity Index (BSI). The BACI was validated in two
independent international cohorts from the UK and Serbia. FINDINGS: Between June
1, 2006, and Nov 22, 2013, 1340 patients with bronchiectasis were screened and
986 patients were analysed. Patients had a median of four comorbidities (IQR 2-6;
range 0-20). 13 comorbidities independently predicting mortality rate were
integrated into the BACI. The overall hazard ratio for death conferred by a one
point increase in the BACI was 1.18 (95% CI 1.14-1.23; p<0.0001). The BACI
predicted 5 year mortality rate, hospital admissions, exacerbations, and health
related quality of life across all BSI risk strata (p<0.0001 for mortality and
hospital admissions, p=0.03 for exacerbations, p=0.0008 for quality of life).
When used in conjunction with the BSI, the combined model was superior to either
model alone (p=0.01 for combined vs BACI; p=0.008 for combined vs BSI).
INTERPRETATION: Multimorbidity is frequent in bronchiectasis and can negatively
affect survival. The BACI complements the BSI in the assessment and prediction of
mortality and disease outcomes in patients with bronchiectasis. FUNDING: European
Bronchiectasis Network (EMBARC).
PMID- 27864037
TI - Early monitoring and quantitative evaluation of macrophage infiltration after
experimental traumatic brain injury: A magnetic resonance imaging and flow
cytometric analysis.
AB - The inflammatory response following traumatic brain injury (TBI) is regulated by
phagocytic cells. These cells comprising resident microglia and infiltrating
macrophages play a pivotal role in the interface between early detrimental and
delayed beneficial effects of inflammation. The aim of the present study was to
monitor the early effect of monocyte/phagocytic accumulation and further to
explore its kinetics in TBI mice. Localized macrophage population was monitored
using ultrasmall superparamagnetic iron oxide (USPIO) nanoparticle enhanced in
vivo serial magnetic resonance imaging (MRI). Flow cytometry based gating study
was performed to discriminate between resident microglia (Ly6G-CD11b+CD45low) and
infiltrating macrophages (Ly6G-CD11b+CD45high) at the injury site. The T2*
relaxation analysis revealed that maximum macrophage infiltration occurs between
66 and 72h post injury (42-48h post administration of USPIO) at the site of
inflammation. This imaging data was well supported by iron oxide specific
Prussian blue staining and macrophage specific F4/80 immunohistochemistry (IHC)
analysis. Quantitative real-time PCR analysis found significant expression of
monocyte chemoattractant protein-1 (MCP-1) at 72h post injury. Also, we found
that flow cytometric analysis demonstrated a 7-fold increase in infiltrating
macrophages around 72h post injuries as compared to control. The MR imaging in
combination with flow cytometric analysis enabled the dynamic measurement of
macrophage infiltration at the injury site. This study may help in setting an
optimal time window to intervene and prevent damage due to inflammation and to
increase the therapeutic efficacy.
PMID- 27864038
TI - A systematic review with meta-analysis of fluticasone furoate/vilanterol
combination for the treatment of stable COPD.
AB - BACKGROUND: Current guidelines recommend the use of inhaled corticosteroids/long
acting beta2-agonists as first-line therapy for COPD patients at risk for acute
exacerbations and/or severe airflow limitation. This systematic review assesses
available evidence on the efficacy and safety of fluticasone furoate/vilanterol
(FF/VI) combination versus each alone, for the treatment of patients with severe
to very severe stable COPD. METHODS: Randomized, placebo-controlled trials of >8
weeks of duration were included. Primary end points were pulmonary function, COPD
exacerbations and serious adverse events. FF/VI was compared with its mono
components. RESULTS: Five reports with six trials (n = 15,515 patients) met the
entry criteria. FF/VI was associated with significant increases in trough FEV1
compared with vilanterol (VI) and fluticasone furoate (FF) (45 mL and 90 mL
respectively). FF/VI significantly reduced the number of subjects with at least
one moderate to severe exacerbation compared with VI (number needed to treat for
benefit [NNTB] = 21) and with FF (NNTB = 26). There were no statistical
differences in the rates of serious adverse events, cardiac events and all-cause
mortality. On the contrary, FF/VI showed a significant 52% increase in the rate
of pneumonia compared with VI monotherapy (5.3% vs. 3.5%). However, there was no
difference in the rate of pneumonia when FF/VI was compared with FF alone.
CONCLUSIONS: FF/VI combination was associated with a decrease of the rate of COPD
exacerbations, without affecting mortality or cardiovascular outcomes in patients
with moderate to severe stable COPD. Also, the use of FF was associated with an
increased risk of pneumonia.
PMID- 27864039
TI - Effects of the sequence and orientation of an expression cassette in tobacco
transformed by dual Bt genes.
AB - This study investigated the effects of the sequence arrangement and orientation
of a target gene expression cassette in vectors on expression levels to determine
the optimal combination for highly efficient multi-gene expression. Five plant
transformation vectors were constructed using dual Bt genes, Cry1Ac and Cry3A,
which differed in the sequence arrangement and orientation of the target gene
expression cassette. Through an Agrobacterium-mediated method, 5 vectors were
used for the genetic transformation of tobacco to obtain transgenic lines.
Fluorescence quantitative PCR showed that the target genes were expressed at the
transcriptional level, which did not differ significantly among the different
vectors. However, an enzyme-linked immunosorbent assay showed that there were
significant differences in the toxin expression levels of the different vectors.
In vectors N12 and N19, the Cry1Ac gene, located upstream, showed lower average
expression than the Cry3A gene, located downstream. Similarly, in vectors N13 and
N18, the Cry3A gene, located upstream, had lower expression than the downstream
Cry1Ac gene. For vector N21, with the expression cassette containing the Cry1Ac
gene located upstream in a trans-arrangement and that of the Cry3A gene located
downstream in a cis-arrangement, the Cry1Ac and Cry3A toxin levels were the
highest, at 7.41 and 13.24MUg.g-1, respectively. The insect resistance of
transgenic lines transformed by the different vectors was related to the Bt toxin
level. Resistance to H. armigera, Lepidoptera, and Cry1Ac toxin level were
positively correlated; resistance to A. germari larvae, Coleoptera, and Cry3A
toxin content were also positively correlated. This study showed that the
sequence arrangement of 2 expression cassettes with target genes may be the key
to the target gene expression. Two expression cassettes in the same orientation
had little influence on gene expression; however, when the 2 expression cassettes
were in the reverse arrangement, the expression of both of the target genes was
promoted to a certain extent.
PMID- 27864040
TI - Study of circadian rhythmicity of physiological response and skin temperature of
sheep during summer and winter in semi-arid tropical environment.
AB - The present study aimed to evaluate the circadian rhythmicity of some
physiological variables, including rectal temperature (RT), respiration rate
(RR), heart rate (HR) and skin temperature (ST) in sheep during the summer and
winter in semi-arid tropical environment. Eight Malpura rams of 3-4year of age
with an average body weight of 37.1+/-1.99kg were selected for the study. All the
animals were fed individually for a maintenance requirement on a body weight
basis. The RT, RR, HR and ST values were measured in each animal at 3hour
intervals for 24 consecutive hours. Ambient temperature (DBT) and relative
humidity (RH) was also recorded inside the shed at the time of physiological
parameter study. The cosinor analysis showed a daily circadian rhythm (P<0.01) in
RR, HR and ST values during the summer and winter in sheep under semi-arid
environment. The RR, HR and ST differ significantly (P<0.05) between summer and
winter. The amplitude of RR, RT and ST differ significantly (P<0.05) between the
seasons. The results indicate that the circadian rhythmicity of RR, HR, RT and ST
during summer and winter changed as expected in compliance to the seasonal
requirements of animal's adaptability.
PMID- 27864041
TI - Apnea-hypopnea index prediction through an assessment of autonomic influence on
heart rate in wakefulness.
AB - With the high prevalence of obstructive sleep apnea, the issue of developing a
practical tool for obstructive sleep apnea screening has been raised.
Conventional obstructive sleep apnea screening tools are limited in their ability
to help clinicians make rational decisions due to their inability to predict the
apnea-hypopnea index. Our study aimed to develop a new prediction model that can
provide a reliable apnea-hypopnea index value during wakefulness. We hypothesized
that patients with more severe obstructive sleep apnea would exhibit more
attenuated waking vagal tone, which may result in lower effectiveness in
decreasing heart rate as a response to deep inspiration breath-holding. Prior to
conducting nocturnal in-laboratory polysomnography, 30 non-obstructive sleep
apnea (apnea-hypopnea index<5events/h) subjects and 246 patients with obstructive
sleep apnea participated in a 75-second experiment that consisted of a 60-second
baseline measurement and consecutive 15-second deep inspiration breath-hold
sessions. Two apnea-hypopnea index predictors were devised by considering the
vagal activities reflected in the electrocardiographic recordings acquired during
the experiment. Using the predictors obtained from 184 individuals, regression
analyses and k-fold cross-validation tests were performed to develop an apnea
hypopnea index prediction model. For the remaining 92 individuals, the developed
model provided an absolute error (mean+/-SD) of 3.53+/-2.67events/h and a
Pearson's correlation coefficient of 0.99 (P<0.01) between the apnea-hypopnea
index predictive values and the reference values reported by polysomnography. Our
study is the first to achieve reliable and time-efficient prediction of the apnea
hypopnea index during wakefulness.
PMID- 27864042
TI - Migratory behavior, metabolism, oxidative stress and mercury concentrations in
marine and estuarine European glass eels (Anguilla anguilla).
AB - The relationships between the migratory behavior, methylmercury (MeHg)
concentrations, oxidative stress response and detoxification processes were
investigated in glass eels collected in marine (Molliets) and estuarine (Urt)
waters (Adour estuary, South West France) at the end of the fishing season
(April). Glass eel migratory behavior was investigated in an experimental flume
according to their response to dusk. Fish responding to the decrease in light
intensity by ascending in the water column and moving with or against the flow
were considered as having a high propensity to migrate (migrant). Glass eels
still sheltering at the end of the 24h catching period were considered as having
a low propensity to migrate and were called non-migrant. Our results provide some
evidence that estuarine glass eels were bigger, presented a higher propensity to
migrate and a lower oxidative stress response than marine glass eels. This might
reflect a selection process, some marine glass eels progressively settling or
dying before reaching Urt and/or a change in feeding behavior. In April, glass
eels restart feeding in the Adour estuary which might decrease the oxidative
stress possibly related to starvation, and enhance migration. MeHg concentrations
was significantly higher in non-migrant than in migrant glass eels and it is
suggested that non-migrant glass eels might present a higher vulnerability to
stress (at least contamination and/or starvation), although the underlying
mechanisms remain to be elucidated.
PMID- 27864043
TI - Maternal trans fat intake during pregnancy or lactation impairs memory and alters
BDNF and TrkB levels in the hippocampus of adult offspring exposed to chronic
mild stress.
AB - This study aimed to assess the influence of maternal dietary fat intake during
pregnancy or lactation on memory of adult offspring after chronic mild stress
(CMS) exposure. Female Wistar rats were supplemented daily with soybean oil/fish
oil (SO/FO) or hydrogenated vegetable fat (HVF) by oral gavage (3.0g/kg body
weight) during pregnancy or lactation. On post-natal day (PND) 60, half of the
animals were exposed to CMS following behavioral assessments. While the adult
offspring born under influence of SO/FO and HVF supplementations during pregnancy
showed higher levels of n-3 and n-6 fatty acids (FA) series DHA and ARA
metabolites, respectively, in the hippocampus, adult offspring born from
supplemented dams during lactation showed higher levels of their precursors: ALA
and LA. However, only HVF supplementation allowed TFA incorporation of adult
offspring, and levels were higher in lactation period. Adult offspring born from
dams supplemented with trans fat in both pregnancy and lactation showed short and
long-term memory impairments before and after CMS. Furthermore, our study also
showed higher memory impairment in offspring born from HVF-supplemented dams
during lactation in comparison to pregnancy. BDNF expression was increased by
stress exposure in offspring from both SO/FO- and HVF-supplemented dams during
pregnancy. In addition, offspring from HVF-supplemented dams showed decreased
TrkB expression in both supplemented periods, regardless of stress exposure. In
conclusion, these findings show for the first time that the type of dietary FA as
well as the period of brain development is able to change FA incorporation in
brain neural membranes.
PMID- 27864044
TI - Localization Methods for Excisional Biopsy in Women With Nonpalpable Mammographic
Abnormalities.
AB - INTRODUCTION: With the advent and proliferation of breast cancer screening
programs, more women are being diagnosed with mammographic abnormalities that
require tissue diagnosis. If imaged-guided biopsy is not possible or previous
image-guided biopsies reveal pathologies that require more extensive surgery,
guided excisional biopsy/lumpectomy may be necessary. METHODS: Fifteen women were
enrolled in the study of the feasibility of off-site or day-before wire
localization excisional biopsy of the breast with mammographic abnormalities.
Five patients had their localization wire placed the day before, whereas 10
patients had their localization the same day with surgery in a distant procedure
room under straight local anesthesia. RESULTS: Two of the 15 patients had an
eventual cancer diagnosis from their wire-localized excisional breast biopsy. All
patients had their mammographic abnormality removed with the previously placed
core biopsy clip, and there was 100% radiologic/clinical correlation. All
patients' wounds healed primarily without any surgical site infections.
CONCLUSION: The protocol answers 2 questions concerning the wire-localized
excisional breast biopsy technique. The series shows that the wire-localization
technique can be performed the night before or in a location away from the
procedure room that would allow better synchronization with surgical schedules or
allow the procedure to take place in low-cost settings away from the expense of
the hospital operating room.
PMID- 27864045
TI - Influence of chronic L-DOPA treatment on immune response following allogeneic and
xenogeneic graft in a rat model of Parkinson's disease.
AB - Although intrastriatal transplantation of fetal cells for the treatment of
Parkinson's disease had shown encouraging results in initial open-label clinical
trials, subsequent double-blind studies reported more debatable outcomes. These
studies highlighted the need for greater preclinical analysis of the parameters
that may influence the success of cell therapy. While much of this has focused on
the cells and location of the transplants, few have attempted to replicate
potentially critical patient centered factors. Of particular relevance is that
patients will be under continued L-DOPA treatment prior to and following
transplantation, and that typically the grafts will not be immunologically
compatible with the host. The aim of this study was therefore to determine the
effect of chronic L-DOPA administered during different phases of the
transplantation process on the survival and function of grafts with differing
degrees of immunological compatibility. To that end, unilaterally 6-OHDA lesioned
rats received sham surgery, allogeneic or xenogeneic transplants, while being
treated with L-DOPA before and/or after transplantation. Irrespective of the L
DOPA treatment, dopaminergic grafts improved function and reduced the onset of L
DOPA induced dyskinesia. Importantly, although L-DOPA administered post
transplantation was found to have no detrimental effect on graft survival, it did
significantly promote the immune response around xenogeneic transplants, despite
the administration of immunosuppressive treatment (cyclosporine). This study is
the first to systematically examine the effect of L-DOPA on graft tolerance,
which is dependent on the donor-host compatibility. These findings emphasize the
importance of using animal models that adequately represent the patient paradigm.
PMID- 27864046
TI - Acute colitis chronically alters immune infiltration mechanisms and sensory neuro
immune interactions.
AB - OBJECTIVE: Little is understood regarding how disease progression alters immune
and sensory nerve function in colitis. We investigated how acute colitis
chronically alters immune recruitment and the impact this has on re-activated
colitis. To understand the impact of disease progress on sensory systems we
investigated the mechanisms underlying altered colonic neuro-immune interactions
after acute colitis. DESIGN: Inflammation was compared in mouse models of health,
acute tri-nitrobenzene sulphonic acid (TNBS) colitis, Remission and Reactivated
colitis. Cytokine concentrations were compared by ELISA in-situ and in explanted
colon tissue. Colonic infiltration by CD11b/F4-80 macrophage, CD4 THELPER (TH)
and CD8 TCYTOTOXIC (TC) and alpha4beta7 expression on mesenteric lymph node (MLN)
TH and TC was determined by flow cytometry. Cytokine and effector receptor mRNA
expression was determined on colo-rectal afferent neurons and the mechanisms
underlying cytokinergic effects on high-threshold colo-rectal afferent function
were investigated using electrophysiology. RESULTS: Colonic damage, MPO activity,
macrophage infiltration, IL-1beta and IL-6 concentrations were lower in
Reactivated compared to Acute colitis. TH infiltration and alpha4beta7 expression
on TH MLN was increased in Remission but not Acute colitis. IFN-gamma
concentrations, TH infiltration and alpha4beta7 expression on TH and TC MLN
increased in Reactivated compared to Acute colitis. Reactivated explants secreted
more IL-1beta and IL-6 than Acute explants. IL-6 and TNF-alpha inhibited colo
rectal afferent mechanosensitivity in Remission mice via a BKCa dependent
mechanism. CONCLUSIONS: Acute colitis persistently alters immune responses and
afferent nerve signalling pathways to successive episodes of colitis. These
findings highlight the complexity of viscero-sensory neuro-immune interactions in
painful remitting and relapsing diseases.
PMID- 27864048
TI - Aversive properties of negative incentive shifts in Fischer 344 and Lewis rats.
AB - Research on incentive contrast highlights that reward value is not absolute but
rather is based upon comparisons we make to rewards we have received and expect
to receive. Both human and nonhuman studies on incentive contrast show that
shifting from a larger more-valued reward to a smaller less-valued reward is
associated with long periods of nonresponding - a negative contrast effect. In
this investigation, we used two different genetic rat strains, Fischer 344 and
Lewis rats that putatively differ in their sensitivity to aversive stimulation,
to assess the aversive properties of large-to-small reward shifts (negative
incentive shifts). Additionally, we examined the extent to which increasing cost
(fixed-ratio requirements) modulates negative contrast effects. In the presence
of a cue that signaled the upcoming reward magnitude, lever pressing was
reinforced with one of two different magnitudes of food (large or small). This
design created two contrast shifts (small-to-large, large-to-small) and two
shifts used as control conditions (small-to-small, large-to-large). Results
showed a significant interaction between rat strain and cost requirements only
during the negative incentive shift with the emotionally reactive Fischer 344
rats exhibiting significantly longer response latencies with increasing cost,
highlighting greater negative contrast. These findings are more consistent with
emotionality accounts of negative contrast and results of neurophysiological
research that suggests shifting from a large to a small reward is aversive.
Findings also highlight how subjective reward value and motivation is a product
of gene-environment interactions.
PMID- 27864047
TI - APOEepsilon4 impacts up-regulation of brain-derived neurotrophic factor after a
six-month stretch and aerobic exercise intervention in mild cognitively impaired
elderly African Americans: A pilot study.
AB - Possession of the Apolipoprotein E (APOE) gene epsilon4 allele is the most
prevalent genetic risk factor for late onset Alzheimer's disease (AD). Recent
evidence suggests that APOE genotype differentially affects the expression of
brain-derived neurotrophic factor (BDNF). Notably, aerobic exercise-induced
upregulation of BDNF is well documented; and exercise has been shown to improve
cognitive function. As BDNF is known for its role in neuroplasticity and
survival, its upregulation is a proposed mechanism for the neuroprotective
effects of physical exercise. In this pilot study designed to analyze exercise
induced BDNF upregulation in an understudied population, we examined the effects
of APOEepsilon4 (epsilon4) carrier status on changes in BDNF expression after a
standardized exercise program. African Americans, age 55years and older,
diagnosed with mild cognitive impairment participated in a six-month, supervised
program of either stretch (control treatment) or aerobic (experimental treatment)
exercise. An exercise-induced increase in VO2Max was detected only in male
participants. BDNF levels in serum were measured using ELISA. Age, screening MMSE
scores and baseline measures of BMI, VO2Max, and BDNF did not differ between
epsilon4 carriers and non-epsilon4 carriers. A significant association between
epsilon4 status and serum BDNF levels was detected. Non-epsilon4 carriers showed
a significant increase in BDNF levels at the 6month time point while epsilon4
carriers did not. We believe we have identified a relationship between the
epsilon4 allele and BDNF response to physiologic adaptation which likely impacts
the extent of neuroprotective benefit gained from engagement in physical
exercise. Replication of our results with inclusion of diverse racial cohorts,
and a no-exercise control group will be necessary to determine the scope of this
association in the general population.
PMID- 27864049
TI - Phosphodiesterase-7 inhibition affects accumbal and hypothalamic thyrotropin
releasing hormone expression, feeding and anxiety behavior of rats.
AB - Thyrotropin-releasing hormone (TRH) has anorexigenic and anxiolytic functions
when injected intraventricularly. Nucleus accumbens (NAcc) is a possible brain
region involved, since it expresses proTRH. TRH from hypothalamic paraventricular
nucleus (PVN) has a food intake-regulating role. TRHergic pathways of NAcc and
PVN are implicated in anxiety and feeding. Both behaviors depend on cAMP and
phosphorylated-cAMP response element binding protein (pCREB) intracellular
levels. Intracellular levels of cAMP are controlled by the degrading activity of
phosphodiesterases (PDEs). Since TRH transcription is activated by pCREB, a
specific inhibitor of PDE7B may regulate TRH-induced effects on anxiety and
feeding. We evaluated the effectiveness of an intra-accumbal and intraperitoneal
(i.p.) administration of a PDE7 inhibitor (BRL-50481) on rats' anxiety-like
behavior and food intake; also on TRH mRNA and protein expression in NAcc and PVN
to define its mediating role on the PDE7 inhibitor-induced behavioral changes.
Accumbal injection of 4MUg/0.3MUL of PDE7 inhibitor decreased rats' anxiety. The
i.p. injection of 0.2mg/kg of the inhibitor was able to increase the PVN TRH mRNA
expression and to decrease feeding but did not change animals' anxiety levels; in
contrast, 2mg/kg b.w inhibitor enhanced accumbal TRH mRNA, induced anxiolysis
with no change in food intake. PDE7 inhibitor induced anxiolytic and anorexigenic
like behavior depending on the dose used. Results supported hypothalamic TRH
mediated feeding-reduction effects, and accumbal TRH mediation of inhibitor
induced anxiolysis. Thus, an i.p dose of this inhibitor might be reducing anxiety
with no change in feeding, which could be useful for obese patients.
PMID- 27864050
TI - Ancestry trumps experience: Transgenerational but not early life stress affects
the adult physiological stress response.
AB - Exposure to stressors can affect an organism's physiology and behavior as well as
that of its descendants (e.g. through maternal effects, epigenetics, and/or
selection). We examined the relative influence of early life vs.
transgenerational stress exposure on adult stress physiology in a species that
has populations with and without ancestral exposure to an invasive predator. We
raised offspring of eastern fence lizards (Sceloporus undulatus) from sites
historically invaded (high stress) or uninvaded (low stress) by predatory fire
ants (Solenopsis invicta) and determined how this different transgenerational
exposure to stress interacted with the effects of early life stress exposure to
influence the physiological stress response in adulthood. Offspring from these
high- and low-stress populations were exposed weekly to either sub-lethal attack
by fire ants (an ecologically relevant stressor), topical treatment with a
physiologically-appropriate dose of the stress-relevant hormone, corticosterone
(CORT), or a control treatment from 2 to 43weeks of age. Several months after
treatments ended, we quantified plasma CORT concentrations at baseline and
following restraint, exposure to fire ants, and adrenocorticotropic hormone
(ACTH) injection. Exposure to fire ants or CORT during early life did not affect
lizard stress physiology in adulthood. However, offspring of lizards from
populations that had experienced multiple generations of fire ant-invasion
exhibited more robust adult CORT responses to restraint and ACTH-injection
compared to offspring from uninvaded populations. Together, these results
indicate that transgenerational stress history may be at least as important, if
not more important, than early life stress in affecting adult physiological
stress responses.
PMID- 27864052
TI - Tumor tissue slice cultures as a platform for analyzing tissue-penetration and
biological activities of nanoparticles.
AB - The success of therapeutic nanoparticles depends, among others, on their ability
to penetrate a tissue for actually reaching the target cells, and their efficient
cellular uptake in the context of intact tissue and stroma. Various nanoparticle
modifications have been implemented for altering physicochemical and biological
properties. Their analysis, however, so far mainly relies on cell culture
experiments which only poorly reflect the in vivo situation, or is based on in
vivo experiments that are often complicated by whole-body pharmacokinetics and
are rather tedious especially when analyzing larger nanoparticle sets. For the
more precise analysis of nanoparticle properties at their desired site of action,
efficient ex vivo systems closely mimicking in vivo tissue properties are needed.
In this paper, we describe the setup of organotypic tumor tissue slice cultures
for the analysis of tissue-penetrating properties and biological activities of
nanoparticles. As a model system, we employ 350MUm thick slice cultures from
different tumor xenograft tissues, and analyze modified or non-modified
polyethylenimine (PEI) complexes as well as their lipopolyplex derivatives for
siRNA delivery. The described conditions for tissue slice preparation and culture
ensure excellent tissue preservation for at least 14days, thus allowing for
prolonged experimentation and analysis. When using fluorescently labeled siRNA
for complex visualization, fluorescence microscopy of cryo-sectioned tissue
slices reveals different degrees of nanoparticle tissue penetration, dependent on
their surface charge. More importantly, the determination of siRNA-mediated
knockdown efficacies of an endogenous target gene, the oncogenic survival factor
Survivin, reveals the possibility to accurately assess biological nanoparticle
activities in situ, i.e. in living cells in their original environment. Taken
together, we establish tumor (xenograft) tissue slices for the accurate and
facile ex vivo assessment of important biological nanoparticle properties. Beyond
the quantitative analysis of nanoparticle tissue-penetration, the excellent
tissue preservation and cell viability also allows for the evaluation of
biological activities.
PMID- 27864053
TI - Studies for improved understanding of lipid distributions in human skin by
combining stimulated and spontaneous Raman microscopy.
AB - Advanced Raman techniques, such as stimulated Raman spectroscopy (SRS), have
become a valuable tool for investigations of distributions of substances in
biological samples. However, these techniques lack spectral information and are
therefore highly affected by cross-sensitivities, which are due to blended Raman
bands. One typical example is the symmetric CH2 stretching vibration of lipids,
which is blended with the more intense Raman band of proteins. We report in this
work an approach to reduce such cross-sensitivities by a factor of 8 in human
skin samples. This is accomplished by careful spectral deconvolutions revealing
the neat spectra of skin lipids. Extensive Raman studies combining the
complementary advantages of fast mapping and scanning, i.e. SRS, as well as
spectral information provided by spontaneous Raman spectroscopy, were performed
on the same skin regions. In addition, an approach for correcting artifacts is
reported, which are due to transmission and reflection geometries in Raman
microscopy as well as scattering of radiation from rough and highly structured
skin samples. As a result, these developments offer improved results obtained
from label-free spectromicroscopy provided by Raman techniques. These yield
substance specific information from spectral regimes in which blended bands
dominate. This improvement is illustrated by studies on the asymmetric CH2
stretching vibration of lipids, which was previously difficult to identify due to
the strong background signal from proteins. The advantage of the correction
procedures is demonstrated by higher spatial resolution permitting to perform
more detailed investigations on lipids and their composition in skin.
PMID- 27864051
TI - Individual differences in speech-in-noise perception parallel neural speech
processing and attention in preschoolers.
AB - From bustling classrooms to unruly lunchrooms, school settings are noisy. To
learn effectively in the unwelcome company of numerous distractions, children
must clearly perceive speech in noise. In older children and adults, speech-in
noise perception is supported by sensory and cognitive processes, but the
correlates underlying this critical listening skill in young children (3-5 year
olds) remain undetermined. Employing a longitudinal design (two evaluations
separated by ~12 months), we followed a cohort of 59 preschoolers, ages 3.0-4.9,
assessing word-in-noise perception, cognitive abilities (intelligence, short-term
memory, attention), and neural responses to speech. Results reveal changes in
word-in-noise perception parallel changes in processing of the fundamental
frequency (F0), an acoustic cue known for playing a role central to speaker
identification and auditory scene analysis. Four unique developmental
trajectories (speech-in-noise perception groups) confirm this relationship, in
that improvements and declines in word-in-noise perception couple with
enhancements and diminishments of F0 encoding, respectively. Improvements in word
in-noise perception also pair with gains in attention. Word-in-noise perception
does not relate to strength of neural harmonic representation or short-term
memory. These findings reinforce previously-reported roles of F0 and attention in
hearing speech in noise in older children and adults, and extend this
relationship to preschool children.
PMID- 27864054
TI - Ultrasound-assisted extraction of beta-d-glucan from hull-less barley: Assessment
of physicochemical and functional properties.
AB - The present study was carried out to investigate the effect of ultrasound
assisted extraction (UAE) method on physicochemical and molecular properties of
hull-less barley beta-d-glucan. With increasing sonication time, beta-d-glucan
flow behavior index (n) and its extraction yield significantly increased while
its consistency coefficient and lightness decreased. Sonication time had no
significant effect on beta-d-glucan purity, emulsion and foam stabilizing effect
and colour. Increasing pH from 5 to 9 significantly enhanced extraction yield,
purity, consistency coefficient (k), emulsion stabilizing effect and yellowness
of the final product. At higher pHs, flow behavior index (n) and redness and
yellowness decreased. With increasing the amplitude, extracted beta-d-glucan flow
behavior index (n), redness significantly increased. In contrast, beta-d-glucan
purity, emulsion stability, consistency coefficient (k) and yellowness decreased
as a result of increase in sound amplitude. However, sound amplitude had no
significant effect on beta-d-glucan lightness. Models presented in this study
were highly significant and the correlation coefficient could be used for
optimization of beta-d-glucan extraction from hull-less barley. Considering the
importance and desirability of the response variables, the best results were
obtained when the sonication time, amplitude and pH were 4.8min, 50% and 9,
respectively. beta-d-Glucans extracted by UAE had lower average molecular weight
compared to those extracted by water extraction method. There was no difference
between the chemical structures of beta-d-glucans extracted by UAE and
conventional method. This means that short time ultrasonic extraction had no
effect on chemical structure of beta-d-glucan. These results indicate that UAE
method was a very effective tool for extraction of beta-d-glucan as a potential
hydrocolloid agent for food industries.
PMID- 27864055
TI - Gastroprotective effect and chemical characterization of a polysaccharide
fraction from leaves of Croton cajucara.
AB - Croton cajucara Benth. is a tree from the Amazon Forest, where it is known as
sacaca. Its leaves and barks are used in medicinal preparations to treat
different diseases, including gastric ulcers. The crude polysaccharide fraction
(CCP), obtained from the hot aqueous extract of C. cajucara leaves, was able to
promote gastroprotection on an ethanol induced gastric ulcer model. Therefore, a
bioguided fractionation was performed to isolate the active polysaccharide
fraction. After freezing-thawing, ultrafiltration and dialyses at 100, 50, and
25kDa cut-off membranes, fraction 25R was obtained. It contained glucose,
galactose, rhamnose, arabinose, galacturonic acid and mannose in a 7:5:5:3:1:1
molar ratio approximately, and had a Mw of 42,840g/mol. Methylation analysis and
NMR spectroscopy indicated that 25R is a very complex polysaccharide fraction
containing type I rhamnogalacturonan, arabinan, type I arabinogalactan, type II
arabinogalactan, rhamnan, starch and mannan. It was able to reduce ethanol
induced gastric ulcers in rats, through preservation of mucus and GSH levels.
PMID- 27864056
TI - Lactoferrin nanoparticle mediated targeted delivery of 5-fluorouracil for
enhanced therapeutic efficacy.
AB - Malignant melanoma is an aggressive form of skin cancer with high mortality
rates. Common treatments for malignant melanoma involve a combination of
radiotherapy and chemotherapy with fluorouracil (5-FU). A major challenge with
melanoma treatment is active resistance to chemotherapeutic drugs. Superior
treatment outcome lies on balance involving optimum therapeutic doses and the
side effects associated with dose escalation. The study aimed to efficiently
entrap 5-FU in lactoferrin nanoparticles (LfNPs) in an attempt to enhance its
therapeutic efficacy. 5-FU loaded lactoferrin nanoparticles (5-FU-LfNPs) were
prepared by sol-oil method with a narrow size distribution of 150+/-20nm 5-FU
LfNPs exhibits high encapsulation efficiency (64+/-2.3%) and increased storage
stability at 4 degrees C. Competitive ligand binding and lysosomal colocalization
studies suggested a receptor mediated uptake for LfNPs internalization in B16F10
cells. Moreover, 5-FU-LfNPs show a pH dependent drug release similar to endosomal
pH (pH 5 and 6). In addition compared to free 5-FU, 5-FU- LfNPs showed a higher
intracellular uptake, prolonged retention and improved cytotoxicity (2.7-fold) in
melanoma cells (B16F10). To conclude, LfNPs represent a superior nano-carrier for
the targeted delivery of 5-FU in melanoma cells intended for the efficient
treatment of melanoma though detailed in vivo investigations are warranted.
PMID- 27864057
TI - Inhibitory effects of the dietary flavonoid quercetin on the enzyme activity of
zinc(II)-dependent yeast alcohol dehydrogenase: Spectroscopic and molecular
docking studies.
AB - A multispectroscopic exploration was employed to investigate the interaction
between the metallo-enzyme alcohol dehydrogenase (ADH) from yeast with
bioflavonoid quercetin (QTN). Here, we have characterized the complex formation
between QTN and Zn2+ in aqueous solution and then examined the effect of such
complex formation on the enzymatic activity of a zinc(II)-dependent enzyme
alcohol dehydrogenase from yeast. We have observed an inhibition of enzymatic
activity of ADH in presence of QTN. Enzyme inhibition kinetic experiments
revealed QTN as a non-competitive inhibitor of yeast ADH. Perturbation of
Circular dichroic (CD) spectrum of ADH in presence of QTN is observed due to the
structural changes of ADH on complexation with the above flavonoid. Our results
indicate a conformational change of ADH due to removal of Zn2+ present in the
enzyme by QTN. This was further established by molecular modeling study which
shows that the flavonoid binds to the Zn2+ ion which maintains the tertiary
structure of the metallo-enzyme. So, QTN abstracts only half of the Zn2+ ions
present in the enzyme i.e. one Zn2+ ion per monomer. From the present study, the
structural alteration and loss of enzymatic activity of ADH are attributed to the
complex formation between QTN and Zn2+.
PMID- 27864058
TI - A novel alkaline surfactant-stable keratinase with superior feather-degrading
potential based on library screening strategy.
AB - A novel keratinase was mined and expressed in Escherichia coli BL21 (DE3) via
function-driven screening with fosmid library. The catalytic properties of
purified keratinase were investigated in detail following enzyme purification.
The recombinant keratinase was purified to homogeneity with an estimated
molecular weight of 26kDa using nickel affinity chromatography, of which the
optimal reaction pH and temperature were 10.0 and 55 degrees C, respectively. It
could remain stable at pH 5.0-12.0 and 40-60 degrees C. Metal ions such as Ca2+,
Mn2+, Ag+, Na+, Mg2+, Li+, Sn2+ (1mM) displayed positive influence on keratinase,
and particularly, Ca2+ exhibited remarkable improvement effect by 2.6 folds. It
was strongly inhibited by PMSF as a protease inhibitor. On the contrary, it could
be obviously activated by various surfactants, such as Tween 40 and Triton X-114.
The recombinant keratinase showed high specificity towards casein, soluble
keratin, BSA, and wool. The keratinase could efficiently degrade the feathers,
which demonstrated its applicable potential for biodegradation of keratin wastes
and regeneration of soluble protein.
PMID- 27864059
TI - Biosynthesis and physicochemical characterization of a bacterial
polysaccharide/polyamide blend, applied for microfluidics study in porous media.
AB - Screening among some new isolated bacteria from oily samples, which were capable
of producing extracellular polymeric substances (EPSs), one was selected and
identified as Bacillus sonorensis. An efficient micro-total analysis approach was
carried out to assay the produced EPSs by this bacterium. Sucrose and yeast
concentrations as carbon and nitrogen sources, respectively, sodium salt
concentration and initial pH were selected to be the variables in experimental
design. Production of EPS in optimal condition was increased by 5.3 times.
Further EPS purification was carried out to identify the biopolymers. The
bacteria produced high molecular weight biopolymers with a number average
molecular weight (Mn) of 9.1*106g/mol determined by gel permeation chromatography
(GPC). Biopolymer characterization demonstrated the biosynthesis of both
polysaccharides and polyamides by the bacteria. For the biopolymer blend, thermal
properties and morphological characteristics were studied using simultaneous
differential scanning calorimetric and thermal gravimetric analyses (DSC/TGA) and
field emission scanning electron microscope (FESEM) analyses. Finally, the
biopolymer blend was injected into an oil saturated glass micro model to study
the enhancement of oil recovery by biopolymer flooding in contrast with water
flooding. It was found that oil recovery increased by 36%, from 23% using water
flooding to 59% for biopolymer injection.
PMID- 27864060
TI - FOS-1 functions as a transcriptional activator downstream of the C. elegans JNK
homolog KGB-1.
AB - JNK proteins are conserved stress-activated MAP kinases. In C. elegans, the JNK
homolog KGB-1 plays essential roles in protection from heavy metals and protein
folding stress. However, the contributions of KGB-1 are age-dependent, providing
protection in larvae, but reducing stress resistance and shortening lifespan in
adults. Attenuation of DAF-16 was linked to the detrimental contributions of KGB
1 in adults, but its involvement in KGB-1-dependent protection in larvae remains
unclear. To characterize age-dependent contributions of KGB-1, we used microarray
analysis to measure gene expression following KGB-1 activation either in
developing larvae or in adults, achieved by knocking down its negative
phosphatase regulator vhp-1. This revealed a robust KGB-1 regulon, most of which
consisting of genes induced following KGB-1 activation regardless of age; a
smaller number of genes was regulated in an age-dependent manner. We found that
the bZIP transcription factor FOS-1 was essential for age-invariant KGB-1
dependent gene induction, but not for age-dependent expression. The latter was
more affected by DAF-16, which was further found to be required for KGB-1
dependent cadmium resistance in larvae. Our results identify FOS-1 as a
transcriptional activator mediating age-invariant contributions of KGB-1,
including a regulatory loop of KGB-1 signaling, but also stress the importance of
DAF-16 as a mediator of age-dependent contributions.
PMID- 27864062
TI - En Face Optical Coherence Tomography Imaging for the Detection of Nascent
Geographic Atrophy.
AB - PURPOSE: To determine if en face optical coherence tomography (OCT) imaging can
identify nascent geographic atrophy (nGA) in eyes with intermediate age-related
macular degeneration (iAMD). DESIGN: Retrospective observational case series.
METHODS: Patients with iAMD from the COMPLETE study at the Bascom Palmer Eye
Institute were evaluated to determine if nGA was present at baseline and at
follow-up using high-density Spectralis OCT B-scans and en face OCT images from
the Cirrus OCT instrument. If available, additional en face OCT images and B
scans were analyzed at follow-up times beyond the 52-week period. RESULTS: A
total of 37 eyes (27 patients) were evaluated for at least 1 year using both B
scans and en face images. Two drusen suspicious for nGA at baseline were
identified, but neither druse developed GA after 24 and 62 months of follow-up,
respectively. Another druse displayed hypertransmission into the choroid at week
52 on B-scan imaging and was classified as nGA. En face OCT imaging identified
this druse as a focal bright area. Drusen breakdown occurred during a follow-up
of 39 months. CONCLUSIONS: En face OCT imaging appeared to be as useful as
routine B-scan imaging for identifying areas suspicious for nGA in this
population from the COMPLETE Study. Additional longitudinal follow-up of eyes
with drusen is needed to determine if en face OCT imaging can replace the
evaluation of individual B-scans for the detection of nGA.
PMID- 27864061
TI - Melanogenesis inhibits respiration in B16-F10 melanoma cells whereas enhances
mitochondrial cell content.
AB - Melanoma is a rare and aggressive skin tumor; the survival of patients diagnosed
late is fairly low. This high mortality rate is due to the characteristics of the
cells that allow them to be resistant to radiotherapy and conventional
chemotherapy, besides of being able to evade the immune system. Melanin, the
pigment responsible for skin, hair and eye color, seems to be involved in this
resistance. The main function of melanin is to protect the cells against
ultraviolet (UV) light by absorbing this radiation and reactive oxygen species
(ROS) scavenging. But this pigment may have also a role as photosensitizer,
because when it is irradiated with UVA light (320-400 nm), the generation of ROS
was detected. Besides, the melanogenesis stimulation on B16-F10 cells resulted in
cell cycle arrest, induction of a quiescent state, change in the expression of
several proteins and alterations on ADP/ATP ratio. The present study aimed to
investigate the influence of melanogenesis stimulation in mitochondrial function
of B16-F10 melanoma cells. Therefore, we analyzed cells respiration,
mitochondrial membrane potential (Deltapsim) and mitochondria mass in B16-F10
melanoma cells stimulated with 0.4mML-tyrosine and 10mM NH4Cl. Our results showed
that the induction of melanin synthesis was able to reduce significantly the
oxygen consumption after 48h of stimulation, without changes of mitochondrial
membrane potential when compared to non-stimulated cells. Despite of respiration
inhibition, the mitochondria mass was higher in cells with melanogenesis
stimulation. We suggest that the stimulation in the melanin synthesis might be
promoting the inhibition of electrons transport chain by some intermediate
compound from the synthesis of the pigment and this effect could contribute to
explain the entry in the quiescent state.
PMID- 27864063
TI - Quercetin impregnated chitosan-fibrin composite scaffolds as potential wound
dressing materials - Fabrication, characterization and in vivo analysis.
AB - The present study efforts at fabricating chitosan-fibrin composite (CF) scaffolds
impregnated with quercetin for wound dressing application and aims at
investigating their physicochemical properties. CF scaffolds were prepared by
mixing acidic solution of chitosan with an alkaline solution of fibrin, to which
quercetin (Q) was added, homogenized and lyophilized obtain Q-CF scaffold. FTIR
spectra were used to determine the interactions between the functional groups of
quercetin and CF scaffolds. TGA analysis revealed the decomposition of saccharide
rings and amino acids of chitosan and fibrin at the temperature range of 255-400
degrees C. Q-CF scaffold exhibited maximum tensile strength of 1.45MPa, an ideal
mechanical strength for a wound dressing material. Q-CF scaffolds exhibited good
bactericidal activity against Escherichia coli and Staphylococcus aureus.
Biocompatibility of Q-CF scaffold was assessed using MTT assay, which elucidated
its non-toxic property and excellent suitability for tissue engineering
applications. In vivo wound healing experiments performed using albino rats
revealed that topical application of Q-CF scaffold on open excision type of
wounds can significantly accelerate the process of wound healing. These results
suggest that Q-CF scaffold could serve as a promising wound dressing material.
PMID- 27864064
TI - An emerging class of amphiphilic dendrimers for pharmaceutical and biomedical
applications: Janus amphiphilic dendrimers.
AB - In recent years, a new class of dendrimer, known as Janus dendrimers (JDs), has
attracted much attention due to their different structures and properties to the
conventional symmetric forms. The broken symmetry of JDs offers the opportunity
to form complex self-assembled materials, and presents new sets of properties
that are presently inconceivable for homogeneous or symmetrical dendrimers. Due
to their unique features, JDs have a promising future in pharmaceutical and
biomedical fields, as seen from the recent interest in their application in
conjugating multiple drugs and targeting moieties, forming supramolecular
hydrogels, enabling micellar delivery systems, and preparing nano-vesicles, known
as dendrimersomes, for drug encapsulation. The present paper is the first review,
with an emphasis on various emerging applications of JDs, in the drug delivery
and biomedical field reported so far. In addition, the paper describes different
synthetic methods for producing JDs that can guide the design of new
biocompatible forms with pharmacological activities, and that have the potential
to be nano drug delivery vehicles. Furthermore, future studies to optimize the
applications of JDs in drug delivery sciences and biomedical field to realize
their potential to treat various disease conditions are identified and
highlighted. Overall, this review identifies the current status of JDs in terms
of their synthesis and applications, as well as the future research for their
translation into macromolecules for clinical applications to solve health
problems. It highlights the future combined efforts needed to be taken by
dendrimer chemists, formulation scientist and microbiologists to develop novel
antibacterials and nanomedicines from JDs.
PMID- 27864065
TI - Lateralization of splay posture in reticulated giraffe (Giraffa camelopardalis
reticulate).
AB - Motor laterality is quite often studied in non-human primates, but rarely has
been investigated within ungulates. The aim of the study was to use the naturally
occurring splay behavior in giraffe as a method to look for the presence of
laterality. Four male giraffes housed at Zoo Atlanta were watched for three
months, recording their first leg moved to begin the splay posture and the total
number of leg movements to achieve a secure stance. All four giraffe
significantly moved their left leg first to begin the stance, which suggests at
least individual level laterality. However, using the number of leg movements
overall, the last leg moved was only significant in one individual.
PMID- 27864066
TI - Stimulus control in two rodent models of attention-deficit/hyperactivity
disorder.
AB - The spontaneously hypertensive (SHR/NCrl) rat from Charles River is one of the
most widely used models of the combined subtype of Attention
Deficit/Hyperactivity Disorder (ADHD-C). Although often used as its control
strain, the Wistar Kyoto (WKY/NCrl) from Charles River has been proposed as a
model of the predominately inattentive subtype of ADHD (ADHD-PI). In Experiment 1
SHR/NCrl, WKY/NCrl, and Wistar (WI; the progenitor strain for the two models)
rats were trained on a left->right lever-press sequence in the presence of light
discriminative stimuli that signaled the active lever in the sequence. In
subsequent conditions the discriminative light cues were removed or reversed.
WKY/NCrl accuracy remained relatively stable across cue light transitions.
SHR/NCrl and WI accuracy was more disrupted when light cues were removed or
reversed-an indication that behavior of the WKY/NCrl rats may not have come under
control of the discriminative light cues as it did for the other strains, but
relied more on past behavior and spatial cues. In Experiment 2, all three strains
were exposed to a response-initiated fixed-interval (RIFI) 8-s schedule of
reinforcement. In RIFI schedules behavior must be timed from a past instance of
the target response. Replicating previous work, timing during the FI was roughly
equivalent across the three strains; however, latencies to initiate the FI were
significantly longer for SHR/NCrl than WKY/NCrl and WI rats, suggesting SHR/NCrl
behavior was less sensitive to the first-response:food contingency in the RIFI
schedule. These findings identify differences in stimulus control between the
three strains and may help determine the efficacy of SHR/NCrl and WKY/NCrl as
models of ADHD subtypes in humans.
PMID- 27864067
TI - "Better" clinical decisions do not necessarily require more time to make.
PMID- 27864068
TI - Bibliographic study showed improving statistical methodology of network meta
analyses published between 1999 and 2015.
AB - OBJECTIVES: To assess the characteristics and core statistical methodology
specific to network meta-analyses (NMAs) in clinical research articles. STUDY
DESIGN AND SETTING: We searched MEDLINE, EMBASE, and the Cochrane Database of
Systematic Reviews from inception until April 14, 2015, for NMAs of randomized
controlled trials including at least four different interventions. Two reviewers
independently screened potential studies, whereas data abstraction was performed
by a single reviewer and verified by a second. RESULTS: A total of 456 NMAs,
which included a median (interquartile range) of 21 (13-40) studies and 7 (5-9)
treatment nodes, were assessed. A total of 125 NMAs (27%) were star networks;
this proportion declined from 100% in 2005 to 19% in 2015 (P = 0.01 by test of
trend). An increasing number of NMAs discussed transitivity or inconsistency (0%
in 2005, 86% in 2015, P < 0.01) and 150 (45%) used appropriate methods to test
for inconsistency (14% in 2006, 74% in 2015, P < 0.01). Heterogeneity was
explored in 256 NMAs (56%), with no change over time (P = 0.10). All pairwise
effects were reported in 234 NMAs (51%), with some increase over time (P = 0.02).
The hierarchy of treatments was presented in 195 NMAs (43%), the probability of
being best was most commonly reported (137 NMAs, 70%), but use of surface under
the cumulative ranking curves increased steeply (0% in 2005, 33% in 2015, P <
0.01). CONCLUSION: Many NMAs published in the medical literature have significant
limitations in both the conduct and reporting of the statistical analysis and
numerical results. The situation has, however, improved in recent years, in
particular with respect to the evaluation of the underlying assumptions, but
considerable room for further improvements remains.
PMID- 27864069
TI - Microencapsulated SLN: An innovative strategy for pulmonary protein delivery.
AB - Associating protein with nanoparticles is an interesting strategy to improve
their bioavailability and biological activity. Solid lipid nanoparticles (SLN)
have been sought as carriers for therapeutic proteins transport to the lung
epithelium. Nevertheless, because of their low inertia, nanoparticles intended
for pulmonary application usually escape from lung deposition. To overcome this
problem, the production of spray-dried powders containing nanoparticles has been
recently reported. Herein we developed new hybrid microencapsulated SLN for
pulmonary administration, containing a model protein (papain, PAP). PAP was
adsorbed onto glyceryl dibehenate and glyceryl tristearate SLN. Physical
characterization using transmission electron microscopy (TEM), Fourier transform
infrared spectroscopy (FTIR) and differential scanning calorimetry (DSC)
confirmed the interaction between PAP and SLN corroborating that the protein was
efficiently adsorbed at SLN's surface. PAP adsorption onto SLN (PAP-SLN) slightly
increased particle size, while decreasing the SLN negative surface charge. The
adsorption process followed a Freundlich type of adsorption isotherm.
Nanoformulations were then spray-dried, originating spherical microparticles with
suitable aerodynamic characteristics. Full characterization of microparticles was
performed using scanning electron microscopy (SEM), X-ray photoelectron
spectroscopy (XPS) and isothermal titration calorimetry (ITC). PAP was released
from dry powders in a higher extent when compared with non spray-dried SLN.
Nevertheless, protein stability was kept throughout microsphere production, as
assessed by SDS-PAGE.
PMID- 27864070
TI - Friend or foe? The tumour microenvironment dilemma in colorectal cancer.
AB - The network of bidirectional homotypic and heterotypic interactions established
among parenchymal tumour cells and surrounding mesenchymal stromal cells
generates the tumour microenvironment (TME). These intricate crosstalks elicit
both beneficial and adverse effects on tumour initiation and progression
unbalancing the signals and responses from the neighbouring cells. Here, we
highlight the structure, activities and evolution of TME cells considering a
novel colorectal cancer (CRC) classification based on differential stromal
composition and gene expression profiles. In this scenario, we scrutinise the
molecular pathways that either change or become corrupted during CRC development
and their relative prognostic value. Finally, we survey the therapeutic molecules
directed against TME components currently available in clinical trials as well as
those with stronger potential in preclinical studies. Elucidation of dynamic
variations in the CRC TME cell composition and their relative contribution could
provide novel diagnostic or prognostic biomarkers and allow more personalised
therapeutic strategies.
PMID- 27864071
TI - Tetrahydroquinoline-based tricyclic amines as potent and selective agonists of
the 5-HT2C receptor.
AB - The syntheses, structure-activity relationships (SARs), and biological activities
of tetrahydroquinoline-based tricyclic amines as 5-HT2C receptor agonists are
reported. An early lead containing a highly unique 6,6,7-ring system was
optimized for both in vitro potency and selectivity at the related 5-HT2B
receptor. Orally bioactive, potent, and selective 6,6,6-tricyclic 5-HT2C agonists
were identified.
PMID- 27864073
TI - Eating behaviour of university students in Germany: Dietary intake, barriers to
healthy eating and changes in eating behaviour since the time of matriculation.
AB - A healthy diet plays a key role in preventing obesity and non-communicable
diseases such as type 2 diabetes. This is true for all age groups, including
young adults. While unhealthy eating habits among young adults, in particular
university students, have been identified in former studies, this group has been
neglected in existing health promotion strategies. Our aim was to explore
baseline dietary intake, common barriers to healthy eating, and changes in eating
behaviour among university students since the time of matriculation. We used data
from the quantitative part of the Nutrition and Physical Activity Study (NuPhA),
a cross-sectional online survey (data collection: 2014/10/31-2015/01/15).
Students were recruited from all over Germany. Overall, 689 university students
(30.5% male; mean age: 22.69) from more than 40 universities across Germany
participated. We found that there is room for improvement with regard to the
consumption of specific food groups, for example, fruits and vegetables. The main
barriers to healthy eating were lack of time due to studies, lack of healthy
meals at the university canteen, and high prices of healthy foods. Cluster
analysis revealed that barriers to healthy eating might affect only specific
subgroups, for instance freshmen. Changes in eating behaviour since matriculation
were found in the consumption of meat, fish, and regular meals. Future
qualitative studies may help to explore why university students change their
eating behaviour since the time of matriculation. Such knowledge is necessary to
inform health promotion strategies in the university setting.
PMID- 27864072
TI - Racial and gender disparities in sugar consumption change efficacy among first
year college students.
AB - Reducing excess dietary sugar intake among emerging adults involves replacing
sugar sweetened beverages (SSBs) and sugary snacks (SSN) with healthier options.
Few studies have assessed the perceived degree of difficulty associated with
making lifestyle modifications among a diverse group of emerging adults. The
purpose of this study was to assess race and gender disparities in SSB and SSN
behavioral modification efficacy among African American and White first year
college students. A self-administered, cross-sectional survey was completed by a
subsample of freshmen (n = 499) at a medium-sized southern university. Key
outcome variables were self-efficacy in reducing consumption of SSBs and SSNs,
respectively. Primary independent variables were BMI, concerns about weight, and
attempts to lose weight, takeout food consumption frequency, and physical
activity. Half of the sample was African American (50.1%) and a majority of
participants were female (59.3%). Fewer African Americans than Whites were very
sure they could substitute SSBs with water (48.8% vs 64.7%, p < 0.001) or eat
fewer SSNs (39.2% vs 48.2%, p < 0.04). A smaller segment of males reported being
confident in their ability replace SSBs with water (51.2% vs 60.5%, p < 0.04).
African Americans (OR = 0.38, CI: 0.22-0.64) and males (OR = 0.49, CI: 0.27-0.88)
had lower odds of being more confident in their ability to change their SSB
intake. Race and gender differences were not present in models predicting
confidence to reduce SSN consumption. These findings highlight the need to
consider race and gender in interventions seeking to increase self-efficacy to
make lifestyle modifications.
PMID- 27864074
TI - Clinically isolated enterovirus A71 subgenogroup C4 strain with lethal
pathogenicity in 14-day-old mice and the application as an EV-A71 mouse infection
model.
AB - The Enterovirus A71 (EV-A71) subgenogroup C4 is prevalent in China. EV-A71 causes
hand, foot and mouth disease (HFMD) in children and may lead to severe
neurological diseases. The development of antiviral and protective vaccines
against EV-A71 is significantly hindered by the lack of suitable animal models to
recapitulate human neurological symptoms. In this study, GZ-CII, a highly
virulent EV-A71 subgenogroup C4 strain, was isolated from hospitalized children
with HFMD. Intraperitoneal infections of GZ-CII resulted in progressive
neurological disease in mice as old as 14 days. Administration of an inactivated
EV-A71 vaccine or an anti-EV-A71 immune serum protected the mice against the GZ
CII infection. This demonstrated that a mouse model with EV-A71 GZ-CII could be
used to evaluate potential vaccine candidates and therapeutics for subgenogroup
C4. Comparing the genome sequence of GZ-CII with that of the avirulent EV-A71
subgenogroup C4 strain revealed unique mutations in GZ-CII. When mutation VP2
K149I was introduced into the nonpathogenic EV-A71 subgenogroup C4 strain, the
variant similar to GZ-CII significantly increased viral replication and virulence
in mice. These results indicated that the VP2-K149I mutation played an important
role in enhancing the virulence of the EV-A71 subgenogroup C4 strain in mice, and
that mice infected with the GZ-CII strain are a promising model for evaluating
vaccines and therapeutics against the EV-A71 subgenogroup C4.
PMID- 27864075
TI - The natural compound silvestrol is a potent inhibitor of Ebola virus replication.
AB - The DEAD-box RNA helicase eIF4A, which is part of the heterotrimeric translation
initiation complex in eukaryotes, is an important novel drug target in cancer
research because its helicase activity is required to unwind extended and highly
structured 5'-UTRs of several proto-oncogenes. Silvestrol, a natural compound
isolated from the plant Aglaia foveolata, is a highly efficient, non-toxic and
specific inhibitor of eIF4A. Importantly, 5'-capped viral mRNAs often contain
structured 5'-UTRs as well, which may suggest a dependence on eIF4A for their
translation by the host protein synthesis machinery. In view of the recent Ebola
virus (EBOV) outbreak in West Africa, the identification of potent antiviral
compounds is urgently required. Since Ebola mRNAs are 5'-capped and harbor RNA
secondary structures in their extended 5'-UTRs, we initiated a BSL4 study to
analyze silvestrol in EBOV-infected Huh-7 cells and in primary human macrophages
for its antiviral activity. We observed that silvestrol inhibits EBOV infection
at low nanomolar concentrations, as inferred from large reductions of viral
titers. This correlated with an almost complete disappearance of EBOV proteins,
comparable in effect to the translational shutdown of expression of the proto
oncoprotein PIM1, a cellular kinase known to be affected by silvestrol. Effective
silvestrol concentrations were non-toxic in the tested cell systems. Thus,
silvestrol appears to be a promising first-line drug for the treatment of acute
EBOV and possibly other viral infections.
PMID- 27864076
TI - Electric field as a potential directional cue in homing of bone marrow-derived
mesenchymal stem cells to cutaneous wounds.
AB - Bone marrow-derived cells are thought to participate and enhance the healing
process contributing to skin cells or releasing regulatory cytokines. Directional
cell migration in a weak direct current electric field (DC-EF), known as
electrotaxis, may be a way of cell recruitment to the wound site. Here we
examined the influence of electric field on bone marrow adherent cells (BMACs)
and its potential role as a factor attracting mesenchymal stem cells to cutaneous
wounds. We observed that in an external EF, BMAC movement was accelerated and
highly directed with distinction of two cell populations migrating toward
opposite poles: mesenchymal stem cells migrated toward the cathode, whereas
macrophages toward the anode. Analysis of intracellular pathways revealed that
macrophage electrotaxis mostly depended on Rho family small GTPases and calcium
ions, but interruption of PI3K and Arp2/3 had the most pronounced effect on
electrotaxis of MSCs. However, in all cases we observed only a partial decrease
in directionality of cell movement after inhibition of certain proteins.
Additionally, although we noticed the accumulation of EGFR at the cathodal side
of MSCs, it was not involved in electrotaxis. Moreover, the cell reaction to EF
was very dynamic with first symptoms occurring within <1min. In conclusion, the
physiological DC-EF may act as a factor positioning bone marrow cells within a
wound bed and the opposite direction of MSC and macrophage movement did not
result either from utilizing different signalling or redistribution of
investigated cell surface receptors.
PMID- 27864077
TI - TRPV1 participates in the activation of clock molecular machinery in the brown
adipose tissue in response to light-dark cycle.
AB - Transient receptor potential (TRPs) channels are involved in thermogenesis, and
temperature and energy balance control. Mice lacking TrpV1 become more obese and
develop insulin resistance when fed with high fat diet; however, a relationship
between metabolic disorders, TRP channels, and clock genes is still unknown.
Based on this, we hypothesized that TRPV1 channels would be involved in the
synchronization of clock genes in the peripheral tissues. To address this
question, we used wild type (WT) and TrpV1 knockout (KO) mice kept in constant
darkness (DD) or in light-dark cycle (LD). In WT mouse brown adipose tissue
(BAT), TrpV1 oscillated with higher expression at scotophase, Per1 and Per2
showed the same profile, and Bmal1 transcript only oscillated in DD.
Interestingly, the oscillatory profile of these clock genes was abolished in
TrpV1 KO mice. WT mouse Ucp1 was upregulated in LD as compared to DD, showing no
temporal variation; mice lacking TrpV1 showed Ucp1 oscillation with a peak at the
photophase. Remarkably, TrpV1 KO mice displayed less total activity than WT only
when submitted to LD. We provide evidence that TRPV1 is an important modulator of
BAT clock gene oscillations. Therefore, temperature and/or light-dependent
regulation of TRPV1 activity might provide novel pharmacological approaches to
treat metabolic disorders.
PMID- 27864078
TI - Inappropriate translation inhibition and P-body formation cause cold-sensitivity
in tryptophan-auxotroph yeast mutants.
AB - In response to different adverse conditions, most eukaryotic organisms, including
Saccharomyces cerevisiae, downregulate protein synthesis through the
phosphorylation of eIF2alpha (eukaryotic initiation factor 2alpha) by Gcn2, a
highly conserved protein kinase. Gcn2 also controls the translation of Gcn4, a
transcription factor involved in the induction of amino acid biosynthesis
enzymes. Here, we have studied the functional role of Gcn2 and Gcn2-regulating
proteins, in controlling translation during temperature downshifts of TRP1 and
trp1 yeast cells. Our results suggest that neither cold-instigated amino acid
limitation nor Gcn2 are involved in the translation suppression at low
temperature. However, loss of TRP1 causes increased eIF2alpha phosphorylation,
Gcn2-dependent polysome disassembly and overactivity of Gcn4, which result in
cold-sensitivity. Indeed, knock-out of GCN2 improves cold growth of trp1 cells.
Likewise, mutation of several Gcn2-regulators and effectors results in cold
growth effects. Remarkably, we found that Hog1, the osmoresponsive MAPK, plays a
role in the regulatory mechanism of Gcn2-eIF2alpha. Finally, we demonstrated that
P-body formation responds to a downshift in temperature in a TRP1-dependent
manner and is required for cold tolerance.
PMID- 27864079
TI - Temporal reliability of ultra-high field resting-state MRI for single-subject
sensorimotor and language mapping.
AB - Resting-state fMRI is a well-suited technique to map functional networks in the
brain because unlike task-based approaches it requires little collaboration from
subjects. This is especially relevant in clinical settings where a number of
subjects cannot comply with task demands. Previous studies using conventional
scanner fields have shown that resting-state fMRI is able to map functional
networks in single subjects, albeit with moderate temporal reliability. Ultra
high resolution (7T) imaging provides higher signal-to-noise ratio and better
spatial resolution and is thus well suited to assess the temporal reliability of
mapping results, and to determine if resting-state fMRI can be applied in
clinical decision making including preoperative planning. We used resting-state
fMRI at ultra-high resolution to examine whether the sensorimotor and language
networks are reliable over time - same session and one week after. Resting-state
networks were identified for all subjects and sessions with good accuracy. Both
networks were well delimited within classical regions of interest. Mapping was
temporally reliable at short and medium time-scales as demonstrated by high
values of overlap in the same session and one week after for both networks.
Results were stable independently of data quality metrics and physiological
variables. Taken together, these findings provide strong support for the
suitability of ultra-high field resting-state fMRI mapping at the single-subject
level.
PMID- 27864080
TI - Alterations of resting state functional network connectivity in the brain of
nicotine and alcohol users.
AB - Alcohol and nicotine intake result in neurological alterations at the circuit
level. Resting state functional connectivity has shown great potential in
identifying these alterations. However, current studies focus on specific seeds
and leave out many brain regions where effects might exist. The present study
uses a data driven technique for brain segmentation covering the whole brain.
Functional magnetic-resonance-imaging (fMRI) data were collected from 188
subjects:51 non-substance consumption controls (CTR), 36 smoking-and-drinking
subjects (SAD), 28 drinkers (DRN), and 73 smokers (SMK). Data were processed
using group independent component analysis to derive resting state networks
(RSN). The resting state functional network connectivity (rsFNC) was then
calculated through correlation between time courses. One-way ANOVA tests were
used to detect rsFNC differences among the four groups. A total of 50 ANOVA tests
were significant after multi-comparison correction. Results delineate a general
pattern of hypo-connectivity in the substance consumers. Precuneus, postcentral
gyrus, insula and visual cortex were the main brain areas with rsFNC reduction
suggesting reduced interoceptive awareness in drinkers. In addition, connectivity
reduction between postcentral and one RSN covering right fusiform and lingual
gyri showed significant association with severity of hazardous drinking. In
smokers, connectivity changes agreed with the idea of a shift towards endogenous
information processing, represented by the DMN. Hypo-connectivity between
thalamus and putamen was observed in smokers. In contrast, the angular gyrus
showed hyper-connectivity with the precuneus linked to smoking and significantly
correlated with nicotine dependence severity. In spite of the presence of common
effects, our results suggest that particular effects of alcohol and nicotine can
be separated and identified. Results also suggest that concurrent use of both
substances affects brain connectivity in a complex manner, requiring careful
consideration of interaction effects.
PMID- 27864082
TI - Individual variation in intentionality in the mind-wandering state is reflected
in the integration of the default-mode, fronto-parietal, and limbic networks.
AB - Mind-wandering has a controversial relationship with cognitive control. Existing
psychological evidence supports the hypothesis that episodes of mind-wandering
reflect a failure to constrain thinking to task-relevant material, as well the
apparently alternative view that control can facilitate the expression of self
generated mental content. We assessed whether this apparent contradiction arises
because of a failure to consider differences in the types of thoughts that occur
during mind-wandering, and in particular, the associated level of intentionality.
Using multi-modal magnetic resonance imaging (MRI) analysis, we examined the
cortical organisation that underlies inter-individual differences in descriptions
of the spontaneous or deliberate nature of mind-wandering. Cortical thickness, as
well as functional connectivity analyses, implicated regions relevant to
cognitive control and regions of the default-mode network for individuals who
reported high rates of deliberate mind-wandering. In contrast, higher reports of
spontaneous mind-wandering were associated with cortical thinning in parietal and
posterior temporal regions in the left hemisphere (which are important in the
control of cognition and attention) as well as heightened connectivity between
the intraparietal sulcus and a region that spanned limbic and default-mode
regions in the ventral inferior frontal gyrus. Finally, we observed a
dissociation in the thickness of the retrosplenial cortex/lingual gyrus, with
higher reports of spontaneous mind-wandering being associated with thickening in
the left hemisphere, and higher repots of deliberate mind-wandering with thinning
in the right hemisphere. These results suggest that the intentionality of the
mind-wandering state depends on integration between the control and default-mode
networks, with more deliberation being associated with greater integration
between these systems. We conclude that one reason why mind-wandering has a
controversial relationship with control is because it depends on whether the
thoughts emerge in a deliberate or spontaneous fashion.
PMID- 27864081
TI - Genetic and environmental influences on cortical mean diffusivity.
AB - Magnetic resonance imaging (MRI) has become an important tool in the early
detection of age-related and neuropathological brain changes. Recent studies
suggest that changes in mean diffusivity (MD) of cortical gray matter derived
from diffusion MRI scans may be useful in detecting early effects of Alzheimer's
disease (AD), and that these changes may be detected earlier than alterations
associated with standard structural MRI measures such as cortical thickness.
Thus, due to its potential clinical relevance, we examined the genetic and
environmental influences on cortical MD in middle-aged men to provide support for
the biological relevance of this measure and to guide future gene association
studies. It is not clear whether individual differences in cortical MD reflect
neuroanatomical variability similarly detected by other MRI measures, or whether
unique features are captured. For instance, variability in cortical MD may
reflect morphological variability more commonly measured by cortical thickness.
Differences among individuals in cortical MD may also arise from breakdowns in
myelinated fibers running through the cortical mantle. Thus, we investigated
whether genetic influences on variation in cortical MD are the same or different
from those influencing cortical thickness and MD of white matter (WM) subjacent
to the cortical ribbon. Univariate twin analyses indicated that cortical MD is
heritable in the majority of brain regions; the average of regional heritability
estimates ranged from 0.38 in the cingulate cortex to 0.66 in the occipital
cortex, consistent with the heritability of other MRI measures of the brain.
Trivariate analyses found that, while there was some shared genetic variance
between cortical MD and each of the other two measures, this overlap was not
complete (i.e., the correlation was statistically different from 1). A
significant amount of distinct genetic variance influences inter-individual
variability in cortical MD; therefore, this measure could be useful for further
investigation in studies of neurodegenerative diseases and gene association
studies.
PMID- 27864083
TI - Robust skull stripping using multiple MR image contrasts insensitive to
pathology.
AB - Automatic skull-stripping or brain extraction of magnetic resonance (MR) images
is often a fundamental step in many neuroimage processing pipelines. The accuracy
of subsequent image processing relies on the accuracy of the skull-stripping.
Although many automated stripping methods have been proposed in the past, it is
still an active area of research particularly in the context of brain pathology.
Most stripping methods are validated on T1-w MR images of normal brains,
especially because high resolution T1-w sequences are widely acquired and ground
truth manual brain mask segmentations are publicly available for normal brains.
However, different MR acquisition protocols can provide complementary information
about the brain tissues, which can be exploited for better distinction between
brain, cerebrospinal fluid, and unwanted tissues such as skull, dura, marrow, or
fat. This is especially true in the presence of pathology, where hemorrhages or
other types of lesions can have similar intensities as skull in a T1-w image. In
this paper, we propose a sparse patch based Multi-cONtrast brain STRipping method
(MONSTR),2 where non-local patch information from one or more atlases, which
contain multiple MR sequences and reference delineations of brain masks, are
combined to generate a target brain mask. We compared MONSTR with four state-of
the-art, publicly available methods: BEaST, SPECTRE, ROBEX, and OptiBET. We
evaluated the performance of these methods on 6 datasets consisting of both
healthy subjects and patients with various pathologies. Three datasets (ADNI,
MRBrainS, NAMIC) are publicly available, consisting of 44 healthy volunteers and
10 patients with schizophrenia. Other three in-house datasets, comprising 87
subjects in total, consisted of patients with mild to severe traumatic brain
injury, brain tumors, and various movement disorders. A combination of T1-w, T2-w
were used to skull-strip these datasets. We show significant improvement in
stripping over the competing methods on both healthy and pathological brains. We
also show that our multi-contrast framework is robust and maintains accurate
performance across different types of acquisitions and scanners, even when using
normal brains as atlases to strip pathological brains, demonstrating that our
algorithm is applicable even when reference segmentations of pathological brains
are not available to be used as atlases.
PMID- 27864085
TI - Single cell screening approaches for antibody discovery.
AB - Microtools that have been developed to allow in depth interrogation of individual
cells in high throughput are improving our understanding of biological processes
at the single cell level and are opening up new possibilities for biological
research. In relation to antibody discovery, these tools are now helping to
maximise the full potential of well-established methodologies for antibody
generation. Being complementary to both recombinant and native antibody secreting
cells, some of these tools are finding widespread use in the field. In this
review, we discuss how microtools for single cell analysis are addressing some of
the limitations of traditional approaches for antibody screening. We describe the
main classes of microtools for antibody discovery along with a comparison of each
technology and an outlook for the future utility of some of these microtools for
discovery and research.
PMID- 27864086
TI - Targeted memory reactivation of newly learned words during sleep triggers REM
mediated integration of new memories and existing knowledge.
AB - Recent memories are spontaneously reactivated during sleep, leading to their
gradual strengthening. Whether reactivation also mediates the integration of new
memories with existing knowledge is unknown. We used targeted memory reactivation
(TMR) during slow-wave sleep (SWS) to selectively cue reactivation of newly
learned spoken words. While integration of new words into their phonological
neighbourhood was observed in both cued and uncued words after sleep, TMR
triggered integration was predicted by the time spent in rapid eye movement (REM)
sleep. These data support complementary roles for SWS and REM in memory
consolidation.
PMID- 27864088
TI - Modality-invariant audio-visual association coding in crow endbrain neurons.
AB - Single neuron activity in the corvid nidopallium caudolaterale (NCL), the
supposed avian functional analog of the prefrontal cortex, represents
associations of auditory with visual stimuli. This is of high adaptive value for
songbirds that need to rely on audio-visual associations to communicate, find a
mate or escape predators. However, it remains unclear whether NCL neurons can
represent cross-modal associations in a modality invariant, abstract fashion. To
dissociate between modality-dependent and modality-invariant NCL activity, we
trained two crows to match auditory sample cues with visual test stimuli, and
vice versa, across a temporal memory delay. During sample presentation, NCL
activity selectively encoded associations in a modality invariant fashion. During
the delay, we observed subject specific, population-level coding biases in NCL
activity. Despite of these biases, task relevant information could be decoded
equally well from either subject's neuronal delay activity. Decoding success was
facilitated by many mixed selectivity neurons, which mediated high dimensional
representations of task variables on the NCL population level. These results
parallel findings from the mammalian PFC, suggesting common mechanisms
responsible for the adaptability of multimodal association areas across taxa.
PMID- 27864087
TI - Setting the occasion for adolescent inhibitory control.
AB - During adolescence, individuals experience a broad range of dynamic environments
as they strive to establish independence. Learning to respond appropriately in
both new and previously encountered environments requires that an individual
identify and learn the meaning of cues indicating that a behavior is appropriate,
or alternatively, that it should be altered or inhibited. Although the ability to
regulate goal-directed behavior continues to develop across adolescence, the
specific circumstances under which adolescents experience difficulty with
inhibitory control remain unclear. Here we review recent findings in our
laboratory that address how adolescents learn to proactively inhibit a response.
Much of our research has utilized a negative occasion setting paradigm, in which
one cue (a feature) gates the meaning of a second cue (a target). The feature
provides information that resolves the ambiguity of the target and indicates the
appropriate behavioral response to the target. As such, we have been able to
determine how adolescents learn about ambiguous stimuli, such as those whose
meaning changes in accordance with other features of the surrounding environment.
We consider why adolescents in particular exhibit difficulty in negative occasion
setting compared to either pre-adolescents or adults. In addition, we review
findings indicating that a balance in neural activity between orbitofrontal
cortex and nucleus accumbens is necessary to support normal negative occasion
setting. Finally, we consider aspects of associative learning that may contribute
to adolescent inhibitory control, as well as provide insight into adolescent
behavior as a whole.
PMID- 27864090
TI - Prevalence of food allergies in South Asia.
AB - OBJECTIVE: To evaluate the published medical literature on the prevalence and
types of food allergies in South Asia. DATA SOURCES: A PubMed search was
performed using the keywords India and food allergy, Asia and food allergy, and
South Asia and food allergy for any period. Articles cited in selected studies
were reviewed for their appropriateness of inclusion into this review. STUDY
SELECTIONS: Publications were included that were original research and fit the
topic of food allergy and South Asia. South Asia is defined as region inclusive
of India, Pakistan, Bangladesh, and Sri Lanka. RESULTS: A total of 169 articles
were initially identified, and 47 were reviewed in detail for inclusion in this
review. The primary focus was placed on 10 studies that consisted of case reports
of newly reported or documented food allergy, survey studies that investigated
food allergy prevalence in specific demographics, and prospective and cross
sectional studies with case controls, all of which investigated food allergy
prevalence by allergy testing in a selected population. CONCLUSION: The medical
literature on the prevalence and types of food allergy in South Asia indicates
that there is a variety of unusual and unique allergens and an overall low
incidence of food allergy. There is also an association of increased food allergy
prevalence in individuals who live in metropolitan regions or who migrate to
communities that have adopted westernization.
PMID- 27864089
TI - Anaphylaxis induced by ingested molds.
PMID- 27864091
TI - Diurnal variations in subcutaneous allergen immunotherapy reactions.
AB - BACKGROUND: Circadian rhythms underlie many immune responses and allergic
diseases. Subcutaneous immunotherapy (SCIT) can result in adverse reactions;
however, it is unclear whether such reactions have a diurnal pattern. OBJECTIVE:
To assess whether the timing of SCIT affects the rate of adverse reactions.
METHODS: This study was a retrospective medical record review of adult patients
(n = 289) who received SCIT at the Northwestern Medical Faculty Foundation,
Chicago, Illinois, during a 10-year period (2004-2014). Injections were given in
the outpatient setting. There were a total of 17,457 injections with 574
reactions. Covariates included age, sex, median income, asthma status, vial
contents, number of injections, and previous immunotherapy reactions. Logistical
regression was used to calculate the odds of having a reaction with time of SCIT
administration as the primary determinate. RESULTS: Immunotherapy reactions
occurred more frequently after afternoon or evening (pm) injections (328/8721 =
3.8%) vs morning (am) injections (246/8736 = 2.8%), (chi2 = 12.26, P < .01).
Systemic reactions, defined as World Allergy Organization grade 1 or higher, did
not have diurnal variation (59/8721 = 0.67% for pm vs am 56/8736 = 0.64% for
morning; chi2 = 0.08; P = .77). pm injections resulted in higher odds of reaction
compared with am injection in a fully adjusted logistic regression model (odds
ratio = 1.43; 95% confidence interval, 1.20-1.70; P < .01). When considering time
as 4 categories, the highest odds of reaction were noted for the period from
15:01 to 17:30 (odds ratio, 1.55; 95% confidence interval, 1.21-2.00; P < .01).
CONCLUSION: pm injections of SCIT are associated with increased cutaneous
reaction rates when compared with am injections. In patients experiencing
bothersome local reactions, it may be beneficial to administer SCIT in the
morning.
PMID- 27864084
TI - Development and regeneration of vestibular hair cells in mammals.
AB - Vestibular sensation is essential for gaze stabilization, balance, and perception
of gravity. The vestibular receptors in mammals, Type I and Type II hair cells,
are located in five small organs in the inner ear. Damage to hair cells and their
innervating neurons can cause crippling symptoms such as vertigo, visual field
oscillation, and imbalance. In adult rodents, some Type II hair cells are
regenerated and become re-innervated after damage, presenting opportunities for
restoring vestibular function after hair cell damage. This article reviews
features of vestibular sensory cells in mammals, including their basic
properties, how they develop, and how they are replaced after damage. We discuss
molecules that control vestibular hair cell regeneration and highlight areas in
which our understanding of development and regeneration needs to be deepened.
PMID- 27864092
TI - Successful desensitization to natalizumab using a 1-solution protocol.
PMID- 27864093
TI - Skin testing and drug challenge outcomes in antibiotic-allergic patients with
immediate-type hypersensitivity.
AB - BACKGROUND: The evaluation of antibiotic immediate-type hypersensitivity is
intricate because of nonstandardized skin testing and challenge method
variability. OBJECTIVE: To determine the safety outcomes and risk factors for
antibiotic challenge reactions in patients reporting a history of antibiotic
immediate-type hypersensitivity. METHODS: A 5-year retrospective review of
patients evaluated for immediate-type antibiotic allergy was conducted. Data
analyzed included patient demographics, index reaction details, and outcomes of
skin testing and challenges, classified as single-step or multistep. RESULTS:
Antibiotic hypersensitivity history was identified in 211 patients: 78% to
penicillins, 10% to fluoroquinolones, 7.6% to cephalosporins, and 3.8% to
carbapenems. In total, 179 patients completed the challenges (median age 67
years, range 50-76 years, 56% women), and compared with nonchallenged patients,
they reported nonanaphylactic (P < .001) and remote index (P = .003) reactions.
Sixteen patients (8.9%) experienced challenge reactions (5 of 28 for single-step
challenge, 11 of 151 for multistep challenge), and 11 of these patients had
negative skin testing results before the challenge. Challenge-reactive patients
were significantly younger (P = .007), more often women (P = .036), and had
additional reported antibiotic allergies (P = .005). No correlation was detected
between the reported index and observed challenge reaction severities (kappa =
0.05, 95% confidence interval -0.34 to 0.24). Anaphylactic rates were similar
during single-step and multistep challenges (3.6% vs 3.3%). CONCLUSION: In the
present population, younger women with multiple reported antibiotic allergies
were at greatest risk for challenge reactions. Negative skin testing results did
not exclude reactions, and index severity was not predictive of challenge
outcome. The multistep and full-dose methods demonstrated a comparable reaction
risk for anaphylaxis.
PMID- 27864095
TI - Optimization of an in vitro chemotherapy to avoid resistant tumours.
AB - Chemotherapy use against solid tumours often results in the resistance of the
cancer cells to the molecule used. In this paper, we will set up and analyse an
ODE model for heterogeneous in vitro tumours, consisting of cells that are
sensitive or resistant to a certain drug. We will then use this model to develop
different protocols, that aim at reducing the tumour volume while preserving its
heterogeneity. These drug administration schedules are determined through
analysis of the system dynamics, and optimal control theory.
PMID- 27864096
TI - Effects of initial telomere length distribution on senescence onset and
heterogeneity.
AB - Replicative senescence, induced by telomere shortening, exhibits considerable
asynchrony and heterogeneity, the origins of which remain unclear. Here, we
formally study how telomere shortening mechanisms impact on senescence kinetics
and define two regimes of senescence, depending on the initial telomere length
variance. We provide analytical solutions to the model, highlighting a non-linear
relationship between senescence onset and initial telomere length distribution.
This study reveals the complexity of the collective behavior of telomeres as they
shorten, leading to senescence heterogeneity.
PMID- 27864097
TI - Post-translational regulation by structural changes of 4-dihydromethyltrisporate
dehydrogenase, a key enzyme in sexual and parasitic communication mediated by the
trisporic acid pheromone system, of the fungal fusion parasite Parasitella
parasitica.
AB - Sexual communication between complementary mating partners in the fungal group of
zygomycetes is mediated by the trisporoid pheromone system. A key enzyme towards
biosynthesis of hormonally active trisporoids is 4-dihydromethyltrisporate
dehydrogenase (TSP1), an enzyme occurring in all zygomycetous fungi. Trisporic
acid and some of its precursor molecules serve as pheromones for recognizing
complementary mating partners and for induction of the differentiation program
towards sexual spore formation. In the parasitic zygomycete Parasitella
parasitica, a biotrophic fusion parasite infecting many other zygomycetes, these
substances have an additional function: They are also responsible for host
parasite recognition and the formation of the characteristic infection
structures. Parasitic interactions are mating type dependent as well. In the
Mucor-related mycoparasite P. parasitica we can study both types of communication
in parallel. We were interested in protein structures of TSP1 from P. parasitica,
the genome of which was recently sequenced by us, and especially in the
mechanisms involved in the switch from sexual to parasitic communication. P.
parasitica contains at least six genes coding for TSP1-like proteins. We created
models of tertiary structures and performed protein-protein docking with the
resulting protein structures to simulate dimerization and to provide support for
probable regulatory mechanisms at the protein level. The resulting structure
models show differences in putative activity and binding preferences between the
different TSP1-like proteins. Two of them seem to be able to form solid binding
pockets for substrate and cosubstrate after dimerization. The other four TSP1
like proteins are more likely to represent regulating subunits for the two active
isoforms. The ability to form homodimers with enzymatic activity could be the
crucial difference between sexual and parasitic communication pathways. TSP1
PARPA_07791 forms enzymatically inactive homodimers. The second TSP1,
PARPA_04105, forms active homodimers and could be responsible for the parasitic
pathway of communication. Both TSP1 proteins can form more or less active
heterodimers with the additional TSP1-like proteins. TSP1 PARPA_07791 mediates
the sexual pathway probably as in other zygomycetous fungi like Mucor mucedo.
High sequence identities between this TSP1 isomer and TSP1 proteins from other
zygomycetes substantiate its function. This bioinformatic study supports previous
experimental findings of post-translational regulation of 4
dihydromethyltrisporate dehydrogenases in zygomycetes and, for the first time,
provides a substantiated hypothesis of the underlying mechanism.
PMID- 27864094
TI - Sternopygus macrurus electric organ transcriptome and cell size exhibit
insensitivity to short-term electrical inactivity.
AB - Electrical activity is an important regulator of cellular function and gene
expression in electrically excitable cell types. In the weakly electric teleost
fish Sternopygus macrurus, electrocytes, i.e., the current-producing cells of the
electric organ, derive from a striated muscle lineage. Mature electrocytes are
larger than muscle fibers, do not contain sarcomeres, and are driven continuously
at frequencies higher than those exerted on muscle cells. Previous work showed
that the removal of electrical activity by spinal cord transection (ST) for two
and five weeks led to an upregulation of some sarcomeric proteins and a decrease
in electrocyte size. To test whether changes in gene transcription preceded these
phenotypic changes, we determined the sensitivity of electrocyte gene expression
to electrical inactivity periods of two and five days after ST. Whole tissue gene
expression profiles using deep RNA sequencing showed minimal alterations in the
levels of myogenic transcription factor and sarcomeric transcripts after either
ST period. Moreover, while analysis of differentially expressed genes showed a
transient upregulation of genes associated with proteolytic mechanisms at two
days and an increase in mRNA levels of cytoskeletal genes at five days after
electrical silencing, electrocyte size was not affected. Electrical inactivity
also resulted in the downregulation of genes that were classified into enriched
clusters associated with functions of axon migration and synapse structure.
Overall, these data demonstrate that unlike tissues in the myogenic lineage in
other vertebrate species, regulation of gene transcription and cell size in the
muscle-like electrocytes of S. macrurus is highly insensitive to short-term
electrical inactivity. Moreover, together with data obtained from control and
long-term ST studies, the present data suggest that neural input might influence
post-transcriptional processes to affect the mature electrocyte phenotype.
PMID- 27864098
TI - Screening for mucopolysaccharidoses in the Turkish population: Analytical and
clinical performance of an age-range specific, dye-based, urinary
glycosaminoglycan assay.
AB - Comprehensive analytical and diagnostic performance of urinary quantitative GAG
analysis with dimethylmethylene blue (DMB) and the age-specific reference ranges
were determined in Turkish population, which has a high incidence of MPSs.
Precision, linearity, recovery and accuracy/trueness, limits, stability, and
effect of interferents were tested according to CLSI guideline. Clinical
performance was evaluated with ROC analyses including 45 MPS patients. Intra-day
and inter-day precisions were <5% and <11% (CV), respectively. LoD was 9.12mg/L
and LoQ was 23.3mg/L. The highest reference values for urinary GAG excretion were
determined in an age-specific manner. In the 2-13years age cohort, a cut-off of
89.86mg/g creatinine resulted in 98.07% sensitivity and 93.33% specificity.
Proteinuria and hematuria interfered with analysis in some instances. Neither
leukocyturia nor pH changes affected the assay. Stability analysis indicated that
freezing urine samples for transfer is unnecessary. Of the 45 MPS patient samples
evaluated, only three tested negative including MPS II, IVA and VI. Despite
limitations due to low levels of urinary GAG excretion in some cases, urinary GAG
analysis with DMB with its technical simplicity, low cost, and precise
quantitative results, is a valuable screening method, particularly in populations
with a high rate of MPSs.
PMID- 27864099
TI - Comparability of the effect of storage time and temperature on serum anti
Mullerian hormone measurement between original and modified enzyme-linked
immunosorbent assay.
AB - OBJECTIVE: To explore the effect of modified enzyme-linked immunosorbent assay on
the AMH results is increased or decreased, and to investigate the effect of
storage time and temperature on AMH measurements with and without sample
premixing assay buffer using the Kangrun ELISA method. METHOD: Serum AMH
concentration were measured by ELISA, consistency between two kits, and
comparability between original and the modified assay under different stored
conditions were analyzed by Passing-Bablok regression analysis and Bland-Altman
bias evaluation. RESULT: There was a strong consistency between AMH
concentrations measured in Kangrun ELISA and Ansh Labs ultra-sensitive AMH ELISA.
Pre-mixing serum specimens with assay buffer gave consistent results compared
with original assay. Modified protocol can reduce the amplitude of increase
affected by sample aged and give the most consistent results regardless of
storage conditions. CONCLUSION: Pre-mixing protocol did not influence the results
of fresh serum or frozen serum incubation <3days at 4 degrees C and -80 degrees
C, but when specimens detected after collection and stored in other storage
conditions, should be pre-mixed with assay buffer to insure its accuracy.
PMID- 27864100
TI - Clinical relevance of combined anti-mitochondrial M2 detection assays for primary
biliary cirrhosis.
AB - BACKGROUND: Antimitochondrial antibody (AMA) is a specific serologic marker in
primary biliary cirrhosis (PBC). The aim of this study was to evaluate the
clinical relevance of combined AMA assays. METHODS: Sera were obtained from 79
patients with PBC and 108 patients with other liver disease. They were tested by
indirect immunofluorescence (IIF) using rat kidney/stomach tissue and HEp2 cells
as substrate, 4 AMA-M2 assays, anti-sp100, and anti-gp210 assays. RESULTS: Using
IIF-AMA with cut-off titer of 1:40, the sensitivity and specificity for PBC were
88.6% and 87.0%, respectively. A cut-off titer of 1:80 improved the specificity
to 93.5%. The 4 commercial assay kits using AMA-M2 autoantibodies showed
sensitivity of 55.7-79.7% and specificity of 91.7-95.4% with moderate to good
agreement. AMA-M2 assays using both native and recombinant E2 antigens had higher
sensitivity. ANAs on HEp2 cells, anti-sp100, and anti-gp210 were detected in
67.1%, 13.9-15.2%, and 22.8-27.8% of PBC patients, respectively. Additional AMA
M2 specific assays in IIF-AMA negative and low titer positive (1:40) sera
increased the sensitivity and specificity to 88.6% and 90.7%, respectively.
CONCLUSIONS: Serological diagnosis for PBC using IIF with high titer cut-off and
additional AMA-M2 specific tests by ELISA or LIA in IIF-negative sera should be
used.
PMID- 27864101
TI - Targeted exome sequencing identifies novel compound heterozygous mutations in
P3H1 in a fetus with osteogenesis imperfecta type VIII.
AB - Osteogenesis imperfecta (OI) is a highly clinically and genetically heterogeneous
group of disorders. It is difficult to identify severe OI in the perinatal
period. Here, a Chinese woman with a suspected history of fetal OI was referred
to our institution at 19weeks of gestation, due to ultrasound inspection during
antenatal screening, which revealed bulbous metaphyses, short humeri, and short
thick bent femora in the fetus. Using targeted exome sequencing of 248 genes
known to be involved in skeletal system diseases, we identified novel compound
heterozygous mutation in the P3H1 gene in the fetus with OI type VIII:
c.105_120del (p.D36Rfs*16) and c.2164C>T (p.Q722*). These two mutations were
inherited from the father and mother, respectively. The mRNA level of P3H1 wasn't
changed suggested that mRNA with this mutation escaped from nonsense-mediated RNA
decay. Besides, the level of P3H1 was absence while the CRTAP was mildly
decreased. In conclusion, our findings imply this novel compound heterozygous
mutation as the molecular pathogenetic in a Chinese fetus with OI type VIII, and
demonstrate that targeted next-generation sequencing (NGS) is an accurate, rapid,
and cost-effective method in the genetic diagnosis of fetal skeletal dysplasia
with genetic and clinical heterogeneity, especially for autosomal recessive
skeletal disorders.
PMID- 27864102
TI - A health sciences student-run smoking cessation clinic experience within a
homeless population.
AB - OBJECTIVE: The primary objective is to describe a professional and graduate
student-run approach to smoking cessation education combined with motivational
interviewing and pharmacotherapy in regard to the frequency of follow-up with a
smoking cessation quitline program in the homeless population. The secondary
objective is to assess participants' self-reported level of confidence,
knowledge, and willingness to quit before and after participation in the student
run smoking cessation clinic. SETTING: Homeless shelter in Phoenix, Arizona.
PRACTICE DESCRIPTION: A previously established professional and graduate student
led clinic focused on providing a wide variety of free health services to
homeless populations at a homeless shelter. One service not offered was smoking
cessation support; thus, a student-run smoking cessation clinic was established.
PRACTICE INNOVATION: Patients were provided smoking cessation education,
motivational interviewing, and pharmacotherapy by health sciences professional
and graduate students. Patients were then given a 2-week supply of nicotine
replacement therapy and referred to the state's smoking cessation quitline. The
impact of multiple concomitant smoking cessation strategies provided by students
within a homeless population has not been studied previously. EVALUATION: A 10
day post-referral status update on the success of contact with patients was
provided to study investigators from the smoking cessation quitline. Surveys were
also used to assess the patient's self-reported level of perceived benefit with
the student-run smoking cessation clinic. RESULTS: Of the 139 unique patients, 19
(13.7%) successfully contacted the smoking cessation quitline. Patients reported
high baseline confidence, knowledge, and willingness related to quit attempts;
they reported a small improvement in reported values after participation in the
student-run clinics. CONCLUSION: In the homeless population, smoking cessation
education, motivational interviewing, and pharmacotherapy had a low follow-up
frequency with a smoking cessation quitline, but slightly increased the patient's
confidence, knowledge, and willingness to quit.
PMID- 27864103
TI - Beneficial effect of coenzyme Q10 injection on nitric oxide -related dilation of
the rat aorta.
AB - This study examined whether coenzyme Q10 can improve nitric oxide (NO)-dependent
vasodilatation in the rat aorta after pre-incubation or intravenous
administration. In initial experiments, intact isolated aortic rings were
incubated with coenzyme Q10 or L-arginine. In further experiments, coenzyme Q10
was administered intravenously in anesthetized rats, then in 2h aorta was
isolated. In both cases, after preliminary preparation the isolated aortic rings
were tested for acetylcholine-induced NO-dependent relaxation. Acetylcholine
elicited concentration-dependent relaxation of phenylephine precontracted aortic
rings. Relaxant responses to acetylcholine were markedly potentiated after pre
incubation with coenzyme Q10 or L-arginine. The maximum relaxant responses (%)
were significantly increased from 64.1+/-5.3 (control) to 89.8+/-3.0 and 83.6+/
3.0 (coenzyme Q10 and L-arginine, respectively). pD2 (-lgEC50) value in control
study was 5.81+/-0.28, after pretreatment with coenzyme Q10 or L-arginine were
7.59+/-0.16 and 7.26+/-0.32, respectively. There was no difference between
coenzyme Q10 and L-arginine groups. After intravenous administration, the
relaxant responses to acetylcholine were significantly increased in coenzyme Q10
treated group (94.2+/-2.0) compared with controls (68.1+/-4.4). pD2 values were
also different between control and treatment groups (5.79+/-0.29 vs. 8.14+/-0.65,
respectively). Thus, coenzyme Q10 improved NO-mediated vasodilation in rat aorta
in magnitude close to the effects of L-arginine - substrate for eNOS. Our data
first show that exogenous coenzyme Q10 through intravenous administration is able
to improve rapidly NO-dependent vasodilation in rat aorta, likely due to
accumulation of coenzyme Q10 in the vessel wall. Improvement of endothelial
function can contribute, at least in part, to beneficial effects of coenzyme Q10
in cardiovascular diseases associated with endothelial dysfunction.
PMID- 27864104
TI - Pharmacological response sensitization in nerve cell networks exposed to the
antibiotic gentamicin.
AB - Gentamicin is an aminoglycoside antibiotic that is used in clinical, organismic,
and agricultural applications to combat gram-negative, aerobic bacteria. The
clinical use of gentamicin is widely linked to various toxicities, but there is a
void in our knowledge about the neuromodulatory or neurotoxicity effects of
gentamicin. This investigation explored the electrophysiologic effects of
gentamicin on GABAergic pharmacological profiles in spontaneously active neuronal
networks in vitro derived from auditory cortices of E16 mouse embryos and grown
on microelectrode arrays. Using the GABAA agonist muscimol as the test substance,
responses from networks to dose titrations of muscimol were compared in the
presence and absence of 100uM gentamicin (the recommended concentration for cell
culture conditions). Spike-rate based EC50 values were generated using sigmoidal
fit concentration response curves (CRCs). Exposure to 100uM gentamicin exhibited
a muscimol EC50+/-S.E.M. of 80+/-6nM (n=10). The EC50 value obtained in the
absence of gentamicin was 124+/-11nM (n=10). The 35% increase in potency suggests
network sensitization to muscimol in the presence of gentamicin. Action potential
(AP) waveform analyses of neurons exposed to gentamicin demonstrated a
concentration-dependent decrease in AP amplitudes (extracellular recordings),
possibly reflecting gentamicin effects on voltage-gated ion channels. These in
vitro results reveal alteration of pharmacological responses by antibiotics that
could have significant influence on the behavior and performance of animals.
PMID- 27864106
TI - WITHDRAWN: Transurethral Resection of Prostate and Bleeding: A Prospective
Randomized, Double-blind, Placebo-controlled Trial to See Efficacy of Short-term
Use of Finasteride and Dutasteride on Operative Blood Loss and Prostatic
Microvessel Density.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at
http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 27864105
TI - Epigallocatechin-3-gallate prevents cardiac apoptosis by modulating the intrinsic
apoptotic pathway in isoproterenol-induced myocardial infarction.
AB - (-)Epigallocatechin-gallate (EGCG) is an emerging natural therapy. This study
examined the cardioprotective effect of EGCG on isoproterenol-induced myocardial
damage and apoptosis and EGCG's role in modulating the expression of apoptotic
signaling proteins. Experimental myocardial infarction was induced in albino
Westar rats by isoproterenol (ISO) administration (100mg/kg, s.c.) at an interval
of 24h on the 6th and 7th day. EGCG (15mg/kg, i.p.) was administered seven days
before ISO. EGCG pretreatment significantly showed an anti-lipidemic effect and
protected the cell membrane integrity, as shown by the blocking of changes in
serum levels of CK-MB, LDH, ALP, ALT and troponin T. EGCG also maintained the
redox balance by preventing the inhibition of the activity of SOD and CAT while
limiting lipid peroxidation. Pretreatment with EGCG inhibited the stimulation of
the pro-inflammatory cytokine, TNF-alpha, in the serum. In animals treated with
EGCG, tissue Bcl-2 expression exceeded the values observed after ISO treatment
and down-regulated the expression of pro-apoptotic signaling proteins, including
Bax, caspase-9 and 3. This is accompanied by the protection of genomic integrity
by inhibiting DNA fragmentation coincident with the down-regulation of P53. In
conclusion, EGCG protected against cardiac damage by decreasing apoptosis in
myocardium tissue by 1) maintaining the balance of anti-apoptotic / pro-apoptotic
signaling proteins in the mitochondrial pathway of cell death, 2) limiting
oxidative stress while performing antioxidant and anti-inflammatory effects, and
3) protecting DNA integrity, sustaining cardiac health. Therefore, EGCG is
potentially beneficial as an early intervention in cardiac attack.
PMID- 27864107
TI - Should Hypoechoic Lesions on Transrectal Ultrasound Be Sampled During Magnetic
Resonance Imaging-targeted Prostate Biopsy?
AB - OBJECTIVE: To determine whether supplemental biopsy of hypoechoic ultrasound
lesions (HUL) incidentally found during magnetic resonance imaging (MRI)
transrectal ultrasound (TRUS) fusion-targeted prostate biopsy results in improved
prostate cancer (PCa) detection. METHODS: Patients underwent MRI-TRUS-targeted
biopsy as part of an ongoing prospective trial from August 2007 to February 2015.
For men with HUL, the biopsy pathology of HUL and MRI lesions was classified
according to the updated 2014 International Society of Urological Pathology
(ISUP) grading system. The detection of PCa by MRI-targeted biopsy with and
without HUL biopsy was compared. RESULTS: Of 1260 men in the trial, 106 underwent
biopsy of 119 HULs. PCa was diagnosed in 52 out of 106 men (49%) by biopsy of
either MRI lesions or HUL. Biopsy of HUL in addition to MRI lesions resulted in 4
additional diagnoses of high-grade (ISUP grades 3-5) PCa versus biopsy of MRI
lesions alone (20 vs 16 men, P = .046). Three of these cases were upgraded from
lower grade (ISUP grades 1-2) PCa on MRI-guided biopsy alone, and only 1 case (1%
of cohort) was diagnosed that would have been missed by MRI-guided biopsy alone.
Supplemental biopsy of HUL did not change the PCa risk category in 96% (102 out
of 106) of men with HUL. CONCLUSION: Supplemental biopsy of HUL yields a small
increase in the detection of higher grade PCa as compared with biopsy of MRI
lesions alone. As upgrading is rare, routinely screening for HUL during MRI
targeted biopsy remains controversial.
PMID- 27864108
TI - Biopsy Perineural Invasion in Prostate Cancer Patients Who Are Candidates for
Active Surveillance by Strict and Expanded Criteria.
AB - OBJECTIVE: To evaluate the association of biopsy perineural invasion (PNI) with
adverse pathologic findings on radical prostatectomy in patients who would have
been candidates for active surveillance (AS). METHODS: Using a prospectively
populated database of 3084 men who underwent open radical prostatectomy,
candidates for AS by strict (Johns Hopkins) and expanded (University of Toronto)
criteria were identified. The presence of adverse pathologic features at radical
prostatectomy was compared between those men with and without biopsy PNI.
RESULTS: Of 596 men who met strict criteria for AS, 16 (3%) had biopsy PNI. In
the strict AS cohort, there were no differences in adverse pathologic features at
radical prostatectomy between those with and without PNI. Of 1197 men who were
candidates for AS by expanded criteria, 102 (9%) had biopsy PNI. Men with biopsy
PNI in the expanded AS cohort were more likely to have extraprostatic extension
(P < .001) and pathologic upgrading (P = .01) at prostatectomy. In addition,
those with PNI had larger dominant nodules (P < .001), and cancer comprised a
greater percentage of their prostate glands (P < .001). There was no difference
in the proportion with a positive margin between the 2 groups (P = .77).
CONCLUSION: Biopsy PNI was rare in patients who met strict criteria for AS. Among
those men who met expanded criteria, PNI was associated with adverse pathologic
findings upon prostatectomy. The presence of biopsy PNI may have a role in
further risk stratifying patients who meet expanded criteria for AS.
PMID- 27864109
TI - Selective cytotoxicity of microcystins LR, LW and LF in rat astrocytes.
AB - Microcystins (MCs) comprise a group of cyanobacterial toxins with hepatotoxic,
nephrotoxic and, possibly, neurotoxic activity in mammals. In order to understand
the development of their neurotoxicity we investigated the toxic effects of MC
variants, MC-LR, MC-LW and MC-LF, in astrocytes that play a central role in
maintaining brain homeostasis. 24h exposure of cultured rat cortical astrocytes
to MCs revealed dose-dependent toxicity of MC-LF and MC-LW, but not of MC-LR,
observed by significant reduction in cell number, declined viability monitored by
MTT test and an increased percentage of apoptotic cells, confirmed by Annexin-V
labelling. The cultured astrocytes expressed organic anion-transporting
polypeptides (Oatp) Oatp1a4, Oatp1c1 and Oatp1a5, but not Oatp1b2. Intracellular
localisation of MC-LF and MC-LW, proven by anti-Adda primary antibody,
demonstrated transport of tested MCs into cultured astrocytes. Acute MC-LW and MC
LF intoxication induced cytoskeletal disruption as seen by the degradation of
glial fibrillary acid protein (GFAP), actin and the tubulin network. In this in
vitro study, MC-LF and MC-LW, but not MC-LR, are shown to cause the dysfunction
of astrocytic homeostatic capabilities, already at low concentrations, suggesting
that astrocyte atrophy, with loss of function, could be expected in the brain
response to the toxic insult.
PMID- 27864110
TI - Antinociceptive activity and mechanism of action of hydroalcoholic extract and
dichloromethane fraction of Amphilophium crucigerum seeds in mice.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The medicinal plant generally known as monkey's
comb (Amphilophium crucigerum) has been popularly described for the treatment of
neuropathic and inflammatory pain, specially seeds preparations. AIM OF THE
STUDY: The goal of the present study was to evaluate the antinociceptive effect
of the crude extract (Crd) and dichloromethane fraction (Dcm) of A. crucigerum
seeds, and investigate the involvement of transient receptor potential vanilloid
1 (TRPV1) receptor in this effect. MATERIALS AND METHODS: Male Swiss mice were
used in this study. The effects of Crd and Dcm was tested on capsaicin-induced
Ca2+ influx or the specific binding of [3H]-resiniferatoxin. Moreover, after
treatment with Crd or Dcm, animals were exposed to acute pain (hot water tail
flick and capsaicin intraplantar test) or chronic pain models (injection of
complete Freund's adjuvant or partial ligation of the sciatic nerve). Acute
adverse effects were also noted: locomotor activity, corporal temperature,
hepatic or renal damage, gastrointestinal transit alteration, and ulcerogenic
activity. RESULTS: The oral administration of Crd or Dcm resulted in an
antinociceptive effect in the hot water tail-flick (48 degrees C) and capsaicin
intraplantar tests. Furthermore, these preparations exhibited antinociceptive and
anti-inflammatory effects in a chronic inflammatory pain model, and
antinociceptive effects in a neuropathic pain model. Moreover, Crd and Dcm
reduced capsaicin-induced Ca2+ influx and diminished the [3H]-resiniferatoxin
specific binding to spinal cord membranes. Acute adverse events were not found
with Crd or Dcm administration. CONCLUSION: In conclusion, our results support
the analgesic effect of A. crucigerum and suggest the presence of compounds that
may act as TRPV1 antagonists.
PMID- 27864111
TI - Secondary metabolites from Tetracera potatoria stem bark with anti-mycobacterial
activity.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Tetracera potatoria Afzel. Exg. Don
(Dilleniaceae) is a medicinal plant used traditionally in Africa for the
treatment of tuberculosis related ailments and respiratory infections. The
antibacterial activity of the medium polar extracts of T. potatoria leaves and
stem bark was recently reported against Mycobacterium smegmatis (MIC 25ug/mL) and
M. aurum (65ug/mL), two fast-growing Mycobacterium strains used as model micro
organisms for the more pathogenic strain Mycobacterium tuberculosis (Fomogne
Fodjo et al., 2014). The aim of this study was consequently to isolate the
compounds possibly contributing to this activity, and which may therefore be
promising precursors to be used for the development of novel anti-TB drugs.
MATERIALS AND METHODS: T. potatoria medium polar extract [MeOH/DCM (1:1, v/v)]
was fractionated sequentially with petroleum ether to which EtOAC and MeOH were
gradually added to increase the polarity. The examination of T. potatoria extract
and its fractions was guided by bioassays for anti-mycobacterial activity against
M. smegmatis (ATCC 23246) and M. aurum (NCTC 10437) using the minimum inhibitory
concentration (MIC) method. All the isolated compounds were structurally
elucidated using spectroscopic techniques and evaluated for their anti
mycobacterial activity. RESULTS: Two novel secondary metabolites (1, 2) named
tetraceranoate and N-hydroxy imidate-tetracerane, together with five known
compounds [beta-stigmasterol (3), stigmast-5-en-3beta-yl acetate (4), betulinic
acid (5), betulin (6) and lupeol (7)] were isolated and identified.
Tetraceranoate exhibited the best activity against M. smegmatis with a minimum
inhibitory concentration (MIC) of 7.8ug/mL, while beta-stigmasterol, betulinic
acid and betulin showed appreciable anti-mycobacterial activity against both
strains (MIC 15ug/mL). CONCLUSION: Seven compounds were isolated from the medium
polar extract [MeOH/DCM (1:1, v/v)] of T. potatoria stem bark. Only
tetraceranoate one of the isolated compounds showed antibacterial activity
against M. smegmatis having efficacy as high as rifampicin (one of a three drug
regimen recommended in the initial phase short-course anti-tuberculosis therapy).
Thus, tetraceranoate might be an interesting target for systematic testing of
anti-TB treatment and management. This research supports the use of T. potatoria
in African traditional medicine for the treatment of tuberculosis related
symptoms.
PMID- 27864112
TI - Polar extracts from the berry-like fruits of Hypericum androsaemum L. as a
promising ingredient in skin care formulations.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The top flowering aerial parts of the Hypericum
species are traditionally used to prepare ointments to heal cuts and burns.
Sometimes even the fruits are used for these purposes. Hypericum androsaemum L.,
commonly known as tutsan or shrubby St. John's Wort, is a Mediterranean medicinal
plant which has been traditionally used to prepare an ointment for treating cuts
and wounds. AIM OF THE STUDY: To evaluate the extracts obtained from H.
androsaemum red berries as functional ingredients for skin care formulations.
MATERIALS AND METHODS: The methanolic extract was obtained by Soxhlet extraction
while the aqueous extract was prepared by decoction; their composition was
determined by HPLC analysis. Their biological activities were measured in terms
of proliferation and migration of human fibroblasts, inhibition of collagenase
activity, and immunomodulatory effects on human peripheral blood mononuclear
cells (PBMCs). In addition, we evaluated their photostability by UV spectroscopy
and their protective effects against APPH-induced hemolysis in red blood cells
(RBC). RESULTS: The polar extracts contained significant amounts of shikimic
(108,143.7-115,901.3mg/kg) and chlorogenic acids (45,781.1-57,002.7mg/kg). The
main components of these extracts made an important contribution to a significant
increase in human fibroblast migration. Both extracts were also active as
collagenase inhibitors, with the aqueous one showing a greater inhibitory
capacity (IC50 value of 88.1ug/mL), similar to that of chlorogenic acid. The
kinetic parameters determined for the enzymatic reaction revealed for both
aqueous extract and chlorogenic acid an uncompetitive mechanism of inhibition.
The methanolic extract showed important effects on PBMCs by modulating IL-6. Both
extracts proved to be photostable in the UVA/B range and protected RBC against
peroxidation at low concentrations. CONCLUSIONS: H. androsaemum red berries were
proven to contain phytochemicals that improve skin regeneration, hence
potentially employable in skin care formulations.
PMID- 27864113
TI - Chenopodium album Linn. leaves prevent ethylene glycol-induced urolithiasis in
rats.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The leaves of Chenopodium album Linn. are
traditionally used for correction of kidney diseases and urinary stones. The
present work investigated the effect of methanolic and aqueous extracts of leaves
of Chenopodium album on experimentally-induced urolithiasis in rats to
substantiate its traditional use as antilithiatic agent. MATERIALS AND METHODS:
The leaf extract was standardized by HPLC. Urolithiasis was induced in rats by
administration of 0.75% v/v of ethylene glycol (EG) in distilled water and in
addition, vehicle or methanol (CAME) or aqueous (CAAE) extract of the leaves of
Chenopodium album each in the dose 100, 200 and 400mg/kg or Cystone (750mg/kg)
were administered daily orally for 28 days. Urolithiasis was assessed by
estimating the calcium, phosphorus, urea, uric acid, and creatinine in both urine
and plasma. The volume, pH and oxalate levels were also estimated in urine. The
renal oxalate content was estimated in kidney while calcium oxalate deposits were
observed histologically. RESULTS: The treatment with CAME or CAAE for 28 days
significantly attenuated the EG-induced elevations in the urine and plasma levels
of calcium, phosphorus, urea, uric acid and creatinine along with decrease in
urine volume, pH and oxalates. The treatments also decreased renal tissue oxalate
and deposition of oxalate crystals in kidney due to EG treatment. The effects of
CAME and CAAE were comparable to standard antilithiatic agent, cystone. The
findings indicate the preventive effect of CAME and CAAE which can be due to
inhibitory effect on crystallization and stone dissolution. The effect was
attributed to the presence of phytochemicals like flavonoids and saponins.
CONCLUSION: In conclusion, Chenopodium album leaves exhibited antilithiatic
effect and validates its ethnomedicinal use in urinary disorders and kidney
stones.
PMID- 27864114
TI - Lipopolysaccharide promotes tumorigenicity of hepatic progenitor cells by
promoting proliferation and blocking normal differentiation.
AB - Hepatic progenitor cells (HPCs) are bipotential stem cells that can differentiate
into mature hepatocytes or biliary epithelial cells (BECs). They are thought to
be involved in repair of liver injury and the incidence of hepatic carcinoma.
Their physiology is closely associated with the microenvironment where they
reside. Lipopolysaccharide (LPS), an important component of the hepatic
pathological microenvironment, is stored in the liver and affects many types of
cells in various hepatosis. HPCs may also be influenced by LPS. In this paper,
mouse ED13.5 E-cadherin+ foetal liver cells were isolated as mouse hepatic
progenitor cells (mHPCs). Proliferation of mHPCs was promoted under LPS
conditions both in vivo and in vitro. Moreover, LPS enhanced colony formation
ability of mHPCs, and blocked them differentiation into mature hepatocytes and
formation of a bile duct-liked structure. More importantly, long-term treatment
with LPS promoted tumorigenesis of mHPCs in nude mice. Thus, we conclude that LPS
may promote aberrant proliferation of mHPCs and restrict their normal
differentiation. Long-term exposure of mHPCs to LPS increased the risk of tumour
formation. These data provide insight into the links between LPS, HPCs fate, and
tumorigenesis, and present novel insight into the relationship between HPCs and
their microenvironment.
PMID- 27864115
TI - Synergistic antitumor activity of regorafenib and lapatinib in preclinical models
of human colorectal cancer.
AB - Regorafenib significantly prolongs overall survival in patients with metastatic
colorectal cancer (mCRC), but the overall clinical efficacy of regorafenib
remains quite limited. Combination chemotherapy is a potentially promising
approach to enhance anticancer activity, overcome drug resistance, and improve
disease-free and overall survival. The current study investigates the antitumor
activity of regorafenib in combination with lapatinib in preclinical models of
human CRC. Our results show improved antitumor efficacy when regorafenib is
combined with lapatinib both in vitro and in vivo. Furthermore, pharmacokinetic
analyses revealed that regorafenib and lapatinib do not influence on each plasma
concentration. The finding that regorafenib in combination with lapatinib have
synergistic activity warrants further clinical investigation of this beneficial
combination as a potential treatment strategy for CRC patients.
PMID- 27864116
TI - Solid pseudopapillary tumor: a new tumor entity in the testis? Reply.
PMID- 27864117
TI - Microcystic, elongated, and fragmented pattern invasion is mainly associated with
isolated tumor cell pattern metastases in International Federation of Gynecology
and Obstetrics grade I endometrioid endometrial cancer.
AB - Although many studies have evaluated the impact of mismatch repair protein loss
of expression (MMR LOE) or microcystic, elongated, and fragmented (MELF) pattern
of myometrial invasion as individual factors in endometrial cancer, we analyzed
the combined impact of both. We reviewed every case of International Federation
of Gynecology and Obstetrics (FIGO) grade 1 endometrioid endometrial cancers
(EECs) from our institution, between 2011 and 2015, that had a sentinel lymph
node biopsy and/or a lymphadenectomy, and examined the following data: age,
myometrial infiltration, MELF infiltration, lymphovascular space invasion, and
lymph node status. These cases were then grouped according to the absence of
lymph node metastases, the presence of isolated tumor cell (ITC) lymph node
metastases, or the presence of non-ITC metastases. Among the 127 cases that were
in our study, 105 patients did not have nodal metastases, whereas 22 patients
showed metastases, of which 11 were ITC. MMR LOE was only significantly
associated with a higher odds ratio (OR) of metastases (OR, 7.44; P < .001). MELF
was only associated with a higher OR of ITC-pattern metastases (OR, 32.3; P <
.001). This study distinguished the effects of MELF and MMR LOE on the risk of
metastases in FIGO grade 1 EEC. Further research on the clinical impact of MELF
and ITC-pattern metastases is warranted to better guide clinicians on the
management of patients with FIGO grade 1 EEC harboring such characteristics,
which are still considered low-risk cancer.
PMID- 27864118
TI - Azzopardi phenomenon reported in metal-on-metal arthroplasties is in fact iron
encrustation of blood vessels.
PMID- 27864119
TI - Role of miR-139 as a surrogate marker for tumor aggression in breast cancer.
AB - MicroRNAs are non-protein coding molecules that play a key role in oncogenesis,
tumor progression, and metastasis in many types of malignancies including breast
cancer. In the current study, we studied the expression of microRNA-139-5p (miR
139) in invasive ductal carcinoma (IDC) of the breast and correlated its
expression with tumor grade, molecular subtype, hormonal status, human epidermal
growth factor receptor 2 status, proliferation index, tumor size, lymph node
status, patient's age, and overall survival in 74 IDC cases. In addition, we
compared and correlated miR-139 expression in 18 paired serum and tissue samples
from patients with IDC to assess its value as a serum marker. Our data showed
that miR-139 was down-regulated in all tumor tissue samples compared with
control. More pronounced down-regulation was seen in tumors that were higher
grade, estrogen receptor negative, progesterone receptor negative, more
proliferative, or larger in size (P < .05). Although not statistically
significant, lower miR-139 level was frequently associated with human epidermal
growth factor receptor 2 overexpression. In addition, significantly lower miR-139
tissue level was seen in patients who were deceased (P = .027), although older
age (>50 years) and positive local nodal disease did not adversely affect miR-139
expression. In contrast, serum miR-139 profile of the patients appeared similar
to that of normal control. In conclusion, our study demonstrated that down
regulation of miR-139 was associated with aggressive tumor behavior and disease
progression in breast cancer. miR-139 may serve as a risk assessment biomarker in
tailoring treatment options.
PMID- 27864120
TI - Epidermal growth factor receptor as an adverse survival predictor in squamous
cell carcinoma of the penis.
AB - Penile carcinoma (PC) is more frequent in underdeveloped countries, generally is
diagnosed at an advanced stage when therapeutic options are restricted, and thus
is associated with high morbidity/mortality rates. Recent studies have
demonstrated clinical benefits with epidermal growth factor receptor (EGFR)
targeted therapy in patients with PC, although there is no test that provides
accurate patient selection. The aim of the present study was to evaluate the
prognostic value of EGFR gene and protein status in tumor samples from patients
with primary penile squamous cell carcinoma. We assessed the expression of wild
type and 2 mutant EGFR isoforms (delA746-E750 and mL858R) by immunohistochemistry
in 139 samples, of which 49 were also evaluated for EGFR copy number by
fluorescence in situ hybridization (FISH). Positive immunohistochemical staining
of wild-type and mutant EGFR was evidenced by complete and strong membranous
staining. For FISH analysis, cases were considered unaltered, polysomic, or
amplified, as determined by signals of the EGFR gene and chromosome 7. An
independent cohort of 107 PC samples was evaluated for mutations in EGFR, KRAS,
and BRAF. Protein overexpression was noted in nearly half of the cases and was
associated with cancer recurrence (P=.004) and perineural invasion (P=.005).
Expression of the 2 mutated EGFR isoforms was not observed. The FISH status was
not associated with protein expression. Altered FISH (polysomy and gene
amplification) was an independent risk factor for dying of cancer. Only 1 patient
of 107 presented KRAS mutations, and no mutations of EGFR or BRAF were observed.
PMID- 27864121
TI - Gene amplification of CCNE1, CCND1, and CDK6 in gastric cancers detected by
multiplex ligation-dependent probe amplification and fluorescence in situ
hybridization.
AB - New and effective treatments for advanced gastric cancer are urgently needed.
Cyclins E and D1 form a complex with cyclin-dependent kinase 2, 4, or 6 to
regulate G1-S transition. The G1-S regulatory genes encoding cyclin E (CCNE1),
cyclin D1 (CCND1), and CDK6 (CDK6) are frequently amplified in gastric cancer and
may therefore influence molecularly targeted therapies against ERBB2 or EGFR when
coamplified. A total of 179 formalin-fixed and paraffin-embedded gastric cancer
specimens were examined for these gene amplifications by multiplex ligation
dependent probe amplification and fluorescence in situ hybridization.
Amplification of at least 1 G1-S regulatory gene was found in 35 tumors (CCNE1
amplification, 15% of samples; CCND1, 6%; CDK6, 1%). In 13 of the 35 tumors, dual
color fluorescence in situ hybridization identified coamplification of the G1-S
regulatory genes with ERBB2, EGFR, and/or KRAS in single cancer nuclei. The
observation that cells with G1-S regulatory gene amplification contained clonal
subpopulations with coamplification of ERBB2, EGFR, or KRAS in 5 early and 3
advanced cancers suggests that amplification of the G1-S regulatory genes
represents an early event, which precedes ERBB2, EGFR, or KRAS amplification.
Amplified CCNE1, CCND1, and CDK6 in advanced gastric cancer may be potentially
useful as direct targets for molecular therapy or for combination therapy with
ERBB2 or EGFR inhibitors. Multiplex ligation-dependent probe amplification could
be a useful tool for identification of patients who would benefit from such
therapies.
PMID- 27864122
TI - Sclerosing TFEB-rearrangement renal cell carcinoma: a recurring histologic
pattern.
AB - Renal cell carcinoma with TFEB rearrangement (t[6;11][p21;q13]) was initially
recognized to be composed of dual populations of large cells with clear cytoplasm
and small cells forming rosettes around hyaline material. With increasing
awareness, however, the spectrum of described morphology has been found to be
more heterogeneous. We report a 54-year-old woman who underwent partial
nephrectomy for a 2.4-cm renal mass, composed of fibrosis, hyalinization,
calcification, and ossification and a smaller component of epithelioid cells.
Immunohistochemical staining revealed diffuse positivity for cytokeratin AE1/AE3
and PAX8, patchy labeling for melan-A, human melanosome, and cathepsin K, and
negative caldesmon, smooth muscle actin, TFE3 protein, carbonic anhydrase IX,
CD10, cytokeratin 7, epithelial membrane antigen, and inhibin. Fluorescence in
situ hybridization confirmed rearrangement of TFEB and not TFE3. Together with
one recent case in another report, our findings suggest that extensive sclerosis
and ossification may be a less common recurring histology of TFEB-rearrangement
renal cell carcinoma.
PMID- 27864123
TI - PTEN/PI3K/AKT protein expression is related to clinicopathological features and
prognosis in breast cancer with axillary lymph node metastases.
AB - We explored the relations between PTEN/PI3K/AKT expression and
clinicopathological characteristics and prognosis in breast cancer patients with
and without axillary lymph node metastasis (LNM). Tissues and follow-up data from
142 patients with (LNM group) and 154 without (non-LNM group) metastases were
collected. Expression of PTEN/PI3K/AKT was detected using immunohistochemistry
staining. With axillary LNM, the positive rate of PTEN was reduced, whereas that
of PI3K and AKT was increased. Expression of AKT was negatively correlated with
PTEN expression but positively correlated with PI3K expression. Apparent
correlations were detected between AKT and axillary LNM with a tumor size of 2 cm
or less; between PTEN, PI3K, and AKT and axillary LNM in stage T1 or T2 breast
cancer and invasive carcinoma of a nonspecial type; and between PTEN and AKT and
axillary LNM of histologic grade I or II tumors and non-triple-negative breast
cancer (all P<.05). In the LNM group, the 5-year survival rate of patients with
PTEN-positive tumors was higher than that of patients with PTEN-negative lesions;
whereas in the non-LNM group, the 5-year survival rate of patients with AKT
positive tumors was lower than that of patients with AKT-negative lesions (both
P<.05). Cox regression analysis showed that PTEN expression was an independent
prognostic factor for patients with LNM; AKT expression, tumor diameter,
pathologic grade, and pathologic type were independent prognostic factors for
patients without LNM. In conclusion, TEN/PI3K/AKT proteins are related to the
clinicopathological features and prognosis of breast cancer with axillary LNM.
PMID- 27864124
TI - CD133 expression in well-differentiated pancreatic neuroendocrine tumors: a
potential predictor of progressive clinical courses.
AB - The present study aimed to elucidate whether the stemness molecule, CD133, is
expressed in well-differentiated pancreatic neuroendocrine tumors (PanNETs; World
Health Organization grades 1 and 2) and establish its clinical relevance using 2
separate cohorts. In the first series (n = 178) in which tissue microarrays were
available, immunohistochemistry revealed that CD133 was expressed in 14 cases
(8%). CD133+ PanNETs had higher TNM stages (P < .01), more frequent
lymphovascular invasion (P = .01), and higher recurrence rates (P = .01). In the
second cohort (n = 56), the expression of CD133 and CK19 was examined in whole
tissue sections. CD133 and CK19 were positive in 10 (18%) and 36 (64%) cases,
respectively. CD133 expression correlated with higher pT scores (P < .01), the
presence of microscopic venous infiltration (P = .03), and shorter disease-free
periods (P < .01). When cases were divided into grade 1 and 2 neoplasms, patients
with CD133+ PanNET continued to have shorter disease-free periods than did those
with CD133- tumors in both groups (P < .01 and P = .02, respectively). Although
CK19+ cases had shorter disease-free periods than did CK19- cases in the whole
cohort (P = .02), this difference was less apparent in subanalyses of grade 1 and
2 cases. CD133 expression also appeared to be an independent predictive factor
for tumor recurrence in a multivariate analysis (P = .018). The CD133 phenotype
was identical between primary and metastatic foci in 17 of 18 cases from which
tissues of metastatic deposits were available. In conclusion, the combination of
CD133 phenotyping and World Health Organization grading may assist in stratifying
patients in terms of the risk of progressive clinical courses.
PMID- 27864125
TI - Solid pseudopapillary tumor: a new tumor entity in the testis?
PMID- 27864126
TI - The Use of Life-Sustaining Procedures in the Last Month of Life Is Associated
With More Depressive Symptoms in Surviving Spouses.
AB - CONTEXT: Family caregivers of individuals with serious illness who undergo
intensive life-sustaining medical procedures at the end of life may be at risk of
negative consequences including depression. OBJECTIVES: The objective of this
study was to determine the association between patients' use of life-sustaining
procedures at the end of life and depressive symptoms in their surviving spouses.
METHODS: We used data from the Health and Retirement Study, a longitudinal survey
of U.S. residents, linked to Medicare claims data. We included married Medicare
beneficiaries aged 65 years and older who died between 2000 and 2011 (n = 1258)
and their surviving spouses. The use of life-sustaining procedures (i.e.,
intubation/mechanical ventilation, tracheostomy, gastrostomy tube insertion,
enteral/parenteral nutrition, and cardiopulmonary resuscitation) in the last
month of life was measured via claims data. Using propensity score matching, we
compared change in depressive symptoms of surviving spouses. RESULTS: Eighteen
percent of decedents underwent one or more life-sustaining procedures in the last
month of life. Those whose spouses underwent life-sustaining procedures had a
0.32-point increase in depressive symptoms after death (scale range = 0-8) and a
greater likelihood of clinically significant depression (odds ratio = 1.51)
compared with a matched sample of spouses of those who did not have procedures (P
< 0.05). CONCLUSION: Surviving spouses of those who undergo intensive life
sustaining procedures at the end of life experience a greater magnitude of
increase in depressive symptoms than those whose spouses do not undergo such
procedures. Further study of the circumstances and decision making surrounding
these procedures is needed to understand their relationship with survivors'
negative mental health consequences and how best to provide appropriate support.
PMID- 27864129
TI - Pretreatment of cashew apple bagasse using protic ionic liquids: Enhanced
enzymatic hydrolysis.
AB - To enhance the enzymatic digestibility of cashew apple bagasse (CAB) feedstock in
order to produce sugar fermentation-derived bioproducts, the CAB was subjected to
three different pretreatments with the ionic liquid 2-hydroxyl-ethylammonium
acetate (2-HEAA) and characterized by FTIR, NMR and chemical methods. All
conditions were able to delignify CAB, however the best lignin removal (95.8%)
was achieved through the method performed with 8.7% w/w of CAB/2-HEAA ratio at
130 degrees C for 24h. Although the cellulose crystallinity has been increased in
CAB treated with the ionic liquid, but this fact did not influence its
digestibility. Nevertheless, the pretreatment with 2-HEAA enhanced significantly
the cellulose digestibility, increasing the glucose yield from 48 to
747.72mgglucose/gCAB. Furthermore, 2-HEAA pretreatment was efficient even with
reused ionic liquid, obtaining high glucose concentration.
PMID- 27864127
TI - No Difference Between Latiglutenase and Placebo in Reducing Villous Atrophy or
Improving Symptoms in Patients With Symptomatic Celiac Disease.
AB - BACKGROUND & AIMS: Gluten ingestion leads to symptoms and small intestinal
mucosal injury in patients with celiac disease. The only option is the strict
lifelong exclusion of dietary gluten, which is difficult to accomplish. Many
patients following a gluten-free diet continue to have symptoms and have small
intestinal mucosal injury. Nondietary therapies are needed. We performed a phase
2 study of the ability of latiglutenase, an orally administered mixture of 2
recombinant gluten-targeting proteases, to reduce mucosal morphometric measures
in biopsy specimens from patients with celiac disease. METHODS: We performed a
double-blind, placebo-controlled, dose-ranging study to assess the efficacy and
safety of latiglutenase in 494 patients with celiac disease (with moderate or
severe symptoms) in North America and Europe, from August 2013 until December
2014. Participants reported following a gluten-free diet for at least 1 year
before the study began. Patients with documented moderate or severe symptoms and
villous atrophy (villous height:crypt depth ratio of <=2.0) were assigned
randomly to groups given placebo or 100, 300, 450, 600, or 900 mg latiglutenase
daily for 12 or 24 weeks. Subjects completed the Celiac Disease Symptom Diary
each day for 28 days and underwent an upper gastrointestinal endoscopy with
duodenal biopsy of the distal duodenum at baseline and at weeks 12 and 24. The
primary end point was a change in the villous height:crypt depth ratio. Secondary
end points included numbers of intraepithelial lymphocytes, serology test results
(for levels of antibodies against tissue transglutaminase-2 and deamidated
gliadin peptide), symptom frequencies, and safety. RESULTS: In a modified intent
to-treat population, there were no differences between latiglutenase and placebo
groups in change from baseline in villous height:crypt depth ratio, numbers of
intraepithelial lymphocytes, or serologic markers of celiac disease. All groups
had significant improvements in histologic and symptom scores. CONCLUSIONS: In a
phase 2 study of patients with symptomatic celiac disease and histologic evidence
of significant duodenal mucosal injury, latiglutenase did not improve histologic
and symptom scores when compared with placebo. There were no significant
differences in change from baseline between groups. ClinicalTrials.gov no:
NCT01917630.
PMID- 27864128
TI - Prostaglandin E2 Activates YAP and a Positive-Signaling Loop to Promote Colon
Regeneration After Colitis but Also Carcinogenesis in Mice.
AB - BACKGROUND & AIMS: Prostaglandin E2 (PGE2) is mediator of inflammation that
regulates tissue regeneration, but its continual activation has been associated
with carcinogenesis. Little is known about factors in the PGE2 signaling pathway
that contribute to tumor formation. We investigated whether yes-associated
protein 1 (YAP1), a transcriptional co-activator in the Hippo signaling pathway,
mediates PGE2 function. METHODS: DLD-1 and SW480 colon cancer cell lines were
transfected with vectors expressing transgenes or small hairpin RNAs and
incubated with recombinant PGE2, with or without pharmacologic inhibitors of
signaling proteins, and analyzed by immunoblot, immunofluorescence, quantitative
reverse-transcription polymerase chain reaction, transcriptional reporter, and
proliferation assays. Dextran sodium sulfate (DSS) was given to induce colitis in
C57/BL6 (control) mice, as well as in mice with disruption of the
hydroxyprostaglandin dehydrogenase 15 gene (15-PGDH-knockout mice), Yap1 gene
(YAP-knockout mice), and double-knockout mice. Some mice also were given
indomethacin to block PGE2 synthesis. 15-PGDH knockout mice were crossed with
mice with intestine-specific disruption of the salvador family WW domain
containing 1 gene (Sav1), which encodes an activator of Hippo signaling. We
performed immunohistochemical analyses of colon biopsy samples from 26 patients
with colitis-associated cancer and 51 age-and sex-matched patients with
colorectal cancer (without colitis). RESULTS: Incubation of colon cancer cell
lines with PGE2 led to phosphorylation of cyclic adenosine monophosphate
responsive element binding protein 1 and increased levels of YAP1 messenger RNA,
protein, and YAP1 transcriptional activity. This led to increased transcription
of the prostaglandin-endoperoxide synthase 2 gene (PTGS2 or cyclooxygenase 2) and
prostaglandin E-receptor 4 gene (PTGER4 or EP4). Incubation with PGE2 promoted
proliferation of colon cancer cell lines, but not cells with knockdown of YAP1.
Control mice developed colitis after administration of DSS, but injection of PGE2
led to colon regeneration in these mice. However, YAP-knockout mice did not
regenerate colon tissues and died soon after administration of DSS. 15-PGDH
knockout mice regenerated colon tissues more rapidly than control mice after
withdrawal of DSS, and had faster recovery of body weight, colon length, and
colitis histology scores. These effects were reversed by injection of
indomethacin. SAV1-knockout or 15-PGDH-knockout mice did not develop spontaneous
tumors after colitis induction, but SAV1/15-PGDH double-knockout mice developed
polyps that eventually progressed to carcinoma in situ. Administration of
indomethacin to these mice prevented spontaneous tumor formation. Levels of PGE2
correlated with those of YAP levels in human sporadic colorectal tumors and
colitis-associated tumors. CONCLUSIONS: PGE2 signaling increases the expression
and transcriptional activities of YAP1, leading to increased expression of
cyclooxygenase 2 and EP4 to activate a positive signaling loop. This pathway
promotes proliferation of colon cancer cell lines and colon tissue regeneration
in mice with colitis. Constitutive activation of this pathway led to formation of
polyps and colon tumors in mice.
PMID- 27864130
TI - Role of macrophyte and effect of supplementary aeration in up-flow constructed
wetland-microbial fuel cell for simultaneous wastewater treatment and energy
recovery.
AB - This study investigates the role of plant (Elodea nuttallii) and effect of
supplementary aeration on wastewater treatment and bioelectricity generation in
an up-flow constructed wetland-microbial fuel cell (UFCW-MFC). Aeration rates
were varied from 1900 to 0mL/min and a control reactor was operated without
supplementary aeration. 600mL/min was the optimum aeration flow rate to achieve
highest energy recovery as the oxygen was sufficient to use as terminal electron
acceptor for electrical current generation. The maximum voltage output, power
density, normalized energy recovery and Coulombic efficiency were 545.77+/-25mV,
184.75+/-7.50mW/m3, 204.49W/kg COD, 1.29W/m3 and 10.28%, respectively. The
variation of aeration flow rates influenced the NO3- and NH4+ removal differently
as nitrification and denitrification involved conflicting requirement. In terms
of wastewater treatment performance, at 60mL/min aeration rate, UFCW-MFC achieved
50 and 81% of NO3- and NH4+ removal, respectively. E. nuttallii enhanced
nitrification by 17% and significantly contributed to bioelectricity generation.
PMID- 27864131
TI - Combinations of fungal and milling pretreatments for enhancing rice straw biogas
production during solid-state anaerobic digestion.
AB - Rice straw was pretreated by different combinations of physical (milling) and
biological (incubation with Pleurotus ostreatus fungus) treatment to improve its
biodegradability and biogas production during solid-state anaerobic digestion (SS
AD). Effects of milling (?2mm) and incubation time (10, 20 and 30d), on lignin,
cellulose, and hemicellulose degradation during fungal pretreatment and methane
yield during digestion were assessed by comparison with untreated rice straw.
Both incubation time and milling had significant impacts on both lignin removal
during fungal pre-treatment and methane yield during digestion. A combination of
fungal pretreatment at 30days followed by milling prior to anaerobic digestion
resulted in 30.4% lignin removal, the highest selectivity value (the ratio
between relative lignin removal and relative cellulose removal) of 4.22, and the
highest methane yield of 258L/kgVS. This was equivalent to a 165% increase in
methane yield from SS-AD compared to untreated rice straw.
PMID- 27864133
TI - Pilot scale dilute acid pretreatment of rice straw and fermentable sugar recovery
at high solid loadings.
AB - The aim of this work was to study the dilute acid pretreatment of rice straw (RS)
and fermentable sugar recovery at high solid loadings at pilot scale. A series of
pretreatment experiments were performed on RS resulting in >25wt% solids followed
by enzymatic hydrolysis without solid-liquid separation at 20 and 25wt% using
10FPU/g of the pretreated residue. The overall sugar recovery including the
sugars released in pretreatment and enzymatic hydrolysis was calculated along
with a mass balance. Accordingly, the optimized conditions, i.e. 0.35wt% acid,
162 degrees C and 10min were identified. The final glucose and xylose
concentrations obtained were 83.3 and 31.9g/L respectively resulting in total
concentration of 115.2g/L, with a potential to produce >50g/L of ethanol. This is
the first report on pilot scale study on acid pretreatment of RS in a screw
feeder horizontal reactor followed by enzymatic hydrolysis at high solid
loadings.
PMID- 27864132
TI - Effect of nickel on the flocculability, settleability, and dewaterability of
activated sludge.
AB - Short-term and long-term effects of nickel (Ni) (0.1-10mg/L) on the
physicochemical properties of activated sludge, including the flocculability,
settleability, and dewaterability, were investigated. It was found that these
properties were unaffected after short-term exposure (1day) to Ni(II) even at the
level of 10mg/L. After long-term exposure (60days) to 1 and 10mg/L of Ni(II),
however, the sludge flocculability has seriously deteriorated, while the
settleability, and dewaterability became gradually better than the control. The
mechanism studies revealed that long-term exposure to Ni(II) resulted in the
decrease of protein content in extracellular polymeric substances (EPS) and the
damage to EPS structures. Although Ni(II) did not bring any adverse effect on the
cell membrane, the relative hydrophobicity of activated sludge was significantly
decreased. The negative effects on the flocculability and phosphorus removal
performance of activated sludge could be completely eliminated by adding the
chelator such as EDTA and citrate.
PMID- 27864135
TI - Comparison of autohydrolysis and ionic liquid 1-butyl-3-methylimidazolium acetate
pretreatment to enhance enzymatic hydrolysis of sugarcane bagasse.
AB - The aim of this work was to evaluate the efficiency of an ionic liquid (IL) 1
butyl-3-methylimidazolium acetate ([C4mim][OAc]) pretreatment (110 degrees C for
30min) in comparison to high severity autohydrolysis pretreatment in terms of
delignification, cellulose crystallinity and enzymatic digestibility. The
increase in severity of autohydrolysis pretreatment had positive effect on glucan
digestibility, but was limited by the crystallinity of cellulose. [C4mim][OAc]
pretreated sugarcane bagasse exhibited a substantial decrease in lignin content,
reduced cellulose crystallinity, and enhanced glucan and xylan digestibility.
Glucan and xylan digestibility was determined as 97.4% and 98.6% from
[C4mim][OAc] pretreated bagasse, and 62.1% and 57.5% from the bagasse
autohydrolyzed at 205 degrees C for 6min, respectively. The results indicated the
improved digestibility and hydrolysis rates after [C4mim][OAc] pretreatment when
compared against a comparable autohydrolyzed biomass.
PMID- 27864134
TI - Biochemical methane potential of oil-extracted microalgae and glycerol in co
digestion with chicken litter.
AB - The objective of this work was to evaluate the technical feasibility of using
both oil-extracted microalgae (M) and glycerol (G) in co-digestion with chicken
litter (CL), thereby improving biochemical methane potential (BMP). Different
feedstock ratios of M (0-30%), G (0-3%) and CL (67-100%) were investigated to
determine the best co-digestion condition under mesophilic conditions. According
to the modified Gompertz model, the best BMP (131.1mLCH4gVSfed-1) was obtained
with the triple co-digestion (M:G:CL) in a proportion of 30:3:67. This yielded a
methane production rate (MUm) of 3.3mLCH4gVSfed-1d-1 and a lag time (lambda) of
17.4d. This treatment reduced chemical oxygen demand (COD) by 91.02% and
increased the methane yield 15.8% with respect to the CL control.
PMID- 27864136
TI - The underlying mechanisms of genetic innovation and speciation in the family
Corynebacteriaceae: A phylogenomics approach.
AB - The pangenome of a bacterial species population is formed by genetic reduction
and genetic expansion over the long course of evolution. Gene loss is a pervasive
source of genetic reduction, and (exogenous and endogenous) gene gain is the main
driver of genetic expansion. To understand the genetic innovation and speciation
of the family Corynebacteriaceae, which cause a wide range of serious infections
in humans and animals, we analyzed the pangenome of this family, and
reconstructed its phylogeny using a phylogenomics approach. Genetic variations
have occurred throughout the whole evolutionary history of the
Corynebacteriaceae. Gene loss has been the primary force causing genetic changes,
not only in terms of the number of protein families affected, but also because of
its continuity on the time series. The variation in metabolism caused by these
genetic changes mainly occurred for membrane transporters, two-component systems,
and metabolism related to amino acids and carbohydrates. Interestingly,
horizontal gene transfer (HGT) not only caused changes related to pathogenicity,
but also triggered the acquisition of antimicrobial resistance. The Darwinian
theory of evolution did not adequately explain the effects of dispersive HGT
and/or gene loss in the evolution of the Corynebacteriaceae. These findings
provide new insight into the evolution and speciation of Corynebacteriaceae and
advance our understanding of the genetic innovation in microbial populations.
PMID- 27864137
TI - Intergenomic evolution and metabolic cross-talk between rumen and thermophilic
autotrophic methanogenic archaea.
AB - Methanobrevibacter ruminantium M1 (MRU) is a rumen methanogenic archaean that can
be able to utilize formate and CO2/H2 as growth substrates. Extensive analysis on
the evolutionary genomic contexts considered herein to unravel its intergenomic
relationship and metabolic adjustment acquired from the genomic content of
Methanothermobacter thermautotrophicus DeltaH. We demonstrated its intergenomic
distance, genome function, synteny homologs and gene families, origin of
replication, and methanogenesis to reveal the evolutionary relationships between
Methanobrevibacter and Methanothermobacter. Comparison of the phylogenetic and
metabolic markers was suggested for its archaeal metabolic core lineage that
might have evolved from Methanothermobacter. Orthologous genes involved in its
hydrogenotrophic methanogenesis might be acquired from intergenomic ancestry of
Methanothermobacter via Methanobacterium formicicum. Formate dehydrogenase
(fdhAB) coding gene cluster and carbon monoxide dehydrogenase (cooF) coding gene
might have evolved from duplication events within Methanobrevibacter
Methanothermobacter lineage, and fdhCD gene cluster acquired from bacterial
origins. Genome-wide metabolic survey found the existence of four novel pathways
viz. l-tyrosine catabolism, mevalonate pathway II, acyl-carrier protein
metabolism II and glutathione redox reactions II in MRU. Finding of these
pathways suggested that MRU has shown a metabolic potential to tolerate molecular
oxygen, antimicrobial metabolite biosynthesis and atypical lipid composition in
cell wall, which was acquainted by metabolic cross-talk with mammalian bacterial
origins. We conclude that coevolution of genomic contents between
Methanobrevibacter and Methanothermobacter provides a clue to understand the
metabolic adaptation of MRU in the rumen at different environmental niches.
PMID- 27864138
TI - Sarniensine, a mesembrine-type alkaloid isolated from Nerine sarniensis, an
indigenous South African Amaryllidaceae, with larvicidal and adulticidal
activities against Aedes aegypti.
AB - A new mesembrine-type alkaloid, named sarniensine, was isolated together with
tazettine, lycorine, the main alkaloid, and 3-epimacronine from Nerine
sarniensis, with the last two produced for the first time by this plant. This
Amaryllidaceae, which is indigenous of South Africa, was investigated for its
alkaloid content, because the organic extract of its bulbs showed strong
larvicidal activity with an LC50 value of 0.008MUgMUL-1 against first instar
Aedes aegypti larvae and with an LD50 value 4.6MUg/mosquito against adult female
Ae. aegypti, which is the major vector for dengue, yellow fever and the Zika
virus. The extract did not show repellency at MED value of 0.375mgcm2 against
adult Ae. aegypti. Sarniensine was characterized using spectroscopic and
chiroptical methods as (3aR,4Z,6S,7aS)-6-methoxy-3a-(2'-methoxymethyl-benzo
[1,3]dioxol-1'-yl)-1-methyl-2,3,3a,6,7,7a-hexahydro-1H-indole. It was less
effective against larva at the lowest concentration of 0.1MUgMUL-1, however it
showed strong adulticidal activity with an LD50 value of 1.38+/-0.056MUgmosquito
1.
PMID- 27864139
TI - Evening and morning peroxiredoxin-2 redox/oligomeric state changes in obstructive
sleep apnea red blood cells: Correlation with polysomnographic and metabolic
parameters.
AB - We have examined the effects of Obstructive Sleep Apnea (OSA) on red blood cell
(RBC) proteome variation at evening/morning day time to uncover new insights into
OSA-induced RBC dysfunction that may lead to OSA manifestations. Dysregulated
proteins mainly fall in the group of catalytic enzymes, stress response and redox
regulators such as peroxiredoxin 2 (PRDX2). Validation assays confirmed that at
morning the monomeric/dimeric forms of PRDX2 were more overoxidized in OSA RBC
compared to evening samples. Six month of positive airway pressure (PAP)
treatment decreased this overoxidation and generated multimeric overoxidized
forms associated with chaperone/transduction signaling activity of PRDX2. Morning
levels of overoxidized PRDX2 correlated with polysomnographic (PSG)-arousal index
and metabolic parameters whereas the evening level of disulfide-linked dimer
(associated with peroxidase activity of PRDX2) correlated with PSG parameters.
After treatment, morning overoxidized multimer of PRDX2 negatively correlated
with fasting glucose and dopamine levels. Overall, these data point toward severe
oxidative stress and altered antioxidant homeostasis in OSA RBC occurring mainly
at morning time but with consequences till evening. The beneficial effect of PAP
involves modulation of the redox/oligomeric state of PRDX2, whose mechanism and
associated chaperone/transduction signaling functions deserves further
investigation. RBC PRDX2 is a promising candidate biomarker for OSA severity and
treatment monitoring, warranting further investigation and validation.
PMID- 27864140
TI - The role of miR-190a in methylglyoxal-induced insulin resistance in endothelial
cells.
AB - Methylglyoxal (MGO) is a reactive dicarbonyl produced as by-product of
glycolysis, and its formation is heightened in hyperglycaemia. MGO plasma levels
are two-fold to five-fold increased in diabetics and its accumulation promotes
the progression of vascular complications. Impairment of endothelium-derived
nitric oxide represents a common feature of endothelial dysfunction in diabetics.
We previously demonstrated that MGO induces endothelial insulin resistance.
Increasing evidence shows that high glucose and MGO modify vascular expression of
several microRNAs (miRNAs), suggesting their potential role in the impairment of
endothelial insulin sensitivity. The aim of the study is to investigate whether
miRNAs may be involved in MGO-induced endothelial insulin resistance in
endothelial cells. MGO reduces the expression of miR-190a both in mouse aortic
endothelial cells (MAECs) and in aortae from mice knocked-down for glyoxalase-1.
miR-190a inhibition impairs insulin sensitivity, whereas its overexpression
prevents the MGO-induced insulin resistance in MAECs. miR-190a levels are not
affected by the inhibition of ERK1/2 phosphorylation. Conversely, ERK1/2
activation is sustained by miR-190a inhibitor and the MGO-induced ERK1/2 hyper
activation is reduced by miR-190a mimic transfection. Similarly, protein levels
of the upstream KRAS are increased by both MGO and miR-190a inhibitor, and these
levels are reduced by miR-190a mimic transfection. Interestingly, silencing of
KRAS is able to rescue the MGO-impaired activation of IRS1/Akt/eNOS pathway in
response to insulin. In conclusion, miR-190a down-regulation plays a role in MGO
induced endothelial insulin resistance by increasing KRAS. This study highlights
miR-190a as new candidate for the identification of strategies aiming at
ameliorating vascular function in diabetes.
PMID- 27864141
TI - Long-term inhibition of cyclophilin D results in intracellular translocation of
calcein AM from mitochondria to lysosomes.
AB - Cyclophilin D is a peptidyl-prolyl cis-trans isomerase localized in the
mitochondrial matrix. Although its effects on mitochondrial characteristics have
been well studied, its relation to the uptake of molecules by mitochondria
remains unknown. Here, we demonstrated the effects of cyclophilin D on the
intracellular translocation of calcein AM. Following addition of calcein AM to
control cells or cells overexpressing wild-type cyclophilin D, calcein
fluorescence was observed in mitochondria. However, long-term inhibition of
cyclophilin D in these cells altered the localization of calcein fluorescence
from mitochondria to lysosomes without changing mitochondrial esterase activity.
In addition, depletion of glucose from the medium recovered calcein localization
from lysosomes to mitochondria. This is the first demonstration of the effects of
cyclophilin D on the intracellular translocation of molecules other than proteins
and suggests that cyclophilin D may modify mitochondrial features by inducing the
translocation of molecules to the mitochondria through the mechanism associated
with cellular energy metabolism.
PMID- 27864142
TI - Glycogen storage disease type Ib neutrophils exhibit impaired cell adhesion and
migration.
AB - Glycogen storage disease type Ib (GSD-Ib), characterized by impaired glucose
homeostasis, neutropenia, and neutrophil dysfunction, is an inherited autosomal
recessive disorder caused by a deficiency in the glucose-6-phosphate transporter
(G6PT). Neutrophils play an essential role in the defense against invading
pathogens. The recruitment of neutrophils towards the inflammation sites in
response to inflammatory stimuli is a tightly regulated process involving
rolling, adhesion, and transmigration. In this study, we investigated the role of
G6PT in neutrophil adhesion and migration using in vivo and in vitro models. We
showed that the GSD-Ib (G6pt-/-) mice manifested severe neutropenia in both blood
and bone marrow, and treating G6pt-/- mice with granulocyte colony-stimulating
factor (G-CSF) corrected neutropenia. However, upon thioglycolate challenge,
neutrophils from both untreated and G-CSF-treated G6pt-/-mice exhibited decreased
ability to migrate to the peritoneal cavity. In vitro migration and cell adhesion
of G6PT-deficient neutrophils were also significantly impaired. Defects in cell
migration were not due to enhanced apoptosis or altered fMLP receptor expression.
Remarkably, the expression of the beta2 integrins CD11a and CD11b, which are
critical for cell adhesion, was greatly decreased in G6PT-deficient neutrophils.
This study suggests that deficiencies in G6PT cause impairment in neutrophil
adhesion and migration via aberrant expression of beta2 integrins, and our
finding should facilitate the development of novel therapies for GSD-Ib.
PMID- 27864144
TI - Transcriptional regulation of microsomal prostaglandin E synthase 1 by the proto
oncogene, c-myc, in the pathogenesis of inflammation and cancer.
AB - Pro-inflammatory molecules play a key role in the progression of various types of
cancers highlighting the importance of studying the pathways that regulate the
inflammatory cytokine production. To this end, prostaglandins have been reported
to correlate with exacerbated cancer phenotypes that may be prevented by using
anti-inflammatory drugs in humans. To understand how the prostaglandin E synthase
1 (mPGES1) may be regulated we analyzed its promoter sequence and identified myc
binding sites. Functional validation was performed by mutating the sites that led
to attenuated promoter activation of mPGES1. The known c-myc inhibitor (10058-F4)
also blocked PGE2 activity, indicating the importance of c-Myc in PGE2 synthesis.
Isocoumarin analogs were able to reduce the expressions of both c-myc as well as
mPGES1 and also inhibit the production of PGE2. Based on these data and the well
established role of c-myc in oncogenesis, we have demonstrated an additional role
of c-myc in exacerbating cancers via PGE2 production, which may provide a
therapeutic opportunity to treat these diseases.
PMID- 27864143
TI - C14orf93 (RTFC) is identified as a novel susceptibility gene for familial
nonmedullary thyroid cancer.
AB - The genetic causes for familial nonmedullary thyroid cancer (FNMTC) remain
largely unknown. Through genetic linkage analysis and exome sequencing, C14orf93
(RTFC), PYGL, and BMP4 were identified as susceptibility gene candidates in a
FNMTC family. By examining the expression and the oncogenic functions of these
candidate genes, PYGL and BMP4 were excluded. We further characterized the
functions of the uncharacterized gene RTFC in thyroid cancer. RTFC promotes
thyroid cancer cell survival under starving conditions, and thyroid cancer cell
migration. The R115Q, V205M and G209D RTFC mutants enhance the colony forming
capacity of thyroid cancer cells, and are able to transform normal thyroid cells.
In summary, our data suggest the roles of RTFC in thyroid carcinogenesis.
PMID- 27864145
TI - MyD88 NEDDylation negatively regulates MyD88-dependent NF-kappaB signaling
through antagonizing its ubiquitination.
AB - Myeloid differentiation factor 88 (MyD88) plays a central role in innate immunity
response, however, how its activity is tightly regulated remains largely unknown.
In this study, we identify MyD88 as a novel substrate of NEDD8, and demonstrate
that MyD88 NEDDylation antagonizes its ubiquitination. Interestingly, in response
to the stimulation of IL-1beta, MyD88 NEDDylation is downregulated while its
ubiquitination is upregulated. We also show that deNEDDylase NEDP1 serves as a
regulator of this process. Furthermore, we demonstrate that NEDD8 negatively
regulates the dimerization of MyD88 and suppresses MyD88-dependent NF-kappaB
signaling. Taken together, this study reveals that NEDDylation of MyD88 regulates
NF-kappaB activity through antagonizing its ubiquitination, suggesting a novel
mechanism of modulating NF-kappaB signaling pathway.
PMID- 27864146
TI - MicroRNA-187 regulates gastric cancer progression by targeting the tumor
suppressor CRMP1.
AB - Aberrant expression of microRNAs contributes to the initiation and progression of
numerous human cancers. The underlying effects and molecular mechanisms of
microRNA-187 (miR-187) in gastric cancer (GC) remain unclear. The present study
reports that miR-187 was significantly overexpressed in GC tissues compared to
that in non-tumor tissues and was associated with malignant clinical factors such
as depth of invasion (P = 0.005), tumor size (P = 0.024), lymph node metastasis
(P = 0.048), and TNM stage (P = 0.035). Additionally, miR-187 promoted tumor
growth in vivo, and significantly increased migration, invasion, and
proliferation, but inhibited apoptosis in GC cells. It was found that collapsin
response mediator protein 1 (CRMP1), a tumor suppressor, was a direct downstream
target of miR-187 in GC. Furthermore, CRMP1 silencing resulted in similar effects
on cell proliferation, migration, and apoptosis as those of miR-187
overexpressing GC cells. Additionally, the effects of miR-187 inhibitor on cell
migration and cell apoptosis were reversed by CRMP1 downregulation. In summary,
miR-187 promotes tumor progression by regulating CRMP1 expression in GC and may
thus be a potential prognostic marker and a therapeutic target in GC.
PMID- 27864147
TI - Host factor PRPF31 is involved in cccDNA production in HBV-replicating cells.
AB - Hepatitis B virus (HBV) covalently closed circular DNA (cccDNA) plays a central
role in chronic HBV infection and replication, and is an important factor for HBV
surface antigen loss indicating the endpoint of HBV treatment. However, there is
a known problem that current anti-HBV drugs, including interferons and
nucleos(t)ide analogues, reduce HBV replication but have a little or no effect on
reducing cccDNA. Therefore, the development of new therapeutic agents is
necessary to eradicate cccDNA. In this study, we identified pre-mRNA processing
factor 31 (PRPF31) by siRNA screening as a factor associated with cccDNA. PRPF31
knockdown by siRNA decreased cccDNA formation without serious cytotoxicity. In
rescue experiments, expression of siRNA-resistant PRPF31 recovered cccDNA
formation. PRPF31 knockdown did not affect HBV core protein and HBV core DNA
levels in HBV-replicating cells. Chromatin immunoprecipitation and
immunoprecipitation assays revealed an association between PRPF31 and cccDNA.
Furthermore, co-overexpression of PRPF31 and HBx enhanced cccDNA formation in
HepAD38 cells. Taken together, the present findings suggest that the interaction
between PRPF31 and HBx may be a novel target for anti-HBV treatment.
PMID- 27864148
TI - Three pentraxins C-reactive protein, serum amyloid p component and pentraxin 3
mediate complement activation using Collectin CL-P1.
AB - BACKGROUND: Pentraxins (PTXs) are a superfamily of multifunctional conserved
proteins involved in acute-phase responses. Recently, we have shown that
collectin placenta 1 (CL-P1) and C-reactive protein (CRP) mediated complement
activation and failed to form terminal complement complex (TCC) in normal serum
conditions because of complement factor H inhibition. METHODS: We used CL-P1
expressing CHO/ldlA7 cells to study the interaction with PTXs. Soluble type CL-P1
was used in an ELISA assay for the binding, C3 and TCC deposition experiments.
Furthermore, we used our previously established CL-P1 expressing HEK293 cells for
the C3 fragment and TCC deposition assay. RESULTS: We demonstrated that CL-P1
also bound serum amyloid p component (SAP) and pentraxin 3 (PTX3) to activate the
classical pathway and the alternative pathway using factor B. CRP and PTX3
further amplified complement deposition by properdin. We found that CRP and PTX3
recruit CFH, whereas SAP recruits C4 binding protein on CL-P1 expressing cell
surfaces to prevent the formation of TCC in normal serum conditions. In addition,
depletion of CFH, C4BP and complement factor I (CFI) failed to prevent TCC
formation both in ELISA and cell experiments. Furthermore, soluble complement
receptor 1, an inhibitor of all complement pathways prevents PTX induced TCC
formation. CONCLUSION: Our current study hypothesizes that the interaction of
pentraxins with CL-P1 is involved in complement activation. GENERAL SIGNIFICANCE:
CL-P1 might generally inhibit PTX induced complement activation and host damage
to protect self-tissues.
PMID- 27864149
TI - Mechanistic insight into the procoagulant activity of tumor-derived apoptotic
vesicles.
AB - BACKGROUND: Chemotherapy induces the release of apoptotic vesicles (ApoV) from
the tumor plasma membrane. Tumor ApoV may enhance the risk of thrombotic events
in cancer patients undergoing chemotherapy. However, the relative contribution of
ApoV to coagulation and the pathways involved remain poorly characterized. In
addition, this study sets out to compare the procoagulant activity of
chemotherapy-induced ApoV with their cell of origin and to determine the
mechanisms of ApoV-induced coagulation. METHODS: We utilized human and murine
cancer cell lines and chemotherapeutic agents to determine the requirement for
the coagulation factors (tissue factor; TF, FII, FV, FVII, FVIII, FIX and
phosphatidylserine) in the procoagulant activity of ApoV. The role of previously
identified ApoV-associated FV was determined in a FV functional assay. RESULTS:
ApoV were significantly more procoagulant per microgram of protein compared to
parental living or dying tumor cells. In the phase to peak fibrin generation,
procoagulant activity was dependent on phosphatidylserine, TF expression, FVII
and the prothrombinase complex. However, the intrinsic coagulation factors FIX
and FVIII were dispensable. ApoV-associated FV could not support coagulation in
the absence of supplied, exogenous FV. CONCLUSIONS: ApoV are significantly more
procoagulant than their parental tumor cells. ApoV require the extrinsic tenase
and prothrombinase complex to activate the early phase of coagulation. Endogenous
FV identified on tumor ApoV is serum-derived and functional, but is non-essential
for ApoV-mediated fibrin generation. GENERAL SIGNIFICANCE: This study clarifies
the mechanisms of procoagulant activity of vesicles released from dying tumor
cells.
PMID- 27864150
TI - Effect of malondialdehyde on the ovalbumin structure and its interactions with
T84 epithelial cells.
AB - BACKGROUND: Protein oxidation can occur as a consequence of lipid peroxidation
during food processing. The aim of this work was to explore the effect of
malondialdehyde (MDA) modification of ovalbumin (OVA) on its interaction with T84
intestinal cells. METHODS: Molecular dynamics simulation was employed for the
prediction of MDA modification in the OVA, while introduced structural changes
were evaluated by measurement of carbonyl group content, fluorescence spectra,
MS/MS analysis, and IgE reactivity. Effects of MDA modified OVA on T84 epithelial
cells were analyzed by gene expression for pro-inflammatory cytokines and protein
secretion. RESULTS: Out of 9 predicted, five modified Lys residues were confirmed
by MS/MS analysis: 51TQINKVVR58, 85DILNQITKPNDVYSFSLASR104,
111YPILPEYLQCVKELYR126, 187AFKDEDTQAMPFR199, 277KIKVYLPR284, and 278IKVYLPR284.
The introduced MDA modifications influenced profile of IgE reactivity to OVA.
Treatment of T84 epithelial cells with OVA and OVA modified with 1mM MDA, induced
up-regulation of pro-inflammatory cytokines (IL-1beta, IL-25, IL-33, TSLP and
TNFalpha), while OVA modification with 10mM MDA induced down regulation of the
cytokine expression profile, except for IL-1beta. OVA and OVA modified with 1mM
MDA induced secretion of epithelial cells specific cytokine IL-33. CONCLUSIONS:
This finding indicated that OVA and its MDA modified form have the potential to
trigger the innate immunity by inducing up-regulation and secretion of pro
allergenic IL-33 in T84 intestinal epithelial cells. GENERAL SIGNIFICANCE:
Interactions of ovalbumin and its MDA modified form with intestinal epithelial
cells can induce a specific immunological priming necessary for the downstream
activation of innate immunity.
PMID- 27864151
TI - Gelatin/nanoceria nanocomposite fibers as antioxidant scaffolds for neuronal
regeneration.
AB - BACKGROUND: The design of efficient nerve conduits able to sustain the axonal
outgrowth and its guidance towards appropriate targets is of paramount importance
in nerve tissue engineering. METHODS: In this work, we propose the preparation of
highly aligned nanocomposite fibers of gelatin/cerium oxide nanoparticles
(nanoceria), prepared by electrospinning. Nanoceria are powerful self
regenerative antioxidant nanomaterials, that behave as strong reactive oxygen
species scavengers, and among various beneficial effects, they have been proven
to inhibit the cell senescence and to promote the neurite sprouting. RESULTS:
After a detailed characterization of the developed substrates, they have been
tested on neuron-like SH-SY5Y cells, demonstrating strong antioxidant properties
and beneficial multi-cue effects in terms of neurite development and alignment.
CONCLUSIONS: Obtained findings suggest efficiency of the proposed substrates in
providing combined topographical stimuli and antioxidant effects to cultured
cells. GENERAL SIGNIFICANCE: Proposed nanocomposite scaffolds represent a
promising approach for nerve tissue engineering and regenerative medicine.
PMID- 27864153
TI - Immunogenicity of murine mPEG-red blood cells and the risk of anti-PEG antibodies
in human blood donors.
AB - The immunocamouflage of non-ABO blood group antigens by membrane-grafted
methoxypoly(ethylene glycol) (mPEG) may attenuate the risk of red blood cell
(RBC) alloimmunization. However, concerns have been raised over the immunogenic
risk of PEG and PEG-RBCs. To assess this risk, murine and human studies were
performed. Mice were exposed to soluble PEG prior to, or between, multiple
transfusions (~60-day intervals) of control or mPEG-RBCs, and cell survival was
determined by flow cytometry. In some studies, the control and mPEG-RBC groups
were reversed after one or more transfusions. Furthermore, human blood donors and
commercial intravenous immunoglobulin products were examined to detect anti-PEG
antibodies and to assess the risk for false positives. Naive mice receiving
chronic mPEG-RBC transfusions had normal RBC survival curves with no evidence of
anti-PEG antibodies. Similarly, challenge with soluble PEG did not elicit anti
PEG antibodies in mice. Studies in humans revealed no evidence of a high
prevalence of anti-PEG antibodies in either blood donors or commercial
intravenous immunoglobulin. However, by use of the methods employed by studies
identifying high levels of anti-PEG antibodies, a significant level (~15%) of
"false positives" were detected in commercial antibodies of known (non-PEG)
specificities. These findings suggest that methodologic problems yielded a high
rate of false positives in these earlier studies. These data continue to support
the clinical utility of cellular PEGylation and the low immunogenic risk of
grafted mPEG.
PMID- 27864152
TI - The flavinyl transferase ApbE of Pseudomonas stutzeri matures the NosR protein
required for nitrous oxide reduction.
AB - The copper-containing enzyme nitrous oxide reductase (N2OR) catalyzes the
transformation of nitrous oxide (N2O) to dinitrogen (N2) in microbial
denitrification. Several accessory factors are essential for assembling the two
copper sites CuA and CuZ, and for maintaining the activity. In particular, the
deletion of either the transmembrane iron-sulfur flavoprotein NosR or the
periplasmic protein NosX, a member of the ApbE family, abolishes N2O respiration.
Here we demonstrate through biochemical and structural studies that the ApbE
protein from Pseudomonas stutzeri, where the nosX gene is absent, is a monomeric
FAD-binding protein that can serve as the flavin donor for NosR maturation via
covalent flavinylation of a threonine residue. The flavin transfer reaction
proceeds both in vivo and in vitro to generate post-translationally modified NosR
with covalently bound FMN. Only FAD can act as substrate and the reaction
requires a divalent cation, preferably Mg2+ that was also present in the crystal
structure. In addition, the reaction is species-specific to a certain extent.
PMID- 27864154
TI - Root cause analysis of limitations of virtual crossmatch for kidney allocation to
highly-sensitized patients.
AB - Efficient allocation of deceased donor organs depends upon effective prediction
of immunologic compatibility based on donor HLA genotype and recipient
alloantibody profile, referred to as virtual crossmatching (VCXM). VCXM has
demonstrated utility in predicting compatibility, though there is reduced
efficacy for patients highly sensitized against allogeneic HLA antigens. The
recently revised deceased donor kidney allocation system (KAS) has increased
transplantation for this group, but with an increased burden for
histocompatibility testing and organ sharing. Given the limitations of VCXM, we
hypothesized that increased organ offers for highly-sensitized patients could
result in a concomitant increase in offers rejected due to unexpectedly positive
crossmatch. Review of 645 crossmatches performed for deceased donor kidney
transplantation at our center did not reveal a significant increase in positive
crossmatches following KAS implementation. Positive crossmatches not predicted by
VCXM were concentrated among highly-sensitized patients. Root cause analysis of
VCXM failures identified technical limitations of anti-HLA antibody testing as
the most significant contributor to VCXM error. Contributions of technical
limitations including additive/synergistic antibody effects, prozone phenomenon,
and antigens not represented in standard testing panels, were evaluated by
retrospective testing. These data provide insight into the limitations of VCXM,
particularly those affecting allocation of kidneys to highly-sensitized patients.
PMID- 27864155
TI - Minimally Invasive Whipple's Technique for Laparoscopic-Assisted Pylorus
Preserving Pancreaticoduodenectomy.
PMID- 27864156
TI - Neurocognitive predictors of treatment response to randomized treatment in adults
with tic disorders.
AB - Tourette's disorder (TS) and chronic tic disorder (CTD) are neurodevelopmental
disorders characterized by involuntary vocal and motor tics. Consequently, TS/CTD
have been conceptualized as disorders of cognitive and motor inhibitory control.
However, most neurocognitive studies have found comparable or superior inhibitory
capacity among individuals with TS/CTD relative to healthy controls. These
findings have led to the hypothesis that individuals with TS/CTD develop
increased inhibitory control due to the constant need to inhibit tics. However,
the role of cognitive control in TS/CTD is not yet understood, particularly in
adults. To examine the role of inhibitory control in TS/CTD, the present study
investigated this association by assessing the relationship between inhibitory
control and treatment response in a large sample of adults with TS/CTD. As part
of a large randomized trial comparing behavior therapy versus supportive
psychotherapy for TS/CTD, a battery of tests, including tests of inhibitory
control was administered to 122 adults with TS/CTD at baseline. We assessed the
association between neuropsychological test performance and change in symptom
severity, as well as compared the performance of treatment responders and non
responders as defined by the Clinical Global Impression Scale. Results indicated
that change in symptoms, and treatment response were not associated with
neuropsychological performance on tests of inhibitory control, intellectual
ability, or motor function, regardless of type of treatment. The finding that
significant change in symptom severity of TS/CTD patients is not associated with
impairment or change in inhibitory control regardless of treatment type suggests
that inhibitory control may not be a clinically relevant facet of these disorders
in adults.
PMID- 27864157
TI - The effect of feed-based vaccination on tilapia farm endemic for streptococcosis.
AB - A tilapia farm experiencing endemic streptococcosis was selected to study the
effect of vaccination with a feed-based vaccine on naturally ocurring
streptococcosis. A total of 9000 red tilapia, Oreochromis niloticus * Oreochromis
mossambicus of 100 +/- 20 g were divided into 9 cages. Fish of Group 1 in cages
1, 2 and 3 were not vaccinated. Group 2 in cages 4, 5 and 6 were vaccinated on
days 0 and 14 (single booster) while Group 3 in cages 7, 8 and 9 were vaccinated
on days 0, 14 and 42 (double booster). Vaccination was done by oral
administration of the feed-based bacterin vaccine at 4% bodyweight. Samples of
serum for antibody study and the brain, eyes and kidney for bacterial isolation
were collected at 14-day intervals. The study was carried out during the critical
months between April and June. Following vaccination and booster, there was
significant (p < 0.05) increase in the antibody levels in all vaccinated groups
from week 1 that reached the peak at week 3 before declining gradually until week
6. However, second booster on week 6 significantly (p < 0.05) increased the
antibody level that remained high until the end of the 16-week study period
(double booster). Streptococcus agalactiae was isolated at the start of the
experiment (day 0) at an average of 10 +/- 5.0% of the sampled fish. In week 4,
the isolation rate was 13 +/- 5.7% but increased to 18 +/- 7.6% in week 8, to 25
+/- 10.0% in week 10, to 28 +/- 5.8% in week 12 and 25 +/- 7.3% in week 14. The
average isolation rate was 28 +/- 7.2%, 18 +/- 7.1% and 13 +/- 8.2% of the fish
sampled from unvaccinated, single booster and double booster groups,
respectively. At the end of the study period, the survival rate was 45.2 +/-
2.45% for unvaccinated, 65.3 +/- 4.8% for single booster and 75.1 +/- 2.1% for
double booster groups. Vaccinating fish in endemic farm might not eliminate the
disease but was able to significantly improve the survival rate.
PMID- 27864158
TI - Feeding of nano scale oats beta-glucan enhances the host resistance against
Edwardsiella tarda and protective immune modulation in zebrafish larvae.
AB - In this study, we prepared and characterized the oats origin of nano scale beta
glucan (NBG) and investigated the immunomodulatory properties in zebrafish
larvae. Newly prepared NBG (average particle size of 465 nm) was fully soluble in
water. Zebrafish larvae survival rate was increased against pathogenic bacteria
Edwardsiella tarda, when NBG was added to the water (500 MUg/mL) compared to NBG
non-exposed controls. Moreover, quantitative real time PCR (qRT-PCR) results
showed up-regulation of immune functional genes including TNF-alpha, IL-1beta,
beta-defensin, lysozyme, IL 10, IL 12 and C-Rel indicating higher survival rate
could be due to stronger immunomodulatory function of NBG (500 MUg/mL). Thus, non
toxic, water soluble and biodegradable NBG from oats could be considered as the
potential immunostimulant for larval aquaculture.
PMID- 27864159
TI - High-level expression and efficient refolding of therapeutically important
recombinant human Interleukin-3 (hIL-3) in E. coli.
AB - Human interleukin-3 (hIL-3) is a pleiotropic cytokine that stimulates the
differentiation and proliferation of multipotent hematopoietic cells thus making
it a therapeutically important molecule. In this study, its poor expression yield
was improved by addressing various upstream bottlenecks in E. coli heterologous
system. The codon-optimized hIL-3 gene was cloned under various signal sequences
and solubility enhancer fusion tags for its hyper-expression under a strong T7
promoter. The optimization of shake flask expression studies resulted in a hIL-3
protein concentration of 225 mg/L in the form of inclusion bodies (IBs). Lowering
of inducer concentration and cultivation temperature did not improve its
solubility. The hIL-3 protein was refolded from IBs and resulted a protein
recovery yield of 53% after optimization of refolding conditions. The refolded
protein was subsequently purified using Ni-NTA affinity chromatography and gave
~95% pure protein. The conformational properties of the refolded hIL-3 protein
were studied by CD and fluorescence spectrometry where protein showed 40% alpha
helix and 12% beta-sheets with a fluorescence emission maxima at 344 nm. The
molecular identity was further confirmed by MALDI-TOF/TOF and western blot
analysis. The biological activity of refolded protein was confirmed via cell
proliferation assay on human erythroleukemia TF-1 cells where commercial hIL-3
was taken as a standard control.
PMID- 27864160
TI - Blotting-free and lossless cryo-electron microscopy grid preparation from
nanoliter-sized protein samples and single-cell extracts.
AB - We present a sample preparation method for cryo-electron microscopy (cryo-EM)
that requires only 3-20nL of sample to prepare a cryo-EM grid, depending on the
protocol used. The sample is applied and spread on the grid by a microcapillary.
The procedure does not involve any blotting steps, and real-time monitoring
allows the water film thickness to be assessed and decreased to an optimum value
prior to vitrification. We demonstrate that the method is suitable for high
resolution cryo-EM and will enable alternative electron microscopy approaches,
such as single-cell visual proteomics.
PMID- 27864162
TI - Aging: Treating the Older Patient.
PMID- 27864161
TI - Maintenance versus Induction Therapy Choice on Outcomes after Autologous
Transplantation for Multiple Myeloma.
AB - Bortezomib (V), lenalidomide (R), cyclophosphamide (C), and dexamethasone (D) are
components of the most commonly used modern doublet (RD, VD) or triplet (VRD,
CVD) initial induction regimens before autologous hematopoietic cell
transplantation (AHCT) for multiple myeloma (MM) in the United States. In this
study we evaluated 693 patients receiving "upfront" AHCT after initial induction
therapy with modern doublet or triplet regimens using data reported to the Center
for International Blood and Marrow Transplant Research from 2008 to 2013.
Analysis was limited to those receiving a single AHCT after 1 line of induction
therapy within 12 months from treatment initiation for MM. In multivariate
analysis, progression-free survival (PFS) and overall survival were similar
irrespective of induction regimen. However, high-risk cytogenetics and nonreceipt
of post-transplant maintenance/consolidation therapy were associated with higher
risk of relapse. Patients receiving post-transplant therapy had significantly
improved 3-year PFS versus no post-transplant therapy (55% versus 39%, P =
.0001). This benefit was most evident in patients not achieving at least a
complete response post-AHCT (P = .005). In patients receiving upfront AHCT, the
choice of induction regimen (doublet or triplet therapies) appears to be of lower
impact than use of post-transplant therapy.
PMID- 27864163
TI - Developing a Web-Based Weight Management Program for Childhood Cancer Survivors:
Rationale and Methods.
AB - BACKGROUND: Due to advances in the field of oncology, survival rates for children
with cancer have improved significantly. However, these childhood cancer
survivors are at a higher risk for obesity and cardiovascular diseases and for
developing these conditions at an earlier age. OBJECTIVE: In this paper, we
describe the rationale, conceptual framework, development process, novel
components, and delivery plan of a behavioral intervention program for preventing
unhealthy weight gain in survivors of childhood acute lymphoblastic leukemia
(ALL). METHODS: A Web-based program, the Healthy Eating and Active Living (HEAL)
program, was designed by a multidisciplinary team of researchers who first
identified behaviors that are appropriate targets for weight management in
childhood ALL survivors and subsequently developed the intervention components,
following core behavioral change strategies grounded in social cognitive and self
determination theories. RESULTS: The Web-based HEAL curriculum has 12 weekly self
guided sessions to increase parents' awareness of the potential impact of cancer
treatment on weight and lifestyle habits and the importance of weight management
in survivors' long-term health. It empowers parents with knowledge and skills on
parenting, nutrition, and physical activity to help them facilitate healthy
eating and active living soon after the child completes intensive cancer
treatment. Based on social cognitive theory, the program is designed to increase
behavioral skills (goal-setting, self-monitoring, and problem-solving) and self
efficacy and to provide positive reinforcement to sustain behavioral change.
CONCLUSIONS: Lifestyle interventions are a priority for preventing the early
onset of obesity and cardiovascular risk factors in childhood cancer survivors.
Intervention programs need to meet survivors' targeted behavioral needs, address
specific barriers, and capture a sensitive window for behavioral change. In
addition, they should be convenient, cost-effective and scalable. Future studies
are needed to evaluate the feasibility of introducing weight management early in
cancer care and the efficacy of early weight management on survivors' health
outcomes.
PMID- 27864164
TI - Perceptions Toward a Smoking Cessation App Targeting LGBTQ+ Youth and Young
Adults: A Qualitative Framework Analysis of Focus Groups.
AB - BACKGROUND: The prevalence of smoking among lesbian, gay, bisexual, trans, queer,
and other sexual minority (LGBTQ+) youth and young adults (YYA) is significantly
higher compared with that among non-LGBTQ+ persons. However, in the past,
interventions were primarily group cessation classes that targeted LGBTQ+ persons
of all ages. mHealth interventions offer an alternate and modern intervention
platform for this subpopulation and may be of particular interest for young
LGBTQ+ persons. OBJECTIVE: This study explored LGBTQ+ YYA (the potential users')
perceptions of a culturally tailored mobile app for smoking cessation.
Specifically, we sought to understand what LGBTQ+ YYA like and dislike about this
potential cessation tool, along with how such interventions could be improved.
METHODS: We conducted 24 focus groups with 204 LGBTQ+ YYA (aged 16-29 years) in
Toronto and Ottawa, Canada. Participants reflected on how an app might support
LGBTQ+ persons with smoking cessation. Participants indicated their feelings,
likes and dislikes, concerns, and additional ideas for culturally tailored
smoking cessation apps. Framework analysis was used to code transcripts and
identify the overarching themes. RESULTS: Study findings suggested that LGBTQ+
YYA were eager about using culturally tailored mobile apps for smoking cessation.
Accessibility, monitoring and tracking, connecting with community members,
tailoring, connecting with social networks, and personalization were key reasons
that were valued for a mobile app cessation program. However, concerns were
raised about individual privacy and that not all individuals had access to a
mobile phone, users might lose interest quickly, an app would need to be marketed
effectively, and app users might cheat and lie about progress to themselves.
Participants highlighted that the addition of distractions, rewards,
notifications, and Web-based and print versions of the app would be extremely
useful to mitigate some of their concerns. CONCLUSIONS: This study provided
insight into the perspectives of LGBTQ+ YYA on a smoking cessation intervention
delivered through a mobile app. The findings suggested a number of components of
a mobile app that were valued and those that were concerning, as well as
suggestions on how to make a mobile app cessation program successful. App
development for this subpopulation should take into consideration the opinions of
the intended users and involve them in the development and evaluation of mobile
based smoking cessation programs.
PMID- 27864166
TI - Perivascular Adipose Tissue Inflammation and Coronary Artery Disease: An Autopsy
Study Protocol.
AB - BACKGROUND: Perivascular adipose tissue (PAT) inflammation may have a role in
coronary artery disease (CAD) pathophysiology. However, most evidence has come
from samples obtained during surgical procedures that may imply in some
limitations. Moreover, the role of B lymphocytes and inflammation in PAT that is
adjacent to unstable atheroma plaques has not been investigated in humans using
morphometric measurements. OBJECTIVE: The objective of this study is to
investigate the inflammation in PAT, subcutaneous, and perirenal adipose tissues
(SAT and PrAT) among chronic CAD, acute CAD, and control groups in an autopsy
study. METHODS: Heart, SAT, and PrAT samples are collected from autopsied
subjects in a general autopsy service, with the written informed consent of the
next-of-kin (NOK). Sociodemographic and clinical data are obtained from a
semistructure interview with the NOK. Coronary arteries are dissected and PAT are
removed. Sections with the greatest arterial obstruction or unstable plaques, and
the local with absence of atherosclerosis in all coronary arteries are sampled.
PAT are represented adjacent to these fragments. Adipose tissues are fixed in 4%
buffered paraformaldehyde solution and analyzed immunohistochemically for
macrophages (CD68), macrophage polarization (CD11c for proinflammatory and CD206
for anti-inflammatory), B lymphocytes (CD20), and T lymphocytes (CD3). Slides
will be scanned, and inflammatory cells will be quantified in 20 random fields.
Participants will be categorized in CAD groups, after morphometric measurement of
arterial obstruction and plaque composition analysis in accordance with American
Heart Association classification. Three study groups will be investigated: acute
CAD (at least one unstable plaque); chronic CAD (>=50% arterial obstruction); and
controls (<50% arterial obstruction). Inflammatory cells in PAT, SAT, and PrAT
will be counted and compared between groups using multivariate linear regression,
adjusted for age, body mass index, hypertension, diabetes, alcohol use, and
smoking. RESULTS: We present the methods of our study that was developed from 2
pilots. Currently, data collection and tissue processing are ongoing. Data
collection, histology and immunochemistry procedures, and quantification of all
inflammatory cells are expected to be concluded within 1 year. CONCLUSIONS: This
study will contribute for the understanding of the mechanisms of CAD
pathophysiology because it will help to clarify the role of inflammation both in
chronic and acute CAD.
PMID- 27864167
TI - Testing the Feasibility of Remote Patient Monitoring in Prenatal Care Using a
Mobile App and Connected Devices: A Prospective Observational Trial.
AB - BACKGROUND: Excessive weight gain and elevated blood pressure are significant
risk factors for adverse pregnancy outcomes such as gestational diabetes,
premature birth, and preeclampsia. More effective strategies to facilitate
adherence to gestational weight gain goals and monitor blood pressure may have a
positive health benefit for pregnant women and their babies. The impact of
utilizing a remote patient monitoring system to monitor blood pressure and weight
gain as a component of prenatal care has not been previously assessed. OBJECTIVE:
The objective of this study is to determine the feasibility of monitoring
patients remotely in prenatal care using a mobile phone app and connected digital
devices. METHODS: In this prospective observational study, 8 women with low risk
pregnancy in the first trimester were recruited at an urban academic medical
center. Participants received a mobile phone app with a connected digital weight
scale and blood pressure cuff for at-home data collection for the duration of
pregnancy. At-home data was assessed for abnormal values of blood pressure or
weight to generate clinical alerts to the patient and provider. As measures of
the feasibility of the system, participants were studied for engagement with the
app, accuracy of remote data, efficacy of alert system, and patient satisfaction.
RESULTS: Patient engagement with the mobile app averaged 5.5 times per week over
the 6-month study period. Weight data collection and blood pressure data
collection averaged 1.5 times and 1.1 times per week, respectively. At-home
measurements of weight and blood pressure were highly accurate compared to in
office measurements. Automatic clinical alerts identified two episodes of
abnormal weight gain with no false triggers. Patients demonstrated high
satisfaction with the system. CONCLUSIONS: In this pilot study, we demonstrated
that a system using a mobile phone app coupled to remote monitoring devices is
feasible for prenatal care.
PMID- 27864165
TI - Text to Move: A Randomized Controlled Trial of a Text-Messaging Program to
Improve Physical Activity Behaviors in Patients With Type 2 Diabetes Mellitus.
AB - BACKGROUND: Text messages are increasingly being used because of the low cost and
the ubiquitous nature of mobile phones to engage patients in self-care behaviors.
Self-care is particularly important in achieving treatment outcomes in type 2
diabetes mellitus (T2DM). OBJECTIVE: This study examined the effect of
personalized text messages on physical activity, as measured by a pedometer, and
clinical outcomes in a diverse population of patients with T2DM. METHODS: Text to
Move (TTM) incorporates physical activity monitoring and coaching to provide
automated and personalized text messages to help patients with T2DM achieve their
physical activity goals. A total of 126 English- or Spanish-speaking patients
with glycated hemoglobin A1c (HbA1c) >7 were enrolled in-person to participate in
the study for 6 months and were randomized into either the intervention arm that
received the full complement of the intervention or a control arm that received
only pedometers. The primary outcome was change in physical activity. We also
assessed the effect of the intervention on HbA1c, weight, and participant
engagement. RESULTS: All participants (intervention: n=64; control: n=62) were
included in the analyses. The intervention group had significantly higher monthly
step counts in the third (risk ratio [RR] 4.89, 95% CI 1.20 to 19.92, P=.03) and
fourth (RR 6.88, 95% CI 1.21 to 39.00, P=.03) months of the study compared to the
control group. However, over the 6-month follow-up period, monthly step counts
did not differ statistically by group (intervention group: 9092 steps; control
group: 3722 steps; RR 2.44, 95% CI 0.68 to 8.74, P=.17). HbA1c decreased by 0.07%
(95% CI -0.47 to 0.34, P=.75) in the TTM group compared to the control group.
Within groups, HbA1c decreased significantly from baseline in the TTM group by
0.43% (95% CI -0.75 to -0.12, P=.01), but nonsignificantly in the control group
by -0.21% (95% CI -0.49 to 0.06, P=.13). Similar changes were observed for other
secondary outcomes. CONCLUSION: Personalized text messaging can be used to
improve outcomes in patients with T2DM by employing optimal patient engagement
measures.
PMID- 27864168
TI - Biogeography of Oenococcus oeni Reveals Distinctive but Nonspecific Populations
in Wine-Producing Regions.
AB - : Understanding the mechanisms behind the typicity of regional wines inevitably
brings attention to microorganisms associated with their production. Oenococcus
oeni is the main bacterial species involved in wine and cider making. It develops
after the yeast-driven alcoholic fermentation and performs the malolactic
fermentation, which improves the taste and aromatic complexity of most wines.
Here, we have evaluated the diversity and specificity of O. oeni strains in six
regions. A total of 235 wines and ciders were collected during spontaneous
malolactic fermentations and used to isolate 3,212 bacterial colonies. They were
typed by multilocus variable analysis, which disclosed a total of 514 O. oeni
strains. Their phylogenetic relationships were evaluated by a second typing
method based on single nucleotide polymorphism (SNP) analysis. Taken together,
the results indicate that each region holds a high diversity of strains that
constitute a unique population. However, strains present in each region belong to
diverse phylogenetic groups, and the same groups can be detected in different
regions, indicating that strains are not genetically adapted to regions. In
contrast, greater strain identity was seen for cider, white wine, or red wine of
Burgundy, suggesting that genetic adaptation to these products occurred.
IMPORTANCE: This study reports the isolation, genotyping, and geographic
distribution analysis of the largest collection of O. oeni strains performed to
date. It reveals that there is very high diversity of strains in each region, the
majority of them being detected in a single region. The study also reports the
development of an SNP genotyping method that is useful for analyzing the
distribution of O. oeni phylogroups. The results show that strains are not
genetically adapted to regions but to specific types of wines. They reveal new
phylogroups of strains, particularly two phylogroups associated with white wines
and red wines of Burgundy. Taken together, the results shed light on the
diversity and specificity of wild strains of O. oeni, which is crucial for
understanding their real contribution to the unique properties of wines.
PMID- 27864169
TI - Increasing the Thermostable Sugar-1-Phosphate Nucleotidylyltransferase Activities
of the Archaeal ST0452 Protein through Site Saturation Mutagenesis of the 97th
Amino Acid Position.
AB - : The ST0452 protein is a bifunctional protein exhibiting sugar-1-phosphate
nucleotidylyltransferase (sugar-1-P NTase) and amino-sugar-1-phosphate
acetyltransferase activities and was isolated from the thermophilic archaeon
Sulfolobus tokodaii Based on the previous observation that five single mutations
increased ST0452 sugar-1-P NTase activity, nine double-mutant ST0452 proteins
were generated with the intent of obtaining enzymes exhibiting a further increase
in catalysis, but all showed less than 15% of the wild-type N-acetyl-d
glucosamine-1-phosphate uridyltransferase (GlcNAc-1-P UTase) activity. The Y97A
mutant exhibited the highest activity of the single-mutant proteins, and thus
site saturation mutagenesis of the 97th position (Tyr) was conducted. Six mutants
showed both increased GlcNAc-1-P UTase and glucose-1-phosphate uridyltransferase
activities, eight mutants showed only enhanced GlcNAc-1-P UTase activity, and six
exhibited higher GlcNAc-1-P UTase activity than that of the Y97A mutant. Kinetic
analyses of three typical mutants indicated that the increase in sugar-1-P NTase
activity was mainly due to an increase in the apparent kcat value. We
hypothesized that changing the 97th position (Tyr) to a smaller amino acid with
similar electronic properties would increase activity, and thus the Tyr at the
corresponding 103rd position of the Escherichia coli GlmU (EcGlmU) enzyme was
replaced with the same residues. The Y103N mutant EcGlmU showed increased GlcNAc
1-P UTase activity, revealing that the Tyr at the 97th position of the ST0452
protein (103rd position in EcGlmU) plays an important role in catalysis. The
present results provide useful information regarding how to improve the activity
of natural enzymes and how to generate powerful enzymes for the industrial
production of sugar nucleotides. IMPORTANCE: It is typically difficult to
increase enzymatic activity by introducing substitutions into a natural enzyme.
However, it was previously found that the ST0452 protein, a thermostable enzyme
from the thermophilic archaeon Sulfolobus tokodaii, exhibited increased activity
following single amino acid substitutions of Ala. In this study, ST0452 proteins
exhibiting a further increase in activity were created using a site saturation
mutagenesis strategy at the 97th position. Kinetic analyses showed that the
increased activities of the mutant proteins were principally due to increased
apparent kcat values. These mutant proteins might suggest clues regarding the
mechanism underlying the reaction process and provide very important information
for the design of synthetic improved enzymes, and they can be used as powerful
biocatalysts for the production of sugar nucleotide molecules. Moreover, this
work generated useful proteins for three-dimensional structural analysis
clarifying the processes underlying the regulation and mechanism of enzymatic
activity.
PMID- 27864170
TI - Control of Biofilm Formation: Antibiotics and Beyond.
AB - Biofilm-associated bacteria are less sensitive to antibiotics than free-living
(planktonic) cells. Furthermore, with variations in the concentration of
antibiotics throughout a biofilm, microbial cells are often exposed to levels
below inhibitory concentrations and may develop resistance. This, as well as the
irresponsible use of antibiotics, leads to the selection of pathogens that are
difficult to eradicate. The Centers for Disease Control and Prevention use the
terms "antibiotic" and "antimicrobial agent" interchangeably. However, a clear
distinction between these two terms is required for the purpose of this
assessment. Therefore, we define "antibiotics" as pharmaceutically formulated and
medically administered substances and "antimicrobials" as a broad category of
substances which are not regulated as drugs. This comprehensive minireview
evaluates the effect of natural antimicrobials on pathogens in biofilms when used
instead of, or in combination with, commonly prescribed antibiotics.
PMID- 27864171
TI - Mitochondrial Superoxide Dismutase and Yap1p Act as a Signaling Module
Contributing to Ethanol Tolerance of the Yeast Saccharomyces cerevisiae.
AB - : There are two superoxide dismutases in the yeast Saccharomyces cerevisiae
cytoplasmic and mitochondrial enzymes. Inactivation of the cytoplasmic enzyme,
Sod1p, renders the cells sensitive to a variety of stresses, while inactivation
of the mitochondrial isoform, Sod2p, typically has a weaker effect. One exception
is ethanol-induced stress. Here we studied the role of Sod2p in ethanol tolerance
of yeast. First, we found that repression of SOD2 prevents ethanol-induced
relocalization of yeast hydrogen peroxide-sensing transcription factor Yap1p, one
of the key stress resistance proteins. In agreement with this, the levels of
Trx2p and Gsh1p, proteins encoded by Yap1 target genes, were decreased in the
absence of Sod2p. Analysis of the ethanol sensitivities of the cells lacking
Sod2p, Yap1p, or both indicated that the two proteins act in the same pathway.
Moreover, preconditioning with hydrogen peroxide restored the ethanol resistance
of yeast cells with repressed SOD2 Interestingly, we found that mitochondrion-to
nucleus signaling by Rtg proteins antagonizes Yap1p activation. Together, our
data suggest that hydrogen peroxide produced by Sod2p activates Yap1p and thus
plays a signaling role in ethanol tolerance. IMPORTANCE: Baker's yeast harbors
multiple systems that ensure tolerance to high concentrations of ethanol. Still,
the role of mitochondria under severe ethanol stress in yeast is not completely
clear. Our study revealed a signaling function of mitochondria which contributes
significantly to the ethanol tolerance of yeast cells. We found that
mitochondrial superoxide dismutase Sod2p and cytoplasmic hydrogen peroxide sensor
Yap1p act together as a module of the mitochondrion-to-nucleus signaling pathway.
We also report cross talk between this pathway and the conventional retrograde
signaling cascade activated by dysfunctional mitochondria.
PMID- 27864172
TI - The EbpA-RpoN Regulatory Pathway of the Pathogen Leptospira interrogans Is
Essential for Survival in the Environment.
AB - Leptospira interrogans is the agent of leptospirosis, a reemerging zoonotic
disease. It is transmitted to humans through environmental surface waters
contaminated by the urine of mammals chronically infected by pathogenic strains
able to survive in water for long periods. Little is known about the regulatory
pathways underlying environmental sensing and host adaptation of L. interrogans
during its enzootic cycle. This study identifies the EbpA-RpoN regulatory pathway
in L. interrogans In this pathway, EbpA, a sigma54 activator and putative
prokaryotic enhancer-binding protein (EBP), and the alternative sigma factor RpoN
(sigma54) control expression of at least three genes, encoding AmtB (an ammonium
transport protein) and two proteins of unknown function. Electrophoresis mobility
shift assay demonstrated that recombinant RpoN and EbpA bind to the promoter
region and upstream of these three identified genes, respectively. Genetic
disruption of ebpA in L. interrogans serovar Manilae virtually abolished
expression of the three genes, including amtB in two independent ebpA mutants.
Complementation of the ebpA mutant restored expression of these genes.
Intraperitoneal inoculation of gerbils with the ebpA mutant did not affect
mortality. However, the ebpA mutant had decreased cell length in vitro and had a
significantly lowered cell density at stationary phase when grown with l-alanine
as the sole nitrogen source. Furthermore, the ebpA mutant has dramatically
reduced long-term survival ability in water. Together, these studies identify a
regulatory pathway, the EbpA-RpoN pathway, that plays an important role in the
zoonotic cycle of L. interrogans IMPORTANCE: Leptospirosis is a reemerging
disease with global importance. However, our understanding of gene regulation of
the spirochetal pathogen Leptospira interrogans is still in its infancy, largely
due to the lack of robust tools for genetic manipulation of this spirochete.
Little is known about how the pathogen achieves its long-term survival in the
aquatic environment. By utilizing bioinformatic, genetic, and biochemical
methods, we discovered a regulatory pathway in L. interrogans, the EbpA-RpoN
pathway, and demonstrated that this pathway plays an important role in
environmental survival of this pathogen.
PMID- 27864173
TI - Salad Leaf Juices Enhance Salmonella Growth, Colonization of Fresh Produce, and
Virulence.
AB - : We show in this report that traces of juices released from salad leaves as they
become damaged can significantly enhance colonization of salad leaves by
Salmonella enterica Salad juices in water increased Salmonella growth by 110%
over the level seen with the unsupplemented control and in host-like serum-based
media by more than 2,400-fold over control levels. In serum-based media, salad
juices induced growth of Salmonella via provision of Fe from transferrin, and
siderophore production was found to be integral to the growth induction process.
Other aspects relevant to salad leaf colonization and retention were enhanced,
such as motility and biofilm formation, which were increased over control levels
by >220% and 250%, respectively; direct attachment to salad leaves increased by
>350% when a salad leaf juice was present. In terms of growth and biofilm
formation, the endogenous salad leaf microbiota was largely unresponsive to leaf
juice, suggesting that Salmonella gains a marked growth advantage from fluids
released by salad leaf damage. Salad leaf juices also enhanced pathogen
attachment to the salad bag plastic. Over 5 days of refrigeration (a typical
storage time for bagged salad leaves), even traces of juice within the salad bag
fluids increased Salmonella growth in water by up to 280-fold over control
cultures, as well as enhancing salad bag colonization, which could be an
unappreciated factor in retention of pathogens in fresh produce. Collectively,
the study data show that exposure to salad leaf juice may contribute to the
persistence of Salmonella on salad leaves and strongly emphasize the importance
of ensuring the microbiological safety of fresh produce. IMPORTANCE: Salad leaves
are an important part of a healthy diet but have been associated in recent years
with a growing risk of food poisoning from bacterial pathogens such as Salmonella
enterica Although this is considered a significant public health problem, very
little is known about the behavior of Salmonella in the actual salad bag. We show
that juices released from the cut ends of the salad leaves enabled the Salmonella
cells to grow in water, even when it was refrigerated. Salad juice exposure also
helped the Salmonella cells to attach to the salad leaves so strongly that
washing could not remove them. Collectively, the results presented in this report
show that exposure to even traces of salad leaf juice may contribute to the
persistence of Salmonella on salad leaves as well as priming it for establishing
an infection in the consumer.
PMID- 27864175
TI - The Acceptor Side of Photosystem II Is the Initial Target of Nitrite Stress in
Synechocystis sp. Strain PCC 6803.
AB - Nitrite, a common form of inorganic nitrogen (N), can be used as a nitrogen
source through N assimilation. However, high levels of nitrite depress
photosynthesis in various organisms. In this study, we investigated which
components of the photosynthetic electron transfer chain are targeted by nitrite
stress in Synechocystis sp. strain PCC 6803 cells. Measurements of whole-chain
and photosystem II (PSII)-mediated electron transport activities revealed that
high levels of nitrite primarily impair electron flow in PSII. Changes in PSII
activity in response to nitrite stress occurred in two distinct phases. During
the first phase, which occurred in the first 3 h of nitrite treatment, electron
transfer from the primary quinone acceptor (QA) to the secondary quinone acceptor
(QB) was retarded, as indicated by chlorophyll (Chl) a fluorescence induction, S
state distribution, and QA- reoxidation tests. In the second phase, which
occurred after 6 h of nitrite exposure, the reaction center was inactivated and
the donor side of photosystem II was inhibited, as revealed by changes in Chl
fluorescence parameters and thermoluminescence and by immunoblot analysis. Our
data suggest that nitrite stress is highly damaging to PSII and disrupts PSII
activity by a stepwise mechanism in which the acceptor side is the initial
target. IMPORTANCE In our previous studies, an alga-based technology was proposed
to fix the large amounts of nitrite that are released from NOX-rich flue gases
and proved to be a promising industrial strategy for flue gas NOX bioremediation
(W. Chen et al., Environ Sci Technol 50:1620-1627, 2016,
https://doi.org/10.1021/acs.est.5b04696; X. Zhang et al., Environ Sci Technol
48:10497-10504, 2014, https://doi.org/10.1021/es5013824). However, the toxic
effects of high concentrations of nitrite on algal cells remain obscure. The
analysis of growth rates, photochemistry, and protein profiles in our study
provides important evidence that the inhibition by nitrite occurs in two phases:
in the first phase, electron transfer between QA- and QB is retarded, whereas in
the second, the donor side of PSII is affected. This is an excellent example of
investigating the "early" inhibitory effects (i.e., within the first 6 h) on the
PSII electron transfer chain in vivo This paper provides novel insights into the
mechanisms of nitrite inhibition of photosynthesis in an oxygenic phototrophic
cyanobacterium.
PMID- 27864174
TI - Multilocus Sequence Analysis of Phylogroup 1 and 2 Oral Treponeme Strains.
AB - : More than 75 "species-level" phylotypes of spirochete bacteria belonging to the
genus Treponema reside within the human oral cavity. The majority of these oral
treponeme phylotypes correspond to as-yet-uncultivated taxa or strains of
uncertain standing in taxonomy. Here, we analyze phylogenetic and taxonomic
relationships between oral treponeme strains using a multilocus sequence analysis
(MLSA) scheme based on the highly conserved 16S rRNA, pyrH, recA, and flaA genes.
We utilized this MLSA scheme to analyze genetic data from a curated collection of
oral treponeme strains (n = 71) of diverse geographical origins. This comprises
phylogroup 1 (n = 23) and phylogroup 2 (n = 48) treponeme strains, including all
relevant American Type Culture Collection reference strains. The taxonomy of all
strains was confirmed or inferred via the analysis of ca. 1,450-bp 16S rRNA gene
sequences using a combination of bioinformatic and phylogenetic approaches.
Taxonomic and phylogenetic relationships between the respective treponeme strains
were further investigated by analyzing individual and concatenated flaA (1,074
nucleotide [nt]), recA (1,377-nt), and pyrH (696-nt) gene sequence data sets. Our
data confirmed the species differentiation between Treponema denticola (n = 41)
and Treponema putidum (n = 7) strains. Notably, our results clearly supported the
differentiation of the 23 phylogroup 1 treponeme strains into five distinct
"species-level" phylotypes. These respectively corresponded to "Treponema
vincentii" (n = 11), Treponema medium (n = 1), "Treponema sinensis" (Treponema
sp. IA; n = 4), Treponema sp. IB (n = 3), and Treponema sp. IC (n = 4). In
conclusion, our MLSA-based approach can be used to effectively discriminate oral
treponeme taxa, confirm taxonomic assignment, and enable the delineation of
species boundaries with high confidence. IMPORTANCE: Periodontal diseases are
caused by persistent polymicrobial biofilm infections of the gums and underlying
tooth-supporting structures and have a complex and variable etiology. Although
Treponema denticola is strongly associated with periodontal diseases, the
etiological roles of other treponeme species/phylotypes are less well defined.
This is due to a paucity of formal species descriptions and a poor understanding
of genetic relationships between oral treponeme taxa. Our study directly
addresses these issues. It represents one of the most comprehensive analyses of
oral treponeme strains performed to date, including isolates from North America,
Europe, and Asia. We envisage that our results will greatly facilitate future
metagenomic efforts aimed at characterizing the clinical distributions of oral
treponeme species/phylotypes, helping investigators to establish a more detailed
understanding of their etiological roles in periodontal diseases and other
infectious diseases. Our results are also directly relevant to various
polymicrobial tissue infections in animals, which also involve treponeme
populations.
PMID- 27864176
TI - Insights into the Biosynthesis of Duramycin.
AB - : Lantibiotics are ribosomally synthesized and posttranslationally modified
antimicrobial peptides that are characterized by the thioether cross-linked
bisamino acids lanthionine (Lan) and methyllanthionine (MeLan). Duramycin
contains 19 amino acids, including one Lan and two MeLans, an unusual
lysinoalanine (Lal) bridge formed from the epsilon-amino group of lysine 19 and a
serine residue at position 6, and an erythro-3-hydroxy-l-aspartic acid at
position 15. These modifications are important for the interactions of duramycin
with its biological target, phosphatidylethanolamine (PE). Based on the binding
affinity and specificity for PE, duramycin has been investigated as a potential
therapeutic, as a molecular probe to investigate the role and localization of PE
in biological systems, and to block viral entry into mammalian cells. In this
study, we identified the duramycin biosynthetic gene cluster by genome sequencing
of Streptomyces cinnamoneus ATCC 12686 and investigated the dur biosynthetic
machinery by heterologous expression in Escherichia coli In addition, the analog
duramycin C, containing six amino acid changes compared to duramycin, was
successfully generated in E. coli The substrate recognition motif of DurX, an
alpha-ketoglutarate/iron(II)-dependent hydroxylase that carries out the
hydroxylation of aspartate 15 of the precursor peptide DurA, was also
investigated using mutagenesis of the DurA peptide. Both in vivo and in vitro
results demonstrated that Gly16 is important for DurX activity. IMPORTANCE:
Duramycin is a natural product produced by certain bacteria that binds to
phosphatidylethanolamine (PE). Because PE is involved in many cellular processes,
duramycin is an antibiotic that kills bacteria, but it has also been used as a
molecular probe to detect PE and monitor its localization in mammalian cells and
even whole organisms, and it was recently shown to display broad-spectrum
inhibition of viral entry into host cells. In addition, the molecule has been
evaluated as treatment for cystic fibrosis. We report here the genes that are
involved in duramycin biosynthesis, and we produced duramycin by expressing those
genes in Escherichia coli We show that duramycin analogs can also be produced.
The ability to access duramycin and analogs by production in E. coli opens
opportunities to improve duramycin as an antibiotic, PE probe, antiviral, or
cystic fibrosis therapeutic.
PMID- 27864177
TI - Histone Deacetylase HDA-2 Regulates Trichoderma atroviride Growth, Conidiation,
Blue Light Perception, and Oxidative Stress Responses.
AB - : Fungal blue-light photoreceptors have been proposed as integrators of light and
oxidative stress. However, additional elements participating in the integrative
pathway remain to be identified. In Trichoderma atroviride, the blue-light
regulator (BLR) proteins BLR-1 and -2 are known to regulate gene transcription,
mycelial growth, and asexual development upon illumination, and recent global
transcriptional analysis revealed that the histone deacetylase-encoding gene hda
2 is induced by light. Here, by assessing responses to stimuli in wild-type and
Deltahda-2 backgrounds, we evaluate the role of HDA-2 in the regulation of genes
responsive to light and oxidative stress. Deltahda-2 strains present reduced
growth, misregulation of the con-1 gene, and absence of conidia in response to
light and mechanical injury. We found that the expression of hda-2 is BLR-1
dependent and HDA-2 in turn is essential for the transcription of early and late
light-responsive genes that include blr-1, indicating a regulatory feedback loop.
When subjected to reactive oxygen species (ROS), Deltahda-2 mutants display high
sensitivity whereas Deltablr strains exhibit the opposite phenotype.
Consistently, in the presence of ROS, ROS-related genes show high transcription
levels in wild-type and Deltablr strains but misregulation in Deltahda-2 mutants.
Finally, chromatin immunoprecipitations of histone H3 acetylated at Lys9/Lys14 on
cat-3 and gst-1 promoters display low accumulation of H3K9K14ac in Deltablr and
Deltahda-2 strains, suggesting indirect regulation of ROS-related genes by HDA-2.
Our results point to a mutual dependence between HDA-2 and BLR proteins and
reveal the role of these proteins in an intricate gene regulation landscape in
response to blue light and ROS. IMPORTANCE: Trichoderma atroviride is a free
living fungus commonly found in soil or colonizing plant roots and is widely used
as an agent in biocontrol as it parasitizes other fungi, stimulates plant growth,
and induces the plant defense system. To survive in various environments, fungi
constantly sense and respond to potentially threatening external factors, such as
light. In particular, UV light can damage biomolecules by producing free-radical
reactions, in most cases involving reactive oxygen species (ROS). In T.
atroviride, conidiation is essential for its survival, which is induced by light
and mechanical injury. Notably, conidia are typically used as the inoculum in the
field during biocontrol. Therefore, understanding the linkages between responses
to light and exposure to ROS in T. atroviride is of major basic and practical
relevance. Here, the histone deacetylase-encoding gene hda-2 is induced by light
and ROS, and its product regulates growth, conidiation, blue light perception,
and oxidative stress responses.
PMID- 27864180
TI - Alison Mary Bangham.
PMID- 27864179
TI - Prevalence of Antibiotic Resistance Genes among Human Gut-Derived Bifidobacteria.
AB - : The microbiota of the human gastrointestinal tract (GIT) may regularly be
exposed to antibiotics, which are used to prevent and treat infectious diseases
caused by bacteria and fungi. Bacterial communities of the gut retain a reservoir
of antibiotic resistance (AR) genes, and antibiotic therapy thus positively
selects for those microorganisms that harbor such genetic features, causing
microbiota modulation. During the first months following birth, bifidobacteria
represent some of the most dominant components of the human gut microbiota,
although little is known about their AR gene complement (or resistome). In the
current study, we assessed the resistome of the Bifidobacterium genus based on
phenotypic and genotypic data of members that represent all currently recognized
bifidobacterial (sub)species. Moreover, a comparison between the bifidobacterial
resistome and gut metagenome data sets from adults and infants shows that the
bifidobacterial community present at the first week following birth possesses a
reduced AR arsenal compared to that present in the infant bifidobacterial
population in subsequent weeks of the first year of life. Our findings reinforce
the concept that the early infant gut microbiota is more susceptible to
disturbances by antibiotic treatment than the gut microbiota developed at a later
life stage. IMPORTANCE: The spread of resistance to antibiotics among bacterial
communities has represented a major concern since their discovery in the last
century. The risk of genetic transfer of resistance genes between microorganisms
has been extensively investigated due to its relevance to human health. In
contrast, there is only limited information available on antibiotic resistance
among human gut commensal microorganisms such as bifidobacteria, which are widely
exploited by the food industry as health-promoting microorganisms or probiotic
ingredients. In the current study, we explored the occurrence of antibiotic
resistance genes in the genomes of bifidobacteria and evaluated their genetic
mobility to other human gut commensal microorganisms.
PMID- 27864181
TI - David Davin.
PMID- 27864178
TI - Microbial Nursery Production of High-Quality Biological Soil Crust Biomass for
Restoration of Degraded Dryland Soils.
AB - Biological soil crusts (biocrusts) are slow-growing, phototroph-based microbial
assemblages that develop on the topsoils of drylands. Biocrusts help maintain
soil fertility and reduce erosion. Because their loss through human activities
has negative ecological and environmental health consequences, biocrust
restoration is of interest. Active soil inoculation with biocrust microorganisms
can be an important tool in this endeavor. We present a culture-independent, two
step process to grow multispecies biocrusts in open greenhouse nursery
facilities, based on the inoculation of local soils with local biocrust remnants
and incubation under seminatural conditions that maintain the essence of the
habitat but lessen its harshness. In each of four U.S. Southwest sites, we tested
and deployed combinations of factors that maximized growth (gauged as chlorophyll
a content) while minimizing microbial community shifts (assessed by 16S rRNA
sequencing and bioinformatics), particularly for crust-forming cyanobacteria.
Generally, doubling the frequency of natural wetting events, a 60% reduction in
sunlight, and inoculation by slurry were optimal. Nutrient addition effects were
site specific. In 4 months, our approach yielded crusts of high inoculum quality
reared on local soil exposed to locally matched climates, acclimated to
desiccation, and containing communities minimally shifted in composition from
local ones. Our inoculum contained abundant crust-forming cyanobacteria and no
significant numbers of allochthonous phototrophs, and it was sufficient to treat
ca. 6,000 m2 of degraded dryland soils at 1 to 5% of the typical crust biomass
concentration, having started from a natural crust remnant as small as 6 to 30
cm2 IMPORTANCE: Soil surface crusts can protect dryland soils from erosion, but
they are often negatively impacted by human activities. Their degradation causes
a loss of fertility, increased production of fugitive dust and intensity of dust
storms with associated traffic problems, and provokes general public health
hazards. Our results constitute an advance in the quest to actively restore
biological soil covers by providing a means to obtain high-quality inoculum
within a reasonable time (a few months), thereby allowing land managers to
recover essential, but damaged, ecosystem services in a sustainable, self
perpetuating way as provided by biocrust communities.
PMID- 27864182
TI - Pleuromediastinal Epithelial-Myoepithelial Carcinomas: A Clinicopathologic and
Immunohistochemical Study of Two Cases.
AB - OBJECTIVES: Two cases of epithelial-myoepithelial carcinoma are presented, one
arising in the pleura and the other in the anterior mediastinum. The cases
represent a unique distribution of these tumors within the thoracic cavity.
METHODS: Both patients were men aged 25 and 68 years, respectively, who had chest
pain and dyspnea. Imaging revealed an anterior mediastinal mass in one patient
and a pleural-based tumor in the other. Neither patient had any history of
malignancy, and physical examination did not disclose any tumor elsewhere.
RESULTS: Initial biopsy specimens were inconclusive, and final diagnosis was
deferred to surgical resection performed via median sternotomy and thoracotomy,
respectively. Histologically, the lesions were characterized by epithelial and
myoepithelial elements distributed as discrete tubules or duct-like structures or
sheets of neoplastic cells. Immunohistochemistry showed positive staining for
cytokeratin and epithelial membrane antigen in the epithelial component, while
S100 protein and smooth muscle actin were expressed in the myoepithelial
elements. Follow-up showed that both patients were alive 6 and 12 months after
surgery, respectively. CONCLUSIONS: These cases highlight the ubiquitous
distribution of salivary gland-type tumors in the thoracic cavity. These tumors
should be included in the differential diagnosis of thoracic neoplasms also in
locations other than the lung.
PMID- 27864183
TI - County-Level Variation in Cardiovascular Disease Mortality in the United States
in 2009-2013: Comparative Assessment of Contributing Factors.
AB - We examined factors responsible for variation in cardiovascular disease (CVD)
mortality across US counties in 2009-2013. We linked county-level census, survey,
administrative, and vital statistics data to examine 4 sets of features:
demographic factors, social and economic factors, health-care utilization and
features of the environment, and population health indicators. County-level
associations of these features (standardized to a mean of 0 with a standard
deviation of 1) with cardiovascular deaths per 100,000 person-years among adults
aged 45-74 years was modeled using 2-level hierarchical linear regression with
random intercept for state. The percentage of CVD mortality variation
(intercounty disparity) modeled by each set of features was quantified.
Demographic composition accounted for 36% of county CVD mortality variation, and
another 32% was explained after inclusion of economic/social conditions. Health
care utilization, features of the environment, and health indicators explained an
additional 6% of CVD mortality variation. The largest contributors to CVD
mortality levels were median income (-23.61 deaths/100,000 person-years, 95% CI:
26.95, -20.26) and percentage without a high school education (20.71
deaths/100,000 person-years, 95% CI: 16.48, 24.94). In comparison, the largest
health-related contributors were health-care utilization (19.35 deaths/100,000
person-years, 95% CI: 16.36, 22.34) and CVD risk factors (4.80 deaths/100,000
person-years, 95% CI: 2.14, 7.46). Improving health-care access and decreasing
the prevalence of traditional CVD risk factors may reduce county CVD mortality
levels, but improving socioeconomic circumstances of disadvantaged counties will
be required in order to reduce CVD mortality disparities across counties.
PMID- 27864184
TI - Surgical Versus Nonsurgical Treatment for Midshaft Clavicle Fractures in Patients
Aged 16 Years and Older: A Systematic Review, Meta-analysis, and Comparison of
Randomized Controlled Trials and Observational Studies.
AB - BACKGROUND: There is no consensus on the choice of treatment of midshaft clavicle
fractures (MCFs). PURPOSE: The aims of this systematic review and meta-analysis
were (1) to compare fracture healing disorders and functional outcomes of
surgical versus nonsurgical treatment of MCFs and (2) to compare effect estimates
obtained from randomized controlled trials (RCTs) and observational studies.
STUDY DESIGN: Systematic review and meta-analysis. METHODS: The PubMed/MEDLINE,
Embase, CENTRAL, and CINAHL databases were searched for both RCTs and
observational studies. Using the MINORS instrument, all included studies were
assessed on their methodological quality. The primary outcome was a nonunion.
Effects of surgical versus nonsurgical treatment were estimated using random
effects meta-analysis models. RESULTS: A total of 20 studies were included, of
which 8 were RCTs and 12 were observational studies including 1760 patients.
Results were similar across the different study designs. A meta-analysis of 19
studies revealed that nonunions were significantly less common after surgical
treatment than after nonsurgical treatment (odds ratio [OR], 0.18 [95% CI, 0.10
0.33]). The risk of malunions did not differ between surgical and nonsurgical
treatment (OR, 0.38 [95% CI, 0.12-1.19]). Both the long-term Disabilities of the
Arm, Shoulder and Hand (DASH) and Constant-Murley scores favored surgical
treatment (DASH: mean difference [MD], -2.04 [95% CI, -3.56 to -0.52]; Constant
Murley: MD, 3.23 [95% CI, 1.52 to 4.95]). No differences were observed regarding
revision surgery (OR, 0.85 [95% CI, 0.42-1.73]). Including only high-quality
studies, both the number of malunions and days to return to work show significant
differences in favor of surgical treatment (malunions: OR, 0.26 [95% CI, 0.07 to
0.92]; return to work: MD, -8.64 [95% CI, -16.22 to -1.05]). CONCLUSION: This
meta-analysis of high-quality studies showed that surgical treatment of MCFs
results in fewer nonunions, fewer malunions, and an accelerated return to work
compared with nonsurgical treatment. A meta-analysis of surgical treatments need
not be restricted to randomized trials, provided that the included observational
studies are of high quality.
PMID- 27864185
TI - The Pediatric International Knee Documentation Committee (Pedi-IKDC) Subjective
Knee Evaluation Form: Normative Data.
AB - BACKGROUND: Children are participating in sports at an increasingly younger age,
which has contributed to an increased incidence of knee injuries among this
population. Because of increased interest in the application of patient-reported
outcome measures in orthopaedic surgery to evaluate treatment results, numerous
knee outcome scores, including the International Knee Documentation Committee
Subjective Form (IKDC), have been used to evaluate the knee. Although the IKDC
has been validated only in adults, it is also being used for children because of
a lack of appropriate outcome scores in the pediatric population. To address this
concern, a pediatric version of the IKDC (Pedi-IKDC) was developed and evaluated
for reliability, validity, and responsiveness. However, normative data for the
Pedi-IKDC have not been established. PURPOSE: We aimed to aid the interpretation
of Pedi-IKDC scores by characterizing normative data in children and adolescents
and to validate the Pedi-IKDC by examining differences in scores among children
who had a history of knee surgery or limited activity compared with those who did
not. STUDY DESIGN: Cross-sectional study; Level of evidence, 3. METHODS: We
conducted a cross-sectional survey of 2000 children and adolescents aged 10 to 18
years living in the United States, who were recruited by ORC International to
obtain equal numbers of participants by sex and by integer age group.
Participants were asked to complete the Pedi-IKDC for 1 study-designated "index"
knee (right or left) and to provide demographic data and information on their
knee surgery history and recent (4-week) activity limitations. Raw Pedi-IKDC
total scores were rescaled to a 0 to 100 scale. We used nonparametric Wilcoxon or
Kruskal-Wallis tests to compare subgroup scores, and we used the van Elteren test
to adjust for age. Unadjusted and adjusted P values were similar, and only
unadjusted values are reported. RESULTS: The number of respondents (N = 2000) was
uniform with respect to age and sex, with 11% in each age represented (10-18
years). Fifty percent of respondents were female. Forty-nine states plus
Washington, DC, were represented. In addition, 68% and 86% of respondents
identified themselves as white and non-Hispanic, respectively. Seven percent of
respondents (n = 136) respondents reported having prior surgery in 1 or both
knees; 4% of these surgeries (n = 79) were in the index knee. The Pedi-IKDC score
distribution was skewed left (mean +/- SD score, 86.7 +/- 16.8; median, 94.6) and
34% of scores reached the ceiling value of 100. Participants who reported prior
surgery or limited activity in the index knee had median Pedi-IKDC scores that
were approximately 25 points lower than participants without these histories ( P
< .0001 for both comparisons). In contrast, although it was statistically
significant, the variation by age ( P = .02), race ( P = .02), ethnicity ( P =
.01), and level of sports/exercise participation ( P = .04) was much smaller (all
ranges of median scores <4.5). There were no significant differences in scores in
terms of respondent sex or geographic region. CONCLUSION: Normative Pedi-IKDC
scores were determined in this study. The strong association between Pedi-IKDC
scores and prior knee surgery as well as recent activity limitations in the index
knee can be used to evaluate clinical outcomes and supports the construct
validity of the Pedi-IKDC. There was a large ceiling effect, with 34% of scores
at the maximum value of 100. The lack of a sex-based effect and the minor
variation with age both simplify the interpretation and use of the Pedi-IKDC.
Therefore, Pedi-IKDC score distributions can provide assumptions for use in
sample size or power calculations for research.
PMID- 27864187
TI - Experts weigh minimum naloxone dose as opioid crisis evolves.
PMID- 27864188
TI - FDA approves new naloxone strength.
PMID- 27864189
TI - Hospital's team effort helps to save boy from deadly amoebic infection.
PMID- 27864186
TI - Does Industry-Driven Alcohol Marketing Influence Adolescent Drinking Behaviour? A
Systematic Review.
AB - AIM: To systematically review evidence on the influence of specific marketing
components (Price, Promotion, Product attributes and Place of sale/availability)
on key drinking outcomes (initiation, continuation, frequency and intensity) in
young people aged 9-17. METHODS: MEDLINE, EMBASE, SCOPUS, PsychINFO, CINAHL and
ProQuest were searched from inception to July 2015, supplemented with searches of
Google Scholar, hand searches of key journals and backward and forward citation
searches of reference lists of identified papers. RESULTS: Forty-eight papers
covering 35 unique studies met inclusion criteria. Authors tended to report that
greater exposure to alcohol marketing impacted on drinking initiation,
continuation, frequency and intensity during adolescence. Nevertheless, 23 (66%)
studies reported null results or negative associations, often in combination with
positive associations, resulting in mixed findings within and across studies.
Heterogeneity in study design, content and outcomes prevented estimation of
effect sizes or exploration of variation between countries or age subgroups. The
strength of the evidence base differed according to type of marketing exposure
and drinking outcome studied, with support for an association between alcohol
promotion (mainly advertising) and drinking outcomes in adolescence, whilst only
two studies examined the relationship between alcohol price and the drinking
behaviour of those under the age of 18. CONCLUSION: Despite the volume of work,
evidence is inconclusive in all four areas of marketing but strongest for
promotional activity. Future research with standardized measures is needed to
build on this work and better inform interventions and policy responses.
PMID- 27864192
TI - California Medicaid to pay for five pharmacist services other than CMM.
PMID- 27864194
TI - Bezlotoxumab approved to prevent Clostridium difficile recurrence.
PMID- 27864195
TI - Summaries of safety labeling changes approved by FDA-boxed warnings highlights,
July-September 2016.
PMID- 27864196
TI - State affiliate initiative to advance ambulatory care practice.
PMID- 27864197
TI - Documentation of clinical interventions by pharmacy students and recent pharmacy
graduates.
PMID- 27864198
TI - Problems with the current approach to residency research.
PMID- 27864199
TI - Problems with the current approach to residency research.
PMID- 27864200
TI - Implementation of chronic care management services in primary care practice.
PMID- 27864201
TI - Precision medicine in oncology: New practice models and roles for oncology
pharmacists.
AB - PURPOSE: Three different precision medicine practice models developed by oncology
pharmacists are described, including strategies for implementation and
recommendations for educating the next generation of oncology pharmacy
practitioners. SUMMARY: Oncology is unique in that somatic mutations can both
drive the development of a tumor and serve as a therapeutic target for treating
the cancer. Precision medicine practice models are a forum through which
interprofessional teams, including pharmacists, discuss tumor somatic mutations
to guide patient-specific treatment. The University of Wisconsin, Indiana
University, and Moffit Cancer Center have implemented precision medicine practice
models developed and led by oncology pharmacists. Different practice models,
including a clinic, a clinical consultation service, and a molecular tumor board
(MTB), were adopted to enhance integration into health systems and payment
structures. Although the practice models vary, commonalities of three models
include leadership by the clinical pharmacist, specific therapeutic
recommendations, procurement of medications for off-label use, and a research
component. These three practice models function as interprofessional training
sites for pharmacy and medical students and residents, providing an important
training resource at these institutions. Key implementation strategies include
interprofessional involvement, institutional support, integration into clinical
workflow, and selection of model by payer mix. CONCLUSION: MTBs are a pathway for
clinical implementation of genomic medicine in oncology and are an emerging
practice model for oncology pharmacists. Because pharmacists must be prepared to
participate fully in contemporary practice, oncology pharmacy residents must be
trained in genomic oncology, schools of pharmacy should expand precision medicine
and genomics education, and opportunities for continuing education in precision
medicine should be made available to practicing pharmacists.
PMID- 27864203
TI - Implementation of a multidisciplinary pharmacogenomics clinic in a community
health system.
AB - PURPOSE: The development and implementation of a multidisciplinary
pharmacogenomics clinic within the framework of an established community-based
medical genetics program are described. SUMMARY: Pharmacogenomics is an important
component of precision medicine that holds considerable promise for
pharmacotherapy optimization. As part of the development of a health system-wide
integrated pharmacogenomics program, in early 2015 Northshore University Health
System established a pharmacogenomics clinic run by a multidisciplinary team
including a medical geneticist, a pharmacist, a nurse practitioner, and genetic
counselors. The team identified five key program elements: (1) a billable-service
provider, (2) a process for documentation of relevant medication and family
histories, (3) personnel with the knowledge required to interpret pharmacogenomic
results, (4) personnel to discuss risks, benefits, and limitations of
pharmacogenomic testing, and (5) a mechanism for reporting results. The most
important program component is expert interpretation of genetic test results to
provide clinically useful information; pharmacists are well positioned to provide
that expertise. At the Northshore University HealthSystem pharmacogenomics
clinic, patient encounters typically entail two one-hour visits and follow a
standardized workflow. At the first visit, pharmacogenomics-focused medication
and family histories are obtained, risks and benefits of genetic testing are
explained, and a test sample is collected; at the second visit, test results are
provided along with evidence-based pharmacotherapy recommendations. CONCLUSION: A
multidisciplinary clinic providing genotyping and related services can facilitate
the integration of pharmacogenomics into clinical care and meet the needs of
early adopters of precision medicine.
PMID- 27864202
TI - Implementation of inpatient models of pharmacogenetics programs.
AB - PURPOSE: The operational elements essential for establishing an inpatient
pharmacogenetic service are reviewed, and the role of the pharmacist in the
provision of genotype-guided drug therapy in pharmacogenetics programs at three
institutions is highlighted. SUMMARY: Pharmacists are well positioned to assume
important roles in facilitating the clinical use of genetic information to
optimize drug therapy given their expertise in clinical pharmacology and
therapeutics. Pharmacists have assumed important roles in implementing inpatient
pharmacogenetics programs. This includes programs designed to incorporate genetic
test results to optimize antiplatelet drug selection after percutaneous coronary
intervention and personalize warfarin dosing. Pharmacist involvement occurs on
many levels, including championing and leading pharmacogenetics implementation
efforts, establishing clinical processes to support genotype-guided therapy,
assisting the clinical staff with interpreting genetic test results and applying
them to prescribing decisions, and educating other healthcare providers and
patients on genomic medicine. The three inpatient pharmacogenetics programs
described use reactive versus preemptive genotyping, the most feasible approach
under the current third-party payment structure. All three sites also follow
Clinical Pharmacogenetics Implementation Consortium guidelines for drug therapy
recommendations based on genetic test results. CONCLUSION: With the clinical
emergence of pharmacogenetics into the inpatient setting, it is important that
pharmacists caring for hospitalized patients are well prepared to serve as
experts in interpreting and applying genetic test results to guide drug therapy
decisions. Since genetic test results may not be available until after patient
discharge, pharmacists practicing in the ambulatory care setting should also be
prepared to assist with genotype-guided drug therapy as part of transitions in
care.
PMID- 27864204
TI - Integrating pharmacogenomics into electronic health records with clinical
decision support.
AB - PURPOSE: Existing pharmacogenomic informatics models, key implementation steps,
and emerging resources to facilitate the development of pharmacogenomic clinical
decision support (CDS) are described. SUMMARY: Pharmacogenomics is an important
component of precision medicine. Informatics, especially CDS in the electronic
health record (EHR), is a critical tool for the integration of pharmacogenomics
into routine patient care. Effective integration of pharmacogenomic CDS into the
EHR can address implementation challenges, including the increasing volume of
pharmacogenomic clinical knowledge, the enduring nature of pharmacogenomic test
results, and the complexity of interpreting results. Both passive and active CDS
provide point-of-care information to clinicians that can guide the systematic use
of pharmacogenomics to proactively optimize pharmacotherapy. Key considerations
for a successful implementation have been identified; these include clinical
workflows, identification of alert triggers, and tools to guide interpretation of
results. These considerations, along with emerging resources from the Clinical
Pharmacogenetics Implementation Consortium and the National Academy of Medicine,
are described. CONCLUSION: The EHR with CDS is essential to curate
pharmacogenomic data and disseminate patient-specific information at the point of
care. As part of the successful implementation of pharmacogenomics into clinical
settings, all relevant clinical recommendations pertaining to gene-drug pairs
must be summarized and presented to clinicians in a manner that is seamlessly
integrated into the clinical workflow of the EHR. In some situations, ancillary
systems and applications outside the EHR may be integrated to augment the
capabilities of the EHR.
PMID- 27864205
TI - Evidence and resources to implement pharmacogenetic knowledge for precision
medicine.
AB - PURPOSE: The current state of pharmacogenetic data curation and dissemination is
described, and evidence-based resources for applying pharmacogenetic data in
clinical practice are reviewed. SUMMARY: Implementation of pharmacogenetics in
clinical practice has been relatively slow despite substantial scientific
progress in understanding linkages between genetic variation and variability of
drug response and effect. One factor that has inhibited the adoption of genetic
data to guide medication use is a lack of knowledge of how to translate genetic
test results into clinical action based on currently available evidence. Other
implementation challenges include controversy over selection of appropriate
evidentiary thresholds for routine clinical implementation of pharmacogenetic
data and the difficulty of compiling scientific data to support clinical
recommendations given that large randomized controlled trials to demonstrate the
utility of pharmacogenetic testing are not feasible or are not considered
necessary to establish clinical utility. Organizations such as the Clinical
Pharmacogenetics Implementation Consortium (CPIC) and the Pharmacogenomics
Knowledgebase (PharmGKB) systematically evaluate emerging evidence of
pharmacogenomic linkages and publish evidence-based prescribing recommendations
to inform clinical practice. Both CPIC and PharmGKB provide online resources that
facilitate the interpretation of genetic test results and provide prescribing
recommendations for specific gene-drug pairs. CONCLUSION: Resources provided by
organizations such as CPIC and PharmGKB, which use standardized approaches to
evaluate the literature and provide clinical guidance for a growing number of
gene-drug pairs, are essential for the implementation of pharmacogenetics into
routine clinical practice.
PMID- 27864207
TI - Clinical and regulatory considerations in pharmacogenetic testing.
AB - PURPOSE: Both regulatory science and clinical practice rely on best available
scientific data to guide decision-making. However, changes in clinical practice
may be driven by numerous other factors such as cost. In this review, we
reexamine noteworthy examples where pharmacogenetic testing information was added
to drug labeling to explore how the available evidence, potential public health
impact, and predictive utility of each pharmacogenetic biomarker impacts clinical
uptake. SUMMARY: Advances in the field of pharmacogenetics have led to new
discoveries about the genetic basis for variability in drug response. The Food
and Drug Administration recognizes the value of pharmacogenetic testing
strategies and has been proactive about incorporating pharmacogenetic information
into the labeling of both new drugs and drugs already on the market. Although
some examples have readily translated to routine clinical practice, clinical
uptake of genetic testing for many drugs has been limited. CONCLUSION: Both
regulatory science and clinical practice rely on data-driven approaches to guide
decision making; however, additional factors are also important in clinical
practice that do not impact regulatory decision making, and these considerations
may result in heterogeneity in clinical uptake of pharmacogenetic testing.
PMID- 27864208
TI - Payer view of personalized medicine.
AB - PURPOSE: The process and methods used by payers when evaluating coverage of
personalized medicine testing are described. SUMMARY: Personalized medicine
encompasses a number of diagnostic tools that measure drug metabolism, genetic
risk for disease development, and tumor type or markers that can guide oncology
treatments. However, whole genome testing, tumor marker testing, and testing for
drug metabolism are additional costs to the healthcare system. In order to
justify these costs, payers and health technology assessment bodies must evaluate
the individual tests or groups of tests on their own merits. In order for a test
to be covered by payers, test developers must demonstrate clinical utility as
measured by improved outcomes or well-informed decision-making. In the United
States, payers generally focus on clinical benefit to individual patients and
benefits to the healthcare system. Clinical benefits include improved outcomes.
Benefits to the healthcare system are generally considered to be cost offsets,
which may be due to reductions in the use of unnecessary interventions or to more
efficient use of resources. Provider organizations have been assuming more
responsibility and liability for healthcare costs through various risk
arrangements, including accountable care organizations and patient-centered
medical homes. Diagnostic tests that increase efficiency, reduce unnecessary
interventions, and improve outcomes will be chosen by specialists in provider
organizations. CONCLUSION: For personalized medicine approaches to be adopted and
covered by health plans, the methods must be shown to be analytically and
clinically valid and provide clinical utility at a reasonable level of cost
effectiveness to payers.
PMID- 27864206
TI - Educational strategies to enable expansion of pharmacogenomics-based care.
AB - PURPOSE: The current state of pharmacogenomics education for pharmacy students
and practitioners is discussed, and resources and strategies to address
persistent challenges in this area are reviewed. SUMMARY: Consensus-based
pharmacist competencies and guidelines have been published to guide
pharmacogenomics knowledge attainment and application in clinical practice.
Pharmacogenomics education is integrated into various pharmacy school courses
and, increasingly, into Pharm.D. curricula in the form of required standalone
courses. Continuing-education programs and a limited number of postgraduate
training opportunities are available to practicing pharmacists. For colleges and
schools of pharmacy, identifying the optimal structure and content of
pharmacogenomics education remains a challenge; insufficient numbers of faculty
members with pharmacogenomics expertise and the inadequate availability of
practice settings for experiential education are other limiting factors.
Strategies for overcoming those challenges include providing early exposure to
pharmacogenomics through foundational courses and incorporating pharmacogenomics
into practice-based therapeutics courses and introductory and advanced pharmacy
practice experiences. For practitioner education, online resources, clinical
decision support-based tools, and certificate programs can be used to supplement
structured postgraduate training in pharmacogenomics. Recently published data
indicate successful use of "shared curricula" and participatory education models
involving opportunities for learners to undergo personal genomic testing.
CONCLUSION: The pharmacy profession has taken a leadership role in expanding
student and practitioner education to meet the demand for increased pharmacist
involvement in precision medicine initiatives. Effective approaches to teaching
pharmacogenomics knowledge and driving its appropriate application in clinical
practice are increasingly available.
PMID- 27864210
TI - Summaries of safety labeling changes approved by FDA-boxed warnings highlights,
July-September 2016.
PMID- 27864209
TI - Pharmacists should jump onto the clinical pharmacogenetics train.
PMID- 27864212
TI - Rosalind Mary Maskell.
PMID- 27864213
TI - Many US patients are hit with surprise emergency care bills, study finds.
PMID- 27864214
TI - Vasculitic neuropathy induced by pembrolizumab.
PMID- 27864215
TI - Atrophic exocrine pancreatic insufficiency associated with anti-PD1 therapy.
PMID- 27864217
TI - Risk of second malignant neoplasms in women and girls with germ cell tumors.
AB - Background: While an elevated risk of second malignant neoplasms (SMNs) has been
observed in men treated for germ cell tumors (GCTs), risk of SMNs have not been
quantified in adult women or in girls treated for GCTs. Patients and methods: One
year survivors of primary GCTs diagnosed between January 1980 and December 2012
were identified from Surveillance, Epidemiology, and End Results (SEER 9)
registries. Risk of SMNs was calculated using SEER*Stat. Results: Among 1507
patients, a total of 47 SMNs were identified. The overall risk of SMNs was not
elevated in females overall or in females treated for GCT during adulthood
although SMN sites (pancreas, soft tissue, bladder, kidney, and thyroid) and
trends were comparable with those in men. There were too few childhood GCT cases
with SMNs for further analysis. Conclusions: Unlike men, women treated for GCTs
did not have a statistically significant elevated risk of SMNs [standardized
incidence ratio = 1.11; 95% confidence interval (CI) = 0.81-1.47]. The fact that
SMNs in women occur in sites similar to those observed in men indicate that long
term follow-up of a larger cohort of females treated for GCT is warranted.
PMID- 27864218
TI - Second primary malignancies in multiple myeloma: an overview and IMWG consensus.
AB - Background: Therapeutic advancements following the introduction of autologous
stem cell transplantation and 'novel' agents have significantly improved clinical
outcomes for patients with multiple myeloma (MM). Increased life expectancy,
however, has led to renewed concerns about the long-term risk of second primary
malignancies (SPMs). This review outlines the most up-to-date knowledge of
possible host-, disease-, and treatment-related risk factors for the development
of SPMs in patients with MM, and provides practical recommendations to assist
physicians. Design: A Panel of International Myeloma Working Group members
reviewed the most relevant data published in the literature as full papers, or
presented at meetings of the American Society of Clinical Oncology, American
Society of Hematology, European Hematology Association, or International Myeloma
Workshops, up to June 2016. Here, we present the recommendations of the Panel,
based on this literature review. Results: Overall, the risk of SPMs in MM is low,
multifactorial, and partially related to the length of patients' survival and MM
intrinsic susceptibility. Studies suggest a significantly increased incidence of
SPMs when lenalidomide is administered either following, or concurrently with,
oral melphalan. Increased SPM incidence has also been reported with lenalidomide
maintenance following high-dose melphalan, albeit to a lesser degree. In both
cases, the risk of death from MM was significantly higher than the risk of death
from SPMs, with lenalidomide possibly providing a survival benefit. No increase
in SPM incidence was reported with lenalidomide plus dexamethasone (without
melphalan), or with bortezomib plus oral melphalan, dexamethasone, or
thalidomide. Conclusion: In general, the risk of SPMs should not alter the
current therapeutic decision-making process in MM. However, regimens such as
lenalidomide plus dexamethasone should be preferred to prolonged exposure to
lenalidomide plus oral melphalan. SPM risk should be carefully discussed with the
patient in the context of benefits and risks of different treatment options.
PMID- 27864222
TI - Johan Willem Rademaker.
PMID- 27864216
TI - Resistance to anticancer immunity in cancer patients: potential strategies to
reverse resistance.
AB - In the 1990s, the application of immunotherapy approaches to target cancer cells
resulted in significant clinical responses in patients with advanced malignancies
who were refractory to conventional therapies. While early immunotherapeutics
were focused on T cell-mediated cytotoxic activity, subsequent efforts were
centered on targeted antibody-mediated anticancer therapy. The initial success
with antibody therapy encouraged further studies and, consequently, there are now
more than 25 FDA-approved antibodies directed against a range of targets.
Although both T cell and antibody therapies continue to result in significant
clinical responses with minimal toxicity, a significant subset of patients does
not respond to immunotherapy and another subset develops resistance following an
initial response. This review is focused on describing examples showing that
cancer resistance to immunotherapies indeed occurs. In addition, it reviews the
mechanisms being used to overcome the resistance to immunotherapies by targeting
the tumor cell directly and/or the tumor microenvironment.
PMID- 27864220
TI - Estimating 12-week death probability in patients with refractory metastatic
colorectal cancer: the Colon Life nomogram.
AB - Background: Regorafenib and TAS-102 have recently demonstrated statistically
significant survival gains in patients with refractory metastatic colorectal
cancer (mCRC). Life expectancy >=12 weeks was an inclusion criterion in
registrative trials, and the identification of proper clinical selection tools
for the daily use of these drugs in heavily pre-treated patients is needed to
improve the cost-benefit ratio. We aimed at building a nomogram able to predict
death probability within 12 weeks from the date of assessment of refractory mCRC.
Patients and methods: Four hundred eleven refractory mCRC patients with ECOG
performance status (PS) <=2 receiving regorafenib, TAS-102 or other treatments
were used as developing set. Putative prognostic variables were selected using a
random forest model and included in a binary logistic model from which the
nomogram was developed. The nomogram was externally validated and its performance
was evaluated by examining calibration (how close predictions were to the actual
outcome) and discriminative ability (Harrell C index) both on developing
(internal validation) and validating (external validation) sets. Results: Four
variables were selected and included in the nomogram: PS (P < 0.0001), primary
tumor resection (P = 0.027), LDH value (P = 0.0001) and peritoneal involvement (P
= 0.081). In the developing set, the nomogram discriminative ability was high (C
= 0.778), and was confirmed in the validating set (C = 0.778), where the overall
outcome was better as a consequence of the enrichment in patients receiving
regorafenib or TAS-102 (46% versus 34%; P < 0.0001). Conclusions: Our nomogram
may be a useful tool to predict the probability of death within 12 weeks in
patients with refractory mCRC. Based on four easy-to-collect variables, the
'Colon Life' nomogram and free app for smartphones may improve mCRC patients'
selection for later-line therapies and assist researchers for the enrollment in
clinical trials in this setting.
PMID- 27864219
TI - Neoadjuvant chemotherapy (NACT) increases immune infiltration and programmed
death-ligand 1 (PD-L1) expression in epithelial ovarian cancer (EOC).
AB - Background: Lymphocytic infiltration at diagnosis is prognostic in EOC, however,
the impact of NACT on tumour infiltrating lymphocytes (TILs) or PD-L1 expression
remains poorly described. Patients and methods: Patients with EOC and sequential
samples (pre-NACT, post-NACT or relapse) were retrospectively identified. TILs
were evaluated on whole sections; stromal TILs (sTILs) scored as percentage of
stromal area with high sTILs defined as >=50%; intra-epithelial TILs (ieTILs)
scored semi-quantitatively (0-3) with high ieTILs >=2. A smaller number were
available for PD-L1 evaluation, cut-off for positivity was >=5% staining.
Results: sTILs were detected in all tumours at diagnosis (range 2-90%, median
20%), with 22% (25/113) showing high sTILs. Among evaluable paired pre/post-NACT
samples (N = 83), an overall increase in median sTILs from 20% to 30% was seen
following NACT (P = 0.0005); individually the impact of NACT varied with sTILs
increasing in 51% (42/83), decreasing in 25%, and stable in 24%. Post-NACT sTILs
were predictive of platinum-free interval (PFI), patients with PFI >=6 months had
significantly higher post-NACT sTILs (sTILs 28% versus 18% for PFI <6 months, P =
0.026); pre-NACT sTILS were not predictive. At diagnosis, 23% showed high ieTILs,
and following NACT 33% showed increasing ieTILs. Proportion of tumours with PD-L1
positive immune cells was 30% (15/50) pre-NACT and 53% (27/51) post-NACT (P =
0.026). Among paired tumours, 63% of PD-L1-negative tumours became positive after
NACT, furthermore cisplatin induced PD-L1 expression in PD-L1-negative EOC cell
lines. On multivariate analysis, high sTILs both pre- and post-NACT were
independent prognostic factors for progression-free survival (PFS) (HR 0.49, P =
0.02 and HR 0.60, P = 0.05, respectively). No prognostic impact of ieTILs or PD
L1 expression was detected. Conclusions: In EOC, sTILs levels are prognostic at
diagnosis and remain prognostic after NACT. TILs and PD-L1 expression increase
following NACT. Evaluation of immune parameters in the post-NACT tumour may help
select patients for immunotherapy trials.
PMID- 27864223
TI - Field evidence of strong differential pollen placement by Old World bat
pollinated plants.
AB - BACKGROUND AND AIMS: Sympatric plant species that share pollinators potentially
compete for pollination and risk interspecific pollen transfer, but this
competition can be minimized when plant species place pollen on different areas
of the pollinator's body. Multiple studies have demonstrated strong differential
pollen placement by sympatric plant species under laboratory conditions; however,
field evidence collected in natural settings is less common. Furthermore, it is
unknown whether precise pollen placement on the pollinator's body remains
constant throughout the foraging period, or if such patterns become diffused over
time (e.g. due to grooming). To test the prevalence of differential pollen
placement in the wild, we examined a community of five night-blooming plant
species in southern Thailand that share common bat pollinators. METHODS: We mist
netted wild foraging nectar bats and collected pollen samples from four body
parts: the crown of the head, face, chest and ventral side of one wing. We also
noted the time of pollen collection to assess how pollinator pollen loads change
throughout the foraging period. KEY RESULTS: Our findings revealed that most of
our plant study species placed pollen on precise areas of the bat, consistent
with experimental work, and that patterns of differential pollen placement
remained constant throughout the night. CONCLUSIONS: This study demonstrates how
diverse floral morphologies effectively limit interspecific pollen transfer among
Old World bat-pollinated plants under natural conditions. Additionally,
interspecific pollen transfer is probably minimal throughout the entire foraging
period, since patterns of pollen on the bats' bodies were consistent over time.
PMID- 27864226
TI - Pinpointing Cancer Stem Cells in Oligodendroglioma.
AB - Through single-cell RNA sequencing of low-grade oligodendrogliomas, researchers
have identified a cell population featuring a gene expression signature similar
to those of neural stem and progenitor cells. These cancer stem cells have
enriched proliferative potential and may be responsible for fueling the growth of
this glioma subtype.
PMID- 27864224
TI - Infection by Rhodococcus fascians maintains cotyledons as a sink tissue for the
pathogen.
AB - Background and Aims: Pisum sativum L. (pea) seed is a source of carbohydrate and
protein for the developing plant. By studying pea seeds inoculated by the
cytokinin-producing bacterium, Rhodococcus fascians , we sought to determine the
impact of both an epiphytic (avirulent) strain and a pathogenic strain on source
sink activity within the cotyledons during and following germination. Methods:
Bacterial spread was monitored microscopically, and real-time reverse
transcription-quantitative PCR was used to determine the expression of cytokinin
biosynthesis, degradation and response regulator gene family members, along with
expression of family members of SWEET , SUT , CWINV and AAP genes - gene families
identified initially in pea by transcriptomic analysis. The endogenous cytokinin
content was also determined. Key Results: The cotyledons infected by the virulent
strain remained intact and turned green, while multiple shoots were formed and
root growth was reduced. The epiphytic strain had no such marked impact.
Isopentenyl adenine was elevated in the cotyledons infected by the virulent
strain. Strong expression of RfIPT , RfLOG and RfCKX was detected in the
cotyledons infected by the virulent strain throughout the experiment, with
elevated expression also observed for PsSWEET , PsSUT and PsINV gene family
members. The epiphytic strain had some impact on the expression of these genes,
especially at the later stages of reserve mobilization from the cotyledons.
Conclusions: The pathogenic strain retained the cotyledons as a sink tissue for
the pathogen rather than the cotyledon converting completely to a source tissue
for the germinating plant. We suggest that the interaction of cytokinins, CWINVs
and SWEETs may lead to the loss of apical dominance and the appearance of
multiple shoots.
PMID- 27864228
TI - IFNgamma Mutations Prompt CTLA-4 Inhibitor Resistance.
AB - A recent study pinpoints loss of IFNgamma signaling as one reason why many
patients do not respond to the CTLA-4 inhibitor ipilimumab. Analyzing whole-exome
tumor sequencing data from 16 patients with melanoma, the researchers found
multiple copy-number alterations that led to the loss of key IFNgamma pathway
genes in 12 ipilimumab nonresponders. Mice bearing melanoma tumors that lacked
one of these genes, IFNGR1, also had an impaired response to anti-CTLA-4 therapy
and significantly reduced overall survival, compared with their counterparts
whose tumors had intact IFNGR1.
PMID- 27864225
TI - Should I fight or should I grow now? The role of cytokinins in plant growth and
immunity and in the growth-defence trade-off.
AB - Background: Perception and activation of plant immunity require a remarkable
level of signalling plasticity and control. In Arabidopsis and other plant
species, constitutive defence activation leads to resistance to a broad spectrum
of biotrophic pathogens, but also frequently to stunted growth and reduced seed
set. Plant hormones are important integrators of the physiological responses that
influence the outcome of plant-pathogen interactions. Scope: We review the
mechanisms by which the plant hormone cytokinin regulates both plant growth and
response to pathogens, and how cytokinins may connect these two processes,
ultimately affecting the growth trade-offs observed in plant immunity.
PMID- 27864232
TI - Bringing Precision Medicine to Community Oncologists.
AB - Quest Diagnostics has teamed up with Memorial Sloan Kettering Cancer Center and
IBM Watson Health to offer IBM Watson Genomics to its network of community cancer
centers and hospitals. This new service aims to advance precision medicine by
combining genomic tumor sequencing with the power of cognitive computing.
PMID- 27864233
TI - Aging is associated with impaired angiogenesis, but normal microvascular network
structure, in the rat mesentery.
AB - : A big problem associated with aging is thought to be impaired microvascular
growth or angiogenesis. However, to link the evidence for impaired angiogenesis
to microvascular dysfunction in aged tissues, we must compare adult vs. aged
microvascular networks in unstimulated scenarios. The objective of this study was
to test the hypothesis that aged microvascular networks are characterized by both
fewer vessels and the impaired ability to undergo angiogenesis. Mesentery tissues
from adult (9-mo) and aged (24-mo) male Fischer 344 rats were harvested and
immunolabeled for platelet/endothelial cell adhesion molecule (an endothelial
cell marker) according to two scenarios: unstimulated and stimulated. For
unstimulated groups, tissues harvested from adult and aged rats were compared.
For stimulated groups, tissues were harvested 3 or 10 days after compound 48/80
induced mast cell degranulation stimulation. Unstimulated aged microvascular
networks displayed larger mean vascular area per tissue area compared with the
unstimulated adult networks. The lack of a decrease in vessel density was
supported at the gene expression level with RNA-Seq analysis and with comparison
of vessel densities in soleus muscle. Following stimulation, capillary sprouting
and vessel density were impaired in aged networks at 3 and 10 days, respectively.
Our results suggest that aging associated with impaired angiogenesis mechanisms
might not influence normal microvascular function, since unstimulated aged
microvascular networks can display a "normal adult-like" vessel density and
architecture. NEW & NOTEWORTHY: Using a multidimensional approach, we present
evidence supporting that aged microvascular networks display vessel density and
patterning similar to adult networks despite also being characterized by a
decreased capacity to undergo angiogenesis. Thus, vessel loss is not necessarily
a characteristic of aging.
PMID- 27864234
TI - Interruption of perivascular sympathetic nerves of cerebral arteries offers
neuroprotection against ischemia.
AB - : Sympathetic nervous system activity is increased after cardiopulmonary arrest,
resulting in vasoconstrictor release from the perivascular sympathetic nerves of
cerebral arteries. However, the pathophysiological function of the perivascular
sympathetic nerves in the ischemic brain remains unclear. A rat model of global
cerebral ischemia (asphyxial cardiac arrest, ACA) was used to investigate
perivascular sympathetic nerves of cerebral arteries via bilateral
decentralization (preganglionic lesion) of the superior cervical ganglion (SCG).
Decentralization of the SCG 5 days before ACA alleviated hypoperfusion and
afforded hippocampal neuroprotection and improved functional outcomes. These
studies can provide further insights into the functional mechanism(s) of the
sympathetic nervous system during ischemia. NEW & NOTEWORTHY: Interruption of the
perivascular sympathetic nerves can alleviate CA-induced hypoperfusion and
neuronal cell death in the CA1 region of the hippocampus to enhance functional
learning and memory.
PMID- 27864235
TI - L5-LDL from ST-elevation myocardial infarction patients induces IL-1beta
production via LOX-1 and NLRP3 inflammasome activation in macrophages.
AB - : L5-LDL, the most electronegative LDL associated with major cardiovascular
risks, significantly rises in patients with ST-segment elevation myocardial
infarction (STEMI). The inflammatory nature of atherosclerotic vascular diseases
has prompted us to investigate whether L5-LDL induces the production of
inflammatory cytokines, especially vascular ischemia-related interleukin (IL)
1beta, in the pathogenesis of STEMI. Clinical data showed that plasma levels of
L5-LDL and IL-1beta were higher in the STEMI patients than in the controls (P <
0.05). In THP-1-derived human macrophages, L5-LDL significantly increased the
levels of both IL-1beta and cleaved caspase-1, indicating the activation of NOD
like receptor pyrin domain containing 3 (NLRP3) inflammasomes by L5-LDL.
Knockdown of NLRP3 and its adaptor protein apoptosis-associated speck-like
protein containing a CARD (ASC) resulted in decreased L5-LDL-induced IL-1beta.
Furthermore, knock down of the lectin-type oxidized LDL receptor (LOX-1) in THP-1
cells attenuated L5-LDL-induced activation of NF-kappaB and caspase-1, leading to
subsequent inhibition of IL-1beta in macrophages. Furthermore, blockade LOX-1
with neutralizing antibody also inhibited L5-LDL-induced IL-1beta in human
peripheral blood mononuclear cell-derived macrophages. In conclusion, L5-LDL
induces IL-1beta production in macrophages by activation of NF-kappaB and caspase
1 through the LOX-1-dependent pathway. This study represents the evidence linking
L5-LDL and the inflammatory cytokine IL-1beta in STEMI, and identifies L5-LDL as
a novel therapeutic target in acute myocardial infarction. NEW & NOTEWORTHY: This
study represents the evidence linking L5-LDL and the inflammatory cytokine IL
1beta in ST-segment elevation myocardial infarction (STEMI). We elucidate the
molecular mechanism underlying L5-LDL-induced production of IL-1beta in
macrophages. The results showed that L5-LDL induced activation of caspase-1 and
NF-kappaB through the lectin-type oxidized LDL receptor (LOX-1)-dependent
pathway, leading to the production of IL-1beta.
PMID- 27864237
TI - Effect of selected clinical trial publication on adjunctive nonstatin medication
prescribing in the Veterans Health Administration system.
AB - PURPOSE: The question of whether publication of selected clinical trials is
temporally followed by changes in prescribing of adjunctive lipid-lowering
medications was evaluated. METHODS: In this retrospective preanalysis and
postanalysis, Veterans Health Administration (VHA) patients 18 years or older who
received a new or renewed order for any lipid-lowering medication between April
2, 2004, and September 2, 2014, were included. This period was chosen based on
the publication dates of three trials investigating the efficacy of nonstatin
medications: Simvastatin with or without Ezetimibe in Familial
Hypercholesterolemia (ENHANCE, April 3, 2008), Effects of Combination Lipid
Therapy in Type 2 Diabetes Mellitus (ACCORD Lipid, March 14, 2010), and Niacin in
Patients with Low HDL Cholesterol Levels Receiving Intensive Statin Therapy (AIM
HIGH, December 15, 2011). Annual prescribing rates for ezetimibe, fibrates, and
niacin were analyzed for 4 years before and after the ENHANCE, ACCORD, and AIM
HIGH trial publication dates, respectively (3 years for niacin in AIM-HIGH) and
reported as percent of patients in the cohort. RESULTS: Among patients receiving
lipid-lowering medications, relatively low overall prescribing rates were
observed for all three target medications. Prescribing rates for each medication
decreased after its respective trial publication, with ezetimibe having the
greatest change. CONCLUSION: Prescribing of fibrates, niacin, and ezetimibe in
the VHA system decreased after the publication of landmark trials assessing their
addition to a statin, consistent with the recommendations in the 2013 American
College of Cardiology/American Heart Association (ACC/AHA) guideline, which did
not encourage routine use of adjunctive therapies to lower the risk of
cardiovascular disease.
PMID- 27864236
TI - Evaluation of adherence to current guidelines for treatment of hyperlipidemia in
adults in an outpatient setting.
AB - PURPOSE: Adherence to the 2013 American College of Cardiology (ACC)/American
Heart Association (AHA) cholesterol guideline at an outpatient clinic was
evaluated. METHODS: This retrospective chart review study was conducted from
December 1, 2013, through November 30, 2014, at an urban outpatient clinic.
Estimated 10-year atherosclerotic cardiovascular disease (ASCVD) risk was
calculated based on the pooled cohort equation for all patients. Patients were
categorized into one of four statin-benefit groups, in descending order of ASCVD
risk. The recommended intensity of a statin and the therapeutic response were
determined for each patient. If statin therapy was indicated, patients were
assigned to the moderate-intensity, moderate- or high-intensity, or high
intensity group according to guideline recommendations. These guideline
recommended statin intensities were then compared to the patient's prescribed
statin to determine guideline concordance. Therapeutic response, expressed as the
percent decrease in low-density lipoprotein cholesterol, was determined based on
recommended statin intensity. RESULTS: A total of 255 patients were initiated on
statin therapy; 193 were included for data analysis. Overall adherence to the
guideline was 65.8%, with the highest rate in the group of patients with the
lowest risk of ASCVD (97.8%). The group with the lowest rate of adherence to
recommendations was patients with clinical ASCVD (46.9%). Only 31.6% of patients
had a follow-up lipid panel performed, and even fewer achieved a therapeutic
response. CONCLUSION: A majority of patients were initiated on the appropriate
intensity of statin therapy according to the ACC/AHA cholesterol guideline. Of
the small number of patients who had follow-up visits, few achieved a therapeutic
response based on their prescribed statin therapy.
PMID- 27864238
TI - Outcomes of a pharmacist-managed glucose collaborative practice agreement.
AB - PURPOSE: The impact of a pharmacist-managed glucose collaborative practice
agreement (CPA) on glycemic control at a tertiary medical center was
investigated. METHODS: A retrospective data analysis was performed on
hospitalized, noncritically ill patients admitted between December 2012 and June
2014 who received at least one dose of subcutaneous insulin and experienced at
least one blood glucose concentration of 140 mg/dL or higher. The study
population was divided into cohorts based on admittance before versus after
implementation of the CPA, as well as glucose management by pharmacist versus
nonpharmacist provider. The primary endpoint of the study was glycemic control,
defined as the percentage of total admitted days spent within a goal blood
glucose range of 70-180 mg/dL. Secondary endpoints included the rate of
hypoglycemia (less than 70 mg/dL), the rate of severe hypoglycemia (less than 40
mg/dL), the rate of severe hyperglycemia (greater than 300 mg/dL), the length of
stay, and workload metrics. RESULTS: A total of 5146 patients were included in
the study. There was no statistically significant difference in glycemic control
across all cohorts (p > 0.05). Secondary outcomes showed no statistically
significant differences in the rates of hypoglycemia, severe hypoglycemia, and
severe hyperglycemia across all cohorts. There was a significantly longer length
of stay in the pharmacist-managed cohort (p < 0.001). Workload metrics indicated
a 25.8% increase in the number of pharmacist-managed glucose consults post-CPA
implementation. CONCLUSION: Pharmacists at a tertiary medical center were able to
provide an inpatient glucose management service that maintained similar glycemic
control for patients with diabetes as nonpharmacist providers.
PMID- 27864239
TI - Development and implementation of a pharmacist-run comprehensive medication
review program in Wisconsin.
AB - PURPOSE: The development and implementation of a centralized, pharmacist-run
population health program were pursued within a health system to increase patient
exposure to comprehensive medication reviews (CMRs) and improve visit processes.
SUMMARY: Program implementation included choosing appropriate pilot pharmacy
locations, developing a feasible staffing model, standardizing the workflow, and
creating a patient referral process. The impact on patient exposure, specific
interventions, and the sustainability of the program were evaluated over a seven
month period. A total of 96 CMRs were scheduled during the data collection
period. Attendance at scheduled CMRs was 54% (52 visits); there were 25
cancellations (26%) and 19 no-shows (20%). Since program implementation, there
has been more than a twofold increase (2.08) in the number of CMRs completed
within the health system. On average, all aspects of each patient visit took 1.78
hours to complete. Pharmacists spent 28% of scheduled time on CMR tasks and 72%
of time on telephone calls and technical tasks to maintain appointments.
CONCLUSION: A pharmacist-run CMR program helped to elevate the role of the
community pharmacist in a health system and to improve patient exposure to CMRs.
Sustaining a centralized CMR program requires support from other members of the
health-system team so that pharmacists can spend more time providing patient care
and less time on the technical tasks involved.
PMID- 27864240
TI - New Horizons in orthostatic hypotension.
AB - Background: orthostatic hypotension (OH) is a common disabling condition
associated with increased morbidity and mortality. Much of the evidence available
is derived from younger populations with chronic neurological disease leading to
uncertainty for the diagnosis and management of older people. Objective: to
provide an overview of recent and emerging evidence for the diagnosis, management
and prognosis of OH in older persons. Methods: a narrative review of recent
studies, emerging therapies and relevant regulatory updates. Findings: revisions
to the diagnostic criteria for OH include the duration of the blood pressure
drop, specific criteria for initial and delayed OH and OH with hypertension. Non
drug therapies remain the first-line treatment option and Comprehensive Geriatric
Assessment appears to result in lower rates of OH. Recent evidence concerning
withdrawal of causative medication is inconsistent. Midodrine has recently become
the only licenced medication for OH in the UK. Other emerging treatments include
atomoxetine and droxidopa but these require further evaluation. Many other agents
may be used but are not supported by high-quality evidence. The increase in
mortality associated with OH is less apparent in older people. Summary: OH
remains common in older people, the new diagnostic criteria address some of the
previous uncertainty but evidence concerning withdrawal of antihypertensives is
conflicting. Midodrine is now the only licenced medication for OH in the UK, but
non-drug therapies remain first line and fludrocortisone may be considered before
midodrine. We may see other agents such as droxidopa becoming increasingly used
over the coming years.
PMID- 27864242
TI - Understanding the delayed prescribing of antibiotics for respiratory tract
infection in primary care: a qualitative analysis.
AB - OBJECTIVE: To identify general practitioner (GP) views and understanding on the
use of delayed prescribing in primary care. DESIGN: Qualitative semistructured
telephone interview study. SETTING: Primary care general practices in England.
PARTICIPANTS: 32 GPs from identified high-prescribing and low-prescribing general
practices in England. METHOD: Semistructured telephone interviews were conducted
with GPs identified from practices within clinical commissioning groups with the
highest and lowest prescribing rates in England. A thematic analysis of the data
was conducted to generate themes. RESULTS: All GPs had a good understanding of
respiratory tract infection (RTI) management and how the delayed prescribing
approach could be used in primary care. However, GPs highlighted factors that
were influential as to whether delayed prescribing was successfully carried out
during the consultation. These included the increase in evidence of antimicrobial
resistance, and GPs' prior experiences of using delayed prescribing during the
consultation. The patient-practitioner relationship could also influence
treatment outcomes for RTI, and a lack of an agreed prescribing strategy within
and between practices was considered to be of significance to GPs. Participants
expressed that a lack of feedback on prescribing data at an individual and
practice level made it difficult to know if delayed prescribing strategies were
successful in reducing unnecessary consumption. GPs agreed that coherent and
uniform training and guidelines would be of some benefit to ensure consistent
prescribing throughout the UK. CONCLUSIONS: Delayed prescribing is encouraged in
primary care, but is not always implemented successfully. Greater uniformity
within and between practices in the UK is needed to operationalise delayed
prescribing, as well as providing feedback on the uptake of antibiotics. Finally,
GPs may need further guidance on how to answer the concerns of patients without
interpreting these questions as a demand for antibiotics, as well as educating
the patient about antimicrobial resistance and supporting a good patient
practitioner relationship.
PMID- 27864241
TI - Retrospective analysis of age-adjusted body mass index among pre-pregnant women
in the Lithuanian urban area during three decades.
AB - BACKGROUND: The prevalence of maternal obesity at the beginning of pregnancy is
increasing. However, there are some studies reporting the stabilisation of
obesity epidemic or even the downward trend in the general population. OBJECTIVE:
To determine the prevalence of overweight and obesity in Lithuanian pre-pregnant
women during 3 decades. METHODS: This observational retrospective study included
a sample of 2827, women aged 18-44 years who gave birth in 1987-1989, 1996-1997
and 2007-2010: 861 (30.5%), 995 (35.2%) and 971 (34.3%), respectively. All women
were divided into groups by body mass index (BMI) calculated from self-reported
weight and height, and age reported during the first antenatal visit.
Quantitative parametric variables were expressed as mean and SD; qualitative
variables, as absolute numbers (n) and percentage (%). For parametric data,
analysis of variance (ANOVA) was used. Differences were considered statistically
significant at p<0.05. RESULTS: The prevalence of overweight and obesity among
women aged 18-24 years decreased from 20.9% in 1987-1989 to 9.5% in 1996-1997 but
increased to 15.7% in 2007-2010; among women aged 25-34 years, decreased from
35.5% in 1987-1989 to 23% in 1996-1997 and to 22.4% in 2007-2010; and among women
aged 35-44 years decreased from 64.9% in 1987-1989 to 34% in 1996-1997 but
increased to 45.3% in 2007-2010. BMI increased with an increasing age (r=0.254,
p<0.05). Analysis by separate periods (1987-1989, 1996-1997 and 2007-2010)
revealed a positive correlation between BMI and age at the first antenatal visit
in all periods (r=0.325, p<0.01; r=0.266, p<0.01; and r=0.210, p<0.01,
respectively). CONCLUSIONS: The prevalence of overweight and obesity among pre
pregnant women tended to decrease in the Lithuanian urban area during 3 decades.
A slight increase in overweight and obesity documented in 2007-2010 compared with
1996-1997 most likely was caused by older maternal age.
PMID- 27864243
TI - Determinants of the sustained employment of physician assistants in hospitals: a
qualitative study.
AB - OBJECTIVES: To identify determinants of the initial employment of physician
assistants (PAs) for inpatient care as well as of the sustainability of their
employment. DESIGN: We conducted a qualitative study with semistructured
interviews with care providers. Interviews continued until data saturation was
achieved. All interviews were transcribed verbatim. A framework approach was used
for data analysis. Codes were sorted by the themes, bringing similar concepts
together. SETTING: This study was conducted between June 2014 and May 2015 within
11 different hospital wards in the Netherlands. The wards varied in medical
speciality, as well as in hospital type and the organisational model for
inpatient care. PARTICIPANTS: Participant included staff physicians, residents,
PAs and nurses. RESULTS: The following themes emerged to be important for the
initial employment of PAs and the sustainability of their employment: the
innovation, individual factors, professional interactions, incentives and
resources, capacity for organisational change and social, political and legal
factors. CONCLUSIONS: 10 years after the introduction of PAs, there was little
discussion among the adopters about the added value of PAs, but organisational
and financial uncertainties played an important role in the decision to employ
and continue employment of PAs. Barriers to employ and continue PA employment
were mostly a consequence of locally arranged restrictions by hospital management
and staff physicians, as barriers regarding national laws, PA education and
competencies seemed absent.
PMID- 27864244
TI - Impact of smoking on fertility and age of menopause: a population-based
assessment.
AB - BACKGROUND AND AIMS: Studies in patients seeking medically assisted reproduction
have shown that smoking reduces fertility, but little information is available in
the general population. We assessed the associations between smoking and the
number of children, childbearing planning and age at menopause in a
representative sample of the population of Lausanne, Switzerland. METHODS: Data
from 6711 participants (3530 women, age range 35-75 years) collected between 2003
and 2006 and again in 2009 and 2012. Smoking status, number of offsprings and age
of menopause were assessed. RESULTS: Women who currently smoke had significantly
less children than former or never smokers: the number of children per women
(average+/-SD) was 1.38+/-1.05, 1.45+/-1.07 and 1.576+/-1.16, respectively
(p<0.001). Women who currently smoke had their first child at an earlier age than
the others: 26.7+/-5.2, 27.4+/-5.4 and 26.9+/-5.2 years old for current, former
and never smokers, respectively, (p=0.01). Similar findings were found for men:
number of children per men 1.475+/-1.16, 1.67+/-1.13 and 1.55+/-1.22 for current,
former and never smokers, respectively (p<0.001); no difference was found
regarding age at the first child. The difference persisted after multivariate
adjustment (adjusted for age, body mass index, Caucasian origins, alcohol
consumption, caffeinated drinks consumption, educational level, receiving social
help and women taking contraceptives) for the age at first child among women. No
association was found between Heaviness of Smoking Index and the number of
children among current smokers in both genders. Women who smoke had their
menopause more than 1 year prior than never-smoking women (48.9+/-0.2 years
compared with 47.8+/-0.3 years, respectively, p=0.002). CONCLUSIONS: Smoking is
associated with an earlier age of having the first child and of menopause among
women.
PMID- 27864245
TI - Social networks of men who have sex with men and their implications for HIV/STI
interventions: results from a cross-sectional study using respondent-driven
sampling in a large and a small city in Tanzania.
AB - OBJECTIVE: Men who have sex with men (MSM) in sub-Saharan Africa remain hidden
and hard to reach for involvement in HIV and sexually transmitted infection (STI)
services. The aim of the current study was to describe MSM social networks in a
large and a small Tanzanian city in order to explore their utility for peer-based
healthcare interventions. METHODS: Data were collected through respondent-driven
sampling (RDS) in Dar es Salaam (n=197) and in Tanga (n=99) in 2012 and 2013,
using 5 and 4 seeds, respectively. All results were adjusted for RDS sampling
design. RESULTS: Mean personal network size based on the number of MSM who were
reported by the participants, as known to them was 12.0+/-15.5 in Dar es Salaam
and 7.6+/-8.1 in Tanga. Mean actual RDS network size was 39.4+/-31.4 in Dar es
Salaam and 25.3+/-9.7 in Tanga. A majority (97%) reported that the person from
whom they received the recruitment coupon was a sexual partner, close friend or
acquaintance. Homophile in recruitment patterns (selective affiliation) was
present for age, gay openness, and HIV status in Dar es Salaam, and for sexual
identification in Tanga. CONCLUSIONS: The personal network sizes and existence of
contacts between recruiter and referral indicate that it is possible to use peer
driven interventions to reach MSM for HIV/STI interventions in larger and smaller
sub-Saharan African cities. The study was reviewed and approved by the University
of Texas Health Science Center's Institutional Review Board (HSC-SPH-10-0033) and
the Tanzanian National Institute for Medical Research (NIMR/HQ/R.8a/Vol.
IX/1088).
PMID- 27864246
TI - Preventing postnatal maternal mental health problems using a psychoeducational
intervention: the cost-effectiveness of What Were We Thinking.
AB - OBJECTIVES: Postnatal maternal mental health problems, including depression and
anxiety, entail a significant burden globally, and finding cost-effective
preventive solutions is a public policy priority. This paper presents a cost
effectiveness analysis of the intervention, What Were We Thinking (WWWT), for the
prevention of postnatal maternal mental health problems. DESIGN: The economic
evaluation, including cost-effectiveness and cost-utility analyses, was conducted
alongside a cluster-randomised trial. SETTING: 48 Maternal and Child Health
Centres in Victoria, Australia. PARTICIPANTS: Participants were English-speaking
first-time mothers attending participating Maternal and Child Health Centres.
Full data were collected for 175 participants in the control arm and 184 in the
intervention arm. INTERVENTION: WWWT is a psychoeducational intervention targeted
at the partner relationship, management of infant behaviour and parental fatigue.
OUTCOME MEASURES: The evaluation considered public sector plus participant out-of
pocket costs, while outcomes were expressed in the 30-day prevalence of
depression, anxiety and adjustment disorders, and quality-adjusted life years
(QALYs). Incremental costs and outcomes were estimated using regression analyses
to account for relevant sociodemographic, prognostic and clinical
characteristics. RESULTS: The intervention was estimated to cost $A118.16 per
participant. The analysis showed no statistically significant difference between
the intervention and control groups in costs or outcomes. The incremental cost
effectiveness ratios were $A36 451 per QALY gained and $A152 per percentage-point
reduction in 30-day prevalence of depression, anxiety and adjustment disorders.
The estimate lies under the unofficial cost-effectiveness threshold of $A55 000
per QALY; however, there was considerable uncertainty surrounding the results,
with a 55% probability that WWWT would be considered cost-effective at that
threshold. CONCLUSIONS: The results suggest that, although WWWT shows promise as
a preventive intervention for postnatal maternal mental health problems, further
research is required to reduce the uncertainty over its cost-effectiveness as
there were no statistically significant differences in costs or outcomes. TRIAL
REGISTRATION NUMBER: ACTRN12613000506796; results.
PMID- 27864247
TI - Impact of a pharmacist-delivered discharge and follow-up intervention for
patients with acute coronary syndromes in Qatar: a study protocol for a
randomised controlled trial.
AB - INTRODUCTION: Acute coronary syndrome (ACS) is one of the leading causes of
morbidity and mortality worldwide. Secondary cardiovascular risk reduction
therapy (consisting of an aspirin, a beta-blocker, an ACE inhibitor or an
angiotensin II receptor blocker and a statin) is needed for all patients with
ACS. Less than 80% of patients with ACS in Qatar use this combination after
discharge. This study is aimed to evaluate the effectiveness of clinical
pharmacist-delivered intervention at discharge and tailored follow-up
postdischarge on decreasing hospital readmissions, emergency department (ED)
visits and mortality among patients with ACS. METHODS AND ANALYSIS: A
prospective, randomised controlled trial will be conducted at the Heart Hospital
in Qatar. Patients are eligible for enrolment if they are at least 18 years of
age and are discharged from any non-surgical cardiology service with ACS.
Participants will be randomised into 1 of 3 arms: (1) 'control' arm which
includes patients discharged during weekends or after hours; (2) 'clinical
pharmacist delivered usual care at discharge' arm which includes patients
receiving the usual care at discharge by clinical pharmacists; and (3) 'clinical
pharmacist-delivered structured intervention at discharge and tailored follow-up
postdischarge' arm which includes patients receiving intensive structured
discharge interventions in addition to 2 follow-up sessions by intervention
clinical pharmacists. Outcomes will be measured by blinded research assistants at
3, 6 and 12 months after discharge and will include: all-cause hospitalisations
and cardiac-related hospital readmissions (primary outcome), all-cause mortality
including cardiac-related mortality, ED visits including cardiac-related ED
visits, adherence to medications and treatment burden. Percentage of readmissions
between the 3 arms will be compared on intent-to-treat basis using chi2 test with
Bonferroni's adjusted pairwise comparisons if needed. ETHICS AND DISSEMINATION:
The study was ethically approved by the Qatar University and the Hamad Medical
Corporation Institutional Review Boards. The results shall be disseminated in
international conferences and peer-reviewed publications. TRIALS REGISTRATION
NUMBER: NCT02648243; pre-results.
PMID- 27864248
TI - The Challenge of Universal Eye Health in Latin America: distributive inequality
of ophthalmologists in 14 countries.
AB - BACKGROUND: No comprehensive study currently exists on the supply of
ophthalmologists across Latin America. We explored sociogeographic inequalities
in the availability and distribution of ophthalmologists across 14 Latin American
countries. METHODS: The National Ophthalmologic Societies of Argentina, Bolivia,
Brazil, Colombia, Costa Rica, Chile, the Dominican Republic, Ecuador, Guatemala,
Mexico, Paraguay, Peru, Uruguay and Venezuela provided data on affiliated
ophthalmologists by first-order subnational divisions in 2013. Human Development
Index (HDI) estimates at the corresponding subnational division were used as
equity stratifiers. Distributional inequality of ophthalmologists within each
country was assessed by the health concentration index (HCI) and the index of
dissimilarity (ID), along with the mean level of ophthalmologists per population.
RESULTS: Across all countries studied, there were 5.2 ophthalmologists per 100
000 population on average (95% CI 5.0 to 5.4) in 2013, with a mean HCI of 0.26
(0.16 to 0.37) and a mean relative ID of 22.7% (20.9% to 24.7%). There was wide
inequality in ophthalmologist availability between countries, ranging from 1.2
(1.1 to 1.4) in Ecuador to 8.6 (8.5 to 8.8) in Brazil. All countries had positive
(ie, pro-rich) HCI values ranging from 0.68 (0.66 to 0.71) in Guatemala to 0.02 (
0.11 to 0.14) in Venezuela. Correspondingly, redistributive potential to achieve
equity was closest in Venezuela (ID: 1.5%) and farthest in Guatemala (ID: 60.3%).
Benchmarked against regional averages, most countries had a lower availability of
ophthalmologists and higher relative inequality. CONCLUSIONS: There is high
inequality in the level and distribution of ophthalmologists between and within
countries in Latin America, with a disproportionate number concentrated in more
developed, socially advantaged areas. More equitable access to ophthalmologists
could be achieved by implementing incentivised human resources redistribution
programmes and by improving the social determinants of health in underserved
areas.
PMID- 27864249
TI - Positive predictive value of cardiovascular diagnoses in the Danish National
Patient Registry: a validation study.
AB - OBJECTIVE: The majority of cardiovascular diagnoses in the Danish National
Patient Registry (DNPR) remain to be validated despite extensive use in
epidemiological research. We therefore examined the positive predictive value
(PPV) of cardiovascular diagnoses in the DNPR. DESIGN: Population-based
validation study. SETTING: 1 university hospital and 2 regional hospitals in the
Central Denmark Region, 2010-2012. PARTICIPANTS: For each cardiovascular
diagnosis, up to 100 patients from participating hospitals were randomly sampled
during the study period using the DNPR. MAIN OUTCOME MEASURE: Using medical
record review as the reference standard, we examined the PPV for cardiovascular
diagnoses in the DNPR, coded according to the International Classification of
Diseases, 10th Revision. RESULTS: A total of 2153 medical records (97% of the
total sample) were available for review. The PPVs ranged from 64% to 100%, with a
mean PPV of 88%. The PPVs were >=90% for first-time myocardial infarction, stent
thrombosis, stable angina pectoris, hypertrophic cardiomyopathy, arrhythmogenic
right ventricular cardiomyopathy, takotsubo cardiomyopathy, arterial
hypertension, atrial fibrillation or flutter, cardiac arrest, mitral valve
regurgitation or stenosis, aortic valve regurgitation or stenosis, pericarditis,
hypercholesterolaemia, aortic dissection, aortic aneurysm/dilation and arterial
claudication. The PPVs were between 80% and 90% for recurrent myocardial
infarction, first-time unstable angina pectoris, pulmonary hypertension,
bradycardia, ventricular tachycardia/fibrillation, endocarditis, cardiac tumours,
first-time venous thromboembolism and between 70% and 80% for first-time and
recurrent admission due to heart failure, first-time dilated cardiomyopathy,
restrictive cardiomyopathy and recurrent venous thromboembolism. The PPV for
first-time myocarditis was 64%. The PPVs were consistent within age, sex,
calendar year and hospital categories. CONCLUSIONS: The validity of
cardiovascular diagnoses in the DNPR is overall high and sufficient for use in
research since 2010.
PMID- 27864250
TI - Reporting quality in abstracts of meta-analyses of depression screening tool
accuracy: a review of systematic reviews and meta-analyses.
AB - OBJECTIVE: Concerns have been raised regarding the quality and completeness of
abstract reporting in evidence reviews, but this had not been evaluated in meta
analyses of diagnostic accuracy. Our objective was to evaluate reporting quality
and completeness in abstracts of systematic reviews with meta-analyses of
depression screening tool accuracy, using the Preferred Reporting Items for
Systematic Reviews and Meta-Analyses (PRISMA) for Abstracts tool. DESIGN: Cross
sectional study. INCLUSION CRITERIA: We searched MEDLINE and PsycINFO from 1
January 2005 through 13 March 2016 for recent systematic reviews with meta
analyses in any language that compared a depression screening tool to a diagnosis
based on clinical or validated diagnostic interview. DATA EXTRACTION: Two
reviewers independently assessed quality and completeness of abstract reporting
using the PRISMA for Abstracts tool with appropriate adaptations made for studies
of diagnostic test accuracy. Bivariate associations of number of PRISMA for
Abstracts items complied with (1) journal abstract word limit and (2) A
Measurement Tool to Assess Systematic Reviews (AMSTAR) scores of meta-analyses
were also assessed. RESULTS: We identified 21 eligible meta-analyses. Only two of
21 included meta-analyses complied with at least half of adapted PRISMA for
Abstracts items. The majority met criteria for reporting an appropriate title
(95%), result interpretation (95%) and synthesis of results (76%). Meta-analyses
less consistently reported databases searched (43%), associated search dates
(33%) and strengths and limitations of evidence (19%). Most meta-analyses did not
adequately report a clinically meaningful description of outcomes (14%), risk of
bias (14%), included study characteristics (10%), study eligibility criteria
(5%), registration information (5%), clear objectives (0%), report eligibility
criteria (0%) or funding (0%). Overall meta-analyses quality scores were
significantly associated with the number of PRISMA for Abstracts scores items
reported adequately (r=0.45). CONCLUSIONS: Quality and completeness of reporting
were found to be suboptimal. Journal editors should endorse PRISMA for Abstracts
and allow for flexibility in abstract word counts to improve quality of
abstracts.
PMID- 27864251
TI - Post hoc evaluation of a common-sense intervention for asthma management in
community pharmacy.
AB - OBJECTIVES: The aim was to evaluate a common-sense, behavioural change
intervention to implement clinical guidelines for asthma management in the
community pharmacy setting. DESIGN: The components of the common-sense
intervention were described in terms of categories and dimensions using the
Intervention Taxonomy (ITAX) and Behaviour Change Techniques (BCTs) using the
Behaviour Change Wheel (BCW), Capability, Opportunity and Motivation-Behaviour
(COM-B) System and Behaviour Change Techniques Taxonomy (BCTTv1). The
retrospective application of these existing tools facilitated evaluation of the
mechanism, fidelity, logistics and rationale of the common-sense intervention.
INTERVENTION: The initial intervention study was conducted in 336 community
pharmacies in the metropolitan area of Perth, Western Australia. Small-group
workshops were conducted in 25 pharmacies; 162 received academic detailing and
149 acted as controls. The intervention was designed to improve pharmacy
compliance with guidelines for a non-prescription supply of asthma reliever
medications. RESULTS: Retrospective application of ITAX identified mechanisms for
the short-acting beta agonists intervention including improving knowledge,
behavioural skills, problem-solving skills, motivation and self-efficacy. All the
logistical elements were considered in the intervention design but the duration
and intensity of the intervention was minimal. The intervention was delivered as
intended (as a workshop) to 13.4% of participants indicating compromised fidelity
and significant adaptation. Retrospective application of the BCW, COM-B system
and BCTTv1 identified 9 different behaviour change techniques as the rationale
for promoting guideline-based practice change. CONCLUSIONS: There was a sound
rationale and clear mechanism for all the components of the intervention but
issues related to logistics, adaptability and fidelity might have affected
outcomes. Small group workshops could be a useful implementation strategy in
community pharmacy, if logistical issues can be overcome and less adaptation
occurs. Duration, intensity and reinforcement need consideration for successful
wider implementation. Further qualitative evaluations, triangulation of research
and evaluations across interventions should be used to provide a greater
understanding of unresolved issues.
PMID- 27864252
TI - Predictors of care home and hospital admissions and their costs for older people
with Alzheimer's disease: findings from a large London case register.
AB - OBJECTIVES: To examine links between clinical and other characteristics of people
with Alzheimer's disease living in the community, likelihood of care home or
hospital admission, and associated costs. DESIGN: Observational data extracted
from clinical records using natural language processing and Hospital Episode
Statistics. Statistical analyses examined effects of cognition, physical health,
mental health, sociodemographic factors and living circumstances on risk of
admission to care home or hospital over 6 months and associated costs, adjusting
for repeated observations. SETTING: Catchment area for South London and Maudsley
National Health Service Foundation Trust, provider for 1.2 million people in
Southeast London. PARTICIPANTS: Every individual with diagnosis of Alzheimer's
disease seen and treated by mental health services in the catchment area, with at
least one rating of cognition, not resident in care home at time of assessment
(n=3075). INTERVENTIONS: Usual treatment. MAIN OUTCOME MEASURES: Risk of
admission to, and days spent in three settings during 6-month period following
routine clinical assessment: care home, mental health inpatient care and general
hospital inpatient care. RESULTS: Predictors of probability of care home or
hospital admission and/or associated costs over 6 months include cognition,
functional problems, agitation, depression, physical illness, previous
hospitalisations, age, gender, ethnicity, living alone and having a partner.
Patterns of association differed considerably by destination. CONCLUSIONS: Most
people with dementia prefer to remain in their own homes, and funding bodies see
this as cheaper than institutionalisation. Better treatment in the community that
reduces health and social care needs of Alzheimer's patients would reduce
admission rates. Living alone, poor living circumstances and functional problems
all raise admission rates, and so major cuts in social care budgets increase the
risk of high-cost admissions which older people do not want. Routinely collected
data can be used to reveal local patterns of admission and costs.
PMID- 27864253
TI - Macquarie Surgical Innovation Identification Tool (MSIIT): a study protocol for a
usability and pilot test.
AB - INTRODUCTION: Medicine relies on innovation to continually improve. However,
innovation is potentially risky, and not all innovations are successful.
Therefore, it is important to identify innovations prospectively and provide
support, to make innovation as safe and effective as possible. The Macquarie
Surgical Innovation Identification Tool (MSIIT) is a simple checklist designed as
a practical tool for hospitals to identify planned surgical innovations. This
project aims to test the usability and pilot the use of the MSIIT in a surgical
setting. METHODS AND ANALYSIS: The project will run in two phases at two
Australian hospitals, one public and one private. Phase I will involve
interviews, focus groups and a survey of hospital administrators and surgical
teams to assess the usability and system requirements for the use of the MSIIT.
Current practice regarding surgical innovation within participating hospitals
will be mapped, and the best implementation strategy for MSIIT completion will be
established. Phase II will involve trialling the MSIIT for each surgery within
the trial period by various surgical personnel. Follow-up interviews, focus
groups and a survey will be conducted with trial participants to collect feedback
on their experience of using the MSIIT during the trial period. Comparative data
on rates of surgical innovation during the trial period will also be gathered
from existing hospital systems and compared to the rates identified by the MSIIT.
ETHICS AND DISSEMINATION: Ethical approval has been obtained. The results of this
study will be presented to interested health services and other stakeholders,
presented at conferences and published in a peer-reviewed MEDLINE-indexed
journal.
PMID- 27864254
TI - Physical activity and incident asthma in adults: the HUNT Study, Norway.
AB - OBJECTIVE: We aimed to investigate the associations of physical activity and
change in physical activity with incident asthma in a cohort of Norwegian adults.
DESIGN: We conducted a prospective cohort study using data on self-reported
physical activity from 3 waves of the Nord-Trondelag Health Study. Physical
activity was reported at the first and second surveys (1985-1986 and 1995-1997).
Physical activity was defined from the second survey as inactive or active and
the active group was further defined as very low, low, moderate and high. Change
in physical activity was defined from the first and second surveys. SETTING: A
large population-based health survey in Norway. PARTICIPANTS: We followed 18 894
adults over 11 years who were free from asthma at baseline in 1995-1997. OUTCOME:
Incident asthma was reported in the third survey (2006-2008). RESULTS: The
cumulative incidence of asthma was 3.6% over the 11 years. The adjusted OR for
incident asthma among active participants compared with inactive participants was
0.95 (95% CI 0.69 to 1.34). The adjusted OR for those who were active in the
first or second survey versus those who were inactive in both surveys was 0.64
(95% CI 0.34 to 1.38); however, this association was strongly attenuated in
sensitivity analysis (OR 0.93, 95% CI 0.38 to 3.09). CONCLUSIONS: We did not
observe statistically significant associations of physical activity or change in
physical activity with incident asthma in adults over the 11-year follow-up.
PMID- 27864256
TI - UK must act to stem brain drain of scientists, MPs say.
PMID- 27864257
TI - COPD diagnosis must improve, says report by Royal College of Physicians.
PMID- 27864255
TI - Improving self-management of cancer risk factors, underscreening for cancer and
depression among general practice patients: study protocol of a randomised
controlled trial.
AB - INTRODUCTION: General practitioners have a key role in reducing cancer risk
factors, screening for cancer and managing depression. Given the time-limited
nature of consultations, a new and more time-efficient approach is needed which
addresses multiple health needs simultaneously, and encourages patient self
management to address health risks. The aim of this cluster randomised controlled
trial is to test the effectiveness of a patient feedback intervention in
improving patient self-management of health needs related to smoking, risky
alcohol consumption and underscreening for cancers at 1 month follow-up. METHODS
AND ANALYSIS: Adult general practice patients will be invited to participate in a
baseline survey to assess cancer risk factors, screening needs and depression. A
total of 360 participants identified by the baseline survey as having at least
one health need (a self-reported cancer risk factor, underscreening for cancer,
or an elevated depression score) will be randomised to an intervention or control
group. Participants in the intervention group will receive tailored printed
feedback summarising their identified health needs and recommended self
management actions to address these. All participants will be invited to complete
a telephone interview 1 month following recruitment to assess self-management
actions taken in relation to health needs identified in the baseline survey.
Control group participants will receive tailored printed feedback on their
identified health needs after their follow-up interview. A logistic regression
model, with group allocation as the main predictor, will be used to assess the
impact of the intervention on self-management actions. ETHICAL CONSIDERATIONS AND
DISSEMINATION: Participants identified as being at risk of depression will be
advised to speak with their doctor. Results will be disseminated via publication
in peer-reviewed journals. The study has been approved by the University of
Newcastle Human Research Ethics Committee. TRIAL REGISTRATION NUMBER:
ACTRN12616001443482.
PMID- 27864260
TI - Light availability affects sex lability in a gynodioecious plant.
AB - PREMISE OF THE STUDY: Sex lability (i.e., gender diphasy) in plants is
classically linked to the larger resource needs associated with the female sexual
function (i.e., seed production) compared to the male function (i.e., pollen
production). Sex lability in response to the environment is extensively
documented in dioecious species, but has been largely overlooked in gynodioecious
plants. METHODS: Here, we tested whether environmental conditions induce sex
lability in the gynodioecious Geranium sylvaticum. We conducted a transplantation
experiment in the field where plants with different sex expression were
reciprocally transplanted between high light and low light habitats. We measured
plants' reproductive output and sex expression over four years. KEY RESULTS: Our
results show that sex expression was labile over the study period. The light
level at the destination habitat had a significant effect on sexual expression
and reproductive output, because plants decreased their reproductive output when
transplanted to the low light habitat. Transplantation origin did not affect any
parameter measured. CONCLUSIONS: This study shows that sex expression in Geranium
sylvaticum is labile and related to light availability. Sexually labile plants
did not produce more seeds or pollen, and thus, there was no apparent fitness
gain in sexually labile individuals. Sex lability in gynodioecious plants may be
more common than previously believed because detection of sex lability
necessitates data on the same individuals over time, which is rare in sexually
dimorphic herbaceous plants.
PMID- 27864261
TI - Female fruit production depends on female flower production and crown size rather
than male density in a continuous population of a tropical dioecious tree (Virola
surinamensis).
AB - PREMISE OF THE STUDY: Factors related to pollen and resource limitation were
evaluated to predict female fruit production in a tropical dioecious tree. Pollen
limitation via variation in the male density at local scales is expected to limit
female reproduction success in dioecious plants. METHODOLOGY: We modeled the
roles of local male density, female crown size, crown illumination, and female
flower production on female fruit initiation and mature fruit production in a
continuous population (62 ha plot) of a tropical dioecious tree (Virola
surinamensis). In addition, we used microsatellites to describe the scale of
effective pollen flow, the male effective population size, and the spatial
genetic structure within/between progenies and males. KEY RESULTS: The local male
density was not related to female fruit initiation or mature fruit production.
Female floral production had a positive effect on fruit initiation. The female
crown size was positively related to fruit maturation. Seeds from the same female
and seeds from different but spatially proximal females were generally half
siblings; however, proximal females showed greater variation. Proximal male
female adult pairs were not significantly more genetically related than distant
pairs. The probability of paternity was negatively affected by the distance
between seeds and males; most effective pollen dispersal events (~85%) occurred
from males located less than 150 m from females. The number of males siring
progenies was greater than the number of males found at local scales.
CONCLUSIONS: Female fecundity in this continuous population of Virola
surinamensis is not limited by the availability of pollen from proximal males.
Rather, resource allocation to floral production may ultimately determine female
reproductive success.
PMID- 27864262
TI - Interspecific reproductive barriers between sympatric populations of wild tomato
species (Solanum section Lycopersicon).
AB - PREMISE OF THE STUDY: Interspecific reproductive barriers (IRBs) often prevent
hybridization between closely related species in sympatry. In the tomato clade
(Solanum section Lycopersicon), interspecific interactions between natural
sympatric populations have not been evaluated previously. In this study, we
assessed IRBs between members of the tomato clade from nine sympatric sites in
Peru. METHODS: Coflowering was assessed at sympatric sites in Peru. Using
previously collected seeds from sympatric sites in Peru, we evaluated premating
prezygotic (floral morphology), postmating prezygotic (pollen-tube growth), and
postzygotic barriers (fruit and seed development) between sympatric species in
common gardens. Pollen-tube growth and seed development were examined in
reciprocal crosses between sympatric species. KEY RESULTS: We confirmed
coflowering of sympatric species at five sites in Peru. We found three types of
postmating prezygotic IRBs during pollen-pistil interactions: (1) unilateral
pollen-tube rejection between pistils of self-incompatible species and pollen of
self-compatible species; (2) potential conspecific pollen precedence in a cross
between two self-incompatible species; and (3) failure of pollen tubes to target
ovules. In addition, we found strong postzygotic IRBs that prevented normal seed
development in 11 interspecific crosses, resulting in seed-like structures
containing globular embryos and aborted endosperm and, in some cases, overgrown
endothelium. Viable seed and F1 hybrid plants were recovered from three of 19
interspecific crosses. CONCLUSIONS: We have identified diverse prezygotic and
postzygotic IRBs that would prevent hybridization between sympatric wild tomato
species, but interspecific hybridization is possible in a few cases.
PMID- 27864264
TI - Reproductive success through high pollinator visitation rates despite self
incompatibility in an endangered wallflower.
AB - PREMISE OF THE STUDY: Self incompatibility (SI) in rare plants presents a unique
challenge-SI protects plants from inbreeding depression, but requires a
sufficient number of mates and xenogamous pollination. Does SI persist in an
endangered polyploid? Is pollinator visitation sufficient to ensure reproductive
success? Is there evidence of inbreeding/outbreeding depression? We characterized
the mating system, primary pollinators, pollen limitation, and
inbreeding/outbreeding depression in Erysimum teretifolium to guide conservation
efforts. METHODS: We compared seed production following self pollination and
within- and between-population crosses. Pollen tubes were visualized after self
pollinations and between-population pollinations. Pollen limitation was tested in
the field. Pollinator observations were quantified using digital video.
Inbreeding/outbreeding depression was assessed in progeny from self and outcross
pollinations at early and later developmental stages. KEY RESULTS: Self
pollination reduced seed set by 6.5* and quadrupled reproductive failure compared
with outcross pollination. Pollen tubes of some self pollinations were arrested
at the stigmatic surface. Seed-set data indicated strong SI, and fruit-set data
suggested partial SI. Pollinator diversity and visitation rates were high, and
there was no evidence of pollen limitation. Inbreeding depression (delta) was
weak for early developmental stages and strong for later developmental stages,
with no evidence of outbreeding depression. CONCLUSIONS: The rare hexaploid E.
teretifolium is largely self incompatible and suffers from late-acting inbreeding
depression. Reproductive success in natural populations was accomplished through
high pollinator visitation rates consistent with a lack of pollen limitation.
Future reproductive health for this species will require large population sizes
with sufficient mates and a robust pollinator community.
PMID- 27864265
TI - Systems genetics of plant adaptation to environmental stresses.
PMID- 27864266
TI - Unusual twig "twistiness" in pawpaw (Asimina triloba) provides biomechanical
protection for distal foliage in high winds.
AB - PREMISE OF STUDY: Deciduous woody species invest considerable resources in the
growth of new foliage and distal stems. This new growth is at risk for mechanical
damage from high winds and storms. Pawpaw has large leaves borne distally on thin
twigs. Following a storm, pawpaw branches sometimes exhibit a persistent
"flipped" orientation, slowly returning upright over 24 h. We investigated
biomechanical properties of pawpaw twigs, comparing them to co-occurring species
with similarly high leaf areas and loads, which do not exhibit this "flipping".
Our goal was to determine biomechanical and structural properties in these
species and how variation in form might relate to functional differences.
METHODS: We measured flexural stiffness, torsional stiffness, and viscoelastic
creep in pawpaw and co-occurring trees Liriodendron tulipifera and Carya
cordiformis. We also recorded twig/foliage reconfiguration in high winds. We
stained thin cross sections of distal twigs and recorded images using fluorescent
light microscopy. KEY RESULTS: Flexural and torsional stiffness increased with
twig radius in pawpaw and tulip tree, although torsional stiffness increased more
slowly in pawpaw. Pawpaw had a high ratio of flexural to torsional stiffness
(EI/GJ) across a range of twig radii and significant viscoelastic creep compared
with the other species. CONCLUSIONS: Biomechanical data showed that pawpaw twigs
were "twistier" than the comparison species, which were shown previously to
alleviate drag-induced damage by reorienting petioles and leaves. Pawpaw has an
unusual strategy of low torsional stiffness in twigs, allowing for reorientation
of the entire distal appendage, likely minimizing drag-induced damage in storms.
PMID- 27864267
TI - Clinical behaviour of spinocerebellar ataxia type 12 and intermediate length
abnormal CAG repeats in PPP2R2B.
AB - Spinocerebellar ataxia type 12 (SCA12) is a rare neurodegenerative disorder
caused by CAG repeat expansion in the PPP2R2B gene. Previously, the causal length
of CAG repeats ascribed to SCA12 was more than 51; however, a few reports have
also described unusual occurrence of CAG repeat length 36-51 repeats among
patients of different geographical population, with atypical clinical
association. From our systematic search for SCA12 in a genetic screening
programme, we have identified a large number of SCA12 cases. In this study, we
specifically describe the clinical behaviour of 18 patients who harbour CAG
repeats in the range of 43-50 and compare their clinical behaviour with patients
carrying typical pathogenic threshold length of 51 CAG repeats. Unsurprisingly,
we observed that the clinical characteristics were similar to those of typical
SCA12 phenotype, with large variability in the age at onset. Radiologically, we
observed a variable degree of cerebro-cerebellar degeneration along with white
matter changes that do not correlate with the disease severity. We define a new
pathogenic threshold of CAG-43 to be pathogenic for SCA12 diagnosis and also
describe the clinical profiles of two biallelic CAG expansion carriers. We also
propose that SCA12 might not be that restricted in terms of occurrence in other
geographical or ethnic populations, as it was previously presumed to be.
PMID- 27864269
TI - Reply: In vitro effects of a human monoclonal antibody against the N-methyl-d
aspartate receptor.
PMID- 27864271
TI - Fears raised over plan to scale back GP and A&E care in east London.
PMID- 27864268
TI - De novo GABRG2 mutations associated with epileptic encephalopathies.
AB - Epileptic encephalopathies are a devastating group of severe childhood onset
epilepsies with medication-resistant seizures and poor developmental outcomes.
Many epileptic encephalopathies have a genetic aetiology and are often associated
with de novo mutations in genes mediating synaptic transmission, including GABAA
receptor subunit genes. Recently, we performed next generation sequencing on
patients with a spectrum of epileptic encephalopathy phenotypes, and we
identified five novel (A106T, I107T, P282S, R323W and F343L) and one known
(R323Q) de novo GABRG2 pathogenic variants (mutations) in eight patients. To gain
insight into the molecular basis for how these mutations contribute to epileptic
encephalopathies, we compared the effects of the mutations on the properties of
recombinant alpha1beta2gamma2L GABAA receptors transiently expressed in HEK293T
cells. Using a combination of patch clamp recording, immunoblotting, confocal
imaging and structural modelling, we characterized the effects of these GABRG2
mutations on GABAA receptor biogenesis and channel function. Compared with wild
type alpha1beta2gamma2L receptors, GABAA receptors containing a mutant gamma2
subunit had reduced cell surface expression with altered subunit stoichiometry or
decreased GABA-evoked whole-cell current amplitudes, but with different levels of
reduction. While a causal role of these mutations cannot be established directly
from these results, the functional analysis together with the genetic information
suggests that these GABRG2 variants may be major contributors to the epileptic
encephalopathy phenotypes. Our study further expands the GABRG2 phenotypic
spectrum and supports growing evidence that defects in GABAergic
neurotransmission participate in the pathogenesis of genetic epilepsies including
epileptic encephalopathies.
PMID- 27864270
TI - In vitro effects of a human monoclonal antibody against the N-methyl-d-aspartate
receptor.
PMID- 27864273
TI - Doctors talking to friends and families.
PMID- 27864276
TI - Death song.
PMID- 27864277
TI - Molecular characterization of GhPLDalpha1 and its relationship with secondary
cell wall thickening in cotton fibers.
AB - Phospholipase D (PLD) hydrolyzes phospholipids to generate a free polar head
group (e.g., choline) and a second messenger phosphatidic acid and plays diverse
roles in plant growth and development, including seed germination, leaf
senescence, root hair growth, and hypocotyl elongation. However, the function of
PLD in cotton remains largely unexplored. Here, the comprehensive molecular
characterization of GhPLDalpha1 was explored with its role in upland cotton
(Gossypium hirsutum) fiber development. The GhPLDalpha1 gene was cloned
successfully, and a sequence alignment showed that GhPLDalpha1 contains one C2
domain and two HKD (HxKxxxxD) domains. Quantitative reverse transcriptase
polymerase chain reaction measured the expression of GhPLDalpha1 in various
cotton tissues with the highest level in fibers at 20 days post anthesis
(d.p.a.). Fluorescent microscopy and immunoblotting in tobacco epidermis showed
the GhPLDalpha1 distribution in both cell membranes and the cytoplasm. An
activity assay indicated changes in PLDalpha enzyme activity in developing fiber
cells with a peak level at 20 d.p.a., coinciding with the onset of cellulose
accumulation and the increased H2O2 content during fiber development.
Furthermore, the inhibition of PLDalpha activity obviously decreased the
cellulose and H2O2 contents of in vitro-cultured cotton fibers. These results
provide important evidence explaining the relationship of GhPLDalpha1 with
secondary cell wall thickening in cotton fibers in that GhPLDalpha1 may correlate
with the increased H2O2 content at the onset of secondary cell wall thickening,
ultimately promoting cellulose biosynthesis.
PMID- 27864279
TI - Nutrition deficiency promotes apoptosis of cartilage endplate stem cells in a
caspase-independent manner partially through upregulating BNIP3.
AB - Nutrition deficiency is reported to induce apoptosis of chondrocytes and
degeneration of cartilage endplate (CEP) in rabbit. Cartilage endplate stem cells
(CESCs) are important for the integrity of structure and function of CEP. Bcl
2/adenovirus E1B 19-kDa-interacting protein 3 (BNIP3) has been reported to
regulate apoptosis, autophagy, and cytoprotection. In this study, we aimed to
determine whether nutrition deficiency induces apoptosis of CESCs, and whether or
not the BNIP3-related pathway is activated in CESCs during nutrition deficiency.
CESCs isolated from degenerated human CEP were cultured under normal or nutrition
deficient condition. Then, apoptosis was analyzed by flow cytometry. The
expression and intracellular localization of BNIP3 were detected by quantitative
real-time polymerase chain reaction, western blot analysis, and
immunofluorescence assay, respectively. Mitochondrial membrane potential (MMP)
and caspase-3 activity were measured by JC-1 staining and caspase-3 activity
assay. Our results showed that nutrition deficiency promotes apoptosis and BNIP3
expression in CESCs. Notably, knockdown of BNIP3 could partially decrease
nutrition deficiency-induced apoptosis of CESCs. In addition, nutrition
deficiency could also induce upregulation of BNIP3, resulting in mitochondrial
translocation of BNIP3 and loss of MMP in CESCs in a time-dependent manner.
However, nutrition deficiency showed no effects on caspase-3 activity in CESCs.
In summary, nutrition deficiency may promote CESC apoptosis partially through
upregulating BNIP3, which might lead to activation of the BNIP3-related pathway
and apoptosis of CESCs in a caspase-independent manner.
PMID- 27864278
TI - Integrative analysis for the role of long non-coding RNAs in radiation-induced
mouse thymocytes responses.
AB - Long non-coding RNAs (lncRNAs) are a critical class of regulatory molecules
involved in a variety of biological functions; however, their role in immune
cells response to radiation is unknown. Therefore, in this study we used
integrative analysis to determine the expression profile of lncRNAs in mouse
thymocytes and the potential functions of lncRNAs in response to radiation.
Microarray data profiling indicated that 53 lncRNAs (36 up-regulated and 17 down
regulated) and 74 coding genes (39 up-regulated and 35 down-regulated) were
highly differentially expressed in the high dose radiation (HDR) group compared
with the control group. In the low dose radiation (LDR) group, only one lncRNA
was down-regulated. Moreover, as compared with the control group, 109 lncRNA
pathways in the HDR group and 14 lncRNA pathways in the LDR group were
differentially expressed. Our data revealed the expression pattern of lncRNAs in
mouse thymocytes and predicted their potential functions in response to LDR and
HDR. In the HDR group, GO analysis showed that the role of lncRNAs in damage
responses of thymocytes to HDR mainly involved chromatin organization and cell
death. These findings might improve our understanding of the role of lncRNAs in
LDR- and HDR-induced immune cells and provide a new experimental basis for
further investigation.
PMID- 27864281
TI - Lipoprotein lipase and phospholipid transfer protein overexpression in human
glioma cells and their effect on cell growth, apoptosis, and migration.
AB - Glioma is one of the common tumors in brain. The expression level of lipoprotein
lipase (LPL) or phospholipid transfer protein (PLTP) may influence glioma
progression and its relationship with clinical and pathological parameters. The
clinical significance of LPL or PLTP expression in glioma has not been
established. In the present study, the LPL and PLTP levels in glioma tumors were
investigated and the relationship between the LPL and PLTP level and the grade of
malignant glioma was analyzed, with the aim to provide new ideas for the
diagnosis and treatment of gliomas in clinical and basic research settings. LPL
and PLTP mRNA and protein levels were significantly higher in Grade IV glioma
than those in the lower grade tumors (P < 0.01). Double immunofluorescent
staining showed that the levels of LPL and PLTP were significantly associated
with the pathological grade of glioma (P = 0.005). The levels of LPL and PLTP
were increased with the shortened survival of glioma patients (P < 0.001).
Knockdown of LPL and PLTP led to decreased cell growth and migration but
increased apoptosis in vitro Additionally, cell cycle-related cyclins and their
partners were found to be down-regulated while cyclin-dependent kinase inhibitors
p16, p21, and Rb were up-regulated. Furthermore, knockdown of LPL or PLTP
resulted in the up-regulation of pro-apoptotic molecules and the down-regulation
of anti-apoptotic molecules. Ablation of LPL or PLTP in U251 cells resulted in
the down-regulation of epithelial mesenchymal transition markers and invasion
molecules matrix metalloproteinases. LPL and PLTP appear to be novel glioma
associated proteins and play a role in the progression of human glioma.
PMID- 27864280
TI - Edaravone injection reverses learning and memory deficits in a rat model of
vascular dementia.
AB - Edaravone is a novel free radical scavenger that exerts neuroprotective effects
by inhibiting endothelial injury and by ameliorating neuronal damage in brain
ischemia. Recently, it was reported that edaravone could alleviate the pathology
and cognitive deficits of Alzheimer's disease patients. However, its relevance to
vascular dementia (VaD) is not clear. In this study, we partially occluded the
bilateral carotid arteries of rats surgically to induce chronic cerebral
hypoperfusion (CCH), a well-known rat model of VaD. Water maze and step-down
inhibitory test were used to evaluate the memory deficit. The activities of
superoxide dismutase (SOD) and lactate dehydrogenase (LDH), the content of
malondialdehyde (MDA) and total reactive oxygen species were measured to evaluate
the oxidative stress level. Western blot analysis was used to evaluate the
synaptic protein expression. It was found that treatment with edaravone for a 5
week period was able to reverse both spatial and fear-memory deficits in rats
with CCH. Edaravone significantly reduced the level of oxidative stress in the
brains of rats with CCH by increasing SOD activity and decreasing the content of
MDA, LDH, and total reactive oxygen species. Furthermore, edaravone treatment
also restored the levels of multiple synaptic proteins in the hippocampi of rats
with CCH. Our data provide direct evidence supporting the neuroprotective effects
of edaravone in VaD. We propose that the alleviation of oxidative stress and
restoration of synaptic proteins play important roles in neuroprotection.
PMID- 27864282
TI - Bxb1 integrase serves as a highly efficient DNA recombinase in rapid metabolite
pathway assembly.
AB - Phage-encoded serine integrases are widely used in genetic engineering. They also
have the potential to serve as efficient DNA assemblers, demonstrated by the
method of site-specific recombination-based tandem assembly (SSRTA) that can
combine biological parts into devices, pathways, and systems. Here, four serine
integrases, phiBT1, TG1, phiRv1, and Bxb1, were investigated to ascertain their
in vitro DNA assembly activities. Bxb1 integrase displayed the highest efficiency
to obtain final products. Thus, we conclude that Bxb1 integrase is an excellent
choice for DNA assembly in vitro Using this enzyme and its recognition sites,
BioBrick standards were designed that are compatible with the SSRTA method for
module addition. A rapid and efficient procedure was developed for the assembly
of a multigene metabolic pathway in one step, directly from non-cutting plasmids
containing the gene fragments. This technique is easy and convenient, and would
be of interest to the synthetic biology community.
PMID- 27864283
TI - Tumor necrosis factor-alpha: a key contributor to intervertebral disc
degeneration.
AB - Intervertebral disc (IVD) degeneration (IDD) is the most common cause leading to
low back pain (LBP), which is a highly prevalent, costly, and crippling condition
worldwide. Current treatments for IDD are limited to treat the symptoms and do
not target the pathophysiology. Tumor necrosis factor-alpha (TNF-alpha) is one of
the most potent pro-inflammatory cytokines and signals through its receptors
TNFR1 and TNFR2. TNF-alpha is highly expressed in degenerative IVD tissues, and
it is deeply involved in multiple pathological processes of disc degeneration,
including matrix destruction, inflammatory responses, apoptosis, autophagy, and
cell proliferation. Importantly, anti-TNF-alpha therapy has shown promise for
mitigating disc degeneration and relieving LBP. In this review, following a brief
description of TNF-alpha signal transduction, we mainly focus on the expression
pattern and roles of TNF-alpha in IDD, and summarize the emerging progress
regarding its inhibition as a promising biological therapeutic approach to disc
degeneration and associated LBP. A better understanding will help to develop
novel TNF-alpha-centered therapeutic interventions for degenerative disc disease.
PMID- 27864285
TI - IL-23 secreted by bronchial epithelial cells contributes to allergic
sensitization in asthma model: role of IL-23 secreted by bronchial epithelial
cells.
AB - IL-23 has been postulated to be a critical mediator contributing to various
inflammatory diseases. Dermatophagoides pteronyssinus (Der p) is one of the most
common inhalant allergens. However, the role of IL-23 in Der p-induced mouse
asthma model is not well understood, particularly with regard to the development
of allergic sensitization in the airways. The objective of this study was to
evaluate roles of IL-23 in Der p sensitization and asthma development. BALB/c
mice were repeatedly administered Der p intranasally to develop Der p allergic
sensitization and asthma. After Der p local administration, changes in IL-23
expression were examined in lung tissues and primary epithelial cells. Anti-IL
23p19 antibody was given during the Der p sensitization period, and its effects
were examined. Effects of anti-IL-23p19 antibody at bronchial epithelial levels
were also examined in vitro. The expression of IL-23 at bronchial epithelial
layers was increased after Der p local administration in mouse. In Der p-induced
mouse models, anti-IL-23p19 antibody treatment during allergen sensitization
significantly diminished Der p allergic sensitization and several features of
allergic asthma including the production of Th2 cytokines and the population of
type 2 innate lymphoid cells in lungs. The activation of dendritic cells in lung
draining lymph nodes was also reduced by anti-IL-23 treatment. In murine lung
alveolar type II-like epithelial cell line (MLE-12) cells, IL-23 blockade
prevented cytokine responses to Der p stimulation, such as IL-1alpha, granulocyte
macrophage colony-stimulating factor (GM-CSF), IL-33, and also bone marrow
derived dendritic cell activation. In conclusion, IL-23 is another important
bronchial epithelial cell-driven cytokine which may contribute to the development
of house dust mite allergic sensitization and asthma.
PMID- 27864284
TI - Region-specific role for Pten in maintenance of epithelial phenotype and
integrity.
AB - Previous studies have demonstrated resistance to naphthalene-induced injury in
proximal airways of mice with lung epithelial-specific deletion of the tumor
suppressor gene Pten, attributed to increased proliferation of airway
progenitors. We tested effects of Pten loss following bleomycin injury, a model
typically used to study distal lung epithelial injury, in conditional PtenSFTPC
cre knockout mice. Pten-deficient airway epithelium exhibited marked hyperplasia,
particularly in small bronchioles and at bronchoalveolar duct junctions, with
reduced E-cadherin and beta-catenin expression between cells toward the luminal
aspect of the hyperplastic epithelium. Bronchiolar epithelial and alveolar
epithelial type II (AT2) cells in PtenSFTPC-cre mice showed decreased expression
of epithelial markers and increased expression of mesenchymal markers, suggesting
at least partial epithelial-mesenchymal transition at baseline. Surprisingly, and
in contrast to previous studies, mutant mice were exquisitely sensitive to
bleomycin, manifesting rapid weight loss, respiratory distress, increased early
mortality (by day 5), and reduced dynamic lung compliance. This was accompanied
by sloughing of the hyperplastic airway epithelium with occlusion of small
bronchioles by cellular debris, without evidence of increased parenchymal lung
injury. Increased airway epithelial cell apoptosis due to loss of antioxidant
defenses, reflected by decreased expression of superoxide dismutase 3, in
combination with deficient intercellular adhesion, likely predisposed to airway
sloughing in knockout mice. These findings demonstrate an important role for Pten
in maintenance of airway epithelial phenotype integrity and indicate that
responses to Pten deletion in respiratory epithelium following acute lung injury
are highly context-dependent and region-specific.
PMID- 27864287
TI - Double-hit mouse model of cigarette smoke priming for acute lung injury.
AB - Epidemiological studies indicate that cigarette smoking (CS) increases the risk
and severity of acute lung injury (ALI)/acute respiratory distress syndrome
(ARDS). The mechanism is not understood, at least in part because of lack of
animal models that reproduce the key features of the CS priming process. In this
study, using two strains of mice, we characterized a double-hit mouse model of
ALI induced by CS priming of injury caused by lipopolysaccharide (LPS). C57BL/6
and AKR mice were preexposed to CS briefly (3 h) or subacutely (3 wk) before
intratracheal instillation of LPS and ALI was assessed 18 h after LPS
administration by measuring lung static compliance, lung edema, vascular
permeability, inflammation, and alveolar apoptosis. We found that as little as 3
h of exposure to CS enhanced LPS-induced ALI in both strains of mice. Similar
exacerbating effects were observed after 3 wk of preexposure to CS. However,
there was a strain difference in susceptibility to CS priming for ALI, with a
greater effect in AKR mice. The key features we observed suggest that 3 wk of CS
preexposure of AKR mice is a reproducible, clinically relevant animal model that
is useful for studying mechanisms and treatment of CS priming for a second-hit
induced ALI. Our data also support the concept that increased susceptibility to
ALI/ARDS is an important adverse health consequence of CS exposure that needs to
be taken into consideration when treating critically ill individuals.
PMID- 27864288
TI - The role of nuclear factor-erythroid 2 related factor 2 (Nrf-2) in the protection
against lung injury.
AB - Nuclear factor-erythroid 2 related factor 2 (Nrf2) is a ubiquitous master
transcription factor that upregulates antioxidant response elements (AREs)
mediated expression of antioxidant enzyme and cytoprotective proteins. Activation
of Nrf2 has been shown to be protective against lung injury. In the lung, diverse
stimuli including environmental oxidants, medicinal agents, and pathogens can
activate Nrf2. Nrf2 translocates to the nucleus and binds to an ARE. Through
transcriptional induction of ARE-bearing genes encoding antioxidant-detoxifying
proteins, Nrf2 induces cellular rescue pathways against oxidative pulmonary
injury, abnormal inflammatory and immune responses, and apoptosis. The Nrf2
antioxidant pathway has been shown to be important in the protection against
various lung injuries including acute lung injury/acute respiratory distress
syndrome and bronchopulmonary dysplasia, chronic obstructive pulmonary disease,
idiopathic pulmonary fibrosis, asthma, and allergy and was widely examined for
new therapeutic targets. The present review explores the protective role of Nrf-2
against lung injury and the therapeutic potential in targeting Nrf-2.
PMID- 27864286
TI - Transforming growth factor-beta plays divergent roles in modulating vascular
remodeling, inflammation, and pulmonary fibrosis in a murine model of
scleroderma.
AB - The efficacy and feasibility of targeting transforming growth factor-beta
(TGFbeta) in pulmonary fibrosis and lung vascular remodeling in systemic
sclerosis (SSc) have not been well elucidated. In this study we analyzed how
blocking TGFbeta signaling affects pulmonary abnormalities in Fos-related antigen
2 (Fra-2) transgenic (Tg) mice, a murine model that manifests three important
lung pathological features of SSc: fibrosis, inflammation, and vascular
remodeling. To interrupt TGFbeta signaling in the Fra-2 Tg mice, we used a pan
TGFbeta-blocking antibody, 1D11, and Tg mice in which TGFbeta receptor type 2
(Tgfbr2) is deleted from smooth muscle cells and myofibroblasts (alpha-SMA
CreER;Tgfbr2flox/flox). Global inhibition of TGFbeta by 1D11 did not ameliorate
lung fibrosis histologically or biochemically, whereas it resulted in a
significant increase in the number of immune cells infiltrating the lungs. In
contrast, 1D11 treatment ameliorated the severity of pulmonary vascular
remodeling in Fra-2 Tg mice. Similarly, genetic deletion of Tgfbr2 from smooth
muscle cells resulted in improvement of pulmonary vascular remodeling in the Fra
2 Tg mice, as well as a decrease in the number of Ki67-positive vascular smooth
muscle cells, suggesting that TGFbeta signaling contributes to development of
pulmonary vascular remodeling by promoting the proliferation of vascular smooth
muscle cells. Deletion of Tgfbr2 from alpha-smooth muscle actin-expressing cells
had no effect on fibrosis or inflammation in this model. These results suggest
that efforts to target TGFbeta in SSc will likely require more precision than
simply global inhibition of TGFbeta function.
PMID- 27864289
TI - Immunotherapy for arterial ischaemic stroke in childhood: a systematic review.
AB - BACKGROUND: There is little evidence about either prevention or treatment of
childhood arterial ischaemic stroke (AIS). However, drugs that regulate the
immune and inflammatory response could theoretically prevent occurrence or
recurrence of AIS. Additionally, as an acute treatment, they may limit the
neurological damage caused by AIS. Here, we systematically review the evidence on
the use of immunotherapy in childhood AIS. DESIGN: A systematic review of
publications in databases Embase and Medline from inception. All types of
evidence were included from trials, cohorts, case-control and cross-sectional
studies and case reports. RESULTS: 34 reports were included: 32 observational
studies and 2 trials. Immunotherapy was used in two key patient groups:
arteriopathy and acute infection. The majority were cases of varicella and
primary angiitis of the central nervous system. All three cohorts and 80% of the
case studies were treated with steroids. Recurrence rates were low. Analytical
studies weakly associated steroids with lower odds of new stroke and neurological
deficits, and better cognitive outcomes in the context of Moyamoya disease and
tuberculosis. CONCLUSIONS: Immunotherapies are used in children with AIS, mainly
as steroids for children with arteriopathy. However, there is currently little
robust evidence to either encourage or discourage this practice. There is weak
evidence consistent with the hypothesis that in certain children at risk,
steroids may both reduce the risk of occurrent/recurrent stroke and enhance
neurological outcomes. As the potential benefit is still uncertain, this
indicates that a trial of steroids in childhood AIS may be justified.
PMID- 27864290
TI - Sleep is an important factor when considering rugby union player load.
PMID- 27864291
TI - Ranald Philip Clayton Handfield-Jones.
PMID- 27864292
TI - Clinical efficacy of fecal occult blood test and colonoscopy for dasatinib
induced hemorrhagic colitis in CML patients.
PMID- 27864293
TI - Expressions of the CagA protein and CagA-signaling molecules predict Helicobacter
pylori dependence of early-stage gastric DLBCL.
AB - We previously reported that early-stage gastric diffuse large B-cell lymphomas
(DLBCLs), including DLBCLs with mucosa-associated lymphoid tissue (DLBCL[MALT])
and without ("pure" DLBCL) the features of MALT lymphomas, can achieve long-term
complete remission after frontline Helicobacter pylori (HP) eradication (HPE). We
recently reported that expression of cytotoxin-associated gene A (CagA) and CagA
signaling molecules (phospho-Src homology-2 domain-containing phosphatase [p
SHP2] and phospho-extracellular signal-regulated kinase [p-ERK]) is associated
with HP dependence of gastric MALT lymphoma. However, the significance of CagA
and CagA-signaling molecules in gastric DLBCL remains unexplored. The association
between expression of CagA, p-SHP-2, and p-ERK in malignant B cells and tumor
response to HPE was evaluated in 63 patients with stage IE/IIE1 HP-positive
gastric DLBCL who received HPE as frontline treatment. We detected CagA
expression in 20 of 42 DLBCL (MALT) cases (47.6%) and in 13 of 21 "pure" DLBCL
cases (61.9%). CagA expression was higher in HP-dependent tumors than in HP
independent tumors (74.3% [26 of 35] vs 25.0% [7 of 28]). Patients with CagA
expression responded to HPE quicker than those without expression (median time to
complete remission, 4.0 months vs 5.0 months). The expression of CagA was closely
associated with p-SHP-2 and p-ERK expression. Combined CagA, p-SHP-2, and p-ERK
expression showed an increased positive predictive value (81.8% vs 75.9%) and an
increased specificity (84.0% vs 75.0%) for HP dependence compared with CagA
expression alone. Our results indicated that CagA and its signaling molecules can
be detected in the malignant B cells of gastric DLBCL, and the expression of
these molecules is clinically and biologically associated with HP dependence.
PMID- 27864297
TI - Expression of biologically active murine interleukin-18 in Lactococcus lactis.
AB - The food-grade bacterium Lactococcus lactis is increasingly used for heterologous
protein expression in therapeutic and industrial applications. The ability of L.
lactis to secrete biologically active cytokines may be used for the generation of
therapeutic cytokines. Interleukin (IL)-18 enhances the immune response,
especially on mucosal surfaces, emphasizing its therapeutic potential. However,
it is produced as an inactive precursor and has to be enzymatically cleaved for
maturation. We genetically manipulated L. lactis to secrete murine IL-18. The
mature murine IL-18 gene was inserted downstream of a nisin promoter in pNZ8149
plasmid and the construct was used to transform L. lactis NZ3900. The
transformants were selected on Elliker agar and confirmed by restriction enzyme
digestion and sequencing. The expression and secretion of IL-18 protein was
verified by SDS-PAGE, western blotting and ELISA. The biological activity of
recombinant IL-18 was determined by its ability to induce interferon (IFN)-gamma
production in L. lactis co-cultured with murine splenic T cells. The amounts of
IL-18 in bacterial lysates and supernatants were 3-4 MUg mL-1 and 0.6-0.7 ng mL
1, respectively. The successfully generated L. lactis strain that expressed
biologically active murine IL-18 can be used to evaluate the possible therapeutic
effects of IL-18 on mucosal surfaces.
PMID- 27864294
TI - B-cell receptor-driven MALT1 activity regulates MYC signaling in mantle cell
lymphoma.
AB - Mantle cell lymphoma (MCL) is a mature B-cell lymphoma characterized by poor
clinical outcome. Recent studies revealed the importance of B-cell receptor (BCR)
signaling in maintaining MCL survival. However, it remains unclear which role
MALT1, an essential component of the CARD11-BCL10-MALT1 complex that links BCR
signaling to the NF-kappaB pathway, plays in the biology of MCL. Here we show
that a subset of MCLs is addicted to MALT1, as its inhibition by either RNA or
pharmacologic interference induced cytotoxicity both in vitro and in vivo. Gene
expression profiling following MALT1 inhibition demonstrated that MALT1 controls
an MYC-driven gene expression network predominantly through increasing MYC
protein stability. Thus, our analyses identify a previously unappreciated
regulatory mechanism of MYC expression. Investigating primary mouse splenocytes,
we could demonstrate that MALT1-induced MYC regulation is not restricted to MCL,
but represents a common mechanism. MYC itself is pivotal for MCL survival because
its downregulation and pharmacologic inhibition induced cytotoxicity in all MCL
models. Collectively, these results provide a strong mechanistic rationale to
investigate the therapeutic efficacy of targeting the MALT1-MYC axis in MCL
patients.
PMID- 27864295
TI - Endothelial cells produce bone morphogenetic protein 6 required for iron
homeostasis in mice.
AB - Bone morphogenetic protein 6 (BMP6) signaling in hepatocytes is a central
transcriptional regulator of the iron hormone hepcidin that controls systemic
iron balance. How iron levels are sensed to regulate hepcidin production is not
known, but local induction of liver BMP6 expression by iron is proposed to have a
critical role. To identify the cellular source of BMP6 responsible for hepcidin
and iron homeostasis regulation, we generated mice with tissue-specific ablation
of Bmp6 in different liver cell populations and evaluated their iron phenotype.
Efficiency and specificity of Cre-mediated recombination was assessed by using
Cre-reporter mice, polymerase chain reaction of genomic DNA, and quantitation of
Bmp6 messenger RNA expression from isolated liver cell populations. Localization
of the BMP co-receptor hemojuvelin was visualized by immunofluorescence
microscopy. Analysis of the Bmp6 conditional knockout mice revealed that liver
endothelial cells (ECs) expressed Bmp6, whereas resident liver macrophages
(Kupffer cells) and hepatocytes did not. Loss of Bmp6 in ECs recapitulated the
hemochromatosis phenotype of global Bmp6 knockout mice, whereas hepatocyte and
macrophage Bmp6 conditional knockout mice exhibited no iron phenotype.
Hemojuvelin was localized on the hepatocyte sinusoidal membrane immediately
adjacent to Bmp6-producing sinusoidal ECs. Together, these data demonstrate that
ECs are the predominant source of BMP6 in the liver and support a model in which
EC BMP6 has paracrine actions on hepatocyte hemojuvelin to regulate hepcidin
transcription and maintain systemic iron homeostasis.
PMID- 27864298
TI - N-(3-oxo-hexanoyl)-homoserine lactone has a critical contribution to the quorum
sensing-dependent regulation in phytopathogen Pseudomonas syringae pv. tabaci
11528.
AB - The phytopathogen Pseudomonas syringae pv. tabaci 11528 (P. syringae 11528),
causing wild-fire disease in soybean and tobacco plants, processes PsyI-PsyR
quorum-sensing (QS) system, in which PsyI is the N-(3-oxo-hexanoyl)-homoserine
lactone (3OC6-HSL) synthase. In comparison to P. syringae 11528 AHL-deficient
mutant, 845 3OC6-HSL-dependent genes were identified using RNA sequencing (RNA
seq) in the AHL-deficient mutant grown with exogenous 3OC6-HSL in the transition
from the exponential to the stationary phase, and many of them were associated
with virulence, which were negatively regulated. The gene ontology and KEGG
pathway enrichment analysis of those genes presented that the most pronounced
regulation was involved in bacterial motility. Moreover, similar expression
profiles of genes during growth phases were observed in both the wild type and
the AHL-deficient mutant with exogenous 3OC6-HSL compared with the AHL-deficient
mutant. These findings imply that 3OC6-HSL has a critical contribution to the QS
dependent regulation on gene expression, and 3OC6-HSL-dependent regulation may
play a significant role in plant infection.
PMID- 27864299
TI - Challenges in the use of immunotherapy in metastatic melanoma.
AB - A 65-year-old man with metastatic melanoma was started on immunotherapy, switched
to alternative targeted therapy and developed disseminated intravascular
coagulation. This case underscores the complexity of interpreting and managing
side effects of novel therapies in cancer care, identification of progression
versus pseudoprogression and challenges in sequencing treatments in metastatic
melanoma.
PMID- 27864296
TI - A mechanistic investigation of thrombotic microangiopathy associated with IV
abuse of Opana ER.
AB - Since 2012, a number of case reports have described the occurrence of thrombotic
microangiopathy (TMA) following IV abuse of extended-release oxymorphone
hydrochloride (Opana ER), an oral opioid for long-term treatment of chronic pain.
Here, we present unique clinical features of 3 patients and investigate IV
exposure to the tablet's inert ingredients as a possible causal mechanism. Guinea
pigs were used as an animal model to understand the hematopathologic and
nephrotoxic potential of the inert ingredient mixture (termed here as PEO+) which
primarily contains high-molecular-weight polyethylene oxide (HMW PEO).
Microangiopathic hemolytic anemia, thrombocytopenia, and acute kidney injury were
found in a group of 3 patients following recent injection of adulterated extended
release oxymorphone tablets. Varying degrees of cardiac involvement and retinal
ischemia occurred, with TMA evident on kidney biopsy. A TMA-like state also
developed in guinea pigs IV administered PEO+. Acute tubular and glomerular renal
injury was accompanied by nonheme iron deposition and hypoxia-inducible factor
1alpha upregulation in the renal cortex. Similar outcomes were observed following
dosing with HMW PEO alone. IV exposure to the inert ingredients in reformulated
extended-release oxymorphone can elicit TMA. Although prescription opioid abuse
shows geographic variation, all physicians should be highly inquisitive of IV
drug abuse when presented with cases of TMA.
PMID- 27864301
TI - Bacteraemia due to Parvimonas micra, a commensal pathogen, in a patient with an
oesophageal tumour.
AB - A man aged 53 years was admitted to our hospital due to general malaise, fever
and chills for the past 24 hours. He had a history of chronic alcoholic liver
disease. The blood tests showed leucocytosis with neutrophilia, lactic acidosis
and acute-phase reactants. The blood cultures were positive for Parvimonas micra,
an anaerobic pathogen which is part of the flora of the oral cavity. There was no
evidence of abscess formation in either the examination or the imaging tests, but
in the work-up that followed, a gastroscopy showed a stenotic oesophageal mass
that turned out to be an invasive squamous cell carcinoma.
PMID- 27864300
TI - Oral and gastrointestinal symptomatic metastases as initial presentation of lung
cancer.
AB - Metastasis to the tongue, duodenum or pancreas from primary lung cancer is
uncommon. Primary lung cancer presenting with symptoms related to metastases at
these sites, at initial presentation is extremely rare. We report a 45-year-old
man with disseminated lung malignancy who presented with dyspepsia, melena,
symptoms due to anaemia and swelling in the tongue. Oral examination revealed a
hard submucosal anterior tongue lesion. Biopsies from the tongue lesion and the
duodenal ulcer seen on upper gastrointestinal endoscopy were suggestive of
metastasis from lung primary. CT revealed lung primary with disseminated
metastasis to lung, liver, adrenals, kidneys, head and body of pancreas, duodenum
and intra-abdominal lymph nodes. The patient was treated with palliative
chemotherapy. The unusual presentation and diagnostic details are discussed.
PMID- 27864302
TI - Interpregnancy interval following miscarriage and adverse pregnancy outcomes:
systematic review and meta-analysis.
AB - BACKGROUND: A short interpregnancy interval (IPI) following a delivery is
believed to be associated with adverse outcomes in the next pregnancy. The
optimum IPI following miscarriage is controversial. Based on a single large-scale
study in Latin and South America, the World Health Organization recommends
delaying pregnancy for 6 months after a miscarriage to achieve optimal outcomes
in the next pregnancy. OBJECTIVE AND RATIONALE: Our aim was to determine if a
short IPI (<6 months) following miscarriage is associated with adverse outcomes
in the next pregnancy. SEARCH METHODS: Studies were retrieved from MEDLINE,
Embase and Pubmed, with no time and language restrictions. The search strategy
used a combination of Medical Subject Headings terms for miscarriage, IPI and
adverse outcomes. Bibliographies of the retrieved articles were also searched by
hand. All studies including women with at least one miscarriage, comparing
subsequent adverse pregnancy outcomes for IPIs of less than and more than 6
months were included. Two independent reviewers screened titles and abstracts for
inclusion. Characteristics of the studies were extracted and quality assessed
using Critical Appraisal Skills Programme criteria. A systematic review and meta
analysis were conducted to compare short (<6 months) versus long (>6 months) IPI
following miscarriage in terms of risk of further miscarriage, preterm birth,
stillbirth, pre-eclampsia and low birthweight babies in the subsequent pregnancy.
Review Manager 5.3 was used for conducting meta-analyses. OUTCOMES: Sixteen
studies including 1 043 840 women were included in the systematic review and data
from 10 of these were included in one or more meta-analyses (977 972 women). With
an IPI of less than 6 months, the overall risk of further miscarriage (Risk ratio
(RR) 0.82 95% CI 0.78, 0.86) and preterm delivery (RR 0.79 95% CI 0.75, 0.83)
were significantly reduced. The pooled risks of stillbirth (RR 0.88 95% CI 0.76,
1.02); low birthweight (RR 1.05 95% CI 0.48, 2.29) and pre-eclampsia (RR 0.95 95%
CI 0.88, 1.02) were not affected by IPI. Similar findings were obtained in
subgroup analyses when IPI of <6 months was compared with IPI of 6-12 months and
>12 months. WIDER IMPLICATIONS: This is the first systematic review and meta
analysis providing clear evidence that an IPI of less than 6 months following
miscarriage is not associated with adverse outcomes in the next pregnancy. This
information may be used to revise current guidance.
PMID- 27864303
TI - Diagnosis of Left Ventricular Assist Device Outflow Graft Obstruction Using
Intravascular Ultrasound.
PMID- 27864304
TI - Constrictive Pericarditis and Protein-Losing Enteropathy: Is Extremely Severe
Hypoalbuminemia Reversible by Pericardiectomy?
PMID- 27864306
TI - The Transactivation Domains of the p53 Protein.
AB - The p53 tumor suppressor is a transcriptional activator, with discrete domains
that participate in sequence-specific DNA binding, tetramerization, and
transcriptional activation. Mutagenesis and reporter studies have delineated two
distinct activation domains (TADs) and specific hydrophobic residues within these
TADs that are critical for their function. Knockin mice expressing p53 mutants
with alterations in either or both of the two TADs have revealed that TAD1 is
critical for responses to acute DNA damage, whereas both TAD1 and TAD2
participate in tumor suppression. Biochemical and structural studies have
identified factors that bind either or both TADs, including general transcription
factors (GTFs), chromatin modifiers, and negative regulators, helping to
elaborate a model through which p53 activates transcription. Posttranslational
modifications (PTMs) of the p53 TADs through phosphorylation also regulate TAD
activity. Together, these studies on p53 TADs provide great insight into how p53
serves as a tumor suppressor.
PMID- 27864305
TI - Oncogenic Mechanisms of Histone H3 Mutations.
AB - Recurrent missense mutations in histone H3 were recently reported in pediatric
gliomas and soft tissue tumors. Strikingly, these mutations only affected a
minority of the total cellular H3 proteins and occurred at or near lysine
residues at positions 27 and 36 on the amino-terminal tail of H3 that are subject
to well-characterized posttranslational modifications. Here we review recent
progress in elucidating the mechanisms by which these mutations perturb the
chromatin landscape in cells through their effects on chromatin-modifying
machinery, particularly through inhibition of specific histone lysine
methyltransferases. One common feature of histone mutations is their ability to
arrest cells in a primitive state refractory to differentiation induction,
highlighting the importance of studying these mutations in their proper
developmental context.
PMID- 27864308
TI - Working in hot weather: a review of policies and guidelines to minimise the risk
of harm to Australian workers.
PMID- 27864307
TI - Pancreatic beta-Cells Express the Fetal Islet Hormone Gastrin in Rodent and Human
Diabetes.
AB - beta-Cell failure in type 2 diabetes (T2D) was recently proposed to involve
dedifferentiation of beta-cells and ectopic expression of other islet hormones,
including somatostatin and glucagon. Here we show that gastrin, a stomach hormone
typically expressed in the pancreas only during embryogenesis, is expressed in
islets of diabetic rodents and humans with T2D. Although gastrin in mice is
expressed in insulin+ cells, gastrin expression in humans with T2D occurs in both
insulin+ and somatostatin+ cells. Genetic lineage tracing in mice indicates that
gastrin expression is turned on in a subset of differentiated beta-cells after
exposure to severe hyperglycemia. Gastrin expression in adult beta-cells does not
involve the endocrine progenitor cell regulator neurogenin3 but requires membrane
depolarization, calcium influx, and calcineurin signaling. In vivo and in vitro
experiments show that gastrin expression is rapidly eliminated upon exposure of
beta-cells to normal glucose levels. These results reveal the fetal hormone
gastrin as a novel marker for reversible human beta-cell reprogramming in
diabetes.
PMID- 27864309
TI - Railway suicide clusters: how common are they and what predicts them?
AB - BACKGROUND: A growing number of studies have sought to detect clusters of all
suicides, but few have sought to identify clusters of method-specific suicides.
METHODS: Data on railway suicides occurring in Victoria, Australia, between 2001
and 2012 were obtained from the National Coronial Information System. We used the
Poisson discrete scan statistic to identify railway suicides that occurred close
together in space and/or time. We then used a case-control design to compare
clustered railway suicides with non-clustered railway suicides on a range of
individual and neighbourhood factors. RESULTS: We detected four spatial clusters
that accounted for 35% of all railway suicides. Railway suicides by individuals
who were hospitalised for mental illness had nearly double the odds of being in a
cluster compared with those individuals who had never been hospitalised (OR 1.80,
95% CI 1.02 to 3.18). Higher frequency train services were associated with
increased odds of being in a cluster (OR 1.11, 95% CI 1.03 to 1.19). No other
predictors were associated with being in a cluster. CONCLUSIONS: Railway suicides
that occur in clusters warrant particular attention because of the ripple effect
they can have for communities and the risk that they may lead to copycat acts.
Railway suicide prevention strategies should consider the fact that these
suicides can occur in clusters, particularly among individuals who had previous
hospitalisations for mental illness or live in areas with high-frequency train
services.
PMID- 27864311
TI - Late Dehiscence of Left Atrial Appendage Closure Device.
PMID- 27864312
TI - Atrial Pathology Findings in a Patient With PRKAG2 Cardiomyopathy and Persistent
Atrial Fibrillation.
PMID- 27864310
TI - Low TLR7 gene expression in atherosclerotic plaques is associated with major
adverse cardio- and cerebrovascular events.
AB - AIMS: Processes in the development of atherosclerotic lesions can lead to plaque
rupture or erosion, which can in turn elicit myocardial infarction or ischaemic
stroke. The aims of this study were to determine whether Toll-like receptor 7
(TLR7) gene expression levels influence patient outcome and to explore the
mechanisms linked to TLR7 expression in atherosclerosis. METHODS AND RESULTS:
Atherosclerotic plaques were removed by carotid endarterectomy (CEA) and
subjected to gene array expression analysis (n = 123). Increased levels of TLR7
transcript in the plaques were associated with better outcome in a follow-up
study over a maximum of 8 years. Patients with higher TLR7 transcript levels had
a lower risk of experiencing major cardiovascular and cerebrovascular events
(MACCE) during the follow-up period after CEA (hazard ratio: 2.38, P = 0.012, 95%
CI 1.21-4.67). TLR7 was expressed in all plaques by T cells, macrophages and
endothelial cells in capillaries, as shown by immunohistochemistry. In short-term
tissue cultures, ex vivo treatment of plaques with the TLR7 ligand imiquimod
elicited dose-dependent secretion of IL-10, TNF-alpha, GM-CSF, and IL-12/IL
23p40. This secretion was blocked with a TLR7 inhibitor. Immunofluorescent tissue
analysis after TLR7 stimulation showed IL-10 expression in T cells, macrophages
and vascular smooth muscle cells. TLR7 mRNA levels in the plaques were correlated
with IL-10 receptor (r = 0.4031, P < 0.0001) and GM-CSF receptor A (r = 0.4354, P
< 0.0001) transcripts. CONCLUSION: These findings demonstrate that TLR7 is
abundantly expressed in human atherosclerotic plaques. TLR7 ligation elicits the
secretion of pro-inflammatory and anti-inflammatory cytokines, and high TLR7
expression in plaques is associated with better patient outcome, suggesting that
TLR7 is a potential therapeutic target for prevention of complications of
atherosclerosis.
PMID- 27864313
TI - Non-Smad Signaling Pathways of the TGF-beta Family.
AB - Transforming growth factor beta (TGF-beta) and structurally related factors use
several intracellular signaling pathways in addition to Smad signaling to
regulate a wide array of cellular functions. These non-Smad signaling pathways
are activated directly by ligand-occupied receptors to reinforce, attenuate, or
otherwise modulate downstream cellular responses. This review summarizes the
current knowledge of the mechanisms by which non-Smad signaling pathways are
directly activated in response to ligand binding, how activation of these
pathways impinges on Smads and non-Smad targets, and how final cellular responses
are affected in response to these noncanonical signaling modes.
PMID- 27864315
TI - Protein Quality Control in Health and Disease.
AB - Maintaining functional protein homeostasis (proteostasis) is a constant challenge
in the face of limited protein-folding capacity, environmental threats, and
aging. Cells have developed several quality-control mechanisms that assist
nascent polypeptides to fold properly, clear misfolded molecules, respond to the
accumulation of protein aggregates, and deposit potentially toxic conformers in
designated sites. Proteostasis collapse can lead to the development of diseases
known as proteinopathies. Here we delineate the current knowledge on the
different layers of protein quality-control mechanisms at the organelle and
cellular levels with an emphasis on the prion protein (PrP). We also describe how
protein quality control is integrated at the organismal level and discuss future
perspectives on utilizing proteostasis maintenance as a strategy to develop novel
therapies for the treatment of proteinopathies.
PMID- 27864314
TI - Cilia and Mucociliary Clearance.
AB - Mucociliary clearance (MCC) is the primary innate defense mechanism of the lung.
The functional components are the protective mucous layer, the airway surface
liquid layer, and the cilia on the surface of ciliated cells. The cilia are
specialized organelles that beat in metachronal waves to propel pathogens and
inhaled particles trapped in the mucous layer out of the airways. In health this
clearance mechanism is effective, but in patients with primary cilia dyskinesia
(PCD) the cilia are abnormal, resulting in deficient MCC and chronic lung
disease. This demonstrates the critical importance of the cilia for human health.
In this review, we summarize the current knowledge of the components of the MCC
apparatus, focusing on the role of cilia in MCC.
PMID- 27864317
TI - Prednisolone is associated with a worse lipid profile than hydrocortisone in
patients with adrenal insufficiency.
AB - OBJECTIVE: Prednisolone is used as glucocorticoid replacement therapy for adrenal
insufficiency (AI). Recent data indicate that its use in AI is associated with
low bone mineral density. Data on risk factors for cardiovascular disease in
patients with AI treated with prednisolone are scarce, despite this condition
being the predominant cause of excess mortality. We aimed to address this
question using real-world data from the European Adrenal Insufficiency Registry
(EU-AIR). DESIGN/METHODS: EU-AIR, comprising of 19 centres across Germany, the
Netherlands, Sweden and the UK, commenced enrolling patients with AI in August
2012. Patients receiving prednisolone (3-6 mg/day, n = 50) or hydrocortisone (15
30 mg/day, n = 909) were identified and grouped at a ratio of 1:3
(prednisolone:hydrocortisone) by matching for gender, age, duration and type of
disease. Data from baseline and follow-up visits were analysed. Data from
patients with congenital adrenal hyperplasia were excluded. RESULTS:
Significantly higher mean +/- s.d. total (6.3 +/- 1.6 vs 5.4 +/- 1.1 mmol/L; P =
0.003) and low-density lipoprotein (LDL) cholesterol levels (3.9 +/- 1.4 vs 3.2
+/- 1.0 mmol/L; P = 0.013) were identified in 47 patients on prednisolone vs 141
receiving hydrocortisone at baseline and at follow-up (P = 0.005 and P = 0.006,
respectively). HbA1c, high-density lipoprotein and triglyceride levels, body mass
index, systolic and diastolic blood pressure and waist circumference were not
significantly different. CONCLUSIONS: This is the first matched analysis of its
kind. Significantly higher LDL levels in patients receiving prednisolone relative
to hydrocortisone could predict a higher relative risk of cardiovascular disease
in the former group.
PMID- 27864319
TI - Structured telephone support or non-invasive telemonitoring for patients with
heart failure.
PMID- 27864318
TI - Coronary heart disease and risk factors as predictors of trajectories of
psychological distress from midlife to old age.
AB - OBJECTIVE: To examine coronary heart disease (CHD) and its risk factors as
predictors of long-term trajectories of psychological distress from midlife to
old age. METHODS: In the Whitehall II cohort study, 6890 participants (4814 men,
2076 women; mean age 49.5 years) had up to seven repeat assessments of
psychological distress over 21 years (mean follow-up 19 years). CHD and its risk
factors (lifestyle-related risk factors, diabetes, hypertension and cholesterol)
were assessed at baseline. Group-based trajectory modelling was used to identify
clusters of individuals with a similar pattern of psychological distress over
time. RESULTS: We identified four trajectories of psychological distress over the
follow-up: 'persistently low' (69% of the participants), 'persistently
intermediate' (13%), 'intermediate to low' (12%) and 'persistently high' (7%).
The corresponding proportions were 60%, 16%, 13% and 11% among participants with
CHD; 63%, 15%, 12% and 10% among smokers and 63%, 16%, 12% and 10% among obese
participants. In multivariable adjusted multinomial regression analyses comparing
other trajectories to persistently low trajectory, prevalent CHD was associated
with intermediate to low (OR 1.70, 95% CI 1.08 to 2.68) and persistently high (OR
1.92, 95% CI 1.16 to 3.19) trajectories. Smoking (OR 1.33, 95% CI 1.07 to 1.64;
OR 1.55, 95% CI 1.19 to 2.04) and obesity (OR 1.33, 95% CI 1.04 to 1.70; OR 1.47,
95% CI 1.07 to 2.01) were associated with persistently intermediate and
persistently high trajectories, respectively. CONCLUSION: CHD, smoking and
obesity may have a role in the development of long-lasting psychological distress
from midlife to old age.
PMID- 27864320
TI - Three questionnaires to assess the perception of fixed orthodontic therapy
before, during and after treatment: validity and reliability.
AB - Background/objective: To assess the validity and reliability of a series of three
questionnaires for the quantification of patient perception of fixed appliance
orthodontic treatment. Subjects and methods: The study was carried out at the
University of Dundee with content and face validity being assessed using
proformas. Initially ten experts (Orthodontic Specialists) assessed content
validity with 11 professionals (seven Orthodontic Specialists and four
Postgraduates) and 20 patients assessing face validity. Content validity was
determined according to the values of item-level content validity index (I-CVI)
and scale-level CVI (S-CVI/Ave), while specially designed feedback forms were
used for face validation. Internal consistency determined the reliability of the
questionnaires according to the value of Cronbach alpha correlation coefficient
test. The three questionnaires were then modified according to the
recommendations of professionals and patients with seven experts reassessing
content validity and ten newly selected patients assessing face validity.
Results: The first round of content validity revealed that around half of the
items in the questionnaires were not valid. Therefore, the questionnaires were
not valid as a whole (S-CVI/Ave = 0.60). After modifying the questionnaires and
removing the non-valid items, the new versions of the Pre-treatment, Orthodontic
Experience, and Post-treatment Questionnaires showed high levels of face
validity, content validity (S-CVI/Ave: 0.99, 0.97, and 0.99, respectively) and
good levels of internal consistency (alpha = 0.86, 0.78, and 0.88, respectively).
Limitation: The patient sample was collected from a single university clinic and
from one city within the UK and this could affect the generalizability of the
results. Conclusion: Three content valid and reliable questionnaires have been
developed and validated for the evaluation of patient perception of fixed
appliance orthodontic treatment. Implications: Unlike other tools that assess
oral health-related quality of life, this series of three questionnaires assess
the perception of fixed appliance orthodontic treatment before, during and after
treatment.
PMID- 27864321
TI - Atg8 family LC3/GABARAP proteins are crucial for autophagosome-lysosome fusion
but not autophagosome formation during PINK1/Parkin mitophagy and starvation.
AB - Members of the Atg8 family of proteins are conjugated to autophagosomal
membranes, where they have been proposed to drive autophagosome formation and
selective sequestration of cargo. In mammals, the Atg8 family consists of six
members divided into the LC3 and GABARAP subfamilies. To define Atg8 function, we
used genome editing to generate knockouts of the LC3 and GABARAP subfamilies as
well as all six Atg8 family members in HeLa cells. We show that Atg8s are
dispensable for autophagosome formation and selective engulfment of mitochondria,
but essential for autophagosome-lysosome fusion. We find that the GABARAP
subfamily promotes PLEKHM1 recruitment and governs autophagosome-lysosome fusion,
whereas the LC3 subfamily plays a less prominent role in these processes.
Although neither GABARAPs nor LC3s are required for autophagosome biogenesis,
loss of all Atg8s yields smaller autophagosomes and a slowed initial rate of
autophagosome formation. Our results clarify the essential function of the Atg8
family and identify GABARAP subfamily members as primary contributors to
PINK1/Parkin mitophagy and starvation autophagy.
PMID- 27864323
TI - What is policy and where do we look for it when we want to research it?
AB - Public health researchers are increasingly concerned with achieving 'upstream'
change to achieve reductions in the global burden of disease and health
inequalities. Consequently, understanding policy and how to change it has become
a central goal of public health. Yet conceptualisation of what constitutes policy
and where it can be found is very limited within this field. Our glossary
demonstrates that policy is many headed. It is located in a vast array of
documents, discussions dialogues and actions which can be captured variously by
formal and informal forms of documentation and observation. Effectively
understanding policy and its relevance for public health requires an awareness of
the full range of places and contexts in which policy work happens and policy
documents are produced.
PMID- 27864322
TI - Escherichia coli Proteome Microarrays Identified the Substrates of ClpYQ
Protease.
AB - Proteolysis is a vital mechanism to regulate the cellular proteome in all
kingdoms of life, and ATP-dependent proteases play a crucial role within this
process. In Escherichia coli, ClpYQ is one of the primary ATP-dependent
proteases. In addition to function with removals of abnormal peptides in the
cells, ClpYQ degrades regulatory proteins if necessary and thus let cells adjust
to various environmental conditions. In E. coli, SulA, RcsA, RpoH and TraJ as
well as RNase R, have been identified as natural protein substrates of ClpYQ.
ClpYQ contains ClpY and ClpQ. The ATPase ClpY is responsible for protein
recognition, unfolding, and translocation into the catalytic core of ClpQ. In
this study, we use an indirect identification strategy to screen possible ClpY
targets with E. coli K12 proteome chips. The chip assay results showed that YbaB
strongly bound to ClpY. We used yeast two-hybrid assay to confirm the
interactions between ClpY and YbaB protein and determined the Kd between ClpY and
YbaB by quartz crystal microbalance. Furthermore, we validated that YbaB was
successfully degraded by ClpYQ protease activity using ClpYQ in vitro and in vivo
degradation assay. These findings demonstrated the YbaB is a novel substrate of
ClpYQ protease. This work also successfully demonstrated that with the use of
recognition element of a protease can successfully screen its substrates by
indirect proteome chip screening assay.
PMID- 27864324
TI - Colorectal cancer is a leading cause of cancer incidence and mortality among
adults younger than 50 years in the USA: a SEER-based analysis with comparison to
other young-onset cancers.
AB - Colorectal cancer (CRC) incidence and mortality are rising among young adults.
Our aim was to contrast the relative incidence and mortality of CRC to other
common cancers among young adults in the USA. We used Surveillance, Epidemiology,
and End Results registry data to compare cancer site-specific and age-specific
mortality and incident rates for adults younger than age 50. We summarized
extracted data, both overall, and stratified by sex. We found CRC was the third
leading cause of cancer death among adults younger than age 50, after breast and
lung cancer (1.67 cases per 100,000). Among young women, CRC was the fourth
leading cause of cancer death (1.51 per 100,000). Among young men, CRC was the
second leading cause of cancer death (1.82 cases per 100,000). CRC was the second
most incident cancer among young adults for men and women combined. Among men,
CRC was the second most incident cancer after age 30, with 4.9, 9.0, 16.4, and
30.8 cases per 100,000 for ages 30-34, 35-39, 40-44, and 45-49 years,
respectively. Among women, CRC incidence was similar with 4.2, 7.6, 15.3, and
25.9 cases per 100,000 for ages 30-34, 35-39, 40-44, and 45-49 years,
respectively. These results show that CRC is a leading cause of cancer incidence
and mortality among young adults in the USA, relative to other cancers. Given
trends toward increasing rates of CRC among young adults, strategies for
identifying individuals at risk for young-onset CRC who might benefit from early
age of screening initiation merit investigation.
PMID- 27864325
TI - A technical consideration when using flow diversion for recurrent aneurysms
following stent-assisted coiling.
AB - Flow diversion (FD) is a treatment option for recurrent aneurysms including
following stent-assisted coiling (SAC), although this approach is both 'off
label' and unproven. A technical challenge of FD placement may involve the
microwire catching on the tines of the previously placed stent or potentially
going 'in-out-in' from the central axis of the stent. We report a case and
technique that assures the wire has safely remained within the central axis of
the stent. The procedure was performed in standard fashion except that the
intermediate catheter was passed completely through the previously placed stent
after the microwire/microcatheter had crossed. The large diameter of the
intermediate catheter assured that the microwire did not go 'in-out-in'. The
intermediate catheter was completely withdrawn from the stent and the FD was
placed in standard fashion. This technique may help to achieve complete FD
opening and prevent thromboembolic complications associated with incomplete FD
opening.
PMID- 27864327
TI - Erratum.
PMID- 27864326
TI - Both maternal and offspring Elovl2 genotypes determine systemic DHA levels in
perinatal mice.
AB - The molecular details relevant to dietary supplementation of the omega-3 fatty
acid DHA in mothers as well as in their offspring are not clear. The PUFA
elongase, elongation of very long-chain fatty acid (ELOVL)2, is a critical enzyme
in the formation of DHA in mammals. In order to address the question regarding
the origin of DHA during perinatal life, we have used DHA-deficient Elovl2
ablated mice as a model system to analyze the maternal impact on the DHA level in
their offspring of various genotypes. Elovl2-/- mothers maintained on control
diet had significantly lower systemic levels of DHA compared with the Elovl2+/-
and Elovl2+/+ mothers. Dietary DHA administration during the pregnancy and
lactation periods led to increased DHA accretion in maternal tissues and serum of
all genotypes. The proportion of DHA in the liver and serum of the Elovl2-/-
offspring was significantly lower than in the Elovl2+/+ offspring. Remarkably,
the DHA level in the Elovl2+/- offspring nursed by DHA-free-fed Elovl2-/- mothers
was almost as high as in +/+ pups delivered by +/+ mothers, suggesting that
endogenous synthesis in the offspring can compensate for maternal DHA deficiency.
Maternal DHA supplementation had a strong impact on offspring hepatic gene
expression, especially of the fatty acid transporter, Mfsd2a, suggesting a
dynamic interplay between DHA synthesis and DHA uptake in the control of systemic
levels in the offspring.
PMID- 27864330
TI - Three-dimensional stochastic model of actin-myosin binding in the sarcomere
lattice.
AB - The effect of molecule tethering in three-dimensional (3-D) space on bimolecular
binding kinetics is rarely addressed and only occasionally incorporated into
models of cell motility. The simplest system that can quantitatively determine
this effect is the 3-D sarcomere lattice of the striated muscle, where tethered
myosin in thick filaments can only bind to a relatively small number of available
sites on the actin filament, positioned within a limited range of thermal
movement of the myosin head. Here we implement spatially explicit actomyosin
interactions into the multiscale Monte Carlo platform MUSICO, specifically
defining how geometrical constraints on tethered myosins can modulate state
transition rates in the actomyosin cycle. The simulations provide the
distribution of myosin bound to sites on actin, ensure conservation of the number
of interacting myosins and actin monomers, and most importantly, the departure in
behavior of tethered myosin molecules from unconstrained myosin interactions with
actin. In addition, MUSICO determines the number of cross-bridges in each
actomyosin cycle state, the force and number of attached cross-bridges per myosin
filament, the range of cross-bridge forces and accounts for energy consumption.
At the macroscopic scale, MUSICO simulations show large differences in predicted
force-velocity curves and in the response during early force recovery phase after
a step change in length comparing to the two simplest mass action kinetic models.
The origin of these differences is rooted in the different fluxes of myosin
binding and corresponding instantaneous cross-bridge distributions and
quantitatively reflects a major flaw of the mathematical description in all mass
action kinetic models. Consequently, this new approach shows that accurate
recapitulation of experimental data requires significantly different binding
rates, number of actomyosin states, and cross-bridge elasticity than typically
used in mass action kinetic models to correctly describe the biochemical
reactions of tethered molecules and their interaction energetics.
PMID- 27864332
TI - Weak silica nanomaterial-induced genotoxicity can be explained by indirect DNA
damage as shown by the OGG1-modified comet assay and genomic analysis.
AB - In a previous study, 15-nm silica nanoparticles (NPs) caused small increases in
DNA damage in liver as measured in the in vivo comet and micronucleus assays
after intravenous administration to rats at their maximum tolerated dose, a worst
case exposure scenario. Histopathological examination supported a particle
induced, tissue damage-mediated inflammatory response. This study used a targeted
approach to provide insight into the mode of action (MoA) by examining
transcriptional regulation of genes in liver in a time and dose-dependent manner
at 1, 2, 4, 8 and 24 h after intravenous administration of 15-nm silica NPs. DNA
damage was assessed using the standard comet assay and hOGG1 glycosylase-modified
comet assay that also measures oxidative DNA damage. Potassium bromate, an IARC
Class 2B carcinogen that specifically operates via an oxidative stress MoA, was
used as a positive control for the hOGG1 comet assay and gave a strong signal in
its main target organ, the kidney, while showing less activity in liver.
Treatment of rats with silica NPs at 50 mg/kg body weight (bw) caused small,
statistically insignificant increases in DNA damage in liver measured by the
standard comet assay, while a statistically significant increase was observed at
4 h with the hOGG1 comet assay, consistent with a MoA involving reactive oxygen
species. Histopathology showed liver damage and neutrophil involvement while
genomic analysis and response pattern of key genes involved in inflammation and
oxidative stress supported a tissue damage-mediated inflammatory response
involving the complement system for removing/phagocytising damaged cells. No
changes were observed for histopathology or gene array for the low-dose (5 mg/kg
bw) silica NPs. The results of this study confirm our hypothesis that the weak
DNA damage observed by silica NPs occurs secondary to inflammation/immune
response, indicating that a threshold can be applied in the risk assessment of
these materials.
PMID- 27864331
TI - Role of Sphingosine Kinase 1 and S1P Transporter Spns2 in HGF-mediated
Lamellipodia Formation in Lung Endothelium.
AB - Hepatocyte growth factor (HGF) signaling via c-Met is known to promote
endothelial cell motility and angiogenesis. We have previously reported that HGF
stimulates lamellipodia formation and motility of human lung microvascular
endothelial cells (HLMVECs) via PI3K/Akt signal transduction and reactive oxygen
species generation. Here, we report a role for HGF-induced intracellular
sphingosine-1-phosphate (S1P) generation catalyzed by sphingosine kinase 1
(SphK1), S1P transporter, spinster homolog 2 (Spns2), and S1P receptor, S1P1, in
lamellipodia formation and perhaps motility of HLMVECs. HGF stimulated SphK1
phosphorylation and enhanced intracellular S1P levels in HLMVECs, which was
blocked by inhibition of SphK1. HGF enhanced co-localization of SphK1/p-SphK1
with actin/cortactin in lamellipodia and down-regulation or inhibition of SphK1
attenuated HGF-induced lamellipodia formation in HLMVECs. In addition, down
regulation of Spns2 also suppressed HGF-induced lamellipodia formation,
suggesting a key role for inside-out S1P signaling. The HGF-mediated
phosphorylation of SphK1 and its localization in lamellipodia was dependent on c
Met and ERK1/2 signaling, but not the PI3K/Akt pathway; however, blocking
PI3K/Akt signaling attenuated HGF-mediated phosphorylation of Spns2. Down
regulation of S1P1, but not S1P2 or S1P3, with specific siRNA attenuated HGF
induced lamellipodia formation. Further, HGF enhanced association of Spns2 with
S1P1 that was blocked by inhibiting SphK1 activity with PF-543. Moreover, HGF
induced migration of HLMVECs was attenuated by down-regulation of Spns2. Taken
together, these results suggest that HGF/c-Met-mediated lamellipodia formation,
and perhaps motility is dependent on intracellular generation of S1P via
activation and localization of SphK1 to cell periphery and Spns2-mediated
extracellular transportation of S1P and its inside-out signaling via S1P1.
PMID- 27864334
TI - Deubiquitinase OTUD6B Isoforms Are Important Regulators of Growth and
Proliferation.
AB - : Deubiquitinases (DUB) are increasingly linked to the regulation of fundamental
processes in normal and cancer cells, including DNA replication and repair,
programmed cell death, and oncogenes and tumor suppressor signaling. Here,
evidence is presented that the deubiquitinase OTUD6B regulates protein synthesis
in non-small cell lung cancer (NSCLC) cells, operating downstream from mTORC1.
OTUD6B associates with the protein synthesis initiation complex and modifies
components of the 48S preinitiation complex. The two main OTUD6B splicing
isoforms seem to regulate protein synthesis in opposing fashions: the long OTUD6B
1 isoform is inhibitory, while the short OTUD6B-2 isoform stimulates protein
synthesis. These properties affect NSCLC cell proliferation, because OTUD6B-1
represses DNA synthesis while OTUD6B-2 promotes it. Mutational analysis and
downstream mediators suggest that the two OTUD6B isoforms modify different
cellular targets. OTUD6B-2 influences the expression of cyclin D1 by promoting
its translation while regulating (directly or indirectly) c-Myc protein
stability. This phenomenon appears to have clinical relevance as NSCLC cells and
human tumor specimens have a reduced OTUD6B-1/OTUD6B-2 mRNA ratio compared with
normal samples. The global OTUD6B expression level does not change significantly
between nonneoplastic and malignant tissues, suggesting that modifications of
splicing factors during the process of transformation are responsible for this
isoform switch. IMPLICATIONS: Because protein synthesis inhibition is a viable
treatment strategy for NSCLC, these data indicate that OTUD6B isoform 2, being
specifically linked to NSCLC growth, represents an attractive, novel therapeutic
target and potential biomarker for early diagnosis of malignant NSCLC. Mol Cancer
Res; 15(2); 117-27. (c)2016 AACR.
PMID- 27864338
TI - Ertapenem and Valproic Acid Drug Interaction in an Adult Status Epilepticus
Patient.
PMID- 27864339
TI - Development of a Platform to Enable Fully Automated Cross-Titration Experiments.
AB - In the triage of hits from a high-throughput screening campaign or during the
optimization of a lead compound, it is relatively routine to test compounds at
multiple concentrations to determine potency and maximal effect. Additional
follow-up experiments, such as agonist shift, can be quite valuable in
ascertaining compound mechanism of action (MOA). However, these experiments
require cross-titration of a test compound with the activating ligand of the
receptor requiring 100-200 data points, severely limiting the number tested in
MOA assays in a screening triage. We describe a process to enhance the throughput
of such cross-titration experiments through the integration of Hewlett Packard's
D300 digital dispenser onto one of our robotics platforms to enable on-the-fly
cross-titration of compounds in a 1536-well plate format. The process handles all
the compound management and data tracking, as well as the biological assay. The
process relies heavily on in-house-built software and hardware, and uses our
proprietary control software for the platform. Using this system, we were able to
automate the cross-titration of compounds for both positive and negative
allosteric modulators of two different G protein-coupled receptors (GPCRs) using
two distinct assay detection formats, IP1 and Ca2+ detection, on nearly 100
compounds for each target.
PMID- 27864336
TI - BMP4 promotes mouse iPS cell differentiation to male germ cells via Smad1/5,
Gata4, Id1 and Id2.
AB - Generation of male germ cells from pluripotent cells could provide male gametes
for treating male infertility and offer an ideal model for unveiling molecular
mechanisms of spermatogenesis. However, the influence and exact molecular
mechanisms, especially downstream effectors of BMP4 signaling pathways, in male
germ cell differentiation of the induce pluripotent stem (iPS) cells, remain
unknown. This study was designed to explore the role and mechanism of BMP4
signaling in the differentiation of mouse iPS cells to male germ cells. Embryoid
body (EB) formation and recombinant BMP4 or Noggin were utilized to evaluate the
effect of BMP4 on male germ cell generation from mouse iPS cells. Germ cell
specific genes and proteins as well as the downstream effectors of BMP4 signaling
pathway were assessed using real-time PCR and Western blots. We found that BMP4
ligand and its multiple receptors, including BMPR1a, BMPR1b and BMPR2, were
expressed in mouse iPS cells. Real-time PCR and Western blots revealed that BMP4
could upregulate the levels of genes and proteins for germ cell markers in iPS
cells-derived EBs, whereas Noggin decreased their expression in these cells.
Moreover, Smad1/5 phosphorylation, Gata4 transcription and the transcripts of Id1
and Id2 were enhanced by BMP4 but decreased when exposed to Noggin. Collectively,
these results suggest that BMP4 promotes the generation of male germ cells from
iPS cells via Smad1/5 pathway and the activation of Gata4, Id1 and Id2 This study
thus offers novel insights into molecular mechanisms underlying male germ cell
development.
PMID- 27864335
TI - Effects of maternal obesity on placental function and fetal development.
AB - Obesity has reached epidemic proportions, and pregnancies in obese mothers have
increased risk for complications including gestational diabetes, hypertensive
disorders, pre-term birth and caesarian section. Children born to obese mothers
are at increased risk of obesity and metabolic disease and are susceptible to
develop neuropsychiatric and cognitive disorders. Changes in placental function
not only play a critical role in the development of pregnancy complications but
may also be involved in linking maternal obesity to long-term health risks in the
infant. Maternal adipokines, i.e., interleukin 6 (IL-6), tumor necrosis factor
alpha (TNF-alpha), leptin and adiponectin link maternal nutritional status and
adipose tissue metabolism to placental function. Adipokines and metabolic
hormones have direct impact on placental function by modulating placental
nutrient transport. Nutrient delivery to the fetus is regulated by a complex
interaction including insulin signaling, cytokine profile and insulin
responsiveness, which is modulated by adiponectin and IL-1beta. In addition,
obese pregnant women are at risk for hypertension and preeclampsia with reduced
placental vascularity and blood flow, which would restrict placental nutrient
delivery to the developing fetus. These sometimes opposing signals regulating
placental function may contribute to the diversity of short and long-term
outcomes observed in pregnant obese women. This review focuses on the changes in
adipokines and obesity-related metabolic hormones, how these factors influence
placental function and fetal development to contribute to long-term metabolic and
behavioral consequences of children born to obese mothers.
PMID- 27864340
TI - Microfluidic Tissue Mesodissection in Molecular Cancer Diagnostics.
AB - We present a mesodissection platform that retains the advantages of laser-based
dissection instrumentation with the speed and ease of manual dissection. Tissue
dissection in clinical laboratories is often performed by manually scraping a
physician-selected region from standard glass slide mounts. In this manner, costs
associated with dissection remain low, but spatial resolution is compromised. In
contrast, laser microdissection methods maintain spatial resolution that matches
the requirements for analysis of important tissue heterogeneity but remains
costly and labor intensive. We demonstrate a microfluidic tool for rapid
extraction of histological regions of interest from formalin-fixed paraffin
embedded tissue, which uses a simple and automated method that is compatible with
most downstream enzymatic reactions, including protocols used for next-generation
DNA sequencing.
PMID- 27864341
TI - Density and Proximity of Licensed Tobacco Retailers and Adolescent Smoking.
AB - Adolescent smoking prevention is an important issue in health care. This
literature review describes the theoretical concept of ecological model for
adolescent smoking and tobacco retailers and summarizes previous studies on the
association between the density and proximity of tobacco retailers and adolescent
smoking. We reviewed nine studies on tobacco retailer density and proximity in
relation to adolescent smoking, published in peer-reviewed journals between 2004
and 2014. The tobacco retailer density and proximity were correlated with
adolescent lifetime smoking, past 12-month smoking, past 30-day smoking, and
susceptibility to smoking. School nurses or other school health professionals may
need to include the density and proximity of tobacco retailer factors around
schools in school-based tobacco-use prevention programs. Health policy makers may
need to consider zoning or licensing restrictions of tobacco retailers around
schools for adolescent smoking prevention.
PMID- 27864343
TI - Lessons learned from Restoring Study 329: Transparent reporting, open databases
and network meta-analyses as the way forward.
PMID- 27864342
TI - Systemic Levels of Estrogens and PGE2 Synthesis in Relation to Postmenopausal
Breast Cancer Risk.
AB - Background: Prostaglandin E2 (PGE2) induces aromatase expression in adipose
tissue, leading to increased estrogen production that may promote the development
and progression of breast cancer. However, few studies have simultaneously
investigated systemic levels of PGE2 and estrogen in relation to postmenopausal
breast cancer risk.Methods: Here, we determined urinary estrogen metabolites (EM)
using mass spectrometry in a case-cohort study (295 incident breast cancer cases
and 294 subcohort members), and using linear regression estimated the effect of
urinary levels of a major PGE2 metabolite (PGE-M) on EMs. HRs for the risk of
developing breast cancer in relation to PGE-M and EMs were compared between Cox
regression models with and without mutual adjustment.Results: PGE-M was a
significant predictor of estrone (E1), but not estradiol (E2) levels in
multivariable analysis. Elevated E2 levels were associated with an increased risk
of developing breast cancer [HRQ5vs.Q1, 1.54; 95% confidence interval (CI), 1.01
2.35], and this association remained unchanged after adjustment for PGE-M
(HRQ5vs.Q1, 1.52; 95% CI, 0.99-2.33). Similarly, elevated levels of PGE-M were
associated with increased risk of developing breast cancer (HRQ4vs.Q1, 2.01; 95%
CI, 1.01-4.29), and this association was only nominally changed after
consideration of E1 or E2 levels.Conclusions: Urinary levels of PGE-M and
estrogens were independently associated with future risk of developing breast
cancer among these postmenopausal women.Impact: Increased breast cancer risk
associated with PGE-M might not be fully explained by the estrogens-breast cancer
association alone but also by additional effects related to inflammation. Cancer
Epidemiol Biomarkers Prev; 26(3); 383-8. (c)2016 AACR.
PMID- 27864344
TI - Targeting Tumor-Associated Fibroblasts for Therapeutic Delivery in Desmoplastic
Tumors.
AB - The off-target distribution of anticancer nanoparticles to fibroblasts creates a
barrier to the effective treatment of desmoplastic tumors. However, we
hypothesized that this nanoparticle detriment might be exploited to target the
expression of secreted cytotoxic proteins from tumor-associated fibroblasts (TAF)
as an anticancer strategy. In addressing this hypothesis, plasmids encoding the
secretable TNF-related factor sTRAIL were loaded into lipid-coated protamine DNA
complexes and administered by infusion in a murine xenograft model of human
desmoplastic bladder carcinoma. Three doses were sufficient to generate
approximately 70% of TAFs as sTRAIL-producing cells. sTRAIL triggered apoptosis
in tumor cell nests adjacent to TAFs. Furthermore, it reverted residual
fibroblasts to a quiescent state due to insufficient activation, further
compromising tumor growth and remodeling the microenvironment to favor second
wave nanotherapy. We confirmed the efficacy of this strategy in an orthotopic
xenograft model of human pancreatic cancer, where the desmoplastic stroma is well
known to be a major barrier to the delivery of therapeutic nanoparticles.
Collectively, our results offer a proof of concept for the use of nanoparticles
to modify TAFs as an effective strategy to treat desmoplastic cancers. Cancer
Res; 77(3); 719-31. (c)2016 AACR.
PMID- 27864345
TI - PAX3-FOXO1A Expression in Rhabdomyosarcoma Is Driven by the Targetable Nuclear
Receptor NR4A1.
AB - Alveolar rhabdomyosarcoma (ARMS) is a devastating pediatric disease driven by
expression of the oncogenic fusion gene PAX3-FOXO1A. In this study, we report
overexpression of the nuclear receptor NR4A1 in rhabdomyosarcomas that is
sufficient to drive high expression of PAX3-FOXO1A there. RNAi-mediated silencing
of NR4A1 decreased expression of PAX3-FOXO1A and its downstream effector genes.
Similarly, cell treatment with the NR4A1 small-molecule antagonists 1,1-bis(3
indolyl)-1-(p-hydroxy or p-carbomethoxyphenyl)methane (C-DIM) decreased PAX3
FOXO1A. Mechanistic investigations revealed a requirement for the NR4A1/Sp4
complex to bind GC-rich promoter regions to elevate transcription of the PAX3
FOXO1A gene. In parallel, NR4A1 also regulated expression of beta1-integrin,
which with PAX3-FOXO1A, contributed to tumor cell migration that was blocked by C
DIM/NR4A1 antagonists. Taken together, our results provide a preclinical
rationale for the use of NR4A1 small-molecule antagonists to treat ARMS and other
rhabdomyosarcomas driven by PAX3-FOXO1A. Cancer Res; 77(3); 732-41. (c)2016 AACR.
PMID- 27864346
TI - CBX4 Suppresses Metastasis via Recruitment of HDAC3 to the Runx2 Promoter in
Colorectal Carcinoma.
AB - Polycomb chromobox (CBX) proteins participate in the polycomb repressive complex
(PRC1) that mediates epigenetic gene silencing and endows PRC1 with distinct
oncogenic or tumor suppressor functions in a cell-type-dependent manner. In this
study, we report that inhibition of cell migration, invasion, and metastasis in
colorectal carcinoma requires CBX4-mediated repression of Runx2, a key
transcription factor that promotes colorectal carcinoma metastasis. CBX4
inversely correlated with Runx2 expression in colorectal carcinoma tissues, and
the combination of high CBX4 expression and low Runx2 expression significantly
correlated with overall survival, more so than either CBX4 or Runx2 expression
alone. Mechanistically, CBX4 maintained recruited histone deacetylase 3 (HDAC3)
to the Runx2 promoter, which maintained a deacetylated histone H3K27 state to
suppress Runx2 expression. This function of CBX4 was dependent on its interaction
with HDAC3, but not on its SUMO E3 ligase, its chromodomain, or the PRC1 complex.
Disrupting the CBX4-HDAC3 interaction abolished Runx2 inhibition as well as the
inhibition of cell migration and invasion. Collectively, our data show that CBX4
may act as a tumor suppressor in colorectal carcinoma, and strategies that
stabilize the interaction of CBX4 with HDAC3 may benefit the colorectal carcinoma
patients with metastases. Cancer Res; 76(24); 7277-89. (c)2016 AACR.
PMID- 27864348
TI - Systems Approaches to Cancer Biology.
AB - Cancer systems biology aims to understand cancer as an integrated system of
genes, proteins, networks, and interactions rather than an entity of isolated
molecular and cellular components. The inaugural Systems Approaches to Cancer
Biology Conference, cosponsored by the Association of Early Career Cancer Systems
Biologists and the National Cancer Institute of the NIH, focused on the
interdisciplinary field of cancer systems biology and the challenging cancer
questions that are best addressed through the combination of experimental and
computational analyses. Attendees found that elucidating the many molecular
features of cancer inevitably reveals new forms of complexity and concluded that
ensuring the reproducibility and impact of cancer systems biology studies will
require widespread method and data sharing and, ultimately, the translation of
important findings to the clinic. Cancer Res; 76(23); 6774-7. (c)2016 AACR.
PMID- 27864347
TI - Human Pancreatic Cancer Cells Induce a MyD88-Dependent Stromal Response to
Promote a Tumor-Tolerant Immune Microenvironment.
AB - Cancer cells exert mastery over the local tumor-associated stroma (TAS) to
configure protective immunity within the tumor microenvironment. The
immunomodulatory character of pancreatic lysates of patients with cancer differs
from those with pancreatitis. In this study, we evaluated the cross-talk between
pancreatic cancer and its TAS in primary human cell culture models. Upon exposure
of TAS to pancreatic cancer cell-conditioned media, we documented robust
secretion of IL6 and IL8. This TAS response was MyD88-dependent and sufficient to
directly suppress both CD4+ and CD8+ T-cell proliferation, inducing Th17
polarization at the expense of Th1. We found that patients possessed a similar
shift in circulating effector memory Th17:Th1 ratios compared with healthy
controls. The TAS response also directly suppressed CD8+ T-cell-mediated
cytotoxicity. Overall, our results demonstrate how TAS contributes to the
production of an immunosuppressive tumor microenvironment in pancreatic cancer.
Cancer Res; 77(3); 672-83. (c)2016 AACR.
PMID- 27864349
TI - Substantial Equivalence Standards in Tobacco Governance: Statutory Clarity and
Regulatory Precedent for the FSPTCA.
AB - The Family Smoking Prevention and Tobacco Control Act (FSPTCA) of 2009 creates
the first national system of premarket regulation of tobacco products in American
history. The FDA must now review and give marketing authorization to all new
tobacco products, based on a public health standard, before they can be legally
marketed. Yet the law also contains an alternative pathway for market entry-the
substantial equivalence (SE) clause-by which novel and altered tobacco products
can be marketed by demonstrating their substantial equivalence to existing
products. Over 99 percent of tobacco product applications sent to the FDA under
the new law have used this mechanism, and loose application of the SE mechanism
carries the risk of undoing the FDA's gatekeeping power under the law. We review
the statutory and regulatory precedent for SE, examining the FSPTCA itself as
well as regulatory precedent from drug and device regulation (from which the term
substantial equivalence and much of the associated statutory language was
derived). Our review of standards and scientific precedent demonstrates that
exacting scrutiny under the public health standard should govern all SE reviews
and that clinical data incorporating social scientific evidence should be
routinely required for SE claims by tobacco product sponsors.
PMID- 27864350
TI - Grit and burnout in UK doctors: a cross-sectional study across specialties and
stages of training.
AB - PURPOSE OF THE STUDY: Grit is characterised by the ability to persevere during
difficulties and maintain a sustained effort over an extended period of time.
Throughout their careers, doctors will experience many periods of stress and
difficulty. This may result in burnout, defined by the presence of exhaustion and
disengagement from work. This study aims to characterise the relationship between
grit and burnout in doctors and to establish whether there are differences
between specialties and levels of training. STUDY DESIGN: A multicentre cross
sectional survey by questionnaire was used. Participants were recruited from
training days and an online medical forum. The survey consisted of the Short Grit
Scale and the Oldenburg Burnout Inventory, which examine levels of grit and
burnout, respectively. RESULTS: 548 responses were collected. We found a weak
negative correlation between grit and burnout in UK doctors (r=-0.243, p<0.001).
Hospital consultants had significantly higher grit scores than trainees. The
highest level of burnout was found among general practitioners (GPs). When GPs
were analysed separately, the correlation between grit and resilience was not
seen. CONCLUSIONS: An understanding of an individual's level of grit may be used
to identify doctors at a greater risk of burnout. As a high level of grit is
associated with less burnout, interventions to improve grit through resilience
training should be examined. Further research is needed to understand how grit
levels change during a doctor's career and why GPs experience higher levels of
burnout.
PMID- 27864351
TI - Detecting differential growth of microbial populations with Gaussian process
regression.
AB - Microbial growth curves are used to study differential effects of media,
genetics, and stress on microbial population growth. Consequently, many modeling
frameworks exist to capture microbial population growth measurements. However,
current models are designed to quantify growth under conditions for which growth
has a specific functional form. Extensions to these models are required to
quantify the effects of perturbations, which often exhibit nonstandard growth
curves. Rather than assume specific functional forms for experimental
perturbations, we developed a general and robust model of microbial population
growth curves using Gaussian process (GP) regression. GP regression modeling of
high-resolution time-series growth data enables accurate quantification of
population growth and allows explicit control of effects from other covariates
such as genetic background. This framework substantially outperforms commonly
used microbial population growth models, particularly when modeling growth data
from environmentally stressed populations. We apply the GP growth model and
develop statistical tests to quantify the differential effects of environmental
perturbations on microbial growth across a large compendium of genotypes in
archaea and yeast. This method accurately identifies known transcriptional
regulators and implicates novel regulators of growth under standard and stress
conditions in the model archaeal organism Halobacterium salinarum For yeast, our
method correctly identifies known phenotypes for a diversity of genetic
backgrounds under cyclohexamide stress and also detects previously unidentified
oxidative stress sensitivity across a subset of strains. Together, these results
demonstrate that the GP models are interpretable, recapitulating biological
knowledge of growth response while providing new insights into the relevant
parameters affecting microbial population growth.
PMID- 27864352
TI - Single-cell transcriptomes identify human islet cell signatures and reveal cell
type-specific expression changes in type 2 diabetes.
AB - Blood glucose levels are tightly controlled by the coordinated action of at least
four cell types constituting pancreatic islets. Changes in the proportion and/or
function of these cells are associated with genetic and molecular pathophysiology
of monogenic, type 1, and type 2 (T2D) diabetes. Cellular heterogeneity impedes
precise understanding of the molecular components of each islet cell type that
govern islet (dys)function, particularly the less abundant delta and
gamma/pancreatic polypeptide (PP) cells. Here, we report single-cell
transcriptomes for 638 cells from nondiabetic (ND) and T2D human islet samples.
Analyses of ND single-cell transcriptomes identified distinct alpha, beta, delta,
and PP/gamma cell-type signatures. Genes linked to rare and common forms of islet
dysfunction and diabetes were expressed in the delta and PP/gamma cell types.
Moreover, this study revealed that delta cells specifically express receptors
that receive and coordinate systemic cues from the leptin, ghrelin, and dopamine
signaling pathways implicating them as integrators of central and peripheral
metabolic signals into the pancreatic islet. Finally, single-cell transcriptome
profiling revealed genes differentially regulated between T2D and ND alpha, beta,
and delta cells that were undetectable in paired whole islet analyses. This study
thus identifies fundamental cell-type-specific features of pancreatic islet
(dys)function and provides a critical resource for comprehensive understanding of
islet biology and diabetes pathogenesis.
PMID- 27864357
TI - Global region of birth is an independent risk factor for type 2 diabetes in
Stockholm, Sweden.
AB - Background: In Stockholm, type 2 diabetes (T2D) is a public health concern and
much more prevalent in areas with a high proportion of foreign-born individuals.
One in five individuals in Stockholm County are born outside of Sweden, and we
therefore investigated if global region of birth was associated with diabetes
risk, adjusting for established risk factors. Cross-sectional data from the
population-based Stockholm Public Health Survey 2010 ( N = 69 115) was combined
with registry-based information on country of birth grouped into eight global
geographical regions. Cases of T2D were identified through self-reported
physician-diagnosed diabetes and age at diagnosis. Region of birth was the
independent risk factor and multivariable logistic regression analysis was
performed adjusting for the risk factors age, sex, weight status, educational
level, multiple dietary factors, tobacco, alcohol and physical activity. T2D
prevalence was 5.1% in the sample. Relative to Swedish-born participants, higher
odds ratio (OR) were found in those born in Asia [OR 3.2, 95% confidence interval
(CI) 2.2-4.7], Sub-Saharan Africa (OR 2.5, 95% CI 1.5-4.1) and North Africa/the
Middle East (OR 2.1, 95% CI 1.6-2.8), after adjustment for established risk
factors. Participants from Eastern Europe and Latin America had an elevated risk
but this did not remain after adjustment for other risk factors. Region of birth
was found to be an independent risk factor for T2D for participants born in Asia,
Africa and the Middle East. This increased risk should be taken into
consideration when allocating resources for prevention, detection and care.
PMID- 27864353
TI - Identification of clinically predictive metagenes that encode components of a
network coupling cell shape to transcription by image-omics.
AB - The associations between clinical phenotypes (tumor grade, survival) and cell
phenotypes, such as shape, signaling activity, and gene expression, are the basis
for cancer pathology, but the mechanisms explaining these relationships are not
always clear. The generation of large data sets containing information regarding
cell phenotypes and clinical data provides an opportunity to describe these
mechanisms. Here, we develop an image-omics approach to integrate quantitative
cell imaging data, gene expression, and protein-protein interaction data to
systematically describe a "shape-gene network" that couples specific aspects of
breast cancer cell shape to signaling and transcriptional events. The actions of
this network converge on NF-kappaB, and support the idea that NF-kappaB is
responsive to mechanical stimuli. By integrating RNAi screening data, we identify
components of the shape-gene network that regulate NF-kappaB in response to cell
shape changes. This network was also used to generate metagene models that
predict NF-kappaB activity and aspects of morphology such as cell area,
elongation, and protrusiveness. Critically, these metagenes also have predictive
value regarding tumor grade and patient outcomes. Taken together, these data
strongly suggest that changes in cell shape, driven by gene expression and/or
mechanical forces, can promote breast cancer progression by modulating NF-kappaB
activation. Our findings highlight the importance of integrating phenotypic data
at the molecular level (signaling and gene expression) with those at the cellular
and tissue levels to better understand breast cancer oncogenesis.
PMID- 27864358
TI - Effects of the histone deacetylase inhibitor 'Scriptaid' on the developmental
competence of mouse embryos generated through round spermatid injection.
AB - STUDY QUESTION: Can the histone deacetylase inhibitor Scriptaid improve the
efficiency of the development of round spermatid injection (ROSI)-fertilized
embryos in a mouse model? SUMMARY ANSWER: Treatment of ROSI mouse zygotes with
Scriptaid increased the expression levels of several development-related genes at
the blastocyst stage, resulting in more efficient in vitro development of the
blastocyst and an increased birth rate of ROSI-derived embryos. WHAT IS KNOWN
ALREADY: The full-term development of embryos derived through ROSI is
significantly lower than that following ICSI in humans and other species. STUDY
DESIGN, SIZE, DURATION: Oocytes, spermatozoa and round spermatids were collected
from BDF1 (C57BL/6 * DBA/2) mice. For in vitro development experiments, mouse
ROSI-derived zygotes were treated with Scriptaid at different concentrations (0,
125, 250, 500 and 1000 nM) and for different exposure times (0, 6, 10, 16 or 24
h). Next, blastocysts of the optimal Scriptaid-treated group and the non-treated
ROSI group were separately transferred into surrogate ICR mice to compare in vivo
development with the ICSI group (control). Each experiment was repeated at least
three times. PARTICIPANTS/MATERIALS, SETTING, METHODS: Metaphase II (MII)
oocytes, spermatozoa and round spermatids were obtained from sexually mature BDF1
female or male mice. The developmental potential of embryos among the three
groups (the ICSI, ROSI and optimal Scriptaid-treated ROSI groups) was assessed
based on the rates of obtaining zygotes, two-cell stage embryos, four-cell stage
embryos, blastocysts and full-term offspring. In addition, the expression levels
of development-related genes (Oct4, Nanog, Klf4 and Sox2) were analysed using
real-time PCR, and the methylation states of imprinted genes (H19 and Snrpn) in
these three groups were detected using methylation-specific PCR (MS-PCR)
sequencing following bisulfite treatment. MAIN RESULTS AND THE ROLE OF CHANCE:
The in vitro experiments revealed that treating ROSI-derived zygotes with 250 nM
Scriptaid for 10 h significantly improved the blastocyst formation rate (59%)
compared with the non-treated group (38%) and further increased the birth rates
of ROSI-derived embryos from 21% to 40% in vivo. Moreover, in ROSI-derived
embryos, the expression of the Oct4, Nanog and Sox2 genes at the blastocyst stage
was decreased, but the optimal Scriptaid treatment restored expression to a level
similar to their ICSI counterparts. In addition, Scriptaid treatment moderately
repaired the abnormal DNA methylation pattern in the imprinting control regions
(ICRs) of H19 and Snrpn. LARGE SCALE DATA: N/A LIMITATIONS, REASONS FOR CAUTION:
Because of the ethics regarding the use of human gametes for ROSI studies, the
mouse model was used as an approach to explore the effects of Scriptaid on the
developmental potential of ROSI-derived embryos. However, to determine whether
these findings can be applied to humans, further investigation will be required.
WIDER IMPLICATIONS OF THE FINDINGS: Scriptaid treatment provides a new means of
improving the efficiency and safety of clinical human ROSI. STUDY
FUNDING/COMPETING INTERESTS: The study was financially supported through grants
from the National Key Research Program of China (No. 2016YFC1304800); the
National Natural Science Foundation of China (Nos: 81170756, 81571486); the
Natural Science Foundation of Shanghai (Nos: 15140901700, 15ZR1424900) and the
Programme for Professor of Special Appointment (Eastern Scholar) at Shanghai
Institutions of Higher Learning. There are no conflicts of interest to declare.
PMID- 27864360
TI - Evidence-based medicine in ART.
PMID- 27864359
TI - Endothelin-1 down-regulates matrix metalloproteinase 14 and 15 expression in
human first trimester trophoblasts via endothelin receptor type B.
AB - STUDY QUESTION: Does endothelin-1 (ET-1) regulate matrix metalloproteinase (MMP)
14 and 15 production and invasion of human first trimester trophoblasts? SUMMARY
ANSWER: ET-1 in pathophysiological concentrations down-regulates MMP14 and MMP15
expression via endothelin receptor (ETR) type B and decreases trophoblast
migration and invasion. WHAT IS KNOWN ALREADY: MMP14 and MMP15 are involved in
trophoblast invasion. Impairment of invasion has been linked to pregnancy
complications such as pre-eclampsia (PE). ET-1 is up-regulated in PE. STUDY
DESIGN, SIZE, DURATION: In vitro study using primary human trophoblasts from 50
first trimester placentas (gestational week 7-12). PARTICIPANTS/MATERIALS,
SETTING, METHODS: Trophoblasts were cultured in the absence or presence of 10-100
nM ET-1. MMP14 and MMP15 mRNA and protein were quantified by RT-qPCR and Western
blotting, respectively. Selective antagonists for ETRA (BQ-123) or ETRB (BQ-788)
were used to identify ETR subtypes involved. Functional ET-1 effects were tested
in first trimester chorionic villous explants and transwell invasion assays. The
roles of tumor necrosis factor (TNF)-alpha (25 ng/ml) and oxygen (1%) in ET-1
regulation of MMP14 and 15 expression were assessed by Western blotting. MAIN
RESULTS AND THE ROLE OF CHANCE: ET-1 down-regulated MMP14 and MMP15 mRNA (-21%
and -26%, respectively, P < 0.05) and protein levels (-18% and -22%,
respectively, P < 0.05). This effect was mediated via ETRB. ET-1 decreased
trophoblast outgrowth in placental explants (-24%, P < 0.05) and trophoblast
invasion (-26%, P <= 0.01). TNF-alpha enhanced ET-1 mediated MMP15 down
regulation (by 10%, P < 0.05), whereas hypoxia abolished the effect of ET-1 on
both MMPs. LARGE SCALE DATA: N/A. LIMITATIONS, REASONS FOR CAUTION: Only primary
trophoblasts were used in this study. Since trophoblast yield from first
trimester placental material is limited, further aspects of MMP14 and 15
regulation could not be characterized. Other anti-invasive factors may be altered
by ET-1 in trophoblasts and, thus, contribute to the reduced invasion, but have
not been investigated. Oxygen levels similar to those found in the decidua (5-8%
O2) were not analyzed in this study. WIDER IMPLICATIONS OF THE FINDINGS: ET-1
modifies placental function already during the first trimester of pregnancy, the
time-window when the placental changes implicated in PE occur. Thus, our results
improve the understanding of the placental mechanisms underlying trophoblast
invasion and PE. STUDY FUNDING/COMPETING INTERESTS: The study was funded by the
Oesterreichische Nationalbank (Anniversary Fund, project number: 14796) and the
Herzfelder'sche Familienstiftung (to J.P.; number: 00685). AMM received funding
from the Austrian Science Fund FWF (W1241) and the Medical University Graz
through the PhD Program Molecular Fundamentals of Inflammation (DK-MOLIN). The
authors have no conflict of interest.
PMID- 27864361
TI - Causes of male infertility: a 9-year prospective monocentre study on 1737
patients with reduced total sperm counts.
AB - STUDY QUESTION: What are the primary causes of severe male factor infertility?
SUMMARY ANSWER: Although 40% of all patients showed primary causes of
infertility, which could be subdivided into three groups based on the severity of
their effect, ~75% of oligozoospermia cases remained idiopathic. WHAT IS KNOWN
ALREADY: There are few large-scale epidemiological studies analyzing the causes
of male factor infertility. STUDY DESIGN, SIZE, DURATION: A prospective clinical
epidemiological study was conducted at the Andrology Centre, Tartu University
Hospital between 2005 and 2013, recruiting male partners of couples failing to
conceive a child for over >=12 months. Among 8518 patients, 1737 (20.4%) were
diagnosed with severe male factor infertility. A reference group of fertile
controls was comprised of 325 partners of pregnant women. PARTICIPANTS/MATERIALS,
SETTING, METHODS: The mean age of infertility patients and fertile controls was
33.2 +/- 7.3 and 31.7 +/- 6.3 years, respectively. All participants were examined
using a standardized andrology workup, accompanied by a structured medical
interview. Hormonal analysis included serum FSH, LH and testosterone. Semen
quality was determined in accordance to the World Health Organization
recommendations. Cases with spermatozoa concentrations of <=5 million/ml were
screened for chromosomal aberrations and Y-chromosomal microdeletions. MAIN
RESULTS AND THE ROLE OF CHANCE: The primary cause of infertility was defined for
695 of 1737 patients (~40%). The analyzed causal factors could be divided into
absolute (secondary hypogonadism, genetic causes, seminal tract obstruction),
severe (oncological diseases, severe sexual dysfunction) and plausible causal
factors (congenital anomalies in uro-genital tract, acquired or secondary
testicular damage). The latter were also detected for 11 (3.4%) men with proven
fertility (diagnoses: unilateral cryptorchidism, testis cancer, orchitis, mumps
orchitis). The causal factors behind the most severe forms of impaired
spermatogenesis were relatively well understood; causes were assigned: for
aspermia in 46/46 cases (100%), for azoospermia in 321/388 cases (82.7%), and for
cryptozoospermia in 54/130 cases (41.5%). In contrast, 75% of oligozoospermia
cases remained unexplained. The main cause of aspermia was severe sexual
dysfunction (71.7% of aspermia patients). Azoospermia patients accounted for
86.4% of all cases diagnosed with secondary hypogonadism and 97.1% of patients
with seminal tract obstruction. Of patients with a known genetic factor, 87.4%
had extreme infertility (azoo-, crypto- or aspermia). The prevalence of
congenital anomalies in the uro-genital tract was not clearly correlated with the
severity of impaired sperm production. Previously defined 'potential contributing
factors' varicocele and leukocytospermia were excluded as the primary causes of
male infertility. However, their incidence was >2-fold higher (31.0 vs 13.5% and
16.1 vs 7.4%; P < 0.001) in the idiopathic infertility group compared to
controls. In addition, the proportions of overweight (or obese) patients and
patients suffering from a chronic disease were significantly increased in almost
all of the patient subgroups. LIMITATIONS REASONS FOR CAUTION: The study included
only subjects with reduced total spermatozoa counts. Thus, these findings cannot
be automatically applied to all male factor infertility cases. WIDER IMPLICATIONS
OF THE FINDINGS: The novel insights and improved clarity achieved in the
comprehensive analysis regarding the absolute, causative and plausible factors
behind male infertility, as well as the 'potential contributing factors', will be
valuable tools in updating the current clinical guidelines. The study highlights
knowledge gaps and reiterates an urgent need to uncover the causes and mechanisms
behind, and potential treatments of, oligozoospermic cases, representing the
majority of idiopathic infertility patients (86.3%). STUDY FUNDING/COMPETING
INTERESTS: The project was financed by the EU through the ERDF, project HAPPY
PREGNANCY, no. 3.2.0701.12-004 (M.P., M.L.) and the Estonian Research Council:
grants PUT181 (M.P.) and IUT34-12 (M.L.). The funders had no role in study
design, data collection and analysis, decision to publish or preparation of the
manuscript. We have no competing interests to declare. TRAIL REGISTRATION
NUMBER: Not applicable.
PMID- 27864362
TI - Identification of Lysophosphatidic Acid Receptor 1 in Astroglial Cells as a
Target for Glial Cell Line-derived Neurotrophic Factor Expression Induced by
Antidepressants.
AB - Preclinical and clinical evidence suggests that glial cell line-derived
neurotrophic factor (GDNF) is important in the therapeutic effect of
antidepressants. A previous study demonstrated that the tricyclic antidepressant
amitriptyline induces Galphai/o activation, which leads to GDNF expression in
astrocytes. However, the specific target expressed in astrocytes that mediates
antidepressant-evoked Galphai/o activation has yet to be identified. Thus, the
current study explored the possibility that antidepressant-induced Galphai/o
activation depends on lysophosphatidic acid receptor 1 (LPAR1), a Galphai/o
coupled receptor. GDNF mRNA expression was examined using real-time PCR and
Galphai/o activation was examined using the cell-based receptor assay system
CellKeyTM in rat C6 astroglial cells and rat primary cultured astrocytes. LPAR1
antagonists blocked GDNF mRNA expression and Galphai/o activation evoked by
various classes of antidepressants (amitriptyline, nortriptyline, mianserin, and
fluoxetine). In addition, deletion of LPAR1 by RNAi suppressed amitriptyline
evoked GDNF mRNA expression. Treatment of astroglial cells with the endogenous
LPAR agonist LPA increased GDNF mRNA expression through LPAR1, whereas treatment
of primary cultured neurons with LPA failed to affect GDNF mRNA expression.
Astrocytic GDNF expression evoked by either amitriptyline or LPA utilized, in
part, transactivation of fibroblast growth factor receptor and a subsequent ERK
cascade. The current results suggest that LPAR1 is a novel, specific target of
antidepressants that leads to GDNF expression in astrocytes.
PMID- 27864363
TI - Induction of Airway Allergic Inflammation by Hypothiocyanite via Epithelial
Cells.
AB - Hypothiocyanite (OSCN-) serves as a potent innate defense system against microbes
in the lungs. OSCN- is generated by the catalysis of peroxidases using
thiocyanate transported via several anion transporters, including pendrin/SLC26A4
and hydrogen peroxide (H2O2) generated by Duox1 and Duox2. We previously
demonstrated that expression of pendrin, peroxidases, and Duox1/Duox2 is up
regulated in bronchial asthma patients and/or asthma model mice and that these
molecules are important in accelerating airway inflammation. However, it remained
unclear how activating these molecules would lead to airway inflammation. In this
study, we examined whether OSCN- produced via the pendrin/peroxidase/Duox pathway
causes inflammation via airway epithelial cells. In an in vitro OSCN- production
system, OSCN-, but not H2O2, activated NF-kappaB, a transcription factor critical
for inflammatory responses, in the airway epithelial cells. OSCN- was sensed by
protein kinase A (PKA) followed by formation of the dimerization of PKA. The
dimerized PKA, the active form, was critical in activating NF-kappaB. Detoxifying
H2O2, mainly by catalase, enabled the dominant abilities of OSCN- to dimerize PKA
and activate NF-kappaB, compared with untreated H2O2 Furthermore, OSCN- in high
doses caused necrosis of the cells, inducing release of IL-33, a trigger to
initiate type 2 inflammation. These results demonstrate that OSCN- in low doses
activates NF-kappaB via PKA in airway epithelial cells, whereas OSCN- in high
doses causes necrosis, suggesting an important role in airway allergic
inflammation for the production of OSCN- via the pendrin/peroxidase/Duox pathway.
PMID- 27864364
TI - Membrane Recruitment of the Non-receptor Protein GIV/Girdin (Galpha-interacting,
Vesicle-associated Protein/Girdin) Is Sufficient for Activating Heterotrimeric G
Protein Signaling.
AB - GIV (aka Girdin) is a guanine nucleotide exchange factor that activates
heterotrimeric G protein signaling downstream of RTKs and integrins, thereby
serving as a platform for signaling cascade cross-talk. GIV is recruited to the
cytoplasmic tail of receptors upon stimulation, but the mechanism of activation
of its G protein regulatory function is not well understood. Here we used assays
in humanized yeast models and G protein activity biosensors in mammalian cells to
investigate the role of GIV subcellular compartmentalization in regulating its
ability to promote G protein signaling. We found that in unstimulated cells GIV
does not co-fractionate with its substrate G protein Galphai3 on cell membranes
and that constitutive membrane anchoring of GIV in yeast cells or rapid membrane
translocation in mammalian cells via chemically induced dimerization leads to
robust G protein activation. We show that membrane recruitment of the GIV "Galpha
binding and activating" motif alone is sufficient for G protein activation and
that it does not require phosphomodification. Furthermore, we engineered a
synthetic protein to show that recruitment of the GIV "Galpha binding and
activating" motif to membranes via association with active RTKs, instead of via
chemically induced dimerization, is also sufficient for G protein activation.
These results reveal that recruitment of GIV to membranes in close proximity to
its substrate G protein is a major mechanism responsible for the activation of
its G protein regulatory function.
PMID- 27864365
TI - NMR Investigation of Structures of G-protein Coupled Receptor Folding
Intermediates.
AB - Folding of G-protein coupled receptors (GPCRs) according to the two-stage model
(Popot, J. L., and Engelman, D. M. (1990) Biochemistry 29, 4031-4037) is
postulated to proceed in 2 steps: partitioning of the polypeptide into the
membrane followed by diffusion until native contacts are formed. Herein we
investigate conformational preferences of fragments of the yeast Ste2p receptor
using NMR. Constructs comprising the first, the first two, and the first three
transmembrane (TM) segments, as well as a construct comprising TM1-TM2 covalently
linked to TM7 were examined. We observed that the isolated TM1 does not form a
stable helix nor does it integrate well into the micelle. TM1 is significantly
stabilized upon interaction with TM2, forming a helical hairpin reported
previously (Neumoin, A., Cohen, L. S., Arshava, B., Tantry, S., Becker, J. M.,
Zerbe, O., and Naider, F. (2009) Biophys. J. 96, 3187-3196), and in this case the
protein integrates into the hydrophobic interior of the micelle. TM123 displays a
strong tendency to oligomerize, but hydrogen exchange data reveal that the center
of TM3 is solvent exposed. In all GPCRs so-far structurally characterized TM7
forms many contacts with TM1 and TM2. In our study TM127 integrates well into the
hydrophobic environment, but TM7 does not stably pack against the remaining
helices. Topology mapping in microsomal membranes also indicates that TM1 does
not integrate in a membrane-spanning fashion, but that TM12, TM123, and TM127
adopt predominantly native-like topologies. The data from our study would be
consistent with the retention of individual helices of incompletely synthesized
GPCRs in the vicinity of the translocon until the complete receptor is released
into the membrane interior.
PMID- 27864366
TI - Siah2 Protein Mediates Early Events in Commitment to an Adipogenic Pathway.
AB - Adipose tissue expansion occurs by increasing the size of existing adipocytes or
by increasing the number of adipocytes via adipogenesis. Adipose tissue
dysfunction in obesity is associated with adipocyte hypertrophy and impaired
adipogenesis. We recently demonstrated that deletion of the ubiquitin ligase
Siah2 is associated with enlarged adipocytes in lean or obese mice. In this
study, we find that adipogenesis is impaired in 3T3-L1 preadipocytes stably
transfected with Siah2 shRNA and that overexpression of Siah2 in non-precursor
fibroblasts promotes adipogenesis. In the 3T3-L1 model, loss of Siah2 is
associated with sustained beta-catenin expression post-induction, but depletion
of beta-catenin only partially restores PPARgamma expression and adipocyte
formation. Using wild-type and Siah2-/- adipose tissue and adipose stromal
vascular cells, we observe that Siah2 influences the expression of several
factors that control adipogenesis, including Wnt pathway genes, beta-catenin,
Zfp432, and Bmp-4 Consistent with increased beta-catenin levels in shSiah2
preadipocytes, Wnt10b is elevated in Siah2-/- adipose tissue and remains elevated
in Siah2-/- primary stromal cells after addition of the induction mixture.
However, addition of BMP-4 to Siah2-/- stromal cells reduces Wnt10b expression,
reduces Zfp521 protein levels, and increases expression of Zfp423, a
transcriptional regulator of peroxisome proliferator-activated receptor gamma
expression that controls commitment to adipogenesis and is repressed by Zfp521.
These results indicate that Siah2 acts upstream of BMP-4 to regulate factors that
control the commitment of adipocyte progenitors to an adipogenic pathway. Our
findings reveal an essential role for Siah2 in the early events that signal
undifferentiated progenitor cells to become mature adipocytes.
PMID- 27864368
TI - Role of the Cys Loop and Transmembrane Domain in the Allosteric Modulation of
alpha4beta2 Nicotinic Acetylcholine Receptors.
AB - Allosteric modulators of pentameric ligand-gated ion channels are thought to act
on elements of the pathways that couple agonist binding to channel gating. Using
alpha4beta2 nicotinic acetylcholine receptors and the alpha4beta2-selective
positive modulators 17beta-estradiol (betaEST) and desformylflustrabromine
(dFBr), we have identified pathways that link the binding sites for these
modulators to the Cys loop, a region that is critical for channel gating in all
pentameric ligand-gated ion channels. Previous studies have shown that the
binding site for potentiating betaEST is in the C-terminal (post-M4) region of
the alpha4 subunit. Here, using homology modeling in combination with mutagenesis
and electrophysiology, we identified the binding site for potentiating dFBr on
the top half of a cavity between the third (M3) and fourth transmembrane (M4)
alpha-helices of the alpha4 subunit. We found that the binding sites for betaEST
and dFBr communicate with the Cys loop, through interactions between the last
residue of post-M4 and Phe170 of the conserved FPF sequence of the Cys loop, and
that these interactions affect potentiating efficacy. In addition, interactions
between a residue in M3 (Tyr309) and Phe167, a residue adjacent to the Cys loop
FPF motif, also affect dFBr potentiating efficacy. Thus, the Cys loop acts as a
key control element in the allosteric transduction pathway for potentiating
betaEST and dFBr. Overall, we propose that positive allosteric modulators that
bind the M3-M4 cavity or post-M4 region increase the efficacy of channel gating
through interactions with the Cys loop.
PMID- 27864370
TI - Acceptability of Strategies to Reduce Student Sitting: A Mixed-Methods Study With
College Teachers.
AB - BACKGROUND: As school days among adolescents include long periods of prolonged
sitting, teachers are key agents to deliver interventions to reduce youth
sedentary behavior. To develop an intervention, acceptability and feasibility of
alternative strategies should be tested. We aimed to examine teachers' current
use and willingness to use various strategies to decrease student sitting and
potential barriers and facilitators of use. METHOD: Mixed-methods design with
college teachers using an online cross-sectional survey (n = 192) and focus group
interviews (n = 13). FINDINGS: Although a vast majority (87%) of the teachers
found reducing prolonged sitting an important goal, only 47% were actually
including practices to reduce sitting in their classroom. 89% of the teachers
reported willingness to use at least one of the five alternative strategies
presented. Focus groups revealed a discussion emphasis on environmental
opportunity and motivation as key to implementation. Teachers also generated
additional ideas for intervention content. DISCUSSION: Despite low levels of
current sitting reduction, teachers were willing to try at least one strategy to
reduce sitting. Results informed intervention development regarding parameters of
use for each strategy. When possible, interventions should provide teachers with
a variety of alternative strategies that are easy to use to reduce prolonged
sitting.
PMID- 27864367
TI - Phaseic Acid, an Endogenous and Reversible Inhibitor of Glutamate Receptors in
Mouse Brain.
AB - Phaseic acid (PA) is a phytohormone regulating important physiological functions
in higher plants. Here, we show the presence of naturally occurring (-)-PA in
mouse and rat brains. (-)-PA is exclusively present in the choroid plexus and the
cerebral vascular endothelial cells. Purified (-)-PA has no toxicity and protects
cultured cortical neurons against glutamate toxicity through reversible
inhibition of glutamate receptors. Focal occlusion of the middle cerebral artery
elicited a significant induction in (-)-PA expression in the cerebrospinal fluid
but not in the peripheral blood. Importantly, (-)-PA induction only occurred in
the penumbra area, indicting a protective role of PA in the brain. Indeed,
elevating the (-)-PA level in the brain reduced ischemic brain injury, whereas
reducing the (-)-PA level using a monoclonal antibody against (-)-PA increased
ischemic injury. Collectively, these studies showed for the first time that (-)
PA is an endogenous neuroprotective molecule capable of reversibly inhibiting
glutamate receptors during ischemic brain injury.
PMID- 27864369
TI - Structures of the Multidrug Transporter P-glycoprotein Reveal Asymmetric ATP
Binding and the Mechanism of Polyspecificity.
AB - P-glycoprotein (P-gp) is a polyspecific ATP-dependent transporter linked to
multidrug resistance in cancer; it plays important roles in determining the
pharmacokinetics of many drugs. Understanding the structural basis of P-gp,
substrate polyspecificity has been hampered by its intrinsic flexibility, which
is facilitated by a 75-residue linker that connects the two halves of P-gp. Here
we constructed a mutant murine P-gp with a shortened linker to facilitate
structural determination. Despite dramatic reduction in rhodamine 123 and calcein
AM transport, the linker-shortened mutant P-gp possesses basal ATPase activity
and binds ATP only in its N-terminal nucleotide-binding domain. Nine
independently determined structures of wild type, the linker mutant, and a
methylated P-gp at up to 3.3 A resolution display significant movements of
individual transmembrane domain helices, which correlated with the opening and
closing motion of the two halves of P-gp. The open-and-close motion alters the
surface topology of P-gp within the drug-binding pocket, providing a mechanistic
explanation for the polyspecificity of P-gp in substrate interactions.
PMID- 27864371
TI - Applicability of recycled aggregates in concrete piles for soft soil improvement.
AB - The expressive generation of construction and demolition waste is stimulating
several studies for reusing this material. The improvement of soft soils by
concrete compaction piles has been widely applied for 40 years in some Brazilian
cities. This technique is used to improve the bearing capacity of soft soils,
allowing executing shallow foundations instead of deep foundations. The
compaction piles use a high volume of material. This article explored the
possibility of using recycled aggregates from construction waste to replace the
natural aggregates in order to improve the bearing capacity of the soft soil,
regarding its compressive strength. Construction wastes from different stages of
a construction were used in order to make samples of concrete with recycled
aggregates. The strength of concretes with natural aggregates was compared with
the strength of concretes with recycled (fine and coarse) aggregates. Results
show that all samples met the minimum compressive strength specified for
compaction piles used to improve the bearing capacity of soft soils. The concrete
with recycled aggregate from the structural stage had even higher resistances
than the concrete with natural aggregates. This behaviour was attributed to the
large amount of cementitious materials in the composition of this type of
concrete. It was also observed that concrete with recycled fine aggregate has a
superior resistance to concrete with recycled coarse aggregate.
PMID- 27864374
TI - Classic Spotlight: Metabolic Flux-Which Way To Go?
PMID- 27864372
TI - When Far Becomes Near.
AB - On many occasions, people spontaneously or deliberately take the perspective of a
person facing them rather than their own perspective. How is this done? Using a
spatial perspective task in which participants were asked to identify objects at
specific locations, we found that self-perspective judgments were faster for
objects presented to the right, rather than the left, and for objects presented
closer to the participants' own bodies. Strikingly, taking the opposing
perspective of another person led to a reversal (i.e., remapping) of these
effects, with reference to the other person's position (Experiment 1). A
remapping of spatial relations was also observed when an empty chair replaced the
other person (Experiment 2), but not when access to the other viewpoint was
blocked (Experiment 3). Thus, when the spatial scene allows a physically feasible
but opposing point of view, people respond as if their own bodies were in that
place. Imagination can thus overcome perception.
PMID- 27864376
TI - Probing function and structure of trehalose-6-phosphate phosphatases from
pathogenic organisms suggests distinct molecular groupings.
AB - The trehalose biosynthetic pathway is of great interest for the development of
novel therapeutics because trehalose is an essential disaccharide in many
pathogens but is neither required nor synthesized in mammalian hosts. As such,
trehalose-6-phosphate phosphatase (TPP), a key enzyme in trehalose biosynthesis,
is likely an attractive target for novel chemotherapeutics. Based on a survey of
genomes from a panel of parasitic nematodes and bacterial organisms and by way of
a structure-based amino acid sequence alignment, we derive the topological
structure of monoenzyme TPPs and classify them into 3 groups. Comparison of the
functional roles of amino acid residues located in the active site for TPPs
belonging to different groups reveal nuanced variations. Because current
literature on this enzyme family shows a tendency to infer functional roles for
individual amino acid residues, we investigated the roles of the strictly
conserved aspartate tetrad in TPPs of the nematode Brugia malayi by using a
conservative mutation approach. In contrast to aspartate-213, the residue
inferred to carry out the nucleophilic attack on the substrate, we found that
aspartate-215 and aspartate-428 of BmTPP are involved in the chemistry steps of
enzymatic hydrolysis of the substrate. Therefore, we suggest that homology-based
inference of functionally important amino acids by sequence comparison for
monoenzyme TPPs should only be carried out for each of the 3 groups.-Cross, M.,
Lepage, R., Rajan, S., Biberacher, S., Young, N. D., Kim, B.-N., Coster, M. J.,
Gasser, R. B., Kim, J.-S., Hofmann, A. Probing function and structure of
trehalose-6-phosphate phosphatases from pathogenic organisms suggests distinct
molecular groupings.
PMID- 27864377
TI - Mitochondrial and redox abnormalities in autism lymphoblastoid cells: a sibling
control study.
AB - Autism spectrum disorder (ASD) is associated with physiological abnormalities,
including abnormal redox and mitochondrial metabolism. Lymphoblastoid cell lines
(LCLs) from some children with ASD exhibit increased oxidative stress, decreased
glutathione redox capacity, and highly active mitochondria with increased
vulnerability to reactive oxygen species (ROS). Because unaffected siblings
(Sibs) of individuals with ASD share some redox abnormalities, we sought to
determine whether LCLs from Sibs share ASD-associated mitochondrial
abnormalities. We evaluated mitochondrial bioenergetics in 10 sets of LCLs from
children with ASD, Sibs, and unrelated/unaffected controls (Cons) after acute
increases in ROS. Additionally, intracellular glutathione and uncoupling protein
2 (UCP2) gene expressions were quantified. Compared to Sib LCLs, ASD LCLs
exhibited significantly higher ATP-linked respiration, higher maximal and reserve
respiratory capacity, and greater glycolysis and glycolytic reserve. ASD LCLs
exhibited a significantly greater change in these parameters, with acute
increases in ROS compared to both Sib and Con LCLs. Compared to Con, both ASD and
Sib LCLs exhibited significantly higher proton leak respiration. Consistent with
this, intracellular glutathione redox capacity was decreased and UCP2 gene
expression was increased in both ASD and Sib compared to Con LCLs. These data
indicate that mitochondrial respiratory function, not abnormal redox homeostasis,
distinguishes ASD from unaffected LCLs.-Rose, S., Bennuri, S. C., Wynne, R.,
Melnyk, S., James, S. J., Frye, R. E. Mitochondrial and redox abnormalities in
autism lymphoblastoid cells: a sibling control study.
PMID- 27864378
TI - Loss of scleraxis in mice leads to geometric and structural changes in cortical
bone, as well as asymmetry in fracture healing.
AB - Scleraxis (Scx) is a known regulator of tendon development, and recent work has
identified the role of Scx in bone modeling. However, the role of Scx in fracture
healing has not yet been explored. This study was conducted to identify the role
of Scx in cortical bone development and fracture healing. Scx green fluorescent
protein-labeled (ScxGFP) reporter and Scx-knockout (Scx-mutant) mice were used to
assess bone morphometry and the effects of fracture healing on Scx localization
and gene expression, as well as callus healing response. Botulinum toxin (BTX)
was used to investigate muscle unloading effects on callus shape. Scx-mutant long
bones had structural and mechanical defects. Scx gene expression was elevated and
bmp4 was decreased at 24 h after fracture. ScxGFP+ cells were localized
throughout the healing callus after fracture. Scx-mutant mice demonstrated
disrupted callus healing and asymmetry. Asymmetry of Scx-mutant callus was not
due to muscle unloading. Wild-type littermates (age matched) served as controls.
This is the first study to explore the role of Scx in cortical bone mechanics and
fracture healing. Deletion of Scx during development led to altered long bone
properties and callus healing. This study also demonstrated that Scx may play a
role in the periosteal response during fracture healing.-McKenzie, J. A.,
Buettmann, E., Abraham, A. C., Gardner, M. J., Silva, M. J., Killian, M. L. Loss
of scleraxis in mice leads to geometric and structural changes in cortical bone,
as well as asymmetry in fracture healing.
PMID- 27864379
TI - Foxn4 promotes gene expression required for the formation of multiple motile
cilia.
AB - Multiciliated cell (MCC) differentiation involves extensive organelle biogenesis
required to extend hundreds of motile cilia. Key transcriptional regulators known
to drive the gene expression required for this organelle biogenesis are activated
by the related coiled-coil proteins Multicilin and Gemc1. Here we identify foxn4
as a new downstream target of Multicilin required for MCC differentiation in
Xenopus skin. When Foxn4 activity is inhibited in Xenopus embryos, MCCs show
transient ciliogenesis defects similar to those seen in mutants of Foxj1, a known
key regulator of genes required for motile ciliation. RNAseq analysis indicates
that Foxn4 co-activates some Foxj1 target genes strongly and many Foxj1 targets
weakly. ChIPseq suggests that whereas Foxn4 and Foxj1 frequently bind to
different targets at distal enhancers, they largely bind together at MCC gene
promoters. Consistent with this co-regulation, cilia extension by MCCs is more
severely compromised in foxn4 and foxj1 double mutants than in single mutants. In
contrast to Foxj1, Foxn4 is not required to extend a single motile cilium by
cells involved in left-right patterning. These results indicate that Foxn4
complements Foxj1 transcriptionally during MCC differentiation, thereby shaping
the levels of gene expression required for the timely and complete biogenesis of
multiple motile cilia.
PMID- 27864380
TI - Setd5 is essential for mammalian development and the co-transcriptional
regulation of histone acetylation.
AB - SET domain-containing proteins play a vital role in regulating gene expression
during development through modifications in chromatin structure. Here we show
that SET domain-containing 5 (Setd5) is divergently transcribed with
Gt(ROSA26)Sor, is necessary for mammalian development, and interacts with the
PAF1 co-transcriptional complex and other proteins. Setd5-deficient mouse embryos
exhibit severe defects in neural tube formation, somitogenesis and cardiac
development, have aberrant vasculogenesis in embryos, yolk sacs and placentas,
and die between embryonic day 10.5 and 11.5. Setd5-deficient embryonic stem cells
have impaired cellular proliferation, increased apoptosis, defective cell cycle
progression, a diminished ability to differentiate into cardiomyocytes and
greatly perturbed gene expression. SETD5 co-immunoprecipitates with multiple
components of the PAF1 and histone deacetylase-containing NCoR complexes and is
not solely required for major histone lysine methylation marks. In the absence of
Setd5, histone acetylation is increased at transcription start sites and near
downstream regions. These findings suggest that SETD5 functions in a manner
similar to yeast Set3p and Drosophila UpSET, and that it is essential for
regulating histone acetylation during gene transcription.
PMID- 27864383
TI - Use of Pharmacogenetic Information in the Treatment of Cardiovascular Disease.
AB - BACKGROUND: In 1964, Robert A. O'Reilly's research group identified members of a
family who required remarkably high warfarin doses (up to 145 mg/day, 20 times
the average dose) to achieve appropriate anticoagulation. Since this time,
pharmacogenetics has become a mainstay of cardiovascular science, and genetic
variants have been implicated in several fundamental classes of medications used
in cardiovascular medicine. CONTENT: In this review, we discuss genetic variants
that affect drug response to 3 classes of cardiovascular drugs: statins, platelet
P2Y12 inhibitors, and anticoagulants. These genetic variations have
pharmacodynamic and pharmacokinetic effects and have been shown to explain
differences in drug response such as lipid lowering, prevention of cardiovascular
disease, and prevention of stroke, as well as incidence of adverse events such as
musculoskeletal side effects and bleeding. Several groups have begun to implement
pharmacogenetics testing as part of routine clinical care with the goal of
improving health outcomes. Such strategies identify both patients at increased
risk of adverse outcomes and alternative strategies to mitigate this risk as well
as patients with "normal" genotypes, who, armed with this information, may have
increased confidence and adherence to prescribed medications. While much is known
about the genetic variants that underlie these effects, translation of this
knowledge into clinical practice has been hampered by difficulty in implementing
cost-effective, point-of-care tools to improve physician decision-making as well
as a lack of data, as of yet, demonstrating the efficacy of using genetic
information to improve health. SUMMARY: Many genetic variants that affect
individual responses to drugs used in cardiovascular disease prevention and
treatment have been described. Further study of these variants is needed before
successful implementation into clinical practice.
PMID- 27864381
TI - Dynein light chain DLC-1 promotes localization and function of the PUF protein
FBF-2 in germline progenitor cells.
AB - PUF family translational repressors are conserved developmental regulators, but
the molecular function provided by the regions flanking the PUF RNA-binding
domain is unknown. In C. elegans, the PUF proteins FBF-1 and FBF-2 support
germline progenitor maintenance by repressing production of meiotic proteins and
use distinct mechanisms to repress their target mRNAs. We identify dynein light
chain DLC-1 as an important regulator of FBF-2 function. DLC-1 directly binds to
FBF-2 outside of the RNA-binding domain and promotes FBF-2 localization and
function. By contrast, DLC-1 does not interact with FBF-1 and does not contribute
to FBF-1 activity. Surprisingly, we find that the contribution of DLC-1 to FBF-2
activity is independent of the dynein motor. Our findings suggest that PUF
protein localization and activity are mediated by sequences flanking the RNA
binding domain that bind specific molecular partners. Furthermore, these results
identify a new role for DLC-1 in post-transcriptional regulation of gene
expression.
PMID- 27864384
TI - Reconciling Systems for Acute Myocardial Infarction Definition and Coding
Classification.
PMID- 27864382
TI - Molecular dynamics of Dkk4 modulates Wnt action and regulates meibomian gland
development.
AB - Secreted Dickkopf (Dkk) proteins are major Wnt pathway modulators during organ
development. Dkk1 has been widely studied and acts as a general Wnt inhibitor.
However, the molecular function of other Dkks remains largely unknown. Here, we
show that Dkk4 selectively inhibits a subset of Wnts, but is further inactivated
by proteolytic cleavage. Meibomian gland (MG) formation is employed as a model
where Dkk4 and its Wnt targets are expressed. Skin-specific expression of Dkk4
arrests MG growth at early germ phase, which is similar to that observed in Eda
ablated Tabby mice. Consistent with transient Dkk4 action, intact Dkk4 inhibits
MG extension but the cleaved form progressively increases during MG development
with a concomitant upswing in Wnt activity. Furthermore, both Dkk4 and its
receptor (and Wnt co-receptor) Lrp6 are direct Eda targets during MG induction.
In cell and organotypic cultures, Dkk4 inhibition is eliminated by elevation of
Lrp6. Also, Lrp6 upregulation restores MG formation in Tabby mice. Thus, the
dynamic state of Dkk4 itself and its interaction with Lrp6 modulates Wnt function
during MG development, with a novel limitation of Dkk4 action by proteolytic
cleavage.
PMID- 27864385
TI - One Shot to Rule Out: Does the Limit of Detection of a High-Sensitivity Troponin
Assay Hit the Mark?
PMID- 27864386
TI - A Roadmap to Successful Clinical Proteomics.
PMID- 27864388
TI - Payer Perspectives on Coverage of Biomarker Testing in Cardiovascular Disease.
PMID- 27864389
TI - Troponin Autoantibodies: From Assay Interferent to Mediator of Cardiotoxicity.
PMID- 27864387
TI - Increased Trimethylamine N-Oxide Portends High Mortality Risk Independent of
Glycemic Control in Patients with Type 2 Diabetes Mellitus.
AB - BACKGROUND: Recent studies show a mechanistic link between intestinal microbial
metabolism of dietary phosphatidylcholine and coronary artery disease
pathogenesis. Concentrations of a proatherogenic gut microbe-generated
metabolite, trimethylamine N-oxide (TMAO), predict increased incident
cardiovascular disease risks in multiple cohorts. TMAO concentrations are
increased in patients with type 2 diabetes mellitus (T2DM), but their prognostic
value and relation to glycemic control are unclear. METHODS: We examined the
relationship between fasting TMAO and 2 of its nutrient precursors, choline and
betaine, vs 3-year major adverse cardiac events and 5-year mortality in 1216
stable patients with T2DM who underwent elective diagnostic coronary angiography.
RESULTS: TMAO [4.4 MUmol/L (interquartile range 2.8-7.7 MUmol/L) vs 3.6 (2.3-5.7
MUmol/L); P < 0.001] and choline concentrations were higher in individuals with
T2DM vs healthy controls. Within T2DM patients, higher plasma TMAO was associated
with a significant 3.0-fold increased 3-year major adverse cardiac event risk (P
< 0.001) and a 3.6-fold increased 5-year mortality risk (P < 0.001). Following
adjustments for traditional risk factors and high-sensitivity C-reactive protein,
glycohemoglobin, and estimated glomerular filtration rate, increased TMAO
concentrations remained predictive of both major adverse cardiac events and
mortality risks in T2DM patients [e.g., quartiles 4 vs 1, hazard ratio 2.05 (95%
CI, 1.31-3.20), P < 0.001; and 2.07 (95% CI, 1.37-3.14), P < 0.001,
respectively]. CONCLUSIONS: Fasting plasma concentrations of the proatherogenic
gut microbe-generated metabolite TMAO are higher in diabetic patients and portend
higher major adverse cardiac events and mortality risks independent of
traditional risk factors, renal function, and relationship to glycemic control.
PMID- 27864392
TI - BET 1: Trimethoprim-sulfamethoxazole in uncomplicated skin abscess.
AB - A short cut review was carried out to establish whether incision and drainage
followed by treatment with oral trimethoprim-sulfamethoxazole is better than
incision and drainage alone at treating patients with uncomplicated skin
abscesses. One hundred and ninety-seven papers were found using the reported
searches, of which three presented the best evidence to answer the clinical
question. The author, date and country of publication, patient group studied,
study type, relevant outcomes, results and study weaknesses of these papers are
tabulated. It is concluded that trimethoprim-sulfamethoxazole may help with
abscess cure, and will decrease abscess formation at new sites.
PMID- 27864391
TI - A woman with swelling of the neck.
PMID- 27864394
TI - BET 2: Low-dose ketamine for acute pain in the ED.
AB - A short cut review was carried out to establish whether low-dose ketamine is
better than morphine at safely and effectively reducing pain scores in ED
patients with acute pain who do not respond to conventional therapies. One
hundred and thirty-two papers were found using the reported searches, of these
three presented the best evidence to answer the clinical question. The author,
date and country of publication, patient group studied, study type, relevant
outcomes, results and study weaknesses of these best papers are tabulated. It is
concluded that the evidence is limited, but that ketamine can be an effective
alternative or adjunct to intravenous opioid pain medications and in some
instances may provide more effective pain relief when compared with opioids.
PMID- 27864395
TI - The blue patient.
PMID- 27864396
TI - Work Life and Family Life Collide: Online Support for New Fathers.
AB - The purpose of this investigation was to analyze online discussions about
parental leave in relation to the work lives and private lives of new fathers. A
netnographic study of nearly 100 discussion threads from a freely accessible
online forum for fathers was conducted. Data were coded, sorted, and categorized
by qualitative similarities and differences. The results of the study indicate
that new fathers seek Internet forums to discuss work-related topics. Parental
leave can provoke worries and anxiety related to management and co-worker
attitudes which can create concern that they should be back at work. The results
are presented in two categories: (a) attitudes expressed by employers and
colleagues and (b) leaving work but longing to be back. The phenomenon of
parental leave for fathers is more complex than simply "for" or "against"
attitudes. Fathers can use Internet forums to discuss their experiences, fears,
and anxiety and provide reasonable accommodations for both work and family life.
PMID- 27864397
TI - Sociodemographic distribution of non-communicable disease risk factors in rural
Uganda: a cross-sectional study.
PMID- 27864398
TI - Association of HIV and ART with cardiometabolic traits in sub-Saharan Africa: a
systematic review and meta-analysis.
PMID- 27864400
TI - Malaria in Uganda: school-based rapid diagnostic testing and treatment.
PMID- 27864401
TI - Change in body size and mortality: a systematic review and meta-analysis.
AB - Background: : Observational studies have reported that weight loss in later life
is associated with an increased risk of mortality. However, the association with
weight gain is unclear. We conducted a systematic review and meta-analysis of
prospective studies assessing the association of weight gain and loss, and
mortality. Methods: : We searched PubMed, Scopus and Web of Science for articles
published before 5 September 2015. We included prospective studies that reported
enough information to extract hazard ratios (HRs) with the corresponding 95%
confidence intervals (CIs) for the association between weight gain and/or weight
loss, and all-cause and cause-specific mortality. The estimates were pooled using
a random-effects model. Meta-regression models were fitted to explore sources of
potential between-study heterogeneity. Results: : A total of 25 (providing data
from 437 772 participants with 34 038 deaths from all causes) and 24 studies (434
694 participants with 31 978 deaths) presented results for the exposures, weight
loss and weight gain. Weight loss compared with a stable weight was associated
with an increased risk of all-cause (pooled HR: 1.45; 95% CI: 1.34, 1.58), and
cardiovascular disease (CVD) mortality (1.50; 1.32, 1.70) and a slightly
increased risk of cancer mortality (1.19; 0.97, 1.46). Weight gain was associated
with an increased risk of CVD mortality (1.21; 1.07, 1.36) and a slightly
increased risk of all-cause mortality (1.07; 1.01, 1.13) and cancer mortality
(1.04; 0.96, 1.13). Considerable heterogeneity was observed; the method used to
ascertain body size and the proportion of the baseline sample included in the
final analysis explained most of the heterogeneity. Conclusion: : Weight loss and
weight gain in midlife are associated with increased risk of all-cause and CVD
mortality.
PMID- 27864399
TI - Novel genetic loci associated with long-term deterioration in blood lipid
concentrations and coronary artery disease in European adults.
AB - Background: Cross-sectional genome-wide association studies have identified
hundreds of loci associated with blood lipids and related cardiovascular traits,
but few genetic association studies have focused on long-term changes in blood
lipids. Methods: Participants from the GLACIER Study (Nmax = 3492) were genotyped
with the MetaboChip array, from which 29 387 SNPs (single nucleotide
polymorphisms; replication, fine-mapping regions and wildcard SNPs for lipid
traits) were extracted for association tests with 10-year change in total
cholesterol (DeltaTC) and triglycerides (DeltaTG). Four additional prospective
cohort studies (MDC, PIVUS, ULSAM, MRC Ely; Nmax = 8263 participants) were used
for replication. We conducted an in silico look-up for association with coronary
artery disease (CAD) in the Coronary ARtery DIsease Genome-wide Replication and
Meta-analysis (CARDIoGRAMplusC4D) Consortium (N ~ 190 000) and functional
annotation for the top ranking variants. Results: In total, 956 variants were
associated (P < 0.01) with either DeltaTC or DeltaTG in GLACIER. In GLACIER,
chr19:50121999 at APOE was associated with DeltaTG and multiple SNPs in the
APOA1/A4/C3/A5 region at genome-wide significance (P < 5 * 10-8), whereas
variants in four loci, DOCK7, BRE, SYNE1 and KCNIP1, reached study-wide
significance (P < 1.7 * 10-6). The rs7412 variant at APOE was associated with
DeltaTC in GLACIER (P < 1.7 * 10-6). In pooled analyses of all cohorts, 139 SNPs
at six and five loci were associated with DeltaTC and for DeltaTG, respectively
(P < 10-3). Of these, a variant at CAPN3 (P = 1.2 * 10-4), multiple variants at
HPR (Pmin = 1.5 * 10-6) and a variant at SIX5 (P = 1.9 * 10-4) showed evidence
for association with CAD. Conclusions: We identified seven novel genomic regions
associated with long-term changes in blood lipids, of which three also raise CAD
risk.
PMID- 27864402
TI - Molecular genetic contributions to self-rated health.
AB - Background: Poorer self-rated health (SRH) predicts worse health outcomes, even
when adjusted for objective measures of disease at time of rating. Twin studies
indicate SRH has a heritability of up to 60% and that its genetic architecture
may overlap with that of personality and cognition. Methods: We carried out a
genome-wide association study (GWAS) of SRH on 111 749 members of the UK Biobank
sample. Univariate genome-wide complex trait analysis (GCTA)-GREML analyses were
used to estimate the proportion of variance explained by all common autosomal
single nucleotide polymorphisms (SNPs) for SRH. Linkage disequilibrium (LD) score
regression and polygenic risk scoring, two complementary methods, were used to
investigate pleiotropy between SRH in the UK Biobank and up to 21 health-related
and personality and cognitive traits from published GWAS consortia. Results: The
GWAS identified 13 independent signals associated with SRH, including several in
regions previously associated with diseases or disease-related traits. The
strongest signal was on chromosome 2 (rs2360675, P = 1.77 x 10 -10 ) close to
KLF7 . A second strong peak was identified on chromosome 6 in the major
histocompatibility region (rs76380179, P = 6.15 x 10 -10 ). The proportion of
variance in SRH that was explained by all common genetic variants was 13%.
Polygenic scores for the following traits and disorders were associated with SRH:
cognitive ability, education, neuroticism, body mass index (BMI), longevity,
attention-deficit hyperactivity disorder (ADHD), major depressive disorder,
schizophrenia, lung function, blood pressure, coronary artery disease, large
vessel disease stroke and type 2 diabetes. Conclusions: Individual differences in
how people respond to a single item on SRH are partly explained by their genetic
propensity to many common psychiatric and physical disorders and psychological
traits.
PMID- 27864404
TI - Causation, mediation and explanation.
PMID- 27864403
TI - Using group data to treat individuals: understanding heterogeneous treatment
effects in the age of precision medicine and patient-centred evidence.
AB - Although often conflated, determining the best treatment for an individual (the
task of a doctor) is fundamentally different from determining the average effect
of treatment in a population (the purpose of a trial). In this paper, we review
concepts of heterogeneity of treatment effects (HTE) essential in providing the
evidence base for precision medicine and patient-centred care, and explore some
inherent limitations of using group data (e.g. from a randomized trial) to guide
treatment decisions for individuals. We distinguish between person-level HTE
(i.e. that individuals experience different effects from a treatment) and group
level HTE (i.e. that subgroups have different average treatment effects), and
discuss the reference class problem, engendered by the large number of
potentially informative subgroupings of a study population (each of which may
lead to applying a different estimated effect to the same patient), and the scale
dependence of group-level HTE. We also review the limitations of conventional
'one-variable-at-a-time' subgroup analyses and discuss the potential benefits of
using more comprehensive subgrouping schemes that incorporate information on
multiple variables, such as those based on predicted outcome risk. Understanding
the conceptual underpinnings of HTE is critical for understanding how studies can
be designed, analysed, and interpreted to better inform individualized clinical
decisions.
PMID- 27864405
TI - Prenatal exposure to mercury and neuropsychological development in young
children: the role of fish consumption.
AB - Background: Vulnerability of the central nervous system to mercury exposure is
increased during early development. The aim of this study is to evaluate the
association between prenatal exposure to mercury and child neuropsychological
development in high-fish-intake areas in Spain. Methods: Study subjects were 1362
children, participants in the INMA (Environment and Childhood) birth cohort
study. Cord blood total mercury (CB-Hg) and cord polyunsaturated fatty acids
(PUFA) concentrations were analysed in samples collected between 2004 and 2008.
Child neuropsychological development was assessed at age 4-5 years by the
McCarthy Scales of Children's Abilities (MSCA). Socio-demographic, lifestyle and
dietary information was obtained by questionnaires administered during pregnancy
and childhood. Results: The geometric mean of CB-Hg was 8.8 ug/L [95% confidence
interval (CI) 8.4, 9.2]. A doubling in CB-Hg was associated with higher scores in
most of the MSCA scales ( beta =1.29; 95% CI 0.28, 2.31 for the general cognitive
scale). The association between CB-Hg and the scores obtained on the scales was
inverse among children whose mothers consumed fewer than three weekly servings of
fish during the first trimester of pregnancy, although confidence intervals did
not exclude the null ( beta =-1.20; 95% CI -2.62, 0.22 for the perceptive
manipulative scale and beta =-3.06; 95% CI -6.37, 0.24 for the general cognitive
scale). An inverse association between CB-Hg and the scores on the motor scale
was also suggested for children with an n-6/n-3 PUFA ratio above the median (
beta =-0.23; 95% CI -0.87, 0.40, interaction p -value=0.03). Conclusion: The
relationship between CB-Hg concentrations and child neuropsychological
development was influenced by maternal nutritional factors, such as fish
consumption and the PUFA status.
PMID- 27864406
TI - Explanation in causal inference: developments in mediation and interaction.
PMID- 27864408
TI - The epidemiology of two things considered together. Commentary on: Explanation in
Causal Inference: Developments in Mediation and Interaction, by Tyler J.
VanderWeele.
PMID- 27864409
TI - Mediation, interaction, interference for social epidemiology.
PMID- 27864411
TI - Commentary: Increasing uptake of HIV testing: gifts are good but more is needed.
PMID- 27864410
TI - Effectiveness of motivational interviewing interventions on medication adherence
in adults with chronic diseases: a systematic review and meta-analysis.
AB - Background: Medication adherence is frequently suboptimal in adults with chronic
diseases, resulting in negative consequences. Motivational interviewing (MI) is a
collaborative conversational style for strengthening a person's motivation and
commitment to change. We aimed to assess whether MI interventions are effective
to enhance medication adherence in adults with chronic diseases and to explore
the effect of individual MI intervention characteristics. Methods: We searched
electronic databases and reference lists of relevant articles to find randomized
controlled trials (RCTs) that assessed MI intervention effectiveness on
medication adherence in adults with chronic diseases. A random-effects model was
used to estimate a pooled MI intervention effect size and its heterogeneity (I 2
). We also explored the effects of individual MI characteristics on MI
intervention effect size using a meta-regression with linear mixed model.
Results: : Nineteen RCTs were identified, and 16 were included in the meta
analysis. The pooled MI intervention effect size was 0.12 [95% confidence
interval (CI) = (0.05, 0.20), I 2 = 1%]. Interventions that were based on MI only
[beta = 0.183, 95% CI = (0.004, 0.362)] or those in which interventionists were
coached during intervention implementation [beta = 0.465, 95% CI = (0.028,
0.902)] were the most effective. MI interventions that were delivered solely face
to face were more effective than those that were delivered solely by phone [beta
= 0.270, 95% CI = (0.041, 0.498)]. Conclusions: This synthesis of RCTs suggests
that MI interventions might be effective at enhancing of medication adherence in
adults treated for chronic diseases. Further research is however warranted, as
the observed intervention effect size was small.
PMID- 27864412
TI - Multilevel regression modelling to investigate variation in disease prevalence
across locations.
AB - In this article, we show how to investigate the role of individual (personal)
risk factors in outcome prevalence in multicentre studies with multilevel
modelling. The variation in outcome prevalence is modelled by introducing a
random intercept. In the next step, the empty model is compared with the model
containing the risk factor(s). Because the outcome is dichotomous, this
comparison can only be carried out after having rescaled the models' parameter
values to the variance of an underlying continuous variable. We illustrate this
approach with data from Phase Two of the International Study of Asthma and
Allergies in Childhood (ISAAC) and provide a corresponding Stata do-file.
PMID- 27864413
TI - Cohort Profile: Collaboration of Observational HIV Epidemiological Research
Europe (COHERE) in EuroCoord.
PMID- 27864416
TI - Correction.
PMID- 27864414
TI - Author's reply: The role of potential outcomes thinking in assessing mediation
and interaction.
PMID- 27864417
TI - Tumor Thickness and Mitotic Rate Robustly Predict Melanoma-Specific Survival in
Patients with Primary Vulvar Melanoma: A Retrospective Review of 100 Cases.
AB - Purpose: Primary vulvar melanoma (PVM) is the second most common vulvar
malignancy. Despite their distinct anatomic site and unique molecular-genetic
alterations, PVMs are staged according to the American Joint Committee on Cancer
(AJCC) guidelines for primary cutaneous melanomas (PCM). However, whether
parameters derived for PCM also apply to PVM remain a critical yet largely
unexplored clinical question. The objective of this study was to determine the
parameters predictive of survival in PVM.Experimental Design: We retrospectively
reviewed 100 patients with PVM and determined associations between clinical and
histopathologic parameters and disease-specific survival (DSS) and overall
survival (OS).Results: Univariate Cox regression analysis demonstrated older age
(>56 years), greater tumor thickness, higher dermal mitotic rate, ulceration,
lymphovascular invasion, perineural invasion, microscopic satellitosis, and
absence of precursor nevus associated with decreased OS. Furthermore, age,
midline, and/or multifocal involvement, greater tumor thickness, higher dermal
mitotic rate, ulceration, lack of regression, lymphovascular invasion, perineural
invasion, and microscopic satellitosis associated with decreased DSS.
Multivariate analysis demonstrated tumor thickness, dermal mitotic rate,
lymphovascular invasion, microscopic satellitosis, and absence of precursor nevus
independently predicted shorter OS. Only tumor thickness and increased dermal
mitotic rate (>=2/mm2) independently predicted reduced DSS. In comparison with
the AJCC T-category, a novel, bivariate T-category based only on tumor thickness
and dermal mitotic rate robustly predicted OS and DSS in our patient
cohort.Conclusions: In the largest single institutional study of PVM, we
demonstrate a combination of tumor thickness and mitotic rate comprise a simple
but robust T-category to direct staging and prognosis. Clin Cancer Res; 23(8);
2093-104. (c)2016 AACR.
PMID- 27864418
TI - AMPK-ULK1-Mediated Autophagy Confers Resistance to BET Inhibitor JQ1 in Acute
Myeloid Leukemia Stem Cells.
AB - Purpose: Bromodomain and extraterminal domain (BET) inhibitors are promising
epigenetic agents for the treatment of various subsets of acute myeloid leukemia
(AML). However, the resistance of leukemia stem cells (LSC) to BET inhibitors
remains a major challenge. In this study, we evaluated the mechanisms underlying
LSC resistance to the BET inhibitor JQ1.Experimental Design: We evaluated the
levels of apoptosis and autophagy induced by JQ1 in LSC-like leukemia cell lines
and primary CD34+CD38- leukemic blasts obtained from AML cases with normal
karyotype without recurrent mutations.Results: JQ1 effectively induced apoptosis
in a concentration-dependent manner in JQ1-sensitive AML cells. However, in JQ1
resistant AML LSCs, JQ1 induced little apoptosis and led to upregulation of
beclin-1, increased LC3-II lipidation, formation of autophagosomes, and
downregulation of p62/SQSTM1. Inhibition of autophagy by pharmacologic inhibitors
or knockdown of beclin-1 using specific siRNA enhanced JQ1-induced apoptosis in
resistant cells, indicating that prosurvival autophagy occurred in these cells.
Independent of mTOR signaling, activation of the AMPK (pThr172)/ULK1 (pSer555)
pathway was found to be associated with JQ1-induced autophagy in resistant cells.
AMPK inhibition using the pharmacologic inhibitor compound C or by knockdown of
AMPKalpha suppressed autophagy and promoted JQ1-induced apoptosis in AML
LSCs.Conclusions: These findings revealed that prosurvival autophagy was one of
the mechanisms involved in the resistance AML LSCs to JQ1. Targeting the
AMPK/ULK1 pathway or inhibition of autophagy could be an effective therapeutic
strategy for combating resistance to BET inhibitors in AML and other types of
cancer. Clin Cancer Res; 23(11); 2781-94. (c)2016 AACR.
PMID- 27864419
TI - How Subclonal Modeling Is Changing the Metastatic Paradigm.
AB - A concerted effort to sequence matched primary and metastatic tumors is vastly
improving our ability to understand metastasis in humans. Compelling evidence has
emerged that supports the existence of diverse and surprising metastatic
patterns. Enhancing these efforts is a new class of algorithms that facilitate
high-resolution subclonal modeling of metastatic spread. Here we summarize how
subclonal models of metastasis are influencing the metastatic paradigm. Clin
Cancer Res; 23(3); 630-5. (c)2016 AACR.
PMID- 27864420
TI - The Landscape of Viral Expression Reveals Clinically Relevant Viruses with
Potential Capability of Promoting Malignancy in Lower-Grade Glioma.
AB - Purpose: RNA sequencing (RNA-seq) has recently proved to be effective for
revealing novel virus-tumor associations. To get a thorough investigation of
virus-glioma associations, we screened viruses in gliomas with RNA-seq data from
the Chinese Glioma Genome Atlas project.Experimental Design: In total, 325
samples were enrolled into this study. Reads that failed to map to the human
genome were aligned to viral genomes and screened for potential virus-derived
transcripts. For quantification, VPKM was calculated according to mapped reads
weighted by genome sizes and sequencing depth.Results: We observed that viruses
tended to concertedly express in a certain subgroup of patients. Survival
analysis revealed that individuals who were infected with Simian virus 40 (SV40)
or woolly monkey sarcoma virus (WMSV) had a significantly shorter overall
survival than those uninfected. A multivariate Cox proportional hazards model,
taking clinical and molecular factors into account, was applied to assess the
prognostic value of SV40 and WMSV. Both SV40 and WMSV were independent prognostic
factors for predicting patient's survival in lower-grade gliomas. Subsequent gene
analysis demonstrated that SV40 was correlated with regulation of transcription,
whereas WMSV was correlated with cell-cycle phase, which indicated frequent
proliferation of tumor cells.Conclusions: RNA-seq was sufficient to identify
virus infection in glioma samples. SV40 and WMSV were identified to be prognostic
markers for patients with lower-grade gliomas and showed potential values for
targeting therapy. Clin Cancer Res; 23(9); 2177-85. (c)2016 AACR.
PMID- 27864423
TI - The Right Stand by ASM regarding Journal Impact Factors.
PMID- 27864424
TI - Erratum for Voelz et al., Cytokine Signaling Regulates the Outcome of
Intracellular Macrophage Parasitism by Cryptococcus neoformans.
PMID- 27864426
TI - Intraspinal pressure and spinal cord perfusion pressure predict neurological
outcome after traumatic spinal cord injury.
PMID- 27864425
TI - Isoform-Specific Biased Agonism of Histamine H3 Receptor Agonists.
AB - The human histamine H3 receptor (hH3R) is subject to extensive gene splicing that
gives rise to a large number of functional and nonfunctional isoforms. Despite
the general acceptance that G protein-coupled receptors can adopt different
ligand-induced conformations that give rise to biased signaling, this has not
been studied for the H3R; further, it is unknown whether splice variants of the
same receptor engender the same or differential biased signaling. Herein, we
profiled the pharmacology of histamine receptor agonists at the two most abundant
hH3R splice variants (hH3R445 and hH3R365) across seven signaling endpoints. Both
isoforms engender biased signaling, notably for 4-[3-(benzyloxy)propyl]-1H
imidazole (proxyfan) [e.g., strong bias toward phosphorylation of glycogen
synthase kinase 3beta (GSK3beta) via the full-length receptor] and its congener 3
(1H-imidazol-4-yl)propyl-(4-iodophenyl)-methyl ether (iodoproxyfan), which are
strongly consistent with the former's designation as a "protean" agonist. The 80
amino acid IL3 deleted isoform hH3R365 is more permissive in its signaling than
hH3R445: 2-(1H-imidazol-5-yl)ethyl imidothiocarbamate (imetit), proxyfan, and
iodoproxyfan were all markedly biased away from calcium signaling, and principal
component analysis of the full data set revealed divergent profiles for all five
agonists. However, most interesting was the identification of differential biased
signaling between the two isoforms. Strikingly, hH3R365 was completely unable to
stimulate GSK3beta phosphorylation, an endpoint robustly activated by the full
length receptor. To the best of our knowledge, this is the first quantitative
example of differential biased signaling via isoforms of the same G protein
coupled receptor that are simultaneously expressed in vivo and gives rise to the
possibility of selective pharmacological targeting of individual receptor splice
variants.
PMID- 27864427
TI - Dyskinesia in Treatment-Naive and Stimulant-Treated Children With ADHD.
AB - OBJECTIVE: Stimulants are safe and effective medications for the treatment of
ADHD. There are a number of case studies that report stimulant-induced
dyskinesia. The aim of this study was to compare dyskinesia in a treated and a
treatment-naive group of children with ADHD, and a healthy control group. METHOD:
Children aged 6 to 18 years were involved in the study (n = 158). Diagnosis of
ADHD was measured with the Mini International Neuropsychiatric Interview Kid
(MINI Kid). Dyskinesia was assessed with the Abnormal Involuntary Movement Scale
(AIMS). RESULTS: Before methylphenidate administration, the treated ADHD group
showed significantly higher AIMS total score than the control group (p = .001)
and the treatment-naive ADHD group (p < .001). We found the same pattern 1.5 hr
after methylphenidate administration. CONCLUSION: These results call attention
that clinicians should take special care for the possible development of
dyskinesia during the treatment of their ADHD patients with methylphenidate.
PMID- 27864429
TI - Association Between Auditory and Visual Continuous Performance Tests in Students
With ADHD.
AB - OBJECTIVE: Continuous Performance Tests (CPTs) are known to measure inattention
and impulsivity in students with ADHD. Many CPTs utilize a visual format. It is
accepted that auditory tasks reflect attentional demand more closely in the
classroom. Thus, the association between deficits found by auditory and visual
CPTs needs to be studied. We hypothesized that impulsivity would be dependent on
sensory modality and inattention would be a unitary cross-modal construct.
METHOD: Forty-four students with ADHD performed two CPTs (visual and auditory).
We analyzed correlations between the variables examined by the two tasks.
RESULTS: There were strong correlations between variables measuring inattention.
Correlations between auditory and visual measures of impulsivity were weak.
CONCLUSION: Inattention is partially independent of modality. In contrast,
response inhibition is modality-specific. Although ADHD is defined regardless of
modality, hyperactive students may exhibit deficits in the auditory modality but
not in the visual modality or vice versa.
PMID- 27864428
TI - Adults With Persistent ADHD: Gender and Psychiatric Comorbidities-A Population
Based Longitudinal Study.
AB - OBJECTIVE: The aim of the study was to evaluate associations between ADHD and
comorbid psychiatric disorders among adults from a population-based birth cohort.
METHOD: Participants were recruited from all children born between 1976 and 1982
remaining in Rochester, Minnesota, after age 5. Participants with childhood ADHD
( n = 232; M age = 27.0 years; 72% men) and non-ADHD controls ( n = 335; M age =
28.6 years; 63% men) completed a structured interview (M.I.N.I. International
Neuropsychiatric Interview) assessing current ADHD status and comorbid
psychiatric disorders. RESULTS: Among 232 with childhood ADHD, 68 (49 men, 19
women) had persistent adult ADHD. Compared with non-ADHD controls and non
persistent ADHD participants, adults with persistent ADHD were significantly more
likely to have any or each of 12 psychiatric comorbidities. The associations
retained significant or marginally significant when stratified by gender.
Externalizing psychiatric disorders were more common in men (74%) and
internalizing disorders in women (58%). CONCLUSION: Persistent ADHD is associated
with an increased risk of comorbid psychiatric disorders in adult men and women.
PMID- 27864430
TI - APOL1-G1 in Nephrocytes Induces Hypertrophy and Accelerates Cell Death.
AB - People of African ancestry carrying certain APOL1 mutant alleles are at elevated
risk of developing renal diseases. However, the mechanisms underlying APOL1
associated renal diseases are unknown. Because the APOL1 gene is unique to humans
and some primates, new animal models are needed to understand the function of
APOL1 in vivo We generated transgenic Drosophila fly lines expressing the human
APOL1 wild type allele (G0) or the predominant APOL1 risk allele (G1) in
different tissues. Ubiquitous expression of APOL1 G0 or G1 in Drosophila induced
lethal phenotypes, and G1 was more toxic than was G0. Selective expression of the
APOL1 G0 or G1 transgene in nephrocytes, fly cells homologous to mammalian
podocytes, induced increased endocytic activity and accumulation of hemolymph
proteins, dextran particles, and silver nitrate. As transgenic flies with either
allele aged, nephrocyte function declined, cell size increased, and nephrocytes
died prematurely. Compared with G0-expressing cells, however, G1-expressing cells
showed more dramatic phenotypes, resembling those observed in cultured mammalian
podocytes overexpressing APOL1-G1. Expressing the G0 or G1 APOL1 transgene in
nephrocytes also impaired the acidification of organelles. We conclude that
expression of an APOL1 transgene initially enhances nephrocyte function, causing
hypertrophy and subsequent cell death. This new Drosophila model uncovers a novel
mechanism by which upregulated expression of APOL1-G1 could precipitate renal
disease in humans. Furthermore, this model may facilitate the identification of
APOL1-interacting molecules that could serve as new drug targets to treat APOL1
associated renal diseases.
PMID- 27864432
TI - Underemployment and mental health: comparing fixed-effects and random-effects
regression approaches in an Australian working population cohort.
AB - OBJECTIVES: Underemployment occurs when workers are available for more hours of
work than offered. It is a serious problem in many Organisation for Economic Co
operation and Development (OECD) countries, and particularly in Australia, where
it affects about 8% of the employed population. This paper seeks to answer the
question: does an increase in underemployment have an influence on mental health?
METHODS: The current paper uses data from an Australian cohort of working people
(2001-2013) to investigate both within-person and between-person differences in
mental health associated with being underemployed compared with being fully
employed. The main exposure was underemployment (not underemployed, underemployed
1-5, 6-10, 11-20 and over 21 hours), and the outcome was the five-item Mental
Health Inventory. RESULTS: Results suggest that stepwise declines in mental
health are associated with an increasing number of hours underemployed. Results
were stronger in the random-effects (11-20 hours =-1.53, 95% CI -2.03 to -1.03,
p<0.001; 21 hours and over -2.24, 95% CI -3.06 to -1.43, p<0.001) than fixed
effects models (11-20 hours =-1.11, 95% CI -1.63 to -0.58, p<0.001; 21 hours and
over -1.19, 95% CI -2.06 to -0.32, p=0.008). This likely reflects the fact that
certain workers were more likely to suffer the negative effects of
underemployment than others (eg, women, younger workers, workers in lower-skilled
jobs and who were casually employed). CONCLUSIONS: We suggest underemployment to
be a target of future workplace prevention strategies.
PMID- 27864431
TI - APOL1-Mediated Cell Injury Involves Disruption of Conserved Trafficking
Processes.
AB - APOL1 harbors C-terminal sequence variants (G1 and G2), which account for much of
the increased risk for kidney disease in sub-Saharan African ancestry
populations. Expression of the risk variants has also been shown to cause injury
to podocytes and other cell types, but the underlying mechanisms are not
understood. We used Drosophila melanogaster and Saccharomyces cerevisiae to help
clarify these mechanisms. Ubiquitous expression of the human APOL1 G1 and G2
disease risk alleles caused near-complete lethality in D. melanogaster, with no
effect of the G0 nonrisk APOL1 allele, corresponding to the pattern of human
disease risk. We also observed a congruent pattern of cellular damage with tissue
specific expression of APOL1. In particular, expression of APOL1 risk variants in
D. melanogaster nephrocytes caused cell-autonomous accumulation of the endocytic
tracer atrial natriuretic factor-red fluorescent protein at early stages and
nephrocyte loss at later stages. We also observed differential toxicity of the
APOL1 risk variants compared with the APOL1 nonrisk variants in S. cerevisiae,
including impairment of vacuole acidification. Yeast strains defective in
endosomal trafficking or organelle acidification but not those defective in
autophagy displayed augmented APOL1 toxicity with all isoforms. This pattern of
differential injury by the APOL1 risk alleles compared with the nonrisk alleles
across evolutionarily divergent species is consistent with an impairment of
conserved core intracellular endosomal trafficking processes. This finding should
facilitate the identification of cell injury pathways and corresponding
therapeutic targets of interest in these amenable experimental platforms.
PMID- 27864433
TI - Effect of occupational physical activities on vertebral dimensions in midlife in
the Northern Finland Birth Cohort 1966.
AB - OBJECTIVES: The vertebral cross-sectional area (CSA) is a major determinant of
vertebral strength. Since leisure-time physical activity (LTPA) is known to
affect vertebral CSA, we hypothesised that engagement in physical activity at
work might have similar effects on vertebral size. We aimed to examine the
association between various adulthood occupational physical activities (OPA) and
vertebral CSA, and to evaluate the association between OPA intensity and
vertebral CSA. METHODS: We used the prospective population-based Northern Finland
Birth Cohort 1966. Our sample consisted of 712 participants with a mean age of
46.8 years. We assessed their engagement in various individual physical work
activities at the ages of 31 and 46, and created overall OPA categories (high,
moderate and low intensity), which we used in the analyses to study their
association with vertebral CSA in middle age. Linear regression was used as the
statistical method with adjustments for LTPA, vertebral height, body mass index
and smoking. RESULTS: A statistically significant association was found between
occupational sitting and smaller vertebral CSA in men, but only at the age of 31
(49.2 mm2 smaller among those who sit often vs rarely (95% CI -96.0 to -2.4)). No
significant differences were detected between OPA categories and vertebral CSA
(p>0.05). Thus, we found no consistent association between OPA and vertebral size
among either sex. CONCLUSIONS: OPA seems to have very little effect on vertebral
size. Our results suggest that the effect of LTPA on vertebral size is different
to that of OPA.
PMID- 27864434
TI - Occupational causes of amyotrophic lateral sclerosis: where to from here?
PMID- 27864436
TI - BASHH annual conference.
PMID- 27864437
TI - Sex and relationships education (SRE) in schools: feedback from the Sexpectations
Project, Hastings, UK.
PMID- 27864439
TI - Effectiveness of harm reduction education on decreasing risky-behaviour among
injecting drug users in Egypt.
PMID- 27864438
TI - Monitoring and assessment of bone mineral density in HIV-infected individuals.
PMID- 27864440
TI - Clinical round-up.
PMID- 27864441
TI - Essentials of anatomic pathology.
PMID- 27864444
TI - Simultaneous Burst Imaging of Dual Species Using Planar Laser-Induced
Fluorescence at 50 kHz in Turbulent Premixed Flames.
AB - Spatially and temporally resolved measurements are of great importance in
turbulent premixed flame studies, especially when investigating rapid processes
such as when flame local extinction, re-ignition, or flashback occur in a
reacting flow. Here, an experimental approach for simultaneously probing two
different species at high frame rates (50 kHz) is presented by employing a multi
YAG laser system. The laser radiation at 355 nm generated by a multi-YAG laser
system was split into two beam paths: one beam for exciting formaldehyde and the
other for pumping an optical parametric oscillator (OPO). To be able to capture
the resulting fluorescence at such a high frame rate without significant loss in
spatial resolution, two framing cameras, containing a total of 16 intensified
charge-coupled devices (CCDs), were employed. In principle, the proposed setup
provides the possibility of probing formaldehyde and simultaneously accessing the
distribution of one other relevant species at this high frame rate. In this
demonstration, the laser wavelength was tuned to 283 nm and, in conjunction with
the 355 nm beam path, simultaneously high speed two-dimensional (2D)
visualization of OH-radicals and formaldehyde was achieved. A modified flat
flame, McKenna-type burner was used to provide a turbulent premixed jet-flame
supported by a surrounding pilot flame. Local flame extinction and re-ignition
processes were recorded for fuel/air jet speeds of 120 m/s.
PMID- 27864442
TI - Two Trichome Birefringence-Like Proteins Mediate Xylan Acetylation, Which Is
Essential for Leaf Blight Resistance in Rice.
AB - Acetylation is a ubiquitous modification on cell wall polymers, which play a
structural role in plant growth and stress defenses. However, the mechanisms for
how crop plants accomplish cell wall polymer O-acetylation are largely unknown.
Here, we report on the isolation and characterization of two trichome
birefringence-like (tbl) mutants in rice (Oryza sativa), which are affected in
xylan O-acetylation. ostbl1 and ostbl2 single mutant and the tbl1 tbl2 double
mutant displayed a stunted growth phenotype with varied degree of dwarfism. As
shown by chemical assays, the wall acetylation level is affected in the mutants
and the knock-down and overexpression transgenic plants. Furthermore, NMR
spectroscopy analyses showed that all those mutants have varied decreases in
xylan monoacetylation. The divergent expression levels of OsTBL1 and OsTBL2
explained the chemotype difference and indicated that OsTBL1 is a functionally
dominant gene. OsTBL1 was found to be Golgi-localized. The recombinant OsTBL1
protein incorporates acetyl groups onto xylan. By using xylopentaose, a preferred
acceptor substrate, OsTBL1 can transfer up to four acetyl residues onto
xylopentaose, and this activity showed saturable kinetics. 2D-NMR spectroscopy
showed that OsTBL1 transfers acetate to both 2-O and 3-O sites of xylosyl
residues. In addition, ostbl1 and tbl1 tbl2 displayed susceptibility to rice
blight disease, indicating that this xylan modification is required for pathogen
resistance. This study identifies the major genes responsible for xylan
acetylation in rice plants.
PMID- 27864445
TI - Comparison of Fiber Optic and Conduit Attenuated Total Reflection (ATR) Fourier
Transform Infrared (FT-IR) Setup for In-Line Fermentation Monitoring.
AB - The performance of a fiber optic and an optical conduit in-line attenuated total
reflection mid-infrared (IR) probe during in situ monitoring of Penicillium
chrysogenum fermentation were compared. The fiber optic probe was connected to a
sealed, portable, Fourier transform infrared (FT-IR) process spectrometer via a
plug-and-play interface. The optical conduit, on the other hand, was connected to
a FT-IR process spectrometer via a knuckled probe with mirrors that had to be
adjusted prior to each fermentation, which were purged with dry air. Penicillin V
(PenV) and its precursor phenoxyacetic acid (POX) concentrations were determined
by online high-performance liquid chromatography and the obtained concentrations
were used as reference to build partial least squares regression models. Cross
validated root-mean-square errors of prediction were found to be 0.2 g L-1 (POX)
and 0.19 g L-1 (PenV) for the fiber optic setup and 0.17 g L-1 (both POX and
PenV) for the conduit setup. Higher noise-levels and spectrum-to-spectrum
variations of the fiber optic setup lead to higher noise of estimated (i.e.,
unknown) POX and PenV concentrations than was found for the conduit setup. It
seems that trade-off has to be made between ease of handling (fiber optic setup)
and measurement accuracy (optical conduit setup) when choosing one of these
systems for bioprocess monitoring.
PMID- 27864443
TI - Integrative Approaches for the Identification and Localization of Specialized
Metabolites in Tripterygium Roots.
AB - Members of the genus Tripterygium are known to contain an astonishing diversity
of specialized metabolites. The lack of authentic standards has been an
impediment to the rapid identification of such metabolites in extracts. We
employed an approach that involves the searching of multiple, complementary
chromatographic and spectroscopic data sets against the Spektraris database to
speed up the metabolite identification process. Mass spectrometry-based imaging
indicated a differential localization of triterpenoids to the periderm and
sesquiterpene alkaloids to the cortex layer of Tripterygium roots. We further
provide evidence that triterpenoids are accumulated to high levels in cells that
contain suberized cell walls, which might indicate a mechanism for storage. To
our knowledge, our data provide first insights into the cell type specificity of
metabolite accumulation in Tripterygium and set the stage for furthering our
understanding of the biological implications of specialized metabolites in this
genus.
PMID- 27864446
TI - Simultaneous Imaging and Spectroscopy of Detonation Interaction in Reactive and
Energetic Materials.
AB - A dual framing camera system was coupled with custom-designed ultrafast imaging
spectrometer optics to yield simultaneous imaging and imaging spectroscopy of
extremely short detonation interaction events in reactive materials. For short
exposures of 100 ns or less, spectral resolutions of 2.4 A are achievable,
allowing for time-resolved identification of key intermediate species evolving
from prompt reaction. Under some circumstances, emission can be fit to a local
emission temperature, assuming the optically thin limit. Applications to reactive
metal systems involving aluminum, magnesium, titanium, boron, and silicon are
demonstrated.
PMID- 27864447
TI - Analysis of the Thermo-Reflectivity Coefficient Influence Using Photothermal Pump
Probe Techniques.
AB - Recent improvements in the modeling of photo-induced thermo-optical-mechanical
effects have broadened the application of photothermal techniques to a large
class of solids and fluids. During laser excitation, changes in optical
reflectivity due to temperature variation may affect the photothermal signal. In
this study, the influence of the reflectivity change due to heating is analyzed
for two pump-probe photothermal techniques, thermal lens and thermal mirror. A
linear equation for the temperature dependence of the reflectivity is derived,
and the solution is tested using optical properties of semi-transparent and
opaque materials. For semi-transparent materials, the influence of the
reflectivity change in photothermal signals is less than 0.01%, while for opaque
materials it is lower than 3%.
PMID- 27864448
TI - Evaluation of a rapid antigen test for detection of Streptococcus pneumoniae in
cerebrospinal fluid.
AB - Detection of Streptococcus pneumoniae antigen in cerebrospinal fluid (CSF) using
lateral flow immunochromatography tests (ICTs) is an effective, rapid and low
cost method to diagnose pneumococcal meningitis. This study evaluated the
diagnostic accuracy of the Uni-Gold ICT to detect pneumococcal antigen in CSF
specimens, compared with gold standard bacteriology and quantitative real-time
PCR (qPCR) testing. CSF specimens (n=69) from patients with suspected bacterial
meningitis were included in the study. 13/69 (19%) were positive and 56/69 (81%)
were negative for pneumococcus by the gold standard tests. The ICT had
sensitivity of 85% (55%-98%), specificity of 96% (88%-100%), positive likelihood
ratio of 23.7 (6-94) and negative likelihood ratio of 0.16 (0.04-0.57). Overall,
a strong correlation between the ICT and qPCR results was seen (kappa=0.81). In
contrast, CSF microscopy and culture were exceptionally insensitive. The ICT
method is sufficiently robust and accurate for use in algorithms to diagnose
bacterial meningitis.
PMID- 27864449
TI - What are the critical steps in processing blood cultures? A prospective audit
evaluating current practice of reporting blood cultures in a centralised
laboratory serving secondary care hospitals.
AB - AIMS: To assess current procedures of processing positive blood cultures against
national standards with an aim to evaluate its clinical impact and to determine
the utility of currently available rapid identification and susceptibility tests
in processing of blood cultures. METHODS: Blood cultures from three secondary
care hospitals, processed at a centralised laboratory, were prospectively
audited. Data regarding processing times, communication with prescribers, changes
to patient management and mortality within 30 days of a significant blood culture
were collected in a preplanned pro forma for a 4-week period. RESULTS: Of 2206
blood cultures, 211 positive blood cultures flagged positive. Sixty-nine (3.1%)
of all cultures were considered to be contaminated. Fifty per cent of blood
cultures that flagged positive had a Gram stain reported within 2 hours. Two
(0.99%) patients with a significant bacteraemia had escalation of antimicrobial
treatment at the point of reporting the Gram stain that was subsequently deemed
necessary once sensitivity results were known. Most common intervention was de
escalation of therapy for Gram-positive organisms at the point of availability of
pathogen identification (25.6% in Gram positive vs 10% in Gram negative;
p=0.012). For Gram-negative organisms, the most common intervention was de
escalation of therapy at the point of availability of sensitivity results (43% in
Gram negatives vs 17.9% in Gram positive; p=0.0097). Overall mortality within 30
days of a positive blood culture was 10.9% (23/211). Antibiotic resistance may
have contributed to mortality in four of these patients (three Gram negative and
one Gram positive). CONCLUSION: Gram stain result had the least impact on
antibiotic treatment interventions (escalation or de-escalation). Tests that
improve identification time for Gram-positive pathogens and sensitivity time for
Gram-negative pathogens had the greatest impact in making significant changes to
antimicrobial treatment.
PMID- 27864451
TI - Reacquisition of E-cadherin expression in metastatic deposits of signet-ring cell
carcinoma of the upper gastrointestinal system: a potential anchor for metastatic
deposition.
AB - AIMS: To examine the expression of E-cadherin in paired primary and metastatic
signet-ring cell carcinomas (SRCC) of various organ systems in order to explore
the potential role of the molecule in metastatic dissemination of this unique
tumour type. METHODS: Thirty-seven consecutive cases of SRCC from various organs
with paired primary and metastatic tumorous tissue available were retrieved. The
intensity of membranous E-cadherin expression was semiquantitatively scored on a
scale of 0-3+. RESULTS: Reduced E-cadherin expression was a distinct feature of
primary SRCC and was observed in 78% of primary tumours. Interestingly, the E
cadherin reduction was less frequently seen in metastatic SRCC when compared with
their primary counterparts, and was only found in 57% of tumours in lymph node
metastases or at distant sites of relapse. Furthermore, the mean score of E
cadherin expression of primary SRCC was significantly lower than that of their
metastatic counterparts (2.3 vs 1.8; p=0.008). When divided by organ systems, the
reacquisition of E-cadherin expression in the metastatic deposits was most
remarkable in the SRCC of upper gastrointestinal tract origin (2.3 vs 1.4;
p=0.003), whereas no significant difference was observed in other organ systems.
CONCLUSIONS: While the reduction of E-cadherin in primary SRCC supports its
pivotal role in epithelial-mesenchymal transition, a process crucial in tumour
progression and metastatic dissemination, the re-expression of this molecule in
metastatic SRCC cells implies a reversal to their epithelial phenotype (thus
mesenchymal-epithelial transition) which, in turn, theoretically helps tumour
cells to anchor and form cohesive metastatic deposits.
PMID- 27864450
TI - MAGE-A family expression is correlated with poor survival of patients with lung
adenocarcinoma: a retrospective clinical study based on tissue microarray.
AB - OBJECTIVES: As the best characterised cancer/testis antigen family members,
melanoma-associated antigens (MAGE) have been reported to be expressed in various
malignant tumours. However, the expression pattern of MAGE-A family in lung
adenocarcinoma (LAC) specimens and their prognostic and therapeutic significance
for patients with LAC is still unclear. MATERIALS AND METHODS: Tissue microarray
based immunohistochemistry analysis was used to examine the expression of MAGE-A
family members (including MAGE-A1, A2, A3, A4, A6, A10, A11 and A12) in 105
paired LAC specimens and the corresponding pericarcinoma specimens. The
association between MAGE-A expression and the clinicopathological parameters, and
the 10-year overall survival of patients with LAC were analysed. In addition, the
association between MAGE-A expression and the epithelial growth factor receptor
(EGFR) amplification and ALK-EML4 rearrangements of patients with LAC were also
analysed. RESULTS: The immunohistochemical evaluation revealed that MAGE-A family
was expressed in 46.66% of LAC specimens, but not in the corresponding
pericarcinoma specimens. MAGE-A expression was not associated with the
clinicopathological factors but with worse 10-year survival, and was a poor
prognostic marker for patients with LAC. MAGE-A expression was not correlated
with EGFR amplification and ALK rearrangements. Interestingly, MAGE-A expression
can affect the overall survival of patients with LAC without EGFR amplification
or ALK rearrangements, but not affect the overall survival of patients with LAC
and EGFR amplification or ALK rearrangements. CONCLUSIONS: Molecular assessment
of MAGE-A family members could be considered to improve the prognostic evaluation
and to provide a new potential therapeutic strategy for patients with LAC.
PMID- 27864452
TI - Identifying progression predictors of breast ductal carcinoma in situ.
AB - Ductal carcinoma in situ (DCIS) refers to neoplastic epithelial cells
proliferating within the mammary ducts of the breast, which have not breached the
basement membrane nor invaded surrounding tissues. Traditional thinking holds
that DCIS represents an early step in a linear progression towards invasive
ductal carcinoma (IDC). However, as only approximately half of DCIS cases
progress to IDC, important questions around the key determinants of malignant
progression need to be answered. Recent studies have revealed that molecular
differences between DCIS and IDC cells are not found at the genomic level;
instead, altered patterns of gene expression and post-translational regulation
lead to distinct transcriptomic and proteomic profiles. Therefore, understanding
malignant progression will require a different approach that takes into account
the diverse tumour cell extrinsic factors driving changes in tumour cell gene
expression necessary for the invasive phenotype. Here, we review the roles of the
tumour stroma (including mesenchymal cells, immune cells and the extracellular
matrix) and myoepithelial cells in malignant progression and make a case for a
more integrated approach to the study and assessment of DCIS and its progression,
or lack thereof, to invasive disease.
PMID- 27864453
TI - Glial cells and energy balance.
AB - The search for new strategies and drugs to abate the current obesity epidemic has
led to the intensification of research aimed at understanding the neuroendocrine
control of appetite and energy expenditure. This intensified investigation of
metabolic control has also included the study of how glial cells participate in
this process. Glia, the most abundant cell type in the central nervous system,
perform a wide spectrum of functions and are vital for the correct functioning of
neurons and neuronal circuits. Current evidence indicates that hypothalamic glia,
in particular astrocytes, tanycytes and microglia, are involved in both
physiological and pathophysiological mechanisms of appetite and metabolic
control, at least in part by regulating the signals reaching metabolic neuronal
circuits. Glia transport nutrients, hormones and neurotransmitters; they secrete
growth factors, hormones, cytokines and gliotransmitters and are a source of
neuroprogenitor cells. These functions are regulated, as glia also respond to
numerous hormones and nutrients, with the lack of specific hormonal signaling in
hypothalamic astrocytes disrupting metabolic homeostasis. Here, we review some of
the more recent advances in the role of glial cells in metabolic control, with a
special emphasis on the differences between glial cell responses in males and
females.
PMID- 27864454
TI - Minimal Medial Injury After Orbital Atherectomy.
PMID- 27864455
TI - Commentary: Internal Iliac Artery Embolization During EVAR.
PMID- 27864456
TI - Endovascular Treatment of Common Iliac Artery Aneurysms With an Iliac Branch
Device: Multicenter Experience of 140 Patients.
AB - PURPOSE: To evaluate the efficacy, feasibility, and long-term outcomes of the
Zenith ZBIS iliac branch device (IBD) to preserve internal iliac artery (IIA)
perfusion in a large Dutch multicenter cohort. METHODS: Between September 2004
and August 2015, 140 patients (mean age 70.9+/-7.4 years; 130 men) with 162 IBD
implantations were identified in 7 vascular centers. The indication for IBD
implantation was an abdominal aortic aneurysm >55 mm with a concomitant common
iliac artery (CIA) aneurysm >20 mm (n=40), a CIA aneurysm with a diameter >30 mm
(n=89), or revision of a type Ib endoleak after endovascular aneurysm repair
(n=11). RESULTS: Technical success (aneurysm exclusion, no type I or III
endoleak, and a patent IIA) was obtained in 157 (96.9%) of 162 IBD implantations.
Six (4.3%) patients developed major complications; 2 (1.4%) died. Mean follow-up
was 26.6+/-24.1 months, during which 17 (12.1%) IBD-associated secondary
interventions were performed. Including technical failures and intentional IIA
embolizations, 15 (9.3%) IIA branch occlusions were identified; buttock
claudication developed in 6 of these patients. The freedom from secondary
intervention estimate was 75.9% (95% confidence interval 59.7 to 86.3) at 5
years. CONCLUSION: CIA aneurysms can be treated safely and effectively by IBDs
with preservation of antegrade flow to the IIA. Secondary interventions are
indicated in >10% of patients during follow-up but can be performed
endovascularly in most.
PMID- 27864457
TI - Embolization or Simple Coverage to Exclude the Internal Iliac Artery During
Endovascular Repair of Aortoiliac Aneurysms? Systematic Review and Meta-analysis
of Comparative Studies.
AB - PURPOSE: To compare results of simple coverage vs preemptive embolization to
exclude the internal iliac artery (IIA) during endovascular repair of aortoiliac
aneurysms. METHODS: A systematic review of the literature was conducted by
searching MEDLINE, CENTRAL, and OpenGray databases until March 2016. Primary
outcome measures were safety and efficacy of the 2 strategies. Safety was
determined by 30-day mortality and the minor and major complication rates.
Efficacy was determined by absence of endoleak from the target IIA. Secondary
outcomes of any endoleak, reintervention, operative time, fluoroscopy time, blood
loss, contrast volume, and length of hospitalization were also examined. The
random effects model was used to calculate combined overall effect sizes of
pooled data. Data are presented as the odds ratio (OR) or mean difference (MD)
with 95% confidence interval (CI). Forest plots and inconsistency ( I2)
statistics were used to evaluate the heterogeneity of the included studies.
RESULTS: Eight observational studies were included in the analysis. Overall, 284
and 255 subjects underwent IIA coverage or embolization, respectively. IIA
coverage resulted in a significantly lower major complication rate (6% vs 29%; OR
2.97, 95% CI 1.46 to 6.04, p=0.003; I2=0%) and shorter hospitalization (MD 0.48
days, 95% CI 0.08 to 0.89, p=0.02; I2=0%), while differences in all other
outcomes were not statistically significant. CONCLUSION: In the presence of
limited data, available evidence suggests that simple coverage of the IIA may
result in significantly fewer major complications compared to preemptive
embolization; at the same time, the rates of endoleaks and/or reinterventions are
similar between groups.
PMID- 27864458
TI - Three-Dimensional Analysis of Component Stability of the Nellix Endovascular
Aneurysm Sealing System After Treatment of Infrarenal Abdominal Aortic Aneurysms.
AB - PURPOSE: To assess short-term stability and conformational changes of the Nellix
EndoVascular Aneurysm Sealing (EVAS) System using 3-dimensional (3D) analysis.
METHODS: Postoperative computed tomography (CT) scans obtained at 0, 3, and 12
months in 24 patients (mean age 75+/-7 years; 22 men) who underwent EVAS between
December 2013 and December 2014 for intact abdominal aortic aneurysm (within the
instructions for use) were evaluated for stent-graft deviation in multiple planes
using dedicated 3D analysis software. In addition, 2D analysis using an
anatomically fixed reference landmark was performed to assess craniocaudal
migration. Clinical and follow-up data of the patients were recorded and matched
with results of the imaging analysis. RESULTS: Overall stability of the Nellix
endografts was promising. Relevant conformational changes in the majority of
cases were limited to the iliac graft segment and were clinically benign in all
cases. Conversely, the only deviation of the proximal stent-graft segment was
found in a patient with type Ia endoleak. Additional 2D analysis found relevant
(>=5 mm) caudal migration of the Nellix stent-graft in 6 patients, including the
one with the type Ia endoleak. In 3 patients, 3D analysis demonstrated the
absence of relevant conformational changes of the endografts despite caudal
migration. CONCLUSION: Overall stability of the separate EVAS stent-grafts is
promising in the short term. Relevant conformational changes (stent-graft
deviation) in the majority of cases were benign and confined to the iliac
segment.
PMID- 27864459
TI - Feasibility and Technical Aspects of Proximal Nellix-in-Nellix Extension for Late
Caudal Endograft Migration.
AB - PURPOSE: To describe the feasibility and technical aspects of a proximal Nellix
in-Nellix extension to treat caudal stent-graft migration after endovascular
aneurysm sealing (EVAS) in the in vitro and in vivo settings. METHODS: In vitro
studies were designed (1) to assess inner diameters of Nellix-in-Nellix
extensions after postdilation with 12-mm balloons and (2) to test wall apposition
in tubes with different diameters using a Nellix-in-Nellix stent-graft that
extended out of the original Nellix stent-graft lumen by 10, 20, 30, and 40 mm.
Simulated-use experiments were performed using silicone models in conjunction
with a pulsatile flow pump. In the clinical setting, 5 patients (median age 74
years, range 73-83) presented at 2 centers with type Ia endoleak secondary to
caudal Nellix stent-graft migration measuring a median 9 mm (range 7-15) on the
left and 7 mm (range 0-11) on the right. Median polymer fill volume at the
initial EVAS procedure was 42.5 mL (range 25-71). The median time to
reintervention with a proximal Nellix extension was 15 months (range 13-32).
RESULTS: In vitro, the inner diameters of the Nellix-in-Nellix extensions were
consistent after postdilation. Cases with 10 and 20 mm of exposed endobag
resulted in a poor seal with endoleak, while cases with 30 and 40 mm of exposed
endobag length exhibited angiographic seal. Fill line pressures of the second
Nellix were higher than expected. In the 5 clinical cases, chimney grafts were
required in each case to create an adequate proximal landing zone. The Nellix-in
Nellix procedure was successful in all patients. There were no procedure-related
complications, and no endoleaks were observed during a median 12-month follow-up.
Reinterventions were performed in 2 patients because of in-stent stenosis and
chimney graft compression, respectively. CONCLUSION: Proximal Nellix-in-Nellix
extension can be used to treat caudally migrated Nellix stent-grafts and to treat
the consequent type Ia endoleak, but the technique differs from primary EVAS. The
development of dedicated proximal extensions is desirable.
PMID- 27864460
TI - Use of the Octopus Endograft Technique to Reconstruct Renovisceral Arteries
Arising From the False Lumen of a Rapidly Expanding Type B Aortic Dissection
After Endovascular Repair.
AB - PURPOSE: To describe the use and 6-month outcomes of the octopus endograft
technique to reconstruct renovisceral arteries arising from the false lumen (FL)
of a type B aortic dissection after thoracic endovascular aortic repair (TEVAR).
CASE REPORT: A 46-year-old man post TEVAR for type B aortic dissection was
admitted with persistent back pain and a rapidly expanding residual dissection.
The celiac and left renal arteries arose from the FL and the superior mesenteric
artery from both lumens, with the FL as its main supply. A 20% oversized Endurant
stent-graft was deployed with the short limb just above the beginning of the
dissection flap and the long limb in the aortic true lumen. A 120-mm-long
Endurant extended limb was delivered antegradely via a conduit and deployed into
the FL, with a 3-cm overlap with the short limb of the Endurant main body. The 3
renovisceral arteries were reconstructed by lining each with a series of Viabahn
or Fluency stent-grafts whose proximal ends were subsequently placed parallel in
the FL Endurant extended limb and dilated with kissing balloons. Imaging at 6
months showed an excluded FL, without stenosis or occlusion in the stent-graft or
the renovisceral arteries. CONCLUSION: This case illustrates the successful use
of the octopus endograft technique to reconstruct renovisceral arteries arising
from a rapidly expanding FL in a post-TEVAR type B aortic dissection; the
technique might be applicable in carefully selected patients.
PMID- 27864461
TI - Commentary: Endovascular Repair of Postdissection Aneurysms Involving the
Thoracoabdominal Aorta.
PMID- 27864462
TI - Collateral status and tissue outcome after intra-arterial therapy for patients
with acute ischemic stroke.
AB - Intra-arterial therapy (IAT) for ischemic stroke aims to save brain tissue.
Collaterals are thought to contribute to prolonged penumbra sustenance. In this
study, we investigate the effect of collateral status on brain tissue salvage
with IAT. In 500 patients randomized between IAT and standard care, collateral
status was graded from 0 (absent) to 3 (good). Final infarct volumes (FIV) were
calculated on post-treatment CT. FIVs were compared between treatment groups per
collateral grade. Multivariable linear regression with interaction terms was
performed to study whether collaterals modified IAT effect on FIV. Four-hundred
forty-nine patients were included in the analysis. Median FIV for the IAT group
was significantly lower with 54.5 mL (95% IQR: 21.8-145.0) than for the controls
with 81.8 mL (95% IQR: 40.0-154.0) ( p = 0.020). Treatment effect differed across
collateral grades, although there was no significant interaction (unadjusted p =
0.054; adjusted p = 0.105). For grade 3, IAT resulted in a FIV reduction of 30.1
mL ( p = 0.024). For grade 2 and 1, this difference was, respectively, 28.4 mL (
p = 0.028) and 28.4 mL ( p = 0.29). For grade 0, this was 88.6 mL ( p = 0.28) in
favour of controls. IAT saves substantially more brain tissue as compared to
standard care. We observed a trend of increasing effect of IAT with higher
collateral grades.
PMID- 27864463
TI - Increased brain hemopexin levels improve outcomes after intracerebral hemorrhage.
AB - Following intracerebral hemorrhage (ICH), extracellular heme precipitates
secondary brain injury, which results in irreversible brain damage and enduring
neurological deficits. Hemopexin (Hpx) is an endogenous protein responsible for
scavenging heme, thereby modulating its intrinsic proxidant/proinflammatory
properties. Although Hpx is present in the brain, the endogenous levels are
insufficient to combat the massive heme overload following ICH. We hypothesized
that increasing brain Hpx levels would improve ICH outcomes. Unique recombinant
adeno-associated viral vectors were designed to specifically overexpress Hpx
within the mouse brain. Western blotting, ELISA, and immunohistochemistry of
brain homogenates/sections, CSF, and serum were performed. As compared to
controls, Hpx mice have increased Hpx protein levels in all three types of
biospecimens evaluated, which results in 45.6 +/- 6.9% smaller lesions and
improved functional recovery after ICH (n=14-19/group, p < 0.05). Local
mechanistic analyses show significantly less tissue injury, trends toward smaller
hematoma volumes, unchanged heme oxygenase 1 and iron levels, and significantly
increased microgliosis and decreased astrogliosis and lipid peroxidation.
Peripheral levels of heme-related markers indicate a positive modulation of iron
binding capacity. These findings reveal that high local Hpx levels improve ICH
outcomes, likely through both central and peripheral clearance mechanisms, and
establish the potential for therapeutically administering clinical-grade Hpx for
ICH.
PMID- 27864464
TI - Inhibition of stress fiber formation preserves blood-brain barrier after
intracerebral hemorrhage in mice.
AB - Intracerebral hemorrhage (ICH) represents the deadliest subtype of all strokes.
The development of brain edema, a consequence of blood-brain barrier (BBB)
disruption, is the most life-threatening event after ICH. Pathophysiological
conditions activate the endothelium, one of the components of BBB, inducing
rearrangement of the actin cytoskeleton. Upon activation, globular actin
assembles into a filamentous actin resulting in the formation of contractile
actin bundles, stress fibers. The contraction of stress fibers leads to the
formation of intercellular gaps between endothelial cells increasing the
permeability of BBB. In the present study, we investigated the effect of ICH on
stress fiber formation in CD1 mice. We hypothesized that ICH-induced formation of
stress fiber is triggered by the activation of PDGFR-beta and mediated by the
cortactin/RhoA/LIMK pathway. We demonstrated that ICH induces formation of stress
fibers. Furthermore, we demonstrated that the inhibition of PDGFR-beta and its
downstream reduced the number of stress fibers, preserving BBB and resulting in
the amelioration of brain edema and improvement of neurological functions in mice
after ICH.
PMID- 27864465
TI - Posttraumatic therapeutic hypothermia alters microglial and macrophage
polarization toward a beneficial phenotype.
AB - Posttraumatic inflammatory processes contribute to pathological and reparative
processes observed after traumatic brain injury (TBI). Recent findings have
emphasized that these divergent effects result from subsets of proinflammatory
(M1) or anti-inflammatory (M2) microglia and macrophages. Therapeutic hypothermia
has been tested in preclinical and clinical models of TBI to limit secondary
injury mechanisms including proinflammatory processes. This study evaluated the
effects of posttraumatic hypothermia (PTH) on phenotype patterns of
microglia/macrophages. Sprague-Dawley rats underwent moderate fluid percussion
brain injury with normothermia (37C) or hypothermia (33C). Cortical and
hippocampal regions were analyzed using flow cytometry and reverse transcription
polymerase chain reaction (RT-PCR) at several periods after injury. Compared to
normothermia, PTH attenuated infiltrating cortical macrophages positive for
CD11b+ and CD45high. At 24 h, the ratio of iNOS+ (M1) to arginase+ (M2) cells
after hypothermia showed a decrease compared to normothermia. RT-PCR of M1
associated genes including iNOS and IL-1beta was significantly reduced with
hypothermia while M2-associated genes including arginase and CD163 were
significantly increased compared to normothermic conditions. The injury-induced
increased expression of the chemokine Ccl2 was also reduced with PTH. These
studies provide a link between temperature-sensitive alterations in
macrophage/microglia activation and polarization toward a M2 phenotype that could
be permissive for cell survival and repair.
PMID- 27864466
TI - Thiamet G mediates neuroprotection in experimental stroke by modulating
microglia/macrophage polarization and inhibiting NF-kappaB p65 signaling.
AB - Inflammatory responses are accountable for secondary injury induced by acute
ischemic stroke (AIS). Previous studies indicated that O-GlcNAc modification (O
GlcNAcylation) is involved in the pathology of AIS, and increase of O
GlcNAcylation by glucosamine attenuated the brain damage after
ischemia/reperfusion. Inhibition of beta-N-acetylglucosaminidase (OGA) with
thiamet G (TMG) is an alternative option for accumulating O-GlcNAcylated
proteins. In this study, we investigate the neuroprotective effect of TMG in a
mouse model of experimental stroke. Our results indicate that TMG administration
either before or after middle cerebral artery occlusion (MCAO) surgery
dramatically reduced infarct volume compared with that in untreated controls. TMG
treatment ameliorated the neurological deficits and improved clinical outcomes in
neurobehavioral tests by modulating the expression of pro-inflammatory and anti
inflammatory cytokines. Additionally, TMG administration reduced the number of
Iba1+ cells in MCAO mice, decreased expression of the M1 markers, and increased
expression of the M2 markers in vivo. In vitro, M1 polarization of BV2 cells was
inhibited by TMG treatment. Moreover, TMG decreased the expression of iNOS and
COX2 mainly by suppressing NF-kappaB p65 signaling. These results suggest that
TMG exerts a neuroprotective effect and could be useful as an anti-inflammatory
agent for ischemic stroke therapy.
PMID- 27864468
TI - Response to the Letter to the Editor: "Factors Influencing Early Dental Implant
Failures"
PMID- 27864469
TI - Letter to the Editor: "Factors Influencing Early Dental Implant Failures"
PMID- 27864467
TI - Human dendritic cells (DCs) are derived from distinct circulating precursors that
are precommitted to become CD1c+ or CD141+ DCs.
AB - In humans, conventional dendritic cells (cDCs) exist as two unique populations
characterized by expression of CD1c and CD141. cDCs arise from increasingly
restricted but well-defined bone marrow progenitors that include the common DC
progenitor that differentiates into the pre-cDC, which is the direct precursor of
cDCs. In this study, we show that pre-cDCs in humans are heterogeneous,
consisting of two distinct populations of precursors that are precommitted to
become either CD1c+ or CD141+ cDCs. The two groups of lineage-primed precursors
can be distinguished based on differential expression of CD172a. Both
subpopulations of pre-cDCs arise in the adult bone marrow and can be found in
cord blood and adult peripheral blood. Gene expression analysis revealed that
CD172a+ and CD172a- pre-cDCs represent developmentally discrete populations that
differentially express lineage-restricted transcription factors. A clinical trial
of Flt3L injection revealed that this cytokine increases the number of both
CD172a- and CD172a+ pre-cDCs in human peripheral blood.
PMID- 27864470
TI - Percutaneous Pulmonary Valve Implantation in the Native Right Ventricular Outflow
Tract Using a 29-mm Edwards SAPIEN 3 Valve.
AB - Percutaneous pulmonary valve implantation is now an acceptable treatment option.
The Edwards SAPIEN valve, intended for transcatheter aortic valve implantation,
has been used in patients whose landing zone diameter is >22 to 24 mm which is
not suitable for Melody valve. We report a patient in whom we successfully placed
the latest generation, Edwards SAPIEN 3 (ES3) in the pulmonary position. However,
because of the short covered portion of this valve, there was a significant
paravalvular leak through the stent struts requiring implantation of a second ES3
valve.
PMID- 27864471
TI - Structuring Process Evaluation to Forecast Use and Sustainability of an
Intervention: Theory and Data From the Efficacy Trial for Lunch Is in the Bag.
AB - BACKGROUND: A cluster-randomized trial at 30 early care and education centers
(Intervention = 15, waitlist Control = 15) showed the Lunch Is in the Bag
intervention increased parents' packing of fruits, vegetables, and whole grains
in their preschool children's bag lunches (parent-child dyads = 351 Intervention,
282 Control). PURPOSE: To examine the utility of structuring the trial's process
evaluation to forecast use, sustainability, and readiness of the intervention for
wider dissemination and implementation. METHOD: Pretrial, the research team
simulated user experience to forecast use of the intervention. Multiattribute
evaluation of user experience measured during the trial assessed use and
sustainability of the intervention. Thematic analysis of posttrial interviews
with users evaluated sustained use and readiness for wider dissemination.
RESULTS: Moderate use was forecast by the research team. Multiattribute
evaluation of activity logs, surveys, and observations during the trial indicated
use consistent with the forecast except that prevalence of parents reading the
newsletters was greater (83% vs. 50%) and hearing their children talk about the
classroom was less (4% vs. 50%) than forecast. Early care and education center
level likelihood of sustained use was projected to be near zero. Posttrial
interviews indicated use was sustained at zero centers. DISCUSSION: Structuring
the efficacy trial's process evaluation as a progression of assessments of user
experience produced generally accurate forecasts of use and sustainability of the
intervention at the trial sites. CONCLUSION: This approach can assist
interpretation of trial outcomes, aid decisions about dissemination of the
intervention, and contribute to translational science for improving health.
PMID- 27864473
TI - A recommendation for timing of repeat Chlamydia trachomatis test following
infection and treatment in pregnant and nonpregnant women.
AB - The objective of this study was to describe the time required to obtain a
negative chlamydia test in pregnant and nonpregnant women following treatment to
inform test-of-cure collection and recommend an abstinence period to avoid
reinfection. Seventy-two women with Chlamydia trachomatis infection, 36 pregnant
and 36 nonpregnant, were enrolled in a prospective cohort study. Women were
excluded less than 18 years of age, if they had been treated for chlamydia,
reported an allergy to macrolide antibiotics, or if they had Myasthenia Gravis.
Women were treated for chlamydia with single-dose therapy and submitted weekly
vaginal chlamydia nucleic acid amplification tests (NAATs). Once NAAT were
negative, the participants completed the study. Forty-seven women completed the
study per protocol. The primary outcome was to determine the time to a negative
chlamydia NAAT following treatment, with secondary outcomes of determining the
appropriate time to collect a test-of-cure following chlamydia treatment and to
recommend an appropriate abstinence period following treatment to avoid
reinfection. Results showed that the time to a negative chlamydia NAAT was
significantly different between groups (log-rank p = 0.0013). The median number
of days to obtain a negative chlamydia NAAT was 8 days (IQR 7-14) in pregnant and
7 days (IQR 6-10) in nonpregnant women (WRST p = 0.04). All participants had a
negative chlamydia NAAT by day 29 post-treatment. Following single-dose treatment
for chlamydia, both pregnant and nonpregnant women should test negative with NAAT
by 30 days post-treatment. Clinicians should collect a test-of-cure in pregnant
women no earlier than 1 month. To avoid reinfection, women should avoid
condomless intercourse for at least 1 month.
PMID- 27864472
TI - Transcriptome-wide identification of NMD-targeted human mRNAs reveals extensive
redundancy between SMG6- and SMG7-mediated degradation pathways.
AB - Besides degrading aberrant mRNAs that harbor a premature translation termination
codon (PTC), nonsense-mediated mRNA decay (NMD) also targets many seemingly
"normal" mRNAs that encode for full-length proteins. To identify a bona fide set
of such endogenous NMD targets in human cells, we applied a meta-analysis
approach in which we combined transcriptome profiling of knockdowns and rescues
of the three NMD factors UPF1, SMG6, and SMG7. We provide evidence that this
combinatorial approach identifies NMD-targeted transcripts more reliably than
previous attempts that focused on inactivation of single NMD factors. Our data
revealed that SMG6 and SMG7 act on essentially the same transcripts, indicating
extensive redundancy between the endo- and exonucleolytic decay routes. Besides
mRNAs, we also identified as NMD targets many long noncoding RNAs as well as
miRNA and snoRNA host genes. The NMD target feature with the most predictive
value is an intron in the 3' UTR, followed by the presence of upstream open
reading frames (uORFs) and long 3' UTRs. Furthermore, the 3' UTRs of NMD-targeted
transcripts tend to have an increased GC content and to be phylogenetically less
conserved when compared to 3' UTRs of NMD insensitive transcripts.
PMID- 27864474
TI - Complement Component 3 Regulates IFN-alpha Production by Plasmacytoid Dendritic
Cells following TLR7 Activation by a Plant Virus-like Nanoparticle.
AB - The increasing use of plant viruses for the development of new vaccines and
immunotherapy approaches poses questions regarding the mechanism by which the
mammalian immune system recognizes these viruses. For example, although natural
Abs (NA) and complement are key components of the innate immune system involved
in the opsonization, phagocytosis, and destruction of microorganisms infecting
mammals, their implication in plant virus recognition and immunogenicity is not
well defined. In this study, we address the involvement of NA and the complement
system in the activation of innate immunity through engagement of TLR7 with
papaya mosaic virus (PapMV)-like nanoparticles. We demonstrate that NA, although
binding to PapMV, are not involved in its recognition by the immune system. On
the other hand, C3 strongly binds to PapMV nanoparticles and its depletion
significantly reduces PapMV's interaction with immune cells. Unexpectedly,
however, we observed increased immune cell activation following administration of
PapMV to complement-depleted mice. TLR7 activation by PapMV in the absence of C3
induced higher IFN-alpha production, resulting in superior immune cell activation
and increased immunotherapeutic properties. In conclusion, in this study we
established the involvement of the complement system in the recognition and the
phagocytosis of PapMV nanoparticles and identified an unsuspected role for C3 in
regulating the production of IFN-alpha following TLR7 activation.
PMID- 27864475
TI - IL-17-Producing Innate and Pathogen-Specific Tissue Resident Memory gammadelta T
Cells Expand in the Lungs of Bordetella pertussis-Infected Mice.
AB - gammadelta T cells play a role in protective immunity to infection at mucosal
surface, but also mediate pathology in certain autoimmune diseases through innate
IL-17 production. Recent reports have suggested that gammadelta T cells can have
memory analogous to conventional alphabeta T cells. In this study we have
examined the role of gammadelta T cells in immunity to the respiratory pathogen
Bordetella pertussis gammadelta T cells, predominantly Vgamma4-gamma1- cells,
produced IL-17 in the lungs as early as 2 h after infection. The bacterial burden
during primary infection was significantly enhanced and the induction of
antimicrobial peptides was reduced in the absence of early IL-17. A second peak
of gammadelta T cells is detected in the lungs 7-14 d after challenge and these
gammadelta T cells were pathogen specific. gammadelta T cells, exclusively
Vgamma4, from the lungs of infected but not naive mice produced IL-17 in response
to heat-killed B. pertussis in the presence of APC. Furthermore, gammadelta T
cells from the lungs of mice reinfected with B. pertussis produced significantly
more IL-17 than gammadelta T cells from infected unprimed mice. gammadelta T
cells with a tissue resident memory T cell phenotype (CD69+CD103+) were expanded
in the lungs during infection with B. pertussis and proliferated rapidly after
rechallenge of convalescent mice. Our findings demonstrate that lung gammadelta T
cells provide an early source of innate IL-17, which promotes antimicrobial
peptide production, whereas pathogen-specific Vgamma4 cells function in adaptive
immunological memory against B. pertussis.
PMID- 27864476
TI - Anti-Hinge Antibodies Recognize IgG Subclass- and Protease-Restricted
Neoepitopes.
AB - Anti-hinge Abs (AHAs) target neoepitopes exposed after proteolytic cleavage of
IgG. In this study, we explored the diversity of protease- and IgG subclass
restricted AHAs and their potential as immunological markers in healthy donors
(HDs) and patients with rheumatoid arthritis (RA) or systemic lupus erythematosus
(SLE). AHA reactivity against IgG-degrading enzyme of Streptococcus pyogenes
(IdeS)- or pepsin-generated F(ab')2 fragments of all four human IgG subclasses
was determined. AHA reactivity against one or more out of eight F(ab')2 targets
was found in 68% (68 of 100) of HDs, 69% (68 of 99) of SLE patients, and 81% (79
of 97) of RA patients. Specific recognition of hinge epitopes was dependent on
IgG subclass and protease used to create the F(ab')2 targets, as confirmed by
inhibition experiments with F(ab')2 fragments and hinge peptides. Reactivity
against IdeS-generated F(ab')2 targets was found most frequently, whereas
reactivity against pepsin-generated F(ab')2 targets better discriminated between
RA and HDs or SLE, with significantly higher AHA levels against IgG1/3/4. In
contrast, AHA levels against pepsin-cleaved IgG2 were comparable. No reactivity
against IdeS-generated IgG2-F(ab')2s was detected. The most discriminatory AHA
reactivity in RA was against pepsin-cleaved IgG4, with a 35% prevalence, >=5.8
fold higher than in HDs/SLE, and significantly higher levels (p < 0.0001). Cross
reactivity for F(ab')2s generated from different IgG subclasses was only observed
for subclasses having homologous F(ab')2 C termini (IgG1/3/4). For IgG2, two
pepsin cleavage sites were identified; anti-hinge reactivity was restricted to
only one of these. In conclusion, AHAs specifically recognize IgG subclass- and
protease-restricted hinge neoepitopes. Their protease-restricted specificity
suggests that different AHA responses developed under distinct inflammatory or
infectious conditions and may be markers of, and participants in, such processes.
PMID- 27864477
TI - Is visceral flow during intra-aortic balloon pumping size or volume dependent?
AB - AIM: We evaluated the influence of intra-aortic balloon size and volume on
mesenteric and renal flows. METHODS: Thirty healthy swine underwent 120-minute
ligation of the left anterior descending coronary artery followed by 6 hours of
reperfusion. Then, they were randomly assigned to the following five groups of
animals, with six animals in each group: no intra-aortic balloon pump (IABP), a
short 35-mL IABP, a short 40-mL IABP, a long 35-mL IABP and a long 40-mL IABP.
Superior mesenteric artery (SMA) and renal flows were measured at baseline (t0),
at 2-hour ischemia (t1) and every hour thereafter until 6 hours of reperfusion
(from tR1 to tR6). RESULTS: SMA flows increased significantly at tR1 only in the
two short IABP groups (p<0.001) and balloon volume did not appear to affect flows
which, at any experimental time-point, were comparable using 35 mL or 40 mL
balloons (p>0.05). Renal flows appeared to be influenced by balloon length, but
not by volume. Indeed, flows in the renal arteries rose during IABP treatment;
the increase was significantly higher in the short balloon groups and throughout
the whole reperfusion (all, p<0.001). CONCLUSIONS: Changes in visceral perfusion
during IABP assistance were significantly related to balloon length, but not to
its volume. This could be relevant for the evolution of balloon engineering
design in order to reduce the incidence of mesenteric ischemia following IABP.
Further research is necessary to confirm these findings.
PMID- 27864478
TI - Patient and Caregiver Interplay in Behavioral and Psychological Symptoms of
Dementia: Family Caregiver's Experience.
AB - The purpose of this study was to identify family caregivers' experiences in
managing the behavioral and psychological symptoms of dementia (BPSD) with
particular focus on their interpersonal interactions with patient with dementia.
Data were collected through focus-group interviews with 15 family caregivers from
three local dementia-support centers located in Seoul. Data were analyzed using
content analysis. Three main themes were identified through data analysis:
triggers of BPSD, family caregiver's actions in response to BPSD and patient's
reactions, and the effect of BPSD on family caregivers. Findings demonstrated
that BPSD depended on the complex interplay of family caregivers and patients,
including their interaction style. This information could help nurses when
counseling and educating family caregivers to improve or modify their attitudes
and approaches to behavioral symptoms of patients.
PMID- 27864479
TI - Epicardial adipose tissue and cardiovascular outcome in patients with acute
coronary syndrome undergoing percutaneous coronary intervention.
AB - AIMS: We investigated the association between epicardial adipose tissue (EAT)
thickness and cardiovascular outcomes in a cohort of high-risk patients with
acute coronary syndrome undergoing percutaneous coronary intervention. METHODS:
Of 1198 patients undergoing percutaneous coronary intervention, transthoracic
echocardiography was performed in 438 patients during the index hospitalization.
EAT thickness was measured in the parasternal long-axis view perpendicularly on
the free wall of the right ventricle at end-systole in three consecutive cardiac
cycles and then averaged. As the primary outcome measure, a composite of major
adverse cardiovascular events - including cardiovascular death, non-fatal
myocardial infarction and non-fatal stroke - was investigated after three years
of follow-up. RESULTS: Patients were included between 2004 and 2012 and 293
(66.9%) were men. The median EAT thickness was 2.65 mm (interquartile range 2.00
3.00). EAT was correlated with body mass index ( R=0.404; p<0.001), weight (
R=0.314; p<0.001), baseline creatinine ( R=0.118; p=0.014) and baseline glucose (
R=0.129; p=0.007). After a follow-up period of three years, a major adverse
cardiovascular event occurred in 64 patients (14.6%) corresponding to 36 (8.2%)
with cardiovascular death, 21 (4.8%) with myocardial infarction and seven (1.6%)
with stroke. Regarding the primary endpoint, EAT thickness revealed a significant
predictive effect on univariate Cox regression (hazards ratio 1.479, 95% CI 1.192
1.953; p=0.006) and multivariate Cox regression analysis (hazards ratio 1.524,
95% CI 1.011-2.267; p=0.038) after adjusting for established cardiovascular
confounders. CONCLUSIONS: In a cohort of high-risk patients with acute coronary
syndrome undergoing percutaneous coronary intervention, EAT was associated with
established markers of cardiovascular death and had a predictive value for the
three-year cardiovascular outcome.
PMID- 27864480
TI - Racial and Ethnic Disparities in Infant Mortality in North Carolina, 2008-2009.
AB - BACKGROUND: This study was designed to investigate whether racial and ethnic
disparities in infant mortality still exist in North Carolina and to examine
predictors of infant mortality using the North Carolina Vital Statistics
Dataverse. METHODS: This was a retrospective, cross-sectional, observational
study that included all 257,543 births in North Carolina in 2008-2009. Infant
mortality was assessed based on birth records included in the database. Infant
births and deaths were summarized by demographic and maternal/infant
characteristics. A multivariate logistic regression model was constructed to
jointly assess predictors of infant mortality. RESULTS: The overall infant
mortality rate in North Carolina was 0.8%. Adjusting for confounders through the
construction and assessment of a multivariate logistic regression model,
statistically significant associations were found between infant mortality and
each of the following: maternal race (both black and 'other' versus white),
infant sex, both premature and preterm gestation (versus full term), birth weight
(both low and high versus normal), maternal education (both less than high school
graduate and more than high school versus college graduate), prenatal care (both
intermediate and inadequate versus adequate), and maternal tobacco use.
LIMITATIONS: Maternal race was limited to white, black, and other. Data on
socioeconomic status, maternal medical risk factors, and quality of prenatal care
were not available. At the time of the analysis, data for years beyond 2009 were
limited. CONCLUSIONS: Racial disparities in infant mortality persist in North
Carolina; specifically, infants of nonwhite mothers have a higher mortality rate
than do infants of white mothers. Other factors that continue to play a
significant role in infant mortality in North Carolina include preterm and
premature births, male infant sex, low birth weight, maternal education less than
college graduate, maternal tobacco use, and less than adequate prenatal care.
PMID- 27864481
TI - Meaningful Use in Chronic Care: Improved Diabetes Outcomes Using a Primary Care
Extension Center Model.
AB - BACKGROUND: The effect of practice facilitation that provides onsite quality
improvement (QI) and electronic health record (EHR) coaching on chronic care
outcomes is unclear. This study evaluates the effectiveness of such a program
similar to an agricultural extension center model-that provides these services.
METHODS: Through the Health Information Technology for Economic and Clinical
Health (HITECH) portion of the American Recovery and Reinvestment Act, the North
Carolina Area Health Education Centers program became the Regional Extension
Center for Health Information Technology (REC) for North Carolina. The REC
program provides onsite technical assistance to help small primary care practices
achieve meaningful use of certified EHRs. While pursuing meaningful use
functionality, practices were also offered complementary onsite advice regarding
QI issues. We followed the first 50 primary care practices that utilized both EHR
and QI advice targeting diabetes care. RESULTS: The achievement of meaningful use
of certified EHRs and performance of QI with onsite practice facilitation showed
an absolute improvement of 19% in the proportion of patients who achieved
excellent diabetes control (hemoglobin A1c < 7%) compared to baseline. In
addition, the percentages of patients with poorly controlled diabetes (hemoglobin
A1c > 9%) fell steeply in these practices. LIMITATIONS: No control group was
available for comparison. CONCLUSION: Practice facilitation that provided EHR and
QI coaching support showed important improvements in diabetes outcomes in
practices that achieved meaningful use of their EHR systems. This approach holds
promise as a way to help small primary care practices achieve excellent patient
outcomes.
PMID- 27864482
TI - Women's Health.
PMID- 27864483
TI - Creating a Better State of Health for Women.
AB - Women's wellness spans from childhood into adolescence, through the childbearing
period, and into older age. It includes not only wellness issues related to
cardiovascular disease, cancer, and other chronic conditions but also the
consequences of intimate partner violence and mental health issues.Throughout the
life course, women's health should be a priority. Healthier women lead to
healthier babies, which lead to healthier communities. In addition, when women
are connected to the health care system, their families are also more likely to
be connected. This issue of the North Carolina Medical Journal explores the many
aspects of women's health and its many impacts.
PMID- 27864484
TI - Down With Teen Pregnancy, Up With Mobility: Teen Pregnancy Prevention Efforts in
Gaston County, North Carolina.
AB - Unintended pregnancies are typically associated with poor health outcomes for
mothers and babies, and they also limit the social mobility of women and their
families. Gaston County has achieved great success in reducing both teen
pregnancies and unintended pregnancies among low-income women through the Gaston
Youth Connected project and the local health department's push to increase
accessibility to long-acting reversible contraception. Reductions in the racial
disparities in teen pregnancy rates, higher graduation rates for females, and
fewer pregnancies among low-income women all indicate a potential for better
reproductive health to increase the social mobility of Gaston County women. The
ability to clearly connect health outcomes to long-term social improvements helps
create sustainable community buy-in and should be a priority in public health
initiatives.
PMID- 27864485
TI - A Public-Private Partnership to Reduce Unintended Pregnancy Rates and Improve
Economic Mobility.
PMID- 27864486
TI - CenteringPregnancy: Meeting the Quadruple Aim in Prenatal Care.
AB - CenteringPregnancy is a group prenatal care model that engages pregnant women in
their care, which results in promising health and system outcomes. This
commentary will review this innovative care model with a focus on patient
experience, population health outcomes, cost effectiveness, and provider
experience.
PMID- 27864487
TI - Intimate Partner Violence Prevention Programs in North Carolina.
AB - One in 4 women will experience intimate partner violence in her lifetime. The
goal of primary intimate partner violence prevention programs is to stop the
violence before it begins. Secondary prevention programs identify violence that
is occurring and intervene as soon as possible to prevent the problem from
progressing. This commentary discusses intimate partner violence, primary and
secondary prevention, and current prevention programs in North Carolina.
PMID- 27864488
TI - Domestic Violence and Families: Trauma-Focused Treatment Options.
PMID- 27864489
TI - Protecting Women Against Human Papillomavirus: Benefits, Barriers, and Evidence
Based Strategies to Increase Vaccine Uptake.
AB - Human papillomavirus (HPV) infection significantly impacts women, as it can cause
cancers and precancers of the cervix, vulva, vagina, oropharynx, and anus.
However, many of these cancers can be prevented by HPV vaccination. Despite
evidence of vaccine effectiveness and safety, vaccination rates remain low.
Evidence-based strategies should be utilized to reduce barriers and increase
vaccination rates.
PMID- 27864490
TI - Women and Cardiovascular Disease: What Can Health Care Providers Do to Reduce the
Risks?
AB - Cardiovascular disease impacts everybody and places significant burdens on the
health care system. Educating women on their risks and how to reduce these risks
will not only make women more aware but will help to improve lives and reduce
health care costs. This commentary will review heart disease in women and what
women can do to improve their cardiovascular health.
PMID- 27864491
TI - North Carolina's Perinatal Health Strategic Plan: Striving to Improve Birth
Outcomes for All Families.
AB - While North Carolina has made progress in improving perinatal health, much work
remains to be done to ensure health for all communities. The state's new
perinatal health strategic plan provides an innovative approach that highlights
strategies for addressing biomedical, social, and economic inequalities.
PMID- 27864492
TI - A Retrospective Look at North Carolina's Efforts to Reduce Infant Mortality.
PMID- 27864493
TI - Challenges in the Screening and Management of Osteoporosis.
AB - Osteoporosis imposes a significant burden of morbidity, mortality, and cost on
patients and the health care system. Compliance with existing screening and
treatment recommendations is low. There are multiple barriers to treatment
including complexity of medical management, cost of medications, real and
perceived side effects of medications, and nonadherence.
PMID- 27864494
TI - A Review of the Current Cervical Cancer Screening Guidelines.
AB - For many decades, Pap smear screening has been synonymous with well-woman visits.
Although Pap smears have greatly decreased the rates of cervical cancer, current
guidelines support less frequent screening. This commentary reviews the currently
recommended strategies for cervical cancer screening.
PMID- 27864495
TI - Urinary Incontinence in Women.
AB - Urinary incontinence, the involuntary loss of urine, can result from a multitude
of etiologies and can have a significant negative impact on a woman's physical,
social, economic, and psychological well-being. This commentary will review the
current evidence regarding the screening, diagnosis, and treatment options for
urinary incontinence in women.
PMID- 27864496
TI - Understanding and Treating Women's Body Image and Eating Disorders.
AB - This article provides an overview of the etiology of eating disorders, screening
recommendations, and evidence-based treatment options. These disorders are a
noteworthy concern among girls and women in the United States, especially given
the resulting medical comorbidities and high mortality rates.
PMID- 27864497
TI - The Impact of Infertility on Women's Mental Health.
PMID- 27864498
TI - Exploring Female Sexuality: Embracing the Whole Narrative.
AB - Sexuality is inseparable from sexual health and can refer to sex, gender
identities, orientation, pleasure, intimacy, expression, and reproduction. While
each element of human sexuality is important, all of these components
interconnect to make us complete sexual beings. Educators and other human service
providers thus require professional preparation to ensure they can meet the needs
of their learners, effectively manage programming, and successfully implement
strategies that allow individuals to embrace or manage their sexual existence. An
inclusive approach to sexual health is best to meet the sexual health needs of
all women, while ensuring their agency and control of their own bodies.
PMID- 27864499
TI - Project NO REST: Addressing Human Trafficking in North Carolina.
AB - Project NO REST (North Carolina Organizing and Responding to the Exploitation and
Sexual Trafficking of Children) is a 5-year effort funded by the US Children's
Bureau to address the trafficking of individuals age 25 years and younger in
North Carolina. The project aims to increase awareness of human trafficking
affecting children and youth, especially those in the child welfare system; to
reduce the number of these youth who are trafficked; and to improve outcomes for
those who are trafficked. In the project's first year, nearly 100 stakeholders
statewide developed a comprehensive plan to address trafficking. Later, 5
communities were recruited to implement the plan at the local level. Their
experiences will be used to develop a toolkit for future anti-trafficking
efforts.
PMID- 27864500
TI - Issue Brief: Transforming North Carolina's Mental Health and Substance Use
Systems: A Report from the NCIOM Task Force on Mental Health and Substance Use.
PMID- 27864501
TI - Editorial for Palliative Medicine.
PMID- 27864505
TI - Endoscopic Management of Idiopathic Subglottic Stenosis.
AB - OBJECTIVE: To describe a homogeneous idiopathic subglottic stenosis (ISS)
population undergoing endoscopic balloon dilation and evaluate factors affecting
inter-dilation interval (IDI). METHODS: Retrospective review of 37 patients. Co
morbidity prevalence versus normal population was evaluated using chi-square
tests. Correlations were evaluated using Pearson product moment tests.
Independent samples t tests/rank sum tests assessed differences between groups of
interest. RESULTS: All patients were female aged 45.9 +/- 15.4 years at
diagnosis. Four required a tracheotomy during management. Most prevalent co
morbidity was gastroesophageal reflux disease (GERD) (64.9%; P = .036). Body mass
indices (BMI) at first and most recent dilation were 29.8 and 30.8 ( P = .564).
Degree of stenosis before first dilation was 53 +/- 14%. Patients underwent 3.8
+/- 1.8 dilations (range, 1-11). Average IDI was 635 +/- 615 days (range, 49-3130
days), including 556 +/- 397 days for patients receiving concomitant steroid
injection and 283 +/- 36 for those who did not ( P = .079). Inter-dilation
interval was not correlated with BMI ( r = 0.0486; P = .802) or number of co
morbidities ( r = -0.225, P = .223). CONCLUSIONS: Most patients with ISS can be
managed endoscopically, and IDI may be increased with steroid injection.
Gastroesophageal reflux disease is a common co-morbidity. Body mass index did not
change over time despite potential effects on exercise tolerance; BMI did not
affect IDI. Methods to determine optimal timing for next intervention are
warranted.
PMID- 27864504
TI - Youth Baseball Pitching Stride Length: Normal Values and Correlation With Field
Testing.
AB - BACKGROUND: Pitching biomechanical analysis has been recommended as an important
component of performance, injury prevention, and rehabilitation. Normal values
for youth pitching stride length have not been established, leading to
application of normative values found among professional pitchers to youth
pitchers. HYPOTHESES: The average youth pitching stride length will be
significantly less than that of college and professional pitchers. There will be
a positive correlation between stride length, lower extremity power, balance, and
pitching experience. STUDY DESIGN: Prospective cohort study. LEVEL OF EVIDENCE:
Level 3. METHODS: Ninety-two youth baseball pitchers (aged 9-14 years) met the
inclusion/exclusion criteria and completed the study. Stride length was recorded
using a Dartfish video system over 3 maximal effort pitches. Both intra- and
interrater reliability was calculated for the assessment of stride length. Double
leg vertical jump, single-leg stance time, leg length, weight, age, and pitching
experience were also recorded. RESULTS: Mean (SD) stride length was 66.0% (7.1%)
of height. Stride length was correlated ( P < 0.01) with vertical jump (0.38),
pitching experience (0.36), and single-leg balance (0.28), with excellent intra-
and interrater reliability (0.985 or higher). No significant correlations between
stride length and body weight, leg length, or age existed. CONCLUSIONS: There was
a significant difference between youth pitching stride length and the current
published norms for older and more elite throwers. There was a positive
correlation between stride length and lower extremity power, pitching experience,
and single-leg balance. CLINICAL RELEVANCE: Two-dimensional analysis of stride
length allows for the assessment of pitching biomechanics in a practical manner.
These values can be used for return to pitching parameters after an injury and
designing injury prevention and performance programs.
PMID- 27864506
TI - Mitigation of whole-body gamma radiation-induced damages by Clerodendron
infortunatum in mammalian organisms.
AB - Several phytoceuticals and extracts of medicinal plants are reported to mitigate
deleterious effects of ionizing radiation. The potential of hydro-alcoholic
extract of Clerodendron infortunatum (CIE) for providing protection to mice
exposed to gamma radiation was investigated. Oral administration of CIE bestowed
a survival advantage to mice exposed to lethal doses of gamma radiation.
Radiation-induced depletion of the total blood count and bone marrow cellularity
were prevented by treatment with CIE. Damage to the cellular DNA (as was evident
from the comet assay and the micronucleus index) was also found to be decreased
upon CIE administration. Radiation-induced damages to intestinal crypt cells was
also reduced by CIE. Studies on gene expression in intestinal cells revealed that
there was a marked increase in the Bax/Bcl-2 ratio in mice exposed to whole-body
4 Gy gamma radiation, and that administration of CIE resulted in significant
lowering of this ratio, suggestive of reduction of radiation-induced apoptosis.
Also, in the intestinal tissue of irradiated animals, following CIE treatment,
levels of expression of the DNA repair gene Atm were found to be elevated, and
there was reduction in the expression of the inflammatory Cox-2 gene. Thus, our
results suggest a beneficial use of Clerodendron infortunatum for mitigating
radiation toxicity.
PMID- 27864507
TI - Multicentre dose audit for clinical trials of radiation therapy in Asia.
AB - A dose audit of 16 facilities in 11 countries has been performed within the
framework of the Forum for Nuclear Cooperation in Asia (FNCA) quality assurance
program. The quality of radiation dosimetry varies because of the large variation
in radiation therapy among the participating countries. One of the most important
aspects of international multicentre clinical trials is uniformity of absolute
dose between centres. The National Institute of Radiological Sciences (NIRS) in
Japan has conducted a dose audit of participating countries since 2006 by using
radiophotoluminescent glass dosimeters (RGDs). RGDs have been successfully
applied to a domestic postal dose audit in Japan. The authors used the same audit
system to perform a dose audit of the FNCA countries. The average and standard
deviation of the relative deviation between the measured and intended dose among
46 beams was 0.4% and 1.5% (k = 1), respectively. This is an excellent level of
uniformity for the multicountry data. However, of the 46 beams measured, a single
beam exceeded the permitted tolerance level of +/-5%. We investigated the cause
for this and solved the problem. This event highlights the importance of external
audits in radiation therapy.
PMID- 27864508
TI - Radiotherapy using IMRT boosts after hyperbaric oxygen therapy with chemotherapy
for glioblastoma.
AB - The purpose of this study was to evaluate the feasibility and efficacy of
radiotherapy (RT) using intensity-modulated radiotherapy (IMRT) boosts after
hyperbaric oxygen (HBO) therapy with chemotherapy in patients with glioblastoma.
Twenty-four patients with glioblastoma were treated with the combined therapy,
which was RT using IMRT boosts after HBO with chemotherapy, and were
retrospectively analyzed. The RT protocol was as follows: first, 3D conformal RT
[40 Gy/20 fractions (fr)] was delivered to the gross tumor volume (GTV) and the
surrounding edema, including an additional 1.5-2.0 cm. The IMRT boost doses were
then continuously delivered to the GTV plus 5 mm (28 Gy/8 fr) and the surrounding
edema (16 Gy/8 fr). Each IMRT boost session was performed immediately after HBO
to achieve radiosensitization. The planned RT dose was completed in all patients,
while HBO therapy was terminated in one patient (4%) due to Grade 2 aural pain.
The toxicities were mild, no non-hematological toxicity of Grade 3-5 was
observed. The 2-year overall survival (OS) and progression-free survival rates in
all patients were 46.5% and 35.4%, respectively. The median OS time was 22.1
months. In conclusion, the combined therapy of RT using IMRT boosts after HBO
with chemotherapy was a feasible and promising treatment modality for patients
with glioblastoma. The results justify further evaluation to clarify the benefits
of this therapy.
PMID- 27864509
TI - Loss of beta-adrenergic-stimulated phosphorylation of CaV1.2 channels on Ser1700
leads to heart failure.
AB - L-type Ca2+ currents conducted by voltage-gated calcium channel 1.2 (CaV1.2)
initiate excitation-contraction coupling in the heart, and altered expression of
CaV1.2 causes heart failure in mice. Here we show unexpectedly that reducing beta
adrenergic regulation of CaV1.2 channels by mutation of a single PKA site,
Ser1700, in the proximal C-terminal domain causes reduced contractile function,
cardiac hypertrophy, and heart failure without changes in expression,
localization, or function of the CaV1.2 protein in the mutant mice (SA mice).
These deficits were aggravated with aging. Dual mutation of Ser1700 and a nearby
casein-kinase II site (Thr1704) caused accelerated hypertrophy, heart failure,
and death in mice with these mutations (STAA mice). Cardiac hypertrophy was
increased by voluntary exercise and by persistent beta-adrenergic stimulation.
PKA expression was increased, and PKA sites Ser2808 in ryanodine receptor type-2,
Ser16 in phospholamban, and Ser23/24 in troponin-I were hyperphosphorylated in SA
mice, whereas phosphorylation of substrates for calcium/calmodulin-dependent
protein kinase II was unchanged. The Ca2+ pool in the sarcoplasmic reticulum was
increased, the activity of calcineurin was elevated, and calcineurin inhibitors
improved contractility and ameliorated cardiac hypertrophy. Cardio-specific
expression of the SA mutation also caused reduced contractility and hypertrophy.
These results suggest engagement of compensatory mechanisms, which initially may
enhance the contractility of individual myocytes but eventually contribute to an
increased sensitivity to cardiovascular stress and to heart failure in vivo. Our
results demonstrate that normal regulation of CaV1.2 channels by phosphorylation
of Ser1700 in cardiomyocytes is required for cardiovascular homeostasis and
normal physiological regulation in vivo.
PMID- 27864510
TI - Profile of Charles M. Rice, Ralf F. W. Bartenschlager, and Michael J. Sofia, 2016
Lasker-DeBakey Clinical Medical Research Awardees.
PMID- 27864511
TI - Root nodule symbiosis in Lotus japonicus drives the establishment of distinctive
rhizosphere, root, and nodule bacterial communities.
AB - Lotus japonicus has been used for decades as a model legume to study the
establishment of binary symbiotic relationships with nitrogen-fixing rhizobia
that trigger root nodule organogenesis for bacterial accommodation. Using
community profiling of 16S rRNA gene amplicons, we reveal that in Lotus,
distinctive nodule- and root-inhabiting communities are established by parallel,
rather than consecutive, selection of bacteria from the rhizosphere and root
compartments. Comparative analyses of wild-type (WT) and symbiotic mutants in Nod
factor receptor5 (nfr5), Nodule inception (nin) and Lotus histidine kinase1
(lhk1) genes identified a previously unsuspected role of the nodulation pathway
in the establishment of different bacterial assemblages in the root and
rhizosphere. We found that the loss of nitrogen-fixing symbiosis dramatically
alters community structure in the latter two compartments, affecting at least 14
bacterial orders. The differential plant growth phenotypes seen between WT and
the symbiotic mutants in nonsupplemented soil were retained under nitrogen
supplemented conditions that blocked the formation of functional nodules in WT,
whereas the symbiosis-impaired mutants maintain an altered community structure in
the nitrogen-supplemented soil. This finding provides strong evidence that the
root-associated community shift in the symbiotic mutants is a direct consequence
of the disabled symbiosis pathway rather than an indirect effect resulting from
abolished symbiotic nitrogen fixation. Our findings imply a role of the legume
host in selecting a broad taxonomic range of root-associated bacteria that, in
addition to rhizobia, likely contribute to plant growth and ecological
performance.
PMID- 27864512
TI - Epstein-Barr virus super-enhancer eRNAs are essential for MYC oncogene expression
and lymphoblast proliferation.
AB - Epstein-Barr virus (EBV) super-enhancers (ESEs) are essential for lymphoblastoid
cell (LCL) growth and survival. Reanalyses of LCL global run-on sequencing (Gro
seq) data found abundant enhancer RNAs (eRNAs) being transcribed at ESEs.
Inactivation of ESE components, EBV nuclear antigen 2 (EBNA2) and bromodomain
containing protein 4 (BRD4), significantly decreased eRNAs at ESEs -428 and -525
kb upstream of the MYC oncogene transcription start site (TSS). shRNA knockdown
of the MYC -428 and -525 ESE eRNA caused LCL growth arrest and reduced cell
growth. Furthermore, MYC ESE eRNA knockdown also significantly reduced MYC
expression, ESE H3K27ac signals, and MYC ESEs looping to MYC TSS. These data
indicate that ESE eRNAs strongly affect cell gene expression and enable LCL
growth.
PMID- 27864513
TI - Construction of a male sterility system for hybrid rice breeding and seed
production using a nuclear male sterility gene.
AB - The breeding and large-scale adoption of hybrid seeds is an important achievement
in agriculture. Rice hybrid seed production uses cytoplasmic male sterile lines
or photoperiod/thermo-sensitive genic male sterile lines (PTGMS) as female
parent. Cytoplasmic male sterile lines are propagated via cross-pollination by
corresponding maintainer lines, whereas PTGMS lines are propagated via self
pollination under environmental conditions restoring male fertility. Despite huge
successes, both systems have their intrinsic drawbacks. Here, we constructed a
rice male sterility system using a nuclear gene named Oryza sativa No Pollen 1
(OsNP1). OsNP1 encodes a putative glucose-methanol-choline oxidoreductase
regulating tapetum degeneration and pollen exine formation; it is specifically
expressed in the tapetum and miscrospores. The osnp1 mutant plant displays normal
vegetative growth but complete male sterility insensitive to environmental
conditions. OsNP1 was coupled with an alpha-amylase gene to devitalize transgenic
pollen and the red fluorescence protein (DsRed) gene to mark transgenic seed and
transformed into the osnp1 mutant. Self-pollination of the transgenic plant
carrying a single hemizygous transgene produced nontransgenic male sterile and
transgenic fertile seeds in 1:1 ratio that can be sorted out based on the red
fluorescence coded by DsRed Cross-pollination of the fertile transgenic plants to
the nontransgenic male sterile plants propagated the male sterile seeds of high
purity. The male sterile line was crossed with ~1,200 individual rice germplasms
available. Approximately 85% of the F1s outperformed their parents in per plant
yield, and 10% out-yielded the best local cultivars, indicating that the
technology is promising in hybrid rice breeding and production.
PMID- 27864514
TI - Proteomics and comparative genomics of Nitrososphaera viennensis reveal the core
genome and adaptations of archaeal ammonia oxidizers.
AB - Ammonia-oxidizing archaea (AOA) are among the most abundant microorganisms and
key players in the global nitrogen and carbon cycles. They share a common energy
metabolism but represent a heterogeneous group with respect to their
environmental distribution and adaptions, growth requirements, and genome
contents. We report here the genome and proteome of Nitrososphaera viennensis
EN76, the type species of the archaeal class Nitrososphaeria of the phylum
Thaumarchaeota encompassing all known AOA. N. viennensis is a soil organism with
a 2.52-Mb genome and 3,123 predicted protein-coding genes. Proteomic analysis
revealed that nearly 50% of the predicted genes were translated under standard
laboratory growth conditions. Comparison with genomes of closely related species
of the predominantly terrestrial Nitrososphaerales as well as the more
streamlined marine Nitrosopumilales [Candidatus (Ca.) order] and the acidophile
"Ca. Nitrosotalea devanaterra" revealed a core genome of AOA comprising 860
genes, which allowed for the reconstruction of central metabolic pathways common
to all known AOA and expressed in the N. viennensis and "Ca Nitrosopelagicus
brevis" proteomes. Concomitantly, we were able to identify candidate proteins for
as yet unidentified crucial steps in central metabolisms. In addition to
unraveling aspects of core AOA metabolism, we identified specific metabolic
innovations associated with the Nitrososphaerales mediating growth and survival
in the soil milieu, including the capacity for biofilm formation, cell surface
modifications and cell adhesion, and carbohydrate conversions as well as
detoxification of aromatic compounds and drugs.
PMID- 27864515
TI - Discovery of cofactor-specific, bactericidal Mycobacterium tuberculosis InhA
inhibitors using DNA-encoded library technology.
AB - Millions of individuals are infected with and die from tuberculosis (TB) each
year, and multidrug-resistant (MDR) strains of TB are increasingly prevalent. As
such, there is an urgent need to identify novel drugs to treat TB infections.
Current frontline therapies include the drug isoniazid, which inhibits the
essential NADH-dependent enoyl-acyl-carrier protein (ACP) reductase, InhA. To
inhibit InhA, isoniazid must be activated by the catalase-peroxidase KatG.
Isoniazid resistance is linked primarily to mutations in the katG gene. Discovery
of InhA inhibitors that do not require KatG activation is crucial to combat MDR
TB. Multiple discovery efforts have been made against InhA in recent years. Until
recently, despite achieving high potency against the enzyme, these efforts have
been thwarted by lack of cellular activity. We describe here the use of DNA
encoded X-Chem (DEX) screening, combined with selection of appropriate physical
properties, to identify multiple classes of InhA inhibitors with cell-based
activity. The utilization of DEX screening allowed the interrogation of very
large compound libraries (1011 unique small molecules) against multiple forms of
the InhA enzyme in a multiplexed format. Comparison of the enriched library
members across various screening conditions allowed the identification of
cofactor-specific inhibitors of InhA that do not require activation by KatG, many
of which had bactericidal activity in cell-based assays.
PMID- 27864518
TI - Housing improvement: a novel paradigm for urban vector-borne disease control?
PMID- 27864519
TI - Faith and Marital Violence in Sub-Saharan Africa: Exploring the Links Between
Religious Affiliation and Intimate Partner Violence Among Women in Ghana.
AB - Research shows that intimate partner violence is quite widespread throughout the
world. In the case of sub-Saharan Africa (SSA), studies have concluded that
cultural and economic factors help to sustain the spread and maintenance of
intimate partner violence in the region. Although the cultural interpretations
predominate in current research, few have examined the links between religion, an
important cultural variable, and intimate partner violence in SSA. Given the
growth and importance of religion in African cultures, we used data from the 2008
Ghana Demographic Health Survey ( n = 1,831) and ordinary least squares
regression method to investigate the links between religious affiliation and
intimate partner violence. Findings from our study point to some variations in
intimate partner violence by affiliation. This is especially true with regard to
women's experience with sexual violence and emotional violence. Besides religion,
we also found ideologies that support wife abuse, the nature of decision-making
process at the household level, and husband's use of alcohol to be important
determinants of intimate partner violence in Ghana. We examined the implications
of these findings.
PMID- 27864516
TI - On the (un)predictability of a large intragenic fitness landscape.
AB - The study of fitness landscapes, which aims at mapping genotypes to fitness, is
receiving ever-increasing attention. Novel experimental approaches combined with
next-generation sequencing (NGS) methods enable accurate and extensive studies of
the fitness effects of mutations, allowing us to test theoretical predictions and
improve our understanding of the shape of the true underlying fitness landscape
and its implications for the predictability and repeatability of evolution. Here,
we present a uniquely large multiallelic fitness landscape comprising 640
engineered mutants that represent all possible combinations of 13 amino acid
changing mutations at 6 sites in the heat-shock protein Hsp90 in Saccharomyces
cerevisiae under elevated salinity. Despite a prevalent pattern of negative
epistasis in the landscape, we find that the global fitness peak is reached via
four positively epistatic mutations. Combining traditional and extending recently
proposed theoretical and statistical approaches, we quantify features of the
global multiallelic fitness landscape. Using subsets of the data, we demonstrate
that extrapolation beyond a known part of the landscape is difficult owing to
both local ruggedness and amino acid-specific epistatic hotspots and that
inference is additionally confounded by the nonrandom choice of mutations for
experimental fitness landscapes.
PMID- 27864517
TI - An extensive burden of giardiasis associated with intestinal schistosomiasis and
anaemia in school children on the shoreline of Lake Albert, Uganda.
AB - BACKGROUND: Water-borne parasitic diseases associated with poverty still blight
the lives of African school children. In Uganda, intestinal schistosomiasis is
still common along the shoreline of Lake Albert, despite ongoing control, and co
infection with giardiasis and malaria is poorly described. To shed light on
putative interactions between diseases, a prospective cross-sectional
parasitological survey was undertaken in five primary schools. METHODS: Stool
samples from 254 school children, aged 5-10 years, were examined by microscopy
and rapid diagnostic tests (RDTs), with additional real-time PCR assays for
detection of Giardia DNA. A finger-prick blood sample was also taken from each
child and tested for malaria, and haemoblobin levels measured. Assocations
between diseases and anaemia were assessed. RESULTS: Intestinal schistosomiasis
(46.5%), giardiasis (41.6%) and malaria (56.2%) were common, and a quarter of
children were anaemic (<115 g/L). Up to 87.0% of children were excreting Giardia
DNA and the prevalence of heavy infection by real-time PCR (Ct<=19) was 19.5%,
being positively associated with light, moderate and heavy egg-patent
schistosomiasis, as well as with anaemia. CONCLUSIONS: In this setting, an
extensive burden of giardiasis was revealed with heavy intensity infections
associated with egg-patent intestinal schistosomiasis and anaemia. To improve
child health, greater attention on giardiasis is needed along with exploring
joined-up actions across diseases that promote better water hygiene and
sanitation measures.
PMID- 27864520
TI - Ibrutinib monotherapy in relapsed/refractory CNS lymphoma: A retrospective case
series.
PMID- 27864521
TI - Enlargement of deep medullary veins during the early clinical course of Sturge
Weber syndrome.
PMID- 27864522
TI - Comorbidity between central disorders of hypersomnolence and immune-based
disorders.
AB - OBJECTIVE: To assess and compare the frequencies of personal and family history
of autoimmune diseases (AID), autoinflammatory disorders (ID), and allergies in a
population of patients, adults and children, with narcolepsy type 1 (NT1),
narcolepsy type 2 (NT2), and idiopathic hypersomnia (IH), 3 central hypersomnia
disorders, and healthy controls. METHODS: Personal and family history of AID, ID,
and allergies were assessed by questionnaire and medical interview in a large
cohort of 450 consecutive adult patients (206 NT1, 106 NT2, 138 IH) and 95
pediatric patients (80 NT1) diagnosed according to the third International
Classification of Sleep Disorders criteria in national reference centers for
narcolepsy in France and 751 controls (700 adults, 51 children) from the general
population. RESULTS: Ten adults with NT1 (4.9%) had a comorbid AID vs 3.4% of
adult controls, without between-group differences in adjusted models. AID
frequency did not differ between children with NT1 and controls. Conversely,
compared with controls, AID frequency was higher in adults with NT2 (p = 0.002),
whereas ID (p = 0.0002) and allergy (p = 0.003) frequencies were higher in adults
with IH. A positive family history of AID was found in the NT1 group and of ID in
the IH group. CONCLUSIONS: NT1 is not associated with increased risk of comorbid
immune disorders, in favor of a potentially unique pathophysiology. Conversely,
compared with controls, the frequency of autoimmune diseases was higher in adults
with NT2, whereas allergies and autoinflammatory disorders were more common in
adults with IH, suggesting an immune dysregulation mechanism in these conditions.
PMID- 27864523
TI - Carotid artery web and ischemic stroke: A case-control study.
AB - OBJECTIVE: To determine whether there is an association between carotid artery
web and ischemic stroke. METHODS: This was a single-center, age- and sex-matched,
case-control study. Cases were consecutive patients with anterior circulation
ischemic stroke of undetermined etiology (Trial of Org 10172 in Acute Stroke
Treatment [TOAST] classification). Controls were consecutive patients with
cerebral aneurysms, arteriovenous malformations, or primary intracerebral
hemorrhages. Additional inclusion criteria were age <60 years and CT angiography
of the neck. Two neuroradiologists diagnosed webs according to previously
published criteria. One neuroradiologist also assessed for nonstenotic
atherosclerotic plaque (carotid wall thickness >=3 mm or intramural
calcification). We used conditional logistic regression to estimate the odds
ratio between carotid web and ischemic stroke and its 95% confidence interval.
RESULTS: Fifty-three of 62 cases (85%) were matched by age (within 1 year) and by
sex to 102 controls. There was a carotid web in 4 of 53 cases (9.4%) vs 1 of 102
controls (1.0%, odds ratio = 8.0, 95% confidence interval = 1.2-67, p = 0.032).
There was no significant difference in the prevalence of nonstenotic carotid
atherosclerotic plaque between the case and control groups. There was agreement
on diagnosis of web for 163 of 164 patients (99%) and 7 of 8 webs (88%), and the
Cohen kappa for interobserver agreement was 0.93. CONCLUSIONS: There is an
association between carotid artery web and ischemic stroke in patients who lack
an alternative cause of stroke. Carotid web may be an underappreciated risk
factor for stroke.
PMID- 27864525
TI - AEDs after ICH: Preventing the prophylaxis.
PMID- 27864524
TI - Evolving use of seizure medications after intracerebral hemorrhage: A multicenter
study.
AB - OBJECTIVE: Prophylactic medications can be a source of preventable harm,
potentially affecting large numbers of patients. Few data exist about how
clinicians change prescribing practices in response to new data and revisions to
guidelines about preventable harm from a prophylactic medication. We sought to
determine the changes in prescribing practice of seizure medications for patients
with intracerebral hemorrhage (ICH) across a metropolitan area before and after
new outcomes data and revised prescribing guidelines were published. METHODS: We
conducted an observational study using electronic medical record data from 4
academic medical centers in a large US metropolitan area. RESULTS: A total of
3,422 patients with ICH, diagnosed between 2007 and 2012, were included. In 2009,
after a publication found an association of phenytoin with higher odds of
dependence or death, the use of phenytoin declined from 9.6% in 2009 to 2.2% in
2012 (p < 0.00001). Conversely, the use of levetiracetam more than doubled, from
15.1% in 2007 to 35% in 2012 (p < 0.00001). Use of levetiracetam varied among the
4 institutions from 6.7% to 29.8% (p < 0.00001). CONCLUSIONS: New data that led
to revised prescribing guidelines for prophylactic seizure medications for
patients with ICH were temporally associated with a significant decrease in use
of the medication, potentially reducing adverse outcomes. However, a
corresponding increase in the use of an alternative medication, levetiracetam,
occurred despite limited knowledge about its potential effects on outcomes.
Future guideline changes should anticipate and address alternatives.
PMID- 27864526
TI - RAB39a binds caspase-1 and is required for caspase-1-dependent interleukin-1beta
secretion.
PMID- 27864527
TI - IL-1-induced post-transcriptional mechanisms target overlapping translational
silencing and destabilizing elements in IkappaBzeta mRNA.
PMID- 27864528
TI - IRAK1 and IRAK4 promote phosphorylation, ubiquitination, and degradation of MyD88
adaptor-like (Mal).
PMID- 27864529
TI - Subversion of Schwann cell glucose metabolism by Mycobacterium leprae.
PMID- 27864530
TI - Why Information Matters: Examining the Consequences of Suggesting That Pedophilia
Is Immutable.
AB - In this study, the impact of suggesting that pedophilia is immutable on a man's
specific self-efficacy for modifying his sexual interest in children was examined
in 94 men with a sexual interest in children. The participants were selected from
differing contexts and included non-forensic patients, forensic patients, and
participants from the Internet. Randomly distributed to two conditions, the
mutable condition group received the information that experts consider pedophilia
to be modifiable, whereas the immutable condition group received the information
that experts consider pedophilia to be stable. Afterward, the participants'
levels of specific self-efficacy for modifying their sexual interest in children
were assessed. Non-forensic participants in the mutable condition reported higher
levels of specific self-efficacy than those in the immutable condition. No
differences in specific self-efficacy were revealed for the forensic and Internet
participants when comparing the mutable and immutable conditions. It would appear
appropriate to avoid generalized and absolute statements about the (im)mutability
of sexual interest in children, as scientific research on this topic remains
insufficient. Furthermore, given the present results, such statements might have
serious consequences for an individual's belief in being able to change his
sexual interest in children.
PMID- 27864531
TI - Familial Depressive Symptoms and Delinquency: Separate Self-Reports From Mothers
and Their Offspring.
AB - Research has documented that both unipolar and bipolar depression are positively
correlated with involvement in delinquency and crime. The present study sought to
broaden the understanding of these relationships by looking for links between
offending and family histories of depressive symptoms in relationship to
offspring delinquency. More than 6,000 college students and their mothers
provided self-reported information regarding feelings of depression. Students
provided self-reports of involvement in various categories of offending and drug
use from ages 10 through 18. Numerous significant positive correlations were
found between general feelings of depression and of manic depression and
involvement in delinquency. The depression-delinquency relationships were
strongest when considering offspring themselves, although maternal depression
symptoms were also associated with various forms of offspring delinquency and
drug use. To help assess the causal chains that might be involved, multiple
regression and mediation analysis revealed that parental depression enhanced the
probability of offspring feeling depressed and may have thereby contributed to
offspring being delinquent, particularly in the case of manic depression. This
study reconfirmed the well-established relationship between depression and
involvement in delinquency and drug use, and suggests that it extends back to
parental forms of depression, especially by the mother.
PMID- 27864532
TI - The Impact of Types and Extent of Trauma on Depressive Affect Among Male Juvenile
Sexual Offenders.
AB - High levels of depression have been found among incarcerated youth, which
suggests that mental health problems are associated with delinquent behavior and
are part of a constellation of risk factors that contribute to youth entering the
juvenile justice system. In this project, we investigated trauma and mental
health issues among male youth in residential treatment, and addressed the
following questions: (a) Does childhood trauma predict current depression for
male juvenile sexual offenders? (b) If so, do different types of traumas predict
depressive affect better than others? and, (c) Does extent of trauma exposure
predict depression? Data on incarcerated male juvenile sexual offenders were
analyzed ( n = 379). Multiple regressions of various types of traumas and
cumulative trauma and depression were conducted. Emotional abuse was the
strongest predictor of depressive affect for this sample, and multiple exposures
to trauma were the second strongest predictor.
PMID- 27864533
TI - Calculating Probability in Sex Offender Risk Assessment.
AB - Risk is the probability of an adverse event or outcome. In a previous article, I
compared the Bayesian and Frequentist models of defining probability. This
article compares the Bayesian and regression models of quantifying probability.
Both approaches are widely used in the biomedical and behavioral sciences even
though they yield different results. No consensus has emerged as to which is more
appropriate. The choice between them remains controversial. This article
concludes that the Bayesian model provides a viable alternative to logistic
regression and may be more useful in quantifying the absolute recidivism risk of
individual sex offenders. It shows how evaluators can easily calculate Bayesian
probabilities and their associated credible intervals from an actuarial data set.
Last, the article proposes a forensic practice guideline that evaluators do not
conclude that an offender meets an absolute risk threshold unless the subject's
risk exceeds the threshold by a credible margin of error.
PMID- 27864534
TI - Microbiome and nutrition in autism spectrum disorder: current knowledge and
research needs.
AB - Autism spectrum disorder (ASD) is the fastest growing neurodevelopmental disorder
in the United States. Besides genetic risks, environmental factors have been
suggested to contribute to the increase in ASD diagnosis over the past decade.
Several studies have reported abnormalities in microbiota composition and
differences in microbial metabolites in children with ASD. Gastrointestinal
discomfort is commonly reported in children with ASD. Additionally, food
selectivity and picky eating patterns are commonly reported. A number of
mechanisms underlying the interaction between nutrition, the gut microbiota, and
ASD symptoms via the microbiota-gut-brain axis have been proposed, including
immune, hormonal, or neuronal pathways. Here, the current evidence base regarding
the gut environment and nutritional status of children with ASD is reviewed.
Potential underlying mechanisms of the microbiota-gut-brain axis in ASD and the
interplay between nutrition, microbiota, and ASD symptoms are also reviewed.
Future studies investigating the microbiota in the context of dietary intake are
needed to increase understanding of the interplay between diet and the gut
microbiota in ASD and to identify potential dietary, probiotic, or prebiotic
intervention strategies.
PMID- 27864537
TI - Effects of probiotic supplementation in patients with type 2 diabetes: systematic
review and meta-analysis.
AB - CONTEXT: The rising prevalence of type 2 diabetes requires increased efforts to
find effective therapeutic agents for this complex condition. Following the
recent observation that the gut microbiota is altered in diabetic patients,
researchers investigated the effect of probiotics in patients with diabetes.
OBJECTIVE: The aim of this systematic review was to assess the effects of
probiotic consumption on glycemic control in diabetic patients. DATA SOURCES:
PubMed, Scopus, Web of Science (formerly ISI Web of Knowledge), Cochrane Central
Register of Controlled Trials, ClinicalTrials.gov, and ProQuest Dissertations and
Theses databases were searched up to November 2015. STUDY SELECTION: Clinical
trials in diabetic patients in whom probiotics were administered as an
intervention were included. DATA EXTRACTION: Primary outcomes were fasting blood
glucose, insulin concentration, insulin resistance, and hemoglobin A1c. Secondary
outcomes were adverse events. DATA SYNTHESIS: Of the 2736 reports that were
screened, 13 clinical trials met the inclusion criteria. Pooling data from
eligible clinical trials revealed that probiotic supplementation significantly (P
< 0.05) decreased fasting blood glucose and hemoglobin A1c in diabetic patients,
although the participants' characteristics (eg, body mass index) and the number
and type of probiotic microorganisms affected the clinical response. CONCLUSIONS:
Administration of probiotics appears to have a beneficial role in the management
of type 2 diabetes; however, more clinical studies with adequate sample sizes and
sound methodology are required to inform the development of evidence-based
treatment guidelines.
PMID- 27864538
TI - Erratum for "Role of maternal vitamins in programming health and chronic
disease." Nutrition Reviews 2016; 74: 166-180.
PMID- 27864536
TI - Influence of dietary protein and its amino acid composition on postoperative
outcomes after gastric bypass surgery: a systematic review.
AB - CONTEXT: Bariatric surgery is an effective method to reduce morbid obesity.
Nutritional counseling is essential to achieve maximal treatment success and to
avoid long-term complications. Increased dietary protein intake may improve
various postoperative results. OBJECTIVE: The aim of this systematic review is to
examine the relationship between intake of dietary protein or supplementation
with amino acids and postoperative outcomes after gastric bypass surgery. DATA
SOURCES: A systematic literature search was conducted in 4 electronic databases:
Cochrane, Embase, PubMed, and Scopus. STUDY SELECTION: The initial search
retrieved 7333 hits, which included 2390 duplicates. DATA EXTRACTION: Tweny-three
studies with varying study designs, interventions, and outcomes were included.
RESULTS: Studies did not provide convincing evidence of a beneficial effect on
any postoperative outcome. CONCLUSIONS: The study of the influence of protein and
its amino acid composition represents an important developing domain of knowledge
and warrants further attention considering the popularity of bariatric surgery.
Future studies should include a clear description of the quantity and composition
of proteins and amino acids in the diet or supplement.
PMID- 27864535
TI - Effect of diet on mortality and cancer recurrence among cancer survivors: a
systematic review and meta-analysis of cohort studies.
AB - CONTEXT: Evidence of an association between dietary patterns and individual foods
and the risk of overall mortality among cancer survivors has not been reviewed
systematically. OBJECTIVE: The aim of this meta-analysis of cohort studies was to
investigate the association between food intake and dietary patterns and overall
mortality among cancer survivors. DATA SOURCES: The PubMed and Embase databases
were searched. STUDY SELECTION: A total of 117 studies enrolling 209 597 cancer
survivors were included. DATA EXTRACTION: The following data were extracted:
study location, types of outcome, population characteristics, dietary assessment
method, risk estimates, and adjustment factors. RESULTS: Higher intakes of
vegetables and fish were inversely associated with overall mortality, and higher
alcohol consumption was positively associated with overall mortality (RR, 1.08;
95%CI, 1.02-1.16). Adherence to the highest category of diet quality was
inversely associated with overall mortality (RR, 0.78; 95%CI, 0.72-0.85;
postdiagnosis RR, 0.79; 95%CI, 0.71-0.89), as was adherence to the highest
category of a prudent/healthy dietary pattern (RR, 0.81; 95%CI, 0.67-0.98;
postdiagnosis RR, 0.77; 95%CI, 0.60-0.99). The Western dietary pattern was
associated with increased risk of overall mortality (RR, 1.46; 95%CI, 1.27-1.68;
postdiagnosis RR, 1.51; 95%CI, 1.24-1.85). CONCLUSION: Adherence to a high
quality diet and a prudent/healthy dietary pattern is inversely associated with
overall mortality among cancer survivors, whereas a Western dietary pattern is
positively associated with overall mortality in this population.
PMID- 27864541
TI - Overexpression of soybean miR172c confers tolerance to water deficit and salt
stress, but increases ABA sensitivity in transgenic Arabidopsis thaliana.
PMID- 27864539
TI - Bundle-sheath leakiness and intrinsic water use efficiency of a perennial C4
grass are increased at high vapour pressure deficit during growth.
AB - Bundle-sheath leakiness (phi) is a key parameter of the CO2-concentrating
mechanism of C4 photosynthesis and is related to leaf-level intrinsic water use
efficiency (WUEi). This work studied short-term dynamic responses of phi to
alterations of atmospheric CO2 concentration in Cleistogenes squarrosa, a
perennial grass, grown at high (1.6 kPa) or low (0.6 kPa) vapour pressure deficit
(VPD) combined with high or low N supply in controlled environment experiments.
phi was determined by concurrent measurements of photosynthetic gas exchange and
on-line carbon isotope discrimination, using a new protocol. Growth at high VPD
led to an increase of phi by 0.13 and a concurrent increase of WUEi by 14%, with
similar effects at both N levels. phi responded dynamically to intercellular CO2
concentration (C i), increasing with C i Across treatments, phi was negatively
correlated to the ratio of CO2 saturated assimilation rate to carboxylation
efficiency (a proxy of the relative activities of Rubisco and phosphoenolpyruvate
carboxylase) indicating that the long-term environmental effect on phi was
related to the balance between C3 and C4 cycles. Our study revealed considerable
dynamic and long-term variation in phi of C. squarrosa, suggesting that phi
should be determined when carbon isotope discrimination is used to assess WUEi
Also, the data indicate a trade-off between WUEi and energetic efficiency in C.
squarrosa.
PMID- 27864544
TI - From the Cover: Investigative Nonclinical Cardiovascular Safety and Toxicology
Studies with BMS-986094, an NS5b RNA-Dependent RNA Polymerase Inhibitor.
AB - BMS-986094, a 2'-C-methylguanosine prodrug that was in development for treatment
of chronic hepatitis C infection was withdrawn from Phase 2 clinical trials
because of unexpected cardiac and renal adverse events. Investigative nonclinical
studies were conducted to extend the understanding of these findings using more
comprehensive endpoints. BMS-986094 was given orally to female CD-1 mice (25 and
150 mg/kg/d) for 2 weeks (53/group) and to cynomolgus monkeys (15 and 30 mg/kg/d)
for up to 6 weeks (2-3/sex/group for cardiovascular safety, and 5/sex/group for
toxicology). Endpoints included toxicokinetics; echocardiography, telemetric
hemodynamics and electrocardiography, and tissue injury biomarkers (monkey); and
light and ultrastructural pathology of heart, kidney, and skeletal muscle
(mouse/monkey). Dose-related and time-dependent findings included: severe
toxicity in mice at 150 mg/kg/d and monkeys at 30 mg/kg/d; decreased left
ventricular (LV) ejection fraction, fractional shortening, stroke volume, and
dP/dt; LV dilatation, increased QTc interval, and T-wave flattening/inversion
(monkeys at >= 15 mg/kg/d); cardiomyocyte degeneration (mice at 150 mg/kg/d and
monkeys at >= 15 mg/kg/d) with myofilament lysis/myofbril disassembly; time
dependent proteinuria and increased urine beta-2 microglobulin, calbindin,
clusterin; kidney pallor macroscopically; and tubular dilatation (monkeys);
tubular regeneration (mice 150 mg/kg/d); and acute proximal tubule degeneration
ultrastructurally (mice/monkeys); and skeletal muscle degeneration with increased
urine myoglobin and serum sTnI. These studies identified changes not described
previously in studies of BMS-986094 including premonitory cardiovascular
functional changes as well as additional biomarkers for muscle and renal
toxicities. Although the mechanism of potential toxicities observed in BMS-986094
studies was not established, there was no evidence for direct mitochondrial
toxicity.
PMID- 27864546
TI - Comment on "DNA Sensing via TLR-9 Constitutes a Major Innate Immunity Pathway
Activated during Erythema Nodosum Leprosum".
PMID- 27864547
TI - Response to Comment on "DNA Sensing via TLR-9 Constitutes a Major Innate Immunity
Pathway Activated during Erythema Nodosum Leprosum".
PMID- 27864543
TI - Long Non-Coding RNAs: A Novel Paradigm for Toxicology.
AB - Long non-coding RNAs (lncRNAs) are over 200 nucleotides in length and are
transcribed from the mammalian genome in a tissue-specific and developmentally
regulated pattern. There is growing recognition that lncRNAs are novel biomarkers
and/or key regulators of toxicological responses in humans and animal models.
Lacking protein-coding capacity, the numerous types of lncRNAs possess a myriad
of transcriptional regulatory functions that include cis and trans gene
expression, transcription factor activity, chromatin remodeling, imprinting, and
enhancer up-regulation. LncRNAs also influence mRNA processing, post
transcriptional regulation, and protein trafficking. Dysregulation of lncRNAs has
been implicated in various human health outcomes such as various cancers,
Alzheimer's disease, cardiovascular disease, autoimmune diseases, as well as
intermediary metabolism such as glucose, lipid, and bile acid homeostasis.
Interestingly, emerging evidence in the literature over the past five years has
shown that lncRNA regulation is impacted by exposures to various chemicals such
as polycyclic aromatic hydrocarbons, benzene, cadmium, chlorpyrifos-methyl,
bisphenol A, phthalates, phenols, and bile acids. Recent technological
advancements, including next-generation sequencing technologies and novel
computational algorithms, have enabled the profiling and functional
characterizations of lncRNAs on a genomic scale. In this review, we summarize the
biogenesis and general biological functions of lncRNAs, highlight the important
roles of lncRNAs in human diseases and especially during the toxicological
responses to various xenobiotics, evaluate current methods for identifying
aberrant lncRNA expression and molecular target interactions, and discuss the
potential to implement these tools to address fundamental questions in
toxicology.
PMID- 27864549
TI - The Old One-Two: Solving the Mystery of Cognate Help.
PMID- 27864550
TI - Pillars Article: A 39-kDa Protein on Activated Helper T Cells Binds CD40 and
Transduces the Signal for Cognate Activation of B Cells. Proc. Natl. Acad. Sci.
1992. 89: 6550-6554.
PMID- 27864553
TI - Correction: Transcription Factors Directing Th2 Differentiation: Gata-3 Plays a
Dominant Role.
PMID- 27864548
TI - From IgE to Omalizumab.
AB - IgE is the least abundant Ig isotype, yet it plays a critical role in allergic
reactions and host protection from helminth infection. Although IgE was
discovered 50 years ago, the ultimate evidence for its role in human allergic
diseases was obtained by the efficacy of anti-IgE therapy in many clinical trials
on asthma and other allergic diseases. Beginning from the discovery of IgE 50 y
ago, followed by studies of IgE receptors and activation mechanisms, this review
provides a historic perspective of allergy research that has led to the
development of anti-IgE therapy and other strategies targeting IgE and its
receptors. Current IgE studies toward future precision medicine are also
reviewed.
PMID- 27864551
TI - Immunological Outcomes of Antibody Binding to Glycans Shared between
Microorganisms and Mammals.
AB - Glycans constitute basic cellular components of living organisms across
biological kingdoms, and glycan-binding Abs participate in many cellular
interactions during immune defense against pathogenic organisms. Glycan epitopes
are expressed as carbohydrate-only entities or as oligomers or polymers on
proteins and lipids. Such epitopes on glycoproteins may be formed by
posttranslational modifications or neoepitopes resulting from metabolic-catabolic
processes and can be altered during inflammation. Pathogenic organisms can
display host-like glycans to evade the host immune response. However, Abs to
glycans, shared between microorganisms and the host, exist naturally. These Abs
are able to not only protect against infectious disease, but also are involved in
host housekeeping functions and can suppress allergic disease. Despite the
reactivity of these Abs to glycans shared between microorganisms and host,
diverse tolerance-inducing mechanisms permit the B cell precursors of these Ab
secreting cells to exist within the normal B cell repertoire.
PMID- 27864555
TI - Working Memory Capacity Predicts Selection and Identification Errors in Visual
Search.
AB - As public safety relies on the ability of professionals, such as radiologists and
baggage screeners, to detect rare targets, it could be useful to identify
predictors of visual search performance. Schwark, Sandry, and Dolgov found that
working memory capacity (WMC) predicts hit rate and reaction time in low
prevalence searches. This link was attributed to higher WMC individuals
exhibiting a higher quitting threshold and increasing the probability of finding
the target before terminating search in low prevalence search. These conclusions
were limited based on the methods; without eye tracking, the researchers could
not differentiate between an increase in accuracy due to fewer identification
errors (failing to identify a fixated target), selection errors (failing to
fixate a target), or a combination of both. Here, we measure WMC and correlate it
with reaction time and accuracy in a visual search task. We replicate the finding
that WMC predicts reaction time and hit rate. However, our analysis shows that it
does so through both a reduction in selection and identification errors. The
correlation between WMC and selection errors is attributable to increased
quitting thresholds in those with high WMC. The correlation between WMC and
identification errors is less clear, though potentially attributable to increased
item inspection times in those with higher WMC. In addition, unlike Schwark and
coworkers, we find that these WMC effects are fairly consistent across prevalence
rates rather than being specific to low-prevalence searches.
PMID- 27864554
TI - Nostril Advantage in Trigeminal/Olfactory Perception and Its Relation to
Handedness.
AB - Introduction Few studies investigated nostril-advantage in chemosensory
perception, particularly, in relation to handedness. The aim of the present
article was therefore to assess whether trigeminal/olfactory perception is
altered by handedness. Methods We tested 50 (all right-handed) and 43 (22 left
handed) participants in Studies 1 and 2, respectively. We used binary mixtures of
cinnamaldehyde and eucalyptol, in different proportions presented as physical
mixtures (the same exact mixture presented birhinally to each nostril) or as a
dichorhinic mixtures (different mixtures presented to each nostril). Presenting
dichorhinic mixtures allowed us to assess nostril dominance based on
participants' report on whether the mixture smelled more like cinnamon or
eucalyptus. Participants also evaluated whether the stimuli were "painful,"
"warm," "cold," and "intense" on visual scales. Results In Study 1, we find that
in right handers, stimuli presented to the right nostril dominated over those
presented to the left nostril. These stimuli were also rated as more "painful"
and "intense." In Study 2, we could not corroborate the findings in the right
handed individuals, and we found limited support for a nostril advantage left
handed individuals. Conclusion Although our data points toward a certain nostril
advantage in chemosensory perception, the finding is not systematic, we discuss
possible underlying factors.
PMID- 27864556
TI - The Independent Perceptual Calibration of Action-Neutral and -Referential
Environmental Properties.
AB - Two experiments were conducted to explore how the calibration of perception of
environmental properties taken with reference to an animal and their action
capabilities (e.g., affordances) and those that are independent of action
capabilities (e.g., metric properties) relate. In both experiments, participants
provided reports of the maximum height they could reach above their head with a
number of different stick(s) (reach-with-stick height) and the length of those
stick(s), a property that is a constituent of reach-with-stick height. In
Experiment 1 reach-with-stick height reports improved over trials whereas stick
length reports remained constant. In Experiment 2, feedback about maximum reach
with-stick height improved perception of this affordance, but such improvements
did not transfer to perception of stick length in a pretest/practice
task/posttest design. The results suggest that the perceptual calibration with
practice perceiving or feedback about actual dimensions of action-referential and
action-neutral properties do not necessarily depend on one another.
PMID- 27864552
TI - Immune-Mediated Protection and Pathogenesis of Chikungunya Virus.
AB - Chikungunya virus (CHIKV) is a re-emerging alphavirus that causes debilitating
acute and chronic arthritis. Infection by CHIKV induces a robust immune response
that is characterized by production of type I IFNs, recruitment of innate and
adaptive immune cells, and development of neutralizing Abs. Despite this
response, chronic arthritis can develop in some individuals, which may be due to
a failure to eliminate viral RNA and Ag and/or persistent immune responses that
cause chronic joint inflammation. In this review, based primarily on advances
from recent studies in mice, we discuss the innate and adaptive immune factors
that control CHIKV dissemination and clearance or contribute to pathogenesis.
PMID- 27864559
TI - Effect of Calcaneus Fracture Gap Without Step-Off on Stress Distribution Across
the Subtalar Joint.
AB - BACKGROUND: Subtalar arthritis is a common consequence following calcaneal
fracture, and its development is related to the severity of the fracture.
Previous calcaneal fracture models have demonstrated altered contact
characteristics when a step-off is created in the posterior facet articular
surface. Changes in posterior facet contact characteristics have not been
previously characterized for calcaneal fracture gap without step-off. METHODS:
The contact characteristics (peak pressure, area of contact, and centroid of
pressure) of the posterior facet of the subtalar joint were determined in 6
cadaveric specimens. After creating a calcaneal fracture to simulate a Sanders
type II fracture, the contact characteristics were determined with the posterior
facet anatomically reduced followed by an incremental increase in fracture gap
displacement of 2, 3, and 5 mm without a step-off of the articular surface.
RESULTS: Peak pressure on the medial fragment was significantly less with a 5-mm
gap compared to a 2- or 3-mm gap, or reduced. On the lateral fragment, the peak
pressure was significantly increased with a 5-mm gap compared to a 2- or 3-mm
gap. Contact area significantly changed with increased gap. CONCLUSION: In this
study, there were no significant differences in contact characteristics between a
<3-mm gap and an anatomically reduced fracture, conceding the study limitations
including limiting axial loading to 50% of donor body weight. CLINICAL RELEVANCE:
A small amount of articular incongruity without a step-off can be tolerated by
the subtalar joint, in contrast to articular incongruity with a step-off present.
PMID- 27864558
TI - Prospective study of the innate cellular immune response in low vaccine responder
children.
AB - We recently reported our findings from a longitudinal, prospective study where we
identified 10% infants who were low vaccine responders (LVR) at age 9-12 mo
following routine primary series vaccine schedule. We found multiple cellular
deficiencies in LVR children, including low number of memory B cells, reduced
polyclonal stimulation of naive/memory T cell response and suboptimal APC
response. These children outgrew their poor vaccine response by the time they
received booster doses of vaccine. Studies in human infant innate immunity are
rare because of the unique challenges in specimen collection. As innate immunity
instructs adaptive immunity, we hypothesized that the primary immune defect lies
with innate immunity and in this study we sought to determine the ontogeny of
innate immune response in LVR children between 6 and 36 mo of age. Interestingly,
suboptimal APC response observed in LVR children at 6-9 mo of age characterized
by significantly ( P < 0.05) low basal MHC II expression, low R848 induced IRF7
fold change, as well as low IFN-alpha, IL-12p70 and IL-1beta levels, came to
parity with normal vaccine responders by 12-15 mo of age, suggesting that the
observed immune deficiency in LVR children may be the result of delayed
maturation of immune system.
PMID- 27864560
TI - What is pathological May-Thurner syndrome?
PMID- 27864561
TI - Incidence of deep vein thrombosis in patients undergoing breast reconstruction
with autologous tissue transfer.
AB - Background Breast reconstruction is associated with multiple risk factors for
venous thromboembolism. However, the incidence of deep vein thrombosis in
patients undergoing breast reconstruction is uncertain. Objective The aim of this
study was to prospectively evaluate the incidence of deep vein thrombosis in
patients undergoing breast reconstruction using autologous tissue transfer and to
identify potential risk factors for deep vein thrombosis. Methods Thirty-five
patients undergoing breast reconstruction were enrolled. We measured patients'
preoperative characteristics including age, body mass index (kg/m2), and risk
factors for deep vein thrombosis. The preoperative diameter of each venous
segment in the deep veins was measured using duplex ultrasound. All patients
received intermittent pneumatic pump and elastic compression stockings for
postoperative thromboprophylaxis. Results Among the 35 patients evaluated, 11
(31.4%) were found to have deep vein thrombosis postoperatively, and one patient
was found to have pulmonary embolism postoperatively. All instances of deep vein
thrombosis developed in the calf and were asymptomatic. Ten of 11 patients
underwent free flap transfer, and the remaining one patient received a latissimus
dorsi pedicled flap. Deep vein thrombosis incidence did not significantly differ
between patients with a free flap or pedicled flap (P = 0.13). Documented risk
factors for deep vein thrombosis demonstrated no significant differences between
patients with and without deep vein thrombosis. The diameter of the common
femoral vein was significantly larger in patients who developed postoperative
deep vein thrombosis than in those who did not ( P < 0.05). Conclusions The
morbidity of deep vein thrombosis in patients who underwent breast reconstruction
using autologous tissue transfer was relatively high. Since only the diameter of
the common femoral vein was predictive of developing postoperative deep vein
thrombosis, postoperative pharmacological thromboprophylaxis should be considered
for all patients undergoing breast reconstruction regardless of operative
procedure.
PMID- 27864562
TI - Response to letter: Long-term follow-up results of patients undergoing
transjugular coil embolisation.
PMID- 27864564
TI - Hierarchical structure and importance of patients' reasons for treatment choices
in knee and hip osteoarthritis: a concept mapping study.
AB - OBJECTIVES: To improve patients' use of conservative treatment options of hip and
knee OA, in-depth understanding of reasons underlying patients' treatment choices
is required. The current study adopted a concept mapping method to thematically
structure and prioritize reasons for treatment choice in knee and hip OA from a
patients' perspective. METHODS: Multiple reasons for treatment choices were
previously identified using in-depth interviews. In consensus meetings, experts
derived 51 representative reasons from the interviews. Thirty-six patients
individually sorted the 51 reasons in two card-sorting tasks: one based on
content similarity, and one based on importance of reasons. The individual
sortings of the first card-sorting task provided input for a hierarchical cluster
analysis (squared Euclidian distances, Ward's method). The importance of the
reasons and clusters were examined using descriptive statistics. RESULTS: The
hierarchical structure of reasons for treatment choices showed a core distinction
between two categories of clusters: barriers [subdivided into context (e.g. the
healthcare system) and disadvantages] and outcome (subdivided into treatment and
personal life). At the lowest level, 15 clusters were identified of which the
clusters Physical functioning, Risks and Prosthesis were considered most
important when making a treatment decision for hip or knee OA. CONCLUSION:
Patients' treatment choices in knee and hip OA are guided by contextual barriers,
disadvantages of the treatment, outcomes of the treatment and consequences for
personal life. The structured overview of reasons can be used to support shared
decision-making.
PMID- 27864563
TI - A replication study and meta-analysis of mitochondrial DNA variants in the
radiographic progression of knee osteoarthritis.
AB - OBJECTIVE: To conduct a replication study and meta-analysis involving the study
of mtDNA variants in the radiographic progression of OA in different cohorts
worldwide, including Cohort Hip and Cohort Knee (CHECK), the OA Initiative and a
cohort from Spain. METHODS: The influence of the haplogroups in the rate of
radiographic progression at 96 months in 431 subjects from CHECK was assessed in
terms of Kellgren and Lawrence (KL) grade. Progression was defined as a change
from KL ? 1 at baseline to any higher grade during the follow-up. Extended Cox
proportional hazard models were used to analyse the influence of mtDNA variants
in the rate of radiographic knee OA progression. A subsequent meta-analysis of
1603 subjects following the Preferred Reporting Items for Systematic Reviews and
Meta-Analyses guidelines was conducted to combine the data of individual studies.
A sensitivity analysis was performed to validate the stability of the results.
RESULTS: CHECK subjects carrying the haplogroup T showed the lowest rate of
radiographic knee OA progression [hazard ratio (HR) 0.645 (95% CI 0.419, 0.978);
P < 0.05]. When pooled, subjects within the superhaplogroup JT showed the same
trend [HR 0.707 (95% CI 0.501, 0.965); P < 0.05]. BMI [HR 1.046 (95% CI 1.018,
1.073); P < 0.05] and bilateral OA [HR 2.266 (95% CI 1.733, 2.954); P < 0.05] at
baseline are risk factors for radiographic knee OA progression as well. In the
meta-analysis there was a reduced rate of radiographic progression in subjects
with haplogroup T [HR 0.612 (95% CI 0.454, 0.824); P = 0.001] or in the
superhaplogroup JT [HR 0.765 (95% CI 0.624, 0.938); P = 0.009]. Sensitivity
analysis revealed that the results were robust. CONCLUSION: The mtDNA variants in
the superhaplogroup JT associate with a reduced rate of radiographic OA
progression. The mtDNA polymorphisms in the superhaplogroup JT emerge as
potential complementary genetic biomarkers for disease progression.
PMID- 27864566
TI - Using Practice-Based Evidence to Improve Supportive Care Practices to Reduce
Central Line-Associated Bloodstream Infections in a Pediatric Oncology Unit
[Formula: see text].
AB - Children with cancer are a subset of patients with central lines with distinct
risk factors for infection including periods of prolonged neutropenia and
compromised mucous membrane integrity. This article relates the implementation of
principles of practice-based evidence to identify interventions in addition to
best practice maintenance care bundles to reduce central line-associated
bloodstream infections involving viridans group streptococci and coagulase
negative staphylococci on an inpatient pediatric oncology unit. Review of
individual events combined with review of current clinical practice guided the
development of structured protocols emphasizing routine oral care and general
supportive cares. Key principles of the protocols emphasized a 1-2-3 mnemonic and
included daily bathing, twice daily oral care, and out-of-bed activity 3 times
daily. Poisson regression identified a significant main effect for time period
for central line-associated bloodstream infection rates involving both viridans
group streptococci and coagulase-negative staphylococci. Significant differences
were present between the preintervention baseline and implementation of the
supportive care protocols. Project outcomes demonstrate the added value of using
principles of practice-based evidence to guide the development of interventions
to improve clinical care when evidence-based sources are limited.
PMID- 27864567
TI - Remembering to learn: the overlooked role of remembrance in safety improvement.
PMID- 27864565
TI - Targeting non-canonical nuclear factor-kappaB signalling attenuates
neovascularization in a novel 3D model of rheumatoid arthritis synovial
angiogenesis.
AB - OBJECTIVE: Angiogenesis is crucial in RA disease progression. Lymphotoxin beta
receptor (LTbetaR)-induced activation of the non-canonical nuclear factor-kappaB
(NF-kappaB) pathway via NF-kappaB-inducing kinase (NIK) has been implicated in
this process. Consequently, inhibition of this pathway may hold therapeutic
potential in RA. We describe a novel three-dimensional (3D) model of synovial
angiogenesis incorporating endothelial cells (ECs), RA fibroblast-like
synoviocytes (RAFLSs) and RA synovial fluid (RASF) to further investigate the
contributions of NF-kappaB in this process. METHODS: Spheroids consisting of
RAFLSs and ECs were stimulated with RASF, the LTbetaR ligands LTbeta and LIGHT,
or growth factor bFGF and VEGF, followed by quantification of EC sprouting using
confocal microscopy and digital image analysis. Next, the effects of anginex, NIK
targeting siRNA (siNIK), LTbetaR-Ig fusion protein (baminercept) and a novel
pharmacological NIK inhibitor were investigated. RESULTS: RASF significantly
promoted sprout formation, which was blocked by the established angiogenesis
inhibitor anginex (P < 0.05). LTbeta and LIGHT induced significant sprouting (P <
0.05), as did bFGF/VEGF (P < 0.01). siNIK pre-treatment of ECs led to reductions
in LTbetaR-induced vessel formation (P < 0.05). LTbetaR-Ig not only blocked
LTbeta- or LIGHT-induced sprouting, but also RASF-induced sprouting (P < 0.05).
The NIK inhibitor blocked angiogenesis induced by LTbeta, LIGHT, growth factors
(P < 0.05) and RASF (P < 0.01). CONCLUSION: We present a novel 3D model of
synovial angiogenesis incorporating RAFLSs, ECs and RASF that mimics the in vivo
situation. Using this system, we demonstrate that non-canonical NF-kappaB
signalling promotes neovascularization and show that this model is useful for
dissecting relative contributions of signalling pathways in specific cell types
to angiogenic responses and for testing pharmacological inhibitors of
angiogenesis.
PMID- 27864568
TI - Value of dynamic MRI using the Ktrans technique for assessment of native kidneys
in pre-emptive renal transplantation.
AB - Background Different non-invasive imaging techniques such as Doppler
ultrasonography and renal scintigraphy are commonly employed to assess allograft
function and associated complications. However, all such methods lack sufficient
specificity to discriminate between residual renal function of native kidneys.
Dynamic contrast-enhanced magnetic resonance imaging (DCE-MRI) evaluates signal
dynamics during the passage of contrast material through the renal cortex,
medulla, and collecting system. Purpose To investigate the value of DCE 3T MRI
using a quantitative pharmacokinetic parameter (Ktrans) for the assessment of
native kidneys before and after pre-emptive renal transplantation. Material and
Methods Twenty-five consecutive patients with end-stage renal disease underwent
DCE MRI before and 6 months after kidney transplantation. MRI was performed using
a 3T scanner. Regions of interests were drawn over each kidney, encompassing the
cortex and medulla but excluding the collecting system and any coexisting cysts.
Parametric Ktrans values were automatically generated. Results In the pre
transplantation group, mean Ktrans values for the right and left kidneys were
0.55 +/- 0.09 min-1 and 0.44 +/- 0.15 min-1, respectively. In the post
transplantation group, mean Ktrans values of the right and left kidneys were 0.27
+/- 0.07 min-1 and 0.25 +/- 0.10 min-1, respectively. There were statistically
significant differences between right and left kidneys in terms of mean Ktrans
values in the pre- and post-transplantation groups ( P < 0.001). Conclusion Our
preliminary results show that native kidneys were still functioning 6 months
after transplantation. MR perfusion using Ktrans may constitute a non-invasive
means of determination of the viability of native kidneys after renal
transplantation.
PMID- 27864569
TI - Repeated self-harm in young people: a review.
AB - OBJECTIVES: This paper provides a review of the rates of self-harm and repeated
self-harm among young people. It describes some of the risk factors associated
with these behaviours and summarises some of the barriers to delivering optimal
treatment. CONCLUSIONS: The review concludes that there is an urgent need for the
delivery of respectful and evidence-based practice to all young people who
present with self-harm. In addition, improved monitoring of self-harm
presentations to hospitals across Australia is required in order that robust data
are collected and the impact of practice change can be reliably assessed.
PMID- 27864570
TI - Comparing Help-Seeking Behavior of Male and Female Survivors of Sexual Assault: A
Content Analysis of a Hotline.
AB - This content analysis examines written documentation of telephone calls to a
regional sexual assault hotline over a 5-year period. All male callers identified
as primary victims were selected for analysis ( n = 58) and a corresponding
sample of female primary victims ( n = 58) were randomly selected for comparison
to better understand the help-seeking behavior of sexual assault survivors and
inform services accordingly. A summative content analysis revealed significant
contrasting themes between male and female victims, including females
significantly receiving more referrals and males accessing the hotline to tell
their experience of being sexually assaulted due to perceived limited support.
Implications for training, practice, and future research are discussed.
PMID- 27864571
TI - The Relationship Between Coach and Player Training Load Perceptions in
Professional Soccer.
AB - The training load imposed and perceived by coaches and soccer players,
respectively, was compared for three weeks of the basic preparatory period of a
professional soccer team through session ratings of perceived exertion (S-RPE).
Participants were 24 professional Brazilian soccer players (all males, age: 24.1
+/- 3.4 years) and their coaches. Athletes responded to the scale of perceived
exertion (scores from 0 to10) after the training, while the coaches completed the
scale prior to the training session, based on prior planning. The t-test for
independent samples was used to compare S-RPE responses, and the Pearson
correlation test was used to examine possible correlations between the parameters
analyzed. There were no statistical differences between perceptions of prescribed
(coaches) and experienced (players) S-RPE, and moderate correlations were found
between these parameters ( r = .60; p = .003). No statistically significant group
differences were found in the perceived exertion during any of three types of
training: physical, technical, or tactical. The results suggest that the S-RPE
prescribed during the preseason period (by coaches) was not different from that
perceived by professional soccer players.
PMID- 27864572
TI - Models of health behaviour predict intention to use long acting reversible
contraception use.
PMID- 27864573
TI - Multi-Level Assessment to Reach Out to Rural Breast Cancer Survivors.
PMID- 27864575
TI - Tumor Humor?
PMID- 27864576
TI - A Case of Nivolumab-Induced Myositis.
PMID- 27864574
TI - Enhancing Endocrine Therapy Combination Strategies for the Treatment of
Postmenopausal HR+/HER2- Advanced Breast Cancer.
AB - : Breast cancer (BC) is the most common malignancy in women worldwide, with
approximately two-thirds having hormone receptor-positive (HR+) tumors. New
endocrine therapy (ET) strategies include combining ET agents as well as adding
inhibitors targeting growth factors, angiogenesis, the mechanistic target of
rapamycin, phosphoinositide 3-kinase (PI3K), or cyclin-dependent kinase 4/6 to
ET. Level 1 evidence supports use of fulvestrant plus anastrozole or palbociclib
plus letrozole as first-line therapy for HR+/HER- advanced BC with special
consideration for the former in ET-naive patients, as well as everolimus plus
exemestane or palbociclib plus fulvestrant as second-line therapy with special
consideration in select first-line patients. Although the safety profiles of
these combinations are generally predictable and manageable, both everolimus and
palbociclib are associated with an increased risk of potentially serious or early
onset toxicities requiring individualized a priori adverse event risk
stratification, earlier and more rigorous agent-specific monitoring, and patient
education. Although each of these combinations improves progression-free
survival, none with the exception of anastrazole plus fulvestrant have
demonstrated improved overall survival. PI3K catalytic-alpha mutations assessed
from circulating tumor DNA represent the first potentially viable serum biomarker
for the selection of ET combinations, and new data demonstrate the feasibility of
this minimally invasive technique as an alternative to traditional tissue
analysis. Therapeutic ratios of select ET combinations support their use in first
and second-line settings, but optimal sequencing has yet to be determined. THE
ONCOLOGIST: 2017;22:12-24 IMPLICATIONS FOR PRACTICE: Emerging data show that new
endocrine therapy (ET) combinations can improve progression-free and overall
survival outcomes in patients with hormone receptor-positive, HER2-negative
(HR+/HER-) advanced breast cancer. Level 1 evidence supports consideration of
dual ET regimens, particularly in ET-naive patients, or palbociclib plus
letrozole as first-line therapy, as well as the addition of mTOR or CDK4/6
inhibitors to established ET in the second-line setting and in select first-line
patients. Some combinations are associated with increased risk of class-specific
toxicities that will require individualized risk stratification, earlier and more
rigorous agent-specific monitoring, and patient education. Recent data on a
noninvasive biomarker assay that predicts response to a phosphoinositide 3-kinase
inhibitor demonstrates the feasibility of this minimally invasive technique as an
alternative to traditional tissue analysis.
PMID- 27864577
TI - Diagnosing necrotic meningioma: a distinctive imaging pattern in diffusion MRI
and MR spectroscopy.
AB - The differential diagnosis of necrotic meningiomas includes brain abscess and
malignant neoplasms. We report and discuss hereby the work-up of two patients
diagnosed with necrotic meningioma using diffusion-weighted imaging, magnetic
resonance spectroscopy, resective surgery, and histopathology. The purpose of the
present article is to add to the scant literature on the use of advanced imaging
modalities in the routine investigation of brain lesions and their utility in
arriving at the final diagnosis.
PMID- 27864580
TI - Farewell 2016, it's been swell!
PMID- 27864579
TI - Automated segmentation reveals silent radiographic progression in adult-onset
vanishing white-matter disease.
AB - Adult-onset vanishing white-matter disease (VWM) is a rare autosomal recessive
disease with neurological symptoms such as ataxia and paraparesis, showing
extensive white-matter hyperintensities (WMH) on magnetic resonance (MR) imaging.
Besides symptom-specific scores like the International Cooperative Ataxia Rating
Scale (ICARS), there is no established tool to monitor disease progression.
Because of extensive WMH, visual comparison of MR images is challenging. Here, we
report the results of an automated method of segmentation to detect alterations
in T2-weighted fluid-attenuated-inversion-recovery (FLAIR) sequences in a one
year follow-up study of a clinically stable patient with genetically diagnosed
VWM. Signal alterations in MR imaging were quantified with a recently published
WMH segmentation method by means of extreme value distribution (EVD). Our
analysis revealed progressive FLAIR alterations of 5.84% in the course of one
year, whereas no significant WMH change could be detected in a stable multiple
sclerosis (MS) control group. This result demonstrates that automated EVD-based
segmentation allows a precise and rapid quantification of extensive FLAIR
alterations like in VWM and might be a powerful tool for the clinical and
scientific monitoring of degenerative white-matter diseases and potential
therapeutic interventions.
PMID- 27864581
TI - Prognostic Value of Diffusion-Weighted Imaging (DWI) Apparent Diffusion
Coefficient (ADC) in Patients with Hyperacute Cerebral Infarction Receiving rt-PA
Intravenous Thrombolytic Therapy.
AB - BACKGROUND The aim of this study was to investigate the potential value of
apparent diffusion coefficient (ADC) of diffusion-weighted imaging (DWI) in the
prognosis of patients with hyperacute cerebral infarction (HCI) receiving
intravenous thrombolytic therapy with recombinant tissue plasminogen activator
(rt-PA). MATERIAL AND METHODS From June 2012 to June 2015, 58 cases of HCI (<6 h)
undergoing rt-PA intravenous thrombolytic therapy (thrombolysis group) and 70
cases of HCI (<6 h) undergoing conventional antiplatelet and anticoagulant
therapy (control group) in the same period were collected. DWI was conducted on
all the subjects, and ADC maps were generated with Functool software to quantify
ADC value. The clinical outcomes of HCI patients were observed for 3 months, and
prognostic factors were analyzed. RESULTS Before thrombolysis treatment, the
lesion area presented high signal intensity on DWI map and low signal intensity
on ADC map, and gradually weakened signal intensity on DWI map and gradually
enhanced signal intensity on ADC map were observed after thrombolysis. The ADC
values of the thrombolysis group were significantly higher than those of the
control group after treatment (24 h, 7 d, 30 d, and 90 d) (all P<0.05), and the
ADC and rADC values in the thrombolysis group gradually increased over time (all
P<0.05). Multiple logistic regression analysis showed that baseline National
Institutes of Health Stroke Scale (NIHSS) score, baseline rADC value, and stroke
history were the independent factors for the prognosis of HIC patients with
thrombolysis (all P<0.05). CONCLUSIONS The values of ADC and rADC may provide
guidance in the prognosis of HCI patients receiving rt-PA, and the baseline rADC
value is the protective factor for the prognosis of HCI patients receiving rt-PA.
PMID- 27864578
TI - Mesoscopic imaging of glioblastomas: Are diffusion, perfusion and spectroscopic
measures influenced by the radiogenetic phenotype?
AB - The purpose of this study was to identify markers from perfusion, diffusion, and
chemical shift imaging in glioblastomas (GBMs) and to correlate them with
genetically determined and previously published patterns of structural magnetic
resonance (MR) imaging. Twenty-six patients (mean age 60 years, 13 female) with
GBM were investigated. Imaging consisted of native and contrast-enhanced 3D data,
perfusion, diffusion, and spectroscopic imaging. In the presence of minor
necrosis, cerebral blood volume (CBV) was higher (median +/- SD, 2.23% +/- 0.93)
than in pronounced necrosis (1.02% +/- 0.71), pcorr = 0.0003. CBV adjacent to
peritumoral fluid-attenuated inversion recovery (FLAIR) hyperintensity was lower
in edema (1.72% +/- 0.31) than in infiltration (1.91% +/- 0.35), pcorr = 0.039.
Axial diffusivity adjacent to peritumoral FLAIR hyperintensity was lower in
severe mass effect (1.08*10-3 mm2/s +/- 0.08) than in mild mass effect (1.14*10-3
mm2/s +/- 0.06), pcorr = 0.048. Myo-inositol was positively correlated with a
marker for mitosis (Ki-67) in contrast-enhancing tumor, r = 0.5, pcorr = 0.0002.
Changed CBV and axial diffusivity, even outside FLAIR hyperintensity, in adjacent
normal-appearing matter can be discussed as to be related to angiogenesis
pathways and to activated proliferation genes. The correlation between myo
inositol and Ki-67 might be attributed to its binding to cell surface receptors
regulating tumorous proliferation of astrocytic cells.
PMID- 27864582
TI - [Skin diseases due to systemic vasculitides and vasculopathies].
AB - Vasculitis and vasculopathy are two distinct disease entities. Each entity
comprises a large number of heterogeneous diseases, which can occur alone or
associated with autoimmune, infectious or neoplastic diseases. The terms
vasculitis and vasculopathy are often falsely used synonymously. A vasculitis
initially causes inflammation of the vessel walls that may result in a secondary
occlusion. In contrast, a vasculopathy is a primary occlusion of the vascular
lumen, which is followed by inflammation after ischemia and ulceration. In most
patients the distinction can be made based on the clinical presentation. A clear
clinical diagnosis is then followed by targeted serological, histological and
imaging procedures to confirm the clinical diagnosis. On this basis a well
founded treatment can be initiated. In the presence of vasculitis an anti
inflammatory therapy is indicated, whereas in the case of vasculopathy, removal
of the vascular occlusion is the main focus. This article provides an overview of
the various diseases and addresses the pathogenetic and clinical characteristics
used to differentiate the individual disease entities. It also provides an
insight into the therapy options and prophylaxis.
PMID- 27864584
TI - Reminiscence of Forty Years of Environmental Chemistry.
PMID- 27864583
TI - Removal and Biodegradation of Phenanthrene, Fluoranthene and Pyrene by the Marine
Algae Rhodomonas baltica Enriched from North Atlantic Coasts.
AB - This study is focused on the removal, accumulation and degradation of three
environmental ubiquitous polycyclic aromatic hydrocarbons (PAHs), phenanthrene
(PHE), fluoranthene (FLA) and pyrene (PYR), by the marine alga Rhodomonas baltica
enriched from the English Channel. After separation, purification and culture in
several phases, R. baltica was exposed to PAH concentrations that are frequently
encountered in the field in several anthropized environments. The results showed
that R. baltica can grow under PAH stress, efficiently remove up to 70% of these
compounds from the medium by 216 h of culture and selectively bioaccumulate PAHs
by their hydrophobicity. Between PHE, FLA and PYR, phenanthrene was the compound
with higher degradation rates throughout incubation. The equilibrium partitioning
theoretical approach showed that physico-chemical partitioning, rather than
active bioconcentration, was the major factor governing the bioaccumulation,
outlying a potential application in decontamination processes for this species.
PMID- 27864585
TI - Changes in Glutathione S-Transferase Activity and Parental Care Patterns in a
Catfish (Pisces, Ariidae) as a Biomarker of Anthropogenic Impact in a Brazilian
Harbor.
AB - Catfish have been used as a model system for studying biochemical mechanisms of
biotransformation. The main goal of this study was to identify alterations in
hepatic glutathione S-transferase (GST) activity and changes in the parental care
pattern of a mouth-brooding catfish, Sciades herzbergii, as a biomarker of
anthropogenic impact in a port area on the northeastern coast of Brazil. The fish
were sampled from a natural reserve (A1 = reference site) and from an
industrialized port area (A2 = impacted site). Two analyses were carried out:
hepatic GST activity and mouth-brooding behavior of males. Catfish collected from
the A1 site displayed all stages of gonadal maturation, and some of the adult
males were mouth brooding 12-30 embryos. Not all gonadal maturation stages of the
catfish were represented at the A2 site, and no mouth-brooding males were
observed. GST activity in the liver of S. herzbergii was significantly higher in
fish from the impacted site compared with fish from the reference site. Values
for the enzymatic activity increased progressively in fish sampled from the
reserve area as they became more reproductively mature (immature <= maturing <=
mature <= spent). However, the greatest values for GST activity (2.84 +/- 0.31
MUmol min-1 mg protein-1) among fish sampled from the impacted area were found in
(immature) juveniles. These data suggest that changes in hepatic GST activity and
mouth-brooding behavior of S. herzbergii can be used as biomarkers of
anthropogenic impact.
PMID- 27864586
TI - Lifetime prevalence of and factors associated with non-traumatic musculoskeletal
pains amongst surgeons and patients.
AB - PURPOSE: There is evidence that surgeons make different recommendations for
people seeking their care than they make for themselves. There may also be
differences in pain episodes and management strategies between surgeons and
people seeking care, knowledge of which might improve care. We aimed to assess
whether the prevalence of non-traumatic pains, treatments and other factors
differed between patients and surgeons. MATERIALS AND METHODS: Two-hundred and
twenty surgeons, members of the Science of Variation Group (SOVG), and 248
patients seeking care at the Hand Service at a large academic hospital completed
a survey regarding the lifetime incidence of non-traumatic pains lasting > one
month using short versions of the Pain Catastrophising Scale (PCS-4); Patient
Health Questionnaire (PHQ-2); and Short Health Anxiety Inventory (SHAI-5).
RESULTS: Surgeons had a higher prevalence of at least one non-traumatic pain than
patients and were more likely to report pain at more than one anatomical site.
Patients were more likely to receive any treatment: surgery; injection; non
opioid medication; opioid medication; physical or occupational therapy. Patients
missed work more often than surgeons. Age was the only factor independently
associated with patient pain. Practicing in the United States was the only factor
independently associated with surgeon pain. CONCLUSIONS: Non-traumatic pains are
extremely common. Surgeons have particularly effective coping strategies,
allowing them to maintain their life roles with limited medical care when in
pain. Increasing the appeal and availability of methods for optimising coping
strategies might help to narrow the gap between surgeon and patient health.
PMID- 27864587
TI - Evaluation of the genetic basis of primary hypoadrenocorticism in Standard
Poodles using SNP array genotyping and whole-genome sequencing.
AB - Primary hypoadrenocorticism, also known as Addison's disease, is an autoimmune
disorder leading to the destruction of the adrenal cortex and subsequent loss of
glucocorticoid and mineralocorticoid hormones. The disease is prevalent in
Standard Poodles and is believed to be highly heritable in the breed. Using
genotypes derived from the Illumina Canine HD SNP array, we performed a genome
wide association study of 133 carefully phenotyped Standard Poodles (61 affected,
72 unaffected) and found no markers significantly associated with the disease. We
also sequenced the entire genomes of 20 Standard Poodles (13 affected, 7
unaffected) and analyzed the data to identify common variants (including SNPs,
indels, structural variants, and copy number variants) across affected dogs and
variants segregating within a single pedigree of highly affected dogs. We
identified several candidate genes that may be fixed in both Standard Poodles and
a small population of dogs of related breeds. Further studies are required to
confirm these findings more broadly, as well as additional gene-mapping efforts
aimed at fully understanding the genetic basis of what is likely a complex
inherited disorder.
PMID- 27864588
TI - Impact of perioperative hypoglycaemia in subjects with diabetes undergoing
colorectal surgery.
AB - INTRODUCTION: This study explores the association between perioperative
hypoglycaemia and surgical outcomes in subjects with diabetes, undergoing
colorectal surgery. METHODS: A retrospective review of 149 subjects with Type 2
Diabetes Mellitus (DM) who underwent colorectal surgery between 2010 and 2015 was
performed. Perioperative glucose levels, glycated haemoglobin (HbA1c)
measurements within 3 months of surgery and surgical complications based on
Clavien-Dindo classification were analysed. RESULTS: The mean age was 67 years
(67 +/- 11.2). Perioperative hypoglycaemia was found in 7.4% of subjects. The
mean HbA1c of subjects with Clavien 2 and above surgical complications were
higher than patients with Clavien 1 or no complications, Hba1c 7.6% (7.6 +/-
2.5%) and 7.0% (7.0 +/- 1.1%, p = 0.008), respectively. Similar findings in
subjects with Clavien 3 and above complications, HbA1c of 8.2% (8.2 +/- 3.9%) as
compared to those with Clavien 2 and below complications, 7.2% (7.2 +/- 1.5%, p =
0.001). Adjusted multivariate analysis showed that hypoglycaemia was
significantly associated with Clavien 2 and above surgical complications, OR of
19.0 (CI 2.23-162, p = 0.007). Preoperative hypoglycaemia was associated with
Clavien 2 and above surgical complications, OR 10.7 (CI 1.22-94.1, p = 0.032).
Suboptimal glycaemic control (Hba1c >8.0%) was significantly associated with
Clavien 2 and above complications, OR 2.48 (CI 1.04-5.91, p = 0.04), but not with
Clavien 3 and above complications, OR 1.50 (CI 0.450-4.98, p = 0.511).
CONCLUSION: Perioperative hypoglycaemia is associated with adverse surgical
outcomes in diabetic patients undergoing colorectal surgery. Prevention of
hypoglycaemia may improve surgical outcomes. HbA1c is an independent predictor
for adverse surgical outcomes.
PMID- 27864589
TI - Functionality of Tn916 in Paenibacillus larvae.
AB - The conjugative transposon Tn916 was determined to be functional in Paenibacillus
larvae in regard to expression of tetracycline resistance and conjugative
transfer. Expression of erythromycin resistance, using Tn916DeltaE, was also
observed. Conjugative transfer experiments employing Paenibacillus popilliae
strains Tc1001 and Em1001 as transposon donors and experiments using different P.
larvae subspecies or different transposon-containing strains demonstrated
interspecies and intraspecies transfer occurred for Tn916 and Tn916DeltaE.
Southern hybridization analysis of several Tn916-containing P. larvae isolates
showed that the transposon randomly inserted into the bacterial chromosome with
an indication that hot spot insertion had occurred. Hybridization analysis
indicated single-copy insertion of Tn916 into the genome predominated. However,
selection of multiple-resistant isolates (i.e., isolates containing Tn916 and
Tn916DeltaE) demonstrated that multiple copies of the transposon could coexist in
the bacterial genome. Growth of transposon-containing isolates in broth medium in
the absence of selective antibiotic pressure showed that Tn916 and Tn916DeltaE
were stably maintained in the bacterium.
PMID- 27864590
TI - Do we need FDG-PET/CT to assess atherosclerosis?
PMID- 27864591
TI - Comparison of S.T.O.N.E and CROES nephrolithometry scoring systems for predicting
stone-free status and complication rates after percutaneous nephrolithotomy: a
single center study with 262 cases.
AB - The aim of this study was to compare the accuracy of the CROES nephrolithometric
nomogram and S.T.O.N.E. scoring system in predicting PCNL outcomes in terms of
stone-free rate, estimated blood loss (EBL), operative time (OR), length of
hospital stay (LOS), and complications. Patients who underwent PCNL for renal
stones between May 2012 and January 2015 were analyzed retrospectively. The
patients' demographic characteristics and operational features were recorded
prospectively in all patients postoperatively. S.T.O.N.E. and CROES
nephrolithometry scores' correlation with stone-free status, operation and
fluoroscopy time, length of hospital stay (LOS) and blood loss (BL) was
evaluated. Patients were categorized according to S.T.O.N.E. nephrolithometry and
CROES nephrolithometry scores. Postoperative complications were graded according
to modified Clavien classification (Dindo et al. in Ann Surg 240:205-213, 2004)
and the correlation of both scoring systems with postoperative complications was
also evaluated. We identified 437 patients who underwent PCNL between May 2012
and January 2015. A total of 262 patients who are available data for the CROES
and S.T.O.N.E. scoring systems were included in the recent study. The mean
S.T.O.N.E score was 7.65 +/- 1.56 and the mean CROES score was 191.13 +/- 64.39.
The overall stone-free rate was 71.4%. Of the 262 patients, 89 experienced
postoperative complications. Stone-free patients had significantly lower BMI
(<0.001) and stone burden (p < 0.001). Regression analysis showed that both
scoring systems were significantly associated with stone-free rates and operation
time. We demonstrated that S.T.O.N.E. and CROES scoring systems were useful for
predicting post-PCNL stone-free status. But both scoring systems were not useful
for predicting post-PCNL complications.
PMID- 27864592
TI - Evaluation of 5-fluorouracil degradation rate and Pharmacogenetic profiling to
predict toxicity following adjuvant Capecitabine.
AB - BACKGROUND: On account of the lack of predictive biomarkers of toxicity, we
investigated whether polymorphisms of genes involved in fluoropyrimidine
metabolism and 5-fluorouracil (5-FU) degradation rate were associated with
outcomes of adjuvant capecitabine in patients with early stage gastrointestinal
cancers. METHODS: Genotyping of DPYD GIVS14A, MTHFR C677T and A1298C SNPs were
performed by pyro-sequencing technology. PCR analysis was used for genotyping
TYMS-TSER. We also evaluated the 5-FU degradation rate, which determines the
amount of drug consumed by PBMC in a time unit. Association of these variables
with clinical outcome was evaluated using multivariate logistic regression
analysis. RESULTS: One hundred forty-two patients with early stage colon (39%),
rectal (28%), stomach (20%) and pancreatic (13%) cancer, treated with adjuvant
capecitabine, were included in this retrospective analysis. Seventy and 20% of
the patients suffered from at least one G1-4 and G3-4 adverse events,
respectively. According to the 5-FU degradation rate, three and 13 patients were
assigned as poor (<0.86 ng/mL/106 cells/min) and ultra-rapid (>2.1 ng/mL/106
cells/min) metabolizers, respectively. At a multivariate logistic regression
analysis, an altered 5-FU degradation rate (values <0.86 or >2.10 ng/mL/106
cells/min) was associated with grade 3-4 adverse events (OR = 2.09, 95% CI: 1.14
3.82, P = 0.01). No correlation was reported between toxicity and gene
polymorphisms except for hand-foot syndrome that was more frequent in the MTHFR
1298CC homozygous variant genotype (OR = 2.03, 95% CI 1.04-3.96, P = 0.03).
CONCLUSIONS: 5-FU degradation rate may be regarded as possible predictive
biomarker of capecitabine toxicity in early stage gastrointestinal cancer.
PMID- 27864593
TI - Toxicogenomics in Environmental Science.
AB - This chapter reviews the current knowledge and recent progress in the field of
environmental, aquatic ecotoxicogenomics with a focus on transcriptomic methods.
In ecotoxicogenomics the omics technologies are applied for the detection and
assessment of adverse effects in the environment, and thus are to be
distinguished from omics used in human toxicology [Snape et al., Aquat Toxicol
67:143-154, 2004]. Transcriptomic methods in ecotoxicology are applied to gain a
mechanistic understanding of toxic effects on organisms or populations, and thus
aim to bridge the gap between cause and effect. A worthwhile effect-based
interpretation of stressor induced changes on the transcriptome is based on the
principle of phenotypic-anchoring [Paules, Environ Health Perspect 111:A338-A339,
2003]. Thereby, changes on the transcriptomic level can only be identified as
effects if they are clearly linked to a specific stressor-induced effect on the
macroscopic level. By integrating those macroscopic and transcriptomic effects,
conclusions on the effect-inducing type of the stressor can be drawn. Stressor
specific effects on the transcriptomic level can be identified as stressor
specific induced pathways, transcriptomic patterns, or stressors-specific genetic
biomarkers. In this chapter, examples of the combined application of macroscopic
and transcriptional effects for the identification of environmental stressors,
such as aquatic pollutants, are given and discussed. By means of these examples,
challenges on the way to a standardized application of transcriptomics in
ecotoxicology are discussed. This is also done against the background of the
application of transcriptomic methods in environmental regulation such as the EU
regulation Registration, Evaluation, Authorisation and Restriction of Chemicals
(REACH).
PMID- 27864594
TI - Morphologic classification of the right auricule on 256-slice computed
tomography.
AB - PURPOSE: To investigate the shape of right auricule on 256-slice computed
tomography (CT). MATERIALS AND METHODS: Five hundred people (250 men, age range
16-84 years) who had cardiac multidetector CT angiography were recruited in this
study. All patients had normal sinus rhythm with normal blood pressure (<140/90
mmHg for systolic/diastolic pressure). The morphology of the right auricule was
studied and compared after reconstruction of the raw images. RESULTS: All
patients successfully had cardiac CT angiography (100%), and the right auricule
morphology was divided into five types and nine subtypes, including Type I of
triangular shape (Ia and Ib), Type II of M shape (IIa and IIb), Type III of L
shape (IIIa and IIIb), Type IV of reverse L shape (IVa and IVb), and Type V of
balanced shape. The most common type of right auricule is Type IV (28.4%)
followed by Type II (24.0%), whereas the least common is Type V (11.0%). Type Ia
was present significantly (P < 0.0001) more frequently in females than in males,
whereas Type IIa significantly (P = 0.042) more frequently in males than females.
No other significant (P > 0.05) sex difference existed in the constitution ratio
of the types. The normal angle was greater in Type Ib than in Ia. The greater the
normal angle in Type I, the greater the deviation of the right auricule tip
towards the left. CONCLUSION: A good understanding of the right auricule
anatomical morphology can better guide atrial pacing, radiofrequency ablation and
other surgical procedures while preventing possible intra-procedural
complications.
PMID- 27864595
TI - Accelerated solvent extraction combined with solid phase extraction for the
determination of organophosphate esters from sewage sludge compost by UHPLC
MS/MS.
AB - Organophosphate esters (OPEs), widely used as flame retardants and plasticizers,
are regarded as emerging pollutants. OPEs are prone to concentrate into residual
activated sludge, which might cause secondary pollution if not suitably treated.
Composting is an economical and effective approach to make sewage sludge stable
and harmless. Therefore, it is essential to develop a novel method for analyzing
OPEs in sewage sludge compost samples. However, in the composting process, large
amounts of amendments are doped into the sludge to adjust the carbon-nitrogen
ratio. Amendment has a strong capacity for adsorption and thus induces a decrease
of extraction efficiency. This study developed a novel procedure for determining
OPEs in compost samples. Accelerated solvent extraction (ASE) and solid phase
extraction (SPE) were used for extracting and concentrating the OPEs from sewage
sludge compost samples, and then analyzed by UHPLC-MS/MS. Some parameters were
optimized in this study, mainly including the extraction solvent type, extraction
temperature, static extraction time, extraction cycles, and flush volume. Under
the optimal conditions, the proposed method showed good linearity between 0.50
and 100 MUg kg-1 with regression coefficients in the range of 0.9984-0.9998.
Detection limits were in the range of 0.02-3 MUg kg-1 with standard deviations
ranging from 2 to 6%. Acceptable recoveries between 56 and 119% for samples
spiked at different concentration levels were achieved. In contrast, the
recoveries merely ranged from 24 to 58% by using ultrasonic-assisted extraction.
Graphical abstract A comparison of recoveries between ultrasonic-assisted
extraction (UAS) and accelerated solvent extraction (ASE) for organophosphate
esters from sewage sludge compost samples.
PMID- 27864596
TI - Motor hysteresis in a sequential grasping and pointing task is absent in task
critical joints.
AB - In a prior study (Schutz et al. in Exp Brain Res 2016. doi: 10.1007/s00221-016
4608-6 ), we demonstrated that the cognitive cost of motor planning did not
differ in a vertical pointing and grasping task. It was unclear whether the
similar cost implied that both tasks required the same number of independent
degrees of freedom (IDOFs) or that the number of IDOFs did not affect motor
planning. To differentiate between both cases, a reanalysis of the prior data was
conducted. The number of IDOFs in the pointing and grasping tasks was computed by
factor analysis. In both tasks, two IDOFs were used, which was the minimum number
required for position control. This indicates that hand alignment in the grasping
task did not require an additional IDOF. No conclusions regarding the link
between the cognitive cost of motor planning and the number of IDOFs could be
drawn. A subset of task-critical joint angles was not affected by motor
hysteresis. This indicates that a joint's susceptibility to motor hysteresis
depends on its relevance to the task goal. In task-critical joints, planning cost
minimization by motor plan reuse is suppressed in favor of the task goal.
PMID- 27864597
TI - Genome-wide association mapping and agronomic impact of cowpea root architecture.
AB - KEY MESSAGE: Genetic analysis of data produced by novel root phenotyping tools
was used to establish relationships between cowpea root traits and performance
indicators as well between root traits and Striga tolerance. Selection and
breeding for better root phenotypes can improve acquisition of soil resources and
hence crop production in marginal environments. We hypothesized that biologically
relevant variation is measurable in cowpea root architecture. This study
implemented manual phenotyping (shovelomics) and automated image phenotyping
(DIRT) on a 189-entry diversity panel of cowpea to reveal biologically important
variation and genome regions affecting root architecture phenes. Significant
variation in root phenes was found and relatively high heritabilities were
detected for root traits assessed manually (0.4 for nodulation and 0.8 for number
of larger laterals) as well as repeatability traits phenotyped via DIRT (0.5 for
a measure of root width and 0.3 for a measure of root tips). Genome-wide
association study identified 11 significant quantitative trait loci (QTL) from
manually scored root architecture traits and 21 QTL from root architecture traits
phenotyped by DIRT image analysis. Subsequent comparisons of results from this
root study with other field studies revealed QTL co-localizations between root
traits and performance indicators including seed weight per plant, pod number,
and Striga (Striga gesnerioides) tolerance. The data suggest selection for root
phenotypes could be employed by breeding programs to improve production in
multiple constraint environments.
PMID- 27864599
TI - In Memory of Jay L. Grosfeld, M.D.
PMID- 27864598
TI - Impact of fetal counseling on outcome of antenatal congenital surgical anomalies.
AB - AIM: To analyze the impact of counseling on antenatal congenital surgical
anomalies (ACSA). METHODS: Cases presenting with ACSA for fetal counseling and
those presenting in post-natal period following diagnosis of ACSA (PACSA) for
surgical opinion were analyzed for spectrum, presentation and outcome. RESULTS:
117 cases including ACSA(68);PACSA(49) were analyzed. Gestational age at
diagnosis of ACSA;PACSA was 17-37;17-39 weeks (median 24;32 weeks). Diagnoses in
ACSA;PACSA included urological (26;31), neurological (10;5), congenital
diaphragmatic hernia (CDH)(5;1), gastrointestinal (5;5), lung and chest anomalies
(5;1), intraabdominal cysts (4;1), abdominal wall defects (4;0), tumors (3;3),
limb anomaly (1;1), esophageal atresia (1;1), conjoint twins (1;0), hepatomegaly
(1;0), and major cardiac anomalies (2;0). Two antenatal interventions were done
for ACSA; vesicoamniotic shunt and amnioinfusion for oligohydramnios. 17;24
ACSA;PACSA required early surgical intervention in post-natal period. Nine ACSA
underwent medical termination of pregnancy and 4 had intrauterine demise. Nine
ACSA babies died including two CDH, one gastroschisis, one duodenal atresia, one
conjoint twins, one megacystitis with motility disorder and three posterior
urethral valves. All PACSA babies survived. CONCLUSION: Fetal counseling for CSA
portrays true outcome of ACSA with 32.3% (22/68) mortality versus 0% for PACSA
due to selection bias. However, fetal counseling ensures optimal perinatal care.
PMID- 27864600
TI - Comparison of true unenhanced and virtual unenhanced (VUE) attenuation values in
abdominopelvic single-source rapid kilovoltage-switching spectral CT.
AB - OBJECTIVE: To assess the agreement between the true non-contrast (TNC)
attenuation values of intra-abdominal structures and attenuation values obtained
on virtual-unenhanced (VUE) images based on rapid kVp-switching dual-energy CT.
The effects of contrast phase and patient characteristics (e.g., BMI, hematocrit,
hemoglobin content) on VUE values were also investigated. METHODS: Ninety four
patients who underwent triphasic abdominal CT (liver mass protocol, n = 47;
pancreas mass protocol, n = 47) between August 2014 and May 2015 were
retrospectively reviewed. Unenhanced series was performed using conventional
single-energy mode at 120 kVp. Late arterial and venous phase post-contrast
series were obtained utilizing rapid kVp-switching dual-energy CT technique. VUE
images were processed off of arterial (VUE-art) and venous (VUE-ven) phase
series. Attenuation values of liver, pancreas, kidneys, adrenal glands, muscle,
subcutaneous fat, aorta, IVC, and main portal vein were recorded on TNC and VUE
sets of images. Attenuation values were compared using univariate linear
regression and Student two-tailed paired t test. RESULTS: There was excellent
correlation between TNC, VUE-art, and VUE-ven attenuation values across all
organs (p < 0.0001). Paired Student t test, however, showed significant
difference between TNC and VUE-art attenuation of kidneys, right adrenal gland,
paraspinal muscle, and aorta. There was also significant difference between TNC
and VUE-ven attenuation of left kidney. Percentage of cases which had >10 HU
difference between VUE and TNC for an individual was calculated which ranged
between 13% (right kidney) and 42% (right adrenal gland). CONCLUSION: Although
the correlation between VUE and TNC attenuation values was excellent and mean
difference between TNC and VUE attenuation values was negligible (ranging between
-5.94 HU for paraspinal muscles to 6.2 HU in aorta), intra-patient analysis
showed a considerable number of cases which had >10 HU difference between VUE and
TNC. VUE-ven generally offered a better approximation of TNC values. Further
optimization of post-processing algorithms might be necessary before complete
replacement of TNC with VUE images.
PMID- 27864602
TI - Beyond bread and beer: whole cell protein extracts from baker's yeast as a bulk
source for 3D cell culture matrices.
AB - Here, we present a novel approach to form hydrogels from yeast whole cell
protein. Countless hydrogels are available for sophisticated research, but their
fabrication is often difficult to reproduce, with the gels being complicated to
handle or simply too expensive. The yeast hydrogels presented here are
polymerized using a four-armed, amine reactive crosslinker and show a high
chemical and thermal resistance. The free water content was determined by
measuring swelling ratios for different protein concentrations, and in a freeze
drying approach, pore sizes of up to 100 MUm in the gel could be created without
destabilizing the 3D network. Elasticity was proofed to be adjustable with the
help of atomic force microscopy by merely changing the amount of used protein.
Furthermore, the material was tested for possible cell culture applications;
diffusion rates in the network are high enough for sufficient supply of human
breast cancer cells and adenocarcinomic human alveolar basal epithelial cells
with nutrition, and cells showed high viabilities when tested for compatibility
with the material. Furthermore, hydrogels could be functionalized with RGD
peptide and the optimal concentration for sufficient cell adhesion was determined
to be 150 MUM. Given that yeast protein is one of the cheapest and easiest
available protein sources and that hydrogels are extremely easy to handle, the
developed material has highly promising potential for both sophisticated cell
culture techniques as well as for larger scale industrial applications.
PMID- 27864601
TI - Predictors of primary care provider adoption of CT colonography for colorectal
cancer screening.
AB - PURPOSE: To examine factors influencing primary care provider (PCP) adoption of
CT colonography (CTC) for colorectal cancer (CRC) screening. MATERIALS AND
METHODS: We performed a retrospective cohort study linking electronic health
record (EHR) data with PCP survey data. Patients were eligible for inclusion if
they were not up-to-date with CRC screening and if they had CTC insurance
coverage in the year prior to survey administration. PCPs were included if they
had at least one eligible patient in their panel and completed the survey (final
sample N = 95 PCPs; N = 6245 patients). Survey data included perceptions of CRC
screening by any method, as well as CTC specifically. Multivariate logistic
regression estimated odds ratios and 95% confidence intervals for PCP and clinic
predictors of CRC screening by any method and screening with CTC. RESULTS:
Substantial variation in CTC use was seen among PCPs and clinics (range 0-16% of
CRC screening). Predictors of higher CTC use were PCP perceptions that CTC is
effective in reducing CRC mortality, higher number of perceived advantages to
screening with CTC, and Internal Medicine specialty. Factors not associated with
CTC use were PCP perceptions of less organizational capacity to meet demand for
colonoscopy, number of perceived disadvantages to screening with CTC, PCP age and
gender, and clinic factors. CONCLUSION: Significant variation in PCP adoption of
CTC exists. PCP perceptions of CTC and specialty practice were related to CTC
adoption. Strategies to increase PCP adoption of CTC for CRC screening should
include emphasis on the effectiveness and advantages of CTC.
PMID- 27864603
TI - Presence of risk factors does not affect outcome in early stage pediatric Hodgkin
lymphoma treated with ABVD.
PMID- 27864604
TI - The long-term outcome of reduced-intensity allogeneic stem cell transplantation
from a matched related or unrelated donor, or haploidentical family donor in
patients with leukemia: a retrospective analysis of data from the China RIC
Cooperative Group.
AB - This study compared 6-year follow-up data from patients undergoing reduced
intensity conditioning (RIC) transplantation with an HLA-matched related donor
(MRD), an HLA-matched unrelated donor (MUD), or an HLA-haploidentical donor (HID)
for leukemia. Four hundred and twenty-seven patients from the China RIC
Cooperative Group were enrolled, including 301 in the MRD, 79 in the HID, and 47
in the MUD groups. The conditioning regimen involved fludarabine combined with
anti-lymphocyte globulin and cyclophosphamide. Graft-versus-host disease (GVHD)
prophylaxis was administered using cyclosporin A (CsA) and mycophenolate mofetil
(MMF). Four hundred and nineteen patients achieved stable donor chimerism. The
incidence of stage II-IV acute GVHD in the HID group was 44.3 %, significantly
higher than that in the MRD (23.6 %) and MUD (19.1 %) groups. The 1-year
transplantation-related mortality (TRM) rates were 44.3, 17.6, and 21.3,
respectively. Event-free survival (EFS) at 6 years in the HID group was 36.7 %,
significantly lower than that of the MRD and MUD groups (59.1 and 66.0 %, P <
0.001 and P = 0.001, respectively). For advanced leukemia, the relapse rate of
the HID group was 18.5 %, lower than that of the MRD group (37.5 %, P = 0.05),
but the EFS at 6 years was 31.7 and 30.4 % (P > 0.05), respectively. RIC
transplantation with MRD and MUD had similar outcome in leukemia which is better
than that with HID. RIC transplantation with HID had lower relapsed with higher
TRM and GVHD rate, particularly in advanced leukemias. RIC transplantation with
MRD and MUD had similar outcomes in leukemia and they were better than those with
HID. RIC transplantation with HID had a lower relapse rate but higher TRM and
GVHD rates, particularly in cases of advanced leukemia.
PMID- 27864605
TI - Erratum to: BCR-ABL-positive acute myeloid leukemia: About one case treated with
ponatinib.
PMID- 27864606
TI - Growth increase of Arabidopsis by forced expression of rice 45S rRNA gene.
AB - KEY MESSAGE: Forced expression of rice 45S rRNA gene conferred ca. 2-fold
increase of above-ground growth in transgenic Arabidopsis . This growth increase
was probably brought by cell proliferation, not by cell enlargement. Recent
increase in carbon dioxide emissions is causing global climate change. The use of
plant biomass as alternative energy source is one way to reduce these emissions.
Therefore, reinforcement of plant biomass production is an urgent key issue to
overcome both depletion of fossil energies and emission of carbon dioxide. Here,
we created transgenic Arabidopsis with a 2-fold increase in above-ground growth
by forced expression of the rice 45S rRNA gene using the maize ubiquitin
promoter. Although the size of guard cells and ploidy of leaf-cells were similar
between transgenic and control plants, numbers of stomata and pavement cells were
much increased in the transgenic leaf. This data suggested that cell number, not
cell expansion, was responsible for the growth increase, which might be brought
by the forced expression of exogenous and full-length 45S rRNA gene. The
expression level of rice 45S rRNA transcripts was very low, possibly triggering
unknown machinery to enhance cell proliferation. Although microarray analysis
showed enhanced expression of ethylene-responsive transcription factors, these
factors might respond to ethylene induced by abiotic/biotic stresses or genomic
incompatibility, which might be involved in the expression of species-specific
internal transcribed spacer (ITS) sequences within rice 45S rRNA transcripts.
Further analysis of the mechanism underlying the growth increase will contribute
to understanding the regulation of the cell proliferation and the mechanism of
hybrid vigor.
PMID- 27864608
TI - Viper Venom Botox: The Molecular Origin and Evolution of the Waglerin Peptides
Used in Anti-Wrinkle Skin Cream.
AB - The molecular origin of waglerin peptides has remained enigmatic despite their
industrial application in skin cream products to paralyse facial muscles and thus
reduce the incidence of wrinkles. Here we show that these neurotoxic peptides are
the result of de novo evolution within the prepro region of the C-type
natriuretic peptide gene in Tropidolaemus venoms, at a site distinct from the
domain encoding for the natriuretic peptide. It is the same region that yielded
the azemiopsin peptides from Azemiops feae, indicative of a close relationship of
this toxin gene between these two genera. The precursor region for the molecular
evolution is a biodiversity hotspot that has yielded other novel bioactive
peptides with novel activities. We detail the diversity of components in this and
other species in order to explore what characteristics enable it to be such a
biodiscovery treasure trove. The unusual function of Tropidolaemus venoms may
have been selected for due to evolutionary pressures brought about by a high
likelihood of prey escape.
PMID- 27864609
TI - Myocardial Infarction as a Complication of Bronchial Artery Embolization.
AB - Bronchial artery embolization is now a common treatment for massive pulmonary
hemoptysis if flexible bronchoscopy at the bedside failed to control the
bleeding. Complications of this technique range from benign chest pain to
devastating neurological impairments. We report the case of a 41-year-old man who
developed an ST elevation myocardial infarction during bronchial artery
embolization, presumably because of coronary embolism by injected particles. In
this patient who had no previously known coronary artery disease, we
retrospectively found a communication between the left bronchial artery and the
circumflex coronary artery. This fistula was not visible on the initial
angiographic view and likely opened because of the hemodynamic changes resulting
from the embolization. This case advocates for careful search for bronchial-to
coronary arterial fistulas and the need for repeated angiographic views during
embolization procedures.
PMID- 27864610
TI - The Monorail Technique to Overcome Difficult Anatomical Course During
Implantation of Central Venous Port via the Left Internal Jugular Vein.
AB - PURPOSE: The study aimed to introduce a monorail technique to overcome difficult
anatomical course via left internal jugular vein in implantable port insertion.
METHODS: From 2007 to 2016, a total of 9346 patients were referred for
implantable port insertion in our interventional unit, among which 79 cases were
requested to insert on the left side. Our monorail technique was applied only
when the technical challenge of the catheter tip entering the azygos vein instead
of the superior vena cava occurred (n = 7). The technique consists of puncturing
at the distal tip of the port catheter with a 21-gauge micropuncture needle and
advancing a 0.018-in. hair-wire to guide and provide support for pre-assembled
port. RESULTS: The monorail technique was performed in seven patients and all but
one case were technically successful, showing a technical success rate of 85.7%.
There were no immediate or delayed complications. CONCLUSIONS: The monorail
technique is helpful to overcome the difficult anatomical course via left
internal jugular vein in implantable port insertion.
PMID- 27864607
TI - Computed tomography versus invasive coronary angiography: design and methods of
the pragmatic randomised multicentre DISCHARGE trial.
AB - OBJECTIVES: More than 3.5 million invasive coronary angiographies (ICA) are
performed in Europe annually. Approximately 2 million of these invasive
procedures might be reduced by noninvasive tests because no coronary intervention
is performed. Computed tomography (CT) is the most accurate noninvasive test for
detection and exclusion of coronary artery disease (CAD). To investigate the
comparative effectiveness of CT and ICA, we designed the European pragmatic
multicentre DISCHARGE trial funded by the 7th Framework Programme of the European
Union (EC-GA 603266). METHODS: In this trial, patients with a low-to-intermediate
pretest probability (10-60 %) of suspected CAD and a clinical indication for ICA
because of stable chest pain will be randomised in a 1-to-1 ratio to CT or ICA.
CT and ICA findings guide subsequent management decisions by the local heart
teams according to current evidence and European guidelines. RESULTS: Major
adverse cardiovascular events (MACE) defined as cardiovascular death, myocardial
infarction and stroke as a composite endpoint will be the primary outcome
measure. Secondary and other outcomes include cost-effectiveness, radiation
exposure, health-related quality of life (HRQoL), socioeconomic status,
lifestyle, adverse events related to CT/ICA, and gender differences. CONCLUSIONS:
The DISCHARGE trial will assess the comparative effectiveness of CT and ICA. KEY
POINTS: * Coronary artery disease (CAD) is a major cause of morbidity and
mortality. * Invasive coronary angiography (ICA) is the reference standard for
detection of CAD. * Noninvasive computed tomography angiography excludes CAD with
high sensitivity. * CT may effectively reduce the approximately 2 million
negative ICAs in Europe. * DISCHARGE addresses this hypothesis in patients with
low-to-intermediate pretest probability for CAD.
PMID- 27864611
TI - Perioperative Hemodynamic Monitoring of Common Hepatic Artery for Endovascular
Embolization of a Pancreaticoduodenal Arcade Aneurysm with Celiac Stenosis.
AB - This report describes perioperative hemodynamic monitoring of the common hepatic
artery (CHA) during endovascular treatment of a pancreaticoduodenal arcade
aneurysm, in a patient with celiac artery stenosis caused by the median arcuate
ligament. Pressure monitoring was performed as a safety measure against critical
complications such as liver ischemia. As the aneurysm was located in the anterior
pancreaticoduodenal artery (APDA) and the posterior pancreaticoduodenal artery
(PPDA) was small in caliber, the patient was considered to be at a high risk of
liver ischemia. No significant change in pressure was observed in the CHA on
balloon occlusion test in the APDA. Immediately after embolization, the PPDA
enlarged and the pressure in the CHA was well maintained. Pressure monitoring
appears to improve patient safety during endovascular treatment of visceral
aneurysms.
PMID- 27864612
TI - Secretory products from epicardial adipose tissue from patients with type 2
diabetes impair mitochondrial beta-oxidation in cardiomyocytes via activation of
the cardiac renin-angiotensin system and induction of miR-208a.
AB - Secretory products from epicardial adipose tissue (EAT) from patients with type 2
diabetes (T2D) impair cardiomyocyte function. These changes associate with
alterations in miRNA expression, including the induction of miR-208a. Recent
studies suggest that activation of the cardiac-specific renin-angiotensin system
(RAS) may affect cardiac energy metabolism via induction of miR-208a. This study
investigated whether cardiomyocyte dysfunction induced by conditioned media (CM)
from EAT-T2D involves activation of the RAS/miR-208a pathway. Therefore, primary
adult rat cardiomyocytes were incubated with CM generated from EAT biopsies from
patients with T2D and without T2D (ND). Exposing cardiomyocytes to CM-EAT-T2D
reduced sarcomere shortening and increased miR-208a expression versus cells
exposed to CM-EAT-ND or control medium. The angiotensin II receptor type 1
(AGTR1) antagonist losartan reversed these effects. Accordingly, incubation with
angiotensin II (Ang II) reduced sarcomere shortening, and lowered palmitate
induced mitochondrial respiration and carnitine palmitoyltransferase 1c (CPT1c)
expression in cardiomyocytes. Locked-nucleic-acid-mediated inhibition of miR-208a
function reversed the detrimental effects induced by Ang II. Interestingly, Ang
II levels in CM-EAT-T2D were increased by 2.6-fold after culture with
cardiomyocytes. The paracrine activation of the cardiac-specific RAS by CM-EAT
T2D was corroborated by increases in the expression of AGTR1 and renin, as well
as a reduction in angiotensin-converting enzyme 2 levels. Collectively, these
data show that secretory products from EAT-T2D impair cardiomyocyte contractile
function and mitochondrial beta-oxidation via activation of the cardiac-specific
RAS system and induction of miR-208a, and suggest that alterations in the
secretory profile of EAT may contribute to the development of diabetes-related
heart disease.
PMID- 27864614
TI - Paediatric cardiopulmonary bypass surgery: the challenges of heterogeneity and
identifying a meaningful endpoint for clinical trials.
PMID- 27864613
TI - Oncolysate-loaded Escherichia coli bacterial ghosts enhance the stimulatory
capacity of human dendritic cells.
AB - The natural adjuvant properties of bacterial ghosts (BGs) lie within the presence
of intact pathogen-associated molecular patterns on their surface. BGs can
improve the direct delivery, natural processing and presentation of target
antigens within dendritic cells (DCs). Moreover, sensitization of human DCs by
cancer cell lysate (oncolysate)-loaded BGs in the presence of IFN-alpha and GM
CSF enhanced DC maturation as indicated by an increased expression of maturation
markers and co-stimulatory molecules, higher production of IL-12p70 and
stimulation of significantly increased proliferation of both autologous CD4+ and
CD8+ T cells compared to DCs matured in the presence of purified
lipopolysaccharide. The induced T cells efficiently recognized oncolysate-derived
tumor-associated antigens expressed by cancer cells used for the production of
oncolysate. Our optimized one-step simultaneous antigen delivery and DC
maturation-inducing method emerges as a promising tool for the development and
implementation of next-generation cellular cancer immunotherapies.
PMID- 27864615
TI - The effects of active mobilisation and rehabilitation in ICU on mortality and
function: a systematic review.
AB - PURPOSE: Early active mobilisation and rehabilitation in the intensive care unit
(ICU) is being used to prevent the long-term functional consequences of critical
illness. This review aimed to determine the effect of active mobilisation and
rehabilitation in the ICU on mortality, function, mobility, muscle strength,
quality of life, days alive and out of hospital to 180 days, ICU and hospital
lengths of stay, duration of mechanical ventilation and discharge destination,
linking outcomes with the World Health Organization International Classification
of Function Framework. METHODS: A PRISMA checklist-guided systematic review and
meta-analysis of randomised and controlled clinical trials. RESULTS: Fourteen
studies of varying quality including a total of 1753 patients were reviewed.
Active mobilisation and rehabilitation had no impact on short- or long-term
mortality (p > 0.05). Meta-analysis showed that active mobilisation and
rehabilitation led to greater muscle strength (body function) at ICU discharge as
measured using the Medical Research Council Sum Score (mean difference 8.62
points, 95% confidence interval (CI) 1.39-15.86), greater probability of walking
without assistance (activity limitation) at hospital discharge (odds ratio 2.13,
95% CI 1.19-3.83), and more days alive and out of hospital to day 180
(participation restriction) (mean difference 9.69, 95% CI 1.7-17.66). There were
no consistent effects on function, quality of life, ICU or hospital length of
stay, duration of mechanical ventilation or discharge destination. CONCLUSION:
Active mobilisation and rehabilitation in the ICU has no impact on short- and
long-term mortality, but may improve mobility status, muscle strength and days
alive and out of hospital to 180 days. REGISTRATION OF PROTOCOL NUMBER:
CRD42015029836.
PMID- 27864616
TI - Randomized Clinical Trial Comparing Cyanoacrylate Glue Versus Suture Fixation in
Lichtenstein Hernia Repair: 7-Year Outcome Analysis.
AB - BACKGROUND: Lichtenstein hernioplasty has relatively low recurrence rate, but
chronic inguinal pain may cause harm to the patient. The aim of our study was to
compare long-term results of cyanoacrylate glue versus absorbable sutures for
mesh fixation in Lichtenstein hernioplasty. METHODS: Lichtenstein hernioplasty (n
= 302) was performed under local anesthesia in three hospitals. The patients were
randomized to receive either 1 ml of butyl-2-cyanoacrylate tissue glue
(Glubran(r); 151 hernias) or absorbable polyglycolic acid sutures (Dexon(r); 151
hernias) for mesh fixation (Optilene(r) mesh). Short-term results were published
previously. Chronic groin pain, foreign body sensation, use of analgesics,
recurrence and re-operations were analyzed 7 years after surgery. RESULTS: We
reached 236 patients (78%) to present study. In the glue group (n = 115), there
were five (4.3%) and in the suture group (n = 121) three (2.5%) recurrent hernias
(p = 0.491). The prevalence of chronic pain (NRS >= 3) in the patients without re
operations was similar in two groups: 15/118 (13%) and 13/111 (12%), respectively
(p = 0.843). There were no significant differences in the foreign body sensation
(8/14, p = 0.267) or in the need of analgesics (2/2, p = 1.00) between the two
study groups. CONCLUSION: Both cyanoacrylate glue and mesh fixation with
absorbable sutures were equal in terms of chronic pain and rate of recurrences in
Lichtenstein hernioplasty after 7-year follow-up. TRIAL REGISTRATION NUMBER:
NCT00659542.
PMID- 27864618
TI - Hypertension is the primary component of metabolic syndrome associated with
pathologic features of kidney cancer: methodological issues.
PMID- 27864617
TI - Diagnostic Accuracy of Abdominal Ultrasound for Diagnosis of Acute Appendicitis:
Systematic Review and Meta-analysis.
AB - BACKGROUND: To determine the diagnostic accuracy of abdominal ultrasound (US) for
the diagnosis of acute appendicitis (AA), in terms of sensitivity, specificity
and post-test probabilities for positive and negative result. METHODS: A
systematic search of MEDLINE, Embase, The Cochrane library and Science Citation
Index Expanded from January 1994 to October 2014 was performed. Two authors
independently evaluated studies for inclusion, extracted data and performed
analyses. The reference standard for evaluation of final diagnosis was
pathohistological report on tissue obtained at appendectomy. Summary sensitivity,
specificity and post-test probability of AA after positive and negative result of
US with corresponding 95% confidence intervals (CI) were calculated. RESULTS: Out
of 3306 references identified through electronic searches, 17 reports met the
inclusion criteria, with 2841 included participants. The summary sensitivity and
specificity of US for diagnosis of AA were 69% (95% CI 59-78%) and 81% (95% CI 73
88%), respectively. At the median pretest probability of AA of 76.4%, the post
test probability for a positive and negative result of US was 92% (95% CI 88-95%)
and 55% (95% CI 46-63%), respectively. CONCLUSIONS: Abdominal ultrasound does not
seem to have a role in the diagnostic pathway for diagnosis of AA in suspected
patients. The summary sensitivity and specificity of US do not exceed that of
physical examination. Patients that require additional diagnostic workup should
be referred to more sensitive and specific diagnostic procedures, such as
computed tomography.
PMID- 27864619
TI - Bicentric prospective evaluation of corporoplasty with porcine small intestinal
submucosa (SIS) in patients with severe Peyronie's disease.
AB - INTRODUCTION: Advanced Peyronie's disease (PD) with severe penile deviation
demands grafting procedures following plaque incision or partial plaque excision
in order to avoid penile shortening and to improve quality of life of affected
patients. Small intestinal submucosa (SIS) is an established xenograft. The
objective of the present study was to validate external results in a bicentric
prospective manner. METHODS: Patient selection criteria, surgical technique and
standards for pre- and postoperative care were defined. Consecutively, patients
with severe penile deviation in stable disease and sufficient erectile function
were included between 2007 and 2015. After plaque incision, grafting was
performed using SIS in a standardized manner. The postoperative evaluation using
a non-validated questionnaire included complications, correction of curvature,
pre- and postoperative erectile function, change in penile length and general
satisfaction with the procedure. RESULTS: Forty-three patients underwent surgery
between 2007 and 2015. The mean degree of preoperative curvature was 73.8 degrees
(range 60-90 degrees ). No intraoperative or major postoperative complications
were reported. After a mean follow-up of 33.0 months (range 10-59), complete
straightening of the penis was achieved in 74.4%. 88.4% of all patients were able
to achieve satisfying sexual intercourse (67.4% unaided, 21.0% with assistance).
The IIEF-5 score was improved in 69.8% (mean improvement 4.0 points). Overall
86.0% were satisfied with the surgical treatment. CONCLUSION: Corporoplasty with
SIS in patients with PD and severe penile curvature is a safe approach and shows
good long-term results. A thorough patient selection and a standardized pre-,
intra- and postoperative procedure are decisive for a satisfying outcome.
PMID- 27864620
TI - Long-term outcomes of transobturator tension-free vaginal tapes as secondary
continence procedures.
AB - PURPOSE: To assess the long-term patient-reported outcomes following TO-TVT as a
secondary continence procedure in women with recurrent stress urinary
incontinence (R-SUI). METHODS: A secondary analysis of the 9-year follow-up of
the E-TOT study was performed: 341 women with predominant SUI symptoms were
randomised to undergo either Inside-out or Outside-in TO-TVT between April 2005
and April 2007. Forty-six women had R-SUI following previously failed continence
surgery at time of randomisation and are the basis of this analysis as a one
single cohort. Primary outcome was the patient-reported success rate defined as
very/much improved on Patient's Global Impression of Improvement (PGI-I).
Secondary outcomes included late adverse events and impact on women's quality of
life and sexual function. Statistical analysis was performed using SPSS version
23. RESULTS: Sixty-three per cent completed the 9-year follow-up. The success
based on the PGI-I was 62.1% with no significant difference between groups (OR
5.33; 95% CI 1.03, 27.76; p = 0.094). Clinically significant improvement in QoL
was found in 84.2%. Adverse events included vaginal erosions (n = 3) and groin
pain (n = 2). The small sample size is a limitation in this study; nevertheless,
this is one of the largest cohorts reported for women with R-SUI and the first to
report the long-term outcomes of TO-TVT as a secondary continence procedure.
CONCLUSIONS: TO-TVT operations are associated with good patient-reported success
rates (62%) in women with previous failed continence surgery with up to 9-years
follow-up. There is a non-significant trend towards better outcomes with the
inside-out TO-TVT.
PMID- 27864621
TI - Factors associated with the presence of postoperative headache in elective
surgery patients: a prospective single center cohort study.
AB - PURPOSE: Headache is an important cause of minor postoperative morbidity. In this
study we evaluated the association of anesthesia and surgery with the occurrence
of postoperative headache in elective surgery patients. METHODS: After obtaining
ethical approval, 446 patients were enrolled in this prospective, single-centre
cohort study. Participants were interviewed preoperatively, and for five days
postoperatively, regarding the appearance of headache, while demographics,
lifestyle, type of anesthesia and surgery, the anesthetic drugs administered and
intraoperative adverse effects were recorded. Multiple logistic regression
analysis was conducted in order to identify independent factors associated with
postoperative headache, both in the total sample and in patients without previous
history of headache. RESULTS: The observed overall frequency of postoperative
headache was 28.3% (N = 126) in the total sample. In patients with previous
history of headache, the frequency of postoperative headache was 41% (N = 89),
while in those with no history the frequency of postoperative headache was 16.2%
(N = 37). Female gender [p = 0.024; odds ratio (OR) = 2.1], sevoflurane
administration (p < 0.001; OR = 3.66), intraoperative hypotension (p = 0.008; OR
= 2.12) and smoking (p = 0.006; OR = 1.74) were independently associated with
postoperative headache. In patients without previous history, female gender (p =
0.005; OR = 4.77), sevoflurane administration (p = 0.001; OR = 6.9),
intraoperative hypotension (p = 0.006; OR = 6.7) and caffeine consumption (p =
0.041; OR = 5.28) presented greater likelihood for postoperative headache, while
smoking revealed no association. CONCLUSION: Female gender, sevoflurane, smoking
and intraoperative hypotension were documented as independent risk factors for
postoperative headache. In patients with no previous history of headache,
caffeine consumption was an additional independent factor for postoperative
headache, while smoking revealed no association.
PMID- 27864622
TI - How obesity impacts outcomes of infectious diseases.
AB - Obesity is associated with co-morbidities and increased risk of acquiring
infections with worse outcomes. Paradoxically, a few studies indicate that
obesity may have a decreased mortality in hospitalized patients with pneumonia.
The objective of this study was to determine the impact of body mass index (BMI)
on short-term all-cause mortality and clinical outcomes among hospitalized adults
with pneumonia, urinary tract infections, skin and soft tissue infections, and
bacteremia. The study cohort included 1437 consecutive patients who were admitted
with infectious disease including pneumonia (717), urinary tract infection (506),
bacteremia (69), and skin and soft tissue infections (145), and hospitalized in
internal medical departments, during 2013-2015. BMI was categorized as
underweight (<=20 kg/m2), normal (20-25 kg/m2), overweight (25.1-29.9 kg/m2), and
obese (>=30 kg/m2). Clinical outcomes of 30- and 90-day all-cause mortality
rates, length of hospital stay, and transfer to the intensive care unit (ICU)
were compared among groups, sorted according to BMI and different infectious
diseases. Obesity was associated with decreased 30-day mortality in patients with
pneumonia [odds ratio (OR) = 0.26, 95 % confidence interval (CI) 0.06-1.01; p =
0.052]. On the contrary, increased 30-day mortality was observed in the
underweight patients (OR = 2.89, 95 % CI 1.1-7.6; p = 0.03). Similar impacts were
not found for urinary tract infections, skin and soft tissue infections, or
bloodstream infections. Furthermore, obesity had no effect on 90-day mortality,
length of hospital stay, or transfer to the ICU in all kinds of infectious
diseases. Obesity is associated with reduced short-term mortality among
hospitalized patients with pneumonia. Whether gut microbiota in obese individuals
plays a role in this protective effect remains to be investigated by further
studies.
PMID- 27864623
TI - Simplified HCC-ART score for highly sensitive detection of small-sized and early
stage hepatocellular carcinoma in the widely used Okuda, CLIP, and BCLC staging
systems.
AB - BACKGROUND: Small-sized HCC can be effectively cured by surgery with good
clinical outcomes. A highly sensitive HCC alpha-fetoprotein routine test (HCC
ART) for HCC diagnosis as well as a simplied form of the HCC-ART were reported in
the British Journal of Cancer. Here, we verified and studied the applicability of
the HCC-ART to the detection of early-stage HCC. METHODS: 341 cirrhotic patients
and 318 HCC patients were included in this study. For each, the HCC-ART score was
calculated, and then the sensitivity, specificity, and results of an ROC curve
analysis were compared between the HCC-ART and AFP when these biomarkers were
used to detect small-sized HCC. RESULTS: Different HCC-ART cutoffs were set for
the detection of different tumor sizes. The HCC-ART (AUC = 0.871, 70%
sensitivity, 97% specificity) and the simplified HCC-ART (AUC = 0.934, 82%
sensitivity, 100% specificity) were found to have high predictive power when
attempting to separate cirrhotic patients from those with small-sized HCC. The
simplified HCC-ART score was superior to AFP for determining stages according to
the early Okuda (0.950 AUC, 84% sensitivity, 99% specificity), CLIP (0.945 AUC,
84% sensitivity, 99% specificity), and BCLC (1.000 AUC, 100% sensitivity, 99%
specificity) staging systems. The simplified HCC-ART score was more strongly
correlated than AFP and other staging systems with HCC tumor size (P < 0.0001; r
= 0.8). CONCLUSION: The HCC-ART is superior to AFP for diagnosing early-stage
HCC. Due to its advantages of minimal variability and a wide continuous scale for
assessing HCC severity, the simplified HCC-ART has the potential to be more
widely used than the original HCC-ART.
PMID- 27864624
TI - Sentinel lymph node biopsy using indocyanine green fluorescence in early-stage
breast cancer: a meta-analysis.
AB - Sentinel lymph node (SLN) biopsy using indocyanine green (ICG) fluorescence is
safe and has a high detection rate for SLNs. However, the results of this novel
technique are heterogeneous. The objective of this meta-analysis was to evaluate
the diagnostic performance of the ICG fluorescence method compared with the
standard radioisotope (RI) method. All eligible studies were identified from 2005
through 2015. A proportion meta-analysis was performed using a fixed effects
and/or random effects model based on the study heterogeneity. A total of 12
studies met the inclusion criteria and included 1736 women. There was no
significant difference between ICG fluorescence and RI for SLN detection using
either the fixed effects model [odds ratio (OR) 1.29, 95% confidence interval
(CI) 0.87-1.90] or the random effects model (OR 1.32, 95% CI 0.54-3.18). There
were seven studies reporting the detection rate for tumor-positive SLN. The ICG
fluorescence method was significantly better than the RI method in the fixed
effects model (OR 1.87, 95% CI 1.00-3.49) for staging axilla. However, there was
no difference in the random effects model (OR 1.90, 95% CI 0.74-4.86). There was
study outcome heterogeneity for the detection of SLN but not for tumor-positive
SLN. There was no publication bias observed in the studies included. The ICG
fluorescence method has valid diagnostic performance for SLN detection and shows
a trend toward better axilla staging compared with the RI method. ICG
fluorescence is a useful alternative to RI for SLN biopsy.
PMID- 27864625
TI - The Impact of Comorbidities, Depression, and Substance Use Problems on Quality of
Life Among Older Adults Living With HIV.
AB - Older adults living with HIV (OALWH) comprise a growing population with a range
of complex and interconnecting medical and psychosocial needs. Based on the
biopsychosocial model with its emphasis on a holistic approach to various aspects
of people's lives, the current study explored associations between physical
health, psychological health, substance use, and overall quality of life. Drawing
on data from 114 substance-using OALWH (aged 50 or older), we employed linear
regression to show associations between the number of current comorbid health
conditions on quality of life, over and above depression, substance use problems,
and demographic characteristics (age, race/ethnicity, gender, sexual orientation,
education, and relationship status). In both bivariate and multivariable
contexts, the number of comorbid conditions was associated with reduced quality
of life. Depression and substance use were also negatively associated with
quality of life. These findings indicate that clinical and supportive care for
OALWH, particularly when related to mental health and substance use, should also
include an integrated focus on the comparatively high number of current comorbid
conditions that often accompany, and potentially complicate, HIV treatment and
quality of life.
PMID- 27864626
TI - Can Policy Interventions Affect HIV-Related Behaviors? A Systematic Review of the
Evidence from Low- and Middle-Income Countries.
AB - In their response to HIV, many countries have adopted and enacted policies to
reduce transmission and increase HIV-related service use. Theoretically, policy
level interventions for HIV prevention have the potential to improve health
behavior outcomes. These policy interventions vary in their scale, from
relatively minor changes in clinical policy to major national legal initiatives.
Assessing the effectiveness of HIV policy interventions is a challenging
undertaking. While many policies exist and guide HIV programmes, relatively few
have specifically been evaluated for their effects on reducing HIV risk taking or
increasing HIV health-seeking behaviors. Thus, questions on the effectiveness of
policy interventions to prevent HIV and change HIV-related risk behaviors remain
largely unanswered. To address this current gap in the literature, we
systematically reviewed the existing evidence on the effect of HIV policy
interventions on changing HIV-related behaviors in low-and middle-income
countries.
PMID- 27864627
TI - Participation in treatment decision-making among Chinese-Australian women with
breast cancer.
AB - PURPOSE: Using Confucian philosophy as a conceptual framework, this article
examines the extent to which cultural values and language affect the
participation preferences and experiences of the breast cancer treatment decision
making (TDM) process among Chinese women with breast cancer in Australia.
METHODS: Three focus groups were conducted with 23 Chinese-Australian women
diagnosed with breast cancer in their native language (Mandarin and Cantonese).
Each interview was translated and transcribed. Content analysis was used to
uncover the major themes. FINDINGS: Four typologies emerged: the patient as an
active decision maker, the patient as a passive decision maker, the patient as a
reluctant decision maker and the patient as a reluctant passive decision maker.
Language barriers, cultural expectation of doctor's role and family role in
Chinese culture appear as influential factors in TDM process among this group of
women. CONCLUSIONS: Intervention to improve doctors' cultural sensitivities in
order to help them assess women's role preferences in TDM and the ability of
doctors to communicate in a culturally appropriate manner, may improve the
process of breast cancer TDM among women from Chinese background.
PMID- 27864629
TI - Cytokeratin AE1/AE3 immunostaining and 3D-histology: improvement of diagnosis in
desmoplastic squamous cell carcinoma of the skin.
AB - Desmoplastic squamous cell carcinoma (DSCC) as a rare subtype of cutaneous SCC
has specific histological features, characterized by columns, bands, and strands
of squamoid cells infiltrating a dense collagenous stroma. To decrease the high
rates of local recurrence in DSSC, improvement of diagnostic methods is highly
demanded. Objective was to evaluate whether immunohistochemistry (IHC) is suited
to increase diagnostic accuracy. A total number of 18 patients were included in
this study. After recutting of the original paraffin blocks, parallel staining of
serial sections with conventionally H&E and cytokeratin AE1/AE3
immunohistochemical staining was performed. Results were evaluated by an
experienced dermatohistopathologist. In 55.6% (n = 10), the margins of 3D
histology still showed no evidence of neoplastic lesions in both stainings. In
contrast, we found neoplastic lesions in 5 of 18 cases (27.8%) with cytokeratin
AE1/AE3 staining, while H&E-staining remained negative. In addition, neoplastic
lesions were found in both, H&E as well as cytokeratin AE1/AE3 staining in three
cases (16.7%). The data presented show improvement of diagnosis in 27.8% of cases
using IHC and 3D-histology. This method is suitable to improve the diagnosis of
DSCC.
PMID- 27864628
TI - Provider perspectives on patient-provider communication for adjuvant endocrine
therapy symptom management.
AB - PURPOSE: Providers' communication skills play a key role in encouraging breast
cancer survivors to report symptoms and adhere to long-term treatments such as
adjuvant endocrine therapy (AET). The purpose of this study was to examine
provider perspectives on patient-provider communication regarding AET symptom
management and to explore whether provider perspectives vary across the multi
disciplinary team of providers involved in survivorship care. METHODS: We
conducted three one-hour focus groups with a multi-disciplinary group of health
care providers including oncology specialists, primary care physicians, and non
physician providers experienced in caring for breast cancer survivors undergoing
AET (n = 13). Themes were organized using Epstein and Street's (2007) Framework
for Patient-Centered Communication in Cancer Care. RESULTS: The findings of this
study suggest providers' communication behaviors including managing survivors'
uncertainty, responding to survivors' emotions, exchanging information, and
enabling self-management influences the quality of patient-provider communication
about AET symptoms. Additionally, lack of systematic symptom assessment tools for
AET requires providers to use discretion in determining which symptoms to discuss
with survivors resulting in approaches that vary based on providers' discipline.
CONCLUSION: There may be AET-specific provider communication skills and behaviors
that promote effective patient-provider communication but additional research is
needed to identify practices and policies that encourage these skills and
behaviors among the many providers involved in survivorship care. Efforts are
also needed to coordinate AET symptom assessment across providers, clarify
providers' roles in symptom assessment, and determine best practices for AET
symptom communication.
PMID- 27864630
TI - The interlaminar glia: from serendipity to hypothesis.
AB - An account of work performed at the UNA laboratories since 1992 on the detection
and description of interlaminar glial processes, is presented. The incidental
observation (serendipity) of longer than expected glial processes in the
superficial layers of the cerebral cortex in hemiparkinsonian Cebus apella
monkeys, was expanded afterwards to cover the largest possible sampling of
representatives of mammalian orders and species, as well as in experimental and
pathological conditions, in human and non-human primates. The term interlaminar
was coined to differentiate these processes from the classical astroglial
stellate, intralaminar ones. Such account grew to the point of inspiring, on
speculative grounds, possible roles in the organization of the cerebral cortex.
Interlaminar glial processes represent an essentially primate characteristic,
affected by neuropathological conditions such as DS and AD and experimental
procedures affecting normal sensory input, suggesting thalamic involvement in
their normal expression. Their ontogenetic development, phylogenetic evolution
and aging changes are described.
PMID- 27864631
TI - Factors affecting efficacy of packed red blood cell transfusion in neonates.
AB - : The degree of increase in haematocrit and equilibration time following packed
red blood cell (PRBC) transfusion in neonates is not well studied. We evaluated
change in haematocrit 15 min, 6 h and 24 h after PRBC transfusion in neonates and
factors predicting this change. Among neonates receiving PRBC transfusion, we
recorded pre-transfusion haematocrit and a priori identified putative variables
affecting change in haematocrit following transfusion. The factors affecting
change in haematocrit were analyzed by multiple linear regression analysis.
Eighty-one neonates received 119 PRBC transfusions (mean volume 16 +/- 4 mL/kg).
Haematocrit increased from 26 +/- 5 to 41 +/- 5% at 15 min after PRBC transfusion
(p = 0.001) and remained stable till 6 h (41 +/- 5%, p = 0.11). It decreased to
40 +/- 5%, at 24 h post transfusion (p < 0.001). On linear regression analysis,
baseline haematocrit of the baby, donor blood haematocrit and volume of PRBC
transfusion were independent determinants of increase in haematocrit. CONCLUSION:
After 16 mL/kg PRBC transfusion in neonates, haematocrit increased by 15% at 15
min post transfusion. The equilibration in haematocrit values was achieved by 15
min after transfusion. Baseline haematocrit of neonate, donor blood haematocrit
and transfusion volume independently determine the rise in haematocrit. What is
Known: * Rise in haematocrit following PRBC transfusion in neonates has been
studied in a small number of stable infants. * Determinants of efficacy of PRBC
transfusion have not been well studied in newborns. What is New: * Each
milliliter/kilogramme of PRBC transfusion increases the neonate's haematocrit by
approximately 1%. * Baseline haematocrit, donor blood haematocrit and transfusion
volume per kilogramme body weight independently determine the rise in
haematocrit.
PMID- 27864633
TI - Biological characterization of highly pathogenic avian influenza H5N1 viruses
that infected humans in Egypt in 2014-2015.
AB - Highly pathogenic avian influenza (HPAI) H5N1 influenza viruses emerged as a
human pathogen in 1997 with expected potential to undergo sustained human-to
human transmission and pandemic viral spread. HPAI H5N1 is endemic in Egyptian
poultry and has caused sporadic human infection. The first outbreak in early 2006
was caused by clade 2.2 viruses that rapidly evolved genetically and
antigenically. A sharp increase in the number of human cases was reported in
Egypt in the 2014/2015 season. In this study, we analyzed and characterized three
isolates of HPAI H5N1 viruses isolated from infected humans in Egypt in
2014/2015. Phylogenetic analysis demonstrated that the nucleotide sequences of
eight segments of the three isolates were clustered with those of members of
clade 2.2.1.2. We also found that the human isolates from 2014/2015 had a slight,
non-significant difference in their affinity for human-like sialic acid
receptors. In contrast, they showed significant differences in their replication
kinetics in MDCK, MDCK-SIAT, and A549 cells as well as in embryonated chicken
eggs. An antiviral bioassay study revealed that all of the isolates were
susceptible to amantadine. Therefore, further investigation and monitoring is
required to correlate the genetic and/or antigenic changes of the emerging HPAI
H5N1 viruses with possible alteration in their characteristics and their
potential to become a further threat to public health.
PMID- 27864634
TI - Reactions of aquacobalamin and cob(II)alamin with chlorite and chlorine dioxide.
AB - Reactions of aquacobalamin (H2O-Cbl(III)) and its one-electron reduced form
(cob(II)alamin, Cbl(II)) with chlorite (ClO2-) and chlorine dioxide (ClO 2* )
were studied by conventional and stopped-flow UV-Vis spectroscopies and matrix
assisted laser desorption/ionization-mass spectrometry (MALDI-MS). ClO2- does not
react with H2O-Cbl(III), but oxidizes Cbl(II) to H2O-Cbl(III) as a major product
and corrin-modified species as minor products. The proposed mechanism of chlorite
reduction involves formation of OCl- that modifies the corrin ring during the
course of reaction with Cbl(II). H2O-Cbl(III) undergoes relatively slow
destruction by ClO 2* via transient formation of oxygenated species, whereas
reaction between Cbl(II) and ClO 2* proceeds extremely rapidly and leads to the
oxidation of the Co(II)-center.
PMID- 27864636
TI - Do early postoperative CT findings following type A aortic dissection repair
predict early clinical outcome?
AB - PURPOSE: The purposes of this study are to determine the prevalence of specific
postoperative CT findings following Stanford type A aortic dissection repair in
the early postoperative period and to determine if these postoperative findings
are predictive of adverse clinical outcome. METHODS: Patients who underwent type
A dissection repair between January 2012 and December 2014 were identified from
our institutional cardiac surgery database. Postoperative CT exams within 1 month
of surgery were retrospectively reviewed to determine sizes and attenuation of
mediastinal, pericardial, and pleural fluid, and the presence or absence of
pneumomediastinum, pneumothorax, or lung consolidation. Poor early clinical
outcome was defined as length of stay (LOS) > 14 days. Student's t test and chi
square test were used to determine the relationship between postoperative CT
features and early clinical outcome. RESULTS: Thirty-nine patients (24 M, 15 F,
mean age 58.5 +/- 13.7 years) underwent type A dissection repair and mean LOS was
17.3 +/- 21.2 days. A subset of 19 patients underwent postoperative CTs within 30
days of surgery, and there was no significant relationship between LOS and sizes
and attenuation of mediastinal, pericardial, and pleural fluid, and the presence
or absence of pneumomediastinum, pneumothorax, or lung consolidation.
CONCLUSIONS: CT features such as mediastinal, pericardial, and pleural fluid were
ubiquitous in the early postoperative period. There was no consistent CT feature
or threshold that could reliably differentiate between "normal postoperative
findings" and early postoperative complications.
PMID- 27864635
TI - Soluble CD200 Correlates With Interleukin-6 Levels in Sera of COPD Patients:
Potential Implication of the CD200/CD200R Axis in the Disease Course.
AB - BACKGROUND: COPD represents a multifactorial lung disorder with high morbidity
and mortality. Despite intensive research concerning the underlying disease
mechanisms, the involvement of the CD200/CD200R axis in supporting or preventing
the onset of COPD has not yet been addressed. Since the CD200/CD200R axis is
crucially implicated in the maintenance of pulmonary immune homeostasis, we
hypothesized that it might be involved in controlling the onset of COPD. METHODS:
To address this, we analyzed the serum samples from COPD patients and normal
controls for soluble (s) CD200 and correlated the data to COPD-relevant clinical
parameters. In addition, basic studies were conducted in CD200-deficient and wild
type mice in which COPD-like inflammation was induced with elastase/LPS followed
by lung and serum component analysis. RESULTS: We observed a positive correlation
between serum sCD200 and IL-6 levels as well as a trend toward a negative
correlation of sCD200 with vitamin D3 in COPD patients. Further investigations in
mice revealed that despite elevated serum concentration of MMP-9 in CD200KO mice,
the early onset of COPD-like lung inflammation was similar in CD200-deficient and
wild-type animals in terms of immune cell infiltration, emphysematous changes,
and mucus overproduction. CONCLUSIONS: While our murine studies suggest that the
co-inhibitory molecule CD200 does not appear to play a prominent role in the
early onset of COPD-like features, correlation of sCD200 serum levels with COPD
related parameters in humans with established disease revealed that the
CD200/CD200R axis may be mechanistically linked to the disease course in COPD
patients.
PMID- 27864637
TI - Separate and combined effects of exposure to heat stress and mental fatigue on
endurance exercise capacity in the heat.
AB - PURPOSE: This study investigated the effects of exposure to pre-exercise heat
stress and mental fatigue on endurance exercise capacity in a hot environment.
METHODS: Eight volunteers completed four cycle exercise trials at 80% maximum
oxygen uptake until exhaustion in an environmental chamber maintained at 30
degrees C and 50% relative humidity. The four trials required them to complete a
90 min pre-exercise routine of either a seated rest (CON), a prolonged demanding
cognitive task to induce mental fatigue (MF), warm water immersion at 40 degrees
C during the last 30 min to induce increasing core temperature (WI), or a
prolonged demanding cognitive task and warm water immersion at 40 degrees C
during the last 30 min (MF + WI). RESULTS: Core temperature when starting
exercise was higher following warm water immersion (~38 degrees C; WI and MF +
WI) than with no water immersion (~36.8 degrees C; CON and MF, P < 0.001). Self
reported mental fatigue when commencing exercise was higher following cognitive
task (MF and MF + WI) than with no cognitive task (CON and WI; P < 0.05).
Exercise time to exhaustion was reduced by warm water immersion (P < 0.001) and
cognitive task (P < 0.05). Compared with CON (18 +/- 7 min), exercise duration
reduced 0.8, 26.6 and 46.3% in MF (17 +/- 7 min), WI (12 +/- 5 min) and MF + WI
(9 +/- 3 min), respectively. CONCLUSIONS: This study demonstrates that endurance
exercise capacity in a hot environment is impaired by either exposure to pre
exercise heat stress or mental fatigue, and this response is synergistically
increased during combined exposure to them.
PMID- 27864638
TI - Effects of caffeine on neuromuscular fatigue and performance during high
intensity cycling exercise in moderate hypoxia.
AB - PURPOSE: To investigate the effects of caffeine on performance, neuromuscular
fatigue and perception of effort during high-intensity cycling exercise in
moderate hypoxia. METHODS: Seven adult male participants firstly underwent an
incremental exercise test on a cycle ergometer in conditions of acute normobaric
hypoxia (fraction inspired oxygen = 0.15) to establish peak power output (PPO).
In the following two visits, they performed a time to exhaustion test (78 +/- 3%
PPO) in the same hypoxic conditions after caffeine ingestion (4 mg kg-1) and one
after placebo ingestion in a double-blind, randomized, counterbalanced cross-over
design. RESULTS: Caffeine significantly improved time to exhaustion by 12%. A
significant decrease in subjective fatigue was found after caffeine consumption.
Perception of effort and surface electromyographic signal amplitude of the vastus
lateralis were lower and heart rate was higher in the caffeine condition when
compared to placebo. However, caffeine did not reduce the peripheral and central
fatigue induced by high-intensity cycling exercise in moderate hypoxia.
CONCLUSION: The caffeine-induced improvement in time to exhaustion during high
intensity cycling exercise in moderate hypoxia seems to be mediated by a
reduction in perception of effort, which occurs despite no reduction in
neuromuscular fatigue.
PMID- 27864639
TI - Molecular phylogeny of the cosmopolitan aquatic plant genus Limosella
(Scrophulariaceae) with a particular focus on the origin of the Australasian L.
curdieana.
AB - Limosella is a small aquatic genus of Scrophulariaceae of twelve species, of
which one is distributed in northern circumpolar regions, two in southern
circumpolar regions, two in the Americas, one endemic to Australia, and six in
tropical or southern Africa or both. The Australasian L. curdieana has always
been considered distinct but its close phylogenetic relationships have never been
inferred. Here, we investigated the following alternative phylogenetic hypotheses
based on comparative leaf morphology and habitat preferences or floral
morphology: (1) L. curdieana is sister to the African L. grandiflora; or (2) it
is closely related to a group of other African species and the northern
circumpolar L. aquatica. We tested these hypotheses in a phylogenetic framework
using DNA sequence data from four plastid DNA regions and the nuclear ITS region.
These were analyzed using maximum parsimony and Bayesian inference. We obtained
moderately resolved, partially conflicting phylogenies, supporting that
accessions of L. grandiflora form the sister group to the rest of the genus and
that L. curdieana groups with the African taxa, L. africana and L. major, and L.
aquatica. Thus, the molecular evidence supports the second hypothesis. A
biogeographic analysis suggests an out-of-southern Africa scenario and several
dispersal events in the Southern Hemisphere. Past dispersal from southern Africa
to Australasia is suggested, yet it cannot be excluded that a route via tropical
Africa and temperate Asia has existed.
PMID- 27864640
TI - Arabidopsis phospholipase D alpha 1-derived phosphatidic acid regulates
microtubule organization and cell development under microtubule-interacting drugs
treatment.
AB - Phospholipase D (PLD) and its product phosphatidic acid (PA) are emerging as
essential regulators of cytoskeleton organization in plants. However, the
underlying molecular mechanisms of PA-mediated microtubule reorganization in
plants remain largely unknown. In this study, we used pharmacological and genetic
approaches to analyze the function of Arabidopsis thaliana PLDalpha1 in the
regulation of microtubule organization and cell development in response to
microtubule-affecting drugs. Treatment with the microtubule-stabilizing drug
paclitaxel resulted in less growth inhibition and decreased rightward slant of
roots, longitudinal alignment of microtubules, and enhanced length of hypocotyl
epidermal cells in the pldalpha1 mutant, the phenotype of which was rescued by
exogenous application of PA. Moreover, the pldalpha1 mutant was sensitive to the
microtubule-disrupting drugs oryzalin and propyzamide in terms of seedling
survival ratio, left-skewing angle of roots and microtubule organization. In
addition, both disruption and stabilization of microtubules induced by drugs
activated PLDalpha1 activity. Our findings demonstrate that in A. thaliana,
PLDalpha1/PA might regulate cell development by modulating microtubule
organization in an activity-dependent manner.
PMID- 27864642
TI - Evolution of kin recognition mechanisms in a fish.
AB - Both selection and phylogenetic history can influence the evolution of phenotypic
traits. Here we used recently characterized variation in kin recognition
mechanisms among six guppy populations to explore the phylogenetic history of
this trait. Guppies can use two different kin recognition mechanisms: either
phenotype matching, in which individuals are identified based on comparison with
a recognition template, or familiarity, in which individuals are remembered based
on previous interactions. Across the six populations, we identified four
transitions in recognition mechanism: phenotype matching evolved once and was
subsequently lost in a single population, whereas familiarity evolved twice.
Based on a molecular clock, these transitions occurred among populations that had
diverged on a timescale of hundreds of thousands of years, which is two orders of
magnitude faster than previously documented transitions in recognition
mechanisms. A randomization test provided no evidence that recognition mechanisms
were constrained by phylogeny, suggesting that recognition mechanisms have the
capacity to evolve rapidly, although the specific selection pressures that may be
contributing to variation in recognition mechanisms across populations remain
unknown.
PMID- 27864641
TI - An open prospective single cohort multicenter study evaluating the novel,
tapered, conical connection implants supporting single crowns in the anterior and
premolar maxilla: interim 1-year results.
AB - OBJECTIVES: The aim of this multicenter prospective clinical study was to
evaluate anodized tapered implants with a conical connection and integrated
platform shifting placed in the anterior and premolar maxilla. MATERIALS AND
METHODS: The study enrolled patients requiring single-tooth restorations in
healed sites of maxillary anterior and premolar teeth. All implants were
immediately temporized. Clinical and radiographic evaluations were conducted at
implant insertion, 6 months, and 1 year. Outcome measures included bone
remodeling, cumulative survival rate (CSR), success rate, soft-tissue health and
esthetics, and patient satisfaction. Bone remodeling and pink esthetic score were
analyzed using Wilcoxon signed-rank tests. CSR was calculated using life table
analysis. Other soft-tissue outcomes were analyzed using sign tests. RESULTS: Out
of 97 enrolled patients (102 implants), 87 patients (91 implants) completed the 1
year visit. Marginal bone remodeling was -0.85 +/- 1.36 mm. After the expected
initial bone loss, a mean bone gain of 0.11 +/- 1.05 mm was observed between 6
months and 1 year. The CSR was 99.0%, and the cumulative success rate was 97.0%.
Partial or full papilla was observed at 30.8% of sites at baseline, 87.2% at 6
months, and 90.5% at 1 year. Soft-tissue response, esthetics, and patient
satisfaction all improved during the study period. CONCLUSIONS: Bone gain was
observed following the expected initial bone loss, and soft-tissue outcomes
improved suggesting favorable tissue response using anodized tapered conical
connection implants. CLINICAL RELEVANCE: Rapid stabilization of bone remodeling
and robust papilla regeneration indicate favorable tissue healing promoted by the
conical connection, platform-shift design. TRIAL REGISTRATION: clinicaltrials.gov
NCT02175550.
PMID- 27864643
TI - Robust representations of individual faces in chimpanzees (Pan troglodytes) but
not monkeys (Macaca mulatta).
AB - Being able to recognize the faces of our friends and family members no matter
where we see them represents a substantial challenge for the visual system
because the retinal image of a face can be degraded by both changes in the person
(age, expression, pose, hairstyle, etc.) and changes in the viewing conditions
(direction and degree of illumination). Yet most of us are able to recognize
familiar people effortlessly. A popular theory for how face recognition is
achieved has argued that the brain stabilizes facial appearance by building
average representations that enhance diagnostic features that reliably vary
between people while diluting features that vary between instances of the same
person. This explains why people find it easier to recognize average images of
people, created by averaging multiple images of the same person together, than
single instances (i.e. photographs). Although this theory is gathering momentum
in the psychological and computer sciences, there is no evidence of whether this
mechanism represents a unique specialization for individual recognition in
humans. Here we tested two species, chimpanzees (Pan troglodytes) and rhesus
monkeys (Macaca mulatta), to determine whether average images of different
familiar individuals were easier to discriminate than photographs of familiar
individuals. Using a two-alternative forced-choice, match-to-sample procedure, we
report a behaviour response profile that suggests chimpanzees encode the faces of
conspecifics differently than rhesus monkeys and in a manner similar to humans.
PMID- 27864645
TI - Factors influencing and consequences of breeding dispersal and habitat choice in
female grey seals (Halichoerus grypus) on Sable Island, Nova Scotia.
AB - Selection of breeding location can influence reproductive success and fitness.
Breeding dispersal links habitat use and reproduction. This study investigated
factors affecting breeding dispersal and its reproductive consequences in grey
seals (Halichoerus grypus) on Sable Island, Nova Scotia. Breeding dispersal
distance was determined in 692 individually marked, known-age female grey seals
observed from 2004 to 2014. We used generalized linear mixed-effects models to
test hypotheses concerning environmental and demographic factors influencing
breeding dispersal distance and the consequences of dispersal distance on
offspring weaning mass. Grey seal females rarely exhibited fidelity to previous
breeding sites. Median dispersal distance between years was 5.1 km. Only 2.9% of
females returned to a previous breeding site. Breeding dispersal distance was
affected by parity and density, but effects were small and are presumably of no
biological significance. Variation in dispersal distance among adult females was
large. Dispersal distance had no significant influence on offspring weaning mass;
however, as previously found, pup sex and maternal age did. Although breeding
location was not important, heavier pups were born in habitats with no tidal or
storm-surge influence indicating that breeding habitat type did influence
offspring size at weaning. The lack of site fidelity in grey seals on Sable
Island is associated with an unpredictable and changing landscape (sand dunes)
that could make it difficult for females to locate previous breeding locations.
Although breeding location within habitat type had small consequences on
offspring weaning mass, we detected no evidence that breeding site selection
within the habitat had consequences to females.
PMID- 27864647
TI - Prethrombotic, prothrombotic, thrombophilic states, hypercoagulable state,
thrombophilia etc.: semantics should be respected even in medical papers.
AB - The study of prothrombotic or thrombophilic states have drawn considerable
attention during the past two decades. This was the result of the increasing
number of thrombotic events, both arterial and venous reported all over the world
but especially in the developed countries. This wealth of studies and papers have
not always respected the semantical significance of the various terms used,
namely prethrombotic state, hypercoagulable state, thrombophilic or prothrombotic
state, thrombophilia, susceptibility to thrombosis and procoagulant state. This
review is an attempt to adhere to a correct Semantic format in order to avoid
confusion and misinterpretations. This is of fundamental importance in order to
avoid the wrong attribution of a thrombosis to a hypercoagulable or a
prethrombotic state.
PMID- 27864644
TI - Targeting and alteration of tight junctions by bacteria and their virulence
factors such as Clostridium perfringens enterotoxin.
AB - The integrity of tight junctions, which regulate paracellular permeability, is
challenged by many bacterial pathogens. This is caused by inflammatory responses
triggered by pathogens and direct interaction of bacteria or their toxins with
host epithelial cells. In some cases, tight junction proteins represent receptors
for cell surface proteins or toxins of the pathogen, such as Clostridium
perfringens enterotoxin (CPE). CPE causes diarrhea and cramps-the symptoms of a
common foodborne illness, caused by C. perfringens type A. It uses a subgroup of
the claudin family of tight junction proteins as receptors and forms pores in the
membrane of intestinal epithelial cells. Ca2+ influx through these pores finally
triggers cell damage. In this review, we summarize tight junction targeting and
alteration by a multitude of different microorganisms such as C. perfringens,
Escherichia coli, Helicobacter pylori, Salmonella typhimurium, Shigella flexneri,
Vibrio cholerae, Yersinia enterocolitica, protozoan parasites, and their
proteins. A focus is drawn towards CPE, the interaction with its receptors,
cellular, and pathophysiological consequences for the intestinal epithelium. In
addition, we portend to the use of CPE-based claudin modulators for drug delivery
as well as diagnosis and therapy of cancer.
PMID- 27864646
TI - Low-level laser irradiation modulates brain-derived neurotrophic factor mRNA
transcription through calcium-dependent activation of the ERK/CREB pathway.
AB - Low-level laser (LLL) irradiation has been reported to promote neuronal
differentiation, but the mechanism remains unclear. Brain-derived neurotrophic
factor (BDNF) has been confirmed to be one of the most important neurotrophic
factors because it is critical for the differentiation and survival of neurons
during development. Thus, this study aimed to investigate the effects of LLL
irradiation on Bdnf messenger RNA (mRNA) transcription and the molecular pathway
involved in LLL-induced Bdnf mRNA transcription in cultured dorsal root ganglion
neurons (DRGNs) using Ca2+ imaging, pharmacological detections, RNA interference,
immunocytochemistry assay, Western blot, and qPCR analysis. We show here that LLL
induced increases in the [Ca2+] i level, Bdnf mRNA transcription, cAMP-response
element-binding protein (CREB) phosphorylation, and extracellular signal
regulated kinase (ERK) phosphorylation, mediated by Ca2+ release via inositol
triphosphate receptor (IP3R)-sensitive calcium (Ca2+) stores. Blockade of Ca2+
increase suppressed Bdnf mRNA transcription, CREB phosphorylation, and ERK
phosphorylation. Downregulation of phosphorylated (p)-CREB reduced Bdnf mRNA
transcription triggered by LLL. Furthermore, blockade of ERK using PD98059
inhibitor reduced p-CREB and Bdnf mRNA transcription induced by LLL. Taken
together, these findings establish the Ca2+-ERK-CREB cascade as a potential
signaling pathway involved in LLL-induced Bdnf mRNA transcription. To our
knowledge, this is the first report of the mechanisms of Ca2+-dependent Bdnf mRNA
transcription triggered by LLL. These findings may help further explore the
complex molecular signaling networks in LLL-triggered nerve regeneration in vivo
and may also provide experimental evidence for the development of LLL for
clinical applications.
PMID- 27864650
TI - Heme oxygenase-1 protects spinal cord neurons from hydrogen peroxide-induced
apoptosis via suppression of Cdc42/MLK3/MKK7/JNK3 signaling.
AB - The mechanisms by which oxidative stress induces spinal cord neuron death has not
been completely understood. Investigation on the molecular signal pathways
involved in oxidative stress-mediated neuronal death is important for development
of new therapeutics for oxidative stress-associated spinal cord disorders. In
current study we examined the role of heme oxygenase-1 (HO-1) in the modulation
of MLK3/MKK7/JNK3 signaling, which is a pro-apoptotic pathway, after treating
primary spinal cord neurons with H2O2. We found that MLK3/MKK7/JNK3 signaling was
substantially activated by H2O2 in a time-dependent manner, demonstrated by
increase of activating phosphorylation of MLK3, MKK7 and JNK3. H2O2 also induced
expression of HO-1. Transduction of neurons with HO-1-expressing adeno-associated
virus before H2O2 treatment introduced expression of exogenous HO-1 in neurons.
Exogenous HO-1 reduced phosphorylation of MLK3, MKK7 and JNK3. Consistent with
its inhibitory effect on MLK3/MKK7/JNK3 signaling, exogenous HO-1 decreased H2O2
induced neuronal apoptosis and necrosis. Furthermore, we found that exogenous HO
1 inhibited expression of Cdc42, which is crucial for MLK3 activation. In
addition, HO-1-induced down-regulation of MLK3/MKK7/JNK3 signaling might be
related to up-regulation of microRNA-137 (mir-137). A mir-137 inhibitor
alleviated the inhibitory effect of HO-1 on JNK3 activation. This inhibitor also
increased neuronal death even when exogenous HO-1 was expressed. Therefore, our
study suggests a novel mechanism by which HO-1 exerted its neuroprotective
efficacy on oxidative stress.
PMID- 27864651
TI - All in the family? Analyzing the impact of family history in addition to genotype
on medullary thyroid carcinoma aggressiveness in MEN2A patients.
AB - Several guidelines for patients with multiple endocrine neoplasia 2A (MEN2A) take
into account genotype and family history of medullary thyroid carcinoma (MTC)
disease aggressiveness. We sought to determine if an association exists
independent of genotype, which could provide important information for counseling
MEN2A patients in management of their MTC. Pedigrees of patients with >=5 family
members with MEN2A were retrospectively reviewed. Analysis was performed among
kindreds with the most frequently observed codon mutation (RET 634). Familial MTC
disease aggressiveness was evaluated using: (1) mean age at diagnosis of MTC, (2)
current mean age of carriers without MTC, (3) proportion of kindred with MTC with
metastatic disease at diagnosis, (4) proportion of kindred with MTC with
metastasis/death from MTC as worst outcome, and (5) proportion of kindred with
disease progression. 170 affected patients from 12 different MEN2A kindreds met
inclusion criteria. The number of affected family members available for study per
kindred ranged from 8 to 43 individuals. A difference in mean age of MTC
diagnosis was found in screened patients (p = 0.01); mean age of MTC-free
patients did not differ (p = 0.93). No differences were noted among kindreds in
disease stage at presentation, worst outcome, or progression; marked variation in
these measures was noted within families. In conclusion, a difference in age of
MTC diagnosis among different RET 634 kindreds was identified. In contrast,
notable intra-familial variability in disease aggressiveness was observed. Based
on these findings, we recommend counseling patients with codon 634 mutations that
their MTC disease course cannot be predicted by that of their relatives.
PMID- 27864648
TI - Actomyosin based contraction: one mechanokinetic model from single molecules to
muscle?
AB - Bridging the gaps between experimental systems on different hierarchical scales
is needed to overcome remaining challenges in the understanding of muscle
contraction. Here, a mathematical model with well-characterized structural and
biochemical actomyosin states is developed to that end. We hypothesize that this
model accounts for generation of force and motion from single motor molecules to
the large ensembles of muscle. In partial support of this idea, a wide range of
contractile phenomena are reproduced without the need to invoke cooperative
interactions or ad hoc states/transitions. However, remaining limitations exist,
associated with ambiguities in available data for model definition e.g.: (1) the
affinity of weakly bound cross-bridges, (2) the characteristics of the cross
bridge elasticity and (3) the exact mechanistic relationship between the force
generating transition and phosphate release in the actomyosin ATPase. Further,
the simulated number of attached myosin heads in the in vitro motility assay
differs several-fold from duty ratios, (fraction of strongly attached ATPase
cycle times) derived in standard analysis. After addressing the mentioned issues
the model should be useful in fundamental studies, for engineering of myosin
motors as well as for studies of muscle disease and drug development.
PMID- 27864649
TI - Cardioprotective effects of omega 3 fatty acids: origin of the variability.
AB - Since 40 years, it is known that omega-3 poly-unsaturated fatty acids (omega3
PUFAs) have cardioprotective effects. These include antiarrhythmic effects,
improvements of autonomic function, endothelial function, platelet anti
aggregation and inflammatory properties, lowering blood pressure, plaque
stabilization and reduced atherosclerosis. However, recently, conflicting results
regarding the health benefits of omega3 PUFAs from seafood or omega3 PUFAs
supplements have emerged. The aim of this review is to examine recent literature
regarding health aspects of omega3 PUFAs intake from fish or supplements, and to
discuss different arguments/reasons supporting these conflicting findings.
PMID- 27864652
TI - Differences in mammographic density between Asian and Caucasian populations: a
comparative analysis.
AB - PURPOSE: Mammographic density is a measurable and modifiable biomarker that is
strongly and independently associated with breast cancer risk. Paradoxically,
although Asian women have lower risk of breast cancer, studies of minority Asian
women in predominantly Caucasian populations have found that Asian women have
higher percent density. In this cross-sectional study, we compared the
distribution of mammographic density for a matched cohort of Asian women from
Malaysia and Caucasian women from Sweden, and determined if variations in
mammographic density could be attributed to population differences in breast
cancer risk factors. METHODS: Volumetric mammographic density was compared for
1501 Malaysian and 4501 Swedish healthy women, matched on age and body mass
index. We used multivariable log-linear regression to determine the risk factors
associated with mammographic density and mediation analysis to identify factors
that account for differences in mammographic density between the two cohorts.
RESULTS: Compared to Caucasian women, percent density was 2.0% higher among Asian
women (p < 0.001), and dense volume was 5.7 cm3 higher among pre-menopausal Asian
women (p < 0.001). Dense volume was 3.0 cm3 lower among post-menopausal Asian
women (p = 0.009) compared to post-menopausal Caucasian women, and this
difference was attributed to population differences in height, weight, and parity
(p < 0.001). CONCLUSIONS: Our analysis suggests that among post-menopausal women,
population differences in mammographic density and risk to breast cancer may be
accounted for by height, weight, and parity. Given that pre-menopausal Asian and
Caucasian women have similar population risk to breast cancer but different dense
volume, development of more appropriate biomarkers of risk in pre-menopausal
women is required.
PMID- 27864653
TI - The developmental competence of oocytes parthenogenetically activated by an
electric pulse and anisomycin treatment.
AB - OBJECTIVE: The aim of this study was to investigate the developmental competence
of oocytes parthenogenetically activated by an electric pulse (EP) and treated
with anisomycin and to determine whether this method is applicable to somatic
cell nuclear transfer (SCNT). RESULTS: Embryos derived from porcine oocytes
parthenogenetically activated by an EP and treatment with 0.01 ug/mL anisomycin
had a significantly improved in vitro developmental capacity. Furthermore, 66.6%
of blastocysts derived from these embryos had a diploid karyotype. The blastocyst
formation rate of cloned embryos was similar between oocytes activated by an EP
and treated with 2 mM 6-dimethylaminopurine for 4 h and those activated by an EP
and treated with 0.01 ug/mL anisomycin for 4 h. The level of maturation-promoting
factor was significantly decreased in oocytes activated by an EP and treated with
anisomycin. Finally, the mRNA expression levels of apoptosis-related genes (Bax
and Bcl-2) and pluripotency-related genes (Oct4, Nanog, and Sox2) were checked by
RT-PCR. CONCLUSION: Our results demonstrate that porcine oocyte activation via an
EP in combination with anisomycin treatment can lead to a high blastocyst
formation rate in parthenogenetic activation and SCNT experiments.
PMID- 27864654
TI - A dual substrate kinetic model for cytochrome P450BM3-F87G catalysis:
simultaneous binding of long chain aldehydes and 4-fluorophenol.
AB - OBJECTIVE: To develop a model for binding and catalysis associated with the
stimulation of 4-fluorophenol (4-FP) oxidation in the presence of long chain
aldehydes by the enzymatic catalyst, cytochrome P450BM3-F87G. RESULTS: A
variation of the Michaeli-Menten kinetic model was employed to describe
interactions at the active site of the enzyme, along with computer aided modeling
approaches. In addition to the hydroquinone product arising from de-fluorination
of 4-FP, a second product (p-fluorocatechol) was also observed and, like the
hydroquinone, its rate of formation increased in the presence of the aldehyde.
When only aldehyde was present with the enzyme, BM3-F87G catalyzed its oxidation
to the corresponding carboxylic acid; however, this activity was inhibited when 4
FP was added to the reaction. A 3D computer model of the active site containing
both aldehyde and 4-FP was generated, guided by these kinetic observations.
Finally, partitioning between the two phenolic products was examined with an
emphasis on the conditions directing the initial epoxidation at either the 2,3-
or 3,4-positions on the substrate. Temperature, reaction time, substrate
concentration, and the structure of the aldehyde had no substantial effect on the
overall product ratios, however the NADPH coupling efficiency decreased when
unsaturated aldehydes were included, or when the temperature of the reaction was
reduced. CONCLUSIONS: The unsaturated aldehyde, trans-2-decenal, stimulates BM3
F87G catalyzed oxidation of 4-fluorophenol through a cooperative active site
binding mode that doesn't influence product distributions or coupling
efficiencies, while 4-fluorophenol acts as a competitive inhibitor of aldehyde
oxidation.
PMID- 27864655
TI - Imitating the effect of amblyopia on VEP-based acuity estimates.
AB - PURPOSE: Acuity testing based on visual evoked potentials (VEPs) overestimates
acuity in patients with amblyopia. We hypothesized that distortion and
fragmentation of the stimulus in amblyopia impede recognition of optotypes, while
it leaves the pattern onset response in the VEP mostly unaffected, resulting in
overestimation of acuity. METHODS: Acuity VEPs were recorded in visually normal
participants with the stimulus degraded by patterned polymethyl methacrylate
panes, which induce distortion and fragmentation. For comparison, frosted panes
were used to induce blur through wide-angle scattering. Standard psychophysical
optotype acuity was recorded under the same conditions. RESULTS: With the
distorted and fragmented stimuli, the VEP consistently overestimated acuity
relative to psychophysical optotype acuity. With blurred stimuli, both measures
were in good agreement. CONCLUSIONS: The data support the assumption that
stimulus distortion and fragmentation leave VEP-based measures of acuity
relatively unaffected, resulting in a discrepancy between measures of acuity that
are based on checkerboard VEPs on one hand and psychophysical optotype
recognition on the other hand. The technique of stimulus degradation described
here provides a simple and efficient way of imitating effects that are known from
amblyopia and may thus serve as a tool in the evaluation of vision tests.
PMID- 27864657
TI - Erratum to: Vedolizumab Therapy Is Associated with an Improvement in Sleep
Quality and Mood in Inflammatory Bowel Diseases.
PMID- 27864658
TI - Conjugates between photosystem I and a carbon nanotube for a photoresponse
device.
AB - Photosystem I (PS I) is a large pigment-protein complex embedded in the thylakoid
membranes that performs light-driven electron transfer across the thylakoid
membrane. Carbon nanotubes exhibit excellent electrical conductivities and
excellent strength and stiffness. In this study, we generated PSI-carbon nanotube
conjugates dispersed in a solution aimed at application in artificial
photosynthesis. PS I complexes in which a carbon nanotube binding peptide was
introduced into the middle of the PsaE subunit were conjugated on a single-walled
carbon nanotube, orienting the electron acceptor side to the nanotube. Spectral
and photoluminescence analysis showed that the PS I is bound to a single-walled
carbon nanotube, which was confirmed by transmission electron microscopy.
Photocurrent observation proved that the photoexcited electron originated from
PSI and transferred to the carbon nanotube with light irradiation, which also
confirmed its orientated conjugation. The PS I-carbon nanotube conjugate will be
a useful nano-optoelectronic device for the development of artificial systems.
PMID- 27864656
TI - Nitric Oxide and Hydrogen Sulfide Interact When Modulating Gastric Physiological
Functions in Rodents.
AB - AIM: The objective was to evaluate the effects of nitric oxide (NO) and hydrogen
sulfide (H2S) donors and possible interactions between these two systems in
modulating gastric function. METHODS: Mice received saline, sodium nitroprusside
(SNP), or sodium hydrosulfite (NaHS), and after 1 h, the animals were killed for
immunofluorescence analysis of CSE or eNOS expressions, respectively. Other
groups received saline, SNP, NaHS, Lawesson's reagent (H2S donor), PAG + SNP, L
NAME, L-NAME + NaHS, or L-NAME + Lawesson's reagent. Then, the gastric secretions
(mucous and acid), gastric blood flow, gastric defense against ethanol, and
gastric motility (gastric emptying and gastric contractility) were evaluated.
RESULTS: SNP and NaHS increased the expression of CSE or eNOS, respectively. SNP
or Lawesson's reagent did not alter gastric acid secretion but increased mucus
production, and these effects reverted with PAG and L-NAME treatment,
respectively. SNP or NaHS increased gastric blood flow and protected the gastric
mucosa against ethanol injury, and these effects reverted with PAG and L-NAME
treatments, respectively. SNP delayed gastric emptying when compared with saline,
and PAG partially reversed this effect. NaHS accelerate gastric emptying, and L
NAME partially reversed this effect. SNP and NaHS alone induced gastric fundus
and pylorus relaxation. However, pretreatment with PAG or L-NAME reversed these
relaxant effects only in the pylorus but not in the gastric fundus. CONCLUSION:
NO and H2S interact in gastric physiological functions, and this "cross-talk" is
important in the control of mucus secretion, gastric blood flow, gastric mucosal
defense, and gastric motility, but not in the control of basal gastric acid
secretion.
PMID- 27864659
TI - Immune complexes and complexity: investigating mechanisms of renal disease.
AB - The deposition of immune complexes is the causal factor in distinct renal
pathologies, e.g., lupus nephritis and membranous nephritis. The location of
these deposits within a tissue biopsy is often the key to establishing a
diagnosis. However, how immune complexes come to be deposited below the vascular
endothelium was, until now, a mystery, as was their contribution to inducing
inflammation. A recent paper in Cell by Stamatiades et al. (Cell 164(4):991-1003,
2016) demonstrates the active transport of immune complexes by the vascular
endothelial cells and an Fc receptor-dependent uptake by tissue-resident
macrophages. This leads to the activation of these macrophages and the release of
pro-inflammatory cytokines, which in turn recruits immune cells from the blood
into the kidney. The identification of these mechanisms should lead to a better
stratification of kidney diseases and hopefully to the development of specific
therapies.
PMID- 27864660
TI - Effects of CYP2C9 genetic polymorphisms on the pharmacokinetics of celecoxib and
its carboxylic acid metabolite.
AB - Celecoxib, a selective cyclooxygenase (COX)-2 inhibitor, is used for the
treatment of rheumatoid arthritis and osteoarthritis. The predominant hepatic
metabolism of celecoxib to celecoxib carboxylic acid (CCA) is mediated mainly by
CYP2C9. We investigated the effects of the major CYP2C9 genetic variants in Asian
populations, CYP2C9*3 and CYP2C9*13, on the pharmacokinetics of celecoxib and its
carboxylic acid metabolite in healthy Korean subjects. A single 200-mg oral dose
of celecoxib was given to 52 Korean subjects with different CYP2C9 genotypes:
CYP2C9EM (n = 26; CYP2C9*1/*1), CYP2C9IM (n = 24; CYP2C9*1/*3 and *1/*13), and
CYP2C9PM (n = 2; CYP2C9*3/*3). Celecoxib and CCA concentrations in plasma samples
collected up to 48 or 96 h after drug intake were determined by HPLC-MS/MS. The
mean area under the plasma concentration-time curve (AUC0-infinity) of celecoxib
was increased 1.63-fold (P < 0.001), and the apparent oral clearance (CL/F) of
celecoxib was decreased by 39.6% in the CYP2C9IM genotype group compared with
that of CYP2C9EM (P < 0.001). The overall pharmacokinetic parameters for
celecoxib in CYP2C9*1/*13 subjects were similar to those in CYP2C9*1/*3 subjects.
Two subjects with CYP2C9PM genotype both showed markedly higher AUC0-infinity,
prolonged half-life, and lower CL/F for celecoxib than did subjects with CYP2C9EM
and IM genotypes. CYP2C9*3 and CYP2C9*13 variant alleles significantly affected
the plasma concentration of celecoxib.
PMID- 27864662
TI - Erratum to: Plant Posters.
PMID- 27864663
TI - Mesenchymal stem cells attenuate hydrogen peroxide-induced oxidative stress and
enhance neuroprotective effects in retinal ganglion cells.
AB - The apoptosis of retinal ganglion cells leads to visual impairment and blindness
in ocular neurodegenerative diseases, especially in diabetic retinopathy (DR).
Mounting evidence suggests that oxidative stress contributes to the pathogenesis
of DR. In the present study, we investigated whether bone mesenchymal stem cells
(BMSCs) have protective ability to relieve hydrogen peroxide (H2O2)-induced
injury on retinal ganglion cells in vitro. An immortalized retinal ganglion
cells, RGC-5 cells, were exposed to an indicated concentration of H2O2 for 24 h.
Cell viability was analyzed by CCK-8 assay to find out a certain concentration to
build H2O2 oxidative damage model. Morphological changes in RGC-5 cells were
observed under optical microscope, and cell apoptosis was detected with Hoechst
fluorescence staining. Then, BMSCs were co-cultured with RGC-5 cells in a
transwell culture system for 24 h and 48 h. Flow cytometry was performed to
qualify the apoptosis rate of RGC-5 cells. Conditioned medium was collected for
evaluation the inflammatory cytokines by ELISA. The content of intracellular
malondialdehyde (MDA) and superoxide dismutase (SOD) was assayed by
thiobarbituric acid and xanthine oxidase method, respectively. qRT-PCR and ELISA
were conducted for analysis of the expression changes in brain-derived
neurotrophic factor (BDNF) and ciliary neurotrophic factor (CNTF), respectively.
After H2O2 exposure, the morphological varieties were observed as cytoplasm
shrinking and paramorphia together with nuclear gathering. Meanwhile, the
apoptotic cells had hyperfluorescence with Hoechst 33258 staining. Co-culture
with BMSCs significantly inhibited retinal cell death. It was found that BMSCs
reduced H2O2-induced inflammatory factors IL-1beta and TNF-alpha, down-regulated
intracellular oxidant factor MDA, up-regulated intracellular antioxidant factor
SOD, and increased neurotrophins BDNF and CNTF expression. BMSCs may enhance
protective effect of RGC-5 cells in H2O2-induced damage through improving
antioxidant capacity, inhibiting pro-inflammatory cytokine secretion, and
promoting neurotrophin expression.
PMID- 27864664
TI - Radiofrequency ablation of pulmonary metastases from sarcoma: single-center
retrospective evaluation of 46 patients.
AB - PURPOSE: This retrospective, single-center study evaluated radiofrequency (RF)
ablation for pulmonary metastases of sarcoma. MATERIALS AND METHODS: Forty-six
patients with sarcoma (144 pulmonary metastases) underwent 88 RF ablation
sessions. Data regarding local tumor progression, efficacy, procedural adverse
events (AEs; National Cancer Institute Common Terminology Criteria for Adverse
Events, version 4.0), overall survival (OS), and OS-associated prognostic factors
were retrospectively evaluated using univariate analyses. RESULTS: Local
progression occurred in 22 of 144 tumors (15.3%). Primary and secondary efficacy
rates were 83.5 and 90.0% at 1 year and 76.3 and 81.4% at 2 years, respectively.
Seventy-three grade 1 AEs, 33 grade 2 AEs, and no grade >= 3 AEs were observed.
Twenty-eight patients (60.9%) remained alive and 18 died, yielding 1-, 2-, and 3
year OS rates of 80.6, 70.1, and 47.1% (median survival time, 31.7 months).
Univariate analysis revealed extrapulmonary metastasis (P = 0.005), noncurative
RF ablation (P = 0.009), and a post-RF ablation disease-free interval of <=12
months (P = 0.015) as significant negative prognostic factors. CONCLUSION: RF
ablation is safe, offers good local control, and may be a viable treatment option
for pulmonary metastasis of sarcoma.
PMID- 27864661
TI - Molecular Anti-inflammatory Mechanisms of Retinoids and Carotenoids in
Alzheimer's Disease: a Review of Current Evidence.
AB - Alzheimer's disease (AD) is considered as one of the most prevalent
neurodegenerative disorders characterized by progressive loss of mental function
and ability to learn. AD is a multifactorial disorder. Various hypotheses are
suggested for the pathophysiology of AD including "Abeta hypothesis," "tau
hypothesis," and "cholinergic hypothesis." Recently, it has been demonstrated
that neuroinflammation is involved in the pathogenesis of AD. Neuroinflammation
causes synaptic dysfunction and neuronal death within the brain. Excessive
production of pro-inflammatory mediators induces Abeta peptide
production/accumulation and hyperphosphorylated tau generating inflammatory
molecules and cytokines. These inflammatory molecules disrupt blood-brain barrier
integrity and increase the production of Abeta42 oligomers. Retinoids and
carotenoids are potent antioxidants and anti-inflammatory agents having
neuroprotective properties. They are able to prevent disease progression through
several mechanisms such as suppression of Abeta peptide production/accumulation,
oxidative stress, and pro-inflammatory mediator's secretion as well as
improvement of cognitive performance. These observations, therefore, confirm the
neuroprotective role of retinoids and carotenoids through multiple pathways.
Therefore, the administration of these nutrients is considered as a promising
approach to the prevention and/or treatment of AD in the future. The aim of this
review is to present existing evidences regarding the beneficial effects of
retinoids and carotenoids on AD's risk and outcomes, seeking the mechanism of
their action.
PMID- 27864666
TI - Evaluation of Serum Trace Element Levels and Superoxide Dismutase Activity in
Patients with Inflammatory Bowel Disease: Translating Basic Research into
Clinical Application.
AB - The relationship of minerals and trace elements with inflammatory bowel disease
(IBD) is complex. Alterations in their metabolism can be induced by the diseases
and their complications. To study the role of trace elements in IBD patients'
serum zinc and copper and their related enzymes, including superoxide dismutase
(SOD), activity were measured in patients with IBD patients as well as in healthy
subjects. In addition, the correlation between serum trace element levels,
albumin, total protein, urea level, copper/zinc ratio, and disease activity (DA)
was determined in these subjects. Serum samples were obtained from 35 patients
(19 ulcerative colitis (UC) and 16 Crohn's disease (CD)) in the active phase of
the disease and 30 healthy control subjects. Serum levels of zinc, copper, SOD
activity, albumin, total protein, and urea were measured. The results were
compared between the two groups using independent Student's t test in statistical
analysis. Serum levels of zinc, SOD activity, albumin, and total protein were
significantly lower (P < 0.05) in patients than controls, while serum urea level
was significantly higher in patients compared to controls. Copper concentrations
did not differ between patients with IBD (mean +/- SD, 58.8 +/- 20.7 MUg/d) and
controls (55.57 +/- 12.6 MUg/d). Decreased levels of zinc and SOD activity are
associated with increased inflammatory processes indicating inappropriate
antioxidant system in patients with IBD. Additionally, lower levels of albumin
and total protein with higher level of urea reflect metabolic problems in liver
system.
PMID- 27864665
TI - Risk factors for bacteremia in urinary tract infections attended in the emergency
department.
AB - Urinary tract infections (UTI) are common in emergency departments (ED), and at
least 15% of them are bacteremic. However, there are few data on how to predict
which patients are at high risk of developing bacteremic UTI (b-UTI). We
performed a retrospective observational cohort study including patients diagnosed
with UTI who were admitted to the ED of a tertiary-care hospital in Spain. We
included only those patients in whom blood cultures were performed. A nomogram
for b-UTI was developed as visualizations of a logistic regression model. Two
hundred and thirteen patients with UTI were finally included, 108 of them
developed b-UTI (50.7%). The mean age was 60.5 +/- 21.4 years. A previous
urologic disease was present in 45.5%, 12 out of 213 patients (5.6%) had a
urologic tumor (10.2% in b-UTI group vs. 1% in non b-UTI, p = 0.003), and 4.2%
were kidney transplant recipients. In a multivariate analysis, variables
associated with b-UTI were: solid organ malignancy (OR 3.19; CI 95% 1.01-10.03, p
= 0.04), elevated neutrophil count (more than 80% of neutrophils) (OR 5.84; CI
95% 2.13-15.99, p = 0.0006), elevated C reactive protein (OR 1.046; CI 95% 1.006
1.087, p = 0.022), and pyuria (presence of >=50 white cells per high-power field
of urine) (OR 4.43; CI 95% 1.94-10.11, p = 0.0004). The presence of solid tumor,
elevated neutrophil count, elevated C reactive protein, and pyuria are
independent risk factors that could be useful in anticipating the development of
bacteremia in patients with UTI seen in the ED.
PMID- 27864667
TI - Examining the Impact of Rehospitalization on Healthcare Cost of Myocardial
Infarction Patients in Beijing: A Retrospective Observational Study.
AB - INTRODUCTION: To examine the impact of rehospitalization on the healthcare
expenditure of myocardial infarction (MI) patients in Beijing. METHODS:
Retrospective data of MI patients were retrieved from the Beijing Medical
Insurance Database, an administrative database of social medical reimbursement
activities for the urban population in Beijing, China. Ten percent of patients
diagnosed with MI from January 1 to December 31, 2012 were randomly selected and
their first hospitalization was considered as the index event. Their hospital
utilization after the index event was extracted till September 30, 2013.
Rehospitalization was defined as an event of hospital admission due to the same
diagnosis and with a time interval of at least 14 days from the most recent
admission. The healthcare cost of patients was analyzed, including inpatient cost
and outpatient cost. Patients' demographic characteristics, co-morbidities, and
length of hospital stay were also collected from the database. RESULTS: Of the
1235 MI patients identified, 335 (mean age of 66.14 +/- 15.04 years; 84.18%
males) had rehospitalization. The rate of MI recurrence was 27.13%. The annual
healthcare expense was significantly higher for MI patients with
rehospitalization compared to MI patients without rehospitalization (99,920.43 +/
84,113.52 CNY vs. 58,877.89 +/- 93,942.90 CNY; P < 0.001). The significant
positive predictors of incurring healthcare expenditure were male gender, age 45
years old or more, length of stay at first hospital admission, whether having
rehospitalization (yes/no), co-morbidity (heart failure and diabetes), and
admission to a tertiary hospital at first hospital admission. CONCLUSION: There
was a high risk of MI recurrence for patients in Beijing. The annual healthcare
cost of MI patients with rehospitalization was significantly higher than MI
patients without rehospitalization. Male patients of 45 years old or more with
heart failure and diabetes are likely to incur higher healthcare expenditure.
PMID- 27864669
TI - Subaxial cervical spine trauma.
AB - Subaxial cervical spine trauma is common and an often missed diagnosis. Accurate
and efficient diagnosis and management is necessary to avoid devastating
complications such as spinal cord injury. Several classification schemes have
been devised to help categorize fractures of the subaxial spine and define
treatment algorithms. The Subaxial Cervical Spine Injury Classification System
(SLIC) is widely used and evaluates not only fracture morphology but also
considers ligamentous injury and neurological status in surgical decision making.
However, interobserver reliability is poor, which proves to be the defining
pitfall of this tool. More modern classification systems have been developed,
which aim to improve the interobserver reliability; however, further large-scale
studies are needed for more definitive evaluation. Overall, treatment of subaxial
cervical spine injuries should include a protocol with initial trauma evaluation,
leading to expedient operative intervention if indicated. Surgical techniques
include both anterior and posterior approaches to the cervical spine depending on
fracture classification.
PMID- 27864668
TI - Unproven Therapies for Diabetes and Their Implications.
AB - Diabetes is a chronic disease and is one of the leading causes of morbidity and
mortality worldwide. Being an ancient disease, many individuals follow
complementary and alternative medicinal (CAM) therapies for either the cure or
prevention of the disease. The popularity of these practices among the general
public is in no way a testimony to their safety and efficacy. Due to the
possibility of undesirable interactions with conventional medicines, it is
imperative that patients are asked about CAM use during patient assessment.
Patient- and physician-targeted awareness programs on various aspects of CAM use
must be initiated to create a better understanding of evidence-based use of these
practices. In addition, there should be guidelines in place based on clinical
trial outcomes, and stricter regulations need to be enforced on CAM practices to
ensure their safety and effectiveness.
PMID- 27864670
TI - Relationship Between Vitamin D Deficiency and the Components of Metabolic
Syndrome in Patients with Morbid Obesity, Before and 1 Year After Laparoscopic
Roux-en-Y Gastric Bypass or Sleeve Gastrectomy.
AB - BACKGROUND: Vitamin D deficiency (VDD) is associated with obesity and metabolic
syndrome (MS). After bariatric surgery (BS), high rates of VDD often persist and
some patients are refractory to the resolution of comorbidities. The aim of the
present study is to analyse the relationship between the levels of vitamin D and
the persistence of MS components at 12 months after BS, according to the surgical
technique used. METHODS: We performed a retrospective study of 46 patients
undergoing BS: 23 underwent laparoscopic Roux-en-Y gastric bypass (LRYGBP) and 23
laparoscopic sleeve gastrectomy (LSG). These patients had an average BMI of 45
kg/m2 (34-63). Levels of vitamin D were classified as deficient (<20 ng/dl),
insufficient (20-30 ng/dl) or normal (>30 ng/dl) and analysed in relation to the
components of MS (high blood pressure (HBP), dyslipidaemia (DL) and type 2
diabetes mellitus (T2DM) preoperatively and 12 months after surgery. RESULTS: VDD
was observed in 77% of the patients prior to surgery. There were no significant
associations between predisposing factors and baseline vitamin D. After surgery,
plasma levels of vitamin D increased in both groups, but only 18% of the patients
achieved normal values. Both groups had achieved significant improvements in MS
components. Thus, 63% of all the patients achieved complete resolution of HBP,
54% that of DL and 77% that of T2DM. Moreover, MS was present in 11% of the
patients, compared to 63% at baseline, and the MS resolution rate was 83%, with
no significant differences between LRYGBP and LSG. CONCLUSIONS: There is a
relationship between VDD and persistence of MS, and particularly so with T2DM.
VDD could represent a predictor of MS persistence at 12 months after surgery.
PMID- 27864671
TI - Effects of polymer-based, silver nanoparticle-coated silicone splints on the
nasal mucosa of rats.
AB - Infection is a serious complication after nasal packing that otolaryngologists
seek to avoid. The aim of this study is to investigate the use of silver (Ag)
nanoparticle, which serves as antimicrobial agents, with nasal tampons. The study
design is an experimental animal model and the setting is tertiary referral
center. Twenty-four rats were randomized into the following four groups: (1)
control group (n = 6); (2) silicone nasal splint (SNS) group (n = 6); (3)
polypropylene-grafted polyethylene glycol (PP-g-PEG) amphiphilic graft copolymer
coated SNS group (n = 6); and (4) Ag nanoparticle-embedded PP-g-PEG (Ag-PP-g
PEG) amphiphilic graft copolymer-coated SNS group (n = 6). These tampons were
applied to rats for 48 h, after which they were removed in a sterile manner, and
the rats were sacrificed. The nasal septa of the rats were excised, and
assessments of tissue changes in the nasal mucosa were compared among the groups.
The removed tampons were microbiologically examined, and quantitative analyses
were made. When the groups were compared microbiologically, there were no
significant differences in bacterial colonization rates of coagulase-negative
Staphylococcus spp. among the three groups (p = 0.519), but there was a
statistically significant difference among bacterial colonization rates of
Heamophilus parainfluenzae and Corynebacterium spp. (p = 0.018, p = 0.004). We
found that H. parainfluenzae grew less robustly in the Ag-PP-g-PEG than the PP-g
PEG group (p = 0.017). However, we found no significant difference between the Ag
PP-g-PEG and SNS groups, or between the SNS and PP-g-PEG groups. The growth of
Corynebacterium spp. did not differ significantly between the Ag-PP-g-PEG and SNS
groups (p = 1.000). When Group 4 was compared with Group 2, the former showed
less inflammation. Compared with other tampons, Ag-PP-g-PEG amphiphilic graft
copolymer-coated silicone nasal tampons caused less microbiological colonization
and inflammation. Therefore, the use of these tampons may prevent secondary
infections and reduce the risk of developing complications by minimizing tissue
damage.
PMID- 27864672
TI - Rhinophototherapy in persistent allergic rhinitis.
AB - Previous published results have revealed that Rhinolight(r) intranasal
phototherapy is safe and effective in intermittent allergic rhinitis. The present
objective was to assess whether phototherapy is also safe and effective in
persistent allergic rhinitis. Thirty-four patients with persistent allergic
rhinitis were randomized into two groups; twenty-five subjects completed the
study. The Rhinolight(r) group was treated with a combination of UV-B, UV-A, and
high-intensity visible light, while the placebo group received low-intensity
visible white light intranasal phototherapy on a total of 13 occasions in 6
weeks. The assessment was based on the diary of symptoms, nasal inspiratory peak
flow, quantitative smell threshold, mucociliary transport function, and ICAM-1
expression of the epithelial cells. All nasal symptom scores and nasal
inspiratory peak flow measurements improved significantly in the Rhinolight(r)
group relative to the placebo group and this finding persisted after 4 weeks of
follow-up. The smell and mucociliary functions did not change significantly in
either group. The number of ICAM-1 positive cells decreased non-significantly in
the Rhinolight(r) group. No severe side-effects were reported during the
treatment period. These results suggest that Rhinolight(r) treatment is safe and
effective in persistent allergic rhinitis.
PMID- 27864673
TI - Proteomic analysis of differentially expressed proteins in the two developmental
stages of Ichthyophthirius multifiliis.
AB - Ichthyophthirius is a severe disease of farmed freshwater fish caused by the
parasitic ciliate Ichthyophthirius multifiliis (Ich). This disease can lead to
considerable economic loss, but the protein profiles in different developmental
stages of the parasite remain unknown. In the present study, proteins from
trophonts and theronts of Ich were identified by isobaric tags for relative and
absolute quantitation (iTRAQ). A total of 2300 proteins were identified in the
two developmental stages, of which 1520 proteins were differentially expressed.
Among them, 84 proteins were uniquely expressed in the theronts stage, while 656
proteins were expressed only in trophonts. The differentially expressed proteins
were catalogued (assorted) to various functions of Ich life cycle, including
biological process, cellular component, and molecular function that occur at
distinct stages. Using a 1.5-fold change in expression as a physiologically
significant benchmark, a lot of differentially expressed proteins were reliably
quantified by iTRAQ analysis. Two hundred forty upregulated and 57 downregulated
proteins in the trophonts stage were identified as compared with theronts. The
identified proteins were involved in various functions of the I. multifiliis life
cycle, including binding, catalytic activity, structural molecule activity, and
transporter activity. Further investigation of the transcriptional levels of
periplasmic immunogenic protein, transketolase, zinc finger, isocitrate
dehydrogenase, etc., from the different protein profiles using quantitative RT
PCR showed identical results to the iTRAQ analysis. This work provides an
effective resource to further our understanding of Ich biology, and lays the
groundwork for the identification of potential drug targets and vaccines
candidates for the control of this devastating fish pathogen.
PMID- 27864674
TI - Interactions between Giardia duodenalis Sm proteins and their association with
spliceosomal snRNAs.
AB - Giardia duodenalis is a parasite that colonises the intestines of humans and
other vertebrates, causing diarrhoea and poor nutrient absorption. G. duodenalis
is sometimes considered an early diverging eukaryote, and its genome exhibits
simplified molecular machinery for many cellular processes, which makes it an
interesting model to study. The spliceosome, one of the most complex molecular
machines in the eukaryotic cell, is responsible for intron excision and exon
splicing. Just over a decade ago, it was believed that the G. duodenalis genome
did not contain introns or undergo splicing. Research now shows that this
speculation was incorrect and that uncommon mechanisms, such as trans-splicing
from different genes, occur. In silico studies of the parasite suggest the
possibility of a simplified spliceosome and spliceosomal small nuclear RNA
(snRNA) candidates; however, none of these components have been identified in
vivo. Here, we developed a strategy to study the in vivo expression, interactions
and localisation of these spliceosome components in G. duodenalis. Haemagglutinin
(HA)-tagged SmB and SmD3 proteins, which form part of the spliceosome core, were
overexpressed in the parasite. Immunoprecipitation with anti-HA revealed that the
SmD3 protein is associated with the proteins SmB, SmD1, SmD2, SmE and SmF in
vivo. In addition, the U1, U2 and U4 snRNA candidates reported previously were
found in the protein complex, suggesting that these molecules are spliceosomal
snRNAs of G. duodenalis and they contained a 2,2,7-trimethylguanosine
modification at their 5' end. Our data indicate that the actively expressed
spliceosome in G. duodenalis is similar to that of highly evolved protists and
higher animals.
PMID- 27864675
TI - Drugs Affecting TRP Channels.
AB - Chronic obstructive pulmonary disease (COPD) and asthma are both common
respiratory diseases that are associated with airflow reduction/obstruction and
pulmonary inflammation. Whilst drug therapies offer adequate symptom control for
many mild to moderate asthmatic patients, severe asthmatics and COPD patients
symptoms are often not controlled, and in these cases, irreversible structural
damage occurs with disease progression over time. Transient receptor potential
(TRP) channels, in particular TRPV1, TRPA1, TRPV4 and TRPM8, have been implicated
with roles in the regulation of inflammation and autonomic nervous control of the
lungs. Evidence suggests that inflammation elevates levels of activators and
sensitisers of TRP channels and additionally that TRP channel expression may be
increased, resulting in excessive channel activation. The enhanced activity of
these channels is thought to then play a key role in the propagation and
maintenance of the inflammatory disease state and neuronal symptoms such as
bronchoconstriction and cough. For TRPM8 the evidence is less clear, but as with
TRPV1, TRPA1 and TRPV4, antagonists are being developed by multiple companies for
indications including asthma and COPD, which will help in elucidating their role
in respiratory disease.
PMID- 27864676
TI - Anti-IgE and Biologic Approaches for the Treatment of Asthma.
AB - Current asthma treatments are effective for the majority of patients with mild-to
moderate disease. However, in those with more severe refractory asthma, agents
other than inhaled corticosteroids and beta-agonists are needed both to better
manage this group of patients and to avoid the side effects of high-dose
corticosteroids and the social and personal hardship endured. Several biological
pathways have been targeted over the last 20 years, and this research has
resulted in pharmacological approaches to attempt to better treat patients with
severe refractory asthma. The flagship of the biologics, the anti-IgE monoclonal
antibody, omalizumab, has proven efficacious in selected subgroups of asthma
patients. Tailoring asthma treatments to suit specific subtypes of asthma
patients is in keeping with ideals of personalized medicine. Research in the
complex interplay of allergens, epithelial host defenses, cytokines, and innate
and adaptive immunity interactions has allowed better understanding of the
mechanics of allergy and inflammation in asthma. As a result, new biologic
treatments have been developed that target several different phenotypes and
endotypes in asthma. As knowledge of the efficacy of these biological agents in
asthma emerges, as well as the type of patients in whom they are most beneficial,
the movement toward personalized asthma treatment will follow.
PMID- 27864677
TI - Glucocorticoids.
AB - The most effective anti-inflammatory drugs used to treat patients with airways
disease are topical glucocorticosteroids (GCs). These act on virtually all cells
within the airway to suppress airway inflammation or prevent the recruitment of
inflammatory cells into the airway. They also have profound effects on airway
structural cells to reverse the effects of disease on their function.
Glucorticosteroids act via specific receptors-the glucocorticosteroid receptor
(GR)-which are a member of the nuclear receptor family. As such, many of the
important actions of GCs are to modulate gene transcription through a number of
distinct and complementary mechanisms. Targets genes include most inflammatory
mediators such as chemokines, cytokines, growth factors and their receptors. GCs
delivered by the inhaled route are very effective for most patients and have few
systemic side effects. However, in some patients, even high doses of topical or
even systemic GCs fail to control their disease. A number of mechanisms relating
to inflammation have been reported to be responsible for the failure of these
patients to respond correctly to GCs and these provide insight into GC actions
within the airways. In these patients, the side-effect profile of GCs prevent
continued use of high doses and new drugs are needed for these patients.
Targeting the defective pathways associated with GC function in these patients
may also reactivate GC responsiveness.
PMID- 27864678
TI - Polymorphic Variation in FFA Receptors: Functions and Consequences.
AB - Overfeeding of fat can cause various metabolic disorders including obesity and
type 2 diabetes (T2D). Diet provided free fatty acids (FFAs) are not only
essential nutrients, but they are also recognized as signaling molecules, which
stimulate various important biological functions. Recently, several G protein
coupled receptors (GPCRs), including FFA1-4, have been identified as receptors of
FFAs by various physiological and pharmacological studies. FFAs exert
physiological functions through these FFA receptors (FFARs) depending on carbon
chain length and degree of unsaturation. Functional analyses have revealed that
several important metabolic processes, such as peptide hormone secretion, cell
maturation and nerve activities, are regulated by FFARs and thereby FFARs
contribute to the energy homeostasis through these physiological functions.
Hence, FFARs are expected to be promising pharmacological targets for metabolic
disorders since imbalances in energy homeostasis lead to metabolic disorders. In
human, it is established that different responses of individuals to endogenous
ligands and chemical drugs may be due to differences in the ability of such
ligands to activate nucleotide polymorphic variants of receptors. However, the
clear links between genetic variations that are involved in metabolic disorders
and polymorphisms receptors have been relatively difficult to assess. In this
review, I summarize current literature describing physiological functions of
FFARs and genetic variations of those receptors to discuss the potential of FFARs
as drug targets for metabolic disorders.
PMID- 27864679
TI - [Prescription of antimalarial drugs in the pediatric ward of the National
Hospital of Lamorde, in Niamey].
AB - In Niger, malaria is a major public health problem, due to the high number of
deaths that are attributable to it and because of its heavy weight and
socioeconomic status. The objective of this study was to contribute to the
inventory of medical practices to rationalize the use of medicines and minimize
the resistance phenomenon. This is a prospective study, which took place from May
1 to July 31, 2009, in the pediatric ward of the National Hospital of Lamorde. It
concerned children aged from 0 to 14 years hospitalized and having received one
or more antimalarial drugs during at least 24 h. During the 3 months of the
study, 1,248 children had been admitted in the department. Among them, 881
children received antimalarial treatment, i.e., 70.5% with prescriptions. Malaria
was confirmed by microscopy in 410 children, i.e., 46.5% of the sick children
received antimalarial treatment. Prescription control was deemed noncompliant in
258 patients, i.e., 29.3% of the total. Treatments based on microscopic diagnosis
are one of the strategies that will help to streamline the use of antimalarial
drugs to improve their effectiveness and efficiency and also to reduce the risk
of emergence of resistance.
PMID- 27864680
TI - The transitional vertebra and sacroiliac joint dysfunction association.
AB - PURPOSE: The purpose of this study was to investigate whether transitional
vertebrae contribute to the development of sacroiliac joint dysfunction. The
prevalence of transitional vertebrae in patients with lumbar pain was determined
during this process, and the prevalence of sacroiliac dysfunction was compared
between patients with low back pain and healthy volunteers. METHODS: 700
subjects, 500 with low back pain and 200 healthy volunteers were included in this
study. Five tests were applied to all participants to determine sacroiliac joint
dysfunction. Positivity in three tests was regarded as dysfunction. Lateral
lumbosacral and Ferguson angle X-rays were taken from the group with low back
pain. The patient was evaluated a specialist radiologist in terms of presence or
absence of transitional vertebrae, and if identified, what type. RESULTS:
Transitional vertebrae were determined in 26% (n = 130) of the patients with low
back pain. Type 1a was determined in 20%, type 1b in 10%, type 2a in 26.9%, type
2b in 30.8%, type 3a in 0.8%, type 3b in 4.6% and type 4 in 6.9%. The prevalence
of sacroiliac joint dysfunction in the low back pain group (15.4%) and the
prevalence of sacroiliac joint dysfunction in cases of transitional vertebra
(28.5%) were significantly higher compared to the control groups (p < 0.05).
CONCLUSION: Sacroiliac joint dysfunction must be considered when investigating
the etiology of low back pain. Particular sensitivity must be exhibited on this
subject in patients with transitional vertebrae.
PMID- 27864681
TI - Are sagittal spinopelvic radiographic parameters significantly associated with
quality of life of adult spinal deformity patients? Multivariate linear
regression analyses for pre-operative and short-term post-operative health
related quality of life.
AB - PURPOSE: To evaluate the association in ASD patients between spinopelvic sagittal
parameters and health-related quality of life (HRQL), adjusted for demographic
and surgical variables. METHODS: We constructed multiple linear regression models
to investigate pre-operative (PreOp) and 6-month post-operative (PostOp) HRQL as
assessed by the Oswestry Disability Index (ODI), with sagittal parameters as
independent variables adjusted for potential confounders, such as age, sex, body
mass index, past spine surgery, types of surgical treatment, and complications.
RESULTS: A total of 204 patients (164 women, 40 men, mean age 53.1 years) were
included in this study. In multivariate models for PreOp ODI, no significant
association was observed between PreOp HRQL and sagittal parameters when adjusted
for covariates. Interestingly, age, sex, American Society of Anesthesiologists
score, and body mass index were still significantly associated with PreOp HRQL.
In contrast to PreOp analysis, there was a significant association between PostOp
worse HRQL (higher ODI) and positive T1 sagittal tilt (T1ST: the angle between a
line drawn from the center of the femoral head axis to the midpoint of the T1
vertebral body and a vertical line). Sagittal vertical axis had a weaker
association with HRQL than T1ST. PostOp ASD patients lose flexibility in the
fused spinal segment, and might be predisposed to symptoms related to spinal
sagittal malalignment due to limited compensatory ability to maintain a balanced
standing posture. Interestingly, in patients with sagittal imbalance, low pelvic
tilt (PT) of <20 was significantly associated with PostOp worse HRQL; this
suggests that lack of pelvic compensatory ability can cause significant
disability after ASD surgery. CONCLUSIONS: Pre-operatively, the impact of
sagittal parameters on HRQL was not as strong as reported in the previous studies
that used univariate analysis. Not only sagittal parameters, but also the pre
operative patient's general condition should be carefully reviewed when
considering indication for ASD surgery. In contrast, although this is a short
term follow-up study, PostOp HRQL was significantly associated with sagittal
parameters. When ASD surgery has been indicated, restoration of spinal sagittal
alignment is certainly important for PostOp HRQL.
PMID- 27864682
TI - Posterior implant removal in patients with thoracolumbar spine fractures: long
term results.
AB - PURPOSE: Debate remains whether posterior implants after thoracolumbar spine
fracture stabilization should be removed routinely or only in symptomatic cases.
Implant related problems might be resolved or even prevented but removal includes
secondary risks. The aim of this study was to evaluate safety, patient
satisfaction and quality of life after implant removal. METHODS: A retrospective
cohort study was performed concerning 102 patients that underwent posterior
implant removal after stabilization of a traumatic thoracolumbar fracture between
2003 and 2015. Patients were invited to fill in SF-36, EQ-5D and RMDQ
questionnaires after implant removal. Additionally, questions concerning
satisfaction were presented. Cobb angles before and after removal were measured
and in- or decrease of symptoms was gathered from hospital charts. RESULTS: Mean
age at removal was 38 years and time from implant removal to questionnaire was
approximately 7 years, 62 patients filled in the questionnaires. Complications
were present in 8% and quality of life was reported as fairly good. Patients had
less back pain related disability compared to chronic low back pain patients.
After removal there was a kyphosis increase which did not correspond with
worsened clinical outcome. Removal decreased most symptoms and even asymptomatic
patients reported benefit in most cases. An increase of symptoms after removal
was reported in 11% of patients. CONCLUSION: Implant removal is generally safe
and provides high patient satisfaction. Overall, patients have a fairly good
quality of life. Most symptomatic and asymptomatic patients report benefit from
removal. However, low risks of complications and increase of symptoms have to be
weighted for individual patients.
PMID- 27864683
TI - Cervical sagittal alignment variations in adolescent idiopathic scoliosis
patients treated with thoraco-lumbo-sacral orthosis.
AB - PURPOSE: Thoraco-lumbo-sacral orthosis (TLSO) is an effective treatment in
adolescent idiopathic scoliosis (AIS) patients, but cervical sagittal alignment
(CSA) variations after bracing have never been evaluated. The purpose of this
study was to assess changes in CSA before, during and after TLSO treatment, and
to determine whether patients developed cervical pain. METHODS: This was a
retrospective study in 38 AIS patients (33 females; mean age 10.8 years) treated
by TLSO. Patients were Risser 0 (n = 34) or 1 (n = 4). Major curve deformity (MC)
in the coronal plane and cervical (CSA), thoracic (TSA) and lumbar (LSA) sagittal
alignment were evaluated radiographically at start of treatment (t 0), after 1
month of brace treatment (t 1), and 1 year after end of treatment (t 2). Cervical
pain was evaluated at t 2 using a visual analogue scale (VAS). RESULTS: The TLSO
was worn for an average of 4.6 years. Mean CSA, TSA and LSA were significantly
lower at t 2 than at t 0: 0 +/- 2.5 degrees vs. 9.4 +/- 2.3 degrees , 24.1 +/-
2.6 degrees vs. 29.3 +/- 2.4 degrees and 5 +/- 1.9 degrees vs. 44.2 +/- 2.5
degrees , respectively (p < 0.05). CSA and TSA showed moderate-good correlation
(r = 0.57). CSA was normolordotic in 1/38 patients at t 2 compared to 18/38 at t
0 (p < 0.05). MC did not progress during treatment (p > 0.8). VAS score was 0 in
all patients. CONCLUSIONS: The TLSO can control progression of the deformity in
the frontal plane, but it influences CSA, TSA and LSA. In particular, it
decreases cervical spine lordosis, with reduction maintained 1 year after the end
of treatment. Numerical differences, although statistically significant, were not
clinically relevant.
PMID- 27864685
TI - Regulation of autophagy by Ca2.
AB - Autophagy is an evolutionarily conserved lysosomal catabolic process used as an
internal engine in response to nutrient starvation or metabolic stress. A number
of protein complexes and an intricate network of stress signaling cascades
impinge on the regulation of autophagy; the mammalian target of rapamycin serves
as a canonical player. Ca2+, as a major intracellular second messenger, regulates
multiple physiological and pathological functions. Although significant
information is already well-established about the role of Ca2+ in apoptosis, its
role in autophagy has been recently determined and is poorly understood.
Intracellular Ca2+ positively and negatively affects autophagy. In this review,
evidence for both views and the interplay of Ca2+ between autophagy and apoptosis
induction are discussed. The available data revealed the bidirectional role of
Ca2+ in the regulation of autophagy. Moreover, the data also indicated that this
role probably depends on the context of time, space, Ca2+ source, and cell state,
thus either preventing or enhancing autophagy.
PMID- 27864686
TI - Zoledronic Acid (Reclast(r), Aclasta(r)): A Review in Osteoporosis.
AB - Zoledronic acid (Reclast(r), Aclasta(r)) is an intravenous, highly potent
aminobisphosphonate approved worldwide, including in the USA, EU and Japan for
use in patients with primary or secondary osteoporosis or low bone mass (approved
indications vary between countries). Its high affinity to and long half-life in
bone, and long duration of action, allow for once-yearly administration, which
has the potential to improve adherence to therapy. Zoledronic acid once yearly
for up to 3 years improved bone mineral density (BMD) at several skeletal sites,
reduced fracture risk and bone turnover, and/or preserved bone structure and mass
relative to placebo in clinical studies in patients with primary or secondary
osteoporosis. While additional benefits were seen when treatment was continued
for up to 6 years, as evidenced by a reduced risk of vertebral fractures and
higher BMD relative to 3 years' therapy, there was minimal advantage of treatment
beyond 6 years. Therefore, in patients with low fracture risk, treatment
discontinuation should be considered after approximately 5 years' therapy.
Zoledronic acid administered annually or once in 2 years was also effective in
preventing bone loss in patients with low bone mass. Zoledronic acid was
generally well tolerated, with the most common adverse events (AEs) being
transient, mild-to-moderate post-infusion symptoms, which decreased with
subsequent infusions. To conclude, zoledronic acid once yearly is an effective
and generally well tolerated treatment option for patients with osteoporosis.
PMID- 27864684
TI - Network mechanisms of hippocampal laterality, place coding, and goal-directed
navigation.
AB - The hippocampus and associated structures are responsible for episodic memory in
humans. In rodents, the most prominent behavioral correlate of hippocampal neural
activity is place coding, which is thought to underlie spatial navigation. While
episodic memory is considered to be unique to humans in a restricted context, it
has been proposed that the same neural circuitry and algorithms that enable
spatial coding and navigation also support episodic memory. Here we review the
recent progress in neural circuit mechanisms of hippocampal activity by
introducing several topics: (1) cooperation and specialization of the bilateral
hippocampi, (2) the role of synaptic plasticity in gamma phase-locking of spikes
and place cell formation, (3) impaired goal-related activity and oscillations in
a mouse model of mental disorders, and (4) a prefrontal-thalamo-hippocampal
circuit for goal-directed spatial navigation.
PMID- 27864687
TI - Omega-3 fatty acid EPA improves regenerative capacity of mouse skeletal muscle
cells exposed to saturated fat and inflammation.
AB - Sarcopenic obesity is characterised by high fat mass, low muscle mass and an
elevated inflammatory environmental milieu. We therefore investigated the effects
of elevated inflammatory cytokine TNF-alpha (aging/obesity) and saturated fatty
acid, palmitate (obesity) on skeletal muscle cells in the presence/absence of
EPA, a-3 polyunsaturated fatty acid with proposed anti-inflammatory, anti-obesity
activities. In the present study we show that palmitate was lipotoxic, inducing
high levels of cell death and blocking myotube formation. Cell death under these
conditions was associated with increased caspase activity, suppression of
differentiation, reductions in both creatine kinase activity and gene expression
of myogenic factors; IGF-II, IGFBP-5, MyoD and myogenin. However, inhibition of
caspase activity via administration of Z-VDVAD-FMK (caspase-2), Z-DEVD-FMK
(caspase-3) and ZIETD-KMK (caspase 8) was without effect on cell death. By
contrast, lipotoxicity associated with elevated palmitate was reduced with the
MEK inhibitor PD98059, indicating palmitate induced cell death was MAPK mediated.
These lipotoxic conditions were further exacerbated in the presence of
inflammation via TNF-alpha co-administration. Addition of EPA under cytotoxic
stress (TNF-alpha) was shown to partially rescue differentiation with enhanced
myotube formation being associated with increased MyoD, myogenin, IGF-II and
IGFBP-5 expression. EPA had little impact on the cell death phenotype observed in
lipotoxic conditions but did show benefit in restoring differentiation under
lipotoxic plus cytotoxic conditions. Under these conditions Id3 (inhibitor of
differentiation) gene expression was inversely linked with survival rates,
potentially indicating a novel role of EPA and Id3 in the regulation of apoptosis
in lipotoxic/cytotoxic conditions. Additionally, signalling studies indicated the
combination of lipo- and cyto-toxic effects on the muscle cells acted through
ceramide, JNK and MAPK pathways and blocking these pathways using PD98059 (MEK
inhibitor) and Fumonisin B1 (ceramide inhibitor) significantly reduced levels of
cell death. These findings highlight novel pathways associated with in vitro
models of lipotoxicity (palmitate-mediated) and cytotoxicity (inflammatory
cytokine mediated) in the potential targeting of molecular modulators of
sarcopenic obesity.
PMID- 27864688
TI - Detection of mutations in the BRAF gene in patients with KIT and PDGFRA wild-type
gastrointestinal stromal tumors.
AB - Gastrointestinal stromal tumors (GISTs) are characterized by mutations in exons
9, 11, 13, and 17 of KIT or exons 12, 14, and 18 of PDGFRA gene. However,
approximately 10 to 15 % of GISTs lack the mutations in KIT and PDGFRA, and these
are referred to as wild-type GISTs which are less sensitive to tyrosine-kinase
inhibitors. The aim of this study was to detect BRAF mutations in patients with
wild-type GISTs. We applied a sensitive allele-specific PCR, which was optimized
using the V600E mutation-harboring cell line RKO, followed by verification of the
results by dideoxy sequencing. We selected 149 GIST patients without detectable
mutations in KIT and PDGFRA genes from the Slovak national GIST register and
analyzed biopsy specimens for the presence of BRAF mutations in exon 15. We
identified nine patients with the V600E mutation. The BRAF-driven GISTs were
primary gastric (n = 3), small intestinal (n = 3), colon (n = 1), and of
uncertain origin (n = 1). We also included a liver metastasis of a patient with a
simultaneous KIT exon 11-mutated intra-abdominal metastasis. We conclude that
genome analysis of wild-type GISTs for mutations should include the BRAF gene, as
its mutation status contributes to understanding of pathogenesis and might be
important for decisions on therapy.
PMID- 27864689
TI - Epstein-Barr virus infection and gene promoter hypermethylation in rheumatoid
arthritis patients with methotrexate-associated B cell lymphoproliferative
disorders.
AB - We analyzed CpG-island hypermethylation status in 12 genes of paraffin-embedded
tissues from 38 rheumatoid arthritis (RA) patients with methotrexate (MTX)
associated large B cell lymphoproliferative disorder (BLPD), 11 RA patients with
non-MTX-associated BLPD (non-MTX-BLPD), 22 controls with diffuse large B cell
lymphoma (DLBCL), and 10 controls with Epstein-Barr virus (EBV)+ DLBCL. Among
them, tumor cells from EBV+ MTX-BLPD patients and control EBV+ DLBCL patients had
significantly lower median incidence of CpG island methylator phenotype (CIMP)
than those from non-MTX-BLPD and control DLBCL groups (2.3 and 1.7 vs. 4.3 and
4.4; P < 0.01 for each). In the MTX-BLPD group, EBV+ patients showed lower median
CIMP than EBV- patients (2.3 vs. 3.2); they also had significantly lower
hypermethylation incidence in four apoptosis-related genes, especially death
associated protein kinase (14 vs. 55 %), higher incidence of massive tumor
necrosis (86 vs. 27 %), and lower BCL2 protein expression (19 vs. 86 %) than did
the control DLBCL group (P < 0.01 for all). In all clinical stages, EBV+ MTX-BLPD
patients had better prognoses than the EBV- MTX-BLPD (P = 0.011), non-MTX-BLPD (P
= 0.002), and control DLBCL groups (P = 0.015). MTX-BLPD patients without
hypermethylated RAS-associated domain family-1A (RASSF1A) or O 6 -methyl guanine
DNA methyltransferase (MGMT) had significantly better prognosis than those with
hypermethylation of those genes (P = 0.033). We conclude that in RA patients with
MTX-BLPD, EBV infection is associated with a lower incidence of CIMP, apoptosis
related gene hypermethylation, and BCL2 expression, which can induce tumor
regression by MTX withdrawal and lead to better prognoses.
PMID- 27864690
TI - Infrequent mismatch repair protein loss in gallbladder cancer patients in Japan.
PMID- 27864691
TI - Characterization of TG2 and TG1-TG2 double knock-out mouse epidermis.
AB - Transglutaminases (TGs) are a family of enzymes that catalyse the formation of
isopeptide bonds between the gamma-carboxamide groups of glutamine residues and
the epsilon-amino groups of lysine residues leading to cross-linking reactions
among proteins. Four members, TG1, TG2, TG3, and TG5, of the nine mammalian
enzymes are expressed in the skin. TG1, TG3 and TG5 crosslinking properties are
fundamental for cornified envelope assembly. In contrast, the role of TG2 in
keratinization has never been studied at biochemical level in vivo. In this
study, taking advantage of the TG2 knock-out (KO) and TG1 heterozygous mice, we
generated and characterized the epidermis of TG1-TG2 double knock-out (DKO) mice.
We performed morphological analysis of the epidermis and evaluation of the
expression of differentiation markers. In addition, we performed analysis of the
amino acid composition from isolated corneocytes. We found a significant change
in amino acid composition in TG1KO cornified cell envelopes (CEs) while TG2KO
amino acid composition was similar to wild-type CEs. Our results confirm a key
role of TG1 in skin differentiation and CE assembly and demonstrate that TG2 is
not essential for CE assembly and skin formation.
PMID- 27864692
TI - Transglutaminase 2 is involved in amyloid-beta1-42-induced pro-inflammatory
activation via AP1/JNK signalling pathways in THP-1 monocytes.
AB - Deposition of amyloid-beta (Abeta) peptides has been shown to induce the release
of inflammatory factors by activated microglia and brain infiltrating
monocytes/macrophages. Interestingly, the enzyme transglutaminase 2 (TG2) has
been shown to play a key role in neuroinflammation and regulation of
transcription factors involved in immunomodulation. In this study, we aimed to
better elucidate the mechanisms underlying TG2 involvement in the pro
inflammatory signaling pathway activated by fibrillar Abeta1-42 in THP-1
monocytes. Cell exposure for 24 h to 500 nM Abeta1-42, induced the up-regulation
of CD14, CD16, and TG2, suggesting THP-1 cell functional activation. Abeta1-42
also increased the production of reactive oxygen species, that was reduced by the
pre-incubation with genistein (25 ug/ml), a soy isoflavone with antioxidant
properties. Moreover, IL-1beta and IL-6 mRNA transcript and protein levels were
eightfold increased in Abeta1-42-treated THP-1 monocytes. Interestingly, these
effects were significantly reduced by R283 (~45%), a specific inhibitor of TG
activity, and genistein (~40%). Abeta1-42 induced the activation of p54/p46 JNK,
as well as ERK 1/2 at a lower extent. The inactivation of ERK1/2 signalling
pathway, but not JNK, by either genistein or U0126, a MEK1/2 inhibitor, was not
able to blunt Abeta1-42-induced TG2 up-regulation, that, instead, was
significantly reduced by R283. Abeta1-42 also induced AP-1 activation that was
not significantly affected by genistein or U0126, while was strongly reduced by
R283. Our preliminary findings first suggest that TG2 up-regulation is involved
in the pro-inflammatory activation of THP-1 monocytes induced by Abeta1-42 via
AP1/JNK signalling pathways.
PMID- 27864693
TI - Synthesis and biological evaluation of a novel Amadori compound.
AB - Here, we report the synthesis, purification, ESI MS and NMR characterization, as
well as the SEM analysis of a fructosyl thiophenyl-substituted triazolyl-thione L
alanine (denominated Fru-L-TTA). This novel fructosyl derivative was obtained by
solution synthesis using the Amadori reaction, in analogy to other natural
fructosyl-amino acids, and fully characterized. In particular, we report an
accurate NMR/MS/SEM characterization of Fru-L-TTA alongside some biological
properties, and investigated to compare the properties of the artificial
derivative of this work with the natural counterparts. In particular, Fru-L-TTA
shares with natural fructosyl-amino acids the possibility to inhibit the colony
formation of prostate cancer cells and additionally decreases their migration.
PMID- 27864695
TI - Adjuvant Chemotherapy Improves Overall Survival of Rectal Cancer Patients Treated
with Neoadjuvant Chemoradiotherapy Regardless of Pathologic Nodal Status.
AB - BACKGROUND: After neoadjuvant chemoradiotherapy for rectal cancer, the
interpretation of surgical pathology poses difficulties in deciding the need for
adjuvant chemotherapy (AC). The aim of this study was to determine whether there
is a survival benefit to providing AC in patients with node-negative disease on
surgical pathology. METHODS: Patients with clinical stage II and III rectal
adenocarcinoma who received neoadjuvant chemoradiation and definitive surgical
resection from 2006 to 2012 were identified in the National Cancer Data Base.
Patients were stratified by both receipt of AC and nodal status on surgical
pathology. Propensity score matching was used to form two cohorts (AC vs. no AC)
with otherwise balanced characteristics. Overall survival was compared by Kaplan
Meier analysis, and multivariable survival analysis was performed by a Weibull
model. RESULTS: After propensity score matching, 4172 patients who received
adjuvant therapy (2645 node negative and 1527 node positive) and 4172 patients
who did not receive adjuvant therapy (3063 node negative and 1109 node positive)
were identified. Among patients with either node-negative or node-positive
disease, the use of AC was associated with a significant improvement in overall
survival. These results were also observed after using a multivariable survival
model to control for clinical stage as well as patient- and facility-related
characteristics. CONCLUSIONS: In both patients with node-negative and node
positive disease on surgical pathology, the use of AC is associated with a
survival benefit. In the absence of contraindications, AC should continue to be
routinely recommended to patients after neoadjuvant chemoradiotherapy for locally
advanced rectal cancers.
PMID- 27864694
TI - The Effect on Surgical Complications of Bevacizumab Added to Neoadjuvant
Chemotherapy for Breast Cancer: NRG Oncology/NSABP Protocol B-40.
AB - BACKGROUND: NRG Oncology/NSABP trial B-40 tested the impact of adding bevacizumab
(bev) to neoadjuvant chemotherapy for operable breast cancer. Secondary endpoints
included rates of surgical complications after surgery in patients who did or did
not receive bev. METHODS: A total of 1206 women with HER2-negative operable
breast cancer were randomly assigned to receive one of three different docetaxel
plus-anthracycline-based regimens, without or with bev (15 mg/kg every 3 weeks)
for the first 6 of 8 cycles and for 10 doses postoperatively. Surgical
complications were assessed from date of surgery through 24 months following
study entry. RESULTS: Early surgical complications were significantly more
frequent in the bev group (25.4 vs. 18.9%; trend test p = 0.008), but most were
grade 1-2. Early noninfectious wound dehiscences were infrequent and not
significantly different (5.4 vs. 3.1%; trend test p = 0.15). Long-term
noninfectious wound complications were significantly higher for patients
receiving bev (11.8 vs. 5.1%; trend test p = 0.0007), but the incidence of grade
>=3 wound dehiscence was low in both groups (<1%). Among 193 patients undergoing
expander or implant reconstructions, 19 (19.6%) of 97 in the bev-receiving group
versus 10 (10.4%) of 96 in the non-bev group had grade >=3 complications
(Pearson, p = 0.11). CONCLUSIONS: Overall, adding bev increased surgical
complications, but most serious complications were not significantly increased.
In particular, the need for surgical intervention in patients undergoing breast
reconstruction with prosthetic implants was higher with bev but was not
statistically significantly different. With precautions, bev can be used safely
perioperatively in patients undergoing surgery for breast cancer.
PMID- 27864696
TI - Generation and differentiation of induced pluripotent stem cells reveal
ankylosing spondylitis risk gene expression in bone progenitors.
AB - Axial spondyloarthritis (axSpA), which encompasses ankylosing spondylitis, is a
complex genetic disease. Aberrant bone formation is a key feature of pathogenesis
that can lead to ankylosis of the spine. Our objective is to determine, whether
genes whose variants confer susceptibility to AS are expressed in bone
progenitors like mesenchymal stem cells (MSCs). Since MSCs from bone marrow is
difficult to obtain, we first examined, whether MSCs can be derived from induced
pluripotent stem cells (iPSCs). Dermal fibroblasts of two axSpA patients and one
healthy control were reprogrammed into iPSCs using a Sendai virus vector encoding
pluripotency genes. Pluripotency of iPSCs was examined by embryoid body formation
and by testing for stem cell specific gene and protein expression using RT-PCR
and immuno fluorescence. iPSCs were differentiated into MSCs by a TGFbeta
inhibitor. MSCs were characterized by flow cytometry using lineage specific
antibodies and by their capacity to develop into chondrocytes, adipocytes, and
osteoblasts in lineage-specific medium. RNA-seq was applied to determine genome
wide gene expression patterns in MSCs, iPSCs, and blood. We show for the first
time, that expression levels of several AS susceptibility genes (EDIL3, ANO6,
HAPLN1, ANTXR2) involved in bone formation are significantly elevated in MSCs (2
15-fold; p <= 0.05) compared to blood or iPSCs and demonstrate that iPSC-derived
MSCs can be differentiated into osteoblasts, chondrocytes, and adipocytes. We
conclude, MSCs generated from patient fibroblast-derived iPSC lines are useful
tools for studying functional genomics of risk genes associated with bone
formation in AS pathogenesis.
PMID- 27864697
TI - Characteristics of primary Sjogren's syndrome patients with IgG4 positive plasma
cells infiltration in the labial salivary glands.
AB - The purpose of this study was to investigate the characteristics of primary
Sjogren's syndrome (pSS) patients with IgG4 positive (IgG4+) plasma cell
infiltration in labial salivary glands (LSGs). Paraffin sections of LSGs from 336
pSS patients were stained with IgG4 and IgG monoclonal antibodies. According to
the infiltration of IgG4+ plasma cells, patients were divided and clinical and
serological characteristics were analyzed and compared. Based on the infiltration
of IgG4+ plasma cells in the LSGs, patients were divided into three subgroups,
low IgG4, moderate IgG4, and high IgG4 groups. A negative association between the
number of infiltrated IgG4+ plasma cells and the disease characteristics was
observed. We found that the higher the IgG4+ expression in plasma cells, the
lower the positive rates of serum anti-SSA antibodies, anti-SSB antibodies,
antinuclear antibodies (ANA), and rheumatoid factor (RF). Besides, patients from
the high IgG4 group had the highest frequency of interstitial lung disease (ILD,
30.6%) and tubulointerstitial nephritis (TIN, 13.9%), but the lowest frequency of
leucopenia (13.9%), thrombocytopenia (11.1%), and abnormal thyroidal function
(0%). PSS patients with different IgG4+ plasma cells infiltration in the LSGs had
distinctive clinical and laboratory characteristics. It may help us to further
understand the role of IgG4+ plasma cells in pSS.
PMID- 27864698
TI - BLK pathway-associated rs13277113 GA genotype is more frequent in SLE patients
and associated with low gene expression and increased flares.
AB - We aimed to evaluate the relationship between some important genetic variations
and expressions of these genes in our SLE population. We also determined their
association with clinical parameters. Eighty-four SLE patients (79 F, 5 M) and
105 healthy controls (98 F, 7 M) were included in the study. rs13277113,
rs2736340, rs7829816, rs6983130, rs2613310, and rs704853 polymorphisms, gene
expressions of Src family kinases (Blk, Hck, Lck, and Lyn), and Syk kinases (Syk,
ZAP70) were studied by real-time PCR. The heterozygous genotypic pattern (GA) for
rs13277113 polymorphism was more frequent in patients with SLE when compared to
that in controls (48.8 vs. 31.4%, p = 0.035). Other genotype variants were
similar in SLE patients and controls. In the SLE group, the heterozygous genotype
for rs13277113 was significantly less frequent in active SLE patients (58.8 vs.
26.7%, p = 0.01). SLE flares according to the SELENA-SLEDAI flare index were
significantly more frequent in GA (rs13277113) (70 vs. 37%) and CT (rs2736340)
genotypes (66.7 vs. 35.2%) than those in other genotypes (p values <0.01). The
relative expression of Blk gene was significantly decreased in the SLE group as
compared to that in controls (0.52 times, 95%CI 0.19-0.85). The gene expressions
of Blk and ZAP70 were significantly lower in SLE patients who had flares
according to the SELENA-SLEDAI flare index when compared to those in others (p
values 0.01 and 0.017). We observed more frequent heterozygous GA genotypic
pattern (rs13277113) in our SLE patients compared to that in controls; and it was
associated with disease flares. Blk gene expression in SLE was lower, especially
in relapsing patients.
PMID- 27864699
TI - An Experimental Framework for Generating Evolvable Chemical Systems in the
Laboratory.
AB - Most experimental work on the origin of life has focused on either characterizing
the chemical synthesis of particular biochemicals and their precursors or on
designing simple chemical systems that manifest life-like properties such as self
propagation or adaptive evolution. Here we propose a new class of experiments,
analogous to artificial ecosystem selection, where we select for spontaneously
forming self-propagating chemical assemblages in the lab and then seek evidence
of a response to that selection as a key indicator that life-like chemical
systems have arisen. Since surfaces and surface metabolism likely played an
important role in the origin of life, a key experimental challenge is to find
conditions that foster nucleation and spread of chemical consortia on surfaces.
We propose high-throughput screening of a diverse set of conditions in order to
identify combinations of "food," energy sources, and mineral surfaces that foster
the emergence of surface-associated chemical consortia that are capable of
adaptive evolution. Identification of such systems would greatly advance our
understanding of the emergence of self-propagating entities and the onset of
adaptive evolution during the origin of life.
PMID- 27864700
TI - A highly Sensitive Turn-on Fluorescent Sensor for Ba2+ Based on G-Quadruplexes.
AB - A G-quadruplex-based fluorescent biosensor for highly sensitive detection of
barium ion (Ba2+) was constructed for the first time. In the absence of Ba2+, the
G-quadruplex-specific fluorescence ligand N-methyl mesoporphyrin IX (NMM)
remained weakly fluorescent when coexisted with a single-stranded G-quadruplex
sequence AGRO100. Upon addition of Ba2+, AGRO100 was folded into G-quadruplex
structures with the aid of Ba2+, which bound with NMM by stacking forces and
significantly enhanced its fluorescence. The maximum fluorescence intensity of
NMM was increased by ca. 22-fold in response to 1 MUM Ba2+. This simple method
exhibites a good linear relationship in the range of 0-600 nM with the detection
limit of 4 nM. The detection method is turn-on, fast, economic, high in signal-to
noise ratio and free of participation of toxic organic solvents, demonstrating
its great potential for on-site and real-time Ba2+ detection.
PMID- 27864701
TI - Atomistic Engineering of Chemiluminogens: Synthesis, Properties and
Polymerization of 2,3-Dihydro-Pyrrolo[3,4-d]Pyridazine-1,4-Dione Scaffolds.
AB - Two chemiluminescent compounds containing 2,5-di(thien-2-yl)pyrrole and
pyridazine units, namely 5,7-di(thiophen-2-yl)-2,3-dihydro-1H-pyrrolo[3,4
d]pyridazine-1,4(6H)-dione (5) and 6-phenyl-5,7-di(thiophen-2-yl)-2,3-dihydro-1H
pyrrolo[3,4-d]pyridazine-1,4(6H)-dione (6), were successfully synthesized and
electrochemically polymerized. The compounds have chemiluminescent properties and
glow in the presence of hydrogen peroxide in basic medium. The intensity of the
glow can be increased dramatically by using Fe3+ ions, hemin (1.0 ppm) or blood
samples (1.0 ppm) as catalyst. The compounds 5 and 6 have one well-defined
irreversible oxidation peak at 1.08 V and 1.33 V vs Ag/AgCl, respectively.
Electrochemical polymerization of both 5 and 6 were carried out successfully by
repeating potential scanning in the presence of BF3. Et2O in an electrolyte
solution of 0.1 M LiClO4 dissolved in acetonitrile. The electronic band gaps (Eg)
of the polymers P5 and P6 were found to be 2.02 eV and 2.16 eV, respectively. On
the other hand, the corresponding polymers are electroactive and exhibited
electrochromic features. Graphical Abstract ?.
PMID- 27864702
TI - Selective Spectrofluorimetric Method with Enhanced Sensitivity for Determination
of Silodosine in Dosage Form and Human Plasma. Application to Stability Studies
and Content Uniformity Testing.
AB - A novel, sensitive and selective spectrofluorimetric method has been developed
and validated for determination of silodosine (SLD) in its dosage form and human
plasma. The method is based on nucleophilic substitution reaction of SLD with 5
(dimethylamino) naphthalene-1-sulfonyl chloride (dansyl chloride) in presence of
5.0 * 10-4 M sodium carbonate (pH 10.50) to yield a highly fluorescent derivative
that was measured at 435 nm after excitation at 347 nm. The different
experimental parameters affecting the development and stability of the reaction
product were carefully studied and optimized. The fluorescence-concentration plot
was rectilinear over the range 30.0-200.0 ng ml-1, with a correlation coefficient
of 0.9979. The limits of detection (LOD) and quantification (LOQ) were found to
be 5.44 and 16.47 ng ml-1, respectively. The proposed method was validated
according to ICH guidelines, and successfully applied to the assay of commercial
capsules as well as content uniformity testing. The high sensitivity of the
proposed method allowed its successful application to the analysis of SLD in
spiked human plasma with % recovery of 92.88 +/- 1.05-100.73 +/- 0.75%, (n = 6).
The application of the proposed method was further extended to stability studies
of SLD after exposure to different forced degradation conditions, such as acidic,
alkaline and oxidative conditions, according to ICH guidelines, where this work
describe the first attempt for selective spectrofluorimetric determination of
silodosine in plasma and in the presence of its oxidative degradation.
PMID- 27864703
TI - Longitudinal experience with WHO Grade III (anaplastic) meningiomas at a single
institution.
AB - To retrospectively analyze and assess the outcomes and prognostic factors in
patients with anaplastic meningioma (AM) (WHO Grade III). Clinical data and
outcome [overall (OS) and progression-free (PFS) survival] from 18 patients with
Grade III meningioma (AM, based on World Health Organization 2016 definition)
initially treated between March 2000 and June 2015 were analyzed. Eleven patients
(61%) were male, median age at diagnosis was 63 (range 48-86), and 55% (10/18
patients) had good performance status (KPS >= 80). Eight patients (45%) had lower
grade disease (Grade I-n = 2; Grade II-n = 6) prior to being upgraded to AM. Ten
patients had fractionated radiation after primary surgery, eight patients had
salvage fractionated RT, stereotactic radiosurgery (SRS) boost along with primary
RT in 1 patient, and salvage SRS to 18 separate areas in 14 patients. Salvage
chemotherapy was mainly considered in third or fourth recurrences. 13 (72%)
patients recurred and 10 (56%) have died. Median PFS was 14.5 months (95% CI 6.9
22.2). The 5-year survival rate was 40 +/- 15% and median OS was 55.8 months (95%
CI 27.7-80.3). Of all factors examined, only Karnofsky performance status (KPS)
affected outcome (PFS p = 0.0003; OS p = 0.0003). With median OS of 55 months
(4.6 years) our results are consistent with existing reports of the poor outcomes
for AM patients. From the available data, surgical resection followed by RT and
salvage radiosurgery and/or chemotherapy can lead to extended survival; however
the benefit may decrease with successive treatments.
PMID- 27864704
TI - A clinicopathologic study of extraventricular neurocytoma.
AB - In 2007, extraventricular neurocytoma was classified as a separate entity among
glioneuronal tumors. However, extraventricular neurocytoma is not fully
understood and may be misdiagnosed. Here, we describe the clinical and
pathological features, prognoses, and treatments of 13 extraventricular
neurocytoma cases, and compare their immunophenotypes with those of
oligodendroglioma, diffuse astrocytoma, and ependymoma. Six typical and 7
atypical cases comprised the 13 extraventricular neurocytoma cases. Histological
features included oligodendroglioma-like perinuclear halo, neuropil-like matrix,
ganglion or ganglioid cells, perivascular pseudorosettes, vessel hyalinization,
calcifications, and myxoid degeneration. Atypical histological features included
increased mitotic figures, focal necrosis, endothelial cell proliferation, and/or
a Ki-67 index of >2%. All lesions expressed synaptophysin and microtubule
associated protein-2, which distinguished them from other similar tumors. Two
patients with atypical extraventricular neurocytoma had tumor recurrence, one of
whom had cerebrospinal fluid dissemination, suggesting that atypical histological
features might represent adverse prognostic factors. In conclusion, the present
study identified morphological and immunohistochemical features that would aid
the differential diagnosis of extraventricular neurocytoma. In addition,
radiotherapy with subtotal resection could be considered an effective treatment
for extraventricular neurocytoma, but because a pediatric patient died of
intracranial hemorrhage during radiotherapy, radiotherapy-related side effects
should be considered, especially when treating children. Additional cases with
long-term follow-up are needed to develop optimal management protocols for
extraventricular neurocytoma.
PMID- 27864705
TI - The clinical and financial impact of a pediatric surgical neuro-oncology clinical
trial.
AB - Pediatric surgical trials are rare and the impact of such trials on the
institutions in which they are conducted is unknown. The purpose of this study
was to analyze the clinical and financial impact of The Re-MATCH trial, a Phase I
clinical trial requiring the biopsy or resection of recurrent medulloblastoma or
PNET for enrollment. Inpatient financial and clinical volume information was
collected during the 3 years of trial enrollment and the years preceding and
following it. The primary endpoints were the difference in direct contribution
margin (DCM), or net gain, of study and non-study patients and the difference in
surgical volume during the study and non-study periods. The trial enrolled 18
patients; 15 had surgery at the sponsor institution and three had surgery at
their home institution, then transferred tumor material to the sponsor
institution. There were no differences between the two groups for potentially
confounding variables such as neurosurgical procedure work relative value units
(P = 0.13) or insurance provider (P = 0.26). There was no difference between the
inpatient DCM per case for the institution for non-study patients (mean +/- SD,
$9039 +/- $28,549) and study patients ($14,332 +/- $20,231) (P = 0.4819). During
the non-study period, there were a mean of 2.78 +/- 1.65 pediatric brain tumor
resections per month compared to 3.34 +/- 1.66 cases per month during the study
period, a 17% increase. When the 15 study patients were excluded, there were 2.97
+/- 1.64 cases per month, a 7% increase. However, this increase in total case
volume including study and non-study patients was not significant (P = 0.121).
Phase I investigator-initiated surgically-based clinical trials may increase
institutional surgical volume without imposing a financial burden. Finances are
unlikely to be a barrier for researchers negotiating for resources to conduct
such trials.
PMID- 27864706
TI - Influence of insurance status and income in anaplastic astrocytoma: an analysis
of 4325 patients.
AB - To determine the impact of insurance status and income for anaplastic astrocytoma
(AA). Data were extracted from the National Cancer Data Base. Chi square test,
Kaplan-Meier method, and Cox regression models were employed in SPSS 22.0
(Armonk, NY: IBM Corp.) for data analyses. 4325 patients with AA diagnosed from
2004 to 2013 were identified. 2781 (64.3%) had private insurance, 925 (21.4%)
Medicare, 396 (9.2%) Medicaid, and 223 (5.2%) were uninsured. Those uninsured
were more likely to be Black or Hispanic versus White or Asian (p < 0.001), have
lower median income (p < 0.001), less educated (p < 0.001), and not receive
adjuvant chemoradiation (p < 0.001). 1651 (38.2%) had income >=$63,000, 1204
(27.8%) $48,000-$62,999, 889 (20.5%) $38,000-$47,999, and 581 (13.4%) had income
<$38,000. Those with lower income were more likely to be Black or Hispanic versus
White or Asian (p < 0.001), uninsured (p < 0.001), reside in a rural area (p <
0.001), less educated (p < 0.001), and not receive adjuvant chemoradiation (p <
0.001). Those with private insurance had significantly higher overall survival
(OS) than those uninsured, on Medicaid, or on Medicare (p < 0.001). Those with
income >=$63,000 had significantly higher OS than those with lower income (p <
0.001). On multivariate analysis, age, insurance status, income, and adjuvant
therapy were independent prognostic factors for OS. Being uninsured and having
income <$38,000 were independent prognostic factors for worse OS in AA. Further
investigations are warranted to help determine ways to ensure adequate medical
care for those who may be socially disadvantaged so that outcome can be maximized
for all patients regardless of socioeconomic status.
PMID- 27864707
TI - Impact of operative length on post-operative complications in meningioma surgery:
a NSQIP analysis.
AB - Many studies have implicated operative length as a predictor of post-operative
complications, including venous thromboembolism [deep vein thrombosis (DVT) and
pulmonary embolism (PE)]. We analyzed the American College of Surgeons (ACS)
National Surgical Quality Improvement Program (NSQIP) database from 2006 to 2014,
to evaluate whether length of operation had a statistically significant effect on
post-operative complications in patients undergoing surgical resection of
meningioma. Patients were included for this study if they had a post-operative
diagnosis of meningioma. Patient demographics, pre-operative comorbidities, and
post-operative 30-day complications were analyzed. Of 3743 patients undergoing
craniotomy for meningioma, 13.6 % experienced any complication. The most common
complications and their median time to occurrence were urinary tract infection
(2.6 %) at 10 days postoperatively (IQR 7-15), unplanned intubation (2.5 %) at 3
days (IQR 1-7), failure to wean from ventilator (2.4 %) at 2.0 days (IQR 2-4),
and DVT (2.4 %) at 6 days (IQR 11-19). Postoperatively, 3.6 % developed VTE; 2.4
% developed DVT and 1.7 % developed PE. Multivariable analysis identified older
age (third and upper quartile), obesity, preoperative ventilator dependence,
preoperative steroid use, anemia, and longer operative time as significant risk
factors for VTE. Separate multivariable logistic regression models demonstrated
longer operative time as a significant risk factor for VTE, all complications,
major complications, and minor complications. Meningioma resection is associated
with various post-operative complications that increase patient morbidity and
mortality risk. this large, multi-institutional patient sample, longer operative
length was associated with increased risk for postoperative venous
thromboembolisms, as well as major and minor complications.
PMID- 27864710
TI - Regulation of sporopollenin synthesis for pollen wall formation in plant.
PMID- 27864711
TI - Mesenchymal stem cells-derived exosomal microRNAs contribute to wound
inflammation.
AB - Clinical and experimental studies have highlighted the significance of
inflammation in coordinating wound repair and regeneration. However, it remains
challenging to control the inflammatory response and tolerance at systemic levels
without causing toxicity to injured tissues. Mesenchymal stem cells (MSCs)
possess potent immunomodulatory properties and facilitate tissue repair by
releasing exosomes, which generate a suitable microenvironment for inflammatory
resolution. Exosomes contain several effective bioactive molecules and act as a
cell-cell communication vehicle to influence cellular activities in recipient
cells. During this process, the horizontal transfer of exosomal microRNAs
(miRNAs) to acceptor cells, where they regulate target gene expression, is of
particular interest for understanding the basic biology of inflammation ablation,
tissue homeostasis, and development of therapeutic approaches. In this review, we
describe a signature of three specific miRNAs (miR-21, miR-146a, and miR-181)
present in human umbilical cord MSC-derived exosomes (MSC-EXO) identified
microarray chip analysis and focus on the inflammatory regulatory functions of
these immune-related miRNAs. We also discuss the potential mechanisms
contributing to the resolution of wound inflammation and tissue healing.
PMID- 27864712
TI - Associations between serum lipids and breast cancer incidence and survival in the
E3N prospective cohort study.
AB - PURPOSE: Several mechanistic studies support a role of cholesterol or its
metabolites in breast cancer etiology, but associations have been inconsistent in
epidemiological studies. In observational studies, possible reverse causation
must be accounted for using a prospective design. We investigated prospective
associations between pre-diagnostic serum lipid concentrations [total cholesterol
(TC), high-density lipoprotein cholesterol, low-density lipoprotein cholesterol,
triglycerides], and both breast cancer risk and survival in the E3N cohort study.
METHODS: Analyses were performed on 583 cases from the E3N prospective cohort
diagnosed between 1994 and 2005, and 1,043 controls matched on date, age,
recruitment center and menopausal status at blood collection. Odds ratios (OR)
and 95% confidence intervals were estimated using conditional logistic
regression. Risks of recurrence were estimated among cases using Cox proportional
hazards model. Models were adjusted for lifestyle risk factors and mutually
adjusted for lipid concentrations. Survival analyses were additionally adjusted
for tumor characteristics. RESULTS: Overall, there was no association between any
serum lipid and breast cancer risk or survival. In stratified analyses,
statistically significant interaction was observed between TC and menopausal
status (P interaction = 0.05) and between TC and waist circumference (P
interaction = 0.03), although the ORs did not reach statistical significance in
any of the strata. There was no statistically significant effect modification by
BMI, time between blood donation and diagnosis or ER status. CONCLUSIONS: Our
results suggest that serum lipids are not associated with breast cancer risk
overall, but that menopausal status and waist circumference should be considered
in further studies.
PMID- 27864708
TI - Thyroid dysfunction and kidney disease: An update.
AB - Thyroid hormones influence renal development, kidney hemodynamics, glomerular
filtration rate and sodium and water homeostasis. Hypothyroidism and
hyperthyroidism affect renal function by direct renal effects as well as systemic
hemodynamic, metabolic and cardiovascular effects. Hypothyroidism has been
associated with increased serum creatinine and decreased glomerular filtration
rate. The reverse effects have been reported in thyrotoxicosis. Most of renal
manifestations of thyroid dysfunction are reversible with treatment. Kidney
disease may also cause thyroid dysfunction by several mechanisms. Nephrotic
syndrome has been associated to changes in serum thyroid hormone concentrations.
Different forms of glomerulonephritis and tubulointerstitial disease may be
linked to thyroid derangements. A high prevalence of thyroid hormone alteration
has been reported in acute kidney injury. Thyroid dysfunction is highly prevalent
in chronic kidney disease patients. Subclinical hypothyroidism and low
triiodothyronine syndrome are common features in patients with chronic kidney
disease. Patients treated by both hemodialysis and peritoneal dialysis, and renal
transplantation recipients, exhibit thyroid hormone alterations and thyroid
disease with higher frequency than that found in the general population. Drugs
used in the therapy of thyroid disease may lead to renal complications and,
similarly, drugs used in kidney disorders may be associated to thyroid
alterations. Lastly, low thyroid hormones, especially low triiodothyronine
levels, in patients with chronic kidney disease have been related to a higher
risk of cardiovascular disease and all-cause mortality. Interpretation of the
interactions between thyroid and renal function is a challenge for clinicians
involved in the treatment of patients with thyroid and kidney disease.
PMID- 27864713
TI - A prospective randomized study for efficacy of an uncovered double bare metal
stent compared to a single bare metal stent in malignant biliary obstruction.
AB - BACKGROUND AND AIMS: A biliary self-expandable metal stent (SEMS) is commonly
used to relieve malignant biliary obstruction. The aim of this study was to
compare the efficacy of a conventional uncovered SEMS with that of a newly
developed uncovered double bare metal stent in reducing the risk of stent
occlusion caused by tumor ingrowth. PATIENTS AND METHODS: We performed a
prospective, open-labeled, randomized trial in 71 patients at Severance Hospital,
Yonsei University College of Medicine from June 2013 to June 2014. Patients with
inoperable malignant biliary obstruction were included and randomized to receive
an uncovered single bare metal stent (SBSs; S&G Biotech Inc.), an uncovered
single bare metal stent (SBSt; Taewoong Medical), or an uncovered double bare
metal stent (DBS; S&G Biotech Inc.). RESULTS: The mean age was 66.6 years (range,
35-83), and 42 (59.2%) were male. The mean duration of stent patency was 212 days
(+/-152) in the DBS group (n = 24) compared with 124 days (+/-98) in the SBSs
group (n = 23; P = 0.022 for noninferiority) and 116 days (+/-79) in the SBSt
group (n = 24; P = 0.010 for noninferiority). There were no differences in the
incidences of early and delayed complications or migration. CONCLUSIONS: The
newly developed DBS is noninferior to the conventional uncovered SEMSs on
duration of stent patency and tumor ingrowth occurred less frequently in the DBS
group. This might decrease the need for reintervention and offer a better quality
of life. The trial is registered with Clinicaltrials.gov no: NCT01869894.
PMID- 27864714
TI - Clinical features of postoperative anastomotic bleeding after gastrectomy and
efficacy of endoscopic hemostasis: a case-control study.
AB - BACKGROUND: Postoperative anastomotic bleeding (PAB) is relatively rare; however,
it can be lethal if not treated immediately. The aim of our study was to
investigate the clinical features of PAB and the efficacy of endoscopic
hemostasis (EH) for PAB. METHODS: Between January 2004 and May 2013, 16,591
patients underwent gastrectomy for gastric cancer at Asan Medical Center. Among
them, 36 patients who experienced PAB within 2 months after the gastrectomy were
enrolled as a case group. Each subject was matched at a ratio of 1:5 with
randomly selected patients without bleeding during the same period (n = 180,
control group). The clinical outcomes and risk factors for patients with PAB were
compared with those of the control group, and the results of EH were evaluated
retrospectively. RESULTS: The incidence of PAB was 0.22% (n = 36), and the median
duration from gastrectomy to PAB was 34.5 h (interquartile range, 12.3-132.8 h).
EH was attempted in 25 patients (69.4%); surgery was performed in 6 patients
(16.7%); and conservative management was applied in 5 patients (13.9%). PAB
related death occurred in three patients (8.3%; one in each treatment modality).
Among 25 patients with primary EH, 16 were treated successfully (64%) and
hemoclip was the most commonly used endoscopic tool (52%). In the multivariate
analysis, the type of gastrectomy was found to be a risk factor for PAB (odds
ratio 3.448, 95% confidence interval, 1.138-10.448, p = .029). CONCLUSIONS:
Although PAB is an infrequent and potentially life-threatening complication,
endoscopy can be considered as a useful method to avoid additional surgery in
properly selected patients.
PMID- 27864715
TI - Laparoscopic antireflux surgery increases health-related quality of life in
children with GERD.
AB - INTRODUCTION: Improving health-related quality of life (HRQoL) is increasingly
recognized as an essential part of patient care outcome. Little is known about
the effect of laparoscopic antireflux surgery (LARS) on the HRQoL in the
pediatric patients. The aims of this study were to evaluate the effect of LARS on
HRQoL in children with gastroesophageal reflux disease (GERD) and to identify
predictors that influence HRQoL outcome after LARS. METHODS: Between 2011 and
2013, 25 patients with therapy-resistant GERD [median age 6 (2-18) years] were
included prospectively. Caregivers and children with normal neurodevelopment (>4
years) were asked to fill out the validated PedsQL 4.0 Generic Core Scales before
and 3-4 months after LARS. RESULTS: The PedsQL was completed by all caregivers (n
= 25) and 12 children. HRQoL total score improved significantly after LARS, both
from a parental (p = 0.009) and child's perspective (p = 0.018). The psychosocial
health summary and physical health summary scores also improved significantly
after LARS. HRQoL before and after LARS was significantly lower in children with
impaired neurodevelopment (p < 0.001). However, neurodevelopment did not
influence the effect of LARS on HRQoL. The only significant predictor for
improvement in HRQoL after LARS was age at the time of operation (p = 0.001).
CONCLUSIONS: HRQoL significantly improves after LARS. Although children with
impaired neurodevelopment had lower overall HRQoL, neurodevelopment by itself
does not predict inferior improvement in HRQoL after LARS. Older children have a
more favorable HRQoL outcome after LARS compared to younger children. This may
suggest caution when considering LARS in younger GERD patients.
PMID- 27864716
TI - Single-incision laparoscopic surgery increases the risk of unintentional thermal
injury from the monopolar "Bovie" instrument in comparison with traditional
laparoscopy.
AB - BACKGROUND: Single-incision laparoscopic surgery (SILS) places multiple
instruments in close, parallel proximity, an orientation that may have
implications in the production of stray current from the monopolar "Bovie"
instrument. The purpose of this study was to compare the energy transferred
during SILS compared to traditional four-port laparoscopic surgery (TRD). METHOD:
In a laparoscopic simulator, instruments were inserted via SILS or TRD setup. The
monopolar generator delivered energy to a laparoscopic L-hook instrument for 5-s
activations on 30-Watts coag mode. The primary outcome (stray current) was
quantified by measuring the heat of liver tissue held adjacent to the non
electrically active 10-mm telescope tip and Maryland grasper in both the SILS and
TRD setups. To control for the potential confounder of stray energy coupling via
wires outside the surgical field, the camera cord and active electrode wires were
oriented parallel or completely separated. RESULTS: SILS and TRD setups create
similar amounts of stray current as measured by increased tissue temperature at
the non-electrically active telescope tip (41 +/- 12 vs. 39 +/- 10 degrees C; p
= 0.71). Stray current was greater in SILS compared to TRD at the tip of the non
electrically active Maryland forceps (38 +/- 9 vs. 20 +/- 10 degrees C; p <
0.01). Separation of the active electrode and camera cords did not change the
amount of stray energy in the SILS orientation for either telescope (39 +/- 10
degrees C bundled vs. 36 +/- 10 degrees C separated; p = 0.40) or grasper (38 +/
9 degrees C bundled vs. 34 +/- 11 degrees C separated; p = 0.19) but did in
the TRD orientation (41 +/- 12 bundled vs. 24 +/- 10 separated; p < 0.01). When
SILS was compared to TRD with the cords separated, SILS increased stray energy at
both the telescope tip and grasper tip (36 +/- 10 vs. 24 +/- 10 degrees C; p <
0.01 and 34 +/- 11 vs. 17 +/- 8 degrees C; p < 0.01). CONCLUSION: SILS increases
stray energy transfer nearly twice as much as TRD with the use of the monopolar
instrument. Strategies to mitigate the amount of stray energy in the TRD setup
such as separation of the active electrode and camera cords are not effective in
the SILS setup. These practical findings should enhance surgeons using the SILS
approach of increased stray energy that could result in injury.
PMID- 27864717
TI - Intraoperative localization of the parathyroid glands with indocyanine green and
Firefly(R) technology during BABA robotic thyroidectomy.
AB - BACKGROUND: It is unclear whether near-infrared (NIR) light-induced indocyanine
green (ICG) fluorescence can effectively identify, and thus permit the
preservation of, parathyroid glands in bilateral axillo-breast approach (BABA)
robotic thyroidectomy. This case-control study with a prospectively recruited
consecutive series and a retrospectively selected control group assessed the
usefulness of ICG with Firefly(R) technology to identify the parathyroid glands
intraoperatively during BABA robotic thyroidectomy. METHODS: All consecutive
patients (N = 22) who were scheduled to undergo BABA robotic thyroidectomy for
papillary thyroid carcinoma in December 2013-August 2015 and met the study
eligibility criteria were recruited prospectively. ICG fluorescence was used with
the Firefly system (NIR illuminator: 805 nm; filter: 825 nm) integrated in the da
Vinci Si robot system to identify the lower parathyroid glands. Parathyroid
hormone levels were recorded on postoperative days 0, 1, 2, and 14. Propensity
score matching was used to identify an age-, gender-, tumor size-, and operation
type-matched group of control patients who underwent BABA robotic thyroidectomy
without the Firefly system. The two groups were compared in terms of parathyroid
related outcomes. RESULTS: ICG fluorescence-mediated identification of the
parathyroid and thyroid glands required on average (range) 203 +/- 89 (125-331)
and 207 +/- 112 (130-356) s, respectively. The mean (range) fluorescence duration
in these glands was 20.8 +/- 6.0 (16.6-35.8) and 20.1 +/- 7.3 (15.5-33.8) min,
respectively. The ICG group had a significantly lower rate of incidental
parathyroidectomy than the control group (0 vs. 15.9%, P = 0.048). CONCLUSIONS:
ICG with NIR light may feasibly and safely identify the parathyroid glands in
BABA robotic thyroidectomy.
PMID- 27864718
TI - Phosphate tablets or polyethylene glycol for preparation to colonoscopy? A
multicentre non-inferiority randomized controlled trial.
AB - BACKGROUND: Adequate bowel preparation is a crucial step in colonoscopy procedure
and has been identified as the cornerstone of a quality colonoscopy. Polyethylene
glycol (PEG) for bowel cleansing still had up to 10 % unprepared colon. AIM: We
herein compare efficacy, acceptability, tolerance and safety of sodium phosphate
(NaP) tablets and split-dose PEG for bowel cleansing. PATIENTS AND METHODS: A
prospective non-inferiority randomized trial was performed and registered on
www.clinicaltrials.gov (NCT01840553). Patients were randomized to either 32 NaP
tablets or 4 L of PEG. Blind readers assessed the efficacy of colon cleansing
using the Boston Bowel Preparation Scale (BBPS). RESULTS: A total of 461 patients
were randomized in groups (NaP group: n = 231; PEG group: n = 230). Median age
was 54 and 52 in NaP group and PEG group, respectively (p < 0.01). Patients
experienced an overall compliance to the treatment in 99.6 and 94.1 % in the NaP
group and in the PEG group, respectively (p < 0.001). The mean time of withdrawal
was 15.1 +/- 8.9 and 15.4 +/- 9.5 min in the NaP group and in the PEG group,
respectively (p = 0.95). The good quality of bowel preparation, defined as BBPS
score >=7, was obtained in 86.4 and 89.0 % of cases in the NaP group and in the
PEG group, respectively (p = 0.42). In all segment (right colon, transverse colon
and left colon and rectum), the NaP group was non-inferior to the PEG group.
Bowel prep regimen was more frequently considered as "easy" by patients from the
NaP group (54.8 % of patients) than patients from the PEG group (29.0 % of
patients; p < 0.001). No serious adverse events were reported. No statistical
differences were found between the NaP group and the PEG group concerning the
incidence of an adverse event (338 vs. 322, respectively). CONCLUSION: While NaP
tablets appeared as efficient as PEG in terms of colon cleansing prior to a
colonoscopy, they significantly improved the overall compliance and eased product
administration. At an era where bowel cleansing appears to be the cornerstone of
a quality colonoscopy, NaP tablets in patients without contraindication might be
considered as an option.
PMID- 27864719
TI - Routine staging with endoscopic ultrasound in patients with obstructing
esophageal cancer and dysphagia rarely impacts treatment decisions.
AB - BACKGROUND: Endoscopic ultrasound (EUS) has been routinely utilized for the
locoregional staging of esophageal cancer. One important aspect of clinical
staging has been to stratify patients to treatment with neoadjuvant
chemoradiation or primary surgical therapy. We hypothesized that EUS may have a
limited impact on clinical decision making in patients with dysphagia and
obstructing esophageal masses. METHODS: This retrospective cohort study included
all patients with esophageal adenocarcinoma undergoing esophageal EUS between
July 2008 and September 2013. Dysplastic Barrett's esophagus without invasive
adenocarcinoma or incomplete staging was excluded. Patient demographics,
endoscopic tumor characteristics, the presence of dysphagia, sonographic staging,
and post-EUS therapy were recorded. Pathologic staging for patients who underwent
primary surgical therapy was also recorded. Locally advanced disease was defined
as at least T3 or N1, as these patients are typically treated with neoadjuvant
therapy. RESULTS: Two hundred sixteen patients underwent EUS for esophageal
adenocarcinoma, with 147 (68.1%) patients having symptoms of dysphagia on initial
presentation. Patients with dysphagia were significantly more likely to have
locally advanced disease on EUS than patients without dysphagia (p < 0.0001).
Additionally, 145 (67.1%) patients had a partially or completely obstructing mass
on initial endoscopy, of which 136 (93.8%) were locally advanced (p < 0.0001 vs.
non-obstructing lesions). CONCLUSIONS: An overwhelming majority of patients
presenting with dysphagia and/or the presence of at least partially obstructing
esophageal mass at the time of esophageal cancer diagnosis had an EUS that
demonstrated at least locally advanced disease. The present study supports the
hypothesis that EUS may be of limited benefit for management of esophageal cancer
in patients with an obstructing mass and dysphagia.
PMID- 27864720
TI - A comparative study of delta-shaped and conventional Billroth I anastomosis after
laparoscopic distal gastrectomy for gastric cancer.
AB - BACKGROUND: Delta-shaped anastomosis (DA) is a newly developed intracorporeal
gastroduodenostomy. This meta-analysis is performed to compare the safety,
feasibility and clinical outcomes of DA with conventional extracorporeal Billroth
I anastomosis (B-I) after laparoscopic distal gastrectomy for gastric cancer.
METHODS: Both randomized controlled trials (RCTs) and nonrandomized cohort
studies comparing outcomes of DA and B-I after laparoscopic distal gastrectomy
for gastric cancer were searched in electronic database. Surgical outcomes,
postoperative recovery, postoperative complications and outcomes were pooled and
compared by meta-analysis using RevMan 5.3 software. Weighted mean differences
(WMDs), odds ratios and risk differences were calculated with 95% confidence
intervals (CIs). P values of <0.05 were considered statistically significant.
RESULTS: Eight nonrandomized cohort studies of 2450 patients were included. Meta
analysis showed significantly less blood loss (WMD -28.72; 95% CI -49.21 to
8.23; P = 0.006), more lymph nodes retrieved (WMD 3.23; 95% CI 0.86-5.61; P =
0.008), shorter time to first soft diet (WMD -0.34; 95% CI -0.47 to -0.21, P <
0.00001), less pain and analgesic use (WMC -0.29; 95% CI -0.56 to -0.02; P =
0.03) in DA than in B-I. Both methods had similar operative time, resection
margin, time to first flatus, length of hospital stay and rate of complications.
Most of the postoperative symptoms were comparable between groups. The subgroup
of obese patient showed more favorable outcomes in DA, and the learning curve of
DA is steep. CONCLUSION: DA is a safe and feasible reconstruction method after
laparoscopic distal gastrectomy, with comparable postoperative surgical outcomes,
postoperative complications comparing to B-I. DA is less invasive with quicker
resume of diet than B-I, especially for the obese patients.
PMID- 27864722
TI - Comparison between submucosal tunneling endoscopic resection and endoscopic full
thickness resection for gastric stromal tumors originating from the muscularis
propria layer.
AB - BACKGROUND: Both submucosal tunneling endoscopic resection (STER) and endoscopic
full-thickness resection (EFTR) are effective method for treating gastric
gastrointestinal stromal tumors (GISTs); however, little is known about the
comparison between STER and EFTR. The aim of the study was to compare the safety
and efficacy of STER and EFTR for treating gastric GIST. METHODS: We
retrospectively collected the clinical data about patients with gastric GISTs who
received STER or EFTR at our hospital from April 2011 to June 2016.
Epidemiological data (gender, age), tumor size, procedure-related parameters,
complications, length of stay, cost and follow-up data were compared between STER
and EFTR. RESULTS: A total of 52 patients were enrolled, and 20 of them received
STER, while the other 32 cases received EFTR. There was no significant difference
between the two groups in terms of gender, age, concomitant diseases, tumor size,
en bloc resection rate, operation time, complications, pathohistological grade of
GIST, hospital stay and cost (P > 0.05). However, patients who received EFTR had
a longer suture time and needed more clips to close the gastric-wall defect (STER
vs EFTR, 291.5 +/- 68.7 vs 380.6 +/- 96.9s and 6.0 +/- 1.2 vs 7.6 +/- 1.6, P <
0.05). No recurrence was noted in the STER and EFTR groups during a mean follow
up of 10.9 and 23.8 months, respectively. CONCLUSIONS: The treatment efficacy
between STER and EFTR for treating gastric GISTs was comparable, and a large
scale, randomized study is necessary for a more confirmed conclusion.
PMID- 27864721
TI - Intraoperative endoscopic nasobiliary drainage over primary closure of the common
bile duct for choledocholithiasis combined with cholecystolithiasis: a cohort
study of 211 cases.
AB - BACKGROUND: Endoscopic nasobiliary drainage (ENBD) was often used for
preoperative biliary drainage in cases like cholangiocarcinoma or acute
obstructive suppurative cholangitis, reports on endoscopic nasobiliary drainage
(ENBD) over primary closure of the common bile duct (CBD) are limited. This study
compares outcomes of laparoscopic cholecystectomy (LC) + laparoscopic CBD
exploration (LCBDE) + intraoperative ENBD + primary closure of CBD with
equivalent patients who underwent preoperative endoscopic retrograde
cholangiopancreatography (ERCP) and subsequent LC. METHODS: From January 2013 to
December 2015, 829 consecutive patients with choledocholithiasis combined with
cholecystolithiasis underwent surgery in our department. 211 patients underwent
LC + LCBDE + intraoperative ENBD + primary closure of CBD (group A) and 117
preoperative ERCP + subsequent LC (group B). A total of 501 patients (355 who
underwent T-tube drainage and 146 who underwent transcystic exploration) were
excluded from the analysis. Clinical records, operative findings, and
postoperative follow-up were analyzed. RESULTS: Age and sex distribution,
comorbidity, presentations, CBD diameter, and size and number of stones were
similar in the two groups, and there was no postoperative mortality. Duration of
surgery in group A was shorter (83 vs. 104 min, P < 0.01), as was postoperative
hospital stay (6 vs. 9 days, P < 0.01). Average operative expenditure in group A
was less than that of group B ($ 3816 vs. $ 4015, P < 0.01). The success rate in
group A was higher (100 vs. 91%, P < 0.01). Ten patients in group B converted to
LCBDE. The postoperative complication rate was higher in group B but without
significant difference (1.9 vs. 4.2%, P = 0.29). Median follow-up time was 24 (3
28) months (n = 302 patients). Two patients in group B reported residual stones.
CONCLUSION: LC + LCBDE + intraoperative ENBD + primary closure of CBD should have
priority over preoperative ERCP + subsequent LC for choledocholithiasis combined
with cholecystolithiasis.
PMID- 27864723
TI - Pre-treatment Eckardt score is a simple factor for predicting one-year peroral
endoscopic myotomy failure in patients with achalasia.
AB - BACKGROUND: Peroral endoscopic myotomy (POEM) is a novel treatment for achalasia
with excellent outcomes. But the predictor for treatment failure is not well
defined. This study was aimed to prospectively investigate the factors for
predicting failed POEM. METHODS: From June 2011 to May 2015, a total of 115
achalasia patients treated by POEM were included for the retrospective cohort
study from Nanfang Hospital and the First People's Hospital of Yunnan Province.
Patients were followed up with Eckardt score, high-resolution manometry and
endoscope. POEM failure was defined as primary failure (Eckardt score failed to
decrease to 3 or below) and recurrences (decrease of Eckardt score to 3 or below,
then rise to more than 3) during one-year follow-up. Univariate and multivariate
Cox regression analyses were performed to assess the predictive factor. For the
associated factor, receiver operating characteristic curve (ROC) was utilized to
determine the cutoff value of the predicting factor. RESULTS: The failure rate of
POEM after 1 year was 7.0% (8/115), including 5 primary failure cases and 3
recurrences. Multivariate analysis showed higher pre-treatment Eckardt score was
the single independent factor associated with POEM failure [9.5 (6-12) vs. 7 (2
12), odds ratio (OR) 2.24, 95 confidence interval (95% CI) 1.39-3.93, p = 0.001].
The cutoff value (Eckardt score >=9) had 87.5 sensitivity (95% CI 47.3-99.7%) and
73.8% specificity (95% CI 64.4-81.9%) for predicting failed POEM. CONCLUSIONS:
Pre-treatment Eckardt score could be a predictive factor for failed POEM. Eckardt
score >=9 was associated with high sensitivity and specificity for predicting
POEM failure.
PMID- 27864725
TI - Endoscope-assisted extracapsular dissection of benign parotid tumors through a
single cephaloauricular furrow incision versus a conventional approach.
AB - BACKGROUND: A few modified approaches have been reported for performing endoscope
assisted dissections of benign parotid tumors, but none that use incisions
totally hidden in a natural furrow. This study evaluated the feasibility of
performing endoscope-assisted extracapsular dissections of benign parotid tumors
using a single cephaloauricular furrow incision. METHODS: Forty-six patients with
benign parotid superficial lobe tumors were randomly divided into two groups: an
endoscope-assisted (21 patients) group or a conventional (25 patients) surgery
group. Perioperative and postoperative outcomes of the patients were evaluated,
including the maximum diameter of the tumors, length of the incision, operating
time, estimated blood loss during the operation, amount and duration of drainage,
satisfaction scores based on the cosmetic results, perioperative complications,
and follow-up information. RESULTS: The diameters of the tumors were comparable
between the groups, and all operations were successfully performed as planned.
The mean length of the incision in the endoscope-assisted group (3.6 +/- 0.5 cm)
was significantly shorter than that in the conventional group (9.1 +/- 1.9).
Meanwhile, the intraoperative blood loss, amount of drainage, perioperative
complications, and cosmetic outcomes were all improved in the endoscope-assisted
group. No tumor recurrence was found during 11-40 months of follow-up.
CONCLUSIONS: Cephaloauricular furrow incisions were totally and naturally hidden
in this procedure. Endoscope-assisted extracapsular dissections of benign parotid
tumors via a small cephaloauricular furrow incision were found to be feasible and
reliable, providing a minimally invasive approach and a satisfactory appearance.
PMID- 27864724
TI - Cosmesis, patient satisfaction, and quality of life after da Vinci Single-Site
cholecystectomy and multiport laparoscopic cholecystectomy: short-term results
from a prospective, multicenter, randomized, controlled trial.
AB - BACKGROUND: Single-incision laparoscopic cholecystectomy evolved from the
traditional multiport laparoscopic technique. Prior trials have demonstrated
improved cosmesis with the single-incision technique. Robotic single-site surgery
minimizes the technical difficulties associated with laparoscopic single-incision
approach. This is the first prospective, randomized, controlled study comparing
robotic single-site cholecystectomy (RSSC) and multiport laparoscopic
cholecystectomy (MPLC) in terms of cosmesis and patient satisfaction. METHODS:
Patients with symptomatic benign gallbladder disease were randomized to RSSC or
MPLC. Data included perioperative variables such as operative time, conversion
and complications and cosmesis satisfaction, body image perception, quality of
life using validated questionnaires, at postoperative visits of 2, 6 weeks and 3
months. RESULTS: One hundred thirty-six patients were randomized to RSSC (N = 83)
and MPLC (N = 53) at 8 institutions. Both cohorts were dominated by higher
enrollment of females (RSSC = 78%, MPLC = 92%). The RSSC and MPLC cohorts were
otherwise statistically matched. Operative time was longer for RSSC (61 min vs.
44 min, P < 0.0001). There were no differences in complication rates. RSSC
demonstrated a significant superiority in cosmesis satisfaction and body image
perception (P value < 0.05 at every follow-up). There was no statistically
significant difference in patient-reported quality of life. Multivariate analysis
of female patients demonstrated significantly higher preference for RSSC over
MPLC in cosmesis satisfaction and body image perception with no difference seen
in overall quality of life. CONCLUSIONS: Results from this trial show that RSSC
is associated with improved cosmesis satisfaction and body image perception
without a difference in observed complication rate. The uncompromised safety and
the improved cosmesis satisfaction and body image perception provided by RSSC for
female patients support consideration of the robotic single-site approach.
ClinicalTrials.gov identifier NCT01932216.
PMID- 27864726
TI - Hand-assisted laparoscopic right hemicolectomy with complete mesocolic excision
and central vascular ligation: a novel technique for right colon cancer.
AB - BACKGROUND: It can be difficult to locate the superior mesenteric vein and
dissect around middle colic vessels during laparoscopic right hemicolectomy with
complete mesocolon excision due to a high rate of vascular variations in the
superior mesenteric vessels. Therefore, we report a modified technique for hand
assisted laparoscopic right hemicolectomy with complete mesocolic excision and
central vascular ligation, which addresses these two problems. METHODS: Thirty
one consecutive patients with right colon cancer underwent this procedure from
March 2014 to August 2015. Extracorporeally, the transverse colon and distal
ileum were excised with a transumbilical hand-port incision, and the distal part
of the superior mesenteric vein was identified. Intracorporeally, with the
assistance of the surgeon's left hand inserted through the incision, D3
lymphadenectomy with central vascular ligation was performed, and the colon with
the tumor, which had no blood supply, was removed. Patients' demographic data and
intraoperative, postoperative and pathological characteristics were examined.
RESULTS: The median operative time was 130.0 (range 115-180) minutes. The median
blood loss was 45.0 (range 20-300) milliliters. The median length of the hand
port incision was 7.3 (range 6.0-8.2) centimeters. The median numbers of lymph
nodes and central lymph nodes was 34.0 (range 18-91) and 13.0 (range 3-28),
respectively. Five (16.1%) of 31 patients had positive central lymph nodes.
Specimen morphometric quantitation was as follows: the median distances from the
tumor and nearest bowel wall to the high tie were 10.5 (range 5.0-15.0) and 8.0
(range 6.0-12.0) centimeters, respectively; the median resected area of the
mesentery was 200.0 (range 96.0-300.0) square centimeters; the median width of
the chain of lymph-adipose tissue at the central lymph nodes area was 2.0 (range
0.8-8.0) centimeters; and the median length of the central lymph-adipose chain
was 19.0 (range 3.0-26.0) centimeters. CONCLUSIONS: Our procedure confers
technical advantages and is feasible for treatment of right colon cancer.
PMID- 27864727
TI - Post-translational modification by acetylation regulates the mitochondrial
carnitine/acylcarnitine transport protein.
AB - The carnitine/acylcarnitine transporter (CACT; SLC25A20) mediates an antiport
reaction allowing entry of acyl moieties in the form of acylcarnitines into the
mitochondrial matrix and exit of free carnitine. The transport function of CACT
is crucial for the beta-oxidation pathway. In this work, it has been found that
CACT is partially acetylated in rat liver mitochondria as demonstrated by anti
acetyl-lys antibody immunostaining. Acetylation was reversed by the deacetylase
Sirtuin 3 in the presence of NAD+. After treatment of the mitochondrial extract
with the deacetylase, the CACT activity, assayed in proteoliposomes, increased.
The half-saturation constant of the CACT was not influenced, while the V max was
increased by deacetylation. Sirtuin 3 was not able to deacetylate the CACT when
incubation was performed in intact mitoplasts, indicating that the acetylation
sites are located in the mitochondrial matrix. Prediction on the localization of
acetylated residues by bioinformatics correlates well with the experimental data.
Recombinant CACT treated with acetyl-CoA was partially acetylated by non
enzymatic mechanism with a corresponding decrease of transport activity. The
experimental data indicate that acetylation of CACT inhibits its transport
activity, and thus may contribute to the regulation of the mitochondrial beta
oxidation pathway.
PMID- 27864728
TI - Variation in pestivirus growth in testicle primary cell culture is more dependent
on the individual cell donor than cattle breed.
AB - The causes of bovine respiratory disease complex (BRDC) are multifactorial and
include infection with both viral and bacterial pathogens. Host factors are also
involved as different breeds of cattle appear to have different susceptibilities
to BRDC. Infection with bovine pestiviruses, including bovine viral diarrhea
virus 1 (BVDV1), BVDV2 and 'HoBi'-like viruses, is linked to the development of
BRDC. The aim of the present study was to compare the growth of different bovine
pestiviruses in primary testicle cell cultures obtained from taurine, indicine
and mixed taurine and indicine cattle breeds. Primary cells strains, derived from
testicular tissue, were generated from three animals from each breed. Bovine
pestivirus strains used were from BVDV-1a, BVDV-1b, BVDV-2a and 'HoBi'-like
virus. Growth was compared by determining virus titers after one passage in
primary cells. All tests were run in triplicate. Virus titers were determined by
endpoint dilution and RT-qPCR. Statistical analysis was performed using one way
analysis of variance (ANOVA) followed by the Tukey's Multiple Comparison Test
(P?0.05). Significant differences in virus growth did not correlate with cattle
breed. However, significant differences were observed between cells derived from
different individuals regardless of breed. Variation in the replication of virus
in primary cell strains may reflect a genetic predisposition that favors virus
replication.
PMID- 27864729
TI - Assessment of right ventricular metabolism: An emerging tool for monitoring
pulmonary artery hypertension.
PMID- 27864730
TI - Design specifications for cellular regulation.
AB - A critical feature of all cellular processes is the ability to control the rate
of gene or protein expression and metabolic flux in changing environments through
regulatory feedback. We review the many ways that regulation is represented
through causal, logical, and dynamical components. Formalizing the nature of
these components promotes effective comparison among distinct regulatory networks
and provides a common framework for the potential design and control of
regulatory systems in synthetic biology.
PMID- 27864731
TI - Multiple Sclerosis-Related Pain Syndromes: An Imaging Update.
AB - Pain in multiple sclerosis (MS) is a common manifestation, made up of complex
phenomenon involving intricate neurophysiological processing at central levels of
the pain pathway. Our understanding of the clinical and neurophysiological
mechanisms of central/neuropathic pain related to MS continues to improve with
improved imaging techniques but remains a challenging area of research. The
advancements in imaging techniques for lesion evaluation of the various
neuroanatomic structures have improved our detection, diagnosis, and
understanding of MS pain and help validate subjective symptoms. This article will
discuss the updated criteria of MS neuropathic pain and critically review some of
the latest research into imaging correlations of MS pain syndromes. And discuss
how advanced MRI imaging techniques (such as functional magnetic resonance
imaging [fMRI], 3D imaging, fluid attenuated inversion recovery [FLAIR*], and
diffusion tensor imaging [DTI]) have detailed neuropathic pain with a focus on
migraines and trigeminal neuralgias and will highlight some of the ongoing
limitations, variabilities, and deficiencies.
PMID- 27864732
TI - Impact of pharmaceutical care on cardiovascular risk among older HIV patients on
antiretroviral therapy.
AB - Background Advances in the treatment of HIV infection have enabled better control
of the disease, allowing patients to enjoy a longer life expectancy. However, the
ageing of patients leads to an increased prevalence of cardiovascular disease.
Various studies have found that pharmaceutical care results in better control of
cardiovascular risk factors. Objective To measure the impact of pharmaceutical
care on cardiovascular risk in patients older than 50 years receiving combination
antiretroviral therapy. Setting Outpatient pharmacy service of a tertiary
hospital, Spain. Methods A pre/post-intervention quasi-experimental clinical
study was conducted in which health education and pharmacist interventions to
reduce cardiovascular risk factors were carried out in a single patient cohort
using the Dader method of pharmacotherapy, with a 12-month follow-up period per
patient. Patients included were older than 50 years, with moderate/elevated
cardiovascular risk. Data were obtained from patient clinical histories,
dispensing records and patient interviews, and were subjected to statistical
analysis. Main outcome measure Cardiovascular risk estimated by SCORE and REGICOR
equations. Results Forty-two patients completed the study. Of these, 93 % were
men, with an average age of 57 years and 15 years since diagnosis of HIV. A
reduction was observed in the mean values (baseline vs. 12 months) of the
following cardiovascular risk factors: systolic blood pressure (P = 0.009),
diastolic blood pressure (P = 0.010), total cholesterol (P = 0.006), low-density
lipoprotein cholesterol (LDL-c; P = 0.039), triglycerides (P = 0.010) and total
cholesterol/high-density lipoprotein cholesterol (HDL-c; P < 0.001). An increase
in HDL-c (P = 0.037) was also observed. The average cardiovascular risk estimated
by the SCORE instrument was reduced from 7.6 % at the beginning of the study to
6.4 % after 12 months (P = 0.039). The risk estimate according to REGICOR also
decreased (P = 0.002). Over the 12-month period, 6.3 +/- 3.4 interventions were
carried out per patient. Quantitative ineffectiveness was the most prevalent
negative outcome associated with medication throughout the study, and
noncompliance was the most frequent cause. Interventions on health education were
the most common, followed by interventions on improving adherence. Conclusion
Pharmaceutical care, delivered as a combination of health education and
pharmacotherapy follow-up to outpatients at a tertiary hospital, had a positive
impact on cardiovascular risk in patients older than 50 years receiving
combination antiretroviral therapy.
PMID- 27864734
TI - Myelin Genes and the Corpus Callosum: Proteolipid Protein 1 (PLP1) and Contactin
1 (CNTN1) Gene Variation Modulates Interhemispheric Integration.
AB - Interhemispheric communication during demanding cognitive tasks shows pronounced
interindividual variation. Differences in interhemispheric transfer time are
constituted by the relative composition of slow and fast fibers. The speed of
axonal conduction depends on the diameter of the axon and its myelination. To
understand the possible genetic impact of myelin genes on performance in the
Banich-Belger Task, a widely used paradigm to assess interhemispheric
integration, 453 healthy adults were genotyped for 18 single nucleotide
polymorphisms (SNPs) in six myelin-related candidate genes. We replicated the
typical pattern of results in the Banich-Belger Task, supporting the idea that
performance on cognitively demanding tasks is enhanced when cognitive processing
is distributed across the two hemispheres. Moreover, allelic variations in the
proteolipid protein 1 gene PLP1 and the contactin 1 gene CNTN1 correlated with
the extent to which individual performance is enhanced by interhemispheric
integration. Variation in myelin genes possibly affects the microstructure of the
corpus callosum by altering oligodendrocyte structure. Therefore, these results
provide a foundation for understanding how genetics plays a role in modulating
the efficacy of transcallosal transmission.
PMID- 27864733
TI - (-)-Epicatechin, a Natural Flavonoid Compound, Protects Astrocytes Against
Hemoglobin Toxicity via Nrf2 and AP-1 Signaling Pathways.
AB - (-)-Epicatechin is a brain-permeable, natural product found at high
concentrations in green tea and cocoa. Our previous research has shown that (-)
epicatechin treatment reduces hemorrhagic stroke injury via nuclear factor
erythroid 2-related factor 2 (Nrf2) signaling pathway in vivo. However, the
mechanism of action of this compound in modulation of oxidant stress and in
protection against hemoglobin-induced astrocyte injury is unclear. Therefore, we
explored the cellular and molecular mechanisms that underlie these protective
effects in vitro. Mouse primary astrocytes isolated from wild-type mice and Nrf2
knockout (KO) mice were preconditioned with hemoglobin to simulate intracerebral
hemorrhage (ICH) in vitro. Effects of (-)-epicatechin were measured by Western
blotting, immunostaining, MTT assay, and reactive oxidant stress (ROS) assay. (-)
Epicatechin increased Nrf2 nuclear accumulation and cytoplasmic levels of
superoxide dismutase 1 (SOD1) in wild-type astrocytes but did not increase SOD1
expression in Nrf2 knockout (KO) astrocytes. Furthermore, (-)-epicatechin
treatment did not alter heme oxygenase 1 (HO1) expression in wild-type astrocytes
after hemoglobin exposure, but it did decrease HO1 expression in similarly
treated Nrf2 KO astrocytes. In both wild-type and Nrf2 KO astrocytes, (-)
epicatechin suppressed phosphorylated JNK and nuclear expression of JNK, c-jun,
and c-fos, indicating that inhibition of activator protein-1 (AP-1) activity by (
)-epicatechin is Nrf2-independent. These novel findings indicate that (-)
epicatechin protects astrocytes against hemoglobin toxicity through upregulation
of Nrf2 and inhibition of AP-1 activity. These cellular and molecular effects may
partially explain the cerebroprotection as we previously observed for (-)
epicatechin in animal models of ICH.
PMID- 27864735
TI - Environmental analysis of waste foundry sand via life cycle assessment.
AB - The aim of this manuscript is to provide an environmental assessment of the
creation and use of waste foundry sand (WFS) via an LCA in a foundry for grey
cast iron. A life cycle impact assessment was carried out using SimaPro 8. This
environmental analysis assessed the impact of creating waste foundry sand (WFS)
in a foundry, Hronec (Slovakia, Central Europe). According to BREF, this foundry
is classified as an iron foundry with a production capacity greater than 20 t/day
with processes typical for grey cast iron foundries. Molten metal is poured into
single-use sand moulds. We identified those factors influencing the creation and
use of WFS which significantly affect the quality of the environment. The use of
WFS from the production of cores in regenerated moulding mixtures with installed
circuits brings marked minimisation of material and energy inputs in the
processes of creating WFS and it positively influences the consumption of
resources and the quality of the ecosystem. Space for lessening the impact of WFS
processes upon the consumption of resources and ecosystem quality is mainly found
in recycling WFS in the building sector. In the next step, it is necessary to
thoroughly verify the eco-toxicological properties of not only the created WFS
and other foundry waste, but mainly the building products for which this waste is
used. In terms of transportation, it is important that waste is recycled at local
level. The processes of creating WFS have a marked influence upon all the
selected waste categories (consumption of resources, ecosystem quality, human
health). By minimising material inputs into processes and the effective
adjustment of production technology, a foundry can significantly lessen the
impacts of processes for creating WFS upon the environment.
PMID- 27864736
TI - Assessment of different route choice on commuters' exposure to air pollution in
Taipei, Taiwan.
AB - The purposes of this study are to develop a healthy commute map indicating
cleanest route in Taipei metropolitan area for any given journey and to evaluate
the pollutant doses exposed in different commuting modes. In Taiwan, there are
more than 13.6 million motorcycles and 7.7 million vehicles among the 23 million
people. Exposure to traffic-related air pollutants can thus cause adverse health
effects. Moreover, increasing the level of physical activity during commuting and
longer distances will result in inhalation of more polluted air. In this study,
we utilized air pollution monitoring data (CO, SO2, NO2, PM10, and PM2.5) from
Taiwan EPA's air quality monitoring stations in Taipei metropolitan area to
estimate each pollutant exposure while commuting by different modes
(motorcycling, bicycling, and walking). Spatial interpolation methods such as
inverse distance weighting (IDW) were used to estimate each pollutant's
distribution in Taipei metropolitan area. Three routes were selected to represent
the variety of different daily commuting pathways. The cleanest route choice was
based upon Dijkstra's algorithm to find the lowest cumulative pollutant exposure.
The IDW interpolated values of CO, SO2, NO2, PM10, and PM2.5 ranged from 0.42-2.2
(ppm), 2.6-4.8 (ppb), 17.8-42.9 (ppb), 32.4-65.6 (MUg/m3), and 14.2-38.9
(MUg/m3), respectively. To compare with the IDW results, concentration of
particulate matter (PM10, PM2.5, and PM1) along the motorcycle route was measured
in real time. In conclusion, the results showed that the shortest commuting route
for motorcyclists resulted in a much higher cumulative dose (PM2.5 3340.8 MUg/m3)
than the cleanest route (PM2.5 912.5 MUg/m3). The mobile personal monitoring
indicated that the motorcyclists inhaled significant high pollutants during
commuting as a result of high-concentration exposure and short-duration peaks.
The study could effectively present less polluted commuting routes for citizen
health benefits.
PMID- 27864737
TI - Significance of diazotrophic plant growth-promoting Herbaspirillum sp. GW103 on
phytoextraction of Pband Zn by Zea mays L.
AB - Microbe-assisted phytoremediation has been considered a promising measure for the
remediation of heavy metal-polluted soil. The aim of this study was to assess the
effect of diazotrophic plant growth-promoting Herbaspirillum sp. GW103 on growth
and lead (Pb) and zinc (Zn) accumulation in Zea mays L. The strain GW103
exhibited plant growth-promoting traits such as indole-3-acetic acid,
siderophores, and 1-aminocyclopropane-1-carboxylic deaminase. Treatment of Z.
mays L. plants with GW103 significantly increased 19, 31, and 52% of plant
biomass and 10, 50, and 126% of chlorophyll a contents in Pb, Zn, and Pb + Zn
amended soils, respectively. Similarly, the strain GW103 significantly increased
Pb and Zn accumulation in shoots and roots of Z. mays L., which were 77 and 25%
in Pb-amended soil, 42 and 73% in Zn-amended soil, and 27 and 84% in Pb + Zn
amended soil. Furthermore, addition of GW103 increased 8, 12, and 7% of total
protein content, catalase, and superoxide dismutase levels, respectively, in Z.
mays L. plants. The results pointed out that isolate GW103 could potentially
reduce the phytotoxicity of metals and increase Pb and Zn accumulation in Z. mays
L. plant.
PMID- 27864738
TI - Biochemical and histological biomarkers in the midgut of Apis mellifera from
polluted environment at Beheira Governorate, Egypt.
AB - The aim of this study was to analyze the impact of organophosphorus (OP)
pollutants on oxidative stress and ultrastructural biomarkers in the midgut of
the honeybee Apis mellifera collected from three locations that differ in their
extent of spraying load with OP insecticides: a weakly anthropised rural site,
Bolin which is considered as a reference site; moderately spraying site, El Kaza;
and a strongly anthropised urban site, Tiba with a long history of pesticide use.
Results showed that high concentrations of chlorpyrifos, malathion, diazinon,
chlorpyrifos-methyl, and pirimiphos-methyl were detected in midgut at locations
with extensive pesticide spraying. Reduced glutathione content, superoxide
dismutase, catalase, and glutathione peroxidase displayed lowest activities in
the heavily sprayed location (Tiba). Lipid peroxidation level in the midgut of
honeybees in the sprayed locations was found to be significantly higher compared
to the reference values. Meanwhile, various ultrastructural abnormalities were
observed in the epithelial cells of midgut of honeybees collected from El Kaza
and Tiba, included confluent and disorganized microvilli and destruction of their
brush border, the cytoplasm with large vacuoles and alteration of cytoplasmic
organelles including the presence of swollen mitochondria with lysis of matrices,
disruption of limiting membranes, and disintegration of cristae. The nuclei with
indented nuclear envelope and disorganized chromatin were observed. These
investigated biomarkers indicated that the surveyed honeybees are being under
stressful environmental conditions. So, we suggest using those biomarkers in the
assessment of environmental quality using honeybees in future monitoring of
ecotoxicological studies.
PMID- 27864739
TI - Long-term 2007-2013 monitoring of reproductive disturbance in the dun sentinel
Assiminea grayana with regard to polymeric materials pollution at the coast of
Lower Saxony, North Sea, Germany.
AB - During biological effect monitoring studies of endocrine active compounds with
the snail Assiminea grayana in 2007-2013, reproductive disorders including
atresia, transformation of capsule/albumen glands into prostates in females and
ovotestis, transformation of prostates to capsule/albumen glands, disruption of
spermatogenesis, and calcification of tubules in males, were encountered in
several years. The search of sources of endocrine active substances was first
directed to antifouling biocides from paint particles and extended to leaching
compounds from polymeric materials. In contrast to the reference sites, most of
the observed disorders occurred at a station near harbors and dockyards polluted
with residues from antifouling paints and polymeric materials. Beside of
investigations about the potential ingestion of polymer particles by the snails,
further investigations of compounds of polymeric materials with endocrine
potential should follow.
PMID- 27864740
TI - Expression Levels of Warburg-Effect Related microRNAs Correlate with each Other
and that of Histone Deacetylase Enzymes in Adult Hematological Malignancies with
Emphasis on Acute Myeloid Leukemia.
AB - Disruption of epigenetic regulation and characteristic metabolic alterations
(known as the Warburg-effect) are well-known hallmarks of cancer. In our study we
investigated the expression levels of microRNAs and histone deacetylase enzymes
via RT-qPCR in bone marrow specimens of adult patients suffering from
hematological malignancies (total cohort n = 40), especially acute myeloid
leukemia (n = 27). The levels of the three examined Warburg-effect related
microRNAs (miR-378*, miR-23b, miR-26a) positively correlated with each other and
the oncogenic miR-155 and miR-125b, while negatively with the level of the
tumorsuppressor miR-124. Significant relationships have been confirmed between
the levels of SIRT6, HDAC4 and the microRNAs listed above. In NPM1-mutated AML (n
= 6), the level of miR-125b was significantly lower than in the group of AML
patients not carrying this mutation (n = 13) (p < 0.05). In M5 FAB type of AML (n
= 5), the level of miR-124 was significantly higher compared to the M2 group (n =
7) (p < 0.05). In two cases of FAB M5 AML, the levels of SIRT6 and miR-26a
increased during the first 4 weeks of treatment. In the total cohort, white blood
cell count at the time of the diagnosis significantly correlated with the levels
of HDAC4, SIRT6, miR-124 and miR-26a. Our results suggest that Warburg-effect
related microRNAs may have important role in the pathogenesis of leukemia, and
the potential oncogenic property of HDAC4 and SIRT6 cannot be excluded in
hematological malignancies. Elevated level of miR-125b can contribute to adverse
prognosis of AML without NPM1 mutation. The prevailment of the tumorsuppressor
property of miR-124 may depend on the accompanying genetic alterations.
PMID- 27864741
TI - An ultrasensitive label free nanobiosensor platform for the detection of cardiac
biomarkers.
AB - We report the fabrication of a label free nano biosensor platform comprising
single nanofiber that is derived out of multi-walled carbon nanotubes (MWCNTs)
embedded SU-8 photoresist, for the detection of three important human cardiac
biomarkers viz., myoglobin (Myo), cardiac Troponin I (cTn I) and Creatine Kinase
MB (CK-MB). These composite nanofibers were synthesized using electrospinning
process. Single nanofibers were aligned between pairs of electrodes in-situ
during the electrospinning process. The target proteins were detected using
chemiresistive detection methodology. Each biomarker was detected using a
specific, single, aligned nanofiber, functionalized with its corresponding
monoclonal antibody. Chemiresistive detection involves measuring the change in
conductance of the functionalized nanofibers upon the binding of the targeted
antigen. The minimum detection limits of Myo, CK-MB and cTn I were experimentally
found out to be as low as 6, 20 and 50 fg/ml respectively. No response was
observed when the nanofibers were exposed to a non-specific protein,
demonstrating excellent specificity to the targeted detection. These MWCNTs
embedded SU-8 nanofibers based nanobiosensor platform shows great promise in the
detection of cardiac markers and other proteins as they have fast response time,
high sensitivity and good specificity.
PMID- 27864743
TI - How to get a smoker addicted to quitting.
PMID- 27864742
TI - A review of the application, feasibility, and the psychometric properties of the
individualized measures in cancer.
AB - PURPOSE: To identify from the published literature the feasibility and the
application of the individualized measures [Patient Generated Index (PGI),
Schedule for the Evaluation of Individual Quality of Life (SEIQOL), and the short
form of it (the direct weighting SEIQOL-DW)] in the context of cancer and to
summarize the evidence on the psychometric properties of these measures. METHODS:
Ovid Medline, PubMed, Embase, and CINAHL were searched up to April 2016. All
studies were included if they reported information about the psychometric
properties of the individualized measures and included patients diagnosed with
any type of cancer at any age. Effect size (ES) was calculated to test for the
responsiveness. RESULTS: Fifty-four full articles were reviewed. Full-text
assessment of these articles resulted in 27 eligible studies that were included
in our analysis. The majority of the studies (81%) reported data on the SEIQOL
DW, and only 15% on the PGI. Fourteen areas of quality-of-life (QOL) concerns
were identified by patients using the PGI with the top 4 being family (90%),
health (85%), finance (85%), and work (80%). At the global level, the correlation
between the individualized and standard measures ranged from 0.45 to 0.49 and, at
the symptom level, from 0.26 to 0.51. The ES of the individualized measures was
high (ranged from 0.98 to 1.0) in the studies that expected high positive change
compared to standard QOL measures (ES = 0.1). CONCLUSION: Individualized measures
are feasible and acceptable among people with cancer and could easily be
incorporated clinically and used in a research context. Individualized measures
are sensitive to change and cover a wide range of patients QOL concerns in
comparison with standard measures.
PMID- 27864746
TI - Revalidation of the Sat-Chit-Ananda Scale.
AB - Sat-Chit-Ananda is an indigenous construct that refers to absolute bliss and
consciousness. The present study aimed to strengthen the psychometric properties
of the newly developed Sat-Chit-Ananda scale (Singh et al. in Int J Vedic Found
Manag 1(2):54-74, 2014). A total of 398 students aged 17-36 years (mean age =
21.33 years, SD = 2.2, 70% males) participated in this study. An exploratory as
well as confirmatory factor analysis was computed for the 17-item scale. Its'
concurrent validity was established by assessing its correlation with other well
being measures, namely Flourishing (Diener et al. in Soc Indic Res 97:143-156
2010) and Scale of Positive and Negative Experience (Diener et al. 2010).
Satisfactory results were obtained from both exploratory and confirmatory factor
analyses. Sat-Chit-Ananda and its factors were found to be significantly
positively correlated with Flourishing and Positive Experiences and were
negatively correlated with Negative Experiences. Thus, the validity of the Sat
Chit-Ananda (Singh et al. 2014) scale stands further substantiated-offering this
scale as a promising new assessment tool.
PMID- 27864745
TI - Use of Sedatives, Antidepressants and Antipsychotic Medicine among Seventh-day
Adventists and Baptists in Denmark.
AB - Earlier it has been found that female Seventh-day Adventists (SDA) and Baptists
have an increased incidence of psychiatric affective disorders, in contrast to
findings that religious practice is associated with better health. In this study,
we examined whether the increase in incidence is due to less use of prescribed
antidepressants, sedatives and antipsychotics by members of these religious
societies than by the general population. In a cohort study, we examined records
of all drugs redeemed by 3121 SDA and 2888 Baptists and 29,817 age- and gender
matched members of the general population between 1995 and 2010 in the Danish
Prescription Register and compared the prevalence and incidence of use of
antidepressants, sedatives and antipsychotics. The prevalence of antidepressant
use by women was lower in 1998 but no different from that in controls in 2003 and
2008; the prevalence of antidepressant use by men was higher in both 1998 and
2008 than in the Danish population. The incidence of antidepressant use was lower
for female members in 1996-2000, but no difference was observed in the other
periods. The prevalence and incidence of use of sedatives and antipsychotics did
not consistently differ from those of the general population. The prevalence and
incidence of use of antidepressants, sedatives and antipsychotics by female SDA
and Baptists were not consistently lower than in the general Danish population.
Our findings hence do not explain the increased incidence of psychiatric
disorders among female members of these Danish religious societies.
PMID- 27864744
TI - A Generic Multi-Compartmental CNS Distribution Model Structure for 9 Drugs Allows
Prediction of Human Brain Target Site Concentrations.
AB - PURPOSE: Predicting target site drug concentration in the brain is of key
importance for the successful development of drugs acting on the central nervous
system. We propose a generic mathematical model to describe the pharmacokinetics
in brain compartments, and apply this model to predict human brain disposition.
METHODS: A mathematical model consisting of several physiological brain
compartments in the rat was developed using rich concentration-time profiles from
nine structurally diverse drugs in plasma, brain extracellular fluid, and two
cerebrospinal fluid compartments. The effect of active drug transporters was also
accounted for. Subsequently, the model was translated to predict human
concentration-time profiles for acetaminophen and morphine, by scaling or
replacing system- and drug-specific parameters in the model. RESULTS: A common
model structure was identified that adequately described the rat pharmacokinetic
profiles for each of the nine drugs across brain compartments, with good
precision of structural model parameters (relative standard error <37.5%). The
model predicted the human concentration-time profiles in different brain
compartments well (symmetric mean absolute percentage error <90%). CONCLUSIONS: A
multi-compartmental brain pharmacokinetic model was developed and its structure
could adequately describe data across nine different drugs. The model could be
successfully translated to predict human brain concentrations.
PMID- 27864747
TI - Surgical Management of Metastatic Colorectal Cancer: A Single-Centre Experience
on Oncological Outcomes of Pulmonary Resection vs Cytoreductive Surgery and
HIPEC.
AB - PURPOSE: Metastasectomy is accepted as standard of care for selected patients
with colorectal pulmonary metastases (CLM); however, the role of cytoreductive
surgery (CRS) and hyperthermic intraperitoneal chemotherapy (HIPEC) for
colorectal peritoneal metastases (CPM) is not universally accepted. We aim to
compare oncological outcomes of patients with CLM and CPM after pulmonary
resection and CRS-HIPEC, respectively, by comparing overall survival (OS) and
disease-free survival (DFS). METHODS: A retrospective review of 49 CLM patients
who underwent pulmonary resection, and 52 CPM patients who underwent CRS-HIPEC in
a single institution from January 2003 to March 2015, was performed. RESULTS: The
5-year OS for CLM patients and CPM patients were 59.6 and 40.5%, respectively (p
= 0.100), while the 5-year DFS were 24.0 and 14.2%, respectively (p = 0.173). CPM
patients had longer median operative time (8.38 vs. 1.75 h, p < 0.001), median
hospital stay (13 vs. 5 days, p < 0.001), a higher rate of intensive care unit
(ICU) admissions (67.3 vs. 8.2%, p < 0.001), and a higher rate of high-grade
complications (17.3 vs. 4.1%, p < 0.001). Multivariate analysis demonstrated that
recurrent lung metastasis after metastasectomy was an independent prognostic
factor for OS of CLM patients (OR = 0.045, 95%, CL 0.003-0.622, p = 0.021). There
were no independent prognostic factors for OS in CPM patients by multivariate
analysis. There were no independent prognostic factors for DFS in CLM patients by
multivariate analysis, but peritoneal cancer index score, bladder involvement,
and higher nodal stage at presentation of the initial malignancy were independent
prognostic factors for DFS in CPM patients. CONCLUSIONS: OS and DFS for CPM
patients after CRS and HIPEC are comparable to CLM patients after lung resection,
although morbidity appears higher. The prognostic factors affecting survival
after surgery are different between CPM and CLM patients and must be considered
when selecting patients for metastasectomy.
PMID- 27864749
TI - Incidence and Impact of Unintentional Childhood Injuries: A Community Based Study
in Rural South India.
AB - OBJECTIVE: To estimate the incidence of unintentional childhood injuries and to
assess the impact of injury during childhood. METHODS: This is a cross sectional
study, conducted in 13 clusters of a rural block in Vellore. Children were
screened by two-stage cluster sampling method by two weeks and three months
recall method. The primary caregivers of injured children were administered a
questionnaire to assess the impact of the injury. RESULTS: Childhood injury
related morbidity was 292.5 per 1000 y. Children between 10 and 14 y (4.6%) and
boys (4.5%) had a higher rate of injury. Fall (43.1 %) was the most common cause
of injury followed by RTIs (Road Traffic Incidents- 27.6%). Work absenteeism for
primary caregivers ranged from 1 to 60 (IQR 2-7) days. Sickness absenteeism
ranged from 1 to 45 d with a mean of 7.64 (IQR 2-7) days. Half of the children
missed school after an injury. The days spent with temporary disability ranged
from 1 to 60 d with a mean of 11.79 (IQR 2-7) d and 7.73% had permanent
disability. CONCLUSIONS: Unintentional childhood injury is a neglected public
health problem which leads to sickness absenteeism and disability. Boys and older
children are the most common victims of injury. There is a need for establishing
state or nationwide injury registries to help understand accurate estimates of
disability-adjusted life year (DALY) and loss of productivity.
PMID- 27864750
TI - Survival and Short Term Outcomes of Very Preterm Infants.
PMID- 27864748
TI - IRS1 and IRS2: molecular characterization, tissue expression and transcriptional
regulation by insulin in yellow catfish Pelteobagrus fulvidraco.
AB - The insulin receptor substrate (IRS) proteins, in particular, IRS1 and IRS2, are
the key downstream players of insulin signaling pathway and the regulation of
lipid metabolism. In the present study, two genes of IRS (IRS1 and IRS2) were
isolated and characterized from yellow catfish Pelteobagrus fulvidraco. Their
molecular characterizations, tissue expressions, and transcriptional levels by
insulin both in vivo and in vitro were determined. The validated complementary
DNAs encoding for IRS1 and IRS2 were 3693 and 3177 bp in length, encoding
proteins of 1230 and 1058 amino acid residues, respectively. Similarly to
mammals, amino acid sequence alignment revealed that IRSs contained an N-terminal
pleckstrin homology (PH) domain, a phosphotyrosine-binding (PTB) domain, and
several C-terminal multiple sites of tyrosine phosphorylation. Both IRS1 and IRS2
were widely expressed across the ten tissues (liver, white muscle, spleen, brain,
gill, mesenteric fat, anterior intestine, heart, mid-kidney, and ovary), but at
the variable levels. Insulin injection at 1 MUg/g in vivo significantly
stimulated the messenger RNA (mRNA) expression of IRS2, but not IRS1 mRNA
expression levels in the liver of yellow catfish after 48 h. In hepatocytes of
yellow catfish, insulin incubation significantly stimulated the IRS1 (at a 1000
nM insulin group) and IRS2 (at both 100 and 1000 nM insulin groups) mRNA
expressions, which indicated that IRS2 was more sensitive than IRS1 to insulin
stimulation in the liver of yellow catfish, and IRS2 played a more important role
in mediating insulin's effects on the liver metabolism. The present study serves
to increase our understanding into the function of IRS in fish.
PMID- 27864752
TI - Real-Time Analysis of the Apical Hook Development.
AB - Mechanisms for cell protection are essential for survival of multicellular
organisms. In plants, the apical hook, which is transiently formed in darkness
when the germinating seedling penetrates towards the soil surface, plays such
protective role and shields the vitally important shoot apical meristem and
cotyledons from damage. The apical hook is formed by bending of the upper
hypocotyl soon after germination, and it is maintained in a closed stage while
the hypocotyl continues to penetrate through the soil and rapidly opens when
exposed to light in proximity of the soil surface. To uncover the complex
molecular network orchestrating this spatiotemporally tightly coordinated
process, monitoring of the apical hook development in real time is indispensable.
Here we describe an imaging platform that enables high-resolution kinetic
analysis of this dynamic developmental process.
PMID- 27864751
TI - Defining the Risk and Associated Morbidity and Mortality of Severe Respiratory
Syncytial Virus Infection Among Infants with Chronic Lung Disease.
AB - INTRODUCTION: The REGAL (RSV evidence-a geographical archive of the literature)
series provide a comprehensive review of the published evidence in the field of
respiratory syncytial virus (RSV) in Western countries over the last 20 years.
This third publication covers the risk and burden of RSV infection in infants
with chronic lung disease (CLD), formerly called bronchopulmonary dysplasia
(BPD). METHODS: A systematic review was undertaken of publications between
January 1, 1995 and December 31, 2015 across PubMed, Embase, The Cochrane
Library, and Clinicaltrials.gov. Studies reporting data for hospital
visits/admissions for RSV infection among infants with CLD/BPD who were not
prophylaxed, as well as studies reporting RSV-associated morbidity, mortality,
and healthcare costs, were included. Burdens of disease data were compared with
preterm infants without CLD/BPD, other high-risk groups and term infants. Study
quality and strength of evidence (SOE) were graded using recognized criteria.
RESULTS: A total of 1837 studies were identified and 39 were included. CLD/BPD is
a significant independent risk factor for RSV hospitalization [RSVH (odds ratio
2.2-7.2); high SOE]. Infants and young children with CLD/BPD had high RSVH rates
which were generally similar in Europe, the United States, and Canada, mostly
varying between 12 and 21%. Infants with CLD also had a longer length of hospital
stay than other high-risk groups and term infants (high SOE). On average, infants
spent 4-11 days in hospital (moderate SOE). Once hospitalized for RSV, affected
children were at risk for a more severe course of disease than children with no
RSVH (moderate SOE). CONCLUSION: Severe RSV infection in infants and young
children with CLD/BPD poses a significant health burden in Western countries.
Further studies focussing on the burden of RSV infection in this well-recognized
population at high risk for severe disease are needed to help improve outcomes
and plan allocation of healthcare resources. FUNDING: AbbVie.
PMID- 27864753
TI - Grafting with Arabidopsis thaliana.
AB - Generating chimeric organisms is an invaluable way to study cell-to-cell movement
and non-cell-autonomous actions of molecules. Plant grafting is an ancient method
of generating chimeric organisms and recently has been used to study the movement
of hormones, proteins, and RNAs. Here, I describe a simple and efficient way to
graft Arabidopsis thaliana at the seedling stage to generate plants with roots
and shoots of different genotypes. Using this protocol, success rates of over 80
% with up to 80 grafts assembled per hour can be achieved.
PMID- 27864754
TI - Tips and Tricks for Exogenous Application of Synthetic Post-translationally
Modified Peptides to Plants.
AB - The first signaling peptide discovered and purified was insulin in 1921. However,
it was not until 1991 that the first peptide signal, systemin, was discovered in
plants. Since the discovery of systemin, peptides have emerged as a potent and
diverse class of signaling molecules in plant systems. Peptides consist of small
amino acid sequences, which often act as ligands of receptor kinases. However,
not all peptides are created equal, and signaling peptides are grouped into
several subgroups dependent on the type of post-translational processing they
undergo. Here, we focus on the application of synthetic, post-translationally
modified peptides (PTMPs) to plant systems, describing several methods
appropriate for the use of peptides in Arabidopsis thaliana and crop models.
PMID- 27864755
TI - Assaying Germination and Seedling Responses of Arabidopsis to Karrikins.
AB - Karrikins are a small family of naturally occurring plant growth regulators
present in the smoke and char produced from burning plant material in wildfires.
They can stimulate germination of dormant seed and can influence seedling
morphogenesis. Although Arabidopsis thaliana is not considered to be a smoke
responsive species, karrikins will stimulate seed germination under the
appropriate circumstances and will cause repression of hypocotyl elongation in
low light. This chapter describes how to conduct assays of the activity of
karrikins on Arabidopsis seeds and seedlings. The methods presented can
potentially be modified for use in a range of Arabidopsis genotypes or in other
plant species.
PMID- 27864756
TI - Low-Cost Microprocessor-Controlled Rotating Stage for Medium-Throughput Time
Lapse Plant Phenotyping.
AB - Here we provide the instructions to build a cost-friendly rotating stage, which
enables time-lapse phenotyping of seedlings, grown vertically on in vitro plates,
in a medium-throughput manner.
PMID- 27864757
TI - Genome-Wide Association Mapping of Root Traits in the Context of Plant Hormone
Research.
AB - Genome-wide association (GWA) mapping is a powerful method for the identification
of alleles that underlie quantitative traits. It enables one to understand how
genetic variation translates into phenotypic variation. In particular, plant
hormone signaling pathways play a key role in shaping phenotypes. This chapter
presents a protocol for genome-wide association mapping of root traits of
Arabidopsis thaliana in the context of hormone research. We describe a specific
protocol for acquiring primary and lateral root trait data that is appropriate
for GWA studies using FIJI (ImageJ), and subsequent GWA mapping using a user
friendly Internet application.
PMID- 27864758
TI - High-Throughput Scoring of Seed Germination.
AB - High-throughput analysis of seed germination for phenotyping large genetic
populations or mutant collections is very labor intensive and would highly
benefit from an automated setup. Although very often used, the total germination
percentage after a nominated period of time is not very informative as it lacks
information about start, rate, and uniformity of germination, which are highly
indicative of such traits as dormancy, stress tolerance, and seed longevity. The
calculation of cumulative germination curves requires information about
germination percentage at various time points. We developed the GERMINATOR
package: a simple, highly cost-efficient, and flexible procedure for high
throughput automatic scoring and evaluation of germination that can be
implemented without the use of complex robotics. The GERMINATOR package contains
three modules: (I) design of experimental setup with various options to replicate
and randomize samples; (II) automatic scoring of germination based on the color
contrast between the protruding radicle and seed coat on a single image; and
(III) curve fitting of cumulative germination data and the extraction, recap, and
visualization of the various germination parameters. GERMINATOR is a freely
available package that allows the monitoring and analysis of several thousands of
germination tests, several times a day by a single person.
PMID- 27864759
TI - Histochemical Staining of beta-Glucuronidase and Its Spatial Quantification.
AB - Microscope images of plant specimens showing expression of GUS markers, besides
being very beautiful, provide useful information regarding various biological
processes. However, the information extracted from these images is often purely
qualitative, and in many publications is not subjected to quantification. Here,
we describe a very simple quantification method for GUS histochemical staining
that enables detection of subtle differences in gene expression at cellular,
tissue, or organ level. The quantification method described is based on the
freely available image analysis software ImageJ that is widely used by the
scientific community. We exemplify the method by quantifying small and precise
changes (at the cellular level) as well as broad changes (at the organ level) in
the expression of two previously published reporter lines, such as the
pPILS2::GUS and pPILS5::GUS. The method presented here represents an easy tool
for converting visual information from GUS histochemical staining images into
quantifiable data and is of general importance for plant biologists performing
GUS activity-based evaluation of reporter genes.
PMID- 27864760
TI - Imaging TCSn::GFP, a Synthetic Cytokinin Reporter, in Arabidopsis thaliana.
AB - Cytokinins are classical plant hormones that control numerous developmental
processes throughout the plant life cycle. Cytokinin-responsive cells activate
transcription via a phospho-relay signaling network. Type-B nuclear RESPONSE
REGULATOR (RR) proteins mediate transcriptional activation as the final step in
the signaling cascade. They bind to promoters of immediate-early target genes via
a conserved Myb-related DNA-binding domain. To monitor transcriptional activation
in response to a cytokinin stimulus, we have constructed a synthetic promoter,
TCS (two-component signaling sensor) that harbors the concatemerized binding
motifs for activated type-B RR in an optimized configuration. Here, we describe
our protocols for imaging TCSn::GFP expression in transgenic Arabidopsis plants.
The use of the fluorescent reporter GFP allows the visualization of cytokinin
responding cells by fluorescent microscopy without the need for tissue processing
steps, or staining reactions. This method is fast and with a low risk of
artifacts. However, since cytokinin signaling integrates various environmental
information including light, nutrient status, and biotic and abiotic stress,
special care needs to be devoted to the control of growth conditions.
PMID- 27864761
TI - Highlighting Gibberellins Accumulation Sites in Arabidopsis thaliana Root Using
Fluorescently Labeled Gibberellins.
AB - The physical location of plant hormones is an important factor in maintaining
their proper metabolism, perception, and mediated developmental responses. Thus,
unveiling plant hormones dynamics at the molecule's level is essential for a
comprehensive, detailed understanding of both their functions and the regulative
mechanisms they are subjected to. Here, we describe the use of fluorescently
labeled, bioactive gibberellins (GAs) to highlight the dynamic distribution and
accumulation sites of bioactive GAs in Arabidopsis thaliana roots by confocal
microscopy.
PMID- 27864762
TI - In Silico Methods for Cell Annotation, Quantification of Gene Expression, and
Cell Geometry at Single-Cell Resolution Using 3DCellAtlas.
AB - A comprehensive understanding of plant growth and development requires the
integration of the spatial and temporal dynamics of gene regulatory networks with
changes in cellular geometry during 3D organ growth. 3DCellAtlas is an
integrative computational pipeline that semi-automatically identifies cell type
and position within radially symmetric plant organs, and simultaneously
quantifies 3D cell anisotropy and reporter abundance at single-cell resolution.
It is a powerful tool that generates digital single-cell cellular atlases of
plant organs and enables 3D cell geometry and reporter abundance
(gene/protein/biosensor) from multiple samples to be integrated at single-cell
resolution across whole organs. Here we describe how to use 3DCellAtlas to
process and analyze radially symmetric organs, and to identify cell types and
extract geometric cell data within these 3D cellular datasets. We detail how to
use two statistical tools in 3DCellAtlas to compare cellular geometries, and to
analyze reporter abundance at single-cell resolution.
PMID- 27864763
TI - Analyzing Cell Wall Elasticity After Hormone Treatment: An Example Using Tobacco
BY-2 Cells and Auxin.
AB - Atomic force microscopy, and related nano-indentation techniques, is a valuable
tool for analyzing the elastic properties of plant cell walls as they relate to
changes in cell wall chemistry, changes in development, and response to hormones.
Within this chapter I will describe a method for analyzing the effect of the
phytohormone auxin on the cell wall elasticity of tobacco BY-2 cells. This
general method may be easily altered for different experimental systems and
hormones of interest.
PMID- 27864764
TI - FRET-FLIM for Visualizing and Quantifying Protein Interactions in Live Plant
Cells.
AB - Proteins are the workhorses that control most biological processes in living
cells. Although proteins can accomplish their functions independently, the vast
majority of functions require proteins to interact with other proteins or
biomacromolecules. Protein interactions can be investigated through biochemical
assays such as co-immunoprecipitation (co-IP) or Western blot analysis, but such
assays lack spatial information. Here we describe a well-developed imaging
method, Forster resonance energy transfer (FRET) analyzed by fluorescence
lifetime imaging microscopy (FLIM), that can be used to visualize protein
interactions with both spatial and temporal resolution in live cells. We
demonstrate its use in plant developmental research by visualizing in vivo
dimerization of AUXIN RESPONSE FACTOR (ARF) proteins, mediating auxin responses.
PMID- 27864765
TI - In Vivo Identification of Plant Protein Complexes Using IP-MS/MS.
AB - Individual proteins often function as part of a protein complex. The
identification of interacting proteins is therefore vital to understand the
biological role and function of the studied protein. Here we describe a method
for the in vivo identification of nuclear, cytoplasmic, and membrane-associated
protein complexes from plant tissues using a strategy of immunoprecipitation
followed by tandem mass spectrometry. By performing quantitative mass
spectrometry measurements on biological triplicates, relative abundance of
proteins in GFP-tagged complexes compared to background controls can be
statistically evaluated to identify high-confidence interactors. We detail the
entire workflow of this approach.
PMID- 27864766
TI - Assaying Auxin Receptor Activity Using SPR Assays with F-Box Proteins and Aux/IAA
Degrons.
AB - The identification of TIR1 as an auxin receptor combined with advanced
biophysical instrumentation has led to the development of real-time activity
assays for auxins. Traditionally, molecules have been assessed for auxinic
activity using bioassays, and agrochemical compound discovery continues to be
based on "spray and pray" technologies. Here, we describe the methodology behind
an SPR-based assay that uses TIR1 and related F-box proteins with surface plasmon
resonance spectrometry for rapid compound screening. In addition, methods for
collecting kinetic binding data and data processing are given so that they may
support programs for rational design of novel auxin ligands.
PMID- 27864767
TI - Studying Transcription Factor Binding to Specific Genomic Loci by Chromatin
Immunoprecipitation (ChIP).
AB - Plant hormone signaling involves complex transcriptional networks, where
transcription factors orchestrate the control of specific gene expression. These
networks include cross talk between hormone signaling pathways, and the
integration of environmental signals and the developmental program. Understanding
how particular transcription factors respond and integrate specific signals is
crucial in order to understand the basic mechanisms of hormonal signaling and
cross talk. Studying transcription factor binding at specific genomic loci by
chromatin immunoprecipitation (ChIP) is therefore a valuable technique in order
to analyze transcriptional regulation. The method is based on cross-linking
proteins to DNA, the isolation of chromatin, and immunoprecipitation of a
transcription factor of interest. The attached DNA is then recovered and analyzed
by quantitative real-time PCR in order to establish binding sites of the
respective transcription factor. Here, we present a relatively simple and short
protocol for ChIP on single loci.
PMID- 27864768
TI - Hormone Receptor Glycosylation.
AB - Glycosylation is essential for all trees of life. N-glycosylation is one of the
most common covalent protein modifications and influences a large variety of
cellular processes including protein folding, quality control and protein
receptor interactions. Despite recent progress in understanding of N-glycan
biosynthesis, our knowledge of N-glycan function on individual plant proteins is
still very limited. In this respect, plant hormone receptors are an interesting
group of proteins as several of these proteins are present at distinct sites in
the secretory pathway or at the plasma membrane and have numerous potential N
glycosylation sites. Identifying and characterization of N-glycan structures on
these proteins is essential to investigate the functional role of this abundant
protein modification. Here, a straightforward immunoblot-based approach is
presented that enables the analysis of N-glycosylation on endogenous hormone
receptors like the brassinosteroid receptor BRI1.
PMID- 27864769
TI - Highly Sensitive Salicylic Acid Quantification in Milligram Amounts of Plant
Tissue.
AB - Technical advances in mass spectrometry constantly raise the bar for analyzing
trace amounts of plant hormones in only very small amounts of tissue. Here, a
highly sensitive and accurate method is described for the quantitative analysis
of the plant hormone salicylic acid not only in the model plant Arabidopsis
thaliana but also in other plant species. The presented method is optimized for
the working up of as little as 20 to 50 mg of plant tissue. The discussed
protocol and the utilized laboratory equipment facilitate the implementation of
the method into other laboratories that possess access to adequate state-of-the
art gas chromatography-mass spectrometry (GC-MS) equipment.
PMID- 27864770
TI - High-Resolution Cell-Type Specific Analysis of Cytokinins in Sorted Root Cell
Populations of Arabidopsis thaliana.
AB - We describe a method combining fluorescence-activated cell sorting (FACS) with
one-step miniaturized isolation and accurate quantification of cytokinins (CKs)
using ultra-high performance liquid chromatography-tandem mass spectrometry
(UHPLC-MS/MS) to measure these phytohormones in specific cell types of
Arabidopsis thaliana roots. The methodology provides information of unprecedented
resolution about spatial distributions of CKs, and thus should facilitate
attempts to elucidate regulatory networks involved in root developmental
processes.
PMID- 27864771
TI - Hormone Profiling in Plant Tissues.
AB - Plant hormones are for a long time known to act as chemical messengers in the
regulation of physiological processes during a plant's life cycle, from
germination to senescence. Furthermore, plant hormones simultaneously coordinate
physiological responses to biotic and abiotic stresses. To study the hormonal
regulation of physiological processes, three main approaches have been used (1)
exogenous application of hormones, (2) correlative studies through measurements
of endogenous hormone levels, and (3) use of transgenic and/or mutant plants
altered in hormone metabolism or signaling. A plant hormone profiling method is
useful to unravel cross talk between hormones and help unravel the hormonal
regulation of physiological processes in studies using any of the aforementioned
approaches. However, hormone profiling is still particularly challenging due to
their very low abundance in plant tissues. In this chapter, a sensitive, rapid,
and accurate method to quantify all the five "classic" classes of plant hormones
plus other plant growth regulators, such as jasmonates, salicylic acid,
melatonin, and brassinosteroids is described. The method includes a fast and
simple extraction procedure without time consuming steps as purification or
derivatization, followed by optimized ultrahigh-performance liquid chromatography
coupled to electrospray ionization-tandem mass spectrometry (UHPLC-MS/MS)
analysis. This protocol facilitates the high-throughput analysis of hormone
profiling and is applicable to different plant tissues.
PMID- 27864772
TI - Use of Xenopus laevis Oocytes to Study Auxin Transport.
AB - Xenopus laevis oocytes are an expression system that is particularly well suited
for the characterization of membrane transporters. Oocytes possess only very
little endogenous transport systems and therefore transporters can be studied
with a high signal-to-noise ratio. This book chapter provides the basic methods
to use Xenopus oocytes for the characterization of transporters by radiotracer
experiments. While the methods described here were established to study auxin
transport they can easily be adapted to study other hormone transporters and
their substrates.
PMID- 27864773
TI - Characterizing Auxin Response Circuits in Saccharomyces cerevisiae by Flow
Cytometry.
AB - Recapitulation of the nuclear auxin response pathway in Saccharomyces cerevisiae
(yeast) provides a means to functionally assay the contribution of individual
signaling components to response dynamics. Here, we describe a time course assay
for characterizing auxin response circuits using flow cytometry. This method
allows for quantitative measurements of the dynamic response of up to 12 circuits
(strains) at once. We also describe a steady-state assay and how to utilize an R
package we developed to facilitate data analysis.
PMID- 27864774
TI - Comparison of an automatic analysis and a manual analysis of conjunctival
microcirculation in a sheep model of haemorrhagic shock.
AB - BACKGROUND: Life-threatening diseases of critically ill patients are known to
derange microcirculation. Automatic analysis of microcirculation would provide a
bedside diagnostic tool for microcirculatory disorders and allow immediate
therapeutic decisions based upon microcirculation analysis. METHODS: After
induction of general anaesthesia and instrumentation for haemodynamic monitoring,
haemorrhagic shock was induced in ten female sheep by stepwise blood withdrawal
of 3 * 10 mL per kilogram body weight. Before and after the induction of
haemorrhagic shock, haemodynamic variables, samples for blood gas analysis, and
videos of conjunctival microcirculation were obtained by incident dark field
illumination microscopy. Microcirculatory videos were analysed (1) manually with
AVA software version 3.2 by an experienced user and (2) automatically by AVA
software version 4.2 for total vessel density (TVD), perfused vessel density
(PVD) and proportion of perfused vessels (PPV). Correlation between the two
analysis methods was examined by intraclass correlation coefficient and Bland
Altman analysis. RESULTS: The induction of haemorrhagic shock decreased the mean
arterial pressure (from 87 +/- 11 to 40 +/- 7 mmHg; p < 0.001); stroke volume
index (from 38 +/- 14 to 20 +/- 5 ml.m-2; p = 0.001) and cardiac index (from 2.9
+/- 0.9 to 1.8 +/- 0.5 L.min-1.m-2; p < 0.001) and increased the heart rate (from
72 +/- 9 to 87 +/- 11 bpm; p < 0.001) and lactate concentration (from 0.9 +/- 0.3
to 2.0 +/- 0.6 mmol.L-1; p = 0.001). Manual analysis showed no change in TVD
(17.8 +/- 4.2 to 17.8 +/- 3.8 mm*mm-2; p = 0.993), whereas PVD (from 15.6 +/- 4.6
to 11.5 +/- 6.5 mm*mm-2; p = 0.041) and PPV (from 85.9 +/- 11.8 to 62.7 +/-
29.6%; p = 0.017) decreased significantly. Automatic analysis was not able to
identify these changes. Correlation analysis showed a poor correlation between
the analysis methods and a wide spread of values in Bland-Altman analysis.
CONCLUSIONS: As characteristic changes in microcirculation during ovine
haemorrhagic shock were not detected by automatic analysis and correlation
between automatic and manual analyses (current gold standard) was poor, the use
of the investigated software for automatic analysis of microcirculation cannot be
recommended in its current version at least in the investigated model. Further
improvements in automatic vessel detection are needed before its routine use.
PMID- 27864775
TI - Assessing mercury contamination in a tropical coastal system using the mussel
Perna perna and the sea anemone Bunodosoma caissarum.
AB - Total mercury concentrations in the mussel Perna perna and the sea anemone
Bunodosoma caissarum were determined to assess Hg contamination in Guanabara Bay,
Rio de Janeiro, Brazil, and an adjacent sea area. Concentrations in the tissues
of these species were compared. Average total mercury concentrations ranged from
3.54 to 21.01 MUg kg-1 (wet wt.) in P. perna and from 4.51 to 23.19 MUg kg-1 (wet
wt.) for B. caissarum. Concentrations varied according to the sampling stations.
Distribution of concentrations for both species was similar along the sampling
stations, and a significant correlation was observed. Results suggest that B.
caissarum could be a suitable biomonitor species for mercury contamination in the
study area and could be used as a complementary species for monitoring studies.
Further research is, however, needed to assess how environmental conditions and
other variables affect Hg concentrations in B. caissarum.
PMID- 27864776
TI - Potable water quality monitoring of primary schools in Magura district,
Bangladesh: children's health risk assessment.
AB - Safe potable water is essential for good health. Worldwide, school-aged children
especially in the developing countries are suffering from various water-borne
diseases. In the study, drinking water supplies for primary school children were
monitored at Magura district, Bangladesh, to ensure safe potable water. APHA
standard analytical methods were applied for determining the physicochemical
parameters of the water samples. For determination of the essential
physicochemical parameters, the samples were collected from 20 randomly selected
tube wells of primary schools at Magura. The metal contents, especially arsenic
(As), iron (Fe), and manganese (Mn), in the water samples were analyzed by atomic
absorption spectroscopy. The range of physicochemical parameters found in water
samples were as follows: pH 7.05-9.03, electrical conductivity 400-2340 MUS/cm,
chloride 10-640 mg/L, hardness 200-535 mg/L as CaCO3, and total dissolved solids
208-1216 mg/L. The level of metals in the tube well water samples were as
follows: As 1 to 55 MUg/L, Fe 40 to 9890 MUg/L, and Mn 10 to 370 MUg/L. Drinking
water parameters of Magura district did not meet the requirement of the World
Health Organization drinking water quality guideline, or the Drinking Water
Quality Standards of Bangladesh.
PMID- 27864778
TI - A Serious Games Platform for Cognitive Rehabilitation with Preliminary
Evaluation.
AB - In recent years Serious Games have evolved substantially, solving problems in
diverse areas. In particular, in Cognitive Rehabilitation, Serious Games assume a
relevant role. Traditional cognitive therapies are often considered repetitive
and discouraging for patients and Serious Games can be used to create more
dynamic rehabilitation processes, holding patients' attention throughout the
process and motivating them during their road to recovery. This paper reviews
Serious Games and user interfaces in rehabilitation area and details a Serious
Games platform for Cognitive Rehabilitation that includes a set of features such
as: natural and multimodal user interfaces and social features (competition,
collaboration, and handicapping) which can contribute to augment the motivation
of patients during the rehabilitation process. The web platform was tested with
healthy subjects. Results of this preliminary evaluation show the motivation and
the interest of the participants by playing the games.
PMID- 27864777
TI - Bioaccumulation and cancer risk of polycyclic aromatic hydrocarbons in leafy
vegetables grown in soils within automobile repair complex and environ in Uyo,
Nigeria.
AB - Using gas chromatography-mass spectrometry and an incremental lifetime cancer
risks (ILCRs) assessment model, the bioaccumulation and cancer risk of 16 USEPA
priority polycyclic aromatic hydrocarbons (PAHs) in leafy vegetables (Vernonia
amygdalina and Lasianthera africanum) grown in soils within an automobile repair
complex environment in Uyo, Nigeria was studied. The total PAHs concentrations
recorded for soils ranged from 0.02 to 1.77 mg/kg. The highest level of 1.77
mg/kg was recorded for soils from the main automobile repair complex (site 1).
Low molecular weight (LMW) PAHs were predominant although some high molecular
weight (HMW) PAHs suites (0.04 mg/kg of chrysene and 0.04 of
benzo[k]fluoranthene) were also found in site 1. The leafy vegetables accumulated
PAHs were mostly LMW. Accumulation levels were similar but the extent of PAH
uptake in vegetables was species dependent as V. amygdalina accumulated more
(0.81 mg/kg). The bioaccumulation factors (BaFs) calculated ranged from 0.22 to
0.63 for L. africanum, and 0.18 to 0.55 for V. amygdalina in site 1 where high
PAH levels were recorded in soil. Pearson correlation coefficient analysis
revealed a strong positive relation between the PAH content of soil and the
amount accumulated by L. africanum (r = 0.5) and V. amygdalina (r = 0.8) at p =
0.05. The vegetable's potential to bioaccumulate PAHs is indicative of their use
as good bioindicators for PAH contamination in soil. Only two of the USEPA
possible human carcinogenic PAHs were detected, and carcinogenic risk assessment
based on occupational exposures to soil particles by adults revealed that the
total risk level (7.17 * 10-5) contribution from incidental soil ingestion,
dermal contact, and soil particle dust inhalation slightly exceed the USEPA
acceptable limits (< 1.00 * 10-5). There is a need for public education on
consumption of vegetables grown in and around automobile repair complexes across
Nigeria.
PMID- 27864779
TI - Activity of novel inhibitors of Staphylococcus aureus biofilms.
AB - Staphylococcus aureus is one of the most important pathogens causing chronic
biofilm infections. These are becoming more difficult to treat owing to drug
resistance, particularly because S. aureus biofilms limit the efficacy of
antimicrobial agents, leading to high morbidity and mortality. In the present
study, we screened for inhibitors of S. aureus biofilm formation using a natural
product library from the Korea Chemical Bank (KCB). Screening by crystal violet
based biomass staining assay identified hit compounds. Further examination of
antibiofilm properties of these compounds was conducted and led to the
identification of celastrol and telithromycin. In vitro, both celastrol and
telithromycin were toxic to planktonic S. aureus and also active against a
clinical methicillin-resistant S. aureus (MRSA) isolate. The effect of the
compounds on preformed biofilms of clinical MRSA isolates was evaluated by
confocal laser scanning microscopy (CLSM), which revealed the absence of typical
biofilm architecture. In addition, celastrol and telithromycin inhibited the
production of extracellular protein at selected sub-MIC concentrations, which
revealed the reduced extracellular polymeric substance (EPS) secretion. Celastrol
exhibited greater cytotoxicity than telithromycin. These data suggest that the
hit compounds, especially telithromycin, could be considered novel inhibitors of
S. aureus biofilm. Although the mechanisms of the effects on S. aureus biofilms
are not fully understood, our data suggest that telithromycin could be a useful
adjuvant therapeutic agent for S. aureus biofilm-related infections.
PMID- 27864781
TI - Isolation, Identification and High-Throughput Screening of Neutral Lipid
Producing Indigenous Microalgae from South African Aquatic Habitats.
AB - Exploring indigenous microalgae capable of producing significant amounts of
neutral lipids through high-throughput screening is crucial for sustainable
biodiesel production. In this study, 31 indigenous microalgal strains were
isolated from diverse aquatic habitats in KwaZulu-Natal, South Africa. Eight
superior lipid-producing strains were selected for further analysis, based on
Nile red fluorescence microscopy screening. The microalgal isolates were
identified to belong to the genera Chlorella, Neochloris and Chlamydomonas via
morpho-taxonomic and molecular approach by 18S rRNA gene sequencing. Chlorella
vulgaris PH2 had the highest specific growth rate (MU) and lowest doubling time
of 0.24 day-1 and 2.89 +/- 0.05 day-1, respectively. Chlorella vulgaris T4 had
the highest biomass productivity of 35.71 +/- 0.03 mg L-1day-1. Chlorella
vulgaris PH2 had the highest lipid content of 34.28 +/- 0.47 and 38 +/- 9.2%
(dcw) as determined by gravimetric analysis and the sulfo-phospho-vanillin (SPV)
method, respectively. Chlorella vulgaris PH2 exhibited a high content of
saturated fatty acids, while Chlorella sp. T4 exhibited a high total content of
saturated and monounsaturated fatty acids with a low content of polyunsaturated
fatty acids. The preponderance of neutral lipids suggests that Chlorella sp. T4
is a suitable candidate for biomass feedstock for biodiesel production.
PMID- 27864780
TI - Inhibition of the nuclear export of p65 and IQCG in leukemogenesis by NUP98-IQCG.
AB - NUP98 fuses with approximately 34 different partner genes via translocation in
hematological malignancies. Transgenic or retrovirus-mediated bone marrow
transplanted mouse models reveal the leukemogenesis of some NUP98-related fusion
genes. We previously reported the fusion protein NUP98-IQ motif containing G
(IQCG) in a myeloid/T lymphoid bi-phenoleukemia patient with t(3;11) and
confirmed its leukemogenic ability. Herein, we demonstrated the association of
NUP98-IQCG with CRM1, and found that NUP98-IQCG expression inhibits the CRM1
mediated nuclear export of p65 and enhances the transcriptional activity of
nuclear factor-kappaB. Moreover, IQCG could be entrapped in the nucleus by NUP98
IQCG, and the fusion protein interacts with calmodulin via the IQ motif in a
calcium-independent manner. Therefore, the inhibition of nuclear exports of p65
and IQCG might contribute to the leukemogenesis of NUP98-IQCG.
PMID- 27864782
TI - The cost of inpatient management of heart failure patients: a microcosting study
in the Irish healthcare setting.
AB - AIMS: To formally assess the resource use and cost of the inpatient treatment of
heart failure (HF) from the health-payer's perspective. In addition, to compare
costs in our cohort to (a) locally derived patient-level costs (PLC) and (b)
national costs as per disease-related group (DRG). METHODS AND RESULTS: Study
population Demographics and resource utilisation data were obtained from a cohort
of 30 patients (57% male, mean age 70 years) admitted into a single tertiary
centre with heart failure. Patients were identified retrospectively. Costing A
microcosting approach was used to examine admission costs that were compared to
PLC costs and DRG costs. Main outcome measure The bootstrap estimation was used
to determine mean inpatient length of stay (LOS) with standard deviation (+/-SD)
and mean costs +/-SD. RESULTS: The bootstrapped mean cost per HF episode was
?10,474 +/- 2478. The major cost drivers were ward stay (mean cost ?6068 +/-
?1681): laboratory costs (?1373 +/- 79) and cath lab costs (?1415 +/- 729). HF
was more expensive to manage in patients <=65 years (?18,930 +/- 5546) compared
to those aged over 65 years (?6209 +/- 1732); p = 0.001. No significant
difference was found in managing heart failure in males (?11,035 +/- 3564) versus
females (?9629 +/- 3294), p = 0.69. DRG costing frequently over or underestimated
the admission cost. PLC costs were similar to microcosting derived costs. The
bootstrapped mean LOS per HF episode was 15.7 days +/- 3.4. CONCLUSIONS: This
study confirms that heart failure is a costly condition and that inpatient stay
is the major cost driver. HF was significantly more expensive to manage in
patients <=65 years compared to those aged over 65 years. DRG costing frequently
over or underestimated the admission cost. Patient-level costs and microcosting
are more accurate methods of costing inpatient HF admissions. To our knowledge,
this is the first study of the cost of the inpatient treatment of HF within the
context of the Irish healthcare setting.
PMID- 27864784
TI - Capsule Commentary on Schiavoni et al., How Primary Care Physicians Integrate
Price Information into Clinical Decision Making.
PMID- 27864785
TI - Isolation Precautions for Hospitalized Patients: The Challenges of Identifying
Unintended Individual Consequences and Measuring the Prevention of Community
Harm.
PMID- 27864783
TI - miR-26a-5p suppresses tumor metastasis by regulating EMT and is associated with
prognosis in HCC.
AB - OBJECTIVE: To determine the role of miR-26a-5p in tumor invasion and metastasis
in hepatocellular carcinoma (HCC). METHODS: We evaluated miR-26a-5p expression in
HCC tissues by quantitative PCR and then analyzed its clinical significance using
a Cox regression model. Transwell and nude mouse models were used to examine
tumor metastasis in vitro and in vivo, respectively. The relationship between miR
26a-5p and epithelial-mesenchymal transition was also investigated by q-PCR and
western blot. RESULTS: Strong downregulation of miR-26a-5p was observed in tumor
tissues compared to paired adjacent normal tissues. Moreover, patients with low
miR-26a-5p expression had a significantly poorer prognosis than those with high
expression. The multivariate analysis indicated that miR-26a-5p expression was an
independent prognostic indicator. The experimental transwell model and athymic
mouse model revealed that miR-26a-5p depressed tumor metastasis in vitro and in
vivo, respectively. In addition, the decreased miR-26a-5p level observed in HCC
was associated with reduced E-cadherin expression and upregulation of vimentin,
which affects the molecular mechanism of EMT. CONCLUSION: Downregulation of miR
26a-5p promotes tumor metastasis by targeting EMT and influences the prognosis of
HCC patients. Therefore, miR-26a-5p has potential as a new biomarker and
therapeutic target.
PMID- 27864786
TI - Clinical pharmacokinetics of an amorphous solid dispersion tablet of elacridar.
AB - Elacridar is an inhibitor of the permeability glycoprotein (P-gp) and the breast
cancer resistance protein (BCRP) and is a promising absorption enhancer of drugs
that are substrates of these drug-efflux transporters. However, elacridar is
practically insoluble in water, resulting in low bioavailability which currently
limits its clinical application. We evaluated the in vitro dissolution and
clinical pharmacokinetics of a novel amorphous solid dispersion (ASD) tablet
containing elacridar. The dissolution from ASD tablets was compared to that from
a crystalline powder mixture in a USP type II dissolution apparatus. The
pharmacokinetics of the ASD tablet were evaluated in an exploratory clinical
study at oral doses of 25, 250, or 1000 mg in 12 healthy volunteers. A target
Cmax was set at >= 200 ng/mL based on previous clinical data. The in vitro
dissolution from the ASD tablet was 16.9 +/- 3.7 times higher compared to that
from a crystalline powder mixture. Cmax and AUC0-infinity increased linearly with
dose over the explored range. The target Cmax of >= 200 ng/mL was achieved at the
1000-mg dose level. At this dose, the Cmax and AUC0-infinity were 326 +/- 67
ng/mL and 13.4 +/- 8.6 . 103 ng . h/mL, respectively. In summary, the ASD tablet
was well tolerated, resulted in relevant pharmacokinetic exposure, and can be
used for proof-of-concept clinical studies.
PMID- 27864787
TI - Glycaemic Effects of Non-statin Lipid-Lowering Therapies.
AB - Since the publication of the JUPITER trial, attention has been focused on the
adverse glycemic effects of statin therapy. Although the modest increase in the
risk of new diabetes mellitus is outweighed by the reduction in cardiovascular
events for statins, emerging biochemical and genetic links between lipid
metabolism and glycemic control raise the prospect of a broader diabetogenic
effect of lipid-lowering therapies. For the novel and powerful PCSK9-inhibitor
class available evidence does not support a major glycaemic effect with the
results of large scale trials awaited although preliminary genetic data does
suggest a link. In contrast, there is clear evidence of a diabetogenic effect for
the now outdated but well-studied niacin. For ezetimibe and fibrates, evidence is
scarce but currently broadly unconcerning. For now, the glycemic effects of lipid
lowering therapies should have a limited influence on clinical decision-making.
Further study in this topical area is needed.
PMID- 27864788
TI - Reply to the Letter to the Editor: Editor's Spotlight/Take 5: No Benefit after
THA Performed with Computer-assisted Cup Placement: 10-year Results of a
Randomized Controlled Study.
PMID- 27864789
TI - Informational Support in Pediatric Oncology: Review of the Challenges Among Arab
Families.
AB - Childhood cancer and its invasive treatment is a distressing life experience for
the child and his family. Providing informational support is an essential part of
community care, and defining parent's burden is an important part of this goal.
However, providing such information can be particularly challenging in Arab
countries where beliefs, traditions, religion, and socioeconomic factors
influence parents' needs and their priorities of needs. This article presents a
review of these specificities among Arab families. Implications of health-care
providers are also discussed.
PMID- 27864791
TI - The Harms of Antipsychotic Drugs: Evidence from Key Studies.
AB - This safety assessment provides a detailed analysis of key studies and focuses on
the six most widely used antipsychotic drugs. Lines of evidence include
mechanisms of action, short-term treatment of psychosis, relapse prevention,
early intervention in schizophrenia, long-term comparisons between first- and
second-generation agents, and flexible treatment algorithms. Despite the
diversity of study settings, several common features were seen. All the agents
obstruct normal signaling through widely dispersed dopamine D2 receptors.
Treatment failure or psychosis relapse was the most frequent outcome in most key
studies, ranging from 38 to 93%. High discontinuation rates caused most trials to
fail to demonstrate a substantial treatment benefit, or difference from an active
comparator. Assessment of harm to the extrapyramidal motor system was confounded
because of extensive neurological impairment from previous antipsychotic drug
treatment measured at baseline, abrupt discontinuation effects, and high rates of
concomitant medications to manage drug adverse effects. Claims that second
generation antipsychotic drugs have safety advantages over classical neuroleptic
drugs and prevent relapse were not supported in these key studies. The extent of
injury to and impairment of multiple body systems caused by antipsychotic drugs
shows the need for a scientific, clinical, and regulatory reappraisal of the
appropriate use of these agents.
PMID- 27864790
TI - Understanding pacing postconditioning-mediated cardiac protection: a role of
oxidative stress and a synergistic effect of adenosine.
AB - We and others have demonstrated a protective role for pacing postconditioning
(PPC) against ischemia/reperfusion (I/R) injury in the heart; however, the
underlying mechanisms behind these protective effects are not completely
understood. In this study, we wanted to further characterize PPC-mediated cardiac
protection, specifically identify optimal pacing sites; examine the role of
oxidative stress; and test the existence of a potential synergistic effect
between PPC and adenosine. Isolated rat hearts were subjected to coronary
occlusion followed by reperfusion. PPC involved three, 30 s, episodes of
alternating left ventricular (LV) and right atrial (RA) pacing. Multiple pacing
protocols with different pacing electrode locations were used. To test the
involvement of oxidative stress, target-specific agonists or antagonists were
infused at the beginning of reperfusion. Hemodynamic data were digitally
recorded, and cardiac enzymes, oxidant, and antioxidant status were chemically
measured. Pacing at the LV or RV but not at the heart apex or base significantly
(P < 0.001) protected against ischemia-reperfusion injury. PPC-mediated
protection was completely abrogated in the presence of reactive oxygen species
(ROS) scavenger, ebselen; peroxynitrite (ONOO-) scavenger, uric acid; and nitric
oxide synthase inhibitor, L-NAME. Nitric oxide (NO) donor, snap, however
significantly (P < 0.05) protected the heart against I/R injury in the absence of
PPC. The protective effects of PPC were significantly improved by adenosine. PPC
stimulated protection can be achieved by alternating LV and RA pacing applied at
the beginning of reperfusion. NO, ROS, and the product of their interaction ONOO-
play a significant role in PPC-induced cardiac protection. Finally, the
protective effects of PPC can be synergized with adenosine.
PMID- 27864792
TI - Readmission Risk in Chronic Obstructive Pulmonary Disease Patients: Comparative
Study of Nebulized beta2-Agonists.
AB - BACKGROUND: Bronchodilators are used for managing the symptoms of chronic
obstructive pulmonary disease (COPD) and minimizing the risk of hospitalization
and readmission. Hospital readmission is predictive of morbidity and mortality.
OBJECTIVE: The study objective was to compare all-cause readmission risk in COPD
patients receiving nebulized long-acting beta2-agonists (neb-LABAs) versus
nebulized short-acting beta2-agonists (neb-SABA) following COPD-related
hospitalization discharge. METHODS: This retrospective analysis utilized US-based
pharmacy and medical claims records (2001-2011) to identify COPD patients aged
>=40 years receiving neb-LABA or neb-SABA treatment within 30 days following
discharge from a COPD-related hospitalization. Patients had to be continuously
enrolled in their health plan for >=6 months before and after their first neb
LABA or neb-SABA prescription fill (index date), and adherent to the treatment
for the first 3 months post-index date. To select patients with similar severity
profiles, neb-LABA and neb-SABA patients were matched by baseline
characteristics. Readmission risks were observed over the 6-month period
following the index date and compared between neb-LABA and neb-SABA cohorts using
the multiple variable Cox proportional hazards model. RESULTS: The analysis
included 246 matched patients (neb-LABA = 123; neb-SABA = 123). The mean age was
67 years, and 54% were female. The average length of stay during index
hospitalization was 4.4 days. After adjusting for potential confounders, the risk
of readmission was 47% lower in the neb-LABA cohort than in the neb-SABA cohort
(hazard ratio 0.53, 95% confidence interval 0.30-0.96; P = 0.0349). CONCLUSIONS:
Patients receiving neb-LABAs had a significantly lower readmission risk within 6
months following a COPD-related hospitalization versus patients treated with neb
SABAs.
PMID- 27864793
TI - Serum Fatty Acid-Binding Protein 4 is Increased in Patients with Psoriasis.
AB - Psoriasis is associated with metabolic syndrome and cardiovascular disease. Fatty
acid-binding proteins (FABP) have been recognized as predictors of these systemic
disorders. The aim of this study was to assess correlations between levels of
serum heart and adipocyte fatty acid-binding proteins (FABP3, FABP4) and disease
severity, indicators of inflammation or metabolic disturbances, and topical
treatment in psoriatic patients. Thirty-seven patients with relapse of plaque
type psoriasis and 16 healthy volunteers were recruited. Blood samples were
collected before and after 14 days of therapy. Serum FABP concentrations were
examined by enzyme-linked immunosorbent assay for correlation with Psoriasis Area
and Severity Index (PASI), body mass index (BMI), inflammatory or metabolic
parameters, and treatment used. The median FABP4 serum levels were significantly
increased (p = 0.038) in psoriatic patients, while FABP3 levels did not differ (p
= 0.47) compared to the controls. No significant correlations were noted between
the proteins and PASI, C-reactive protein (CRP), BMI, or levels of glucose or
lipids. FABP3 significantly correlated with white blood count (p = 0.03) and
aspartate aminotransferase (p = 0.04). After topical treatment, there was no
significant change in serum FABP3 [11.5 (4.9-30.3) vs. 12.9 (3.5-30.3) ng/ml] (p
= 0.96), whereas FABP4 was decreased [27,286 (20,344-32,257) vs. 23,034 (18,320
29,874) pg/ml] (p = 0.12), losing its basal significance. FABP4 may be a marker
of psoriasis, and FABP3 may be associated with inflammation or liver disorders in
psoriatic patients. FABP do not appear to be useful for determining disease
severity or the effectiveness of antipsoriatic treatment.
PMID- 27864794
TI - Fatty Acids from a Glass Sponge Aulosaccus sp. Occurrence of New Cyclopropane
Containing and Methyl-Branched Acids.
AB - In order to identify new structures, the free fatty acids from an extract of a
glass sponge Aulosaccus sp. (from the north-west Pacific) belonging to one of the
least chemically investigated classes (Hexactinellida), were fractionated by RP
HPLC and analyzed by NMR spectroscopy and GC-MS of their pyrrolidine derivatives,
methyl(ethyl) esters and their dimethyl disulfide adducts. One hundred and twenty
three C12-C31 acids (including nine new compounds) were detected, one hundred and
ten of these compounds have not been found previously in glass sponges. The
levels of common methylene-interrupted polyenes, monoenes of the (n-7) family and
less common branched-chain components proved to be high. New acids were shown to
be 5,13-dimethyl-tetradec-4-enoic, cis-10,11-methylene-heptadecanoic, 10,12
dimethyl-octadecanoic, cis-12,13-methylene-nonadecanoic, (14E)-13-methyl-eicos-14
enoic, 19-methyl-eicos-13-enoic, cis-20,21-methylene-heptacosanoic, 27-methyl
octacos-21-enoic and (22Z)-nonacos-22-enoic. Some important mass spectrometric
characteristics of pyrrolidides of homologous cyclopropane fatty acids are
reported and discussed.
PMID- 27864796
TI - Erratum to: Student-directed retrieval practice is a predictor of medical
licensing examination performance.
PMID- 27864797
TI - ?
PMID- 27864795
TI - Prevalence of ocular surface disease symptoms and risk factors in group of
university students in Monterrey, Mexico.
AB - BACKGROUND: The objective of this study was to determine the prevalence of
symptoms of ocular surface disease and its relationship with associated risk
factors in students from the University of Monterrey using Ocular Surface Disease
(OSDI) questionnaire. METHODS: A cross-sectional survey was conducted between
October and December 2014 to assess the prevalence and risk factors for ocular
surface disease in a group of students from Universidad de Monterrey in
Monterrey, Mexico. The severity of the disease was measured via the Ocular
Surface Disease Index (OSDI) questionnaire. RESULTS: The OSDI average value was
26.85 +/- 20.79 points, with 70.4% of students (579) had OSDI score higher than
12 points. Women had ocular surface disease 1.63 times more than men (OR 1.29,
95% CI 1.13,1.48). Students who used ophthalmic drops have an OR 2.00 (95% CI
1.65,2.40), and students who smoke have an OR 1.24 (95% CI 1.06,1.46). Use of
contact lenses, hours in front of computer or history of refractive surgery has
low-estimated effect on the probability of presenting an ocular disease.
CONCLUSIONS: University students have a prevalence of 70.4% of ocular surface
disease (OSD). OSD was associated with gender (women have a higher prevalence),
smoking and the use of eye drops. A program to modify these risk factors to
reduce the prevalence is needed.
PMID- 27864799
TI - Primordial Prevention of Cardiometabolic Risk in Childhood.
AB - Fetal life and childhood are important in the development of cardiometabolic risk
and later clinical disease of atherosclerosis, hypertension and diabetes
mellitus. Molecular and environmental conditions leading to cardiometabolic risk
in early life bring us a challenge to develop effective prevention and
intervention strategies to reduce cardiovascular (CV) risk in children and later
disease. It is important that prevention strategies begin at an early age to
reduce future CV morbidity and mortality. Pioneering work from longitudinal
studies such as Bogalusa Heart Study (BHS), the Finnish Youth Study and other
programs provide an awareness of the need for public and health services to begin
primordial prevention. The impending CV risk beginning in childhood has a
significant socioeconomic burden. Directions to achieve primordial prevention of
cardiometabolic risk in children have been developed by prior longitudinal
studies. Based on those studies that show risk factors in childhood as precursors
of adult CV risk, implementation of primordial prevention will have effects at
broad levels. Considering the epidemic of obesity, the high prevalence of
hypertension and cardiometabolic risk, prevention early in life is valuable.
Comprehensive health education, such as 'Health Ahead/Heart Smart', for all
elementary school age children is one approach to begin primordial prevention and
can be included in public education beginning in kindergarten along with the
traditional education subject matter.
PMID- 27864798
TI - Pharmacokinetic Drug Interactions with Panax ginseng.
AB - Panax ginseng is widely used as an adaptogen throughout the world. The major
active constituents of P. ginseng are ginsenosides. Most naturally occurring
ginsenosides are deglycosylated by colonic bacteria to intestinal metabolites.
Ginsenosides along with these metabolites are widely accepted as being
responsible for the pharmacologic activity and drug interaction potential of
ginseng. Numerous preclinical studies have assessed the influence of various
ginseng components on cytochrome P450 (CYP), glucuronidation, and drug transport
activity. Results from these investigations have been largely inconclusive due to
the use of different ginseng products and variations in methodology between
studies. Drug interaction studies in humans have been conflicting and have
largely yielded negative results or results that suggest only a weak interaction.
One study using a midazolam probe found weak CYP3A induction and another using a
fexofenadine probe found weak P-gp inhibition. Despite several case reports
indicating a drug interaction between warfarin and P. ginseng, pharmacokinetic
studies involving these agents in combination have failed to find significant
pharmacokinetic or pharmacodynamic interactions. To this end, drug interactions
involving P. ginseng appear to be rare; however, close clinical monitoring is
still suggested for patients taking warfarin or CYP3A or P-gp substrates with
narrow therapeutic indices.
PMID- 27864800
TI - Resistant Hypertension.
AB - Conservatively, ten million people in the USA alone may suffer from RH and may be
similarly prevalent elsewhere. Given the strong linear correlation between
hypertension and cardiovascular outcomes, better control is paramount. We favor a
multi-pronged approach. It may not suffice to address this by pharmacologic means
only. Careful attention to modifiable risk factors, particularly sodium intake,
adhering to a proper diet (i.e. DASH), and avoiding agents, i.e. non-steroidals,
that can elevate the blood pressure, is key. Frequent follow up to establish the
right treatment regimen and home blood pressuring monitoring can have a strong
impact on control. Finally, consideration of device therapy may be a more viable
option in the future.
PMID- 27864801
TI - High Prevalence of Human Herpesvirus 8 Infection in Diabetes Type 2 Patients and
Detection of a New Virus Subtype.
AB - The prevalence of Human Herpesvirus 8 (HHV8) DNA and antiviral antibodies in
Diabetes type 2 (DM2) and control subjects was studied, in order to confirm a
possible link between DM2 and HHV8 infection. The HHV8-DNA from diabetic patients
was typed for detecting possible genomic differences with known HHV8 reference
viruses.DM2 patients and healthy controls were examined for the presence of HHV8
DNA into the peripheral blood lymphocytes. Both anti-lytic and latent phase
antibodies were detected in HHV8 positive and negative diabetic patients, as well
in a number of controls. The HHV8 ORF K1 and ORF 26 genes from DM2 patients were
typed and matched to reference strains.A significant prevalence of HHV8 DNA in
DM2 subjects versus healthy controls was detected (about 58 % against 27 %). Anti
lytic phase, but not anti-latent phase antibodies, were significantly increased
in DM2 patients versus controls. In addition, about 30 % of HHV8 strains isolated
from DM2 lymphocytes showed consistent differences in the ORF 26 gene sequence,
so that a new HHV8 subtype was proposed. These findings give additional support
to the hypothesis that HHV8 could be considered an additional risk factor for DM2
onset.
PMID- 27864802
TI - Secreted Phospholipase A2 Type IIA (sPLA2-IIA) Activates Integrins in an
Allosteric Manner.
AB - Secreted phospholipase A2 type IIA (sPLA2-IIA) is a well-established pro
inflammatory protein and has been a major target for drug discovery. However, the
mechanism of its signaling action has not been fully understood. We previously
found that sPLA2-IIA binds to integrins alphavbeta3 and alpha4beta1 in human and
that this interaction plays a role in sPLA2-IIA's signaling action. Our recent
studies found that sPLA2-IIA activates integrins in an allosteric manner through
direct binding to a newly identified binding site of integrins (site 2), which is
distinct from the classical RGD-binding site (site 1). The sPLA2-IIA-induced
integrin activation may be related to the signaling action of sPLA2-IIA. Since
sPLA2-IIA is present in normal human tears in addition to rheumatoid synovial
fluid at high concentrations the sPLA2-IIA-mediated integrin activation on
leukocytes may be involved in immune responses in normal and pathological
conditions.
PMID- 27864803
TI - Enabling Rapid Response to the 2014-2016 Ebola Epidemic: The Experience and the
Results of the National Institute for Infectious Diseases Lazzaro Spallanzani.
AB - The unprecedented epidemic of Ebola virus disease (EVD) in West Africa
highlighted the need for stronger systems for disease surveillance, response, and
prevention worldwide. Tackling an epidemic event today requires a broader view,
not only limited to medical management of the patients, but which also includes
heroic efforts by clinicians and public health personnel.Since its foundation in
1936, INMI has been devoted to the prevention, diagnosis and care for infectious
diseases. In 2009, INMI became a WHO collaborative center for clinical care,
diagnosis, response and training on Highly Infectious Diseases. This paper is
aimed to present the activities and the challenging issues encountered by INMI
during the 2014-2015 EVD outbreak in terms of preparedness and response to the
epidemiological, clinical, diagnostic and research controversial aspects of EVD,
both in Italy and in the field.
PMID- 27864805
TI - Endocrine Hypertension: A Practical Approach.
AB - Elevated blood pressure resulting from few endocrine disorders (endocrine
hypertension) accounts for a high proportion of cases of secondary hypertension.
Although some features may be suggestive, many cases of endocrine hypertension
remain silent until worked up for the disease. A majority of cases result from
primary aldosteronism. Other conditions that can cause endocrine hypertension
are: congenital adrenal hyperplasia, Liddle syndrome, pheochromocytomas,
Cushing's syndrome, acromegaly, thyroid diseases, primary hyperparathyroidism and
iatrogenic hormone manipulation. Early identification and treatment of the cause
of endocrine hypertension may help to reduce morbidity and mortality related to
these disorders. This article gives a comprehensive and practical approach to the
diagnosis and management of endocrine hypertension.
PMID- 27864804
TI - Activity of Norspermidine on Bacterial Biofilms of Multidrug-Resistant Clinical
Isolates Associated with Persistent Extremity Wound Infections.
AB - Biofilm formation is a major virulence factor for numerous pathogenic bacteria
and is cited as a central event in the pathogenesis of chronic human infections,
which is in large part due to excessive extracellular matrix secretion and
metabolic changes that occur within the biofilm rendering them highly tolerant to
antimicrobial treatments. Polyamines, including norspermidine, play central roles
in bacterial biofilm development, but have also recently been shown to inhibit
biofilm formation in select strains of various pathogenic bacteria. The aim of
this study was to evaluate in vitro the biofilm dispersive and inhibitory
activities of norspermidine against multidrug-resistant clinical isolates of
Acinetobacter baumannii(n = 4), Klebsiella pneumoniae (n = 3), Pseudomonas
aeruginosa (n = 5) and Staphylococcus aureus (n = 4) associated with chronic
extremity wound infections using the semi-quantitative 96-well plate method and
confocal laser microscopy. In addition to the antibiofilm activity,
biocompatibility of norspermidine was also evaluated by measuring toxicity in
vitro to human cell lines and whole porcine tissue explants using MTT viability
assay and histological analysis. Norspermidine (5-20 mM) had variable dispersive
and inhibitory activity on biofilms which was dependent on both the strain and
species. Of the clinical bacterial species evaluated herein, A. baumannii
isolates were the most sensitive to the effect of norspermidine, which was in
part due to the inhibitory effects of norspermidine on bacterial motility and
expression of genes involved in the production of homoserine lactones and quorum
sensing molecules both essential for biofilm formation. Importantly, exposure of
cell lines and whole tissues to norspermidine for prolonged periods of time (>=24
h) was observed to reduce viability and alter tissue histology in a time and
concentration dependent manner, with 20 mM exposure having the greatest negative
effects on both tissues and individual cell lines. Collectively our findings
demonstrate that, similar to other polyamines, norspermidine displays both
inhibitory and dispersive activities on biofilms of clinical multidrug-resistant
bacterial isolates, in particular for strains of A. baumannii. Additionally our
findings suggest that direct application may be considered on tissues, albeit for
limited exposure times.
PMID- 27864806
TI - Pathophysiological Mechanisms and Correlates of Therapeutic Pharmacological
Interventions in Essential Arterial Hypertension.
AB - Treating arterial hypertension (HT) remains a hard task. The hypertensive patient
is often a subject with several comorbidities and metabolic abnormalities.
Clinicians everyday have to choose the right drug for the single patient among
the different classes of antihypertensives. Apart from lowering blood pressure, a
main therapeutic target should be that of counteracting all the possible
pathophysiological mechanisms involved in HT itself and in existing/potential
comorbidities. All the ancillary positive and negative effects of the
administered drugs should be considered: in particular, since hypertensive
patients are often glucose intolerant/diabetic, carrier of serum lipids disorder,
have already developed atherosclerotic diseases and endothelial dysfunction, they
should not be treated with drugs negatively interfering with these conditions but
with molecules that, if possible, improve them. The main pathophysiological
mechanisms and correlates of therapeutic pharmacological interventions in
essential HT are reviewed here.
PMID- 27864807
TI - Assessing the Associations Between Awareness of Myocardial Infarction Symptoms,
Socioeconomic Factors, and Cardiovascular Disease Risk Factors Through Regression
Models.
AB - There are few studies that consider the association between awareness of symptoms
of acute myocardial infarction (MI), socioeconomic factors (household income,
sex, race/ethnicity, and educational attainment), and cardiovascular (CVD) risk
factors. It is important to understand these associations because there is
evidence that suggests that disparities in the awareness of MI symptoms lead to
disparities in delays in receiving treatment and outcomes of patients with MI.
The study was to determine if there are disparities in the awareness of different
MI symptoms among different groups with respect to self-reported race, ethnicity,
education, age, and income (i.e., various SES factors) in the presence/absence of
modifiable cardiovascular disease risk factors. We utilized the 2003-2009
Behavioral Risk Factor Surveillance Survey, a nationally representative telephone
based survey, to evaluate the relationships between five common symptoms of MI,
socioeconomic factors, and four major modifiable CVD risk factors. We found that
being college-educated, a higher household income, making $75,000 a year or more,
being female, being non-Hispanic White, having hypertension, and exercising
regularly were generally associated with a higher probability of being aware of
the MI symptoms evaluated in this study. Additionally, awareness that
jaw/back/neck pain and feeling weak/light-headed/faint are symptoms of MI were
found to be consistently lower compared to that of other MI symptoms, ranging
from 50 to 75%, across all SES factors and CVD risk factors. The findings from
this study can serve as a useful guide to facilitating targeted educational
efforts aimed at improving awareness of MI symptoms that may ultimately reduce
disparities in the outcomes of patients at risk for MI.
PMID- 27864808
TI - Latina and Black/African American Women's Perspectives on Cancer Screening and
Cancer Screening Reminders.
AB - INTRODUCTION: Racial and ethnic disparities continue to exist in cancer screening
rates, especially among US Latina and Black/African American populations. We
conducted six focus groups among 41 women from these communities in order to
better understand their preferences about cancer screening reminders and the
motivators and deterrents they face in obtaining recommended breast, cervical,
and colon cancer screening. METHODS: Using self-reported patient race/ethnicity
from electronic medical records of a large, integrated health care system in
Seattle, we recruited women ages 30-60 to participate in one of five 2-hour focus
groups. Using verbatim transcripts from these discussions, we conducted a
qualitative analysis to identify common themes. RESULTS: The focus group
participants were primarily strong endorsers and utilizers of recommended breast,
cervical, and colon cancer screening services. Insurance and belief in preventive
care were the most common motivators that they cited in obtaining cancer
screening. However, they still reported multiple barriers to getting recommended
cancer screening for themselves and community members, including lack of time,
conflicting information about screening intervals, distrust in the health care
system, and a lack of understanding of the benefits of preventive care.
CONCLUSIONS: Efforts to improve understanding about the benefits of cancer
screening, clarify cancer screening guideline recommendations, increase cultural
competency among health care professionals, and expand the times and locations
where cancer screening is available are all options that may improve cancer
screening rates among Latinas and Black/African American women.
PMID- 27864809
TI - Determinants of Prostate Cancer Screening Intentions of Young Black Men Aged 18
to 40 Years.
AB - INTRODUCTION: Black men are more likely to be diagnosed with prostate cancer,
with higher stage and higher grade at presentation. Evidence suggests that for
education in health promotion behaviors (such as screenings) in early adult
years, young Black men can be better equipped to make informed decisions in later
years. OBJECTIVE: Using the theory of reasoned action (TRA), we assessed the
intention of young Black men to screen for prostate cancer when it is recommended
and determined its correlates. METHODS: This was a cross-sectional study of 267
Black men aged 18 to 40 years. A 47-item questionnaire collected information on
demographics/personal factors, attitudes toward prostate cancer screening, social
influence, comfortability with prostate examinations, cues to action, health
screening experiences, knowledge of prostate cancer and screening, and intention.
Descriptive statistics were calculated for all variables and hierarchical
logistic regression was employed to determine significant predictors of prostate
cancer screening intentions. RESULTS: The regression model accounted for 46% of
the variance in intention (p < 0.01), with excellent perception of health, having
private or public health insurance, longer regular source of care, positive
attitude, positive social influence, positive cues to action, and higher
knowledge levels being significant predictors of intentions. CONCLUSION: This
study provides support for the use of TRA in predicting prostate cancer screening
intentions among young Black men when it is recommended by a physician. Findings
may inform the development of empirical-based interventions to educate and inform
at-risk young Black men about the pros and cons of prostate cancer screening so
that they can make informed decision on screening when recommended later in life.
PMID- 27864810
TI - A case of Pitt-Hopkins syndrome presented with Angelman-like syndromic
phenotypes.
AB - Pitt-Hopkins syndrome (PTHS), caused by a TCF4 gene mutation, is a condition
characterized by intellectual disability and developmental delay, breathing
anomalies, epilepsy, and distinctive facial dysmorphism [1]. Its diverse clinical
appearance causes pediatricians to confuse it with Angelman syndrome, which is
considered one of the family members of Angelman-like syndrome. Herein, we report
on a 4 y/o boy with PTHS and discuss its similarities and differences with
Angelman syndrome. In doing so we hope to provide a feasible pathway to diagnose
rare diseases, especially Angelman-like syndrome.
PMID- 27864813
TI - Silex: A database for silent-letter endings in French words.
AB - Silent-letter endings are often claimed to be a major source of inconsistency in
the French orthography. In this report, we introduce Silex, a database designed
to facilitate the study of spelling performance in general, and silent-letter
endings in particular. It was derived from two large and recent corpora based on
child- and adult-targeted material. Silex consists of three kinds of Excel
workbooks: a set of Stimuli Selector workbooks that allow researchers to select
words based on a variety of statistics and word characteristics; a Table
Generator workbook that allows researchers to build consistency distribution
tables by selecting specific phonological or orthographic units; and a Master
File workbook, from which all statistics were derived, and that allows
researchers to compute other statistics. Silex is different from existing
databases in the manner that silent-letter endings were coded and how consistency
indices were computed. Importantly, Silex provides unconditional- and conditional
consistency indices for silent-letter endings. To demonstrate the utility of
Silex, we first described the silent-letter phenomenon in French. We found that,
at minimum, 28 % of French words end with a silent letter. Moreover, silent
letter endings are usually t, e, s, x, or d, and the occurrence of these letters
is conditioned by the phonological ending of words. Second, we showed how Silex
could prove useful for the development of theoretical models and for empirical
studies. The novel information provided in Silex as well as the flexibility of
this database should enable researchers to advance our understanding of
developing and skilled spelling performance.
PMID- 27864814
TI - nodeGame: Real-time, synchronous, online experiments in the browser.
AB - nodeGame is a free, open-source JavaScript/ HTML5 framework for conducting
synchronous experiments online and in the lab directly in the browser window. It
is specifically designed to support behavioral research along three dimensions:
(i) larger group sizes, (ii) real-time (but also discrete time) experiments, and
(iii) batches of simultaneous experiments. nodeGame has a modular source code,
and defines an API (application programming interface) through which
experimenters can create new strategic environments and configure the platform.
With zero-install, nodeGame can run on a great variety of devices, from desktop
computers to laptops, smartphones, and tablets. The current version of the
software is 3.0, and extensive documentation is available on the wiki pages at
http://nodegame.org .
PMID- 27864815
TI - Delayed onset hypokinetic-rigid syndrome due to hypoxic-ischemic damage of the
striatum.
PMID- 27864812
TI - How In Vitro Assays Contribute to Allergy Diagnosis.
AB - Diagnosis of allergic disorders is based upon the clinical history of the
disease, the immunoglobulin E (IgE) antibody response, and the allergen exposure.
During the last decade, many changes have occurred in the in vitro diagnostic
tests used in daily practice. The most important one is the use of allergenic
molecules, which helps to define severe profile of allergy and/or to better
understand cross-reactivity. The correlation between IgE sensitization and
bronchial or nasal response in provocation tests is not so clear, which implies
that such tests are still helpful in allergy diagnosis. In order to strengthen
the link between a real allergen exposure and allergic symptoms, environmental
allergen load assessment can be performed. For clinicians, it appears obvious to
know the pollen count to treat their patients; however, they rarely measure the
allergen load in the indoor environment, while nowadays home-tests (semi
quantitative or quantitative) make the assessment very easy. In the future,
assessment of the environmental exposure (preferably with an indoor technician)
of an allergic patient should take into account not only the allergens but also
the other indoor pollutants, which could enhance respiratory symptoms in allergic
patients.
PMID- 27864816
TI - Giant insulinoma: report of a case and review of published reports.
AB - BACKGROUND: Larger insulinomas are reportedly more likely to be malignant;
however, their biological behavior has not been clearly elucidated. We here
report the characteristics and treatment of a giant insulinoma with local
invasion and lymph node metastasis. We also review published reports concerning
the clinical features of giant insulinomas and comparing their grading with that
of pancreatic neuroendocrine tumors. CASE PRESENTATION: A 71-year-old man was
referred to our hospital for investigation of persistent hypoglycemia. On the
current presentation, laboratory tests showed serum glucose, immunoreactive
insulin, and C peptide concentrations of 45 mg/dL, 17.2 MUIU/mL and 4.1 ng/mL,
respectively. Dynamic magnetic resonance imaging showed a hypervascular tumor
measuring 13.5 cm in the head of the pancreas. Computed tomography scanning
demonstrated local invasion and lymph node involvement. He thus had Whipple's
triad, which is associated with malignant insulinoma. No distant metastases
having been identified, pancreaticoduodenectomy was performed. Intraoperatively,
three separate tumors were identified in the pancreatic head. Pathological
examination showed all three tumors were pancreatic neuroendocrine tumors; the
tumor cells in the largest mass were strongly immunoreactive for insulin. The Ki
67 index was 2-5% in most parts of the largest tumor and over 20% in the poorly
differentiated areas. This tumor was classified as neuroendocrine carcinoma in
accordance with the 2010 World Health Organization classification of pancreatic
endocrine neoplasms. He remains free of evidence of recurrence 2 years
postsurgery. A review of published reports indicated that giant insulinomas are
more malignant than smaller ones, and metastatic disease is found on presentation
in 56% of patients with giant insulinomas; however, we were unable to identify
any correlation between grade of pancreatic neuroendocrine tumor and biological
behavior of giant insulinomas. CONCLUSIONS: Giant insulinomas more frequently
exhibit malignant behavior, such as local invasion, lymph node involvement, and
liver metastasis, than smaller ones. However, there was no relationship between
grade and rate of metastases or survival in this small case series.
Identification of useful biological markers is necessary.
PMID- 27864817
TI - Surgical resection of recurrent gastrointestinal stromal tumor after interruption
of long-term nilotinib therapy.
AB - BACKGROUND: Nilotinib inhibits the tyrosine kinase activities of ABL1/BCR-ABL1,
KIT, and platelet-derived growth factor receptors (PDGFRs). The results of a
phase III clinical trial indicated that nilotinib could not be recommended for
broad use as first-line therapy for gastrointestinal stromal tumor (GIST).
However, some clinical studies have reported the effectiveness of nilotinib. We
report here the cases of two patients who underwent surgical resections of
nilotinib-resistant lesions after long-term nilotinib administration. CASE
PRESENTATION: Two Japanese female patients, aged 66 and 70 years, experienced
peritoneal recurrence of intestinal GIST several years after surgery. Both were
registered in the ENESTg1 trial and received nilotinib therapy. Although they
continued nilotinib administration with a partial response according to the
protocol, nilotinib-resistant lesions, which were diagnosed as focally
progressive disease, developed and complete surgical resection was performed.
Pathological examination revealed that the tumors were composed of viable KIT
positive spindle cells, and the recurrent tumors were diagnosed as nilotinib
resistant GIST. In gene mutation analysis, a secondary KIT gene mutation was
detected in one case. Both patients have survived more than 5 years after the
first surgery. CONCLUSIONS: Of patients who were registered in this trial, we
have encountered two patients with long-term effects after nilotinib
administration. Moreover, secondary mutations in the KIT gene, similar to those
involved in resistance to imatinib, might be involved in resistance to nilotinib.
PMID- 27864818
TI - Effects of Thickness of a Low-Temperature Buffer and Impurity Incorporation on
the Characteristics of Nitrogen-polar GaN.
AB - In this study, effects of the thickness of a low temperature (LT) buffer and
impurity incorporation on the characteristics of Nitrogen (N)-polar GaN are
investigated. By using either a nitridation or thermal annealing step before the
deposition of a LT buffer, three N-polar GaN samples with different thicknesses
of LT buffer and different impurity incorporations are prepared. It is found that
the sample with the thinnest LT buffer and a nitridation step proves to be the
best in terms of a fewer impurity incorporations, strong PL intensity, fast
mobility, small biaxial strain, and smooth surface. As the temperature increases
at ~10 K, the apparent donor-acceptor-pair band is responsible for the decreasing
integral intensity of the band-to-band emission peak. In addition, the thermal
annealing of the sapphire substrates may cause more impurity incorporation around
the HT-GaN/LT-GaN/sapphire interfacial regions, which in turn may result in a
lower carrier mobility, larger biaxial strain, larger bandgap shift, and stronger
yellow luminescence. By using a nitridation step, both a thinner LT buffer and
less impurity incorporation are beneficial to obtaining a high quality N-polar
GaN.
PMID- 27864820
TI - Response to 'A functional mechanistic study of the effect of emollients on the
structure and function of the skin barrier'.
PMID- 27864819
TI - Can We Optimize Arc Discharge and Laser Ablation for Well-Controlled Carbon
Nanotube Synthesis?
AB - Although many methods have been documented for carbon nanotube (CNT) synthesis,
still, we notice many arguments, criticisms, and appeals for its optimization and
process control. Industrial grade CNT production is urgent such that invention of
novel methods and engineering principles for large-scale synthesis are needed.
Here, we comprehensively review arc discharge (AD) and laser ablation (LA)
methods with highlighted features for CNT production. We also display the growth
mechanisms of CNT with reasonable grassroots knowledge to make the synthesis more
efficient. We postulate the latest developments in engineering carbon feedstock,
catalysts, and temperature cum other minor reaction parameters to optimize the
CNT yield with desired diameter and chirality. The rate limiting steps of AD and
LA are highlighted because of their direct role in tuning the growth process.
Future roadmap towards the exploration of CNT synthesis methods is also outlined.
PMID- 27864821
TI - Effect of alterations in apoptotic pathway on development of metabolic syndrome
in patients with psoriasis vulgaris.
AB - BACKGROUND: An increase in the incidence of metabolic syndrome (MetS) has been
identified in patients with psoriasis. OBJECTIVES: To evaluate the role of
changes in expression of apoptosis activators [B-cell lymphoma (Bcl)-2-like
protein 4 (BAX), cytochrome c (cytC) and caspase-3 (CASP3)] and apoptosis
inhibitors [Bcl-2, survivin, cyclin D1 (CCND1), superoxide dismutase (SOD),
catalase 3 (CAT), glutathione synthetase (GS), heat shock protein (Hsp)27, Hsp60,
Hsp70 and Hsp90] on development of MetS in patients with psoriasis vulgaris.
METHODS: Fifty patients with psoriasis were enrolled; 25 had MetS. Twenty-five
healthy people and 25 people with only MetS were included as a control group.
Serum fasting blood glucose, urea, creatinine, total cholesterol, high-density
lipoprotein cholesterol, low-density lipoprotein cholesterol, triglycerides,
thyroid-stimulating hormone, fraction of thyroxine, fasting insulin and highly
sensitive C-reactive protein levels were measured. Expression of BAX, cytC,
CASP3, Bcl-2, survivin, CCND1, SOD, CAT, GS, and Hsp27, Hsp60, Hsp70 and Hsp90
were measured in peripheral blood. Clinical activation of patients with psoriasis
was calculated using Psoriasis Area and Severity Index scores. RESULTS: In
patients with MetS there was an increase in expression of genes for cytC,
survivin and Hsp27, Hsp60 and Hsp90, and a decrease in expression of CCND1.
Furthermore, expression levels of CCND1 were identified to be an independent risk
factor for MetS development in patients with psoriasis. CONCLUSIONS: The increase
in expression of survivin and Hsp27, Hsp60 and Hsp90, and the decrease in CCND1
expression may be important mechanisms in the development of MetS in patients
with psoriasis.
PMID- 27864822
TI - Caregiver-directed home-based intensive bimanual training in young children with
unilateral spastic cerebral palsy: a randomized trial.
AB - AIM: To examine the efficacy of caregiver-directed, home-based intensive bimanual
training in children with unilateral spastic cerebral palsy (USCP) using a
randomized control trial. METHOD: Twenty-four children (ages 2y 6mo-10y 1mo; 10
males, 14 females) performed home-based activities directed by a caregiver for 2
hours per day, 5 days per week, for 9 weeks (total=90h). Cohorts of children were
age-matched into groups and randomized to receive home-based hand-arm bimanual
intensive therapy (H-HABIT; n=12) or lower-limb functional intensive training
(LIFT-control; n=12). Caregivers were trained before the intervention and
supervised remotely via telerehabilitation. Dexterity and bimanual hand function
were assessed using the Box and Blocks test (BBT) and the Assisting Hand
Assessment (AHA) respectively. Caregiver perception of functional goals was
measured using the Canadian Occupational Performance Measure (COPM). RESULTS: H
HABIT showed greater improvement on the BBT compared to LIFT-control and no
improvement on the AHA. H-HABIT demonstrated significant improvement in COPM
Performance compared to LIFT-control and both groups showed equal improvement in
COPM-Satisfaction. INTERPRETATION: H-HABIT improved dexterity and performance of
functional goals, but not bimanual performance, in children with USCP compared to
a control group receiving intervention of equal intensity/duration that also
controlled for increased caregiver attention. Home-based models provide a
valuable, family-centered approach to achieve increased treatment intensity.
PMID- 27864823
TI - Aberrant mTOR signaling and disrupted autophagy: The missing link in potential
vigabatrin-associated ocular toxicity?
AB - Vigabatrin (VGB; gamma-vinylGABA) is a unique antiepileptic directly elevating
CNS GABA via inactivation of the GABA metabolic enzyme GABA-transaminase. VGB is
effective in treating infantile spasms, a rare seizure disorder associated with
significant morbidity. The potential for unexplained bilateral constriction of
the visual field associated with VGB intervention can severely limit its temporal
utility. Removal of this potential adverse effect with adjuvant intervention(s)
would represent a significant advance in epilepsy therapeutics.
PMID- 27864824
TI - Successful treatment of ustekinumab in a psoriasis patient with human T-cell
leukemia/lymphotropic virus type 1 infection.
PMID- 27864825
TI - Individual hippocampal subfield assessment indicates that matrix macromolecules
and gliosis are key elements for the increased T2 relaxation time seen in
temporal lobe epilepsy.
AB - OBJECTIVE: Increased T2 relaxation time is often seen in temporal lobe epilepsy
(TLE) with hippocampal sclerosis. Water content directly affects the effective T2
in a voxel. Our aim was to evaluate the relation between T2 values and two
molecules associated with brain water homeostasis aquaporin 4 (AQP4) and
chondroitin sulfate proteoglycan (CSPG), as well as cellular populations in the
hippocampal region of patients with TLE. METHODS: Hippocampal T2 imaging and
diffusion tensor imaging (DTI) were obtained from 42 drug-resistant patients with
TLE and 20 healthy volunteers (radiologic controls, RCs). A similar protocol (ex
vivo) was applied to hippocampal sections from the same TLE cases and 14 autopsy
control hippocampi (histologic and radiologic controls, HRCs), and each
hippocampal subfield was evaluated. Hippocampal sections from TLE cases and HRC
controls were submitted to immunohistochemistry for neurons (neuron nuclei
[NeuN]), reactive astrocytes (glial fibrillary acidic protein [GFAP]), activated
microglia (human leukocyte antigen-D-related [HLA-DR]), polarized AQP4, and CSPG.
RESULTS: Patients with TLE had higher in vivo and ex vivo hippocampal T2
relaxation time. Hippocampi from epilepsy cases had lower neuron density, higher
gliosis, decreased AQP4 polarization, and increased CSPG immunoreactive area. In
vivo relaxation correlated with astrogliosis in the subiculum and extracellular
CSPG in the hilus. Ex vivo T2 relaxation time correlated with astrogliosis in the
hilus, CA4, and subiculum, and with microgliosis in CA1. The difference between
in vivo and ex vivo relaxation ratio correlated with mean diffusivity and with
the immunopositive area for CSPG in the hilus. SIGNIFICANCE: Our data indicate
that astrogliosis, microgliosis, and CSPG expression correlate with the increased
T2 relaxation time seen in the hippocampi of patients with TLE.
PMID- 27864826
TI - Dietary intervention in the dystopian world of severe mental illness: measure for
measure, then manage.
PMID- 27864828
TI - Maternal Na+ intake induces renal function injury in rats prevented by a short
term angiotensin converting enzyme inhibitor.
AB - The Na+ -ATPase, a secondary pump in the proximal tubule, is only weakly
responsive to angiotensin II in adult offspring exposed perinatally to high Na+
intake. We have investigated whether the offspring from mothers given 0.3 mol/L
NaCl show an ineffective angiotensin II action to increase in blood pressure. It
was hypothesized that functional alterations at adult life are associated with
the number of angiotensin II-positive cells in the developing kidney, with
increased oxidative stress in maternal/foetal organs, or with morphometrical
changes in placentas. Wistar female rats were maintained on 0.3 mol/L NaCl in
their drinking water from 20 days before conception until weaning. After weaning,
some of the male offspring were treated with enalapril for 21 days. Glomerular
filtration rate was recorded up to 210 days of age, when mean arterial pressure
was measured after infusion of angiotensin II. To investigate the placenta and
foetal kidneys, mothers on tap water or NaCl were also treated with alpha
tocopherol, pregnancy being interrupted on the 20th day. There were no changes in
the number of cells positive for angiotensin II in the foetal kidney and
unchanged lipid peroxidation in the placenta of offspring exposed to NaCl, but
the intermediate trophoblast area in the junctional zone was increased, possibly
reducing maternal-foetal exchange. Glomerular filtration rate was reduced and
there was an attenuated effect of angiotensin II on elevation of blood pressure,
which could be mediated by an elevated angiotensin II during early life, once
these disturbances had been prevented by early and short-term treatment with
enalapril.
PMID- 27864830
TI - Risk of psychiatric disorders, self-harm behaviour and service use associated
with bariatric surgery.
AB - OBJECTIVE: To investigate psychiatric outcomes after bariatric surgery, including
suicide, self-harm, psychiatric service use and substance misuse. METHOD:
Retrospective study on a Danish nationwide register-based cohort of 22 451
patients followed for 1 029 736 person-years. Data were analysed utilizing single
and multi-event Cox regression with non-operated controls with obesity and
mirror-image analyses with the operated patient serving as their own controls.
RESULTS: We showed an increased ratio of self-harm (hazard ratio [HR] 3.23, P <
0.001; incidence rate ratio [IRR] 1.71, P < 0.001), psychiatric service use
(admissions IRR 1.52, P < 0.001; emergency room visits IRR 1.70, P < 0.001),
psychiatric diagnosis (organic psychiatric disorders HR 1.78, P < 0.001;
substance use HR 2.06, P < 0.001; mood disorders HR 2.66, P < 0.001; neurotic,
stress-related and somatoform disorders HR 2.48, P < 0.001; behavioural syndromes
HR 3.15, P < 0.001; disorders of personality HR 2.68, P < 0.001; behavioural and
emotional disorders HR 6.43, P < 0.001), as well as substance misuse utilizing
Cox regression as well as mirror-image analyses, as compared to non-operated. We
did not find an increased suicide rate (HR 1.35, P = 0.658) among operated as
compared to non-operated. CONCLUSION: Our study shows that undergoing bariatric
surgery is associated with increases in self-harm, psychiatric service use and
occurrence of mental disorders.
PMID- 27864827
TI - Biomarkers of oxidative and nitro-oxidative stress: conventional and novel
approaches.
AB - : The concept of oxidative stress (OS) that connects altered redox biology with
various diseases was introduced 30 years ago and has generated intensive research
over the past two decades. Whereas it is now commonly accepted that macromolecule
oxidation in response to ROS is associated with a variety of pathologies, the
emergence of NO as a key regulator of redox signalling has led to the discovery
of the pathophysiological significance of reactive nitrogen species (RNS). RNS
can elicit various modifications of macromolecules and lead to nitrative or nitro
OS. In order to investigate oxidative and nitro-OS in human and in live animal
models, circulating biomarker assays have been developed. This article provides
an overview of key biomarkers used to assess lipid peroxidation and NO/NO2
signalling, thereby stressing the necessity to analyse several OS biomarkers in
relation to the overall (aerobic) metabolism and health condition of patients. In
addition, the potential interest of heart rate variability as the non-invasive
integrative biomarker of OS is discussed. LINKED ARTICLES: This article is part
of a themed section on Redox Biology and Oxidative Stress in Health and Disease.
To view the other articles in this section visit
http://onlinelibrary.wiley.com/doi/10.1111/bph.v174.12/issuetoc.
PMID- 27864831
TI - Alveolar bone in human immunodeficiency virus infection: is it changed by long
term antiretroviral therapy?
AB - BACKGROUND: Previous studies have reported that human immunodeficiency virus
(HIV) infection and antiretroviral therapy (ART) can lead to osteoporosis in HIV
infected individuals. However, their effects on alveolar bone are not well
established. The objective of this study was to measure the alveolar bone mineral
density (BMD) of HIV-infected patients, with and without antiretroviral therapy
(ART), in comparison with that of HIV-free individuals, and to determine factors
associated with the BMD of alveolar bone. METHODS: A cross-sectional study was
performed in non-HIV-infected individuals and HIV-infected individuals, with and
without ART. Medical status and clinical data were recorded. Periapical
radiographs of maxillary and mandibular right premolars were analysed for changes
of alveolar BMD based on HIV/ART status. Other factors associated with the
changes of alveolar BMD were explored using a parametric multivariate analysis of
covariance (MANCOVA). RESULTS: One-hundred and one HIV-infected individuals
receiving ART (age range: 23-57 years; median age 39 years), 58 receiving no ART
(age range: 20-59 years; median age 34 years) and 50 HIV-negative individuals
(age range: 19-59 years; median age 36 years) were enrolled. Neither HIV status
nor use of ART was significantly associated with the changes of alveolar BMD.
CONCLUSION: Although osteoporosis has been reported in HIV-infected individuals
treated with ART, alveolar BMD does not appear to be changed as a result of the
infection, or use of ART.
PMID- 27864829
TI - Pediatric case of anaplastic lymphoma kinase-positive anaplastic large cell
lymphoma forming a solitary skin tumor on the forearm.
AB - A 5-year-old girl noticed a rapidly growing reddish nodule on her right forearm.
Although oral antibiotics had been administrated for 2 weeks, the tumor enlarged.
Skin biopsy revealed excessive infiltration of atypical neoplastic cells
expressing CD4, CD30 and anaplastic lymphoma kinase (ALK). These histological and
immunohistochemical findings were consistent with anaplastic large cell lymphoma
(ALCL). Computed tomography showed multiple lymphadenopathy, but lymph node
biopsy and bone marrow examination did not show any evidence of systemic
dissemination. However, due to the positive results for ALK and multiple
lymphadenopathy, we diagnosed ALK-positive ALCL forming a solitary skin tumor on
the forearm. The patient received chemotherapy and presented marked improvement.
This paper discusses the difficulty of diagnosing pediatric ALK-positive ALCL
limited to the skin and reviews the medical published work.
PMID- 27864832
TI - Colistin Reduces LPS-Triggered Inflammation in a Human Sepsis Model In Vivo: A
Randomized Controlled Trial.
AB - The previously described anti-endotoxin effect of colistin has not been
investigated in humans yet. We performed a randomized, double-blind, placebo
controlled crossover trial to determine the degree of colistin-driven modulation
of inflammatory response in blood of lipopolysaccharide (LPS)-challenged healthy
volunteers in a human endotoxemia model. After a single intravenous dose of 2.5
million IU colistin methanesulfonate, interleukin (IL)-6, IL-8, tumor necrosis
factor alpha (TNF-alpha), and IL-1beta concentrations as well as other biomarkers
of inflammation such as C-reactive protein, differential leukocyte counts, and
body temperature were measured up to 24 h postdose. Colistin significantly
decreased the inflammatory cytokine response to LPS in blood of healthy
volunteers. This effect was most evident for IL-6, IL-8, and TNF-alpha. This
study is the first to confirm the anti-endotoxin effect of colistin in humans in
vivo. Further studies might increase our knowledge on the interaction between
colistin and the effectors of the immune system.
PMID- 27864834
TI - Case of metastatic uveal melanoma in which an antitumor effect appeared after
ipilimumab discontinuation due to autoimmune hypophysitis.
PMID- 27864833
TI - Structured clinical documentation in the electronic medical record to improve
quality and to support practice-based research in epilepsy.
AB - OBJECTIVE: Using the electronic medical record (EMR) to capture structured
clinical data at the point of care would be a practical way to support quality
improvement and practice-based research in epilepsy. METHODS: We describe our
stepwise process for building structured clinical documentation support tools in
the EMR that define best practices in epilepsy, and we describe how we
incorporated these toolkits into our clinical workflow. RESULTS: These tools
write notes and capture hundreds of fields of data including several score tests:
Generalized Anxiety Disorder-7 items, Neurological Disorders Depression Inventory
for Epilepsy, Epworth Sleepiness Scale, Quality of Life in Epilepsy-10 items,
Montreal Cognitive Assessment/Short Test of Mental Status, and Medical Research
Council Prognostic Index. The tools summarize brain imaging, blood laboratory,
and electroencephalography results, and document neuromodulation treatments. The
tools provide Best Practices Advisories and other clinical decision support when
appropriate. The tools prompt enrollment in a DNA biobanking study. We have thus
far enrolled 231 patients for initial visits and are starting our first annual
follow-up visits and provide a brief description of our cohort. SIGNIFICANCE: We
are sharing these EMR tools and captured data with other epilepsy clinics as part
of a Neurology Practice Based Research Network, and are using the tools to
conduct pragmatic trials using subgroup-based adaptive designs.
PMID- 27864835
TI - Intrahepatic cholangiocarcinoma frequently shows loss of BAP1 and PBRM1
expression, and demonstrates specific clinicopathological and genetic
characteristics with BAP1 loss.
AB - AIMS: BAP1 and PBRM1 expression loss has been observed in multiple cancers,
including intrahepatic cholangiocarcinoma (ICC). We investigated BAP1 and PBRM1
expression in ICC using immunohistochemistry, and analysed its association with
clinicopathological and genetic features, including two histological subtypes.
METHODS AND RESULTS: Whole-section slides of 108 consecutive primary ICC cases
were immunostained against BAP1 and PBRM1. Complete loss of BAP1 and PBRM1 was
observed in 21 (19.4%) and 25 (23.1%) cases, respectively, and partial loss was
identified in four (3.7%) and nine (8.4%) cases. In all cases, normal bile ducts
were strongly and diffusely positive for both BAP1 and PBRM1. ICC with BAP1 loss
showed lower serum CA19-9 levels, less perineural invasion, rare mucin
production, weaker immunoreactivity against S-100P and stronger immunoreactivity
against N-cadherin and NCAM. IDH mutations were identified more frequently in
ICCs with BAP1 loss. All ICC with BAP1 loss corresponded to small-duct type ICC.
Multivariate Cox regression analysis showed that BAP1 loss was an independent
prognostic factor for both overall and recurrence-free survival (P < 0.05).
Conversely, PBRM1 loss was found in both small-duct type and large-duct type ICC,
and was not associated significantly with any specific characteristics, including
prognosis. CONCLUSION: BAP1 and PBRM1 loss is seen frequently in ICC. ICC with
BAP1 loss shares features of small-duct type ICC.
PMID- 27864836
TI - Choroidal and central foveal thickness in patients with scleroderma and its
systemic associations.
AB - BACKGROUND: The aim was to investigate the morphological changes in the fovea and
choroid in patients with scleroderma and its systemic associations. METHODS:
Thirty-four scleroderma patients and 31 healthy controls were enrolled. Choroidal
thickness (CT) at five defined points (subfoveal [sfCT] and 1.0 [N1.0] and 3.0 um
nasal [N3.0] and 1.0 [T1.0] and 3.0 um temporal [T3.0] from the centre of the
fovea) and central foveal thickness were measured. RESULTS: The mean central
foveal thickness (right eye 229.3 +/- 28.6 versus 232.6 +/- 29.7 and left eye
219.8 +/- 21.4 versus 223.3 +/- 21.9 um) and sfCT (right eye 326.4 +/- 56.5
versus 327.3 +/- 62.1 and left eye 316.7 +/- 53.4 versus 317.6 +/- 51.6 um)
values were not different in patients with scleroderma compared with the controls
(p > 0.05). The mean CT at N1.0, N3.0, T1.0 and T3.0 did not differ among these
groups in both eyes (p > 0.05). There was no difference in the mean central
foveal thickness and CT of both eyes in diffuse and limited scleroderma (p >
0.05). A negative correlation was found between anti-nuclear antibody positivity
and CT at T3 and N3 (respectively, r = -0.439 and r = -0.383, p < 0.05).
CONCLUSION: Choroidal thickness at five points and central foveal thickness in
both eyes did not significantly differ in scleroderma patients compared with
healthy controls. Choroidal thickness at the T3 and N3 points showed a negative
correlation with anti-nuclear antibody positivity.
PMID- 27864837
TI - Risk factors for nonpurulent leg cellulitis: a systematic review and meta
analysis.
AB - Nonpurulent cellulitis is an acute bacterial infection of the dermal and
subdermal tissues that is not associated with purulent drainage, discharge or
abscess. The objectives of this systematic review and meta-analysis were to
identify and appraise all controlled observational studies that have examined
risk factors for the development of nonpurulent cellulitis of the leg (NPLC). A
systematic literature search of electronic databases and grey literature sources
was performed in July 2015. The Newcastle-Ottawa Scale (NOS) was used to assess
methodological quality of included studies. Of 3059 potentially eligible studies
retrieved and screened, six case-control studies were included. An increased risk
of developing NPLC was associated with previous cellulitis [odds ratio (OR) 40.3,
95% confidence interval (CI) 22.6-72.0], wound (OR 19.1, 95% CI 9.1-40.0),
current leg ulcers (OR 13.7, 95% CI 7.9-23.6), lymphoedema/chronic leg oedema (OR
6.8, 95% CI 3.5-13.3), excoriating skin diseases (OR 4.4, 95% CI 2.7-7.1), tinea
pedis (OR 3.2, 95% CI 1.9-5.3) and body mass index > 30 kg m-2 (OR 2.4, 95% CI
1.4-4.0). Diabetes, smoking and alcohol consumption were not associated with
NPLC. Although diabetics may have been underrepresented in the included studies,
local risk factors appear to play a more significant role in the development of
NPLC than do systemic risk factors. Clinicians should consider the treatment of
modifiable risk factors including leg oedema, wounds, ulcers, areas of skin
breakdown and toe-web intertrigo while administering antibiotic treatment for
NPLC.
PMID- 27864838
TI - Preoperative alpha-blockade in phaeochromocytoma and paraganglioma: is it always
necessary?
AB - Resection of phaeochromocytoma and paraganglioma (PPGL) is traditionally preceded
by alpha-blockade to prevent complications of haemodynamic instability
intraoperatively. While there is general agreement on preoperative alpha-blockade
for classic PPGLs presenting with hypertension, it is less clear whether alpha
blockade is necessary in predominantly dopamine-secreting tumours, normotensive
PPGLs, as well as tumours that appear to be biochemically 'silent'. Preoperative
management of these 'atypical' PPGLs is challenging and the treatment approach
must be individualized, carefully weighing the risk of intraoperative
hypertension against the possibility of orthostatic and prolonged postoperative
hypotension. Consideration of antihypertensive medication pharmacology in the
light of catecholamine physiology and PPGL secretory profile will facilitate the
formulation of individualized preoperative preparatory strategies.
PMID- 27864839
TI - Reduction of the foreign body response and neuroprotection by apyrase and
minocycline in chronic cannula implantation in the rat brain.
AB - Implantation of electrodes or cannulae into the brain is accompanied by a tissue
response referred to as foreign body response. Adenosine triphosphate (ATP) is
one of the signalling molecules released by injured cells which mediate the
chemoattraction of microglial cells. The constitutive release of pro-inflammatory
and cytotoxic substances by microglial cells in chronic implants exacerbates
neuronal cell death and the immune response. This study aimed to interfere with
the initial events of the foreign body response in order to mitigate
neurotoxicity and inflammation. For this purpose, the ATP-hydrolysing enzyme
apyrase and the antibiotic minocycline with a broad range of anti-inflammatory,
anti-apoptotic and glutamate-antagonist properties were locally infused during
cannula implantation in the caudal forelimb area of the motor cortex in Lister
Hooded rats. The rats' motor performance was assessed in a skilled reaching task
and the distribution of neurons and glial cells in the vicinity of the implant
was examined 2 and 6 weeks post-implantation. Apyrase as well as minocycline
increased the number of surviving neurons and reduced microglial activation.
Moreover, minocycline improved the motor performance and, additionally, caused a
temporary reduction in astrogliosis, suggesting it as a possible therapeutic
candidate to improve the biocompatibility of chronic brain implants.
PMID- 27864840
TI - Ectopic respiratory mucosa in the skin which showed the repetitive distribution
of ciliated bronchogenic epithelia and squamous metaplasia.
PMID- 27864841
TI - Clinical factors predicting the therapeutic response to ustekinumab in patients
with moderate to severe chronic plaque psoriasis.
AB - While ustekinumab has been widely used as an effective biologic for the treatment
of chronic plaque psoriasis, no prospective studies have specifically
investigated the clinical factors that may influence treatment outcomes with
ustekinumab. This post-hoc analysis aimed to identify specific clinical factors
that may influence treatment outcomes with ustekinumab in psoriasis patients. In
the MARCOPOLO study, 102 Korean patients with moderate to severe psoriasis were
analyzed to assess the influence of baseline characteristics as clinical factors
on clinical response (improvement in Psoriasis Area and Severity Index by
>=75%/90% [PASI75/PASI90]) to ustekinumab. In addition, differences in PASI75 and
PASI90 responses between the responder group and non-responders were evaluated at
weeks 28 and 52. Multiple logistic regression analysis was used to determine
adjusted clinical factors predicting treatment outcomes among patient
characteristics. At week 28, there was a significant difference in PASI75/PASI90
response based on prior biologic experience, although the difference did not
persist at week 52. In addition, after adjusting for the effects of relevant
clinical factors, biologic experience was significantly associated with less
PASI75 (odds ratio [OR] = 0.14, P = 0.001) and PASI90 (OR = 0.22, P = 0.036)
responses at week 28. The presence of comorbidities was higher among non
responders than among PASI75/PASI90 responders at both weeks 28 and 52, but was
not statistically significant. Previous biologic use was the only clinical factor
predicting less response at week 28, although it did not influence the clinical
response after week 52. Further studies are warranted to investigate the
association between presence of comorbidities and clinical response.
PMID- 27864842
TI - Elevation of serum carcinoembryonic antigen in a case of cholinergic urticaria
with failed detection of hypohidrosis by the conventional starch-iodine test.
PMID- 27864843
TI - Measurement of caffeine and its three primary metabolites in human plasma by HPLC
ESI-MS/MS and clinical application.
AB - Caffeine is a mild stimulant with significant potential for abuse, being consumed
in larger doses with the widespread availability of energy drinks and by novel
routes of administration such as inspired powder, oral sprays and electronic
cigarettes. How these recent changes in caffeine consumption affecting caffeine
disposition and abuse potential is of growing concern. In the study of caffeine
disposition in humans, it is common to only measure the caffeine concentration;
however, caffeine's three major metabolites (paraxanthine, theobromine and
theophylline) retain central nervous system stimulant activity that may
contribute to the overall pharmacological activity and toxicity. Therefore, it
would be scientifically more rigorous to measure caffeine and its major
metabolites in the evaluation of caffeine disposition in human subjects. Herein,
we report a method for the simultaneous quantification of caffeine and its three
major metabolites in human plasma by high-performance liquid chromatography
coupled to electrospray tandem mass spectrometry (HPLC-ESI-MS/MS). Human plasma
samples were treated by simple protein precipitation and the analytes were
separated using a 6 min gradient program. Precision and accuracy were well within
in the 15% acceptance range. The simple sample preparation, short runtime,
sensitivity and the inclusion of caffeine's major metabolites make this assay
methodology optimal for the study of caffeine's pharmacokinetics and
pharmacodynamics in human subjects.
PMID- 27864844
TI - Pyogenic granuloma possibly associated with capecitabine therapy.
PMID- 27864845
TI - Activity of the anticonvulsant lacosamide in experimental and human epilepsy via
selective effects on slow Na+ channel inactivation.
AB - OBJECTIVE: In human epilepsy, pharmacoresistance to antiepileptic drug therapy is
a major problem affecting ~30% of patients with epilepsy. Many classical
antiepileptic drugs target voltage-gated sodium channels, and their potent
activity in inhibiting high-frequency firing has been attributed to their strong
use-dependent blocking action. In chronic epilepsy, a loss of use-dependent block
has emerged as a potential cellular mechanism of pharmacoresistance for
anticonvulsants acting on voltage-gated sodium channels. The anticonvulsant drug
lacosamide (LCM) also targets sodium channels, but has been shown to
preferentially affect sodium channel slow inactivation processes, in contrast to
most other anticonvulsants. METHODS: We used whole-cell voltage clamp recordings
in acutely isolated cells to investigate the effects of LCM on transient Na+
currents. Furthermore, we used whole-cell current clamp recordings to assess
effects on repetitive action potential firing in hippocampal slices. RESULTS: We
show here that LCM exerts its effects primarily via shifting the slow
inactivation voltage dependence to more hyperpolarized potentials in hippocampal
dentate granule cells from control and epileptic rats, and from patients with
epilepsy. It is important to note that this activity of LCM was maintained in
chronic experimental and human epilepsy. Furthermore, we demonstrate that the
efficacy of LCM in inhibiting high-frequency firing is undiminished in chronic
experimental and human epilepsy. SIGNIFICANCE: Taken together, these results show
that LCM exhibits maintained efficacy in chronic epilepsy, in contrast to
conventional use-dependent sodium channel blockers such as carbamazepine. They
also establish that targeting slow inactivation may be a promising strategy for
overcoming target mechanisms of pharmacoresistance.
PMID- 27864846
TI - Monochorial diamniotic dizygotic twins in a German Shepherd Dog: A case report.
AB - CASE REPORT: A 6.5-year-old clinically healthy German Shepherd Dog with regular
oestrous cycles of 6 months was presented for pregnancy diagnosis on day 38 after
ovulation (p.ov.). Ultrasonography revealed three individual placental sites in
progressed resorption and two vital adequately developed foetuses sharing a joint
placenta. On days 41 and 48 p.ov., sonographic signs indicated normal development
of both foetuses, but on day 52 p.ov., both foetuses were found to be dead. A
caesarean section was performed the same day. Examination of the removed uterus
confirmed the diagnosis of a "twin" pregnancy with two foetuses sharing the same
placental site but separate amniotic membranes. One foetus showed generalized
oedema (anasarca). Bacterial culture of swabs taken from inside the placental
cavity was negative. At histological examination of the uterus, no signs of
inflammation were found. Serum relaxin concentrations (day 38, 41, 48 and 52.
p.ov.) were consistent with those of bitches with normal pregnancies. Cytogenetic
analysis of the two foetuses revealed dizygotic twins, one male and one female
according to SRY-PCR. By genotyping 17 high-polymorphic canine microsatellites,
it could be demonstrated that the two foetuses developed from two different
oocytes.
PMID- 27864847
TI - Diagnostic Targeted Resequencing in 349 Patients with Drug-Resistant Pediatric
Epilepsies Identifies Causative Mutations in 30 Different Genes.
AB - Targeted resequencing gene panels are used in the diagnostic setting to identify
gene defects in epilepsy. We performed targeted resequencing using a 30-genes
panel and a 95-genes panel in 349 patients with drug-resistant epilepsies
beginning in the first years of life. We identified 71 pathogenic variants, 42 of
which novel, in 30 genes, corresponding to 20.3% of the probands. In 66% of
mutation positive patients, epilepsy onset occurred before the age of 6 months.
The 95-genes panel allowed a genetic diagnosis in 22 (6.3%) patients that would
have otherwise been missed using the 30-gene panel. About 50% of mutations were
identified in genes coding for sodium and potassium channel components. SCN2A was
the most frequently mutated gene followed by SCN1A, KCNQ2, STXBP1, SCN8A, CDKL5,
and MECP2. Twenty-nine mutations were identified in 23 additional genes, most of
them recently associated with epilepsy. Our data show that panels targeting about
100 genes represent the best cost-effective diagnostic option in pediatric drug
resistant epilepsies. They enable molecular diagnosis of atypical phenotypes,
allowing to broaden phenotype-genotype correlations. Molecular diagnosis might
influence patients' management and translate into better and specific treatment
recommendations in some conditions.
PMID- 27864848
TI - Age-related small vessel disease: a potential contributor to neurodegeneration in
multiple sclerosis.
AB - Multiple sclerosis (MS) is a chronic inflammatory demyelinating disorder of the
central nervous system wherein, after an initial phase of transient neurological
defects, slow neurological deterioration due to progressive neuronal loss ensues.
Age is a major determinant of MS progression onset and disability. Over the past
years, several mechanisms have been proposed to explain the key drivers of
neurodegeneration and disability accumulation in MS. However, the effect of
commonly encountered age-related cerebral vessel disease, namely small vessel
disease (SVD), has been largely neglected and constitutes the aim of this review.
SVD shares some features with MS, that is, white matter demyelination and brain
atrophy, and has been shown to contribute to the neuronal damage seen in vascular
cognitive impairment. Several lines of evidence suggest that an interaction
between MS and SVD may influence MS-related neurodegeneration. SVD may contribute
to hypoperfusion, reduced vascular reactivity and tissue hypoxia, features seen
in MS. Venule and endothelium abnormalities have been documented in MS but the
role of arterioles and of other neurovascular unit structures, such as the
pericyte, has not been explored. Vascular risk factors (VRF) have recently been
associated with faster progression in MS, though the mechanisms are unclear since
very few studies have addressed the impact of VRF and SVD on MS imaging and
pathology outcomes. Therapeutic agents targeting the microvasculature and the
neurovascular unit may impact both SVD and MS and may benefit patients with dual
pathology.
PMID- 27864849
TI - Impact of plant growth-promoting rhizobacteria on root colonization potential and
life cycle of Rhizophagus irregularis following co-entrapment into alginate
beads.
AB - AIMS: This study aimed at evaluating the impact of seven plant growth-promoting
rhizobacteria (PGPR) on root colonization and life cycle of Rhizophagus
irregularis MUCL 41833 when co-entrapped in alginate beads. METHODS AND RESULTS:
Two in vitro experiments were conducted. The first consisted of the
immobilization of R. irregularis and seven PGPR isolates into alginate beads to
assess the effect of the bacteria on the pre-symbiotic growth of the fungus. In
the second experiment, the best performing PGPR from experiment 1 was tested for
its ability to promote the symbiotic development of the AMF in potato plantlets
from three cultivars. Results showed that only one isolate identified as
Pseudomonas plecoglossicida (R-67094) promoted germ tube elongation and hyphal
branching of germinated spores during the pre-symbiotic phase of the fungus. This
PGPR further promoted the symbiotic development of the AMF in potato plants.
CONCLUSIONS: The co-entrapment of Ps. plecoglossicida R-67094 and R. irregularis
MUCL 41833 in alginate beads improved root colonization by the AMF and its
further life cycle under the experimental conditions. SIGNIFICANCE AND IMPACT OF
THE STUDY: Co-entrapment of suitable AMF-PGPR combinations within alginate beads
may represent an innovative technology that can be fine-tuned for the development
of efficient consortia-based bioformulations.
PMID- 27864851
TI - Letter to the editor - HPV vaccine and autoimmunity Incidence of new-onset
autoimmune disease in girls and women with pre-existing autoimmune disease after
quadrivalent human papillomavirus vaccination: a cohort study.
PMID- 27864850
TI - Hyperhomocysteinemia in Greyhounds and its Association with Hypofolatemia and
Other Clinicopathologic Variables.
AB - BACKGROUND: Folate and cobalamin are essential cofactors for homocysteine (HCY)
metabolism. Hyperhomocysteinemia, a multifactorial condition, may reflect B
vitamin deficiency and is associated with increased risk of cardiovascular
disease, thrombosis, and neurodegenerative and chronic gastrointestinal diseases
in humans. Hyperhomocysteinemia has been reported in Greyhounds with suspected
chronic enteropathy. OBJECTIVES: To evaluate the frequencies of and the
association between hypofolatemia and hyperhomocysteinemia in Greyhounds.
ANIMALS: Data and serum samples from 559 Greyhounds. METHODS: Nested case-control
study. The frequency of hypofolatemia in Greyhounds was determined by a
laboratory database search. The relationship between hyperhomocysteinemia
(measured by gas chromatography-mass spectrometry) and hypocobalaminemia and
hypofolatemia was evaluated, and its frequency compared between healthy
Greyhounds and Greyhounds with thrombosis or chronic diarrhea. RESULTS:
Hypofolatemia was identified in 172 of 423 (41%) Greyhounds and was more common
in hypo- than in normocobalaminemic dogs (49% vs. 35%; P = .0064).
Hyperhomocysteinemia was detected in 53 of 78 (68%) of Greyhounds, being more
common in hypo- than in normofolatemic dogs (88% vs. 59%; P = .0175). All healthy
Greyhounds, 21 of 30 (70%) of dogs with chronic diarrhea and 6 of 8 (75%) of
those with thrombosis, were hyperhomocysteinemic. Serum HCY concentrations were
inversely correlated with serum folate concentration (rho = -0.28; P = .0386) and
were positively associated with serum albumin concentration (rho = 0.66; P =
.0022). CONCLUSIONS AND CLINICAL RELEVANCE: Hyperhomocysteinemia occurs
frequently in the Greyhound population. Its association with hypofolatemia
suggests decreased intracellular availability of B vitamins, but the functional
implications warrant further investigation. Hyperhomocysteinemia in Greyhounds
potentially may serve as a spontaneous canine model to further investigate
hyperhomocysteinemia in humans.
PMID- 27864854
TI - IgG4-related hypophysitis is highly prevalent among cases of histologically
confirmed hypophysitis.
AB - IgG4-related disease is an immune-mediated disease with manifestations in most
organ systems among them the pituitary gland. To date, few cases of
histologically confirmed cases of IgG-related hypophysitis have been reported.
The aim of this study was to retrospectively determine the prevalence of IgG4
related hypophysitis among cases previously diagnosed as primary hypophysitis
(lymphocytic hypophysitis, granulomatous hypophysitis and hypophysitis not
otherwise specified). Histological and immunohistochemical analysis revealed that
12 of 29 cases (41.4%) previously diagnosed as primary hypophysitis fulfilled the
criteria for IgG4-related disease and, thus, IgG4-related hypophysitis should
always be considered in the differential diagnosis of primary hypophysitis. All
cases of IgG4-related hypophysitis showed a dense lymphoplasmacytic infiltrate
with more than 10 IgG4-positive cells per high power field and a ratio of
IgG4/IgG-positive cells of more than 40%, whereas storiform fibrosis was an
inconsistent histological feature and was also seen in few cases of non-IgG
related hypophysitis, thus lacking sensitivity and specificity. Obliterative
phlebitis was not seen in any case. Thus, histological criteria defined for IgG4
related disease in other organs should be modified for IgG4-related hypophysitis,
accordingly.
PMID- 27864853
TI - PET radiotracer development for imaging high-affinity state of dopamine D2 and D3
receptors: Binding studies of fluorine-18 labeled aminotetralins in rodents.
AB - Imaging the high-affinity, functional state (HA) of dopamine D2 and D3 receptors
has been pursued in PET imaging studies of various brain functions. We report
further evaluation of 18 F-5-OH-FPPAT, and the newer 18 F-5-OH-FHXPAT and 18 F-7
OH-FHXPAT. Syntheses of 18 F-5-OH-FHXPAT and 18 F-7-OH-FHXPAT were improved by
modifications of our previously reported procedures. Brain slices and brain
homogenates from male Sprague-Dawley rats were used with the 3 radiotracers (74
111 kBq/cc). Competition with dopamine (1-100 nM) and Gpp(NH)p (10-50 uM) were
carried out to demonstrate binding to dopamine D2 and D3 HA-states and binding
kinetics of 18 F-5-OH-FPPAT measured. Ex vivo brain slice autoradiography was
carried out on rats administered with 18 F-5-OH-FHXPAT to ascertain HA-state
binding. PET/CT imaging in rats and wild type (WT) and D2 knock-out mice were
carried out using 18 F-7-OH-FHXPAT (2-37 MBq). Striatum was clearly visualized by
the three radiotracers in brain slices and dopamine displaced more than 80% of
binding, with dissociation rate in homogenates of 2.2 * 10-2 min-1 for 18 F-5-OH
FPPAT. Treatment with Gpp(NH)p significantly reduced 50-80% striatal binding with
faster dissociation rates (5.0 * 10-2 min-1 ), suggesting HA-state binding of 18
F-5-OH-FPPAT and 18 F-5-OH-FHXPAT. Striatal binding of 18 F-5-OH-FHXPAT in ex
vivo brain slices were sensitive to Gpp(NH)p, suggesting HA-state binding in
vivo. PET binding ratios of 18 F-7-OH-FHXPAT in rat brain were ventral
striatum/cerebellum = 2.09 and dorsal striatum/cerebellum = 1.65; similar binding
ratios were found in the D2 WT mice. These results suggest that in vivo PET
measures of agonists in the brain at least in part reflect binding to the
membrane-bound HA-state of the dopamine receptor.
PMID- 27864852
TI - H+ -pyrophosphatase IbVP1 promotes efficient iron use in sweet potato [Ipomoea
batatas (L.) Lam.].
AB - Iron (Fe) deficiency is one of the most common micronutrient deficiencies
limiting crop production globally, especially in arid regions because of
decreased availability of iron in alkaline soils. Sweet potato [Ipomoea batatas
(L.) Lam.] grows well in arid regions and is tolerant to Fe deficiency. Here, we
report that the transcription of type I H+ -pyrophosphatase (H+ -PPase) gene
IbVP1 in sweet potato plants was strongly induced by Fe deficiency and auxin in
hydroponics, improving Fe acquisition via increased rhizosphere acidification and
auxin regulation. When overexpressed, transgenic plants show higher pyrophosphate
hydrolysis and plasma membrane H+ -ATPase activity compared with the wild type,
leading to increased rhizosphere acidification. The IbVP1-overexpressing plants
showed better growth, including enlarged root systems, under Fe-sufficient or Fe
deficient conditions. Increased ferric precipitation and ferric chelate reductase
activity in the roots of transgenic lines indicate improved iron uptake, which is
also confirmed by increased Fe content and up-regulation of Fe uptake genes, e.g.
FRO2, IRT1 and FIT. Carbohydrate metabolism is significantly affected in the
transgenic lines, showing increased sugar and starch content associated with the
increased expression of AGPase and SUT1 genes and the decrease in beta-amylase
gene expression. Improved antioxidant capacities were also detected in the
transgenic plants, which showed reduced H2 O2 accumulation associated with up
regulated ROS-scavenging activity. Therefore, H+ -PPase plays a key role in the
response to Fe deficiency by sweet potato and effectively improves the Fe
acquisition by overexpressing IbVP1 in crops cultivated in micronutrient
deficient soils.
PMID- 27864855
TI - Planar PtPd3 Complexes Stabilized by Three Bridging Silylene Ligands.
AB - A heterobimetallic PtPd3 complex supported by three bridging diphenylsilylene
ligands, [Pt{Pd(dmpe)}3 (MU3 -SiPh2 )3 ] (1, dmpe=1,2
bis(dimethylphosphino)ethane), has been synthesized from mononuclear Pd and Pt
complexes. The hexagonal core composed of Pt, Pd, and Si atoms is slightly larger
than that of the tetrapalladium complex, [Pd{Pd(dmpe)}3 (MU3 -SiPh2 )3 ] (2).
Reaction of PhSiH3 with complex 1 in the presence and absence of Ph2 SiH2 results
in the formation of a tetranuclear complex with silyl and hydride ligands at the
Pt center, [PtH(SiPh2 H){Pd(dmpe)}3 (MU3 -SiHPh)3 ] (3), and an octanuclear
complex, [{Pt{Pd(dmpe)}3 (MU3 -SiHPh)3 }2 (kappa2 -dmpe)] (5), respectively. Both
M-Si (M=Pt, Pd) bond lengths and the 29 Si NMR chemical shifts of 1 and 2 are
located between those of mononuclear late transition-metal complexes with a
silylene ligand and complexes with donor-stabilized silylene ligands. CuI and AgI
adducts of 1 and 2, formulated as [M(MU-M'I){Pd(dmpe)}3 (MU3 -SiPh2 )3 ] (M=Pt,
Pd; M'=Cu, Ag), undergo elimination of CuI (AgI) and regenerate the tetrametallic
complexes upon heating or addition of a chelating diphosphine. Elimination of AgI
from 2-AgI occurs more rapidly than elimination of CuI from 2-CuI, as determined
from the results of kinetics experiments.
PMID- 27864856
TI - Capturing differences in dental training using a virtual reality simulator.
AB - Virtual reality simulators are becoming increasingly popular in dental schools
across the world. But to what extent do these systems reflect actual dental
ability? Addressing this question of construct validity is a fundamental step
that is necessary before these systems can be fully integrated into a dental
school's curriculum. In this study, we examined the sensitivity of the Simodont
(a haptic virtual reality dental simulator) to differences in dental training
experience. Two hundred and eighty-nine participants, with 1 (n = 92), 3 (n =
79), 4 (n = 57) and 5 (n = 61) years of dental training, performed a series of
tasks upon their first exposure to the simulator. We found statistically
significant differences between novice (Year 1) and experienced dental trainees
(operationalised as 3 or more years of training), but no differences between
performance of experienced trainees with varying levels of experience. This work
represents a crucial first step in understanding the value of haptic virtual
reality simulators in dental education.
PMID- 27864857
TI - Protein SUMOylation is Involved in Cell-cycle Progression and Cell Morphology in
Giardia lamblia.
AB - The unicellular protozoa Giardia lamblia is a food- and waterborne parasite that
causes giardiasis. This illness is manifested as acute and self-limited diarrhea
and can evolve to long-term complications. Successful establishment of infection
by Giardia trophozoites requires adhesion to host cells and colonization of the
small intestine, where parasites multiply by mitotic division. The tight binding
of trophozoites to host cells occurs by means of the ventral adhesive disc, a
spiral array of microtubules and associated proteins such as giardins. In this
work we show that knock down of the Small Ubiquitin-like MOdifier (SUMO) results
in less adhesive trophzoites, decreased cell proliferation and deep morphological
alterations, including at the ventral disc. Consistent with the reduced
proliferation, SUMO knocked-down trophozoites were arrested in G1 and in S phases
of the cell cycle. Mass spectrometry analysis of anti-SUMO immunoprecipitates was
performed to identify SUMO substrates possibly involved in these events. Among
the identified SUMOylation targets, alpha-tubulin was further validated by
Western blot and confirmed to be a SUMO target in Giardia trophozoites.
PMID- 27864858
TI - Authors' response: Letter to the Editor - HPV vaccine and autoimmunity.
PMID- 27864859
TI - Roles and competences for educators of undergraduate dental students: a
discussion paper.
AB - INTRODUCTION: Dental educators are important people who contribute to the
development of every aspect of dental education. In part due to the lack of
understanding of their roles and competences, dental educator development has so
far received little consideration. With the aim of enhancing the dental
profession's contribution to the development of undergraduate dental education,
this article explores common roles of educators of undergraduate dental students
and the competences needed to be effective educators. METHODS: This is a
discussion paper based on a wide reading of the literature on the education of
health professionals with a specific focus on roles and competences of educators.
RESULTS AND DISCUSSION: Roles of educators of undergraduate dental students
typically encompass four areas: teaching, research, administration and providing
healthcare. Educators may not be involved in every role; they normally perform
the roles relevant to their work contexts. Competences for dental educators based
on the four main roles comprise 12 domains: educational theories and principles;
modes of education; learner issues; educational materials and instructional
design; assessment and feedback; curriculum matters; evaluation; educational
research; educational management; quality assurance; patient care and healthcare
system and professionalism. Not all competences are required by all educators
although educators need to be competent in the areas related to their roles and
duties. CONCLUSION: Understanding the roles and competences for educators of
undergraduate dental students can help individual educators to improve their
personal effectiveness and institutions to tailor staff development programmes
appropriate to the needs of their staff. Faculty development contributes to
sustained enhancement of undergraduate dental education.
PMID- 27864860
TI - Evaluation of a loop-mediated isothermal amplification assay based on hrpZ gene
for rapid detection and identification of Pseudomonas syringae pv. lachrymans in
cucumber leaves.
AB - AIMS: Cucumber angular leaf spot caused by Pseudomonas syringae pv. lachrymans
(Psl) is an important and destructive disease worldwide, and no effective
technique has been developed for the control of the pathogen. Detection of
infection or latent in cucumber plants is critical to evaluate disease progress
and strengthening management to avoid a serious epidemic in the fields. In this
paper, we developed a rapid and sensitive method for detection of Psl using an
isothermal method known as loop-mediated amplification (LAMP). METHODS AND
RESULTS: A set of six primers was designed to amplify the gene coding for the
hrpZ, and conditions for detection were optimized to complete in 60 min at 67
degrees C, and the amplification were confirmed through gel electrophoresis or
visually inspected using calcein stain. The specificity of LAMP primers set was
widely validated on Psl and nontarget strains. In sensitivity testing, LAMP
allowed detection as low as 104 CFU per ml bacterial cells without DNA
extraction. The novel method was also applied for detecting Psl in infected
cucumber leaves, and even the early onset of disease can be detected by the
assay. CONCLUSIONS: This study confirmed that the novel developed LAMP assay is
an easy, rapid and sensitive method for the detection of Psl in infected leaves.
SIGNIFICANCE AND IMPACT OF THE STUDY: The method is suitable for direct detection
of Psl without strain enrichment and complex DNA extraction from samples in the
field, and hence it has the capability to be used for on-site disease diagnosis
and field surveys.
PMID- 27864862
TI - Heparin-induced multi-electrode aggregometry method for heparin-induced
thrombocytopenia testing: communication from the SSC of the ISTH.
PMID- 27864863
TI - Subretinal neovascularization as the only ocular sign of osteogenesis imperfecta:
a case report.
PMID- 27864861
TI - Fight or flight? - Flight increases immune gene expression but does not help to
fight an infection.
AB - Flight represents a key trait in most insects, being energetically extremely
demanding, yet often necessary for foraging and reproduction. Additionally,
dispersal via flight is especially important for species living in fragmented
landscapes. Even though, based on life-history theory, a negative relationship
may be expected between flight and immunity, a number of previous studies have
indicated flight to induce an increased immune response. In this study, we
assessed whether induced immunity (i.e. immune gene expression) in response to 15
min forced flight treatment impacts individual survival of bacterial infection in
the Glanville fritillary butterfly (Melitaea cinxia). We were able to confirm
previous findings of flight-induced immune gene expression, but still observed
substantially stronger effects on both gene expression levels and life span due
to bacterial infection compared to flight treatment. Even though gene expression
levels of some immunity-related genes were elevated due to flight, these
individuals did not show increased survival of bacterial infection, indicating
that flight-induced immune activation does not completely protect them from the
negative effects of bacterial infection. Finally, an interaction between flight
and immune treatment indicated a potential trade-off: flight treatment increased
immune gene expression in naive individuals only, whereas in infected individuals
no increase in immune gene expression was induced by flight. Our results suggest
that the up-regulation of immune genes upon flight is based on a general stress
response rather than reflecting an adaptive response to cope with potential
infections during flight or in new habitats.
PMID- 27864865
TI - Introduction to symposium: 'New genetics with impact on treatment of endocrine
tumour disease'.
PMID- 27864864
TI - Genetics of adrenocortical tumours.
AB - The recently available genomic sequencing techniques have led to breakthroughs in
understanding of the underlying genetic mechanisms in adrenocortical tumours.
Disease-causing mutations have been described for aldosterone-producing adenomas,
cortisol-producing adenomas and adrenocortical carcinomas. Further, knowledge
gained from transcriptome analyses and methylation arrays has provided new
insights into the development of these tumours. Elucidation of the genomic
landscape of adrenocortical tumours and improved techniques may in the future be
useful for early diagnosis through the detection of mutated DNA in the
circulation. Moreover, compounds that bind specifically to altered proteins may
be used as screening targets or therapeutic agents. Regulation of cortisol
release by interaction with an altered subunit in adenylate cyclase may be more
complex, but may provide a new option for regulating steroid release. Information
about derangements in adrenocortical carcinoma is already helpful for determining
patient prognosis. With further knowledge, we may be able to identify novel
biomarkers that effectively and noninvasively help in differentiating between
benign and malignant disease. It is clear that the next few years will provide
much novel information that hopefully will aid in the treatment of patients with
adrenocortical tumours.
PMID- 27864867
TI - Potential beneficial effect of low-dose danazol in combination with renin
angiotensin system inhibitors in diabetic macular oedema.
PMID- 27864868
TI - Repigmentation in vitiligo: position paper of the Vitiligo Global Issues
Consensus Conference.
AB - The Vitiligo Global Issues Consensus Conference (VGICC), through an international
e-Delphi consensus, concluded that 'repigmentation' and 'maintenance of gained
repigmentation' are essential core outcome measures in future vitiligo trials.
This VGICC position paper addresses these core topics in two sections and
includes an atlas depicting vitiligo repigmentation patterns and color match. The
first section delineates mechanisms and characteristics of vitiligo
repigmentation, and the second section summarizes the outcomes of international
meeting discussions and two e-surveys on vitiligo repigmentation, which had been
carried out over 3 yr. Treatment is defined as successful if repigmentation
exceeds 80% and at least 80% of the gained repigmentation is maintained for over
6 months. No agreement was found on the best outcome measure for assessing target
or global repigmentation, therefore highlighting the limitations of e-surveys in
addressing clinical measurements. Until there is a clear consensus, existing
tools should be selected according to the specific needs of each study. A
workshop will be conducted to address the remaining issues so as to achieve a
consensus.
PMID- 27864870
TI - Hereditary hemorrhagic telangiectasia: to transplant or not to transplant?
AB - This report comments the case reported by Muller et al. which describes a
combination of at least two different indications for orthotopic liver transplant
(OLT) in a same patient: hepatocarcinoma and HHT complicated with severe liver
involvement and high output cardiac failure. This case report highlighted that
the clear time for OLT in HHT can be difficult to determine. In HHT, if intensive
medical approach is not efficient, OLT, has to be considered. In the case of
Muller et al., the patient was correctly listed for OLT for a single
hepatocellular carcinoma, however, he did not receive a sufficient priority so as
to avoid worsening of liver vascular malformations complications. Bevacizumab may
be a therapeutic option in the treatment of complicated liver VMs in HHT,
However, the recurrence of symptoms after withdrawal of the drug make it
unsuitable as a replacement for OLT in the cure of complicated liver VMs in HHT.
In the case reported by Muller et al. the right "OLT window" after bevacizumab
was lost. The right time for OLT in severe complicated liver VMs in HHT does
exist but, as this case illustrates, it can be very difficult to determine. As
OLT is a radical cure for liver VMs, with excellent outcomes, it should be the
therapeutic choice in patients under the age of 65 years. Bevacizumab may be an
interesting option, either for patients over the age of 65 years, or those who
are poor candidates for surgery; if these latter respond to bevacizumab they
should be re- evaluated for OLT (with a "fast- track") as the prognosis of severe
complicated liver VMs is very poor.
PMID- 27864871
TI - Hereditary haemorrhagic telangiectasia: to transplant or not to transplant?
AB - The Association of hereditary hemorrhagic telangiectasia (HHT) and type I
hereditary angioedema is a very rare condition in medicine. The case reported by
Muller et al., describes the coexistence of the two diseases and emphasizes the
need for listing HHT patients for liver transplantation in case indications
occurs, such as the presence of HCC, abnormally increased cardiac output, and
gastrointestinal bleeding as reported for this case. The case described by
Mueller et al. is anecdotal for the usefulness of liver transplantation in HHT
patients and shows that liver transplantation may be the best supportive care
and, sometimes, the unique and final therapeutic option for these patients.
PMID- 27864872
TI - Management of hepatitis B-related cirrhosis in the era of effective antiviral
therapy.
PMID- 27864869
TI - Anthoxanthin Polyphenols Attenuate Abeta Oligomer-induced Neuronal Responses
Associated with Alzheimer's Disease.
AB - AIMS: Epidemiological evidence implicates polyphenols as potential natural
therapeutics for Alzheimer's disease (AD). To investigate this prospect, five
anthoxanthin polyphenols were characterized for their ability to reduce amyloid
beta (Abeta) oligomer-induced neuronal responses by two mechanisms of action,
modulation of oligomerization and antioxidant activity, as well as the synergy
between these two mechanisms. METHODS: Anthoxanthin oligomerization modulation
and antioxidant capabilities were evaluated and correlated with anthoxanthin
attenuation of oligomer-induced intracellular reactive oxygen species (ROS) and
caspase activation using human neuroblastoma cell treatments designed to isolate
these mechanisms of action and to achieve dual-action. RESULTS: While modulation
of oligomerization resulted in only minor reductions to neuronal responses,
anthoxanthin antioxidant action significantly attenuated oligomer-induced
intracellular ROS and caspase activation. Kaempferol uniquely exhibited synergism
when the two mechanisms functioned in concert, leading to a pronounced reduction
in both ROS and caspase activation. CONCLUSIONS: Together, these findings
identify the dominant mechanism by which these anthoxanthins attenuate Abeta
oligomer-induced neuronal responses, elucidate their prospective synergy, and
demonstrate the potential of anthoxanthin polyphenols as natural AD therapeutics.
PMID- 27864873
TI - Hereditary haemorrhagic telangiectasia: to transplant or not to transplant - is
there a right time for liver transplantation?
AB - BACKGROUND & AIMS: Hereditary haemorrhagic telangiectasia is characterized by
arterio-venous malformations (AVM). It frequently involves the liver without
clinical symptoms, but may lead to biliary ischaemia, portal hypertension, or
fatal high-output heart failure. The indication of liver transplantation is
controversial. METHODS: Herein, we report the case of a 65-year-old female
patient with a 'double Osler syndrome' consisting of hereditary haemorrhagic
telangiectasia (HHT) and type I hereditary angioedema diagnosed at the age of 25
and 22 years respectively. RESULTS: Hereditary angioedema was treated with
danazol for several decades until multiple hypoechogenic liver masses were
detected. Albeit danazol treatment was replaced by C1 esterase inhibitor
infusions, hepatocellular carcinoma was diagnosed at the age of 64 and the
patient was listed for liver transplantation. HHT was marked by recurrent
epistaxis until the age of 63 when severe intestinal bleeding occurred. At the
age of 65, severe dyspnoea (NYHA class IV) developed and rapidly progressive high
output cardiac failure was diagnosed. Despite argon plasma coagulation to control
bleeding from intestinal angiodysplasia, and treatment with bevacizumab to
inhibit angiogenesis, the patient died from severe gastrointestinal bleeding
associated with cardiogenic shock at the age of 66 before being transplanted.
CONCLUSION: The indication to list this patient for liver transplantation was
debated several times before the diagnosis of hepatocellular carcinoma because of
good general condition and low MELD score. Precise guidelines for screening and
management of patients with hepatic HHT need to be better defined.
PMID- 27864874
TI - Prognostic biomarkers and surrogate end points in PSC.
PMID- 27864875
TI - Response to Ablation and resection for hepatocellular carcinoma within the Milan
criteria and high alpha-fetoprotein levels.
PMID- 27864877
TI - The role of retinopathy distribution and other lesion types for the definition of
examination intervals during screening for diabetic retinopathy.
AB - PURPOSE: It has previously been shown that the intervals between screening
examinations for diabetic retinopathy can be optimized by including individual
risk factors for the development of the disease in the risk assessment. However,
in some cases, the risk model calculating the screening interval may recommend a
different interval than an experienced clinician. The purpose of this study was
to evaluate the influence of factors unrelated to diabetic retinopathy and the
distribution of lesions for discrepancies between decisions made by the clinician
and the risk model. METHODS: Therefore, fundus photographs from 90 screening
examinations where the recommendations of the clinician and a risk model had been
discrepant were evaluated. Forty features were defined to describe the type and
location of the lesions, and classification and ranking techniques were used to
assess whether the features could predict the discrepancy between the grader and
the risk model. RESULTS: Suspicion of tumours, retinal degeneration and vascular
diseases other than diabetic retinopathy could explain why the clinician
recommended shorter examination intervals than the model. Additionally, the
regional distribution of microaneurysms/dot haemorrhages was important for
defining a photograph as belonging to the group where both the clinician and the
risk model had recommended a short screening interval as opposed to the other
decision alternatives. CONCLUSIONS: Features unrelated to diabetic retinopathy
and the regional distribution of retinal lesions may affect the recommendation of
the examination interval during screening for diabetic retinopathy. The
development of automated computerized algorithms for extracting information about
the type and location of retinal lesions could be expected to further optimize
examination intervals during screening for diabetic retinopathy.
PMID- 27864878
TI - Toric intraocular lens implantation in children with developmental cataract and
preexisting corneal astigmatism.
PMID- 27864879
TI - Highly Fluorescent Non-Conventional Boron-Difluoride-Based pi Organogel with
Gelation-Assisted Piezochromism.
AB - Triphenylamine-functionalized boron 2-(2'-pyridyl)imidazole complex bearing no
alkyl chains or H-bond unit was found to be able to gelate a series of solvents,
and the balanced intermolecular pi-pi interactions play an important role in its
supramolecular self-assembly. The gelator molecule is piezochromic, and the dried
gel responded to pressure more sensitively than regular crystalline powder.
PMID- 27864876
TI - Kinase gene fusions in defined subsets of melanoma.
AB - Genomic rearrangements resulting in activating kinase fusions have been
increasingly described in a number of cancers including malignant melanoma, but
their frequency in specific melanoma subtypes has not been reported. We used
break-apart fluorescence in situ hybridization (FISH) to identify genomic
rearrangements in tissues from 59 patients with various types of malignant
melanoma including acral lentiginous, mucosal, superficial spreading, and
nodular. We identified four genomic rearrangements involving the genes BRAF, RET,
and ROS1. Of these, three were confirmed by Immunohistochemistry (IHC) or
sequencing and one was found to be an ARMC10-BRAF fusion that has not been
previously reported in melanoma. These fusions occurred in different subtypes of
melanoma but all in tumors lacking known driver mutations. Our data suggest gene
fusions are more common than previously thought and should be further explored
particularly in melanomas lacking known driver mutations.
PMID- 27864880
TI - Preoperative and postoperative measurements of retinal vessel oxygen saturation
in patients with different grades of cataracts.
AB - PURPOSE: To assess the retinal vessel blood oxygen saturation preoperatively and
postoperatively in patients with different grades of cataracts. METHODS: This
study included 40 eyes from 40 patients with senile cataracts ranging from grade
1 to 3. All 40 eyes received standard phacoemulsification and intraocular lens
implantation. The mean retinal arterial and venular oxygen saturation (SO2 )
levels were measured using the Oxymap system prior to, immediately after and 3
months after cataract surgery. The arterial-venular difference (AVD) was
calculated as the difference between the arterial and venular saturations. Linear
regression analysis was performed to identify the relationship between the lens
density grades and changes in the measured retina vessel SO2 . RESULTS: The mean
age of the subjects was 64.1 +/- 7.8 years, and 14 (35%) were male. The mean SO2
level increased in the retinal arterioles from 90.5 +/- 7.8% to 95.0 +/- 6.7%
immediately postoperatively and to 98.3 +/- 5.3% at 3 months after surgery (p <
0.001). The mean venular saturation levels were 43.4 +/- 9.7%, 58.0 +/- 8.5% and
61.9 +/- 6.5% at baseline, immediately after and at 3 months postoperatively,
respectively, with a statistically significant increase after surgery (p <
0.001). The mean AVD significantly decreased from 47.1 +/- 8.9% before surgery to
37.1 +/- 7.3% immediately after and 36.4 +/- 6.4% 3 months after surgery (p <
0.02). A linear regression model indicated that denser lens opacity was
significantly associated with a larger amplitude of the venular SO2 level and the
AVD after cataract surgery: change of venous SO2 = 5.934-0.191 * Age + 13.534 *
Lens Grade (age: p = 0.586; lens grade: p < 0.001); change in AVD = -7.438-0.037
* Age + 7.744 * Lens Grade (age: p = 0.917; lens grade: p = 0.031). CONCLUSIONS:
Retinal arterial and venular oxygen saturation levels are significantly increased
after cataract surgery, and increase rates vary with the preoperative grading of
lens density.
PMID- 27864881
TI - Visual system manifestations of Alzheimer's disease.
AB - Alzheimer's disease (AD) is an increasingly common disease with massive personal
and economic costs. While it has long been known that AD impacts the visual
system, there has recently been an increased focus on understanding both
pathophysiological mechanisms that may be shared between the eye and brain and
how related biomarkers could be useful for AD diagnosis. Here, were review
pertinent cellular and molecular mechanisms of AD pathophysiology, the presence
of AD pathology in the visual system, associated functional changes, and
potential development of diagnostic tools based on the visual system.
Additionally, we discuss links between AD and visual disorders, including
possible pathophysiological mechanisms and their relevance for improving our
understanding of AD.
PMID- 27864882
TI - Diversification of the kinetic properties of yeast NADP-glutamate-dehydrogenase
isozymes proceeds independently of their evolutionary origin.
AB - In the yeast Saccharomyces cerevisiae, the ScGDH1 and ScGDH3 encoded glutamate
dehydrogenases (NADP-GDHs) catalyze the synthesis of glutamate from ammonium and
alpha-ketoglutarate (alpha-KG). Previous kinetic characterization showed that
these enzymes displayed different allosteric properties and respectively high or
low rate of alpha-KG utilization. Accordingly, the coordinated action of ScGdh1
and ScGdh3, regulated balanced alpha-KG utilization for glutamate biosynthesis
under either fermentative or respiratory conditions, safeguarding energy
provision. Here, we have addressed the question of whether there is a correlation
between the regulation and kinetic properties of the NADP-GDH isozymes present in
S. cerevisiae (ScGdh1 and ScGdh3), Kluyveromyces lactis (KlGdh1), and Lachancea
kluyveri (LkGdh1) and their evolutionary history. Our results show that the
kinetic properties of K. lactis and L. kluyveri single NADP-GDHs are respectively
similar to either ScGDH3 or ScGDH1, which arose from the whole genome duplication
event of the S. cerevisiae lineage, although, KlGDH1 and LkGDH1 originated from a
GDH clade, through an ancient interspecies hybridization event that preceded the
divergence between the Saccharomyces clade and the one containing the genera
Kluyveromyces, Lachancea, and Eremothecium. Thus, the kinetic properties which
determine the NADP-GDHs capacity to utilize alpha-KG and synthesize glutamate do
not correlate with their evolutionary origin.
PMID- 27864883
TI - Neuronal sources of hedgehog modulate neurogenesis in the adult planarian brain.
AB - The asexual freshwater planarian is a constitutive adult, whose central nervous
system (CNS) is in a state of constant homeostatic neurogenesis. However, very
little is known about the extrinsic signals that act on planarian stem cells to
modulate rates of neurogenesis. We have identified two planarian homeobox
transcription factors, Smed-nkx2.1 and Smed-arx, which are required for the
maintenance of cholinergic, GABAergic, and octopaminergic neurons in the
planarian CNS. These very same neurons also produce the planarian hedgehog ligand
(Smed-hh), which appears to communicate with brain-adjacent stem cells to promote
normal levels of neurogenesis. Planarian stem cells nearby the brain express core
hh signal transduction genes, and consistent hh signaling levels are required to
maintain normal production of neural progenitor cells and new mature cholinergic
neurons, revealing an important mitogenic role for the planarian hh signaling
molecule in the adult CNS.
PMID- 27864885
TI - Phylogenetic and Functional Classification of the Photolyase/Cryptochrome Family.
AB - The photolyase/cryptochrome (PHR/CRY) family is a large group of proteins with
similar structure but very diverge functions such as DNA repair, circadian clock
resetting and regulation of transcription. As a result of advances in the
biochemistry of the CRY/PHR family and identification of new members, several
adjustments have been made to the classification of this protein family. For
example, a new class of PHRs, Class III, has been proposed. Furthermore, CRYs
have been suggested to function as photosensory proteins in the primordial eye of
sponge larvae. Additionally, a magnetosensory function has been attributed to
certain CRYs. Recent advances in the field enabled us to propose a comprehensive
classification scheme and nomenclatural system for this family. This review
focuses on the computational and biochemical classifications of the PHR/CRY
family. Several examples show that computational analysis can give a hinge about
the function of newly discovered members before performing any biochemical study.
PMID- 27864884
TI - Mfd Protein and Transcription-Repair Coupling in Escherichia coli.
AB - In 1989, transcription-repair coupling (TRC) was first described in Escherichia
coli, as the transcription-dependent, preferential nucleotide excision repair
(NER) of UV photoproducts located in the template DNA strand. This finding led to
pioneering biochemical studies of TRC in the laboratory of Professor Aziz Sancar,
where, at the time, major contributions were being made toward understanding the
roles of the UvrA, UvrB and UvrC proteins in NER. When the repair studies were
extended to TRC, template but not coding strand lesions were found to block RNA
polymerase (RNAP) in vitro, and unexpectedly, the blocked RNAP inhibited NER. A
transcription-repair coupling factor, also called Mfd protein, was found to
remove the blocked RNAP, deliver the repair enzyme to the lesion and thereby
mediate more rapid repair of the transcription-blocking lesion compared with
lesions elsewhere. Structural and functional analyses of Mfd protein revealed
helicase motifs responsible for ATP hydrolysis and DNA binding, and regions that
interact with RNAP and UvrA. These and additional studies provided a basis upon
which other investigators, in following decades, have characterized fascinating
and unexpected structural and mechanistic features of Mfd, revealed the possible
existence of additional pathways of TRC and discovered additional roles of Mfd in
the cell.
PMID- 27864887
TI - Ethical use of animal models in musculoskeletal research.
AB - The use of animals in research is under increasing scrutiny from the general
public, funding agencies, and regulatory authorities. Our ability to continue to
perform in-vivo studies in laboratory animals will be critically determined by
how researchers respond to this new reality. This Perspectives article summarizes
recent and ongoing initiatives within ORS and allied organizations to ensure that
musculoskeletal research is performed to the highest ethical standards. It goes
on to present an overview of the practical application of the 3Rs (reduction,
refinement, and replacement) into experimental design and execution, and
discusses recent guidance with regard to improvements in the way in which animal
data are reported in publications. The overarching goal of this review is to
challenge the status quo, to highlight the absolute interdependence between
animal welfare and rigorous science, and to provide practical recommendations and
resources to allow clinicians and scientists to optimize the ways in which they
undertake preclinical studies involving animals. (c) 2016 Orthopaedic Research
Society. Published by Wiley Periodicals, Inc. J Orthop Res 35:740-751, 2017.
PMID- 27864886
TI - Perceptions of risks for diabetes-related complications in Type 2 diabetes
populations: a systematic review.
AB - AIM: In Type 2 diabetes, there is no clear understanding of how people perceive
their risk of experiencing diabetes-related complications. To address this issue,
we undertook an evidence-based synthesis of how people with Type 2 diabetes
perceive their risk of complications. METHODS: We performed a systematic search
of nine electronic databases for peer-reviewed articles published on or before 1
March 2016. Data from 18 studies reporting lay perceptions of risks for
complications in Type 2 diabetes populations were included. Publication year
ranged between 2002 and 2014. RESULTS: Methods used to assess risk perceptions
were heterogeneous, ranging from questionnaires measuring the accuracy of
perceived risks to semi-structured and focus group interviews. We found evidence
of low risk awareness in most dimensions of risk perceptions measured and the
existence of optimistic bias. CONCLUSIONS: Perceptions were generally biased and
varied according to the dimension of risk measured, the subpopulation concerned
and the type of complications considered. Future work is needed to identify the
best practical ways of correcting for biased risk perceptions so as to encourage
self-care behaviours and treatment adherence.
PMID- 27864888
TI - EBV infection is prevalent in the adenoid and palatine tonsils in adults.
AB - Epstein-Barr virus (EBV) is associated with the pathogenesis of several diseases
in both adults and children. However, there have been no reports on the
prevalence and amount of EBV in the adenoids of adults; thus, it is important to
investigate these in the adenoids and tonsils of adults and children. In this
study, 67 patients who underwent tonsillectomy or adenotonsillectomy were
included and divided into two groups: adults aged >= 16 years (n = 35) and
children aged <16 years (n = 32). Patients' adenoid and tonsil tissues were
analyzed using quantitative polymerase chain reaction for EBV DNA. EBV was
detected in 26 (74%) adenoids and 25 (71%) tonsils among the adult group and was
detected 21 (66%) adenoids and 20 (63%) tonsils in the child group. There was no
significant difference in EBV DNA prevalence between the adenoids and tonsils for
each group. However, there was a significant correlation between EBV DNA load in
the adenoids and tonsils of the same individual in both groups (r = 0.579, P <
0.01, adult group; r = 0.919, P < 0.01, child group). In conclusion, EBV
infection is prevalent in the adenoids and tonsils in adults and children. These
results indicate that EBV continuously reside in the nasopharyngeal region after
primal infection and may develop several diseases.
PMID- 27864889
TI - Goal-Directed Treatment for Osteoporosis: A Progress Report From the ASBMR-NOF
Working Group on Goal-Directed Treatment for Osteoporosis.
AB - The American Society for Bone and Mineral Research and the United States National
Osteoporosis Foundation (NOF) formed a working group to develop principles of
goal-directed treatment and identify gaps that need to be filled to implement
this approach. With goal-directed treatment, a treatment goal would first be
established and choice of treatment determined by the probability of achieving
that goal. Goals of treatment would be freedom from fracture, a T-score > -2.5,
which is above the NOF threshold for initiating treatment, or achievement of an
estimated risk level below the threshold for initiating treatment. Progress
toward reaching the patient's goal would be periodically and systematically
assessed by estimating the patient's compliance with treatment, reviewing
fracture history, repeating vertebral imaging when indicated, and repeating
measurement of bone mineral density (BMD). Using these data, a decision would be
made to stop, continue, or change therapy. Some of these approaches can now be
applied to clinical practice. However, the application of goal-directed treatment
cannot be fully achieved until medications are available that provide greater
increases in BMD and greater reduction in fracture risk than those that are
currently approved; only then can patients with very high fracture risk and very
low BMD achieve such goals. Furthermore, assessing future fracture risk in
patients on treatment requires a new assessment tool that accurately captures the
change in fracture risk associated with treatment and should also be sensitive to
the importance of recent fractures as predictors of imminent fracture risk.
Lastly, evidence is needed to confirm that selecting and switching treatments to
achieve goals reduces fracture risk more effectively than current standard care.
(c) 2016 American Society for Bone and Mineral Research.
PMID- 27864890
TI - Anti-EGFR monoclonal antibodies enhance sensitivity to DNA-damaging agents in
BRCA1-mutated and PTEN-wild-type triple-negative breast cancer cells.
AB - Increased epidermal growth factor receptor (EGFR) expression in triple-negative
breast cancer (TNBC) is recognized as a promising therapeutic target,
specifically through the use of selective EGFR inhibitors combined with
chemotherapies. TNBC is characterized by genetic instability that leads to
increased sensitivity to cytotoxic agents. We analyzed the effect of anti-EGFR
monoclonal antibodies (mAbs; cetuximab and panitumumab) in combination with
chemotherapeutic agents (docetaxel, cisplatin, and epirubicin) on EGFR-expressing
TNBC cell lines that have different mutation statuses for one oncogene (KRAS) and
two tumor suppressor genes (PTEN and BRCA1). Both mAbs failed to improve the
cytotoxic effect of chemotherapies in the KRAS mutant cell line (MDA-MB-231) and
PTEN-null cell lines (HCC-1937 and MDA-MB-468). In contrast, mAbs combined with
DNA-damaging agents (cisplatin or epirubicin) had a synergistic effect in the
BRCA1-mutant cell line SUM-1315 (wild-type KRAS and PTEN). The reintroduction of
wild-type BRCA1 into SUM-1315 cells abolished this synergism. The improved effect
of combination therapy was associated with cell cycle arrest at G1 phase and
inhibition of the phosphorylation of EGFR and ERK1/2 proteins. These results
suggest that patients with BRCA1-associated TNBC without genetic alterations in
the PTEN and KRAS genes may have improved therapeutic responses to anti-EGFR mAbs
combined with DNA-damaging agents. (c) 2017 Wiley Periodicals, Inc.
PMID- 27864891
TI - 3D MRI Quantification of Femoral Head Deformity in Legg-Calve-Perthes Disease.
AB - The purpose of this study was to quantify femoral head deformity in patients with
Legg-Calve-Perthes disease (LCPD) using a novel three dimensional (3D) magnetic
resonance imaging (MRI) reconstruction and volume based analysis. Bilateral
femoral heads of 17 patients (mean age 9.9 +/- 2.0 years; 12 boys, 5 girls) with
LCPD were scanned 1-2 times (n = 33 LCPD heads, 20 normal heads) using a 1.5T MRI
scanner. Fourteen patients had unilateral and three had bilateral LCPD with five
hips in the Waldenstrom initial stage, 9 in the fragmentation stage, 14 in the
reossification stage, and 5 in the healed stage. 3D digital reconstructions of
femoral heads were created using MIMICS software. Deformity was quantified using
a 3D volume ratio method based on reference hemisphere volume as well as two
surface geometry methods. Intra-observer analysis showed that 97% of the LCPD
femoral heads were within 10% of the original value and test shapes had 99.6%
accuracy. For normal femoral heads, the volume ratios of all except one were
between 95 and 98% (n = 20) of a perfect hemisphere volume. For femoral heads
affected with LCPD, the volume ratios ranged from 43% to 96% of a perfect
hemisphere (n = 33). The volume ratio method and the two surface geometry
comparison methods had high correlation (r = 0.89 and 0.96). In summary, the 3D
MRI volume ratio method allowed accurate quantification and demonstrated small
changes (<10%) of the femoral head deformity in LCPD. This method may serve as a
useful tool to evaluate the effects of treatment on femoral head shape. (c) 2016
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
35:2051-2058, 2017.
PMID- 27864892
TI - Introgression of mtDNA in Urosaurus lizards: historical and ecological processes.
AB - Introgression of mtDNA appears common in animals, but the implications of
acquiring a novel mitochondrial genome are not well known. This study
investigates mito-genome introgression between the lizard species Urosaurus
graciosus, a thermal specialist, and U. ornatus, a species that occupies a wider
range of thermal environments. As ectotherms, their metabolic rate is strongly
influenced by the thermal environment; with mitochondria being linked to
metabolic rates, overall energy budgets could be impacted by introgression. I use
mitochondrial gene trees, inferred from Bayesian analyses of Cyt-B and ND1 gene
sequences, along with morphology and microsatellites from nineteen populations of
these two species to address if the direction and location of mito-nuclear
discordance match predictions of introgression resulting from past population
expansions. MtDNA is expected to move from resident species into expanding or
invading species. Second, does having a heterospecific form of mitochondria
impact body size, a trait strongly associated with fitness? Multiple independent
introgression events of historic origin were detected. All introgression was
unidirectional with U. ornatus-type mtDNA found in U. graciosus parental type
individuals. This result was consistent with population expansions detected in U.
graciosus but not U. ornatus. Females with heterospecific mtDNA were
significantly smaller than homospecific forms, and heterospecific males had a
different relationship of body mass to body length than those with homospecific
mtDNA. These changes indicate a potential selective disadvantage for individuals
with heterospecific mitochondria and are consistent with the theoretical
expectation that deleterious alleles are more likely to persist in expanding
populations.
PMID- 27864893
TI - High alcohol intake in deceased donors has no effect on pancreas graft survival:
a registry analysis.
AB - Outcomes of pancreas transplantation from donors with high alcohol consumption
are poorly described. The UK Transplant Registry was used to determine whether
donor alcohol intake influenced pancreas survival in simultaneous pancreas-kidney
(SPK) transplants performed between 2006 and 2012 (n = 770). Recipients were
stratified by donor alcohol intake: group I (n = 122)-high recent alcohol intake
(>21 or >14 units of alcohol/week in males or females, respectively) or previous
alcohol abuse and group II (n = 648)-low/unknown current intake and no previous
alcohol abuse. Median current alcohol intake was higher in group I than group II:
36.3 vs. 10 units/week; P < 0.001. One- and five-year pancreas graft survivals
were 88.5% and 73.6% in group I, and 87% and 74.9% in group II. There was no
difference in unadjusted graft survival between groups I and II (P = 0.76), and
no difference between group II and a subgroup of group I with a donor history of
alcohol abuse and high current intake (P = 0.26), or from donors with current
alcohol consumption of >50 units/week (P = 0.41). Pancreas donors with past
alcohol abuse or current high intake are common, and graft outcomes appear to be
acceptable. This analysis suggests that high donor alcohol intake, by itself,
should not exclude consideration of pancreas transplantation.
PMID- 27864894
TI - Maternal dietary intake in pregnancy and lactation and allergic disease outcomes
in offspring.
AB - As the prevalence of allergic disease dramatically rises worldwide, prevention
strategies are increasingly being considered. Given the potential modulatory
effect of nutritional factors on disease, altering maternal diet during pregnancy
and/or lactation has been considered in preventing allergic disease in offspring.
Although there are a number of observational studies that have examined possible
associations between maternal diet and allergic outcomes in offspring,
interventional trials are limited. Furthermore, there is a paucity of studies
that have prospectively studied maternal dietary intake as well as measuring
maternal and infant biologic samples (blood, urine, breast milk) and their
relation to allergic outcomes in infants. There is also a particular need to
define terminology such as 'fruit and vegetables intake', 'healthy diet', and
'diet diversity' in order to make studies comparable. In this review, we discuss
current evidence of maternal dietary factors during pregnancy and/or lactation
that may play a role in the offspring developing allergic disease, including
factors such as overall dietary intake patterns, specific whole food consumption
(fish, fruit and vegetables, and common allergic foods), and individual
immunomodulatory nutrient intakes. Additionally, we discuss the limitations of
previous studies and propose improvements to study design for future
investigation.
PMID- 27864896
TI - Family Medical History.
PMID- 27864895
TI - The postreperfusion syndrome is associated with acute kidney injury following
donation after brain death liver transplantation.
AB - Acute kidney injury (AKI) is frequently observed after donation after brain death
(DBD) liver transplantation (LT) and associated with impaired recipient survival
and chronic kidney disease. Hepatic ischemia/reperfusion injury (IRI) is
suggested to be an important factor in this process. The postreperfusion syndrome
(PRS) is the first manifestation of severe hepatic IRI directly after
reperfusion. We performed a retrospective study on the relation between hepatic
IRI and PRS and their impact on AKI in 155 DBD LT recipients. Severity of hepatic
IRI was measured by peak postoperative AST levels and PRS was defined as >30%
decrease in MAP >=1 min within 5 min after reperfusion. AKI was observed in 39%
of the recipients. AKI was significantly more observed in recipients with PRS
(53% vs. 32%; P = 0.013). Median peak AST level was higher in recipients with PRS
(1388 vs. 771 U/l; P < 0.001). Decrease in MAP after reperfusion correlated well
with both severity of AKI (P = 0.012) and hepatic IRI (P < 0.001). Multiple
logistic regression identified PRS as an independent factor for postoperative AKI
(OR 2.28; 95% CI 1.06-4.99; P = 0.035). In conclusion, PRS reflects severe
hepatic IRI and predicts AKI after DBD LT. PRS immediately after reperfusion is
an early warning sign and creates opportunities to preserve postoperative renal
function.
PMID- 27864897
TI - Tolerogenic dendritic cell therapy in organ transplantation.
AB - Although the occurrence of acute rejection was significantly reduced and the
allograft survival at 1 year was massively improved by the development of
pharmacological immunosuppressive drugs, little progress has been made regarding
long-term graft survival. Cell therapy appears to be an innovative and promising
strategy to minimize the use of immunosuppression in transplantation and
consequently increases long-term graft survival. The strength of cell therapy is
that it will induce graft-specific tolerance and not a general immunosuppression
of the patients. Several candidates, such as tolerogenic dendritic cells, have
been gaining interest as an efficient means of promoting antigen-specific
tolerance over recent years. Studies performed in rodent models have demonstrated
the feasibility and efficacy of tolerogenic dendritic cells for the induction of
tolerance in transplantation. In parallel, protocols to generate human
tolerogenic dendritic cells in vitro have been defined, and some phase I clinical
trials in autoimmune diseases have been recently performed to evaluate the safety
of tolerogenic dendritic cell therapy. In this review, we will focus on the
potential therapeutic interest of these cells in transplantation as well as their
generation and characterization in humans. Finally, we will describe our current
clinical trial using autologous tolerogenic dendritic cells in transplantation.
PMID- 27864898
TI - Anti-inflammatory drugs decrease infection of brain endothelial cells with EHV-1
in vitro.
AB - BACKGROUND: Equine herpesvirus-associated myeloencephalopathy is the result of
endothelial cell infection of the spinal cord vasculature with equine herpesvirus
1 (EHV-1) during cell-associated viraemia. Endothelial cell infection requires
contact between infected peripheral blood mononuclear and endothelial cells.
Inflammation generated during viraemia likely upregulates adhesion molecule
expression on both cell types increasing contact and facilitating endothelial
cell infection. OBJECTIVES: Evaluating the role of anti-inflammatory drugs in
decreasing endothelial cell infection with EHV-1. STUDY DESIGN: In vitro assay,
crossover design, multiple drug testing. METHODS: In vitro modified infectious
centre assay using immortalised carotid artery endothelial cells or primary brain
endothelial cells with plaque counts per well as outcome. Cells were either anti
inflammatory drug treated or left untreated. RESULTS: Significant reduction of
plaque count when cells were treated compared with untreated cells. No dose
dependent effect when drug concentrations were increased to 10* dose. Treatment
of both peripheral blood mononuclear cells (PBMC) and endothelial cells (EC) is
required for significant plaque count reduction. MAIN LIMITATIONS: In vitro
study. CONCLUSIONS: Anti-inflammatory drugs decrease infection of endothelial
cells likely by reducing contact between EHV-1 infected PBMC and endothelial
cells in vitro. The role of adhesion molecules in this process needs further
investigation. In vitro results suggest anti-inflammatory drug therapy during EHV
1 infection and viraemia in horses could be clinically relevant.
PMID- 27864899
TI - N-Glycosylation at Asn 402 Stabilizes N-Cadherin and Promotes Cell-Cell Adhesion
of Glioma Cells.
AB - Cadherin is crucial for cell-cell adhesion and N-glycosylation of N-cadherin has
been implicated in the process of mammary, renal, and ovarian carcinogenesis.
However, whether N-glycosylation of N-cadherin plays a role in glioma remains
unknown. Previous studies had indicated that N-glycosylation could occur at three
asparagine residues of N-cadherin. By generating and over-expressing N
glycosylation-deficient N-cadherin mutants in the human glioma cell lines SHG66
and U87, we found that mutation of N402 but not of the other potentially N
glycosylated residues destabilized N-cadherin and led to its ubiquitylation and
subsequent proteasomal degradation. Furthermore, destabilized N-cadherin
inhibited cadherin-mediated cell-cell adhesion and promoted cell migration. Our
findings reveal that N-glycosylation controls N-cadherin stability and plays a
role in glioma migration. J. Cell. Biochem. 118: 1423-1431, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27864901
TI - Kidney temperature course during living organ procurement and transplantation.
AB - Little is known about the actual kidney graft temperature during the 2nd warm
ischemia time (WIT2). We aimed to determine the actual temperature course of the
WIT2, with emphasis on the 15 degrees C metabolic threshold. Data of 152
consecutive adult living donor kidney transplantations were collected. The mean
WIT2 was 41.3 +/- 10.1 (SD) minutes with a temperature of 5.4 degrees C at
baseline which gradually increased to 13.7, 17.4, and 20.2 degrees C after 10,
20, and 30 min, respectively. The percentage of kidneys with a temperature of 15
degrees C or higher was 81.2% after 20 min and 97.5% after 30 min. Duration of
surgery (95% CI: -0.017 to -0.002, P = 0.02), multiple veins (95% CI: 0.0003
2.720, P = 0.05) and WIT2 (95% CI: 0.016-0.099, P = 0.006) were associated with a
rapid temperature increase. No correlation could be determined between a rapid
temperature rise and diminished graft function. This study showed a rapid
increase in kidney temperature during WIT2, wherein the 15 degrees C threshold
was reached within 20 min in more than 80% of the patients.
PMID- 27864902
TI - Quantitative structure-activity relationship and molecular docking revealed a
potency of anti-hepatitis C virus drugs against human corona viruses.
AB - A number of human coronaviruses (HCoVs) were reported in the last and present
centuries. Some outbreaks of which (eg, SARS and MERS CoVs) caused the mortality
of hundreds of people worldwide. The problem of finding a potent drug against
HCoV strains lies in the inability of finding a drug that stops the viral
replication through inhibiting its important proteins. In spite of its limited
efficacy and potential side effects, Ribavirin is extensively used as a first
choice against HCoVs. Therefore, scientists reverted towards the investigation of
different drugs that can more specifically target proteins. In this study, four
anti-HCV drugs (one approved by FDA and others under clinical trials) are tested
against HCoV polymerases. Quantitative Structure-Activity Relationship (QSAR) and
molecular docking are both used to compare the performance of the selected
nucleotide inhibitors to their parent nucleotides and Ribavirin. Both QSAR and
molecular docking showed that IDX-184 is superior compared to Ribavirin against
MERS CoV, a result that was also reported for HCV. MK-0608 showed a performance
that is comparable to Ribavirin. We strongly suggest an in vitro study on the
potency of these two drugs against MERS CoV.
PMID- 27864900
TI - Recombination rate variation in mice from an isolated island.
AB - Recombination rate is a heritable trait that varies among individuals. Despite
the major impact of recombination rate on patterns of genetic diversity and the
efficacy of selection, natural variation in this phenotype remains poorly
characterized. We present a comparison of genetic maps, sampling 1212 meioses,
from a unique population of wild house mice (Mus musculus domesticus) that
recently colonized remote Gough Island. Crosses to a mainland reference strain
(WSB/EiJ) reveal pervasive variation in recombination rate among Gough Island
mice, including subchromosomal intervals spanning up to 28% of the genome. In
spite of this high level of polymorphism, the genomewide recombination rate does
not significantly vary. In general, we find that recombination rate varies more
when measured in smaller genomic intervals. Using the current standard genetic
map of the laboratory mouse to polarize intervals with divergent recombination
rates, we infer that the majority of evolutionary change occurred in one of the
two tested lines of Gough Island mice. Our results confirm that natural
populations harbour a high level of recombination rate polymorphism and highlight
the disparities in recombination rate evolution across genomic scales.
PMID- 27864903
TI - Long-term monitoring of cardiorespiratory patterns in drug-resistant epilepsy.
AB - OBJECTIVE: Sudden unexplained death in epilepsy (SUDEP) during inpatient
electroencephalography (EEG) monitoring has been a rare but potentially
preventable event, with associated cardiopulmonary markers. To date, no
systematic evaluation of alarm settings for a continuous pulse oximeter (SpO2 )
has been performed. In addition, evaluation of the interrelationship between the
ictal and interictal states for cardiopulmonary measures has not been reported.
METHODS: Patients with epilepsy were monitored using video-EEG, SpO2 , and
electrocardiography (ECG). Alarm thresholds were tested systematically, balancing
the number of false alarms with true seizure detections. Additional
cardiopulmonary patterns were explored using automated ECG analysis software.
RESULTS: One hundred ninety-three seizures (32 generalized) were evaluated from
45 patients (7,104 h recorded). Alarm thresholds of 80-86% SpO2 detected 63-73%
of all generalized convulsions and 20-28% of all focal seizures (81-94% of
generalized and 25-36% of focal seizures when considering only evaluable data).
These same thresholds resulted in 25-146 min between false alarms. The sequential
probability of ictal SpO2 revealed a potential common seizure termination pathway
of desaturation. A statistical model of corrected QT intervals (QTc), heart rate
(HR), and SpO2 revealed close cardiopulmonary coupling ictally. Joint probability
maps of QTc and SpO2 demonstrated that many patients had baseline dysfunction in
either cardiac, pulmonary, or both domains, and that ictally there was
dissociation-some patients exhibited further dysfunction in one or both domains.
SIGNIFICANCE: Optimal selection of continuous pulse oximetry thresholds involves
a tradeoff between seizure detection accuracy and false alarm frequency. Alarming
at 86% for patients that tend to have fewer false alarms and at 80% for those who
have more, would likely result in a reasonable tradeoff. The cardiopulmonary
findings may lead to SUDEP biomarkers and early seizure termination therapies.
PMID- 27864904
TI - An update on the current management of head and neck mucosal melanoma.
AB - Primary mucosal melanomas of the head and neck are rare and aggressive tumours
that arise in the nasal cavity, paranasal sinuses and more rarely in the oral
cavity. The current treatment options include radical surgical resection with
adjuvant external beam radiotherapy being offered in high-risk patients. Although
the latter can improve regional control, it does not reduce overall survival.
Elective neck dissection is recommended for nodular oral mucosal melanoma, but
its role in the clinically node negative neck is controversial. Systemic
therapies including the use of tyrosine kinase inhibitors for tumours with c-KIT
mutations are suitable for patients with advanced loco-regional and/or metastatic
disease, but current results are variable. Patients with head and neck mucosal
melanoma have a poor prognosis due to the high incidence of metastatic disease.
This review assesses the latest evidence in the diagnosis and management of
primary oral and head and neck mucosal melanoma including details of systemic
therapies.
PMID- 27864905
TI - Polyacrylamide gel as a culture substrate improves in vitro oocyte growth from
porcine early antral follicles.
AB - A major difference between in vivo and in vitro follicle culture is the stiffness
of the substrate in which the follicles grow. In this study, we examined the
effect of polyacrylamide gel (PAG), as a culture substrate, on the development of
porcine oocytes derived from early antral follicles. Oocyte-granulosa cell
complexes (OGCs) were collected from the early antral follicles of gilts, and
incubated individually for 14 days in a 96-well culture plate without or with
PAG. We then evaluated the number of granulosa cells present in OGCs as well as
the abundance of ATP, lipid, and acetylated lysine in oocytes. OGCs cultured on
PAG showed significantly greater antrum formation and granulosa cell
proliferation than controls cultured on standard plastic. Oocytes grown on PAG
also possessed significantly larger diameter, ATP and lipid content, and lysine
acetylation, as well as competence to develop to the blastocyst stage.
Transcriptome analysis of the granulosa cells revealed that genes involved in
follicular development and mechanosensing are up-regulated under PAG culturing
conditions. Thus, in vitro culturing OGCs on PAG profoundly induced granulosa
cell proliferation, resulting in improved developmental competence of the
oocytes. Mol. Reprod. Dev. 84: 44-54, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27864906
TI - Role and regulation of Glycogen synthase kinase-3 beta in bovine spermatozoa.
AB - The serine/threonine kinase Glycogen synthase kinase 3 (GSK-3) is a master switch
that regulates a multitude of cellular pathways, including the acrosome reaction
in sperm. In epididymal sperm cells, for example, GSK-3 activity correlates with
inhibition of motility-yet no direct pathways connecting GSK-3 activation with
loss of motility have been described. Indeed, the details of how GSK-3 is
regulated during sperm capacitation and the acrosome reaction remains obscure. To
this end, we addressed the involvement of the GSK-3 beta isoform in several known
pathways that contribute to motility and the acrosome reaction. We established
that Protein kinase A (PKA) is the main regulator of GSK-3beta in sperm, as pre
treatment of cells with a GSK-3 inhibitor prior to addition of H89, an inhibitor
of PKA, attenuated the motility loss induced by blocking PKA activity. Both
induced and spontaneous acrosome reactions also occurred less frequently in sperm
treated with GSK-3 inhibitors. Finally, we observed a slow decline in
phosphorylation of GSK-3beta on Ser 9, which represents an inhibited state,
during sperm capacitation; this phenotype is reversed during the induced acrosome
reaction, in parallel to activation of Protein phosphatase 1. These results
suggest that maintenance of sperm motility and acrosome reaction timing are
mediated by PKA through the regulation of GSK-3 beta activity. Mol. Reprod. Dev.
84: 8-18, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27864907
TI - Stimulation effects of ciprofloxacin and sulphamethoxazole in Microcystis
aeruginosa and isobaric tag for relative and absolute quantitation-based
screening of antibiotic targets.
AB - Antibiotics are normally regarded as safe to aquatic ecosystems when their
contamination concentrations are lower than the toxic threshold. This study
observed the hazard of ciprofloxacin, sulphamethoxazole and their binary mixture
to the aquatic environment at environmentally relevant concentrations lower than
the toxic threshold, due to the stimulation on the bloom of Microcystis
aeruginosa. The enhanced growth of M. aeruginosa, coupled with elevated
photosynthesis activity, was exerted by 50-200 ng/L of ciprofloxacin, 100-200
ng/L of sulphamethoxazole and 20-100 ng/L of the binary antibiotic mixture.
Stimulated production and release of microcystins were observed at even lower
concentrations. The upregulation of transcription-related proteins, cell division
related proteins, a gas vesicle protein, a global nitrogen regulator (ntcA), two
microcystin synthetases (mcyC and mcyH) and ATP-binding cassette transporters
provided direct proteomic evidence for the regulation of target antibiotics on M.
aeruginosa bloom. Cytochrome P450 was an essential component involved in stress
responses and antibiotic biodegradation. Proteomic responses to antibiotic
exposure presented a shift in the energy metabolism of M. aeruginosa towards the
excitation of photosynthesis, an increase of carbohydrate biosynthesis and the
inhibition of carbohydrate catabolism. Superoxide dismutase, enolase and D1
protein were candidate target proteins of different antibiotics in M. aeruginosa.
The antibiotic mixture showed a greater hazard than single antibiotics, and a
safe threshold of 5 ng/L was suggested for each target antibiotic under the
coexistence condition.
PMID- 27864909
TI - The mechanism of CIRP in inhibition of keratinocytes growth arrest and apoptosis
following low dose UVB radiation.
AB - UV induces CIRP expression and subsequent Stat3 activation, but the biological
function and mechanism of CIRP and Stat3 in mediating UVB-induced skin
carcinogenesis have not been fully elucidated. In this study, we demonstrate that
CIRP is elevated in all tested melanoma and non-melanoma skin cancer cell lines;
and the expression of CIRP is upregulated in keratinocytes after being irradiated
with relatively low dose (<5 mJ/cm2 ), but not high dose (50 mJ/cm2 ), UVB
acutely and chronically. The increased expression of CIRP, either induced by UVB
or through overexpression, leads to resistance of keratinocytes to UVB-induced
growth arrest and death; and reduced expression of CIRP by RNA knockdown
sensitizes keratinocyte cells to the low dose UVB radiation. We also demonstrated
that CIRP expression is required for the low dose UVB-induced Tyr705
phosphorylation, but not total amount, of Stat3. The p-Stat3 level is correlated
with the expression levels of cyclin D1 and VEGF, two known downstream cell
growth regulators of Stat3, as well as Bag-1/S, an apoptosis regulator.
Inhibition of Stat3 DNA-binding activity by S3I-201 leads to a reduction of the p
Stat3 and Bag-1/S along with growth and survival of keratinocytes post-UVB; and
the effect of S3I-201 on the UVB-irradiated cells can be partially inhibited by
overexpression of CIRP or Bag-1/S. Furthermore, the overexpression of Bag-1/S can
totally inhibit UVB-induced PARP cleavage and caspase 3 activation. The results
presented above led us to propose that CIRP-p(705)Stat3 cascade promotes cell
proliferation and survival post-UVB via upregulating the expression of cyclin D1
and Bag-1/S, respectively. Published 2017. This article is a U.S. Government work
and is in the public domain in the USA.
PMID- 27864908
TI - E2F transcription factor 2 variants as predictive biomarkers for recurrence risk
in patients with squamous cell carcinoma of the oropharynx.
AB - Because E2F transcription factor 2 (E2F2) promoter polymorphisms have been
implicated in carcinogenesis and prognosis, we investigated associations between
genetic variants in five E2F2 promoter polymorphisms and recurrence risk of
squamous cell carcinoma of the oropharynx (SCCOP) in 1 008 patients. A log-rank
test and multivariable Cox models were used to assess the associations. Compared
with patients with variant genotypes of E2F2-rs2742976 and E2F2-rs3218123,
patients with common homozygous genotypes had better disease-free survival (both
log-rank, P < 0.001) and lower SCCOP recurrence risk (HR, 0.4, 95% CI, 0.3-0.6
and HR, 0.3, 95% CI, 0.2-0.5, respectively) after multivariable adjustment.
Furthermore, among patients with HPV16-positive tumors, those with common
homozygous genotypes of E2F2-rs2742976 and E2F2-rs3218123 had better disease-free
survival rates (both log-rank, P < 0.001) and lower recurrence risk (HR, 0.1, 95%
CI, 0.1-0.4 and HR, 0.1, 95% CI, 0.0-0.2, respectively) than patients with
variant genotypes. However, no significant differences were found for the other
three polymorphisms. After combining the risk genotypes of the five polymorphisms
and using the high-risk group (2-5 risk genotypes) as the reference group, we
found that the low-risk groups (0 or 1 risk genotype) had significantly lower
recurrence risk among all patients (HR, 0.4, 95% CI, 0.3-0.6) and among HPV16
positive patients (HR, 0.2, 95% CI, 0.1-0.5). Our findings suggest that E2F2
polymorphisms may individually or jointly modify SCCOP recurrence risk,
particularly for SCCOP patients with HPV16-positive tumors. (c) 2017 Wiley
Periodicals, Inc.
PMID- 27864910
TI - Genomic islands of divergence linked to ecotypic variation in sockeye salmon.
AB - Regions of the genome displaying elevated differentiation (genomic islands of
divergence) are thought to play an important role in local adaptation, especially
in populations experiencing high gene flow. However, the characteristics of these
islands as well as the functional significance of genes located within them
remain largely unknown. Here, we used data from thousands of SNPs aligned to a
linkage map to investigate genomic islands of divergence in three ecotypes of
sockeye salmon (Oncorhynchus nerka) from a single drainage in southwestern
Alaska. We found ten islands displaying high differentiation among ecotypes.
Conversely, neutral structure observed throughout the rest of the genome was low
and not partitioned by ecotype. One island on linkage group So13 was particularly
large and contained six SNPs with FST > 0.14 (average FST of neutral SNPs =
0.01). Functional annotation revealed that the peak of this island contained a
nonsynonymous mutation in a gene involved in growth in other species (TULP4). The
islands that we discovered were relatively small (80-402 Kb), loci found in
islands did not show reduced levels of diversity, and loci in islands displayed
slightly elevated linkage disequilibrium. These attributes suggest that the
islands discovered here were likely generated by divergence hitchhiking; however,
we cannot rule out the possibility that other mechanisms may have produced them.
Our results suggest that islands of divergence serve an important role in local
adaptation with gene flow and represent a significant advance towards
understanding the genetic basis of ecotypic differentiation.
PMID- 27864911
TI - Spatio-temporal variation in parasite communities maintains diversity at the
major histocompatibility complex class IIbeta in the endangered Rio Grande
silvery minnow.
AB - Climate change will strongly impact aquatic ecosystems particularly in arid and
semi-arid regions. Fish-parasite interactions will also be affected by predicted
altered flow and temperature regimes, and other environmental stressors. Hence,
identifying environmental and genetic factors associated with maintaining
diversity at immune genes is critical for understanding species' adaptive
capacity. Here, we combine genetic (MHC class IIbeta and microsatellites),
parasitological and ecological data to explore the relationship between these
factors in the remnant wild Rio Grande silvery minnow (Hybognathus amarus)
population, an endangered species found in the southwestern United States.
Infections with multiple parasites on the gills were observed and there was
spatio-temporal variation in parasite communities and patterns of infection among
individuals. Despite its highly endangered status and chronically low genetic
effective size, Rio Grande silvery minnow had high allelic diversity at MHC class
IIbeta with more alleles recognized at the presumptive DAB1 locus compared to the
DAB3 locus. We identified significant associations between specific parasites and
MHC alleles against a backdrop of generalist parasite prevalence. We also found
that individuals with higher individual neutral heterozygosity and higher amino
acid divergence between MHC alleles had lower parasite abundance and diversity.
Taken together, these results suggest a role for fluctuating selection imposed by
spatio-temporal variation in pathogen communities and divergent allele advantage
in maintenance of high MHC polymorphism. Understanding the complex interaction of
habitat, pathogens and immunity in protected species will require integrated
experimental, genetic and field studies.
PMID- 27864912
TI - Inferring contemporary and historical genetic connectivity from juveniles.
AB - Measuring population connectivity is a critical task in conservation biology.
While genetic markers can provide reliable long-term historical estimates of
population connectivity, scientists are still limited in their ability to
determine contemporary patterns of gene flow, the most practical time frame for
management. Here, we tackled this issue by developing a new approach that only
requires juvenile sampling at a single time period. To demonstrate the usefulness
of our method, we used the Speartooth shark (Glyphis glyphis), a critically
endangered species of river shark found only in tropical northern Australia and
southern Papua New Guinea. Contemporary adult and juvenile shark movements,
estimated with the spatial distribution of kin pairs across and within three
river systems, was contrasted with historical long-term connectivity patterns,
estimated from mitogenomes and genome-wide SNP data. We found strong support for
river fidelity in juveniles with the within-cohort relationship analysis. Male
breeding movements were highlighted with the cross-cohort relationship analysis,
and female reproductive philopatry to the river systems was revealed by the
mitogenomic analysis. We show that accounting for juvenile river fidelity and
female philopatry is important in population structure analysis and that targeted
sampling in nurseries and juvenile aggregations should be included in the genomic
toolbox of threatened species management.
PMID- 27864913
TI - Human Secretory IgM Antibodies Activate Human Complement and Offer Protection at
Mucosal Surface.
AB - IgM molecules circulate in serum as large polymers, mainly pentamers, which can
be transported by the poly-Ig receptor (pIgR) across epithelial cells to mucosal
surfaces and released as secretory IgM (SIgM). The mucosal SIgM molecules have
non-covalently attached secretory component (SC), which is the extracellular part
of pIgR which is cleaved from the epithelial cell membrane. Serum IgM antibodies
do not contain SC and have previously been shown to make a conformational change
from 'a star' to a 'staple' conformation upon reaction with antigens on a cell
surface, enabling them to activate complement. However, it is not clear whether
SIgM similarly can induce complement activation. To clarify this issue, we
constructed recombinant chimeric (mouse/human) IgM antibodies against hapten 5
iodo-4-hydroxy-3-nitro-phenacetyl (NIP) and in addition studied polyclonal IgM
formed after immunization with a meningococcal group B vaccine. The monoclonal
and polyclonal IgM molecules were purified by affinity chromatography on a column
containing human SC in order to isolate joining-chain (J-chain) containing IgM,
followed by addition of excess amounts of soluble SC to create SIgM (IgM J+ SC+).
These SIgM preparations were tested for complement activation ability and shown
to be nearly as active as the parental IgM J+ molecules. Thus, SIgM may offer
protection against pathogens at mucosal surface by complement-mediated cell lysis
or by phagocytosis mediated by complement receptors present on effector cells on
mucosa.
PMID- 27864914
TI - The lek mating system of the worm pipefish (Nerophis lumbriciformis): a molecular
maternity analysis and test of the phenotype-linked fertility hypothesis.
AB - The origin and maintenance of mating preferences continues to be an important and
controversial topic in sexual selection research. Leks and lek-like mating
systems, where individuals gather in particular spots for the sole purpose of
mate choice, are particularly puzzling, because the strong directional selection
imposed by mate choice should erode genetic variation among competing individuals
and negate any benefit for the choosing sex. Here, we take advantage of the lek
like mating system of the worm pipefish (Nerophis lumbriciformis) to test the
phenotype-linked fertility hypothesis for the maintenance of mating preferences.
We use microsatellite markers to perform a parentage analysis, along with a mark
recapture study, to confirm that the worm pipefish has an unusual mating system
that strongly resembles a female lek, where females display and males visit the
lek to choose mates. Our results show that the most highly ornamented females
occupy positions near the centre of the breeding area, and males mating with
these females receive fuller broods with larger eggs compared to males mating
with less-ornamented females. We also conduct a laboratory experiment to show
that female ornaments are condition-dependent and honestly signal reproductive
potential. Overall, these results are consistent with the predictions of a sex
independent version of the phenotype-linked fertility hypothesis, as male
preference for female ornaments correlates with fertility benefits.
PMID- 27864915
TI - Identification of Emergency Department Visits in Medicare Administrative Claims:
Approaches and Implications.
AB - OBJECTIVES: Administrative claims data sets are often used for emergency care
research and policy investigations of healthcare resource utilization, acute care
practices, and evaluation of quality improvement interventions. Despite the high
profile of emergency department (ED) visits in analyses using administrative
claims, little work has evaluated the degree to which existing definitions based
on claims data accurately captures conventionally defined hospital-based ED
services. We sought to construct an operational definition for ED visitation
using a comprehensive Medicare data set and to compare this definition to
existing operational definitions used by researchers and policymakers. METHODS:
We examined four operational definitions of an ED visit commonly used by
researchers and policymakers using a 20% sample of the 2012 Medicare Chronic
Condition Warehouse (CCW) data set. The CCW data set included all Part A
(hospital) and Part B (hospital outpatient, physician) claims for a nationally
representative sample of continuously enrolled Medicare fee-for-services
beneficiaries. Three definitions were based on published research or existing
quality metrics including: 1) provider claims-based definition, 2) facility
claims-based definition, and 3) CMS Research Data Assistance Center (ResDAC)
definition. In addition, we developed a fourth operational definition (Yale
definition) that sought to incorporate additional coding rules for identifying ED
visits. We report levels of agreement and disagreement among the four
definitions. RESULTS: Of 10,717,786 beneficiaries included in the sample data
set, 22% had evidence of ED use during the study year under any of the ED visit
definitions. The definition using provider claims identified a total of 4,199,148
ED visits, the facility definition 4,795,057 visits, the ResDAC definition
5,278,980 ED visits, and the Yale definition 5,192,235 ED visits. The Yale
definition identified a statistically different (p < 0.05) collection of ED
visits than all other definitions including 17% more ED visits than the provider
definition and 2% fewer visits than the ResDAC definition. Differences in ED
visitation counts between each definition occurred for several reasons including
the inclusion of critical care or observation services in the ED, discrepancies
between facility and provider billing regulations, and operational decisions of
each definition. CONCLUSION: Current operational definitions of ED visitation
using administrative claims produce different estimates of ED visitation based on
the underlying assumptions applied to billing data and data set availability.
Future analyses using administrative claims data should seek to validate specific
definitions and inform the development of a consistent, consensus ED visitation
definitions to standardize research reporting and the interpretation of policy
interventions.
PMID- 27864916
TI - Enhanced contractility of intraparenchymal arterioles after global cerebral
ischaemia in rat - new insights into the development of delayed cerebral
hypoperfusion.
AB - AIM: Delayed cerebral hypoperfusion is a secondary complication found in the days
after transient global cerebral ischaemia that worsens the ischaemic damage
inflicted by the initial transient episode of global cerebral ischaemia. A recent
study demonstrated increased cerebral vasoconstriction in the large arteries on
the brain surface (pial arteries) after global cerebral ischaemia. However,
smaller arterioles inside the brain (parenchymal arterioles) are equally
important in the regulation of cerebral blood flow and yet their pathophysiology
after global cerebral ischaemia is largely unknown. Therefore, we investigated
whether increased contractility occurs in the intraparenchymal arterioles.
METHODS: Global cerebral ischaemia was induced in male Wistar rats by bilateral
common carotid occlusion for 15 min combined with hypovolaemia. Regional cerebral
blood flow was determined by quantitative autoradiography. Intraparenchymal
arterioles were isolated and pressurized, and concentration-response curves to
endothelin-1 with and without the endothelin B receptor-selective antagonist
BQ788 was generated. Endothelin B receptor expression was investigated by
quantitative flow cytometry and immunohistochemistry. RESULTS: We observed
increased endothelin-1-mediated contractility of parenchymal arterioles
correlating with reduced cerebral blood flow of the cortex, hippocampus and
caudate nucleus 48 h after global cerebral ischaemia. The increased endothelin-1
mediated contractility was abolished by BQ788, and the vascular smooth muscle
cell-specific expression of endothelin B receptors was significantly increased
after global cerebral ischaemia. CONCLUSION: Increased endothelin-1-mediated
contractility and expression of endothelin B receptors in the intraparenchymal
vasculature contributes to the development of delayed cerebral hypoperfusion
after global cerebral ischaemia in combination with vascular changes of the pial
vasculature.
PMID- 27864917
TI - Genetic variation in the miR-708 gene and its binding targets in bipolar
disorder.
AB - OBJECTIVE: rs12576775 was found to be associated with bipolar disorder (BD) in a
genome-wide association study (GWAS). The GWAS signal implicates genes for the
microRNAs miR-708 and miR-5579 and the first exon of the Odd Oz/ten-m homolog 4
gene (ODZ4). In the present study, miR-708, its surrounding region, and its
targets were analyzed for potential BD-associated functional variants. METHODS:
The miR-708 gene and surrounding regions were screened for variation using high
resolution melting (HRM) analysis in 1099 cases of BD, followed by genotyping of
rare variants in an enlarged sample of 2078 subjects with BD, 1303 subjects with
schizophrenia, and 1355 healthy controls. Whole-genome sequencing data from 99
subjects with BD were analyzed for variation in potential miR-708 binding sites.
The minor allele frequencies (MAFs) of these variants were compared with those
reported in reference individuals. RESULTS: Three variants detected by HRM were
selected to be genotyped. rs754333774 was detected in three cases of BD, two
cases of schizophrenia, and no controls. This variant is located 260 base pairs
upstream from miR-708 and may play a role in controlling the expression of the
miR. Four variants were identified in miR-708 targets binding sites. The MAFs of
each of these variants were similar in BD and reference samples. CONCLUSIONS: We
report a single recurrent variant located near the miR-708 gene that may have a
role in BD and schizophrenia susceptibility. These findings await replication in
independent cohorts, as do functional analyses of the potential consequences of
this variant.
PMID- 27864918
TI - How prior preferences determine decision-making frames and biases in the human
brain.
AB - Understanding how option values are compared when making a choice is a key
objective for decision neuroscience. In natural situations, agents may have a
priori on their preferences that create default policies and shape the neural
comparison process. We asked participants to make choices between items belonging
to different categories (e.g., jazz vs. rock music). Behavioral data confirmed
that the items taken from the preferred category were chosen more often and more
rapidly, which qualified them as default options. FMRI data showed that baseline
activity in classical brain valuation regions, such as the ventromedial
Prefrontal Cortex (vmPFC), reflected the strength of prior preferences. In
addition, evoked activity in the same regions scaled with the default option
value, irrespective of the eventual choice. We therefore suggest that in the
brain valuation system, choices are framed as comparisons between default and
alternative options, which might save some resource but induce a decision bias.
PMID- 27864919
TI - Using a novel assessment of procedural proficiency provides medical educators
insight into blood pressure measurement.
AB - Objective: This investigation was performed to determine how students in a health
sciences program utilize and explain techniques within blood pressure measurement
using a novel assessment, and changes associated with greater curricular
exposure. Methods: An exploratory, qualitative and quantitative study was
conducted using a 'Think Aloud' design with protocol analysis. Following
familiarization, participants performed the task of measuring blood pressure on a
reference subject while stating their thought processes. A trained practitioner
recorded each participant's procedural proficiency using a standardized rubric.
There were 112 participants in the study with varying levels of curricular
exposure to blood pressure measurement. Results: Four trends are noted.
Specifically, a trend was observed wherein a marked increase in procedural
proficiency with a plateau occurred (e.g. released cuff pressure 2-4 mmHg, 10%,
60%, 83%, 82%). Secondly, a trend was observed with improvement across groups
(e.g. cuff placed snugly/smoothly on upper arm, 20%, 60%, 81%, and 91%). Other
trends included a marked improvement with subsequent decrease, and an improvement
without achieving proficiency (e.g. palpation of the brachial pulse, 5%, 90%,
81%, 68%, appropriate size cuff, 17%, 40%, 33%, 41%, respectively).
Qualitatively, transcript interpretation resulted in a need for clarification in
the way blood pressure procedure is instructed in the curriculum. Conclusions:
The current investigation provides a snapshot of proficiency in blood pressure
assessment across a curriculum and highlights considerations for best
instructional practices, including the use of Think Aloud. Consequently, medical
educators should use qualitative and quantitative assessments concurrently to
determine achievement of blood pressure skill proficiency.
PMID- 27864920
TI - Design of small molecule inhibitors of type III secretion system ATPase EscN from
enteropathogenic Escherichia coli.
AB - Enteropathogenic E. coli (EPEC) is a human pathogen using type III secretion
system for delivery of proteins directly into the human host. The system contains
a single ATPase, EscN, which is essential for uncoupling of proteins from their
complexes with chaperones before the delivery. The structure of EscN ATPase (PDB
code: 2obm) was used to screen computationally for small molecule inhibitors
blocking its active site. Two lead candidates were examined but only one,
Compound 54, was selected for further optimization. After extended QSAR
optimization, two derivatives were found to be competitive inhibitors of EscN
capable of blocking ATPase activity with a Ki below 50 uM. One candidate, WEN05
03, with a Ki=16+/-2 uM, was also minimally toxic to mammalian cells as
determined by other assays. In the cell infection model of HeLa cells with EPEC,
Compound WEN05-03 completely blocked actin cluster formation at 100 uM
concentration, when analyzed by confocal microscopy. The second best inhibitor of
EscN ATPase activity was WEN04-34 with a Ki=46+/-2 uM. However, the compound was
highly toxic to the BALB/3T3 cell line. In summary, the work identifies a
compound blocking bacterial ATPase in its active site without causing cellular
toxicity to the host cells. It is the first report showing feasibility of using
bacterial virulence system ATPase as a target for safe, non-toxic compounds and
offering a proof-of-concept for non-antibiotic alternatives.
PMID- 27864922
TI - Estimates of local biodiversity change over time stand up to scrutiny.
AB - We present new data and analyses revealing fundamental flaws in a critique of two
recent meta-analyses of local-scale temporal biodiversity change. First, the
conclusion that short-term time series lead to biased estimates of long-term
change was based on two errors in the simulations used to support it. Second, the
conclusion of negative relationships between temporal biodiversity change and
study duration was entirely dependent on unrealistic model assumptions, the use
of a subset of data, and inclusion of one outlier data point in one study. Third,
the finding of a decline in local biodiversity, after eliminating post
disturbance studies, is not robust to alternative analyses on the original data
set, and is absent in a larger, updated data set. Finally, the undebatable point,
noted in both original papers, that studies in the ecological literature are
geographically biased, was used to cast doubt on the conclusion that, outside of
areas converted to croplands or asphalt, the distribution of biodiversity trends
is centered approximately on zero. Future studies may modify conclusions, but at
present, alternative conclusions based on the geographic-bias argument rely on
speculation. In sum, the critique raises points of uncertainty typical of all
ecological studies, but does not provide an evidence-based alternative
interpretation.
PMID- 27864923
TI - 3D bioengineered tissues: From advancements in in vitro safety to new horizons in
disease modeling.
AB - Research aimed at more fully emulating human biology in vitro has rapidly
progressed in recent years with advancements in 3D tissue engineering and
microphysiological systems. The initial target of such systems has been directed
towards drug and chemical safety assessment, with the goal of improving
sensitivity and predictive capabilities. Here we discuss recent developments of
in vitro organ culture systems, and their future applications in modeling human
disease.
PMID- 27864921
TI - What is the role of retroperitoneal exploration in optimally debulked stage IIIC
epithelial ovarian cancer? An NRG Oncology/Gynecologic Oncology Group ancillary
data study.
AB - BACKGROUND: The purpose of this study was to determine the effect of
retroperitoneal (RP) exploration on progression-free survival (PFS) and overall
survival (OS) in epithelial ovarian cancer (EOC) patients with stage IIIC disease
who underwent optimal debulking surgery. METHODS: Data were collected from
records of the Gynecologic Oncology Group 182 (GOG-182) study of stage IIIC EOC
patients cytoreduced to no gross residual disease (R0) or minimal gross residual
(<1 cm) disease (MGRD) at primary surgery. Patients with stage IIIC disease by
intraperitoneal (IP) tumor were included and divided into 3 groups: 1) > 2 cm IP
tumor without lymph node involvement (IP/RP-), 2) > 2 cm IP tumor with lymph node
involvement (IP/RP+), and 3) > 2 cm IP tumor with no RP exploration (IP/RP?). The
effects of disease distribution and RP exploration on PFS and OS were assessed
using Kaplan-Meier and proportional hazards methods. RESULTS: There were 1871
stage IIIC patients in GOG-182 who underwent optimal primary debulking surgery.
Of these, 689 (36.8%) underwent RP exploration with removal of lymph nodes from
at least 1 para-aortic site, and 1182 (63.2%) did not. There were 269 patients in
the IP/RP- group, 420 patients in the IP/RP + group, and 1182 patients in the
IP/RP? group. Improved PFS (18.5 vs 16.0 months; P < .0001) and OS (53.3 vs 42.8
months; P < .0001) were associated with RP exploration versus no exploration.
Patients with MGRD had improved PFS (16.8 vs 15.1 months, P = 0.0108) and OS
(44.9 vs 40.5 months, P = 0.0076) versus no exploration. CONCLUSIONS: RP
exploration at the time of primary surgery in patients with optimally debulked
stage IIIC EOC is associated with a survival benefit. Cancer 2017;123:985-93. (c)
2016 American Cancer Society.
PMID- 27864925
TI - Drug-induced pyoderma gangrenosum: a model to understand the pathogenesis of
pyoderma gangrenosum.
AB - Pyoderma gangrenosum (PG) is a rare autoinflammatory condition in which the
alteration of neutrophil function and the innate immune response play key roles
in its pathogenesis. Cases of PG have been reported in patients being treated
with certain medications, which may help us to understand some of the possible
pathways involved in the aetiology of PG. The aim of this review is to review the
cases of PG triggered by certain drugs and try to thoroughly understand the
pathogenesis of the disease. To accomplish this, a PubMed search was completed
using the following words: pyoderma gangrenosum, neutrophilic dermatosis,
pathophysiology, drug-induced pyoderma gangrenosum. In total, we found 43 cases
of drug-induced PG. Most of them were caused by colony-stimulating factors and
small-molecule tyrosine kinase inhibitors. We propose that drugs induce PG
through various mechanisms such as dysfunctional neutrophil migration and
function, dysregulated inflammatory response, promotion of keratinocyte apoptosis
and alteration of epigenetic mechanisms. PG is a rare condition with complex
pathophysiology and drug-induced cases are even more scarce; this is the main
limitation of this review. Understanding the possible mechanisms of drug-induced
PG, via abnormal neutrophil migration and function, abnormal inflammation,
keratinocyte apoptosis and alteration of epigenetic mechanisms would help to
better understand the pathogenesis of PG and ultimately to optimize targeted
therapy.
PMID- 27864926
TI - Synaptic distribution of individually labeled mitral cells in the external
plexiform layer of the mouse olfactory bulb.
AB - Mitral cells are the major projection neurons of the olfactory bulb. They receive
olfactory inputs, regulate information, and project their axons to the olfactory
cortex. To understand output regulation of mitral cells better, we established a
method to visualize individual projection neurons and quantitatively examined
their synaptic distribution. Individual mitral cells were labeled by viral
injection, reconstructed three dimensionally with light microscopy, and serial
sectioned for electron microscopy. Synaptic distributions were analyzed in
electron microscopically reconstructed cell bodies, two regions of secondary
dendrites (near the somata and ~200 MUm from the somata), and primary dendrites.
The ratio of presynaptic sites (60%) and reciprocal synapses (60% presynaptic and
80% postsynaptic sites) were similar in each region. Characteristically, primary
dendrite synapses were distributed mainly within the inner half of the external
plexiform layer (EPL). For comparison, tufted cells were also examined, and the
synaptic distribution in two secondary dendrite regions, which corresponded with
mitral cells, was analyzed. The results showed that the ratio of reciprocal
synapses (80% presynaptic and 90% postsynaptic sites) was greater than in mitral
cells. The distribution of symmetrical synapses was also analyzed with synaptic
and neuronal markers, such as parvalbumin, vesicular gamma-aminobutyric acid
transporter, and gephyrin. Parvalbumin-expressing neurons tended to form synapses
on secondary dendrites near the somata and were more uniformly distributed on
primary dendrites of mitral cells. These results indicate that local mitral cell
synaptic circuits are formed in accordance with their functional roles and
restricted to the inner half of the EPL. J. Comp. Neurol. 525:1633-1648, 2017.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27864927
TI - Indications for major hepatectomy and combined procedures for advanced
gallbladder cancer.
AB - BACKGROUND: The clinical impact of major hepatectomy for advanced gallbladder
cancer is currently unclear. METHODS: Patients who underwent resection for stage
II, III or IV gallbladder cancer were enrolled. The surgical outcomes of patients
who underwent major hepatectomy were compared with those of patients treated with
minor hepatectomy and those with unresectable gallbladder cancer. The clinical
impact of major hepatectomy and combined advanced procedures such as portal vein
resection or pancreatoduodenectomy for advanced gallbladder cancer were
evaluated. RESULTS: A total of 96 patients were enrolled; 29 patients underwent
major and 67 had minor hepatectomy. The overall morbidity rate was higher in the
major hepatectomy group (55 versus 27 per cent; P = 0.022). There were no deaths
after major hepatectomy. Overall survival was better in the major hepatectomy
group than in the group of 15 patients with unresectable disease (median survival
17.7 versus 11.4 months; P = 0.003). In a subgroup analysis of the major
hepatectomy group, liver metastasis (P = 0.038) and hepatic arterial invasion (P
= 0.017) were independently associated with overall survival. Overall survival in
patients with liver metastasis (P = 0.572) or hepatic arterial invasion (P =
0.776) was comparable with that in the unresectable group. However, overall
survival among patients with lymph node metastasis (P = 0.062) or following
portal vein resection (P = 0.054) or pancreatoduodenectomy (P = 0.011) was better
than in the unresectable group. CONCLUSION: Major hepatectomy combined with
portal vein resection or pancreatoduodenectomy, if necessary, may be considered
in the treatment of advanced gallbladder cancer, especially in selected patients
without liver metastasis or hepatic arterial invasion.
PMID- 27864928
TI - Classification of Porcine Cranial Fracture Patterns Using a Fracture Printing
Interface,.
AB - Distinguishing between accidental and abusive head trauma in children can be
difficult, as there is a lack of baseline data for pediatric cranial fracture
patterns. A porcine head model has recently been developed and utilized in a
series of studies to investigate the effects of impact energy level, surface
type, and constraint condition on cranial fracture patterns. In the current
study, an automated pattern recognition method, or a fracture printing interface
(FPI), was developed to classify cranial fracture patterns that were associated
with different impact scenarios documented in previous experiments. The FPI
accurately predicted the energy level when the impact surface type was rigid.
Additionally, the FPI was exceedingly successful in determining fractures caused
by skulls being dropped with a high-level energy (97% accuracy). The FPI,
currently developed on the porcine data, may in the future be transformed to the
task of cranial fracture pattern classification for human infant skulls.
PMID- 27864924
TI - Pentraxin 3 in patients with severe sepsis or shock: the ALBIOS trial.
AB - BACKGROUND: The long pentraxin PTX3 is a key component of the humoral arm of
innate immunity related to sepsis severity and mortality. We evaluated the
clinical and prognostic significance of circulating PTX3 in the largest cohort
ever reported of patients with severe sepsis or septic shock. MATERIALS AND
METHODS: Plasma PTX3 was measured on days 1, 2 and 7 after randomization of 958
patients to albumin or crystalloids for fluid resuscitation in the multicentre
Albumin Italian Outcome Sepsis (ALBIOS) trial. We tested the association of PTX3
and its changes over time with clinical severity, prevalent and incident organ
dysfunctions, 90-day mortality and treatment. RESULTS: PTX3 was high at baseline
(72 [33-186] ng/mL) and rose with the severity and number of organ dysfunctions
(P < 0.001) and the incidence of subsequent new failures. The PTX3 concentration
dropped from day 1 to 7, but this decrease was less pronounced in patients with
septic shock (P = 0.0004). Higher concentrations of PTX3 on day 1 predicted
incident organ dysfunctions. Albumin supplementation was associated with lower
levels of PTX3 in patients with septic shock (P = 0.005) but not in those without
shock. In a fully adjusted multivariable model, PTX3 on day 7 predicted 90-day
mortality. Smaller drops in PTX3 predicted higher 90-day mortality. CONCLUSIONS:
In severe sepsis and septic shock, early high PTX3 predict subsequent new organ
failures, while a smaller drop in circulating PTX3 over time predicts an
increased risk of death. Patients with septic shock show lower levels of PTX3
when assigned to albumin than to crystalloids.
PMID- 27864930
TI - Patients with atopic dermatitis with filaggrin loss-of-function mutations show
good but lower responses to immunosuppressive treatment.
PMID- 27864929
TI - Temporal lobe epilepsy and focal cortical dysplasia in children: A tip to find
the abnormality.
AB - OBJECTIVE: To demonstrate an association between magnetic resonance imaging (MRI)
findings and pathologic characteristics in children who had surgery for medically
refractory epilepsy due to focal cortical dysplasia (FCD). METHODS: We
retrospectively studied 110 children who had epilepsy surgery. Twenty-seven
patients with FCD were included. Thirteen had temporal lobe epilepsy (TLE) and 14
had extra-temporal lobe epilepsy (ETLE). Three patients had associated mesial
temporal sclerosis. Preoperative 3T MRIs interleaved with nine controls were
blindly re-reviewed and categorized according to signal alteration. Pathologic
specimens were classified according to the 2011 International League Against
Epilepsy (ILAE) classification and compared to MRI studies. RESULTS: Rates of
pathology subtypes differed between TLE and ETLE (chi2 (3) = 8.57, p = 0.04). FCD
type I was more frequent in TLE, whereas FCD type II was more frequent in ETLE.
In the TLE group, nine patients had temporal tip abnormalities. They all
exhibited gray-white matter blurring with decreased myelination and white matter
hyperintense signal. Blurring involved the whole temporal tip, not just the area
of dysplasia. These patients were less likely to demonstrate cortical thickening
compared to those without temporal tip findings (chi2 (1) = 9.55, p = 0.002).
Three of them had FCD Ib, three had FCD IIa, two had FCD IIIa, and one had FCD
IIb; MRI features could not entirely distinguish between FCD subtypes. TLE
patients showed more pronounced findings than ETLE on MRI (chi2 (1) = 11.95, p =
0.003, odds ratio [OR] 18.00). In all cases of FCD, isolated blurring was more
likely to be associated with FCD II, whereas blurring with decreased myelination
was seen with FCD I (chi2 (6) = 13.07, p = 0.042). SIGNIFICANCE: Our study
described associations between MRI characteristics and pathology in children with
FCD and offered a detailed analysis of temporal lobe tip abnormalities and FCD
subtypes in children with TLE. These findings may contribute to the presurgical
evaluation of patients with refractory epilepsy.
PMID- 27864931
TI - Spatial distribution of synapses on tyrosine hydroxylase-expressing
juxtaglomerular cells in the mouse olfactory glomerulus.
AB - Olfactory sensory axons converge in specific glomeruli where they form excitatory
synapses onto dendrites of mitral/tufted (M/T) and juxtaglomerular (JG) cells,
including periglomerular (PG), external tufted (ET), and superficial-short axon
cells. JG cells consist of heterogeneous subpopulations with different
neurochemical, physiological, and morphological properties. Among JG cells,
previous electron microscopic (EM) studies have shown that the majority of
synaptic inputs to tyrosine hydroxylase (TH)-immunoreactive neurons were
asymmetrical synapses from olfactory nerve (ON) terminals. However, recent
physiological results revealed that 70% of dopaminergic/gamma-aminobutyric acid
(GABA)ergic neurons received polysynaptic inputs via ET cells, whereas the
remaining 30% received monosynaptic ON inputs. To understand the discrepancies
between EM and physiological data, we used serial EM analysis combined with
confocal laser scanning microscope images to examine the spatial distribution of
synapses on dendrites using mice expressing enhanced green fluorescent protein
under the control of the TH promoter. The majority of synaptic inputs to TH
expressing JG cells were from ON terminals, and they preferentially targeted
distal dendrites from the soma. On the other hand, the numbers of non-ON inputs
were fewer and targeted proximal dendrites. Furthermore, individual TH-expressing
JG cells formed serial synapses, such as M/T->TH->another presumed M/T or ON->TH
>presumed M/T, but not reciprocal synapses. Serotonergic fibers also associated
with somatic regions of TH neurons, displaying non-ON profiles. Thus, fewer
proximal non-ON synapses provide more effective inputs than large numbers of
distal ON synapses and may occur on the physiologically characterized population
of dopaminergic-GABAergic neurons (70%) that receive their most effective inputs
indirectly via an ON->ET->TH circuit. J. Comp. Neurol. 525:1059-1074, 2017. (c)
2017 Wiley Periodicals, Inc.
PMID- 27864932
TI - HPV status and favourable outcome in vulvar squamous cancer.
AB - It is universally accepted that high-risk human papillomavirus (HR-HPV) is the
cause of cervical dysplasia and cancer. More recently, it has been shown that HPV
is also a marker of clinical outcome in oropharyngeal cancer. However,
contemporary information is lacking on both the prevalence of HPV infection in
vulvar cancer (VSCC), its precursor lesion, vulvar intraepithelial neoplasia
(VIN) and the influence of HPV-status on the prognosis of this malignancy. We
have conducted a detailed population-based study to examine rates of progression
of VIN to VSCC, type-specific HPV prevalence in vulvar disease and the influence
of HPV status on clinical outcome in VSCC. We observed that the age at which
women are diagnosed with VSCC is falling and there is a significant time gap
between first diagnosis of VIN and progression to invasive disease. HR-HPV
infection was detected in 87% (97/112) cases of VIN and 52% cases (32/62) of
VSCC. The presence of HR-HPV in squamous intraepithelial lesion was associated
with lower rates of progression to invasive cancer (hazard ratio, 0.22, p =
0.001). In the adjusted analysis, HR-HPV was associated with improved progression
free survival of VSCC compared to those with HPV negative tumours (hazard ratio,
0.32, p = 0.02).
PMID- 27864933
TI - Woody plant richness does not influence invertebrate community reassembly
trajectories in a tree diversity experiment.
AB - Understanding the relationship between plant diversity and diversity at higher
trophic levels is important from both conservation and restoration perspectives.
Although there is strong evidence for bottom-up maintenance of biodiversity, this
is based largely on studies of simplified grassland systems. Recently, studies in
the TreeDivNet global network of tree diversity experiments have begun to test
whether these findings are generalizable to more complex ecosystems, such as
woodlands. We monitored invertebrate community reassembly over 5 yr of
experimental woodland restoration at the TreeDivNet Ridgefield site in southwest
Australia, testing the effects of woody plant species richness and herb-layer
manipulation on invertebrate community structure and ant species composition.
From 2010 to 2014, we sampled ground-dwelling invertebrates using pitfall traps
in herbicide vs. no-herbicide subplots nested within each of 10 woody plant
treatments varying in richness from zero (bare controls) to eight species, which
produced a total of 211, 235 invertebrates, including 98, 979 ants belonging to
74 species. In mixed model analyses, the presence of woody plants was an
important driver of faunal community reassembly (relative to bare control plots),
but faunal responses to woody plant treatment combinations were idiosyncratic and
unrelated to woody plant richness across treatments. We also found that a
herbicide-induced reduction in herbaceous plant cover and richness had a positive
effect on ant richness and caused more rapid convergence of invertebrate
community composition toward the composition of a woodland reference site. These
findings show that woody plant richness did not have direct positive effects on
the diversity and community reassembly trajectories of higher trophic levels in
our woodland system. From a management perspective, this suggests that even low
diversity restoration or carbon sequestration plantings can potentially lead to
faunal reassembly outcomes that are comparable to more complex re-planting
designs.
PMID- 27864934
TI - Recovery of Trace DNA on Clothing: A Comparison of Mini-tape Lifting and Three
Other Forensic Evidence Collection Techniques.
AB - Trace DNA is often found in forensic science investigations. Experience has shown
that it is difficult to retrieve a DNA profile when trace DNA is collected from
clothing. The aim of this study was to compare four different DNA collection
techniques on six different types of clothing in order to determine the best
trace DNA recovery method. The classical stain recovery technique using a wet
cotton swab was tested against dry swabbing, scraping and a new method, referred
to as the mini-tape lifting technique. Physical contact was simulated with three
different "perpetrators" on 18 machine-washed garments. DNA was collected with
the four different DNA recovery methods and subjected to standard PCR-based DNA
profiling. The comparison of STR results showed best results for the mini-tape
lifting and scraping methods independent of the type of clothing. The new mini
tape lifting technique proved to be an easy and reliable DNA collection method
for textiles.
PMID- 27864935
TI - Adenoid Cystic Carcinoma of the Trachea Resulting in Fatal Asphyxia.
AB - Primary malignant tumors of the trachea are uncommon. The authors report a case
of a 72-year-old female who died from asphyxia due to an undiagnosed obstructing
adenoid cystic carcinoma of the trachea. The decedent became unresponsive while
eating cereal and was pronounced upon arrival at the local hospital. The autopsy
revealed a near occlusive tumor mass just superior to the bifurcation of the
distal trachea. There was no evidence of aspiration. The death was the result of
asphyxia due to obstruction of the trachea by an adenoid cystic carcinoma. This
case demonstrates that an obstructive tumor mass may remain undiagnosed until an
obstructive episode results in a sudden death.
PMID- 27864937
TI - Pax3 overexpression induces cell aggregation and perturbs commissural axon
projection during embryonic spinal cord development.
AB - Pax3 is a transcription factor that belongs to the paired box family. In the
developing spinal cord it is expressed in the dorsal commissural neurons, which
project ascending axons contralaterally to form proper spinal cord-brain
circuitry. While it has been shown that Pax3 induces cell aggregation in vitro,
little is known about the role of Pax3 in cell aggregation and spinal circuit
formation in vivo. We have reported that Pax3 is involved in neuron
differentiation and that its overexpression induces ectopic cadherin-7
expression. In this study we report that Pax3 overexpression also induces cell
aggregation in vivo. Tissue sections and open book preparations revealed that
Pax3 overexpression prevents commissural axons from projecting to the
contralateral side of the spinal cord. Cells overexpressing Pax3 aggregated in
cell clusters that contained shortened neurites with perturbed axon growth and
elongation. Pax3-specific shRNA partially rescued the morphological change
induced by Pax3 overexpression in vivo. Our results indicate that the normal
expression of Pax3 is necessary for proper axonal pathway finding and commissural
axon projection. In conclusion, Pax3 regulates neural circuit formation during
embryonic development. J. Comp. Neurol. 525:1618-1632, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27864936
TI - Effect of sphingosine kinase modulators on interleukin-1beta release, sphingosine
1-phosphate receptor 1 expression and experimental autoimmune encephalomyelitis.
AB - BACKGROUND AND PURPOSE: The sphingosine analogue, FTY720 (GilenyaR ), alleviates
clinical disease progression in multiple sclerosis. Here, we variously assessed
the effects of an azide analogue of (S)-FTY720 vinylphosphonate (compound 5; a
sphingosine kinase 1 activator), (R)-FTY720 methyl ether (ROMe, a sphingosine
kinase 2 inhibitor) and RB-020 (a sphingosine kinase 1 inhibitor and sphingosine
kinase 2 substrate) on IL-1beta formation, sphingosine 1-phosphate levels and
expression of S1P1 receptors. We also assessed the effect of compound 5 and ROMe
in an experimental autoimmune encephalomyelitis (EAE) model in mice. EXPERIMENTAL
APPROACH: We measured IL-1beta formation by macrophages, sphingosine 1-phosphate
levels and expression levels of S1P1 receptors in vitro and clinical score in
mice with EAE and the extent of inflammatory cell infiltration into the spinal
cord in vivo. KEY RESULTS: Treatment of differentiated U937 macrophages with
compound 5, RB-020 or sphingosine (but not ROMe) enhanced IL-1beta release. These
data suggest that these compounds might be pro-inflammatory in vitro. However,
compound 5 or ROMe reduced disease progression and infiltration of inflammatory
cells into the spinal cord in EAE, and ROMe induced a reduction in CD4+ and CD8+
T-cell levels in the blood (lymphopenia). Indeed, ROMe induced a marked decrease
in expression of cell surface S1P1 receptors in vitro. CONCLUSION AND
IMPLICATIONS: This is the first demonstration that an activator of sphingosine
kinase 1 (compound 5) and an inhibitor of sphingosine kinase 2 (ROMe, which also
reduces cell surface S1P1 receptor expression) have an anti-inflammatory action
in EAE.
PMID- 27864938
TI - Harms of cervical cancer screening in the United States and the Netherlands.
AB - We studied harms related to cervical cancer screening and management of screen
positive women in the United States (US) and the Netherlands. We utilized data
from four US integrated health care systems (SEARCH), the US National Health
Interview Survey, New Mexico state, the Netherlands national histopathology
registry, and included studies on adverse health effects of cervical screening.
We compared the number of Papanicolaou (Pap) smear tests, abnormal test results,
punch biopsies, treatments, health problems (anxiety, pain, bleeding and
discharge) and preterm births associated with excisional treatments. Results were
age-standardized to the 2007 US population. Based on SEARCH, an estimated 36
million Pap tests were performed in 2007 for 91 million US women aged 21-65
years, leading to 2.3 million abnormal Pap tests, 1.5 million punch biopsies, 0.3
million treatments for precancerous lesions, 5 thousand preterm births and over 8
million health problems. Under the Netherlands screening practice, fewer Pap
tests (58%), abnormal test results (64%), punch biopsies (75%), treatment
procedures (40%), preterm births (60%) and health problems (63%) would have
occurred. The SEARCH data did not differ much from other US data for 2007 or from
more recent data up to 2013. Thus compared to the less intensive screening
practice in the Netherlands, US practice of cervical cancer screening may have
resulted in two- to threefold higher harms, while the effects on cervical cancer
incidence and mortality are similar. The results are also of high relevance in
making recommendations for HPV screening. Systematic collection of harms data is
needed for monitoring and for better incorporation of harms in making screening
recommendations.
PMID- 27864939
TI - GABAergic innervation of the ciliary ganglion in macaque monkeys - A light and
electron microscopic study.
AB - The vertebrate ciliary ganglion (CG) is a relay station in the parasympathetic
pathway activating the iris sphincter and ciliary muscle to mediate pupillary
constriction and lens accommodation, respectively. While the postganglionic
motoneurons in the CG are cholinergic, as are their inputs, there is evidence
from avian studies that GABA may also be involved. Here, we used light and
electron microscopic methods to examine the GABAergic innervation of the CG in
Macaca fascicularis monkeys. Immunohistochemistry for the gamma aminobutyric acid
synthesizing enzyme glutamic acid decarboxylase (GAD) and choline
acetyltransferase (ChAT) revealed that all CG neurons are contacted by ChAT
positive terminals. A subpopulation of 17.5% of CG neurons was associated with
terminal boutons expressing GAD-immunoreactivity in addition. Double-labeling for
GAD and synaptophysin confirmed that these were synaptic terminals. Electron
microscopic analysis in conjunction with GABA-immunogold staining showed that (1)
GAD-positive terminals mainly target dendrites and spines in the perisomatic
neuropil of CG neurons; (2) GABA is restricted to a specific terminal type, which
displays intermediate features lying between classically excitatory and
inhibitory endings; and (3) if a CG neuron is contacted by GABA-positive
terminals, virtually all perisomatic terminals supplying it show GABA
immunoreactivity. The source of this GABAergic input and whether GABA contributes
to a specific CG function remains to be investigated. Nevertheless, our data
indicate that the innervation of the ciliary ganglion is more complex than
previously thought, and that GABA may play a neuromodulatory role in the control
of lens or pupil function. J. Comp. Neurol. 525:1517-1531, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27864941
TI - Male, old age and low income to predispose epilepsy in migraineurs.
AB - BACKGROUND: This study investigated whether sex, age, income and any comorbidity
affect subsequent epilepsy development in migraineurs. MATERIALS AND METHODS: A
total of 4915 men diagnosed with migraine who were aged older than 20 years were
identified as the study cohort. A total of 4882 female migraineurs were included
in the comparison cohort. We calculated the adjusted hazard ratio (aHR) for the
risk of epilepsy in the two cohorts after adjustment for age and comorbidity.
Kaplan-Meier analysis was used to analyse the cumulative epilepsy incidence, and
the log-rank test was used to estimate the differences between the two cumulative
incidence curves. RESULTS: The risk of epilepsy was 2.31-fold higher in male
migraineurs than in female migraineurs. The income-specific analysis showed that
the risk of epilepsy was high in migraineurs with a low monthly income [aHR: 2.73
for 15 000-25 000 new Taiwan dollar (NTD; approximately 500-833 USD) and aHR:
2.71 for < 15 000 NTD]. Among patients with one or more comorbidity, a 2.48-fold
(95% confidence interval: 1.65-3.74) high risk of epilepsy was noted in male
migraineurs, regardless of the presence of head injury. Additional analyses
revealed that male migraineurs aged 65 years or older had the highest risk of
epilepsy. CONCLUSION: Migraineurs have an increased risk of subsequent epilepsy.
Male sex, old age and low income may interact with migraine and result in a high
risk of epilepsy in migraineurs.
PMID- 27864942
TI - A review of capture and post-release mortality of elasmobranchs.
AB - There is a need to better understand the survivorship of discarded fishes, both
for commercial stocks and species of conservation concern. Within European
waters, the landing obligations that are currently being phased in as part of the
European Union's reformed common fisheries policy means that an increasing number
of fish stocks, with certain exceptions, should not be discarded unless it can be
demonstrated that there is a high probability of survival. This study reviews the
various approaches that have been used to examine the discard survival of
elasmobranchs, both in terms of at-vessel mortality (AVM) and post-release
mortality (PRM), with relevant findings summarized for both the main types of
fishing gear used and by taxonomic group. Discard survival varies with a range of
biological attributes (species, size, sex and mode of gill ventilation) as well
as the range of factors associated with capture (e.g. gear type, soak time, catch
mass and composition, handling practices and the degree of exposure to air and
any associated change in ambient temperature). In general, demersal species with
buccal-pump ventilation have a higher survival than obligate ram ventilators.
Several studies have indicated that females may have a higher survival than
males. Certain taxa (including hammerhead sharks Sphyrna spp. and thresher sharks
Alopias spp.) may be particularly prone to higher rates of mortality when caught.
PMID- 27864943
TI - "Hummingbird" floral traits interact synergistically to discourage visitation by
bumble bee foragers.
AB - Pollination syndromes are suites of floral traits presumed to reflect adaptations
to attract and utilize a "primary" type of animal pollinator. However, syndrome
traits may also function to deter "secondary" flower visitors that reduce plant
fitness through their foraging activities. Here we use the hummingbird-pollinated
plant species Mimulus cardinalis as a model to investigate the potential
deterrent effects of classic bird syndrome traits on bumble bee foragers. To
establish that M. cardinalis flowers elicit an avoidance response in bees, we
assessed the choice behavior of individual foragers on a mixed experimental array
of M. cardinalis and its bee-pollinated sister species M. lewisii. As expected,
bees showed a strong preference against M. cardinalis flowers (only 22% of total
bee visits were to M. cardinalis), but surprisingly also showed a high degree of
individual specialization (95.2% of total plant transitions were between
conspecifics). To determine M. cardinalis floral traits that discourage bee
visitation, we then assessed foraging responses of individuals to M. cardinalis
like and M. lewisii-like floral models differing in color, orientation, reward,
and combinations thereof. Across experiments, M. cardinalis-like trait
combinations consistently produced a higher degree of flower avoidance behavior
and individual specialization than expected based on bee responses to each trait
in isolation. We then conducted a series of flower discrimination experiments to
assess the ability of bees to utilize traits and trait combinations associated
with each species. Relative to M. lewisii-like alternatives, M. cardinalis-like
traits alone had a minimal effect on bee foraging proficiency but together
increased the time bees spent searching for rewarding flowers from 1.49 to 2.65 s
per visit. Collectively, our results show that M. cardinalis flowers impose
foraging costs on bumble bees sufficient to discourage visitation and remarkably,
generate such costs through synergistic color-orientation and color-reward trait
interactions. Floral syndromes therefore represent complex adaptations to
multiple pollinator groups, rather than simply the primary pollinator.
PMID- 27864940
TI - Endogenous lysophosphatidic acid (LPA1 ) receptor agonists demonstrate ligand
bias between calcium and ERK signalling pathways in human lung fibroblasts.
AB - BACKGROUND AND PURPOSE: Human lung fibroblasts (HLF) express high levels of the
LPA1 receptor, a GPCR that responds to the endogenous lipid mediator,
lysophosphatidic acid (LPA). Several molecular species or analogues of LPA exist
and have been detected in biological fluids such as serum and plasma. The most
widely expressed of the LPA receptor family is the LPA1 receptor, which
predominantly couples to Gq/11 , Gi/o and G12/13 proteins. This promiscuity of
coupling raises the possibility that some of the LPA analogues may bias the LPA1
receptor towards one signalling pathway over another. EXPERIMENTAL APPROACH:
Here, we have explored the signalling profiles of a range of LPA analogues in HLF
that endogenously express the LPA1 receptor. HLF were treated with LPA analogues
and receptor activation monitored via calcium mobilization and ERK
phosphorylation. KEY RESULTS: These analyses demonstrated that the 16:0, 17:0,
18:2 and C18:1 LPA analogues appear to exhibit ligand bias between ERK
phosphorylation and calcium mobilization when compared with 18:1 LPA, one of the
most abundant forms of LPA that has been found in human plasma. CONCLUSION AND
IMPLICATIONS: The importance of LPA as a key signalling molecule is shown by its
widespread occurrence in biological fluids and its association with disease
conditions such as fibrosis and cancer. These findings have important, as yet
unexplored, implications for the (patho-) physiological signalling of the LPA1
receptor, as it may be influenced not only by the concentration of endogenous
ligand but the isoform as well.
PMID- 27864944
TI - Spatial vegetation patterns and neighborhood competition among woody plants in an
East African savanna.
AB - The majority of research on savanna vegetation dynamics has focused on the
coexistence of woody and herbaceous vegetation. Interactions among woody plants
in savannas are relatively poorly understood. We present data from a 10-yr
longitudinal study of spatially explicit growth patterns of woody vegetation in
an East African savanna following exclusion of large herbivores and in the
absence of fire. We examined plant spatial patterns and quantified the degree of
competition among woody individuals. Woody plants in this semiarid savanna
exhibit strongly clumped spatial distributions at scales of 1-5 m. However,
analysis of woody plant growth rates relative to their conspecific and
heterospecific neighbors revealed evidence for strong competitive interactions at
neighborhood scales of up to 5 m for most woody plant species. Thus, woody plants
were aggregated in clumps despite significantly decreased growth rates in close
proximity to neighbors, indicating that the spatial distribution of woody plants
in this region depends on dispersal and establishment processes rather than on
competitive, density-dependent mortality. However, our documentation of
suppressive effects of woody plants on neighbors also suggests a potentially
important role for tree-tree competition in controlling vegetation structure and
indicates that the balanced-competition hypothesis may contribute to well-known
patterns in maximum tree cover across rainfall gradients in Africa.
PMID- 27864946
TI - Development of a Mobile Toolmark Characterization/Comparison System.
AB - Since the development of the striagraph, various attempts have been made to
enhance forensic investigation through the use of measuring and imaging
equipment. This study describes the development of a prototype system employing
an easy-to-use software interface designed to provide forensic examiners with the
ability to measure topography of a toolmarked surface and then conduct various
comparisons using a statistical algorithm. Acquisition of the data is carried out
using a portable 3D optical profilometer, and comparison of the resulting data
files is made using software named "MANTIS" (Mark and Tool Inspection Suite). The
system has been tested on laboratory-produced markings that include fully
striated marks (e.g., screwdriver markings), quasistriated markings produced by
shear-cut pliers, impression marks left by chisels, rifling marks on bullets, and
cut marks produced by knives. Using the system, an examiner has the potential to
(i) visually compare two toolmarked surfaces in a manner similar to a comparison
microscope and (ii) use the quantitative information embedded within the acquired
data to obtain an objective statistical comparison of the data files. This study
shows that, based on the results from laboratory samples, the system has great
potential for aiding examiners in conducting comparisons of toolmarks.
PMID- 27864945
TI - Risk of nasopharyngeal carcinoma penetrates across immigrant generations: A
migrant cohort study of 2.3 million Jewish Israeli adolescents.
AB - Nasopharyngeal cancer (NPC) incidence varies widely across geographic regions and
ethnic groups. We conducted a large-scale migrant cohort study to assess origin
and migrant generation as predictors of NPC, controlling for possible
confounders. Data on 2.3 million Jewish Israeli adolescents, who underwent a
compulsory general health examination at ages 16-19 between the years 1967 and
2011 were linked to the Israel National Cancer Registry to obtain incident NPC up
to 2012. Cox proportional hazards were used to model time to event. During 46.5
million person-years of follow-up, 276 incident cases were identified. Origin was
a strong independent predictor of NPC with high rates for first generation North
African born (adjusted HR 5.52; 95% CI 2.43-12.52; p < 0.000044) and Asian born
(adjusted HR 3.79; 95% CI 1.43-10.00; p = 0.007) compared to European-born,
adjusted for sex, year of birth, residential socio-economic position, years of
education, rural residence, body mass index and height. The magnitude of the
associations was similar in the Israeli-born of North African and Asian origin,
with these second and third generation immigrants showing elevated HRs (adjusted
HR 6.09; 95% CI 2.81-13.20; p = 4.72.10-6 and 3.86; 95% CI 1.77-8.41; p =
0.00067, respectively). These findings suggest a strong genetic predisposition
and/or efficient cultural transmission of environmental exposures in the etiology
of NPC.
PMID- 27864947
TI - Determining the Toxicological Significance of Pregabalin in Fatalities.
AB - Pregabalin has become more widely prescribed and abused in recent years but is
still not always included in laboratory analysis. An LC-MS-MS method has been
developed and applied to measure pregabalin in 93 postmortem cases, including
drug-related deaths, alternative causes of death, and fatalities where pregabalin
was likely to have contributed to death. Other drugs or alcohol was detected, and
the most common drug types (in decreasing frequency) were antidepressants,
opioids, benzodiazepines, opiates, alcohol, antipsychotics, cocaine, cardiac
drugs, amphetamines, cannabis, anticonvulsants, and antihistamines. New
psychoactive substances (methoxphenidine and synthetic cannabinoids) were only
found in two cases. The results provide further data to assist in evaluating the
significance of postmortem pregabalin concentrations and a toxicologically
significant concentration of 25 mg/L is proposed. Pregabalin, especially with
concomitant use of other CNS depressant drugs, presents a significant
toxicological risk and existing laboratory protocols should be reviewed for their
suitability to detect pregabalin.
PMID- 27864948
TI - Using Frons Width to Differentiate Blow Fly Species (Diptera: Calliphoridae)
Phormia regina (Meigen) and Protophormia terraenovae (Robineau-Desvoidy).
AB - Protophormia terraenovae (Robineau-Desvoidy) (Diptera: Calliphoridae) and Phormia
regina (Meigen) (Diptera: Calliphoridae) are morphologically similar blow fly
species commonly used for estimating postmortem intervals. Field collection and
storage of adults can result in color changes, in particular on calypters and
palps; often collected specimens show damage such as wing fray or fungal growth.
We measured the frons width: total head width ratio using photographs (ImageJ
version 1.49) to differentiate these two species. Both sexes were distinguishable
to species, with the greatest difference between males: 12.34% P. terraenovae
versus 1.62% P. regina, less so for females: 40.25% P. terraenovae, versus 33.65%
P. regina. Incorporating this feature into future blow fly keys would help with
distinguishing field-caught specimens when other features are obstructed.
PMID- 27864949
TI - Multimethod Resolution of a Small-Scale Case of Commingling.
AB - This study highlights the use of multiple methods for resolving a case of
commingled human remains. Skeletal remains were located in a marijuana field in
rural northern California by law enforcement. Although initially buried in
shallow graves, the remains of two decedents were disturbed by large carnivores
and scattered over a wide area. The remains were submitted by law enforcement for
forensic anthropological analysis and resolution of commingling. To segregate the
remains of the two individuals, a number of methods were employed, including: (i)
physical matching of fragmented remains; (ii) articulation to evaluate joint
congruence; (iii) visual pair-matching of bilateral elements; (iv) osteometric
pair-matching; (v) evaluation of taphonomic patterns; (vi) DNA analysis; and
(vii) portable X-ray spectrometry (pXRF). This multimethod approach resulted in
accurate resolution of the commingling and facilitated reconstruction of the
biological profiles, taphonomic patterns, and trauma analysis for each
individual.
PMID- 27864950
TI - The Impact of Freezing on Bone Mineral Density: Implications for Forensic
Research.
AB - It is common for researchers using animal or human remains for scientific study
to freeze samples prior to use. However, effects of freezing on bone macro- or
microstructure are relatively unknown. The research objective of this study was
to determine whether freezing could potentially bias experimental results by
analyzing changes in bone mineral density (BMD) with the freezing of remains over
time. Eight fetal pigs were scanned to determine their initial BMD before
freezing. Three piglets underwent a freeze-thaw cycle to assess the effects of
the freezing process. Four piglets were frozen and scanned weekly for 20 weeks to
assess freezing over time. The overall average between the fresh initial scan and
final frozen scan was significantly different (p < 0.001). Per contra, the final
thawed BMD scans did not differ from the initial fresh scan (p = 0.418). Thus,
completely thawed remains are recommended for experimental studies.
PMID- 27864951
TI - Evaluation of the Role of Toxicological Data in Discriminating Between H2 S
Femoral Blood Concentration Secondary to Lethal poisoning and Endogenous H2 S
Putrefactive Production.
AB - Hydrogen sulfide is a colorless gas and has a strong odor of rotten eggs. It is
absorbed by the upper respiratory tract mucosa, and it causes histotoxic
hypoxemia and respiratory depression by exerting an inhibitory effect on
cytochrome oxidase. To evaluate the role of toxicological data in distinguishing
between the H2 S blood concentration secondary to lethal poisoning and the
endogenous H2 S produced during putrefaction, we compared the postmortem H2 S
concentrations of six fatal H2 S poisoning cases (8.7-28.6 mg/L) with the
postmortem concentrations of endogenous H2 S of 12 subjects who died from other
causes (traffic-related deaths) (2.2-32.7 mg/L). These results will be of
interest to the forensic community as it underlines the importance of considering
circumstantial evidence along with the toxicological and pathological findings in
the identification of H2 S lethal poisoning.
PMID- 27864952
TI - Sudden Infant and Early Childhood Death and Sinus of Valsalva Pseudoaneurysms.
AB - Two cases of occult pseudoaneurysms of the sinus of Valsalva (SoV) are reported
to demonstrate different etiologies and lethal mechanisms. A previously well 9
month-old boy who suffered an unexpected fatal cardiorespiratory arrest was found
at autopsy to have a ruptured pseudoaneurysm of the left SoV resulting in lethal
hemopericardium. A 13.5-month-old boy died soon after presenting with a 24-h
history of poor feeding and reduced urine output. At autopsy, destruction of the
noncoronary cusp of the aortic valve by bacterial endocarditis was found with
extension of the inflammation into a pseudoaneurysm of the SoV with surrounding
abscess formation involving the atrioventricular node. Death was most likely due
to an arrhythmia. Pseudoaneurysms of the SoV are exceedingly rare but may result
in sudden/unexpected death in infancy and early childhood from rupture or
involvement of the conduction system or myocardium. Detailed cardiac examination
is essential in all unexpected deaths in early life.
PMID- 27864953
TI - Deaths in Unlicensed Alcohol Rehabilitation Facilities.
AB - Non-English-speaking people do not always seek medical care through established
institutions. This paper reports a series of deaths in unlicensed alcohol
rehabilitation facilities serving Spanish-speaking men. These facilities are
informal groups of alcohol abusing men who live together. New members receive
various treatments, including administration of ethanol or isopropanol,
restraint, and seclusion. We reviewed 42 deaths in unlicensed alcohol
rehabilitation facilities in Los Angeles County during the years 2003-2014. Data
gathered included age, length of time spent in the facility, blood alcohol and
drugs at autopsy, and cause and manner of death. Causes of death included acute
alcohol poisoning, alcohol withdrawal, and a variety of other causes. Three cases
were considered homicides from restraint asphyxia. The Department of Medical
Examiner-Coroner has worked with the police, district attorney, and State
Department of Health Services to try to prevent additional deaths in unlicensed
alcohol rehabilitation facilities. Nevertheless, prevention has been difficult.
PMID- 27864954
TI - Prevalence of comorbidity and associated risk factors in adults with atopic
dermatitis.
AB - BACKGROUND: Atopic dermatitis (AD) is a common chronic skin disorder, which may
persist into adulthood; however, the prevalence of comorbidities in patients with
AD is not well characterized. AD is considered a systemic disorder like
psoriasis, which has raised a need for data on the comorbidity profile of
patients with AD, to assess the potential risks, benefits, and complications in
management of patients with AD. We described the occurrence of medical and
psychiatric comorbidities and associated risk factors in adults with AD compared
with psoriasis and the general population. METHODS: All Danish individuals aged
>=18 years with a hospital (inpatient or ambulatory) diagnosis of AD or psoriasis
during the study period (January 1, 1995-December 31, 2012) were linked in
administrative registers. RESULTS: Overall, prevalence of smoking and alcohol
abuse was higher among patients with AD than the general population, but lower
than psoriasis patients. Similarly, patients with AD had more risk factors and
higher prevalence of comorbidity than the general population, but lower
prevalence and reduced risk compared to psoriasis patients, except for use of
anxiolytics, which was higher in severe AD. Prevalence of diabetes was lower in
AD than psoriasis patients as well as general population controls. CONCLUSIONS:
Despite an increased risk of various medical and psychiatric comorbidities
compared to general population controls, adult patients with AD had markedly
lower prevalence of cardiovascular disease than psoriasis patients. However,
prevalence of psychiatric comorbidity and tobacco smoking was alarmingly high in
severe patients with AD, which might be target for intervention in patient
management.
PMID- 27864957
TI - Applications of the fast-slow continuum and reproductive strategy framework of
plant life histories.
AB - Contents 1618 I. 1618 II. 1619 III. 1620 IV. 1623 1623 References 1624 SUMMARY:
Understanding the forces that shape the great amount of variation in plant
longevity, reproductive output and growth rate is fundamental to effective
predictions of viability, invasions and evolutionary pressures. Here, I extend
the recently introduced 'fast-slow continuum and reproductive strategy' framework
to quantify the variation in plant life history strategies world-wide. I use high
resolution demographic information from 625 plant species and show that this
framework predicts not only key demographic properties, such as population growth
rate and demographic resilience, but also has important connections to the leaf
economics spectrum, biogeographical characteristics, evolutionary biology and
conservation biology. This framework may allow plant biologists to unlock
powerful global plant predictions from a handful of open-access field
measurements.
PMID- 27864955
TI - Not only age but also tactile perception influences the preference for cosmetic
creams applied to the forearm.
AB - OBJECTIVE: We aimed to examine whether and how age as well as tactile sensitivity
and perception had an impact on how women liked richer and lighter creams.
Furthermore, the question arose if age and tactile perception had an influence on
the ability to distinguish between the creams and how the ability to distinguish
between creams influenced the liking of these creams. METHODS: A total of 299
female participants were invited to rate how much they liked four different
cosmetic creams applied to their forearms. The creams were based on the same base
formula but differed with respect to the texture. In order to arouse the
impression of more lightness (quasi-light) or more richness (quasi-rich),
polyethylene particles of different sizes were added to the base formula. First
of all, the participants were tested for their tactile sensitivity and
perception. Tactile sensitivity was tested by Von Frey filaments, tactile spatial
perception by the tactile Landolt ring test and the ability to discriminate
surface structures by a sandpaper test. Furthermore, the participants rated the
creams with respect to the acceptance, the subjective skin feeling after
application and performed paired-comparison tests. Analyses of variance and
regression analyses were applied to the data. RESULTS: In general, participants
liked quasi-rich creams less than quasi-light creams. However, older women
compared to younger women and women with lower tactile performance in comparison
with women with higher tactile performance revealed a weaker influence of cream
type-specific acceptance ratings. Further results revealed that young
participants perceived the quasi-light creams (with particles of ~50 MUm
diameter) as soft and quasi-rich creams (with particles of ~100 MUm and ~165 MUm
diameter), as coarse. In contrast, this subjective skin feeling after application
in participants at age 50 and older did not differ much. CONCLUSION: Age and
tactile perceptual abilities have additive effects on the acceptance of creams
with different textures when applied to the forearm.
PMID- 27864956
TI - An Examination of the Transition of Fracture Characteristics in Long Bones from
Fresh to Dry in Central Florida: Evaluating the Timing of Injury.
AB - It is important to conduct timing of injury research analyzing fracture
characteristics at known postmortem intervals (PMI) because bone can retain fresh
characteristics throughout the PMI. Defleshed pig (Sus scrofa) long bones were
fractured weekly in two environments (full sun and shade) over 14 weeks in
Central Florida and fracture characteristics were categorized (N = 136) for
analysis. Results of analysis of variance (ANOVA) using time in weeks (PMI) as a
dependent variable indicate significant relationships between PMI and Fracture
Angle (p < 0.001), Fracture Surface (p < 0.001), and Fracture Outline (p <
0.001). Fracture characteristics associated with perimortem trauma (smooth
Fracture Surfaces and curved or V-shaped Fracture Outlines) were commonly
observed. Analysis of fracture characteristics for each environment demonstrated
similar patterns. Overall, the loss of only fresh fracture characteristics for
each bone was noted earlier in the PMI for the Central Florida region than
previously reported.
PMID- 27864958
TI - Patient Profiles of Criminal Behavior in the Context of Traumatic Brain Injury.
AB - Traumatic brain injury (TBI) can lead to significant post-traumatic disturbances
in mood and behavior, with the frontal lobes playing a key role in emotional and
behavioral regulation. Injury to the frontal lobe can result in disinhibition and
aggression which can result in police intervention and/or incarceration. We
highlight four adult cases with a history of severe TBI with frontal lobe
injuries and the presence of post-TBI criminal behaviors. There is evidence to
support an anatomical basis for aggressive behaviors, yet there are other risk
factors to be considered. Behaviors must be investigated thoroughly by obtaining
adequate pre- and post-TBI psychiatric and psychosocial histories. By having a
comprehensive understanding of aggression while appreciating the complex
relationship between TBI, aggression, and premorbid risk factors, clinicians can
more adequately treat patients with TBI, with the aim of potentially preventing
criminal behaviors and recidivism.
PMID- 27864959
TI - Measuring the Frequency Occurrence of Handwriting and Handprinting
Characteristics.
AB - The premise of this study was to take a valid population sampling of handwriting
and handprinting and assess how many times each of the predetermined
characteristic is found in the samples. Approximately 1500 handwriting specimens
were collected from across the United States and pared to obtain a representative
sample of the U.S. adult population according to selected demographics based on
age, sex, ethnicity, handedness, education level, and location of lower-grade
school education. This study has been able to support a quantitative assessment
of extrinsic and intrinsic effects in handwriting and handprinting for the six
subgroups. Additional results include analyses of the interdependence of
characteristics. This study found that 98.55% of handprinted characteristics and
97.39% of cursive characteristics had an independence correlation of under 0.2.
The conclusions support use of the product rule in general, but with noted
caveats. Finally, this study provides frequency occurrence proportions for 776
handwriting and handprinting characteristics.
PMID- 27864960
TI - The Interplay Between Diabetes and Pancreatitis: Two Case Reports of Sudden,
Natural Deaths and a Review of the Literature.
AB - Diabetes mellitus (DM) is a common disease involving insulin resistance or
deficit that, when left unchecked, may cause severe hyperglycemia and subsequent
end-organ damage. Acute pancreatitis (AP) is inflammation of the pancreas that
can lead to significant morbidity and mortality. AP and DM both account for a
significant amount of sudden deaths, and rarely both disease processes may be
present in the same decedent, causing some difficulty in wording the cause of
death statement. Although much research has been directed at studying the causes
and risk factors for AP and DM, there is a complex interplay between these
diseases that is not fully understood. This study presents two autopsy cases of
sudden, natural deaths that illustrate this interplay, along with a review of the
literature. An algorithm for differentiating AP and DM is then discussed in the
context of the presented cases as a proposed aid for forensic pathologists in the
certification of such deaths.
PMID- 27864961
TI - Sexual Dimorphism of Maxillary Sinus Dimensions Using Cone-Beam Computed
Tomography.
AB - Sex determination of unknown persons plays an important role in forensic
medicine. Cone-beam computed tomography (CBCT) is an excellent imaging modality
for accurate measurement of maxillary sinus dimensions. This study aimed to
evaluate whether maxillary sinus measurements on CBCT scans can be applied for
sex determination. The width, length, and height of maxillary sinuses in CBCT
images of 100 patients (50 males and 50 females) were measured. Student's t-test
and discriminant function analysis were used to compare differences in the
measured parameters between males and females. The correct predictive accuracy
rate of sex determination was 78% in females and 74% in males with overall
accuracy of 76%. Based on discriminant analysis, the most pronounced variable in
differentiation of sex groups was maxillary sinus height. This study suggests
that maxillary sinus measurements can be valuable for sex determination in
forensic investigation, especially for cases in which other traditional methods
are not applicable.
PMID- 27864962
TI - The Aquilegia JAGGED homolog promotes proliferation of adaxial cell types in both
leaves and stems.
AB - In order to explore the functional conservation of JAGGED, a key gene involved in
the sculpting of lateral organs in several model species, we identified its
ortholog AqJAG in the lower eudicot species Aquilegia coerulea. We analyzed the
expression patterns of AqJAG in various tissues and developmental stages, and
used RNAi-based methods to generate knockdown phenotypes of AqJAG. AqJAG was
strongly expressed in shoot apices, floral meristems, lateral root primordia and
all lateral organ primordia. Silencing of AqJAG revealed a wide range of defects
in the developing stems, leaves and flowers; strongest phenotypes include severe
reduction of leaflet laminae due to a decrease in cell size and number, change of
adaxial cell identity, outgrowth of laminar-like tissue on the inflorescence
stem, and early arrest of floral meristems and floral organ primordia. Our
results indicate that AqJAG plays a critical role in controlling primordia
initiation and distal growth of floral organs, and laminar development of
leaflets. Most strikingly, we demonstrated that AqJAG disproportionally controls
the behavior of cells with adaxial identity in vegetative tissues, providing
evidence of how cell proliferation is controlled in an identity-specific manner.
PMID- 27864963
TI - Chronic Alcohol Abuse Leads to Low Bone Mass with No General Loss of Bone
Structure or Bone Mechanical Strength.
AB - : Chronic alcohol abuse (CAA) has deleterious effects on skeletal health. This
study examined the impact of CAA on bone with regard to bone density, structure,
and strength. Bone specimens from 42 individuals with CAA and 42 individuals
without alcohol abuse were obtained at autopsy. Dual-energy X-ray absorptiometry
(DEXA), compression testing, ashing, and bone histomorphometry were performed.
Individuals with CAA had significantly lower bone mineral density (BMD) in the
femoral neck and significantly lower bone volume demonstrated by thinner
trabeculae, decreased extent of osteoid surfaces, and lower mean wall thickness
of trabecular osteons compared to individuals without alcohol abuse. No
significant difference was found for bone strength and structure. CONCLUSION: CAA
leads to low bone mass due to a decrease in bone formation but with no
destruction of bone architecture nor a decrease in bone strength. It is
questionable whether this per se increases fracture risk.
PMID- 27864964
TI - No evidence that boron influences tree species distributions in lowland tropical
forests of Panama.
AB - It was recently proposed that boron might be the most important nutrient
structuring tree species distributions in tropical forests. Here we combine
observational and experimental studies to test this hypothesis for lowland
tropical forests of Panama. Plant-available boron is uniformly low in tropical
forest soils of Panama and is not significantly associated with any of the > 500
species in a regional network of forest dynamics plots. Experimental manipulation
of boron supply to seedlings of three tropical tree species revealed no evidence
of boron deficiency or toxicity at concentrations likely to occur in tropical
forest soils. Foliar boron did not correlate with soil boron along a local scale
gradient of boron availability. Fifteen years of boron addition to a tropical
forest increased plant-available boron by 70% but did not significantly change
tree productivity or boron concentrations in live leaves, wood or leaf litter.
The annual input of boron in rainfall accounts for a considerable proportion of
the boron in annual litterfall and is similar to the pool of plant-available
boron in the soil, and is therefore sufficient to preclude boron deficiency. We
conclude that boron does not influence tree species distributions in Panama and
presumably elsewhere in the lowland tropics.
PMID- 27864965
TI - Attempted Drug-facilitated Sexual Assault-Xylazine Intoxication in a Child.
AB - There are only a few cases of drug-facilitated sexual assaults on children
reported in the literature so far. Here, a case of a four-year-old boy is
presented. He was unconscious, and the accompanying adults reported that the
child had been at a playground on his own. Returning home, he complained of
having been stung and collapsed immediately. Urine and serum samples of the child
were investigated. In the toxicological analysis, xylazine, a sedative and muscle
relaxant used in animals, was detected. Subsequent quantification by GC/MS after
solid-phase extraction revealed 0.053 mg/L xylazine in serum and approximately
0.63 mg/L in urine. Furthermore, the child was examined by a forensic medical
specialist. Police investigations revealed that the godfather, who had been
previously accused of sexual abuse of children, had injected the child with the
drug, possibly in preparation for a shared bath.
PMID- 27864966
TI - Interactive effects of water limitation and elevated temperature on the
physiology, development and fitness of diverse accessions of Brachypodium
distachyon.
AB - An enduring question in plant physiology and evolution is how single genotypes of
plants optimize performance in diverse, often highly variable, environments. We
grew 35 natural accessions of the grass Brachypodium distachyon in four
environments in the glasshouse, contrasting soil water deficit, elevated
temperature and their interaction. We modeled treatment, genotype and interactive
effects on leaf-level and whole-plant traits, including fecundity. We also
assessed the relationship between glasshouse-measured traits and parameters
related to climate at the place of origin. We found abundant genetic variation in
both constitutive and induced traits related to plant-water relations. Most
traits showed strong interaction between temperature and water availability, and
we observed genotype-by-environment interaction for several traits. Notably, leaf
free proline abundance showed a strong effect of genotype * temperature * water.
We found strong associations between phenology, biomass and water use efficiency
(WUE) with parameters describing climate of origin. Plants respond to multiple
stressors in ways not directly predictable from single stressors, underscoring
the complex and trait-specific mechanisms of environmental response. Climate
trait correlations support a role for WUE and phenology in local adaptation to
climate in B. distachyon.
PMID- 27864967
TI - Chemokine receptors in allergic diseases.
AB - Under homeostatic conditions, as well as in various diseases, leukocyte migration
is a crucial issue for the immune system that is mainly organized through the
activation of bone marrow-derived cells in various tissues. Immune cell
trafficking is orchestrated by a family of small proteins called chemokines.
Leukocytes express cell-surface receptors that bind to chemokines and trigger
transendothelial migration. Most allergic diseases, such as asthma, rhinitis,
food allergies, and atopic dermatitis, are generally classified by the tissue
rather than the type of inflammation, making the chemokine/chemokine receptor
system a key point of the immune response. Moreover, because small antagonists
can easily block such receptors, various molecules have been developed to
suppress the recruitment of immune cells during allergic reactions, representing
potential new drugs for allergies. We review the chemokines and chemokine
receptors that are important in asthma, food allergies, and atopic dermatitis and
their respectively developed antagonists.
PMID- 27864968
TI - Taphonomic Effects of Mechanical Plowing on Buried Juvenile-Sized Remains.
AB - Agricultural activity is a worldwide taphonomic process and can present unique
challenges in the recovery of buried remains. Previous research has been mostly
within the realm of site formation processes of archeological sites utilizing
only surface material. This research expands upon the previous research by
incorporating the distribution of subsurface material by the use of archeological
excavation techniques. An experiment was conducted utilizing juvenile pig (Sus
scrofa) skeletons buried in relative anatomical position at two different depths
(15 cm below the surface [cmbs] and 22 cmbs). The burials were then subjected to
different intervals of mechanical plowing: one, three, five, seven, or 10 plow
passes. The skeletal material was recovered using pedestrian survey followed by
hand excavation and screening of all sediments. This research shows that there is
a significant relationship between the degree of plowing and the distance
skeletal material is distributed and the percentage of material recovered
undamaged.
PMID- 27864969
TI - Transformation of the cucurbit powdery mildew pathogen Podosphaera xanthii by
Agrobacterium tumefaciens.
AB - The obligate biotrophic fungal pathogen Podosphaera xanthii is the main causal
agent of powdery mildew in cucurbit crops all over the world. A major limitation
of molecular studies of powdery mildew fungi (Erysiphales) is their genetic
intractability. In this work, we describe a robust method based on the
promiscuous transformation ability of Agrobacterium tumefaciens for reliable
transformation of P. xanthii. The A. tumefaciens-mediated transformation (ATMT)
system yielded transformants of P. xanthii with diverse transferred DNA (T-DNA)
constructs. Analysis of the resultant transformants showed the random integration
of T-DNA into the P. xanthii genome. The integrations were maintained in
successive generations in the presence of selection pressure. Transformation was
found to be transient, because in the absence of selection agent, the introduced
genetic markers were lost due to excision of T-DNA from the genome. The ATMT
system represents a potent tool for genetic manipulation of P. xanthii and will
likely be useful for studying other biotrophic fungi. We hope that this method
will contribute to the development of detailed molecular studies of the intimate
interaction established between powdery mildew fungi and their host plants.
PMID- 27864970
TI - Color Stability of Dental Restorative Materials Submitted to Heat Sources, for
Forensic Purposes.
AB - During postmortem examination of the dental arches of carbonized victims, dental
restorative materials may be found. The aim of this study was to evaluate the
effect of heat source action on the color stability of composite resin (CR) and
glass ionomer cement (GIC) restorations, to discriminate between them and compare
with antemortem dental data. Sixty bovine teeth (30 CR and 30 GIC) were prepared
(6 * 6 * 2 mm) and separated into groups (n = 10). The color readouts were taken
by spectrophotometer, before and after heat action (100 degrees C, 200 degrees C,
300 degrees C), in an oven for 15 min. There were color alterations for all
coordinates (DeltaE, DeltaL*, Deltaa* eDeltab*) for both materials. GIC presented
greater change. The authors concluded that it is possible to distinguish between
the materials by the color changes analyzed by instrumental method, helping
victim identification.
PMID- 27864971
TI - Proximal End Root Morphology Characteristics in Antemortem Anagen Head Hairs.
AB - The proximal end morphology of antemortem anagen head hair was compared with the
characteristics documented to occur in postmortem hairs. Antemortem anagen and
telogen head hairs (N = 967) were recovered following exposure to seven
environments. Root morphology characteristics consistent with those reported in
postmortem hairs were observed in 66 (14%) hairs exposed to a water, normal
saline, outdoor soil, or indoor shower environment. Thirty-three anagen hairs
(7%) exhibited a root band at the proximal end. The mean distance from the root
tip to the onset of the root band ranged from 0.23 to 0.7 mm, depending on the
environment. The mean distance from the root tip to the onset of the root band
was 0.46 mm, with a mean band length of 0.44 mm. The results illustrate the need
to better characterize postmortem banding through quantitative measurements,
including the range for root tip to band distance and the overall band length.
PMID- 27864972
TI - A Morphological and Morphometric Study of Bite Marks Caused by Mice (Mus
Musculus) on Different Baits for Forensic Purposes.
AB - In animal bites, the dental attributes can be fundamental in identifying the
marks made by various species on different matrices. Although rodent bite marks
have been studied in the context of postmortem interference, little research has
used different baits to analyze these marks linking not only specific behavior
patterns but also the possibility of structural damage. Twenty mice (Mus
musculus) were exposed to different baits to study their bite marks in a
controlled model. The known pattern of parallel and multiple grooves has been
seen in all baits, but polyvinyl chloride and fiber-optic cable were
significantly different between each other and the other baits. Some baits showed
patterns of anchorage of the upper incisors and space between the lower incisors
when gnawing. This technical note represents a novel model of analysis where
veterinarians and/or dentists may be asked to give an opinion on alleged animal
bite marks.
PMID- 27864974
TI - NLRP3 inflammasome may regulate inflammatory response of human periodontal
ligament fibroblasts in an apoptosis-associated speck-like protein containing a
CARD (ASC)-dependent manner.
AB - AIM: To explore the role of NLRP3 (NACHT [nucleotide-binding oligomerization],
LRR [leucine-rich repeat] and PYD [pyrin domain] domains-containing protein 3)
inflammasome in the inflammatory response of human periodontal ligament
fibroblasts (HPDLFs). METHODOLOGY: The expression of NLRP3 and apoptosis
associated speck-like protein containing a CARD (ASC) in inflammatory periapical
tissues and HPDLFs was examined by immunohistochemical and immunofluorescent
staining. HPDLFs were stimulated with muramyl dipeptide (MDP) and
lipopolysaccharide (LPS) from E. coli with or without the silencing of ASC. The
expression of NLRP3, ASC and caspase-1 was examined using quantitative real-time
polymerase chain reaction. The secretion of proinflammatory cytokines, including
interleukin-1beta (IL-1beta), interleukin-6 (IL-6) and tumour necrosis factor
alpha (TNF-alpha) was measured in the cell supernatant with an enzyme-linked
immunosorbent assay. Data were statistically analysed using independent sample t
tests. RESULTS: Immunohistochemistry and immunocytochemistry staining revealed
that NLRP3 and ASC were expressed in HPDLFs and inflammatory periapical tissues.
MDP and LPS promoted the expression of NLRP3, ASC and caspase-1 in HPDLFs (P <
0.05). The secretion of proinflammatory cytokines was also increased with MDP and
LPS stimulation (P < 0.05). After silencing ASC, the secretion of IL-1beta
induced by MDP and LPS was significantly attenuated (P < 0.05). CONCLUSION: In
HPDLFs, MDP and LPS activated NLRP3 inflammasome and induced IL-1beta secretion.
ASC plays an important role in this inflammatory response.
PMID- 27864973
TI - The evolution of symbiont preference traits in the model legume Medicago
truncatula.
AB - Many hosts preferentially associate with or reward better symbionts, but how
these symbiont preference traits evolve is an open question. Legumes often form
more nodules with or provide more resources to rhizobia that fix more nitrogen
(N), but they also acquire N from soil via root foraging. It is unclear whether
root responses to abiotically and symbiotically derived N evolve independently.
Here, we measured root foraging and both preferential allocation of root
resources to and preferential association with an effective vs an ineffective N
fixing Ensifer meliloti strain in 35 inbred lines of the model legume Medicago
truncatula. We found that M. truncatula is an efficient root forager and forms
more nodules with the effective rhizobium; root biomass increases with the number
of effective, but not ineffective, nodules, indicating preferential allocation to
roots harbouring effective rhizobia; root foraging is not genetically correlated
with either preferential allocation or association; and selection favours plant
genotypes that form more effective nodules. Root foraging and symbiont preference
traits appear to be genetically uncoupled in M. truncatula. Rather than evolving
to exclude ineffective partners, our results suggest that preference traits
probably evolve to take better advantage of effective symbionts.
PMID- 27864975
TI - On the importance of composite protein multiple ligand interactions in protein
pockets.
AB - Conventional small molecule drug-discovery approaches target protein pockets.
However, the limited number of geometrically distinct pockets leads to widespread
promiscuity and deleterious side-effects. Here, the idea of COmposite protein
LIGands (COLIG) that interact with each other as well as the protein within a
single ligand binding pocket is examined. As a practical illustration,
experimental evidence that E. coli Dihydrofolate reductase inhibitors are COLIGs
is presented. Then, analysis of a non-redundant set of all holo PDB structures
indicates that almost 47-76% of proteins (based on different sequence identity
thresholds) can simultaneously bind multiple, interacting ligands in the same
pocket. Moreover, most ligands that are either Singletons and COLIGs bind at the
bottom of ligand binding pocket and occupy 30% and 43% of the volume of the
bottom of the pocket. This suggests the use of COLIGs as a potential new class of
small molecule drugs. (c) 2016 Wiley Periodicals, Inc.
PMID- 27864976
TI - An effective diagnostic strategy for accurate detection of RhD variants including
Asian DEL type in apparently RhD-negative blood donors in Korea.
AB - BACKGROUND AND OBJECTIVES: The purpose of this study was to provide an effective
RHD genotyping strategy for the East Asian blood donors. MATERIAL AND METHODS:
RhD phenotyping, weak D testing and RhCE phenotyping were performed on 110
samples from members of the RhD-negative club, private organization composed of
RhD-negative blood donors, in the GwangJu-Chonnam region of Korea. The RHD
promoter, intron 4, and exons 7 and 10 were analysed by real-time PCR. Two
nucleotide changes (c.1227 G>A, and c.1222 T>C) in exon 9 were analysed by
sequencing. RESULTS: Of 110 RhD-negative club members, 79 (71.8%) showed complete
deletion of the RHD gene, 10 (9.1%) showed results consistent with RHD-CE-D
hybrid, and 21 (19.1%) showed amplification of RHD promoter, intron 4, and exons
7 and 10. Of the latter group, 16 (14.5%) were in the DEL blood group including
c.1227 G>A (N = 14) and c.1222 T>C (N = 2), 2 (1.8%) were weak D, 1(0.9%) was
partial D, and 2 (1.8%) were undetermined. The RhD-negative phenotype samples
consisted of 58 C-E-c+e+, 19 C-E+c+e+, 3 C-E+c+e-, 21 C+E-c+e-, 6 C+E-c+e+ and 3
C+E-c-e + . Notably, all 58 samples with the C-E-c+e+ phenotype were revealed to
have complete deletion of the RHD gene. The C-E-c+e+ phenotype showed 100%
positive predictive value for detecting D-negative cases. CONCLUSIONS: RHD
genotyping is not required in half of D-negative cases. We suggest here an
effective RHD genotyping strategy for accurate detection of RhD variants in
apparently RhD-negative blood donors in East Asia.
PMID- 27864978
TI - Reduction of biological response modifiers in the supernatant of washed
paediatric red blood cells.
AB - BACKGROUND: Washing of red blood cells (RBC) can reduce unwanted biological
response modifiers (BRMs) that can mediate transfusion complications in infants.
The aim of this study was to examine the in vitro quality and the changes in BRMs
following washing in paediatric RBC units. MATERIALS AND METHODS: A pool and
split design was used to prepare RBC (either 1 or 4 days old; n = 26 pairs). One
unit was washed with 0.9% saline by centrifugation and then resuspended in SAG-M,
while the other remained unwashed. Each RBC unit was divided to produce four
units of paediatric-sized components. Samples were taken after 3 h and
subsequently on days 1, 2, 7 and 14 post-wash. RESULTS: Washing of RBC resulted
in some red cell loss, with a minor increase in haemolysis. Washing effectively
reduced supernatant potassium and IgA, as well as cytokines and complement
proteins. RBC microparticles were significantly reduced in RBC washed at 1, but
not 4 days post-collection. Incubation with supernatant from unwashed but not
washed RBC led to endothelial cell activation, with increased cell surface
expression of CD62E (E-selectin) and CD106 (VCAM). CONCLUSION: Although washing
affected some aspects of the in vitro quality of RBC, it effectively reduced the
concentration and activity of BRMs in the supernatant of RBC. Such a reduction
may be clinically beneficial in selected patient groups.
PMID- 27864980
TI - Erratum.
PMID- 27864979
TI - Modelling gas exchange during platelet storage without agitation.
AB - The aim of this study was to create a model of oxygen distribution within
platelet storage bags to evaluate implications of reduced agitation approaches.
Based on our model, platelet concentration and surface area most affect internal
partial pressure of oxygen, while temperature modifications have least effect,
indicating primary potential approaches for optimization of platelet storage with
reduced or absent agitation.
PMID- 27864981
TI - Interventions for nurses' well-being at work: a quantitative systematic review.
AB - AIM: To gather, assess and synthesize current research knowledge on the
interventions aiming to improve nurses' well-being at work. BACKGROUND: Previous
reviews describe health care professionals' well-being at work from the
perspective of burnout. Research on the interventions for and their effectiveness
on nurses' well-being at work is sporadic. DESIGN: A quantitative systematic
review based on the procedure of the Centre for Reviews and Dissemination.
METHODS: CINAHL, Cochrane, EBSCO, PubMed, PsycInfo, Scopus databases were sought
from 2009-March 2015. The final data consisted of eight studies described in 10
articles. The study design was RCT in three studies, CBA in three and ITS in two
studies. The studies were assessed with the Cochrane risk of bias tool. Data were
summarised narratively and displayed in a harvest plot. RESULTS: Two of the six
interventions were person-directed, two combined person- and organisation
directed and two organisation-directed interventions. Half of them were mainly
targeted at stress management while the others aimed at improving interaction
with colleagues, work methods and conditions or at supervision of professional
skills. CONCLUSIONS: There was a lot variation in the conceptual bases and the
use of evaluation measurements in the studies and the interventions were carried
out in a heterogeneous way. Moderate evidence was found to support the use of
interventions among nurses employed at in-patient and out-patient units in four
out of the six interventions. The review pointed out a need for research on
standardised interventions on nurses' well-being at work and their effectiveness
with long-term follow-ups.
PMID- 27864982
TI - Unusual findings in common peripheral ossifying fibromas: transepithelial
elimination and epithelial inclusion.
PMID- 27864983
TI - Diagnosis of unilateral trapezius muscle palsy: 54 Cases.
AB - INTRODUCTION: We assessed medical and surgical causes of unilateral trapezius
muscle (TM) palsy and/or wasting. METHODS: Clinical and electrodiagnostic data
were collected in 54 patients with TM impairment over 21 years. RESULTS: In
total, 35 cases had a medical origin: neuralgic amyotrophy (NA, n = 22),
idiopathic unilateral TM palsy (n = 5), regional neck radiotherapy for different
conditions (n = 2), facioscapulohumeral dystrophy (FSH) (n = 4), abnormal loop of
the jugular vein (n = 1), or basilar impression (n = 1). Other etiologies were
neck surgery (n = 16), cervicofacial lift (n = 2), or trauma (n = 1).
CONCLUSIONS: There were 5 main diagnostic findings in unilateral TM palsy: (1)
dynamic examination of the scapula provides a new clinical sign; (2) NA is the
most frequent medical cause; (3) in medical cases, partial preservation of the
upper TM can offer good recovery; (4) FSH must be considered, especially in young
patients; and (5) minor neck surgery can lead to severe TM palsy. Muscle Nerve
56: 215-223, 2017.
PMID- 27864984
TI - Simultaneous biodegradation of three mononitrophenol isomers by a tailor-made
microbial consortium immobilized in sequential batch reactors.
AB - : The ortho-nitrophenol (ONP)-utilizing Alcaligenes sp. strain NyZ215, meta
nitrophenol (MNP)-utilizing Cupriavidus necator JMP134 and para-nitrophenol (PNP)
utilizing Pseudomonas sp. strain WBC-3 were assembled as a consortium to degrade
three nitrophenol isomers in sequential batch reactors. Pilot test was conducted
in flasks to demonstrate that a mixture of three mononitrophenols at 0.5 mol l-1
each could be mineralized by this microbial consortium within 84 h.
Interestingly, neither ONP nor MNP was degraded until PNP was almost consumed by
strain WBC-3. By immobilizing this consortium into polyurethane cubes, all three
mononitrophenols were continuously degraded in lab-scale sequential reactors for
six batch cycles over 18 days. Total concentrations of ONP, MMP and PNP that were
degraded were 2.8, 1.5 and 2.3 mol l-1 during this time course respectively.
Quantitative real-time PCR analysis showed that each member in the microbial
consortium was relatively stable during the entire degradation process. This
study provides a novel approach to treat polluted water, particularly with a
mixture of co-existing isomers. SIGNIFICANCE AND IMPACT OF THE STUDY:
Nitroaromatic compounds are readily spread in the environment and pose great
potential toxicity concerns. Here, we report the simultaneous degradation of
three isomers of mononitrophenol in a single system by employing a consortium of
three bacteria, both in flasks and lab-scale sequential batch reactors. The
results demonstrate that simultaneous biodegradation of three mononitrophenol
isomers can be achieved by a tailor-made microbial consortium immobilized in
sequential batch reactors, providing a pilot study for a novel approach for the
bioremediation of mixed pollutants, especially isomers present in wastewater.
PMID- 27864985
TI - Telomeric repeat-containing RNA (TERRA) related to polycystic ovary syndrome
(PCOS).
AB - CONTEXT: Telomeric repeat-containing RNA (TERRA) participates in the regulation
of telomere length, and leucocyte telomere length (LTL) plays an important role
in the pathophysiology of polycystic ovary syndrome (PCOS), but little is known
about the role of TERRA in PCOS. OBJECTIVE: To evaluate the role of TERRA and
peripheral blood LTL in PCOS. DESIGN AND PARTICIPANTS: Forty women with PCOS and
35 healthy women without PCOS were recruited. A prospective case-control study
was performed. MEASUREMENTS: RNA fluorescence in situ hybridization (FISH) was
used to detect TERRA expression in peripheral blood leucocyte. Quantitative PCR
was used to measure TERRA expression and the mean LTL in the PCOS and control
groups. We analysed the association between related clinical parameters and the
age-adjusted ratio of the telomere repeat length (T/S ratio) or TERRA. RESULTS:
Telomeric repeat-containing RNA was expressed in human peripheral blood
leucocytes, and the signal was abolished after culture with RNase A. The age
adjusted LTLs were significantly longer in the PCOS group than in the control
group (P < 0.01). The age-adjusted TERRA level was significantly lower in the
PCOS group than in the control group (P < 0.01). Testosterone (TTE) was related
positively to LTL and negatively to TERRA in the PCOS group (r = 0.532, P =
0.002; r = -0.477, P = 0.017). CONCLUSION: We found TERRA expression in human
peripheral blood leucocytes, and LTLs were positively associated with PCOS. TERRA
and testosterone play an important role in the LTL regulation in PCOS.
PMID- 27864986
TI - Urinary iodine excretion (UIE) estimated by iodine/creatinine ratio from spot
urine in Chinese school-age children.
AB - OBJECTIVE: To assess the validity of urinary iodine excretion (UIE) estimated by
urinary iodine/creatinine ratio (UI/Cr) from spot urines in Chinese school-age
children. DESIGN: A cross-sectional survey was performed in which twice-repeated
collections of 24-h urine, and spot urine samples were obtained within 1 month.
MEASUREMENTS: Urinary iodine concentration (UIC), urinary creatinine
concentration (UCr), urine volume (Uvol) of spot and 24-h urine samples were
measured. Measured 24-h UIE was calculated from 24-h UIC multiplied by 24-h Uvol,
while the estimated 24-h UIE was calculated from spot UI/Cr multiplied by 24-h
urinary creatinine excretion (24-h UCrE). RESULTS: No significant difference was
observed in 24-h Uvol between two repeated collections (P = 0.70), while spot
UIC, 24-h UIC, spot UI/Cr and measured 24-h UIE were significantly different (P <
0.05). The estimated 24-h UIE was 247 (136-431) MUg/day in the first collection,
lower than the measured 24-h UIE of 329 (183-536) MUg/day (P < 0.001), while no
significant difference was observed (P = 0.30) in the second sampling as the
estimated 24-h UIE was 355 (168-624) MUg/day and the measured 24-h UIE 350 (181
615) MUg/day. The spot UIC (r = 0.57, P < 0.001), spot UI/Cr (r = 0.63, P <
0.001) and the estimated 24-h UIE (r = 0.83, P < 0.001) were strongly correlated
with the measured 24-h UIE in the first collection. Likewise, in the second
sampling, spot UIC (r = 0.60, P < 0.001), spot UI/Cr (r = 0.72, P < 0.001) and
the estimated 24-h UIE (r = 0.89, P < 0.001) were also correlated with measured
24-h UIE. The Bland-Altman results indicated 95% of subjects were expected to
locate within the limits of agreement (LOA), but showed an underestimation of the
urinary iodine excretion by the estimated 24-h UIE. In addition, moderate-to-good
agreement was found for the estimated and measured 24-h UIE, with kappa values of
0.55 and 0.66. CONCLUSIONS: Estimated 24-h UIE by UI/Cr ratio from spot urine
could represent a valid and reliable alternative for measured 24-h UIE in
estimating iodine excretion in children.
PMID- 27864987
TI - 'Mental health day' sickness absence amongst nurses and midwives: workplace,
workforce, psychosocial and health characteristics.
AB - AIM: To examine the workforce, workplace, psychosocial and health characteristics
of nurses and midwives in relation to their reported use of sickness absence
described as 'mental health days'. BACKGROUND: The occupational stress associated
with the nursing profession is increasingly recognized and nurse/midwifery
absenteeism is a significant global problem. Taking a 'mental health day' as
sickness absence is a common phenomenon in Australian health care. No previous
studies have empirically explored the characteristics of nurses and midwives
using such sickness absence. DESIGN: Online cross-sectional survey. METHODS:
Survey comprising validated tools and questions on workplace and health
characteristics was distributed to nurses and midwives in New South Wales,
Australia, between May 2014 - February 2015. Sample characteristics were reported
using descriptive statistics. Factors independently predictive of 'mental health
day' reportage were determined using logistic regression. RESULTS: Fifty-four
percentage of the n = 5041 nurse and midwife respondents took 'mental health
days'. Those affected were significantly more likely to be at younger ages,
working shifts with less time sitting at work; to report workplace abuse and
plans to leave; having been admitted to hospital in previous 12 months; to be
current smokers; to report mental health problems, accomplishing less due to
emotional problems and current psychotropic medication use. CONCLUSION: Specific
characteristics of nurses and midwives who report taking 'mental health day'
sickness absence offer healthcare administrators and managers opportunities for
early identification and intervention with workplace measures and support
frameworks to promote well-being, health promotion and safety.
PMID- 27864988
TI - Time to question diabetes self-management support for Arabic-speaking migrants:
exploring a new model of care.
AB - AIM: The objective of this study was to explore a new model for diabetes self
management support in Arabic-speaking migrants. METHODS: Two qualitative methods
were used: face-to-face semi-structured individual interviews and focus groups.
Interviews were audio-taped, transcribed verbatim and coded thematically. Arabic
speaking migrants with Type 2 diabetes were recruited from several primary,
secondary and tertiary healthcare settings in metropolitan Melbourne, Australia.
These settings were purposefully selected to obtain a diverse group of
participants. Data collection continued until saturation was reached. This is the
first study that involved members of Arabic-speaking communities in Australia in
a formal process of consumer and public involvement to inform research design and
recruitment in order to provide evidence for a new model of diabetes self
management for Arabic-speaking migrants. RESULTS: No self-management support was
offered to Arabic-speaking migrants beyond the initial diagnosis period.
Significant knowledge gaps and skills deficits in all self-management domains
were evident. The provision of tailored self-management support was considered
crucial. When asked about preferred structure and delivery modalities, a strong
preference was reported for face-to-face storytelling interactions over telephone
or internet-based interventions. Gender-specific group education and self
management support sessions delivered by Arabic-speaking diabetes health
professionals, lay peers or social workers trained in diabetes self-management
were highly regarded. CONCLUSIONS: A patient and public involvement approach
allows genuine engagement with Arabic-speaking migrants with diabetes. There is
urgent need for a new model for self-management support among Arabic-speaking
migrants. Findings yielded new recommendations for diabetes health professionals
working with these migrant communities to support behaviour change.
PMID- 27864989
TI - The mitosis-specific marker phosphohistone-H3 (PHH3) is an independent
prognosticator in uterine smooth muscle tumours: an outcome-based study.
AB - AIMS: Accurate mitosis counting, which is important in the diagnosis of uterine
smooth muscle tumours (USMTs), is often difficult and subjective. The mitosis
specific immunohistochemical marker phosphohistone-H3 (PHH3) has been shown to be
diagnostically useful, but its expression, in relation to outcome, has not been
thoroughly investigated. The aim of this study is to evaluate PHH3 as a
diagnostic and prognostic marker in USMTs. METHODS AND RESULTS: PHH3 expression
was evaluated in 55 leiomyosarcomas (LMSs), 26 smooth muscle tumours of uncertain
malignant potential (STUMPs), 18 leiomyomas with bizarre nuclei (LBN), and 12
leiomyomas (LMs). Scores were expressed as counts per 10 high-power fields
(HPFs). Median follow-up durations of patients with LMS, STUMP, LBN and LM were,
respectively, 39, 78, 65.5 and 49.5 months. Twenty-eight patients with LMSs
(50.9%) died, and two (7.7%) patients with STUMPs experienced recurrence. The
median PHH3 scores for LMSs were significantly higher than those for other
categories of tumour. A score of >=29/10 HPFs was also independently associated
with a poor outcome. To test whether the PHH3 score could distinguish between
benign USMTs with atypical histology and those that were clinically malignant,
two biological groups were further delineated. Patients in group 1 (18 LBNs and
24 STUMPs) all had an uneventful outcome, whereas patients in group 2 (two
recurrent STUMPs and 32 LMSs) all had a recurrence or tumour-related death.
Median PHH3 scores for the two groups were, respectively, 2/10 HPFs and 27/10
HPFs. A PHH3 score of >=7/10 HPFs was highly associated with malignancy.
CONCLUSION: PHH3 is useful in evaluation of the biological behaviour of USMTs,
and may serve as a prognostic indicator for LMSs.
PMID- 27864991
TI - The effects of life review on psycho-spiritual well-being among patients with
life-threatening illness: a systematic review and meta-analysis.
AB - AIM: The aim of this study was to examine the strength of evidence regarding the
effects of life review on psycho-spiritual well-being among patients with life
threatening illness. BACKGROUND: Life-threatening illness not only causes
physical symptoms but also psycho-spiritual burdens. Life review has been widely
implemented to assist people coping with these burdens. However, the
effectiveness of life review is not clear. To date, no systematic review or meta
analysis has been published on this topic. DESIGN AND REVIEW METHODS: A
systematic review with meta- analysis consistent with the recommendations of the
Cochrane Collaboration was conducted. DATA SOURCES: Database searches included
MEDLINE, Cochrane Central Register of Controlled Trials, EMBASE, CINAHL,
PsycINFO, CNKI and VIP et al. up to April 2015. We also searched the grey
literature, reviewed reference lists from relevant articles and book chapters and
contacted experts. RESULTS: Nine randomized controlled trials (RCTs) and two
controlled clinical trials (CCTs) were eligible for this systematic review and
meta-analysis. The risk of bias for those studies were rated as moderate (n =
11). The meta-analyses demonstrated significant standardized mean differences or
mean differences in favour of life review compared with the control for
depression, quality of life and self-esteem. CONCLUSION: The findings indicate
that life review can decrease depressive symptoms, improve quality of life and
enhance self-esteem among patients with life-threatening illnesses. Multi-centre
studies with adequate sample size and rigorous designs are needed in future
research.
PMID- 27864990
TI - The Clinical Relevance of Antifibrillarin (anti-U3-RNP) Autoantibodies in
Systemic Sclerosis.
AB - Systemic sclerosis (SSc) is a heterogeneous autoimmune disease associated with
several antinuclear autoantibodies useful to diagnosis and prognosis. The aim of
the present multicentric study was to determine the clinical relevance of
antifibrillarin autoantibodies (AFA) in patients with SSc. The clinical features
of 37 patients with SSc positive for AFA (AFA+) and 139 SSc patients without AFA
(AFA-) were collected retrospectively from medical records to enable a comparison
between AFA- and AFA+ patients. Antifibrillarin autoantibodies were screened by
an indirect immunofluorescence technique using HEp2 cells and identified by an in
house Western blot technique and/or an EliA test. Comparing AFA+ and AFA-
patients, AFA+ patients were significantly younger at disease onset (36.9 versus
42.9; P = 0.02), more frequently male (P = 0.02) and of Afro-Caribbean descent
(65% versus 7.7%; P < 0.001). At diagnosis, the Rodnan skin score evaluating the
cutaneous manifestations was higher (13.3 versus 8.7; P = 0.01) and myositis was
also more common in the AFA+ group (31.4% versus 12.2%; P < 0.01). Patients with
AFA+ were not associated with diffuse cutaneous SSc or with lung involvement and
no difference in survival was observed. Antifibrillarin autoantibodies are
associated with patients of Afro-Caribbean origin and can identify patients with
SSc who are younger at disease onset and display a higher prevalence of myositis.
PMID- 27864992
TI - MRI bullseye sign: An indicator of peripheral nerve constriction in parsonage
turner syndrome.
AB - INTRODUCTION: The role of MRI in identifying hourglass constrictions (HGCs) of
nerves in Parsonage-Turner syndrome (PTS) is largely unknown. METHODS: Six
patients with PTS and absent or minimal recovery underwent MRI. Surgical
exploration was performed at identified pathologic sites. RESULTS: The time
between symptom onset and surgery was 12.4 +/- 6.9 months; the time between MRI
and surgery was 1.3 +/- 0.6 months. Involved nerves included suprascapular,
axillary, radial, and median nerve anterior interosseous and pronator teres
fascicles. Twenty-three constriction sites in 10 nerves were identified on MRI. A
"bullseye sign" of the nerve, identified immediately proximal to 21 of 23 sites,
manifested as peripheral signal hyperintensity and central hypointensity
orthogonal to the long axis of the nerve. All constrictions were confirmed
operatively. CONCLUSIONS: In PTS, a bullseye sign on MRI can accurately localize
HGCs, a previously unreported finding. Causes of HGCs and the bullseye sign are
unknown. Muscle Nerve 56: 99-106, 2017.
PMID- 27864993
TI - Thyrotropin Alters T Cell Development in the Thymus in Subclinical Hypothyroidism
Mouse Model.
AB - Subclinical hypothyroidism (SCH) is highly prevalent in the general population
and is associated with potential deleterious effects. Although developing T cells
express thyroid-stimulating hormone receptor (TSH-R), the changes of T cell
development in thymus in SCH have not been fully clarified. SCH mouse model,
which is characterized by elevated serum TSH but similar thyroid hormone levels,
was used to study the role of TSH in T cell development. Thymus weight of SCH
mice increased 18% compared with controls. Importantly, the frequencies of CD4+
and CD8+ single-positive (SP) thymocytes increased 38% and 44%, respectively. We
demonstrated that TSH protected thymocytes from apoptosis as evidenced by a
significant decrease of Annexin V-positive thymocytes in SCH mice. Further
analysis showed that extracellular-regulated kinases (ERK) 1/2 in thymus were
activated in SCH mice. With analysis of T cell receptor excision circles (TREC),
we found that TSH increased recent thymic emigrants (RTE) in spleen tissue in SCH
mice. Thus, these results suggest that TSH promoted T cell development and
enhanced the thymic recent output in SCH mice, possibly by suppression of
apoptosis of thymocytes, indicating that modification of the ERK signalling
pathways.
PMID- 27864994
TI - Serum sPD-L1, Upregulated in Sepsis, May Reflect Disease Severity and Clinical
Outcomes in Septic Patients.
AB - We aimed to find the correlation between serum sPD-L1 (soluble programmed cell
death L-1 ligand) and sepsis. Totally 91 consecutive patients with sepsis were
performed in a 15-bed medical intensive care unit (ICU) of the second affiliated
hospital, Xi'an Jiaotong University in Xi'an, China, between February 2015 and
May 2016. Healthy controls (HC) consisted of 29 healthy volunteer. Baseline
demographic data were recorded. Blood samples were collected through an
indwelling central venous or by peripheral venipuncture. Serum sPD-L1 and sPD-1
levels were determined with enzyme-linked immunosorbent assay kits (Elabscience
Biotechnology Co. Ltd, Wuhan, China). SPSS19.0 software (SPSS Inc., Chicago,
Illinois, USA) was used for statistical analysis. Kaplan-Meier survival analysis
and Cox regression analysis were also performed. Serum sPD-L1 levels and sPD-1
levels were significantly increased in septic patients compared with HC (P =
0.000). Serum sPD-L1 levels were significantly increased in non-survivors
compared with survivors (P < 0.05), but there was no statistically difference on
serum sPD-1 levels between non-survivors and survivors (P > 0.05). Serum sPD-L1
levels were correlated with absolute lymphocyte (ALC), platelets and SOFA scores.
Serum sPD-L1/sPD-1 levels were negatively correlated with ALC and platelets, and
SOFA scores. The prognostic accuracy of the sPD-L1 level to predict 28-day
mortality was similar to that of the APACHE-II scores and SOFA scores. Cox
regression analysis showed that sPD-L1 was an independent prognostic factor.
Serum sPD-L1 is upregulated in sepsis and may reflect disease severity and
clinical outcomes in patients. Serum sPD-L1 may be an independent prognostic
factor for sepsis.
PMID- 27864995
TI - A systematic review: effectiveness of pediatric peripheral intravenous
catheterization strategies.
AB - AIM: The aim of this study was to identify interventions associated with
peripheral intravenous catheterization first attempt success in pediatric
inpatients and emergency department patients who require vascular access for
therapeutic interventions. BACKGROUND: Unsuccessful peripheral intravenous
catheterization puts children at risk for increased pain and treatment delays.
Effective interventions to increase peripheral intravenous catheterization first
attempt success are unclear. DESIGN: Systematic review of randomized controlled
trials according to the Cochrane Handbook for the Systematic Review of
Interventions. DATA SOURCES: Through November and December 2014, we searched 10
databases including MEDLINE (OVID), EMBASE (OVID) and CINAHL (EBSCO) without date
limits. The references of articles were also reviewed. We included full text
reports of randomized controlled trials testing intervention first attempt
success rates vs. standard of care. REVIEW METHODS: According to inclusion and
exclusion criteria set a priori, data were extracted using a standardized tool.
We assessed for risk of bias with the Cochrane Collaboration Risk of Bias Tool.
Due to unclear reporting narrative synthesis was used to report results. RESULTS:
Four cluster randomized control trials and ten randomized control trials
involving 4539 participants ranging from 15.6 days to 16 years of age met our
inclusion criteria. We excluded the four cluster trials from meta-analysis due to
unclear reporting. Interventions did not increase first attempt success rate
compared with standard of care. CONCLUSIONS: There was insufficient evidence to
support the use of ultrasound, infrared light or transillumination. Interventions
to reduce children's pain did not decrease first attempt success. Research
examining between-clinician proficiency and persistence differences is absent.
PMID- 27864996
TI - Skin microtopography as a measure of photoaging and risk of squamous cell
carcinoma of the skin in a US population.
AB - BACKGROUND: Skin microtopography as a measure of photoaging is a noninvasive
approach to measuring chronic ultraviolet radiation exposure and reflects the
degree of dermal elastosis in populations of European descent in the subtropics.
Less is known about the utility of this approach in populations at different
latitudes, and whether it relates to skin cancer risk. METHODS: A population
based case-control study of 342 squamous cell carcinoma (SCC) cases and 331 age-
and gender-matched controls were evaluated for histologic evidence of solar
damage and severity of photoaging based on microtopography on a six-grade scale.
Odds ratios (OR) for SCC associated with degree of photoaging were estimated
using logistic regression analysis adjusted for potentially confounding factors.
RESULTS: After adjustment for known risk factors, SCC was associated with
increasing photoaging grade (OR = 1.7, 95% CI = 0.9-3.0 for severe photoaging; OR
= 2.8, 95% CI = 1.6-5.0 for very severe photoaging). Associations remained among
those with actinic keratosis (OR = 3.4, 95% CI = 0.9-12.4 for severe photoaging,
OR = 5.7, 95% CI = 1.7-19.6 for very severe photoaging). LIMITATIONS: There was
limited statistical power, particularly for subgroup analyses. CONCLUSION: Our
findings provide further evidence of microtopography as an independent, objective
indicator of risk of SCC.
PMID- 27864997
TI - Evaluation of the efficacy of photodynamic therapy for the treatment of actinic
cheilitis.
AB - INTRODUCTION: Actinic cheilitis (AC) is a lip intraepithelial neoplasia, whose
cells present alterations similar to those presented by invasive squamous cell
carcinomas (SCCs). OBJECTIVE: To conduct clinical and laboratory evaluation by
histopathology and immunohistochemistry of the efficacy of actinic cheilitis
treatment using photodynamic therapy (PDT) with methyl aminolevulinate (MAL) and
noncoherent red light. MATERIALS AND METHODS: Patients with actinic cheilitis
detected by histopathological examination were submitted to two sessions of
photodynamic therapy with a two-week interval between them. They were examined
immediately after the sessions, four, six, and twelve weeks after beginning
treatment when a new biopsy was carried out. Clinical histopathological and
immunohistochemical parameters were evaluated before and after treatment.
RESULTS: Of the 23 patients who underwent biopsy, 16 completed two photodynamic
therapy sessions and the material of one patient was insufficient for
immunohistochemistry. Complete clinical response was achieved in 62.5% (10 of 16
patients) and 37.5% still remained with clinical evidence of AC. In spite of
this, no case of cure by histopathological analysis was found. There was no
significant statistical change among the values of Ki-67, survivin, and p53
observed before and after treatment. CONCLUSION: Photodynamic therapy, as carried
out in this trial, was not an efficacious therapeutic option for treating
patients with actinic cheilitis included in this sample.
PMID- 27864998
TI - RNF43 mutation frequently occurs with GNAS mutation and mucin hypersecretion in
intraductal papillary neoplasms of the bile duct.
AB - AIMS: RNF43 is a tumour suppressor gene that suppresses the Wnt-beta-catenin
signalling pathway. We investigated the role of RNF43 in intraductal papillary
neoplasm of the bile duct (IPNB). METHODS AND RESULTS: We conducted mutation
analysis of RNF43 in 50 IPNBs, and identified six (12%) RNF43 mutations. RNF43
mutation was more frequent in the intestinal subtype of IPNB (17%) than in the
gastric/pancreatobiliary subtype (5%). There was a strong association of RNF43
mutation with GNAS (P = 0.007) mutation, and a borderline correlation with KRAS
(P = 0.074) mutation. The presence of macroscopic mucin hypersecretion was
closely related to RNF43 (P = 0.024) and GNAS (P < 0.001) mutations. A two-step
clustering analysis algorithm successfully categorized IPNBs into two subgroups
by using the clinicopathological and molecular features of IPNBs. One subgroup of
IPNB represented the 'biliary counterpart of intraductal papillary mucinous
neoplasm of the pancreas' (biliary-IPMN), and showed unique features reminiscent
of IPMN, such as macroscopic and microscopic mucin hypersecretion, an intestinal
cell lineage, GNAS mutation, and RNF43 mutation. Biliary-IPMNs were significantly
associated with high expression of cytokeratin (CK) 20, mucin 2 (MUC2), and CDX2,
as shown by immunostaining (P = 0.032, P = 0.001, and P = 0.026, respectively),
and had a borderline association with low expression of CK7 (P = 0.063). With the
use of this splitting algorithm, RNF43 mutations were identified in 36% of the
biliary-IPMNs. CONCLUSIONS: The identification of RNF43 mutations in a distinct
subset of IPNBs revealed a new molecular role in the pathogenesis of IPNB, and
provided a potential application for cancer therapeutics by the use of Wnt
pathway inhibitors.
PMID- 27864999
TI - A Synthetic Toolbox for the In Situ Formation of Functionalized Homo- and
Heteropolysaccharide-Based Hydrogel Libraries.
AB - A synthetic toolbox for the introduction of aldehydo and hydrazido groups into
the polysaccharides hyaluronic acid, alginate, dextran, pullulan, glycogen, and
carboxymethyl cellulose and their use for hydrogel formation is reported. Upon
mixing differently functionalized polysaccharides derived from the same natural
precursor, hydrazone cross-linking takes place, which results in formation of a
hydrogel composed of one type of polysaccharide backbone. Likewise, hydrogels
based on two different polysaccharide strands can be formed after mixing the
corresponding aldehydo- and hydrazido-modified polysaccharides. A second line of
these studies paves the way to introduce a biomedically relevant ligand, namely,
the adhesion factor cyclic RGD pentapeptide, by using an orthogonal click
reaction. This set of modified polysaccharides served to create a library of
hydrogels that differ in the combination of polysaccharide strands and the degree
of cross-linking. The different hydrogels were evaluated with respect to their
rheological properties, their ability to absorb water, and their cytotoxicity
towards human fibroblast cell cultures. None of the hydrogels studied were
cytotoxic, and, hence, they are in principal biocompatible for applications in
tissue engineering.
PMID- 27865000
TI - Improving the quality of registered nurses' working time use data.
AB - AIMS AND OBJECTIVES: To examine the advantages and disadvantages of external
observation and self-reporting methods in investigating registered nurses'
working time use in order to improve the quality of working time use data.
BACKGROUND: External observation and self-reporting methods are the most widely
used approaches for studying nurses' working time use in observational work
sampling studies, but there is scarce information of the data collection
procedures and results in the same research context and in the same study.
DESIGN: A cross-sectional quantitative study with a structured data collection
instrument developed for this study. The same instrument was used in both data
collections. METHODS: Data were collected from six inpatient units at two Finnish
tertiary hospitals in autumn 2013 over two consecutive weeks. All registered
nurses (n = 95) from two internal medical units, two surgical units and two
psychiatric units participated in this study. RESULTS: Statistically
significantly divergent information was produced by the two data collection
methods in the major nursing categories of value-adding care and non-value-adding
work as well as in each of the seven nursing subcategories. CONCLUSIONS: External
observation and self-reporting gave an overall picture of how registered nurses
are using their working time, and both methods were useful in examining
registered nurses' working time use when taking into account the advantages and
disadvantages of these methods. The deviations between the results improved the
quality of data because both methods address recognised shortcomings of the
other. RELEVANCE TO CLINICAL PRACTICE: This research promotes awareness of the
divergent study results by investigating registered nurses' working time use with
these two different data collection methods. In future, it would be wise to aim
for more comparable data by applying external observation and self-reporting
techniques simultaneously.
PMID- 27865001
TI - Romosozumab Improves Bone Mass and Strength While Maintaining Bone Quality in
Ovariectomized Cynomolgus Monkeys.
AB - Romosozumab (Romo), a humanized sclerostin antibody, is a bone-forming agent
under development for treatment of osteoporosis. To examine the effects of Romo
on bone quality, mature cynomolgus monkeys (cynos) were treated 4 months post-
ovariectomy (OVX) with vehicle, 3 mg/kg, or 30 mg/kg Romo for 12 months, or with
30 mg/kg Romo for 6 months followed by vehicle for 6 months (30/0). Serum bone
formation markers were increased by Romo during the first 6 months, corresponding
to increased cancellous, endocortical, and periosteal bone formation in rib and
iliac biopsies at months 3 and 6. Dual-energy X-ray absorptiometry (DXA) bone
mineral density (BMD) was increased by 14% to 26% at the lumbar spine and
proximal femur at month 12, corresponding to significant increases in bone
strength at 3 and 30 mg/kg in lumbar vertebral bodies and cancellous cores, and
at 30 mg/kg in the femur diaphysis and neck. Bone mass remained positively
correlated with strength at these sites, with no changes in calculated material
properties at cortical sites. These bone-quality measures were also maintained in
the 30/0 group, despite a gradual loss of accrued bone mass. Normal bone
mineralization was confirmed by histomorphometry and ash analyses. At the radial
diaphysis, a transient, reversible 2% reduction in cortical BMD was observed with
Romo at month 6, despite relative improvements in bone mineral content (BMC).
High-resolution pQCT confirmed this decline in cortical BMD at the radial
diaphysis and metaphysis in a second set of OVX cynos administered 3 mg/kg Romo
for 6 months. Radial diaphyseal strength was maintained and metaphyseal strength
improved with Romo as estimated by finite element modeling. Decreased radial
cortical BMD was a consequence of increased intracortical remodeling, with no
increase in cortical porosity. Romo resulted in marked improvements in bone mass,
architecture, and bone strength, while maintaining bone quality in OVX cynos,
supporting its bone efficacy and safety profile. (c) 2016 American Society for
Bone and Mineral Research.
PMID- 27865002
TI - Gold(I) NHC Complexes: Antiproliferative Activity, Cellular Uptake, Inhibition of
Mammalian and Bacterial Thioredoxin Reductases, and Gram-Positive Directed
Antibacterial Effects.
AB - Gold complexes with N-heterocyclic carbene (NHC) ligands represent a promising
class of metallodrugs for the treatment of cancer or infectious diseases. In this
report, the synthesis and the biological evaluation of halogen-containing NHC-AuI
-Cl complexes are described. The complexes 1 and 5 a-5 f displayed good cytotoxic
activity against tumor cells, and cellular uptake studies suggested that an
intact Au-NHC fragment is essential for the accumulation of high amounts of both
the metal and the NHC ligand. However, the bioavailability was negatively
affected by serum components of the cell culture media and was influenced by
likely transformations of the complex. One example (5 d) efficiently induced
apoptosis in vincristine- and daunorubicin-resistant P-glycoprotein
overexpressing Nalm-6 leukemia cells. Cellular uptake studies with this compound
showed that both the wild-type and resistant Nalm-6 cells accumulated comparable
amounts of gold, indicating that the gold drug was not excreted by P-glycoprotein
or other efflux transporters. The effective inhibition of mammalian and bacterial
thioredoxin reductases (TrxR) was confirmed for all of the gold complexes.
Antibacterial screening of the gold complexes showed a particularly high activity
against Gram-positive strains, reflecting their high dependence on an intact
Trx/TrxR system. This result is of particular interest as the inhibition of
bacterial TrxR represents a relatively little explored mechanism of new anti
infectives.
PMID- 27865003
TI - Capturing early signs of deterioration: the dutch-early-nurse-worry-indicator
score and its value in the Rapid Response System.
AB - AIMS AND OBJECTIVES: To determine the predictive value of individual and combined
dutch-early-nurse-worry-indicator-score indicators at various Early Warning Score
levels, differentiating between Early Warning Scores reaching the trigger
threshold to call a rapid response team and Early Warning Score levels not
reaching this point. BACKGROUND: Dutch-early-nurse-worry-indicator-score
comprises nine indicators underlying nurses' 'worry' about a patient's condition.
All indicators independently show significant association with unplanned
intensive care/high dependency unit admission or unexpected mortality. Prediction
of this outcome improved by adding the dutch-early-nurse-worry-indicator-score
indicators to an Early Warning Score based on vital signs. DESIGN: An
observational cohort study was conducted on three surgical wards in a tertiary
university-affiliated teaching hospital. METHODS: Included were surgical, native
speaking, adult patients. Nurses scored presence of 'worry' and/or dutch-early
nurse-worry-indicator-score indicators every shift or when worried. Vital signs
were measured according to the prevailing protocol. Unplanned intensive care/high
dependency unit admission or unexpected mortality was the composite endpoint.
Percentages of 'worry' and dutch-early-nurse-worry-indicator-score indicators
were calculated at various Early Warning Score levels in control and event
groups. Entering all dutch-early-nurse-worry-indicator-score indicators in a
multiple logistic regression analysis, we calculated a weighted score and
calculated sensitivity, specificity, positive predicted value and negative
predicted value for each possible total score. RESULTS: In 3522 patients, 102
(2.9%) had an unplanned intensive care/high dependency unit admissions (n = 97)
or unexpected mortality (n = 5). Patients with such events and only slightly
changed vital signs had significantly higher percentages of 'worry' and dutch
early-nurse-worry-indicator-score indicators expressed than patients in the
control group. Increasing number of dutch-early-nurse-worry-indicator-score
indicators showed higher positive predictive values. CONCLUSIONS: Dutch-early
nurse-worry-indicator-score indicators alert in an early stage of deterioration,
before reaching the trigger threshold to call a rapid response team and can
improve interdisciplinary communication on surgical wards during regular rounds,
and when calling for assistance. RELEVANCE TO CLINICAL PRACTICE: Dutch-early
nurse-worry-indicator-score structures communication and recording of signs known
to be associated with a decline in a patient's condition and can empower nurses
to call assistance on the 'worry' criterion in an early stage of deterioration.
PMID- 27865004
TI - Long-term effects of high-intensity interval training in heart transplant
recipients: A 5-year follow-up study of a randomized controlled trial.
AB - BACKGROUND: Previous studies have demonstrated that high-intensity interval
training (HIT) is superior to moderate-continuous exercise in general and in
cardiovascular diseases. Recently, we also found HIT safe and efficient after
heart transplantation (HTx). This study reports the 5-year long-term effects.
DESIGN AND METHODS: Forty-one HTx patients who had completed the previous 12
month randomized controlled trial, comparing HIT intervention with usual care,
were eligible. In particular, we measured VO2peak , muscular capacity,
intravascular ultrasound, and questionnaires measuring physical and mental
health. RESULTS: The baseline mean+/-SD values were as follows: age; 49.1+/-16.5
years, men; 68%, time since HTx: 4.1+/-2.2 years. Within the HIT group, initial
VO2peak increased significantly from 27.7+/-5.7 to 31.2+/-5.3 mL/kg/min. However,
during the next 4 years, VO2peak decreased to 26.0+/-6.2 mL/kg/min. The control
group showed slightly decreasing VO2peak values during the entire 5-year period.
The HIT group reported significantly less anxiety symptoms, but there were no
long-term differences in VO2peak , muscular capacity, or cardiac allograft
vasculopathy between the groups. The similar VO2peak values correspond to our
findings of similar everyday activity. CONCLUSION: Our findings suggest that
intermittent periods of HIT may be necessary to maintain the initial benefits
gained from the intervention. However, HIT probably reduces the burden of
anxiety, which is a frequent health issue following HTx.
PMID- 27865005
TI - Hydrogenated Bismuth Molybdate Nanoframe for Efficient Sunlight-Driven Nitrogen
Fixation from Air.
AB - Sunlight-driven dinitrogen fixation can lead to a novel concept for the
production of ammonia under mild conditions. However, the efficient artificial
photosynthesis of ammonia from ordinary air (instead of high pure N2 ) has never
been implemented. Here, we report for the first time the intrinsic catalytic
activity of Bi2 MoO6 catalyst for direct ammonia synthesis under light
irradiation. The edge-exposed coordinatively unsaturated Mo atoms in an Mo-O
coordination polyhedron can act as activation centers to achieve the
chemisorption, activation, and photoreduction of dinitrogen efficiently. Using
that insight as a starting point, through rational structure and defect
engineering, the optimized Bi2 MoO6 sunlight-driven nitrogen fixation system,
which simultaneously possesses robust nitrogen activation ability, excellent
light-harvesting performance, and efficient charge transmission was successfully
constructed. As a surprising achievement, this photocatalytic system demonstrated
for the first time ultra-efficient (1.3 mmol g-1 h-1 ) and stable sunlight
driven nitrogen fixation from air in the absence of any organic scavengers.
PMID- 27865006
TI - Soft Microrobots Employing Nonequilibrium Actuation via Plasmonic Heating.
AB - A soft microrobot composed of a microgel and driven by the light-controlled
nonequilibrium dynamics of volume changes is presented. The photothermal response
of the microgel, containing plasmonic gold nanorods, enables fast heating/cooling
dynamics. Mastering the nonequilibrium response provides control of the complex
motion, which goes beyond what has been so far reported for hydrophilic
microgels.
PMID- 27865008
TI - Biosynthesis of the psychotropic plant diterpene salvinorin A: Discovery and
characterization of the Salvia divinorum clerodienyl diphosphate synthase.
AB - Salvia divinorum commonly known as diviner's sage, is an ethnomedicinal plant of
the mint family (Lamiaceae). Salvia divinorum is rich in clerodane-type
diterpenoids, which accumulate predominantly in leaf glandular trichomes. The
main bioactive metabolite, salvinorin A, is the first non-nitrogenous natural
compound known to function as an opioid-receptor agonist, and is undergoing
clinical trials for potential use in treating neuropsychiatric diseases and drug
addictions. We report here the discovery and functional characterization of two
S. divinorum diterpene synthases (diTPSs), the ent-copalyl diphosphate (ent-CPP)
synthase SdCPS1, and the clerodienyl diphosphate (CLPP) synthase SdCPS2. Mining
of leaf- and trichome-specific transcriptomes revealed five diTPSs, two of which
are class II diTPSs (SdCPS1-2) and three are class I enzymes (SdKSL1-3). Of the
class II diTPSs, transient expression in Nicotiana benthamiana identified SdCPS1
as an ent-CPP synthase, which is prevalent in roots and, together with SdKSL1,
exhibits a possible dual role in general and specialized metabolism. In vivo co
expression and in vitro assays combined with nuclear magnetic resonance (NMR)
analysis identified SdCPS2 as a CLPP synthase. A role of SdCPS2 in catalyzing the
committed step in salvinorin A biosynthesis is supported by its biochemical
function, trichome-specific expression and absence of additional class II diTPSs
in S. divinorum. Structure-guided mutagenesis revealed four catalytic residues
that enabled the re-programming of SdCPS2 activity to afford four distinct
products, thus advancing our understanding of how neo-functionalization events
have shaped the array of different class II diTPS functions in plants, and may
promote synthetic biology platforms for a broader spectrum of diterpenoid
bioproducts.
PMID- 27865009
TI - Melatonin synergizes the chemotherapeutic effect of 5-fluorouracil in colon
cancer by suppressing PI3K/AKT and NF-kappaB/iNOS signaling pathways.
AB - 5-Fluorouracil (5-FU) is one of the most commonly used chemotherapeutic agents in
colon cancer treatment, but has a narrow therapeutic index limited by its
toxicity. Melatonin exerts antitumor activity in various cancers, but it has
never been combined with 5-FU as an anticolon cancer treatment to improve the
chemotherapeutic effect of 5-FU. In this study, we assessed such combinational
use in colon cancer and investigated whether melatonin could synergize the
antitumor effect of 5-FU. We found that melatonin significantly enhanced the 5-FU
mediated inhibition of cell proliferation, colony formation, cell migration and
invasion in colon cancer cells. We also found that melatonin synergized with 5-FU
to promote the activation of the caspase/PARP-dependent apoptosis pathway and
induce cell cycle arrest. Further mechanism study demonstrated that melatonin
synergized the antitumor effect of 5-FU by targeting the PI3K/AKT and NF
kappaB/inducible nitric oxide synthase (iNOS) signaling. Melatonin in combination
with 5-FU markedly suppressed the phosphorylation of PI3K, AKT, IKKalpha,
IkappaBalpha, and p65 proteins, promoted the translocation of NF-kappaB p50/p65
from the nuclei to cytoplasm, abrogated their binding to the iNOS promoter, and
thereby enhanced the inhibition of iNOS signaling. In addition, pretreatment with
a PI3K- or iNOS-specific inhibitor synergized the antitumor effects of 5-FU and
melatonin. Finally, we verified in a xenograft mouse model that melatonin and 5
FU exerted synergistic antitumor effect by inhibiting the AKT and iNOS signaling
pathways. Collectively, our study demonstrated that melatonin synergized the
chemotherapeutic effect of 5-FU in colon cancer through simultaneous suppression
of multiple signaling pathways.
PMID- 27865010
TI - A mixed-methods study exploring sign-off mentorship practices in relation to the
Nursing and Midwifery Council standards.
AB - AIMS AND OBJECTIVES: To investigate the current practice and experience of sign
off mentors in one NHS trust. BACKGROUND: In the UK, sign-off mentors support
nursing students in their last clinical placement and are accountable for the
final assessment of fitness to practice as a registered nurse. DESIGN: Mixed
methods study. METHODS: The focus was on two key Nursing and Midwifery Council
standards: the requirement for students to work at least 40% of their time on
clinical placement with a sign-off mentor/mentor; the sign-off mentor had one
hour-per-week protected time to meet the final placement student. Data were
collected through two audits of clinical and university documents and an
experience survey administered to all sign-off mentors in one trust. RESULTS: The
audits showed that only 22/42 (52%) of students were supervised by their sign-off
mentor/mentor at least 40% of the time, whilst 10/42 (24%) students never worked
a shift with their sign-off mentor. Only one student met their sign-off mentor
every week. Complete data were available in 31/64 (47%) sign-off mentors, of whom
21/30 (70%) rarely/never had reduced clinical commitment to mentor final
placement students. Furthermore, 19/28 (68%) met their student after their shift
had ended with 24/30 (80%) reporting not getting any protected time. CONCLUSION:
Sign-off mentors have inadequate time and resources to undertake their role, yet
are accountable for confirming the student has the required knowledge and skills
to practise safely. The current model needs urgent review to improve mentoring
standards. RELEVANCE TO CLINICAL PRACTICE: Understanding how the role of the sign
off mentor is working in practice is critical to ensuring that the Nursing and
Midwifery Council standards are met, ensuring students are well supported and
appropriately assessed in practice, and mentoring is given the high profile it
deserves to guarantee high-quality care and protecting the public.
PMID- 27865007
TI - Anisotropic Materials for Skeletal-Muscle-Tissue Engineering.
AB - Repair of damaged skeletal-muscle tissue is limited by the regenerative capacity
of the native tissue. Current clinical approaches are not optimal for the
treatment of large volumetric skeletal-muscle loss. As an alternative, tissue
engineering represents a promising approach for the functional restoration of
damaged muscle tissue. A typical tissue-engineering process involves the design
and fabrication of a scaffold that closely mimics the native skeletal-muscle
extracellular matrix (ECM), allowing organization of cells into a physiologically
relevant 3D architecture. In particular, anisotropic materials that mimic the
morphology of the native skeletal-muscle ECM, can be fabricated using various
biocompatible materials to guide cell alignment, elongation, proliferation, and
differentiation into myotubes. Here, an overview of fundamental concepts
associated with muscle-tissue engineering and the current status of muscle-tissue
engineering approaches is provided. Recent advances in the development of
anisotropic scaffolds with micro- or nanoscale features are reviewed, and how
scaffold topographical, mechanical, and biochemical cues correlate to observed
cellular function and phenotype development is examined. Finally, some recent
developments in both the design and utility of anisotropic materials in skeletal
muscle-tissue engineering are highlighted, along with their potential impact on
future research and clinical applications.
PMID- 27865011
TI - Vital signs as predictors for aggression in hospital patients (VAPA).
AB - AIMS AND OBJECTIVES: To examine and describe the relationship between
physiological status and violent and aggressive behaviours in hospital patients.
BACKGROUND: The majority of adverse events are preceded by physiological
abnormalities; whether physiological deterioration is a predictor of violent or
aggressive behaviours remains unknown. DESIGN: Prospective case-control study.
METHODS: Prospective audit of 999 patients from two major health services in
Melbourne, Australia. There were 333 cases who required an emergency response for
aggressive or violent behaviour (Code Grey) in the emergency department, medical
or surgical units, or inpatient mental health unit between January-June 2015. Two
control patients who did not have a Code Grey were randomly selected from the
same unit and same day that the Code Grey occurred for the case patient. RESULTS:
Patient locations were 54.4% medical or surgical units, 23.7% emergency
department and 21.9% mental health units. Code Grey patients had less
documentation of physiological assessment and were more likely to have
respiratory rate, heart rate and conscious state abnormalities in the 12 hours
preceding Code Grey. After adjusting for confounders, the risk of Code Grey was
highest for patients with confusion. CONCLUSION: Patients experiencing
behavioural disturbance had lower standards of patient assessment, greater
incidence of physiological abnormalities and more inpatient deaths. Early
recognition of, and response to, patient and physiological predictors of Code
Grey should be a strategy to prevent behavioural escalation to the point of Code
Grey. RELEVANCE TO CLINICAL PRACTICE: Strategies are needed to improve
physiological assessment of patients with behavioural disturbance while ensuring
staff safety. There are patient and physiological factors associated with
increased risk of Code Grey that may be used to prevent behavioural escalation to
the point of an emergency response.
PMID- 27865012
TI - Half-Sandwich Alkyl, Amido, and Iodo Samarium(II) Complexes: Non-Conventional
Sterically Governed Oxidation of (tBu4 Carb)2 Sm.
AB - The half-sandwich tetra-tert-butylcarbazol-9-yl iodo complex [(tBu4 Carb)Sm(MU
I)(THF)2 ]2 (1) was synthesized by the salt metathesis reaction of tBu4 CarbK and
SmI2 (THF)2 in THF. Complex 1 along with metallic Cu was also isolated from the
oxidation reaction of (tBu4 Carb)2 Sm by CuI. The formation of stable radical
tBu4 Carb. was detected in this non-conventional process, indicating preferential
oxidation of anion tBu4 Carb- vs. SmII . The treatment of 1 with two equivalents
of dibenzo-18-crown-6 resulted in heterolytic dissociation of a eta5 -bond Sm
tBu4 Carb and afforded an ionic compound [tBu4 carb- ][SmI(crown)(THF)2 ]+ (4).
Alkylation of 1 with o-NMe2 C6 H4 CH2 K (1:2 molar ratio) in THF allowed for the
synthesis of half-sandwich SmII alkyl complex (tBu4 Carb)SmCH2 (o-NMe2 C6 H4 CH2
)(THF)2 (5) in 55 % yield. The amido complex (tBu4 Carb)SmN(SiMe3 )2 (DME) (6)
was obtained by the reaction of 1 with two molar equivalents of NaN(SiMe3 )2 in
THF in 89 % yield.
PMID- 27865013
TI - Dancing around families: neonatal nurses and their role in child protection.
AB - AIMS AND OBJECTIVES: To explore the processes neonatal intensive care nurses used
in their child protection role with preterm infants. BACKGROUND: Neonatal nurses'
screening for family violence is important in identifying at-risk preterm infants
requiring protection upon discharge from neonatal intensive care settings. We
know little about neonatal nurses and their role in child protection. DESIGN: A
qualitative research design using Glaserian grounded theory. METHODS: Ten in
depth semi-structured interviews were conducted with New Zealand neonatal
intensive care nurses. Data were simultaneously analysed using constant
comparative analysis and theoretical sampling to develop a substantive grounded
theory. RESULTS: Dancing around families is the substantive grounded theory
explaining how neonatal intensive care nurses respond to and manage an infant
needing child protection. Knowing at-risk families is the process these nurses
used, which draws on their personal and professional knowledge to identify an
infant's child welfare requirements. A tension exists for neonatal nurses in
shaping and framing the baby's safety and protection needs between their role of
nurturing and protecting an at-risk infant and it belonging to the family.
CONCLUSIONS: Child protection is a source of conflict for neonatal intensive care
nurses. A lack of education, dodgy families and lack of confidence in child
welfare services all compromise effective child protection. Their reality is
tension between wanting the best possible outcomes for the baby, but having
little or no control over what happens following its discharge. RELEVANCE TO
CLINICAL PRACTICE: Neonatal intensive care nurses are ideally positioned to
identify and respond to those preterm infants at risk of child maltreatment. They
need education in child maltreatment, and protection focused on preterm infants,
collegial support and clinical supervision.
PMID- 27865014
TI - OFF-ON-OFF Red-Emitting Fluorescent Indicators for a Narrow pH Window.
AB - A unique combination of two independent mechanisms of fluorescence quenching,
namely intramolecular charge transfer (ICT) from a peripheral donor and
protonation of azomethine nitrogen atoms in zinc tetrapyrazinoporphyrazines
(TPyzPz), provides a new possibility for sensing pH in a specific range. The pH
selectivity was controlled by the different basicities of the donor for ICT
(dimethylaminoaryl), which was connected to the macrocycle by pi-extended linkers
of different lengths. ICT and protonation have been studied in detail by
photophysical, spectral (UV/Vis and MCD spectra), and electrochemical
measurements, and further supported by theoretical calculations (DFT, TDDFT). The
pH-sensing properties of the TPyzPzs have been investigated in THF and in water
after anchoring the TPyzPzs to liposomes. The salient pKa values were around 1.3
(azomethine nitrogen) and 2.29-4.76 (donor for ICT). The lead indicators (sensing
over a pH range of 1.0-2.5) with fairly steep sensing profiles exhibited
increases in fluorescence between the OFF/ON states of more than 20-fold and
strong absorption in the red region (Q-band maximum >650 nm, epsilon~2*106 m-1
cm-1 ).
PMID- 27865016
TI - Bimetal-Organic Framework Derived CoFe2 O4 /C Porous Hybrid Nanorod Arrays as
High-Performance Electrocatalysts for Oxygen Evolution Reaction.
AB - Porous CoFe2 O4 /C NRAs supported on nickel foam@NC (denoted as NF@NC-CoFe2 O4 /C
NRAs) are directly fabricated by the carbonization of bimetal-organic framework
NRAs grown on NF@poly-aniline(PANI), and they exhibit high electrocatalytic
activity, low overpotential, and high stability for the oxygen evolution reaction
in alkaline media.
PMID- 27865017
TI - Isolated thoughts and feelings and unsolved concerns: adolescents' and parents'
perspectives on living with type 1 diabetes - a qualitative study using visual
storytelling.
AB - AIMS AND OBJECTIVES: To explore and describe the experiences of adolescents and
their parents living with type 1 diabetes, to identify their needs for support to
improve adolescents' self-management skills in the transition from child- to
adulthood. BACKGROUND: Adolescents with type 1 diabetes often experience
deteriorating glycaemic control and distress. Parents are important in
adolescents' ability to self-manage type 1 diabetes, but they report anxiety and
frustrations. A better understanding of the challenges adolescents and parents
face, in relation to the daily self-management of type 1 diabetes, is important
to improve clinical practice. DESIGN: A qualitative explorative study using
visual storytelling as part of individual interviews. METHODS: A purposive sample
of nine adolescents and their parents (seven mothers, six fathers) took
photographs illustrating their experiences living with type 1 diabetes.
Subsequently, participants were interviewed individually guided by participants'
photographs and a semistructured interview guide. Interviews were analysed using
thematic analysis. RESULTS: Four major themes were consistent across adolescents
and their parents: (1) striving for safety, (2) striving for normality, (3)
striving for independence and (4) worrying about future. Although adolescents and
parents had same concerns and challenges living with type 1 diabetes, they were
experienced differently. Their thoughts and feelings mostly remained isolated and
their concerns and challenges unsolved. CONCLUSIONS: The concerns and challenges
adolescents and their parents face in the transition from child- to adulthood are
still present despite new treatment modalities. Parents are fundamental in
supporting the adolescents' self-management-work; however, the parties have
unspoken concerns and challenges. RELEVANCE TO CLINICAL PRACTICE: Healthcare
providers should address the parties' challenges and concerns living with type 1
diabetes to diminish worries about future including fear of hypoglycaemia, the
burden of type 1 diabetes and the feeling of being incompetent in diabetes self
management. It is important to focus on supporting both adolescents and their
parents, and to provide a shared platform for communication.
PMID- 27865015
TI - Cannabis use during treatment for alcohol use disorders predicts alcohol
treatment outcomes.
AB - AIMS: To compare post-treatment alcohol use between those who use cannabis and
those who abstain during treatment for alcohol use disorders (AUD); and to
examine potential cannabis use thresholds by comparing post-treatment alcohol use
between four frequency groups of cannabis users relative to abstainers. DESIGN:
Secondary analyses of the Combined Pharmacotherapies and Behavioral Interventions
(COMBINE) Study, a randomized control trial of AUD treatments. The current study
compares longitudinal drinking data between those who used cannabis versus those
who abstained during COMBINE treatment. SETTING: The COMBINE Study treatments
were delivered on an out-patient basis for 16 weeks. The current analyses include
206 cannabis users and 999 cannabis abstainers. PARTICIPANTS: All participants
met diagnosis of primary alcohol dependence (n = 1383). MEASUREMENTS: Primary
exposures were any cannabis use and quartiles of cannabis use (Q1: 1-4 use days
during treatment, Q2: 5-9 days, Q3: 10-44 days, Q4: 45-112 days). Outcomes were
percentage of days abstinent from alcohol (PDA), drinks per drinking day (DPDD)
and percentage of heavy drinking days (PHD), all measured at treatment end and 1
year post-treatment. FINDINGS: Compared with no cannabis use, any cannabis use
during treatment was associated with 4.35% [95% confidence interval (CI) = -8.68,
-0.02], or approximately 4 fewer alcohol abstinent days at the end of treatment.
This association weakened by 1 year post-treatment (95% CI = -9.78, 0.54).
Compared with no cannabis use, only those in the second quartile of cannabis use
(those who used once or twice per month during treatment) had 8.81% (95% CI =
17.00, -0.63), or approximately 10 fewer days alcohol abstinent at end of
treatment, and 11.82% (95% CI = -21.56, -2.07), or approximately 13 fewer alcohol
abstinent days 1 year post-treatment. Neither any cannabis use nor quartiles were
associated with DPDD or PHD at either time-point. CONCLUSIONS: Among individuals
in alcohol treatment, any cannabis use (compared with none) is related to a
significantly lower percentage of days abstinent from alcohol post-treatment,
although only among those who used cannabis once or twice per month.
PMID- 27865018
TI - How Would Rural Hospitals Be Affected by Loss of the Affordable Care Act's
Medicare Low-Volume Hospital Adjustment?
AB - PURPOSE: The low-volume hospital (LVH) payment adjustment established in the
Patient Protection and Affordable Care Act (ACA) of 2010 is scheduled to sunset
on October 1, 2017. The purpose of this analysis was: (1) to estimate the effect
of the ACA LVH adjustment on qualifying hospitals' profitability margins; and (2)
to examine hospital and market characteristics of the hospitals that would be
most adversely affected by the loss of the ACA LVH adjustment. METHODS: 2004-2015
data from the Hospital Cost Report Information System, Hospital Market Service
Area File and Nielsen-Claritas Pop-Facts file were used to estimate difference-in
difference regression models with hospital-level random effects in order to
determine whether the ACA LVH adjustment improved qualifying rural hospitals'
profitability margins. Recycled predictions estimated the effect of losing the
ACA LVH adjustment on profitability margins. Bivariate analyses explored
associations between the predicted profitability margins and hospital and market
characteristics. FINDINGS: The ACA LVH adjustment significantly improved Sole
Community Hospitals' Medicare inpatient margins in the year they received the
adjustment, and it had a large but statistically insignificant effect on the
profitability margins of other rural hospitals. Hospitals that would be the most
adversely affected by loss of the ACA LVH adjustment were more likely to be
small, located in the South, and in high-poverty markets with higher proportions
of black and uninsured individuals. CONCLUSIONS: Elimination of the ACA LVH
adjustment would have differential effects on subgroups of hospitals, and those
located in markets serving historically underserved populations would be the most
adversely affected.
PMID- 27865019
TI - The regulation of carotenoid formation in tomato fruit.
AB - Carotenoid biosynthesis in plants includes a complex series of
desaturation/isomerisation reactions, catalyzed by four independent enzymes. In
bacteria and fungi one desaturase/isomerase enzyme completes the same series of
reactions. In the present study, a bacterial desaturase (crtI) from Pantoea
ananatis has been overexpressed in the tangerine mutant of tomato (Solanum
lycopersicon) which accumulates cis-carotene isomers in the fruit due to a
defective isomerase (CRTISO) and the old gold crimson (ogc ) tomato mutant, which
is defective in the fruit-enhanced lycopene beta-cyclase (CYCB). Comprehensive
molecular and biochemical characterization of the resulting lines expressing crtI
has revealed negative feedback mechanisms, acting predominantly at the level of
phytoene synthase-1 (PSY1), and feed-forward mechanisms inducing cyclisation. In
both cases, altered transcription appears to be the progenitor, with subsequent
post-transcriptional modulation highlighting the complexity of the processes
involved in modulating carotenoid homeostasis in plant tissues.
PMID- 27865020
TI - Nitrogen-Induced Transformation of Vitamin C into Multifunctional Up-converting
Carbon Nanodots in the Visible-NIR Range.
AB - Water-soluble, biocompatible, and photoluminescent carbon nanodots have been
obtained from the rationalized carbonization of vitamin C, a well-known
antioxidant molecule in the presence of an amine co-reactant. Herein, we describe
the positive influence of N-doping to induce a unique pH-dependent lifetime decay
response that would be potentially attractive in biological backgrounds with
intrinsic fluorescence fluctuations. In addition, the selectivity and sensitivity
of the N-containing carbon nanoprobes towards the detection of copper ions at ppm
levels is critically enhanced in comparison with the un-doped counterpart,
especially in the near-infrared (NIR) range. Finally, the up-converting
properties have been also successfully applied to image tumor cells in the
visible range and remarkably, in the NIR region in which minimal tissue or water
absorption and maximum penetration depth are expected.
PMID- 27865022
TI - Exploring the experiences of patients attending day hospitals in the rural
Scotland: capturing the patient's voice.
AB - AIMS AND OBJECTIVES: To understand the meaning of person-centred compassionate
care for people attending day hospitals in rural Scotland. BACKGROUND: Increasing
numbers of older people are living with chronic conditions and require support to
live at home. Intermediate care services such as day hospitals can enable this.
Much previous research about day hospitals focused on organisational aspects of
care. This study set out to capture the voice of the patient using this service.
DESIGN: A descriptive qualitative study. METHODS: Individual interviews were
undertaken in participant's homes using emotional touchpoints as prompts to help
patients discuss their experience of care within a day hospital (n = 15). Data
were analysed using thematic analysis. RESULTS: Four main themes were identified
from the data: Relationships, Feeling Valued, Expectations and Perceived
Benefits. The findings showed that relationships with staff and other patients
were important. The patients also wanted to feel valued, and helping others was
part of this. The patients had clear expectations of the service but had an acute
awareness of the benefits of attending the day hospital such as coordination of
their care. Overall, the patients were highly satisfied, felt that care was
person-centred and recognised the advantages of remaining close to home.
CONCLUSIONS: At a time when enabling health and social care integration is a
priority, this study provides insight into the patient perspective of
intermediate care. The findings reveal what matters to patients cared for in the
community and how this service can respond to this. RELEVANCE TO CLINICAL
PRACTICE: This study provides insight for healthcare practitioners caring for
patients in the community and those responsible for planning and resourcing this
service. It should also start a dialogue about how these services could be used
more.
PMID- 27865021
TI - Ligand binding specificity of the Escherichia coli periplasmic histidine binding
protein, HisJ.
AB - The HisJ protein from Escherichia coli and related Gram negative bacteria is the
periplasmic component of a bacterial ATP-cassette (ABC) transporter system.
Together these proteins form a transmembrane complex that can take up L-histidine
from the environment and translocate it into the cytosol. We have studied the
specificity of HisJ for binding L-His and many related naturally occurring
compounds. Our data confirm that L-His is the preferred ligand, but that 1-methyl
L-His and 3-methyl-L-His can also bind, while the dipeptide carnosine binds
weakly and D-histidine and the histidine degradation products, histamine,
urocanic acid and imidazole do not bind. L-Arg, homo-L-Arg, and post
translationally modified methylated Arg-analogs also bind with reasonable
avidity, with the exception of symmetric dimethylated-L-Arg. In contrast, L-Lys
and L-Orn have considerably weaker interactions with HisJ and methylated and
acetylated Lys variants show relatively poor binding. It was also observed that
the carboxylate group of these amino acids and their variants was very important
for proper recognition of the ligand. Taken together our results are a key step
towards designing HisJ as a specific protein-based reagentless biosensor.
PMID- 27865023
TI - Layered Post-Transition-Metal Dichalcogenides (X-M-M-X) and Their Properties.
AB - AIII BVI chalcogenides are an interesting group of layered semiconductors with
several attractive properties, such as tunable band gaps and the formation of
solid solutions. Unlike the typically sandwiched structure of transition-metal
dichalcogenides, AIII BVI layered chalcogenides with hexagonal symmetry are
stacked through the X-M-M-X motif, in which M is gallium and indium, and X is
sulfur, selenium, and tellurium. In view of the inadequate study of the
electrochemical properties and great interest in layered materials towards energy
related research, herein the inherent electrochemistry of GaS, GaSe, GaTe, and
InSe has been studied, as well as the exploration of their potential as hydrogen
evolution reaction (HER) electrocatalysts. All four materials show redox peaks
during cyclic voltammetry measurements. Furthermore, insights into catalysis of
the HER are provided; these indicate the conductivity and number of active sites
of the materials. All of these findings have important implications on their
possible applications.
PMID- 27865024
TI - Impact of the zero-markup drug policy on hospitalisation expenditure in western
rural China: an interrupted time series analysis.
AB - OBJECTIVES: To assess the long-term effects of the introduction of China's zero
markup drug policy on hospitalisation expenditure and hospitalisation
expenditures after reimbursement. METHODS: An interrupted time series was used to
evaluate the impact of the zero-markup drug policy on hospitalisation expenditure
and hospitalisation expenditure after reimbursement at primary health
institutions in Fufeng County of Shaanxi Province, western China. Two regression
models were developed. Monthly average hospitalisation expenditure and monthly
average hospitalisation expenditure after reimbursement in primary health
institutions were analysed covering the period 2009 through to 2013. RESULTS: For
the monthly average hospitalisation expenditure, the increasing trend was slowed
down after the introduction of the zero-markup drug policy (coefficient = -16.49,
P = 0.009). For the monthly average hospitalisation expenditure after
reimbursement, the increasing trend was slowed down after the introduction of the
zero-markup drug policy (coefficient = -10.84, P = 0.064), and a significant
decrease in the intercept was noted after the second intervention of changes in
reimbursement schemes of the new rural cooperative medical insurance (coefficient
= -220.64, P < 0.001). CONCLUSIONS: A statistically significant absolute decrease
in the level or trend of monthly average hospitalisation expenditure and monthly
average hospitalisation expenditure after reimbursement was detected after the
introduction of the zero-markup drug policy in western China. However,
hospitalisation expenditure and hospitalisation expenditure after reimbursement
were still increasing. More effective policies are needed to prevent these costs
from continuing to rise.
PMID- 27865025
TI - MR targeted imaging for the expression of tenascin-C in myocardial infarction in
vivo.
AB - PURPOSE: To investigate the presence of viable myocardium in mice with acute
myocardial infarction (MI) using a molecular targeted probe. MATERIALS AND
METHODS: Super paramagnetic iron oxide (SPIO) nanoparticles and tenascin-C
antibody were conjugated as an MRI probe. Fifteen mice with infarction were
injected with SPIO-anti-tenascin-C (3 days [d], 5d, 7d after infarction; n = 5
for each group). Another five mice with infarction (5d, n = 5) were injected with
SPIO for comparison. In vivo MR (7 Tesla, fast low-angle shot multi-slice T2*
sequence) was performed for tracing. Histological analysis was used to compare
surviving cardiomyocytes with signal changes on MR. RESULTS: The mRNA expression
of tenascin-C increased directly after MI and peaked at the fifth day (5d 24.29
+/- 1.41 versus 3d 10.63 +/- 0.72, 7d 6.56 +/- 0.12; P < 0.01). T2 relaxation
rate of synthesized SPIO-anti-tenascin-C was r2 = 338 mM-1 s-1 . After MR, the
signal changes (contrast-to-noise ratio) of the research group were 3d 6.51 +/-
1.13 versus 5d 14.06 +/- 3.19 versus 7d 5.02 +/- 2.65, P < 0.05. The MR signal
showed a small decrease in the contrast group on 5d (research group 14.06 +/-
3.19 versus contrast group 1.75 +/- 0.59, P < 0.05). CONCLUSION: Tenascin-C was
expressed by surviving cardiomyocytes within the infarcted region. MR imaging
with SPIO-anti-tenascin-C might be used to evaluate myocardial viability of MI
patients before therapy. LEVEL OF EVIDENCE: 1 Technical Efficacy: Stage 4 J.
MAGN. RESON. IMAGING 2017;45:1668-1674.
PMID- 27865026
TI - Self-efficacy in the context of heart transplantation - a new perspective.
AB - AIMS AND OBJECTIVES: An in-depth exploration of self-efficacy among heart
transplant recipients by means of Bandura's self-efficacy theory. BACKGROUND: An
essential component of chronic illness management is self-management, which
refers to activities carried out by people to create order, structure and control
in their lives. Self-efficacy is an important aspect of self-management, which
seems to have become the main paradigm for long-term management after solid organ
transplantation. DESIGN: A directed content analysis using Bandura's self
efficacy theory. METHODS: Open-ended, in-depth interviews were conducted with 14
heart transplant recipients at their 12-month follow-up after heart
transplantation. RESULTS: This study generated the hypothesis that from the
patients' perspective, self-efficacy after heart transplantation concerns
balancing expectations to find the optimum level of self-efficacy. Performance
accomplishment was found to have the greatest impact on self-efficacy, while its
absence was the main source of disappointments. It was also revealed that the gap
between performance accomplishment and efficacy expectations can be understood as
uncertainty. CONCLUSIONS: It is essential to assess both expectations and
disappointments from the patient perspective in order to promote an optimum level
of self-efficacy among heart transplant recipients. This includes supporting the
heart recipient to adopt mental and physical adjustment strategies to balance
her/his expectations as a means of minimising disappointments. The understanding
that uncertainty can undermine self-efficacy is crucial. RELEVANCE TO CLINICAL
PRACTICE: The merging of the uncertainty in illness and self-efficacy theories
provides an excellent framework for the provision of self-management support. In
addition, focusing on a partnership between the transplant professionals and the
recipient is essential because it minimises the use of a behavioural approach.
PMID- 27865028
TI - Trends in tropical tree growth: re-analyses confirm earlier findings.
AB - In a recent Opinion article, Brienen et al. (2016) raise doubts about our finding
that tropical tree growth has not increased during 150 years of CO2 rise
(Groenendijk et al., 2015; van der Sleen et al., 2015). They claim that our tree
ring data contain evidence for historical growth stimulation that was concealed
due to failing regeneration in several species. Here we show that (i) the
correction method proposed by Brienen et al. induces a bias towards finding
positive growth trends, (ii) the results of Brienen et al. rest on selective
removal of species, (iii) there is a simple and effective way to accommodate
effects of recruitment failure by subsetting data, and (iv) the application of
this method confirms our earlier findings. Thus, our results are robust to
effects of recruitment failure and our conclusions remain unchanged: we find no
evidence for historical growth changes in our studied tree species.
PMID- 27865027
TI - Assessment of active and inactive sacroiliitis in patients with ankylosing
spondylitis using quantitative dynamic contrast-enhanced MRI.
AB - PURPOSE: To investigate the feasibility of using quantitative dynamic contrast
enhanced magnetic resonance imaging (DCE-MRI) to differentiate the active and
inactive stage of sacroiliitis and the correlation between quantitative
parameters and disease activity as measured by clinical scores. MATERIALS AND
METHODS: Forty-two patients with ankylosing spondylitis underwent DCE-MRI on a
3.0T MRI unit. According to the results of the blood sedimentation rate (ESR), C
reactive protein (CRP), and Bath Ankylosing Spondylitis Disease Activity Index
(BASDAI), the patients were grouped into inactive and active groups.
Pharmacokinetic models were used to generate the semiquantitative and
quantitative hemodynamic parameters of DCE-MRI. The between-group differences
were analyzed using the Wilcoxon rank sum test, and the correlations between the
pharmacokinetic parameters and BASDAI score were analyzed using Spearman's
correlation coefficient. The efficacies of different parameters in
differentiating the active and inactive phase of sacroiliitis were evaluated and
compared using receiver operator characteristics (ROC) curve analysis. RESULTS:
Ktrans , Kep , Ve , time to peak (TTP), max concentration (MAX Conc), and area
under the curve (AUC) of the active group were significantly higher than those of
the inactive stage group (P < 0.05). There were significant correlations between
all parameters and BASDAI (P < 0.05). AUC of the receiver operator
characteristics curve (AUCR ) of different parameters were not statistically
different (P >0.05), except between AUC and MAX Conc (P = 0.0012). CONCLUSION:
Quantitative DCE-MRI parameters can differentiate between active and inactive
ankylosing spondylitis. Among those, Ktrans had the highest correlation
coefficient with the BASDAI score. LEVEL OF EVIDENCE: 2 Technical Efficacy: Stage
2 J. MAGN. RESON. IMAGING 2017;46:71-78.
PMID- 27865029
TI - Highly Intact and Pure Oxo-Functionalized Graphene: Synthesis and Electron-Beam
Induced Reduction.
AB - Controlling the chemistry of graphene is necessary to enable applications in
materials and life sciences. Research beyond graphene oxide is targeted to avoid
the highly defective character of the carbon framework. Herein, we show how to
optimize the synthesis of oxo-functionalized graphene (oxo-G) to prepare high
quality monolayer flakes that even allow for direct transmission electron
microscopy investigation at atomic resolution (HRTEM). The role of undesired
residuals is addressed and sources are eliminated. HRTEM provides clear evidence
for the exceptional integrity of the carbon framework of such oxo-G sheets. The
patchy distribution of oxo-functionality on the nm-scale, observed on our highly
clean oxo-G sheets, corroborates theoretical predictions. Moreover, defined
electron-beam irradiation facilitates gentle de-functionalization of oxo-G
sheets, a new route towards clean graphene, which is a breakthrough for localized
graphene chemistry.
PMID- 27865030
TI - Functionalization of Unactivated C(sp3 )-H Bonds Using Metal-Carbene Insertion
Reactions.
AB - Versatile C-H insertions: Novel protocols for metal-carbene insertions using
diazo compounds have been recently developed. Application of the respective
rhodium and palladium catalytic systems allows formation of new carbon-carbon
bonds in very good yields and selectivities.
PMID- 27865031
TI - The predictability of mosquito abundance from daily to monthly timescales.
AB - The prediction of mosquito abundance is of central interest in addressing
mosquito population dynamics and in forecasting the associated emerging and re
emerging diseases. However, little work has focused on the systematic evaluation
of how well adult mosquito abundance can be predicted as a function of
observational resolutions, aggregation scales, and prediction lead time. We use a
state space reconstruction (SSR) approach to compare the predictability of
mosquito population dynamics at weekly, biweekly, and monthly scales. We focus on
the analysis of Aedes vexans and Culiseta melanura populations monitored in
Brunswick County (North Carolina, USA) and find that prediction over a 7-d lead
time is improved when daily observations are used, compared to the commonly used
once-per-week sample. Our results demonstrate that daily observations of mosquito
abundance contribute to improving mosquito predictability in two ways: (1) daily
observations better capture fluctuations over short timescales, which are missed
when sampling at coarser resolutions, and (2) the aggregation of daily abundance
observations reduces the impact of noise, thereby increasing the predictability
of mosquito population dynamics as the aggregation scale is increased. We show
that the evaluation of population dynamical models based on observed and
predicted abundance can lead to a spuriously high apparent performance, due to
the high autocorrelation in the observations used to update the model state at
each successive time step. We show that the comparison of predicted and observed
population change, expressed through per capita growth rates, leads to a more
informative performance measure.
PMID- 27865032
TI - Intravoxel incoherent motion diffusion-weighted MRI of the abdomen: The effect of
fitting algorithms on the accuracy and reliability of the parameters.
AB - PURPOSE: To evaluate the influence of fitting methods on the accuracy and
reliability of intravoxel incoherent motion (IVIM) parameters, with a particular
emphasis on the constraint function. MATERIALS AND METHODS: Diffusion-weighted
(DW) imaging data were analyzed using IVIM-based full-fitting (simultaneous fit
of all parameters) and segmented-fitting (step-by-step fit of each parameter),
each with and without the constraint function, to estimate the molecular
diffusion coefficient (Dslow ), perfusion fraction (f), and flow-related
diffusion coefficient (Dfast ). Computational simulations were performed at
variable signal-to-noise ratios to evaluate the relative error (RE) and
coefficient of variation (CV) of the estimated IVIM parameters. DW imaging of the
abdomen was performed twice at 1.5 Tesla using nine b-values (0-900 s/mm2 ) in 12
health volunteers (6 men and 6 women; mean age: 30 years). The measurement
repeatability of IVIM parameters in the liver and the pancreas was evaluated
using the within-subject coefficient of variation (w CV). RESULTS: In
simulations, full-fitting without the constraint function yielded the largest RE
(P < 0.001 for Dslow and f; P <= 0.044 for Dfast ) and CV (P <= 0.033 for Dslow
and f; P <= 0.473 for Dfast ) for IVIM parameters among all four algorithms. In
volunteer imaging, full-fitting without the constraint function also resulted in
the poorest repeatability for Dslow (w CV, 17.12%-65.45%) and f (w CV, 19.35%
42.84%) in the liver and pancreas, while the other algorithms had similar
repeatability values (w CV, 4.05%-11.99% for Dslow and 9.65%-18.66% for f).
Measurement repeatability of Dfast (w CV, 29.52%-85.01%) was the poorest among
the IVIM parameters. CONCLUSION: For accurate and reliable measurement of IVIM
parameters, segmented fitting or full-fitting with the constraint function should
be used for IVIM-based analysis of DW imaging. LEVEL OF EVIDENCE: 3 Technical
Efficacy: Stage 2 J. MAGN. RESON. IMAGING 2017;45:1637-1647.
PMID- 27865033
TI - Selective Production of Carbon Monoxide via Methane Oxychlorination over Vanadyl
Pyrophosphate.
AB - A catalytic process is demonstrated for the selective conversion of methane into
carbon monoxide via oxychlorination chemistry. The process involves addition of
HCl to a CH4 -O2 feed to facilitate C-H bond activation under mild conditions,
leading to the formation of chloromethanes, CH3 Cl and CH2 Cl2 . The latter are
oxidized in situ over the same catalyst, yielding CO and recycling HCl. A
material exhibiting chlorine evolution by HCl oxidation, high activity to oxidize
chloromethanes into CO, and no ability to oxidize CO, is therefore essential to
accomplish this target. Following these design criteria, vanadyl pyrophosphate
(VPO) was identified as an outstanding catalyst, exhibiting a CO yield up to
approximately 35 % at 96 % selectivity and stable behavior. These findings
constitute a basis for the development of a process enabling the on-site
valorization of stranded natural-gas reserves using CO as a highly versatile
platform molecule.
PMID- 27865035
TI - The epidemiology of irritable bowel syndrome: Symptom development over a 3-year
period in Denmark. A prospective, population-based cohort study.
AB - BACKGROUND: We aimed to explore the natural history of irritable bowel syndrome
(IBS) in Denmark over 3 years by studying development of IBS symptoms and
associated factors. METHODS: A cohort study was carried out using a web panel
representative of the Danish general population 18-50 years. The survey,
including a questionnaire based on the Rome III criteria for IBS, was conducted
in January 2010, January 2011, and March 2013. KEY RESULTS: The prevalence of IBS
was 15.4% (920/5986). The incidence was 10.3%, and was three times higher for
persons with unspecific gastrointestinal (GI) symptoms compared to asymptomatic
persons. Of respondents with IBS symptoms in both 2010 and 2011, 69% (131/191)
also reported symptoms of IBS in 2013, which was significantly more compared to
respondents with IBS symptoms in 2010 reporting to be asymptomatic or having
unspecific GI symptoms in 2011 (20% and 39%, respectively, P<.001). Being
diagnosed with IBS predicted fulfilling the criteria for IBS 3 years later (OR:
2.59, 95% CI: 1.11-6.10). Fulfilling criteria for IBS after 1 year also led to a
high risk of IBS symptoms 3 years later in asymptomatic persons and persons with
unspecific symptoms at baseline. CONCLUSIONS & INFERENCES: The vast majority of
persons fulfilling criteria for IBS report GI symptoms after one and 3 years.
Fulfilling IBS criteria after 1 year led to a high risk of reporting IBS symptoms
after 3 years. In the general population having an IBS diagnosis predicts
persistently fulfilling the Rome III criteria for IBS 3 years later.
PMID- 27865034
TI - Prevention of hepatitis C recurrence by bridging sofosbuvir/ribavirin from pre-
to post-liver transplant: a real-life strategy.
AB - BACKGROUND & AIMS: Hepatitis C virus (HCV) re-infection following liver
transplant (LT) is associated with reduced graft and patient survival. Before
transplant, Sofosbuvir/Ribavirin (SOF/R) treatment prevents recurrent HCV in 96%
of those patients achieving viral suppression for at least 4 weeks before
transplant. We evaluated whether a bridging SOF-regimen from pre- to post
transplant is safe and effective to prevent HCV recurrence in those patients with
less than 4 weeks of HCV-RNA undetectability at the time of transplant. METHODS:
From July 2014 SOF/R was given in 233 waitlisted HCV cirrhotics with/without
hepatocellular carcinoma (HCC) within an Italian Compassionate Program. One
hundred patients were transplanted and 31 patients (31%) treated with SOF/R
bridging therapy were studied. RESULTS: Liver transplant indication in bridge
subgroup was HCC in 22 and decompensated cirrhosis in 9. HCV-genotype was 1/4 in
18 patients. SOF 400 mg/day and R (median dosage 800 mg/day) were given for a
median of 35 days before LT. At transplant time, 19 patients were still HCV-RNA
positive (median HCV-RNA 58 IU/mL). One recipient had a virological breakthrough
at week 4 post-transplant; one died, on treatment, 1-month post-transplant for
sepsis and 29/31 achieved a 12-week sustained virological response (94%). Acute
cellular rejection occurred in three recipients. On September 2016, 30 recipients
(97%) were alive with a median follow-up of 18 months (range 13-25). CONCLUSIONS:
In patients with suboptimal virological response at LT, a bridging SOF/R regimen
helps avoiding post-transplant graft reinfection.
PMID- 27865036
TI - Relationship between opioid treatment and rate of healing in chronic wounds.
AB - Opioids are routinely used analgesics in patients with chronic wounds; however
the impact of opioid exposure on wound healing is poorly understood. The purpose
of this study was to investigate the association between opioid exposure and
wound outcome in the Wound Etiology and Healing study. This longitudinal
observational study was conducted on 450 subjects enrolled in the Wound Etiology
and Healing biorepository. Data were collected prospectively including baseline
characteristics, pain score, longitudinal opioid exposure, and total wound
surface area (tWSA). Data were analyzed using static multivariate models, fixed
effects mixed models, and time to event analysis. Using fixed-effects models,
opioid dose was significantly associated with tWSA after accounting for the
effects of pain score and baseline co-variates (p < 0.0001). For each 1-unit
increase in ln(opioid dose + 1) the ln(tWSA + 1) increased by 0.16 units (95%
confidence interval 0.13-0.19, p < 0.0001). Visits where opioids were present had
ln(tWSA + 1) 0.48 units larger (95% confidence interval 0.38-0.58, p < 0.0001)
than visits with no opioid exposure. Using time-to-event analysis, patients who
never received opioids healed faster than those who received opioids (log-rank
chi-square 11.00, p = 0.0009). Using Cox regression analysis, patients with mean
opioid dose >=10 mg were significantly less likely to heal than those with no
opioid (HR 0.67 [0.49-0.91], p = 0.011) after adjusting for wound size. Patients
with opioid dose >0 to <10 mg had a similar hazard of not healing as those with
no opioid exposure (HR 0.88 [0.65-1.19], p = 0.40). In conclusion, opioid
analgesics are commonly prescribed to patients with chronic wounds; however, the
data presented suggest that opioid exposure is associated with reduced likelihood
of healing in patients with chronic wounds. Whether this is a causal relationship
will require further study.
PMID- 27865037
TI - Short Enantioselective Total Synthesis of Tatanan A and 3-epi-Tatanan A Using
Assembly-Line Synthesis.
AB - Short and highly stereoselective total syntheses of the sesquilignan natural
product tatanan A and its C3 epimer are described. An assembly-line synthesis
approach, using iterative lithiation-borylation reactions, was applied to install
the three contiguous stereocenters with high enantio- and diastereoselectivity.
One of the stereocenters was installed using a configurationally labile lithiated
primary benzyl benzoate, resulting in high levels of substrate-controlled
(undesired) diastereoselectivity. However, reversal of selectivity was achieved
by using a novel diastereoselective Matteson homologation. Stereospecific
alkynylation of a hindered secondary benzylic boronic ester enabled completion of
the synthesis in a total of eight steps.
PMID- 27865038
TI - Modeling protein-protein and protein-peptide complexes: CAPRI 6th edition.
AB - We present the sixth report evaluating the performance of methods for predicting
the atomic resolution structures of protein complexes offered as targets to the
community-wide initiative on the Critical Assessment of Predicted Interactions
(CAPRI). The evaluation is based on a total of 20,670 predicted models for 8
protein-peptide complexes, a novel category of targets in CAPRI, and 12 protein
protein targets in CAPRI prediction Rounds held during the years 2013-2016. For
two of the protein-protein targets, the focus was on the prediction of side-chain
conformation and positions of interfacial water molecules. Seven of the protein
protein targets were particularly challenging owing to their multicomponent
nature, to conformational changes at the binding site, or to a combination of
both. Encouragingly, the very large multiprotein complex with the nucleosome was
correctly predicted, and correct models were submitted for the protein-peptide
targets, but not for some of the challenging protein-protein targets. Models of
acceptable quality or better were obtained for 14 of the 20 targets, including
medium quality models for 13 targets and high quality models for 8 targets,
indicating tangible progress of present-day computational methods in modeling
protein complexes with increased accuracy. Our evaluation suggests that the
progress stems from better integration of different modeling tools with docking
procedures, as well as the use of more sophisticated evolutionary information to
score models. Nonetheless, adequate modeling of conformational flexibility in
interacting proteins remains an important area with a crucial need for
improvement. Proteins 2017; 85:359-377. (c) 2016 Wiley Periodicals, Inc.
PMID- 27865039
TI - Alcohol consumption during adolescence is associated with reduced grey matter
volumes.
AB - BACKGROUND AND AIMS: Cognitive impairment has been associated with excessive
alcohol use, but its neural basis is poorly understood. Chronic excessive alcohol
use in adolescence may lead to neuronal loss and volumetric changes in the brain.
Our objective was to compare the grey matter volumes of heavy- and light-drinking
adolescents. DESIGN: This was a longitudinal study: heavy-drinking adolescents
without an alcohol use disorder and their light-drinking controls were followed
up for 10 years using questionnaires at three time-points. Magnetic resonance
imaging was conducted at the last time-point. SETTING: The area near Kuopio
University Hospital, Finland. PARTICIPANTS: The 62 participants were aged 22-28
years and included 35 alcohol users and 27 controls who had been followed-up for
approximately 10 years. MEASUREMENTS: Alcohol use was measured by the Alcohol Use
Disorders Identification Test (AUDIT)-C at three time-points during 10 years.
Participants were selected based on their AUDIT-C score. Magnetic resonance
imaging was conducted at the last time-point. Grey matter volume was determined
and compared between heavy- and light-drinking groups using voxel-based
morphometry on three-dimensional T1-weighted magnetic resonance images using
predefined regions of interest and a threshold of P < 0.05, with small volume
correction applied on cluster level. FINDINGS: Grey matter volumes were
significantly smaller among heavy-drinking participants in the bilateral anterior
cingulate cortex, right orbitofrontal and frontopolar cortex, right superior
temporal gyrus and right insular cortex compared to the control group (P < 0.05,
family-wise error-corrected cluster level). CONCLUSIONS: Excessive alcohol use
during adolescence appears to be associated with an abnormal development of the
brain grey matter. Moreover, the structural changes detected in the insula of
alcohol users may reflect a reduced sensitivity to alcohol's negative subjective
effects.
PMID- 27865041
TI - Self-Powered Multimodal Temperature and Force Sensor Based-On a Liquid Droplet.
AB - Herein we report a self-powered multimodal temperature and force sensor based on
the reverse electrowetting effect and the thermogalvanic effect in a liquid
droplet. The deformation of the droplet and the temperature difference across the
droplet can induce an alternating pulse voltage and a direct voltage,
respectively, which is easy to separate/analyze and can be utilized to sense the
external force and temperature simultaneously. In addition, an integral display
system that can derive information from external temperature/force concurrently
is constructed. Combined with advantages of excellent sensing properties and a
simple structure, the droplet sensor has promising applications in a wide range
of intelligent electronics.
PMID- 27865042
TI - Dopant-Catalyzed Singlet Exciton Fission.
AB - In acene-based molecular crystals, singlet exciton fission occurs through
superexchange mediated by two virtual charge-transfer states. Hence, it is
sensitive to their energies, which depend on the local environment. The crucial
point is the balance between the charge-quadrupole interactions within the pair
of molecules directly involved in the process and those with the surrounding
crystal matrix, which are governed by local symmetry and may be influenced by
breaking this symmetry. This happens, for example, in the vicinity of a vacancy
or an impurity and in the latter case is complemented by polarization energy and
potentially by dipolar contributions. Our model calculations indicate that the
superexchange coupling is sensitive enough to these factors to enable fission to
be catalyzed by judiciously designed dopant molecules. In favorable cases,
dipolar dopants are expected to increase the fission rate by an order of
magnitude.
PMID- 27865040
TI - Psychological Outcomes of Living Liver Donors From a Multicenter Prospective
Study: Results From the Adult-to-Adult Living Donor Liver Transplantation Cohort
Study2 (A2ALL-2).
AB - Although single-center and cross-sectional studies have suggested a modest impact
of liver donation on donor psychological well-being, few studies have assessed
these outcomes prospectively among a large cohort. We conducted one of the
largest, prospective, multicenter studies of psychological outcomes in living
liver donors within the Adult-to-Adult Living Donor Liver Transplantation Cohort
Study2 (A2ALL-2) consortium. In total, 271 (91%) of 297 eligible donors were
interviewed at least once before donation and at 3, 6, 12, and 24 mo after
donation using validated measures. We found that living liver donors reported low
rates of major depressive (0-3%), alcohol abuse (2-5%), and anxiety syndromes (2
3%) at any given assessment in their first 2 years after donation. Between 4.7%
and 9.6% of donors reported impaired mental well-being at various time points. We
identified significant predictors for donors' perceptions of being better people
and experiencing psychological growth following donation, including age, sex,
relationship to recipient, ambivalence and motivation regarding donation, and
feeling that donation would make life more worthwhile. Our results highlight the
need for close psychosocial monitoring for those donors whose recipients died
(n=27); some of those donors experienced guilt and concerns about responsibility.
Careful screening and targeted, data-driven follow-up hold promise for optimizing
psychological outcomes following this procedure for potentially vulnerable
donors.
PMID- 27865043
TI - Self-Sorting of Two Hydrocarbon Receptors with One Carbonaceous Ligand.
AB - Non-directional van der Waals forces in biological and synthetic supramolecular
systems play important roles in molecular assembly, particularly in determining
the distances of the interacting species. The van der Waals forces are normally
used in combination with other directional forces and are considered to play a
secondary role in achieving specificity and fidelity in molecular recognition.
Using an ideal supramolecular system consisting solely of hydrogen and carbon
atoms, we found that the van der Waals interactions enable the high-fidelity
sorting of two homomeric receptors during ligand-induced assembly. The self
sorting occurred in a narcissistic manner by repulsion of a competing
diastereoisomeric receptor from the assembly. The structure-sorting relationship
study with enantiomers further revealed the dominant role of the van der Waals
forces in shape recognition for high-fidelity self-sorting.
PMID- 27865044
TI - Cyclo(RGD)-Decorated Reduction-Responsive Nanogels Mediate Targeted Chemotherapy
of Integrin Overexpressing Human Glioblastoma In Vivo.
AB - Cyclo(Arg-Gly-Asp) peptide (cRGD) decorated disulfide (SS) containing poly(vinyl
alcohol) nanogels (cRGD-SS-NGs) with an average diameter of 142 nm prepared by
inverse nanoprecipitation, "click" reaction, and cRGD conjugation are developed
for targeted treatment of integrin overexpressing human glioblastoma in vivo.
Doxorubicin (DOX) release from cRGD-SS-NGs is highly inhibited under
physiological conditions, while accelerated at endosomal pH and in response to
cytoplasmic concentration of glutathione. Confocal microscopy shows that cRGD-SS
NGs facilitate the cellular uptake and intracellular DOX release in alphav beta3
integrin overexpressing human glioblastoma U87-MG cells. DOX-loaded cRGD-SS-NGs
present much better killing activity toward U87-MG cells than that for
nontargeted nanogels determined by MTT assay. The in vivo imaging and
biodistribution studies reveal that DOX-loaded cRGD-SS-NGs have a much better
tumor targetability toward human U87-MG glioblastoma xenograft in nude mice. Also
the tumor growth is effectively inhibited by treatment with DOX-loaded cRGD-SS
NGs, while continuous tumor growth is observed for mice treated with nondecorated
nanogels as well as free DOX. Furthermore, the treatment with DOX-loaded cRGD-SS
NGs has much fewer side effects, rendering these nanogels as a new platform for
cancer chemotherapy in vivo.
PMID- 27865046
TI - Functional Proteins from Short Peptides: Dayhoff's Hypothesis Turns 50.
AB - First and foremost: Margaret Dayhoff's 1966 hypothesis on the origin of proteins
is now an accepted model for the emergence of large, globular, functional
proteins from short, simple peptides. However, the fundamental question of how
the first protein(s) emerged still stands. The tools and hypotheses pioneered by
Dayhoff, and the over 65 million protein sequences and 12 000 structures known
today, enable those who follow in her footsteps to address this question.
PMID- 27865047
TI - Carbon lost and carbon gained: a study of vegetation and carbon trade-offs among
diverse land uses in Phoenix, Arizona.
AB - Human modification and management of urban landscapes drastically alters
vegetation and soils, thereby altering carbon (C) storage and rates of net
primary productivity (NPP). Complex social and ecological processes drive
vegetation cover in cities, leading to heterogeneity in C dynamics depending on
regional climate, land use, and land cover. Recent work has demonstrated
homogenization in ecological processes within human-dominated landscapes (the
urban convergence hypothesis) in soils and biotic communities. However, a lack of
information on vegetation in arid land cities has hindered an understanding of
potential C storage and NPP convergence across a diversity of ecosystem types. We
estimated C storage and NPP of trees and shrubs for six different land-use types
in the arid metropolis of Phoenix, Arizona, USA, and compared those results to
native desert ecosystems, as well as other urban and natural systems around the
world. Results from Phoenix do not support the convergence hypothesis. In
particular, C storage in urban trees and shrubs was 42% of that found in desert
vegetation, while NPP was only 20% of the total NPP estimated for comparable
natural ecosystems. Furthermore, the overall estimates of C storage and NPP
associated with urban trees in the CAP ecosystem were much lower (8-63%) than the
other cities included in this analysis. We also found that C storage (175.25
388.94 g/m2 ) and NPP (8.07-15.99 g.m-2 .yr-1 ) were dominated by trees in the
urban residential land uses, while in the desert, shrubs were the primary source
for pools (183.65 g/m2 ) and fluxes (6.51 g.m-2 .yr-1 ). These results indicate a
trade-off between shrubs and trees in arid ecosystems, with shrubs playing a
major role in overall C storage and NPP in deserts and trees serving as the
dominant C pool in cities. Our research supports current literature that calls
for the development of spatially explicit and standardized methods for analyzing
C dynamics associated with vegetation in urbanizing areas.
PMID- 27865045
TI - High KRT8 expression promotes tumor progression and metastasis of gastric cancer.
AB - Keratin8 (KRT8) is the major component of the intermediate filament cytoskeleton
and predominantly expressed in simple epithelial tissues. Aberrant expression of
KRT8 is associated with multiple tumor progression and metastasis. However, the
role of KRT8 in gastric cancer (GC) remains unclear. In this study, KRT8
expression was investigated and it was found to be upregulated along with human
GC progression and metastasis at both mRNA and protein levels in human gastric
cancer tissues. In addition, KRT8 overexpression enhanced the proliferation and
migration of human gastric cancer cells, whereas the knock-down of KRT8 by siRNA
only inhibited migration of human gastric cancer cells. Integrinbeta1-FAK-induced
epithelial-mesenchymal-transition (EMT) only existed in the high KRT8 cells.
Furthermore, KRT8 overexpression led to increase in p-smad2/3 levels and TGFbeta
dependent signaling events. KRT8 expression in GC was related to tumor clinical
stage and worse survival. Kaplan-Meier analysis proved that KRT8 was associated
with overall survival of patients with GC that patients with high KRT8 expression
tend to have unfavorable outcome. Moreover, Cox's proportional hazards analysis
showed that high KRT8 expression was a prognostic marker of poor outcome. These
results provided that KRT8 expression may therefore be a biomarker or potential
therapeutic target to identify patients with worse survival.
PMID- 27865048
TI - Neuroligin 2 nonsense variant associated with anxiety, autism, intellectual
disability, hyperphagia, and obesity.
AB - Neuroligins are post-synaptic, cellular adhesion molecules implicated in synaptic
formation and function. NLGN2 is strongly linked to inhibitory, GABAergic
signaling and is crucial for maintaining the excitation-inhibition balance in the
brain. Disruption of the excitation-inhibition balance is associated with
neuropsychiatric disease. In animal models, altered NLGN2 expression causes
anxiety, developmental delay, motor discoordination, social impairment,
aggression, and sensory processing defects. In humans, mutations in NLGN3 and
NLGN4 are linked to autism and schizophrenia; NLGN2 missense variants are
implicated in schizophrenia. Copy number variants encompassing NLGN2 on 17p13.1
are associated with autism, intellectual disability, metabolic syndrome,
diabetes, and dysmorphic features, but an isolated NLGN2 nonsense variant has not
yet been described in humans. Here, we describe a 15-year-old male with severe
anxiety, obsessive-compulsive behaviors, developmental delay, autism, obesity,
macrocephaly, and some dysmorphic features. Exome sequencing identified a
heterozygous, de novo, c.441C>A p.(Tyr147Ter) variant in NLGN2 that is predicted
to cause loss of normal protein function. This is the first report of an NLGN2
nonsense variant in humans, adding to the accumulating evidence that links
synaptic proteins with a spectrum of neurodevelopmental phenotypes. (c) 2016
Wiley Periodicals, Inc.
PMID- 27865049
TI - Hollow Nanotubes of N-Doped Carbon on CoS.
AB - Low-cost, single-step synthesis of hollow nanotubes of N-doped carbon deposited
on CoS is enabled by the simultaneous use of three functionalities of
polyacrylonitrite (PAN) nanofibers: 1) a substrate for loading active materials,
2) a sacrificial template for creating hollow tubular structures, and 3) a
precursor for in situ nitrogen doping. The N-doped carbon in hollow tubes of CoS
provides a high-capacity anode of long cycle life for a rechargeable Li-ion or Na
ion battery cell that undergoes the conversion reaction 2 A+ +2 e- +CoS ->Co+A2 S
with A=Li or Na.
PMID- 27865051
TI - The Role of Entropy in Nanoparticle Agglomeration.
AB - Agglomeration processes in non-interacting particle systems can be understood
from a thermodynamic point of view. If the enthalpy of agglomeration is
negligible, the distribution of agglomeration states adopts the state of highest
entropy. Herein, we provide the exact analytical solution to the mole fractions
of agglomerates comprising i monomers, xi =2-i .
PMID- 27865050
TI - NMR Spectroscopic Assignment of Backbone and Side-Chain Protons in Fully
Protonated Proteins: Microcrystals, Sedimented Assemblies, and Amyloid Fibrils.
AB - We demonstrate sensitive detection of alpha protons of fully protonated proteins
by solid-state NMR spectroscopy with 100-111 kHz magic-angle spinning (MAS). The
excellent resolution in the Calpha-Halpha plane is demonstrated for 5 proteins,
including microcrystals, a sedimented complex, a capsid and amyloid fibrils. A
set of 3D spectra based on a Calpha-Halpha detection block was developed and
applied for the sequence-specific backbone and aliphatic side-chain resonance
assignment using only 500 MUg of sample. These developments accelerate structural
studies of biomolecular assemblies available in submilligram quantities without
the need of protein deuteration.
PMID- 27865052
TI - Retention in care and reasons for discontinuation of lifelong antiretroviral
therapy in a cohort of Cameroonian pregnant and breastfeeding HIV-positive women
initiating 'Option B+' in the South West Region.
AB - OBJECTIVE: To assess linkage and retention in care along the PMTCT cascade in HIV
positive pregnant and breastfeeding women initiating Option B+ in Cameroon.
METHODS: We prospectively determined uptake of HIV testing and counselling (HTC),
uptake of ART and retention in care after Option B+ initiation between October
2013 and December 2014 in pregnant and breastfeeding women from five sites within
the Kumba Health District. Retention in care was assessed over at least 12 months
follow-up and estimated by Kaplan-Meier analysis. During follow-up, tracing
outcomes and reasons for discontinuing treatment were documented. RESULTS: The
uptake of HTC of 5813 women with unknown HIV status was 98.5%, 251 (4.4%) were
newly diagnosed HIV positive, and ART uptake in women eligible to start Option B+
was 96.8%. We enrolled 268 women initiating lifelong ART in the follow-up.
Overall, 65 (24.3%) discontinued treatment, either defined by loss to follow-up
(44.6%) or actively stopped treatment (55.8%). Retention in care was 88.0% and
81.1% at 6 and 12 months, respectively. Discontinuation was significantly
associated in multivariate analysis with small sites and high staff turnover [aOR
2.5 (95% CI 1.6, 3.9), P < 0.001]. Main reasons for stopping treatment were HIV
status denial and stigma (52.8%), religious reasons (25.0%) and lack of transport
fare (11.1%). CONCLUSION: We observed good uptake of HTC, ART and retention in
care, which declined over time. Discontinuation of Option B+ was highest at small
sites with a high staff turnover. Improved staffing, adequate task shifting and
community interventions to track defaulters including reducing stigma and
religious beliefs may improve Option B+ retention.
PMID- 27865053
TI - Neural progenitor cells and their role in the development and evolutionary
expansion of the neocortex.
AB - The evolutionary expansion of the mammalian brain, notably the neocortex,
provides a platform for the higher cognitive abilities that characterize humans.
Cortical expansion is accompanied by increased folding of the pial surface, which
gives rise to a gyrencephalic (folded) rather than lissencephalic (unfolded)
neocortex. This expansion reflects the prolonged and increased proliferation of
neural stem and progenitor cells (NPCs). Distinct classes of NPCs can be
distinguished based on either cell biological criteria (apical progenitors [APs],
basal progenitors [BPs]) or lineage (primary progenitors and secondary
progenitors). Cortical expansion in development and evolution is linked to an
increased abundance and proliferative capacity of BPs, notably basal radial glial
cells, a recently characterized type of secondary progenitor derived from apical
radial glial cells, the primary progenitors. To gain insight into the molecular
basis underlying the prolonged and increased proliferation of NPCs and in
particular BPs, comparative genomic and transcriptomic approaches, mostly for
human versus mouse, have been employed and applied to specific NPC types and
subpopulations. These have revealed two principal sets of molecular changes. One
concerns differences in the expression of common genes between species with
different degrees of cortical expansion. The other comprises human-specific genes
or genomic regulatory sequences. Various systems that allow functional testing of
these genomic and gene expression differences between species have emerged,
including transient and stable transgenesis, genome editing, cerebral organoids,
and organotypic slice cultures. These provide future avenues for uncovering the
molecular basis of cortical expansion. WIREs Dev Biol 2017, 6:e256. doi:
10.1002/wdev.256 For further resources related to this article, please visit the
WIREs website.
PMID- 27865055
TI - Relationship between healthy lifestyle behaviors and health locus of control and
health-specific self-efficacy in university students.
AB - AIM: To investigate the relationship between the healthy lifestyle behaviors and
the health locus of control and health-specific self-efficacy in university
students. METHODS: The study included 572 undergraduate students of a university
in the central Anatolia region of Turkey. The data were collected with the
General Characteristics Form, the Health-Promoting Lifestyle Profile II, the
Multidimensional Health Locus of Control Scale, and the Perceived Health
Competence Scale and investigated with the structural equation model. RESULTS:
Health-specific self-efficacy was an important predictor of healthy lifestyle
behaviors. The Internal health locus of control influenced the healthy lifestyle
behaviors through health-specific self-efficacy. The other dimension was the
Powerful Others health locus of control that affected healthy lifestyle
behaviors, both directly and indirectly, through health-specific self-efficacy.
There was a chance that the health locus of control had a negative effect on
healthy lifestyle behaviors through self-efficacy. CONCLUSION: Health-specific
self-efficacy is an important prerequisite for changes in healthy lifestyle
behaviors, which supports Pender's model. The subscales of the health locus of
control vary in their effects on healthy lifestyle behaviors, which partly
supports Pender's model. Nurses, by using this model, can examine ways of
improving these cognitive-perceptual factors and implement health education
programs that are directed towards improving them in young persons.
PMID- 27865054
TI - Biodistribution of Carbon Nanotubes in Animal Models.
AB - The many interesting physical and chemical properties of carbon nanotubes (CNT)
make it one of the most commercially attractive materials in the era of
nanotechnology. Here, we review the recent publications on in vivo
biodistribution of pristine and functionalized forms of single-walled and multi
walled CNT. Pristine CNT remain in the lung for months or even years after
pulmonary deposition. If cleared, the majority of CNT move to the
gastrointestinal (GI) tract via the mucociliary escalator. However, there appears
to be no uptake of CNT from the GI tract, with a possible exception of the
smallest functionalized SWCNT. Importantly, a significant fraction of CNT
translocate from the alveolar space to the near pulmonary region including lymph
nodes, subpleura and pleura (<7% of the pulmonary deposited dose) and to distal
organs including liver, spleen and bone marrow (~1%). These results clearly
demonstrate the main sites of long-term CNT accumulation, which also includes
pleura, a major site for fibre-induced pulmonary diseases. Studies on intravenous
injection show that CNT in blood circulation are cleared relatively fast with a
half-life of minutes or hours. The major target organs were the same as
identified after pulmonary exposure with the exception of urine excretion of
especially functionalized SWCNT and accumulation in lung tissue. Overall, there
is evidence that CNT will primarily be distributed to the liver where they appear
to be present at least one year after exposure.
PMID- 27865056
TI - The caspase-activated DNase: apoptosis and beyond.
AB - Organismal development and function requires multiple and accurate signal
transduction pathways to ensure that proper balance between cell proliferation,
differentiation, inactivation, and death is achieved. Cell death via apoptotic
caspase signal transduction is extensively characterized and integral to this
balance. Importantly, the view of apoptotic signal transduction has expanded over
the previous decades. Subapoptotic caspase signaling has surfaced as mechanism
that can promote the adoption of a range of cellular fates. An emerging mechanism
of subapoptotic caspase signaling is the activation of the caspase-activated
DNase (CAD) through controlled cleavage of the inhibitor of CAD (ICAD). CAD
induced DNA breaks incite a DNA damage response, frequently invoking p53
signaling, that transduces a change in cell fate. Cell differentiation and
senescence are fates demonstrated to arise from CAD-induced DNA breaks.
Furthermore, an apparent consequence of CAD activity is also emerging, as a
potential source of oncogenic mutations. This review will discuss the mechanisms
underlying CAD-induced DNA breaks and highlight how CAD activity promotes diverse
cell fates.
PMID- 27865057
TI - Insulin resistance and its association with catch-up growth in Chinese children
born small for gestational age.
AB - OBJECTIVE: To assess insulin resistance and beta-cell function from birth to age
4 years and to examine their associations with catch-up growth (CUG) in Chinese
small-for-gestational-age (SGA) children. METHODS: Weight and height were
measured yearly from birth to age 4 years, and transformed into age- and gender
adjusted SD scores. Fasting serum insulin and glucose were measured, and fasting
insulin resistance and beta-cell function were estimated using the homeostasis
model assessment (HOMA). RESULTS: The mean HOMA-IR of the SGA group was
significantly lower than that of the appropriate-for-gestational-age (AGA) group
at ages 2 and 3 years old, and the mean HOMA% of the SGA group was significantly
lower than that of the AGA group at age 4 years old. At 4 years of age, HOMA for
insulin resistance was positively correlated with the height gain and SD of
height gain between 0 and 5 months, and HOMA% was positively correlated with the
weight gain and SD of weight gain between 6 and 12 months in SGA children.
CONCLUSIONS: SGA children with CUG show a greater propensity to develop insulin
resistance than AGA children between ages 2 and 4 years old. HOMA parameters are
related to CUG in the first year of life.
PMID- 27865058
TI - Ischemia modified albumin concentrations in patients with rheumatoid arthritis.
PMID- 27865059
TI - Synergistic Effect of Cobalt and Iron in Layered Double Hydroxide Catalysts for
the Oxygen Evolution Reaction.
AB - Co-based layered double hydroxide (LDH) catalysts with Fe and Al contents in the
range of 15 to 45 at % were synthesized by an efficient coprecipitation method.
In these catalysts, Fe3+ or Al3+ ions play an essential role as trivalent species
to stabilize the LDH structure. The obtained catalysts were characterized by a
comprehensive combination of surface- and bulk-sensitive techniques and were
evaluated for the oxygen evolution reaction (OER) on rotating disk electrodes.
The OER activity decreased upon increasing the Al content for the Co- and Al
based LDH catalysts, whereas a synergistic effect in Co- and Fe-based LDHs was
observed, which resulted in an optimal Fe content of 35 at %. This catalyst was
spray-coated on Ni foam electrodes and showed very good stability in a flow
through cell with a potential of approximately 1.53 V at 10 mA cm-2 in 1 m KOH
for at least 48 h.
PMID- 27865060
TI - Reducing variation in feeding newborns with congenital heart disease.
AB - OBJECTIVE: Enteral feeding is associated with decreased infection rates,
decreased mechanical ventilation, decreased hospital length of stay, and improved
wound healing. Enteral feeding difficulties are common in congenital heart
disease. Our objective was to develop experience-based newborn feeding guidelines
for the initiation and advancement of enteral feeding in the cardiothoracic
intensive care unit. DESIGN: This is a retrospective analysis of a quality
improvement project. SETTING: This quality improvement project was performed in a
cardiothoracic intensive care unit. PATIENTS: Newborns admitted to the
cardiothoracic intensive care unit for cardiac surgery from January 2011 to May
2015 were retrospectively reviewed. INTERVENTION: Newborn feeding guidelines for
the initiation and advancement of enteral feeding were implemented in January
2012. OUTCOME MEASURES: Guideline compliance and clinical variables before and
after guideline implementation were reviewed. RESULTS: Compliance with the
guidelines increased from 83% in 2012 to 100% in the first two quarters of 2015.
Preguidelines (January 2011-December 2011): 45 newborns underwent cardiac
surgery; 8 deaths prior to discharge; 1 patient discharged from NICU, therefore,
N = 36. Postguidelines (January 2012-May 2015): 131 newborns with 12 deaths, 12
admitted from home, 8 in the NICU, 3 on the floor preop, and 3 back transferred,
therefore, N = 93. No difference in feeding preop (post 75% vs pre 69%; P = .5)
or full po feeds at discharge (post 78% vs pre 89%; P = .2). Mesenteric ischemia
was not statistically different postguidelines (post 6% vs pre 14%; P = .14).
Length of hospital stay decreased postguidelines (post 27 + 17 d vs pre 34 + 42
d; P < .001). CONCLUSIONS: Implementation of experience-based newborn feeding
guidelines for initiation and advancement of enteral feeding in the
cardiothoracic intensive care unit was successful in reducing practice variation
supported by increasing guideline compliance. Percentage of patient's full oral
feeding at discharge did not change. Length of hospital stay was reduced although
cannot be fully attributed to feeding guideline implementation.
PMID- 27865061
TI - Setting spatial conservation priorities despite incomplete data for
characterizing metapopulations.
AB - Management of spatially structured species poses unique challenges. Despite a
strong theoretical foundation, practitioners rarely have sufficient empirical
data to evaluate how populations interact. Rather, assumptions about connectivity
and source-sink dynamics are often based on incomplete, extrapolated, or modeled
data, if such interactions are even considered at all. Therefore, it has been
difficult to evaluate whether spatially structured species are meeting
conservation goals. We evaluated how estimated metapopulation structure responded
to estimates of population sizes and dispersal probabilities and to the set of
populations included. We then compared outcomes of alternative management
strategies that target conservation of metapopulation processes. We illustrated
these concepts for Chinook salmon (Oncorhynchus tshawytscha) in the Snake River,
USA. Our description of spatial structure for this metapopulation was consistent
with previous characterizations. We found substantial differences in estimated
metapopulation structure when we had incomplete information about all populations
and when we used different sources of data (three empirical, two modeled) to
estimate dispersal, whereas responses to population size estimates were more
consistent. Together, these findings suggest that monitoring efforts should
target all populations occasionally and populations that play key roles
frequently and that multiple types of data should be collected when feasible.
When empirical data are incomplete or of uneven quality, analyses using estimates
produced from an ensemble of available datasets can help conservation planners
and managers weigh near-term options. Doing so, we found trade-offs in
connectivity and source dominance in metapopulation-level responses to
alternative management strategies that suggest which types of approaches may be
inherently less risky.
PMID- 27865062
TI - Endoscopic nasopharyngectomy in recurrent nasopharyngeal carcinoma: a case
series, literature review, and pooled analysis.
AB - BACKGROUND: To critically evaluate the use of endoscopic nasopharyngectomy in the
treatment of recurrent nasopharyngeal carcinoma (NPC) through a case series,
systematic literature review, and pooled analysis. METHODS: A case series of 18
patients combined with systematic literature review and pooled analysis of
PubMed, Web of Science, and Scopus search, identifying 576 papers. After
excluding 307 papers as search engine duplicates, only 16 of the remaining papers
had adequate patient data to be included. RESULTS: Our case series of 18 patients
had a 2-year disease-free survival (DFS) and overall survival (OS) of 90.0% and
100%, respectively. The pooled analysis of 300 patients that had an endoscopic
resection of recurrent NPC showed recurrence-free survival and overall survival
were 85.8% and 82.9%, respectively. Most, 56.1%, were recurrent T1 lesions.
Resection margins were negative in 90.2% of patients. Local recurrence occurred
in 20.1% of patients. Major complications occurred in 13.6% of patients. The mean
hospital stay was 5.8 days, the mean operating time was 228.3 minutes, and the
mean operative blood loss was 163 mL. CONCLUSION: Endoscopic resection of
recurrent NPC occurs with low surgical morbidity. However, further long-term
evaluation with longer follow-up data is needed to evaluate if the survival data
is comparable or better than open approaches.
PMID- 27865063
TI - Face, content, and construct validation of a low-cost, non-biologic, sinus
surgery task trainer and knowledge-based curriculum.
AB - BACKGROUND: The purpose of this study was to evaluate face and content validity
of a low-cost, low-technology, non-biologic endoscopic sinus surgery (ESS) task
trainer and knowledge-based curriculum followed by construct validation of the
task trainer. METHODS: A sinus surgery task trainer and curriculum were
developed. Attending otolaryngologists were surveyed regarding the utility of the
task trainer and curriculum. A cross-sectional construct validation study was
performed including medical students, residents, and attending otolaryngologists.
Nine tasks were performed on the task trainer and graded using a global rating
scale (GRS). Predictors of task trainer performance were evaluated, and spatial
performance was measured. Regression analyses were performed to assess main
associations. RESULTS: All attending physicians (n = 7) agreed that the task
trainer accelerates the learning curve and incorporates essential ESS techniques
and that the knowledge-based curriculum describes anatomical and technical
knowledge germane to ESS. Twelve medical students, 9 otolaryngology residents,
and 5 attending otolaryngologists completed task trainer testing. GRS score
varied by level of training after adjusting for potential confounders (slope =
2.63; p = 0.001). There was evidence of an association between a history of video
gaming (slope = 1.33; p = 0.077), sports experience (slope = 2.08; p = 0.033),
and sinus surgery simulation (slope = 2.72; p = 0.023) with GRS score, although
not statistically significant. CONCLUSION: This study demonstrated validity of a
knowledge-based sinus surgery curriculum and low-cost, sinus task trainer
supporting use in early training. Participants with prior video gaming, sports
participation, and sinus surgery simulation experience perform better at baseline
on the task trainer.
PMID- 27865064
TI - Estimating vaccine effectiveness in preventing laboratory-confirmed influenza in
outpatient settings in South Africa, 2015.
AB - Trivalent seasonal influenza vaccine effectiveness during the 2015 season in
South Africa was assessed using a test-negative case control study design.
Influenza A(H1N1)pdm09 was the dominant circulating strain. Overall influenza
vaccine coverage was 3.2% (29/899). The vaccine effectiveness estimate, against
any influenza virus infection, adjusted for age, underlying conditions and timing
within season was 46.2% (95% CI: -23.5 to 76.5), and 53.6% (95% CI: -62.6 to
80.3) against influenza A(H1N1)pdm09.
PMID- 27865065
TI - How do we recognize the child with OSAS?
AB - Obstructive sleep-disordered breathing includes a spectrum of clinical entities
with variable severity ranging from primary snoring to obstructive sleep apnea
syndrome (OSAS). The clinical suspicion for OSAS is most often raised by parental
report of specific symptoms and/or abnormalities identified by the physical
examination which predispose to upper airway obstruction (e.g., adenotonsillar
hypertrophy, obesity, craniofacial abnormalities, neuromuscular disorders).
Symptoms and signs of OSAS are classified into those directly related to the
intermittent pharyngeal airway obstruction (e.g., parental report of snoring,
apneic events) and into morbidity resulting from the upper airway obstruction
(e.g., increased daytime sleepiness, hyperactivity, poor school performance,
inadequate somatic growth rate or enuresis). History of premature birth and a
family history of OSAS as well as obesity and African American ethnicity are
associated with increased risk of sleep-disordered breathing in childhood.
Polysomnography is the gold standard method for the diagnosis of OSAS but may not
be always feasible, especially in low-income countries or non-tertiary hospitals.
Nocturnal oximetry and/or sleep questionnaires may be used to identify the child
at high risk of OSAS when polysomnography is not an option. Endoscopy and MRI of
the upper airway may help to identify the level(s) of upper airway obstruction
and to evaluate the dynamic mechanics of the upper airway, especially in children
with combined abnormalities. Pediatr Pulmonol. 2017;52:260-271. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27865067
TI - Laminin-modified and aligned poly(3-hydroxybutyrate-co-3
hydroxyvalerate)/polyethylene oxide nanofibrous nerve conduits promote peripheral
nerve regeneration.
AB - Poly(3-hydroxybutyrate-co-3-hydroxyvalerate) (PHBV) has received much attention
for its biodegradability and biocompatibility, characteristics that are required
in tissue engineering. In this study, polyethylene oxide (PEO)-incorporated PHBV
nanofibres with random or aligned orientation were obtained by electrospinning.
For further use in vivo, the nanofibre films were made into nerve conduits after
treatment with NH3 plasma, which could improve the hydrophilicity of inner
surfaces of nerve conduits and then facilitate laminin adsorption via
electrostatic interaction for promoting cell adhesion and proliferation.
Morphology of the surfaces of modified PHBV/PEO nanofibrous scaffolds were
examined by scanning electron microscopy. Schwann cell viability assay was
conducted and the results confirmed that the functionalized nanofibres were
favourable for cell growth. Morphology of Schwann cells cultured on scaffolds
showed that aligned nanofibrous scaffolds provided topographical guidance for
cell orientation and elongation. Furthermore, three-dimensional PHBV/PEO nerve
conduits made from aligned and random-oriented nanofibres were implanted into 12
mm transected sciatic nerve rat model and subsequent analysis were conducted at 1
and 2 months postsurgery. The above functionalized PHBV/PEO scaffolds provide a
novel and promising platform for peripheral nerve regeneration. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27865066
TI - Newly synthesized cAMP is integrated at a membrane protein complex signalosome to
ensure receptor response specificity.
AB - Spatiotemporal regulation of cAMP within the cell is required to achieve receptor
specific responses. The mechanism through which the cell selects a specific
response to newly synthesized cAMP is not fully understood. In hepatocyte plasma
membranes, we identified two functional and independent cAMP-responsive signaling
protein macrocomplexes that produce, use, degrade, and regulate their own
nondiffusible (sequestered) cAMP pool to achieve their specific responses. Each
complex responds to the stimulation of an adenosine G protein-coupled receptor
(Ado-GPCR), bound to either A2A or A2B , but not simultaneously to both. Each
isoprotein involved in each signaling cascade was identified by measuring changes
in cAMP levels after receptor activation, and its participation was confirmed by
antibody-mediated inactivation. A2A -Ado-GPCR selective stimulation activates
adenylyl cyclase 6 (AC6), which is bound to AKAP79/150, to synthesize cAMP which
is used by two other AKAP79/150-tethered proteins: protein kinase A (PKA) and
phosphodiesterase 3A (PDE3A). In contrast, A2B -Ado-GPCR stimulation activates D
AKAP2-attached AC5 to generate cAMP, which is channeled to two other D-AKAP2
tethered proteins: guanine-nucleotide exchange factor 2 (Epac2) and PDE3B. In
both cases, prior activation of PKA or Epac2 with selective cAMP analogs prevents
de novo cAMP synthesis. In addition, we show that cAMP does not diffuse between
these protein macrocomplexes or 'signalosomes'. Evidence of coimmunoprecipitation
and colocalization of some proteins belonging to each signalosome is presented.
Each signalosome constitutes a minimal functional signaling unit with its own
machinery to synthesize and regulate a sequestered cAMP pool. Thus, each
signalosome is devoted to ensure the transmission of a unique and unequivocal
message through the cell.
PMID- 27865068
TI - Come one, come all...the sooner the better!
PMID- 27865069
TI - Investing in our future: Update on the SCAI Emerging Leader Mentorship (ELM)
Program.
PMID- 27865070
TI - The newly occluded ectatic vessel: Not an ecstatic time.
PMID- 27865071
TI - Routine invasive evaluation in non ST elevation myocardial infarction (NSTEMI
ACS): Optimizing best.
PMID- 27865072
TI - Long-term outcomes of the SMART stent in femoro-popliteal disease in TASC A/B
lesions and TASC C/D lesions.
PMID- 27865073
TI - STEMI with multivessel disease: An ongoing battle for the optimal treatment
strategy.
PMID- 27865074
TI - Hybrid transcatheter pulmonary valve replacement: Moving into the mainstream?
PMID- 27865075
TI - Does the AToMIC trial explode concerns of contrast coagulopathy?
PMID- 27865076
TI - Thrombus aspiration: Suck it up and keep looking for a niche.
PMID- 27865077
TI - Renal sympathetic denervation: Recalculating....
PMID- 27865078
TI - Carotid artery stenting: Avoiding the perfect storm.
PMID- 27865081
TI - [Editorial. WHO Strategic Directions for Strengthening Nursing from now until
2020.]
PMID- 27865080
TI - Cell death-independent activities of the death receptors CD95, TRAILR1, and
TRAILR2.
AB - Since their identification more than 20 years ago, the death receptors CD95,
TRAILR1, and TRAILR2 have been intensively studied with respect to their cell
death-inducing activities. These receptors, however, can also trigger a variety
of cell death-independent cellular responses reaching from the activation of
proinflammatory gene transcription programs over the stimulation of proliferation
and differentiation to induction of cell migration. The cell death-inducing
signaling mechanisms of CD95 and the TRAIL death receptors are well understood.
In contrast, despite the increasing recognition of the biological and
pathophysiological relevance of the cell death-independent activities of CD95,
TRAILR1, and TRAILR2, the corresponding signaling mechanisms are less understood
and give no fully coherent picture. This review is focused on the cell death
independent activities of CD95 and the TRAIL death receptors and addresses mainly
three questions: (a) how are these receptors linked to noncell death pathways at
the molecular level, (b) which factors determine the balance of cell death and
cell death-independent activities of CD95 and the TRAIL death receptors at the
cellular level, and (c) what are the consequences of the cell death-independent
functions of these receptors for their role in cancer and inflammatory diseases.
PMID- 27865079
TI - Blood flow evaluation using PINPOINT(r) in a case of incarcerated inguinal
hernia: A case report.
AB - Indocyanine green (ICG) fluorescence for intestinal blood flow has been reported,
but application during laparoscopic surgery for incarcerated inguinal hernia has
not been reported. Here, we report the case of a patient with an incarcerated
inguinal hernia in whom the bowel was preserved after evaluation of intestinal
blood flow with ICG fluorescence using PINPOINT(r), a brightfield full-color,
near-infrared fluorescence camera. A man in his 80s was diagnosed with
incarcerated inguinal hernia and underwent laparoscopic surgery. The ascending
colon and mesentery showed deep red discoloration on gross evaluation. However,
intravenous injection of ICG revealed uniform fluorescence of the mesentery and
bowel wall, indicating the absence of irreversible ischemic changes of the bowel.
As such, no resection was performed, and transabdominal preperitoneal patch
plasty was completed. The patient had a good postoperative course. In this case,
ICG fluorescence with the PINPOINT was useful to avoid bowel resection during
laparoscopic surgery.
PMID- 27865082
TI - [Nutritional self-care promotion in community-dwelling older people: a protocol
of mixed method research].
AB - AIM: To describe a research protocol designed to promote nutritional self-care in
older people. The aims of the research are: a) to evaluate the effectiveness of a
nutritional education intervention in changing knowledge, attitudes, and
behaviors; b) to describe the nutritional self-care ability and activities; c) to
identify the promoting factors and barriers that influence the changes in
nutritional knowledge, behaviors and attitudes in home-dwelling older people.
METHOD: Sequential explanatory mixed method design. The study will enroll 50
people aged 65 years and over. In the first quantitative phase, a pre-test and
post-test design will be used to deliver a nutritional intervention aimed to
change knowledge, behaviors and attitudes toward nutrition. Using the
quantitative study results, the qualitative study phase will be conducted by
interviews in sub-groups of older people. In a third phase, the quantitative and
qualitative study results will be integrated. Quantitative data will be analyzed
using descriptive and inferential statistics and qualitative data will be
analyzed through content analysis. RESULTS: The study will provide new knowledge
on nutritional self-care in home-dwelling older adults and the factors promoting
nutritional self-care. CONCLUSIONS: Nutritional self-care promotion is of pivotal
importance for the nursing care provided to home-dwelling older people.
Educational programs aimed at the maintenance of proper nutrition in the older
adults may reduce malnutrition and the related diseases. Nutrition educational
programs should be based on knowledge derived from research to tailor
individualized nutritional interventions and to realize effective educational
programs.
PMID- 27865083
TI - [Shared decision making: a scoping review].
AB - AIM: This research aimed to explore the literature regarding the model of the
Shared Decision Making (SDM) in the field of nursing. METHOD: A scoping review
was conducted. The electronic literature research was made on Medline, CINAHL,
The Cochrane Library, Google Scholar, using a combination of key words: "Decision
Making", "Shared Decision Making", "Nursing", "Nursing Patient relationship". The
review was carried out following the Levac model. RESULTS: 29 studies were
included, in a time range between 1972 and 2015. The analysis identifies the main
characteristics of the SDM model, the tools for its implementation, the patients
experience, the fields of application and the integration among SDM e evidence
based practice. CONCLUSION: the analysis showed that the Shared Decision Making
model is not widespread, especially in the Italian context. This phenomenon could
be explained by three fundamental aspects. The concept is not widely disseminated
and full scientific maturity. His application also seems to be related to
extensive knowledge of gold standard interventions and possible alternatives.
Finally, there are cultural barriers to the implementation of the SDM.
PMID- 27865084
TI - [Cyberstalking among Italian nurses: a large multicentric study].
AB - SCOPO: Determinare la prevalenza di cyberstalking tra gli infermieri italiani e
valutare il livello di ansia e depressione nelle vittime. METODO: Da aprile a
settembre 2014 e stato condotto uno studio multicentrico trasversale in un
campione di infermieri italiani (n=997) utilizzando il questionario
"Cyberstalking" per analizzare il fenomeno del cyberstalking. Ai partecipanti,
che si sono autodefinite vittime di cyberstalking, e stato chiesto anche di
compilare gli strumenti "Beck Depression Inventory" e "State-Trait Anxiety
Inventory" per valutare, rispettivamente, i livelli di depressione ed ansia.
RISULTATI: La prevalenza di cyberstalking tra gli infermieri italiani e risultata
pari al 23.3%. Il 42.7% ha dovuto cambiare il proprio stile di vita e lavorativo.
Il cyberstalker era prevalentemente di sesso maschile (52%) e, nel 49% dei casi,
era un paziente. Le vittime hanno riferito moderati livelli di ansia (media=28.4,
SD=23.2) e depressione (media=92.7, SD=18.3); i risultati hanno mostrato un
aumento dei livelli di depressione negli infermieri esperti nell'utilizzo del
computer, gestori di siti web o blog, e una correlazione negativa tra il livello
di ansia e gli infermieri esperti (r = -0.264). CONCLUSIONI: Il cyberstalking e
un fenomeno che si riscontra frequentemente tra gli infermieri. Il rapporto
infermiere - paziente gioca un ruolo centrale nello sviluppo del fenomeno e le
vittime hanno riferito disordini correlati allo stress che influenzano la vita
lavorativa. Questi risultati preliminari potrebbero sensibilizzare, i dirigenti
ospedalieri, la politica e i centri antiviolenza al fine di sviluppare strategie
risolutiv.
PMID- 27865085
TI - [L'impiego dell'ICNP(r) nella formazione infermieristica con modelli teorici
infermieristici: una revisione della letteratura].
AB - INTRODUCTION: The International Classification for Nursing Practice (ICNP(r)) is
the only nursing terminology that has been accepted within the Family of
International Classification of the World Health Organization. The academic
teaching of ICNP(r) could contribute to improve nursing clinical practice.
However, standards for its implementation in undergraduate nursing education
together with a nursing theoretical model are not available. AIM: To know the
state of the art about the use of ICNP(r) in undergraduate nursing education and
its use with a nursing theoretical model. METHOD: A narrative literature review
was conducted searching main health scientific databases and including
monographs, statements from international associations, and published conference
papers. RESULTS: Available literature about ICNP(r) implementation in nursing
education and its use with theoretical models is limited. Results supported the
organization of a specific course on ICNP(r) before clinical teaching programs,
the development of paper or electronic ICNP(r) based educational tools, and the
use of active learning strategies. Only three experiences reporting the use of
ICNP(r) with theoretical models have been found. CONCLUSIONS: Both the use of
ICNP(r) in nursing undergraduate education and its use according to one or more
nursing theoretical models, could help students to learn nursing process.
However, weak recommendations can be found in the literature to orient ICNP(r)
implementation in undergraduate nursing education and/or with an explicit
theoretical framework. Further studies focusing on these issues are strongly
needed.
PMID- 27865086
TI - [Knowledge and common sense of nurses on the health's inequities: an exploratory
study.]
AB - INTRODUCTION: The data for 2015 show of a general deterioration of living
conditions and health of the population in Italy. The possible effects call the
nurse to become involved in the subject of health inequalities. The study was
designed with the goal of assessing how much the nurses know and perceive the
correlation between the state of health of the population and system inequities.
METHOD: An exploratory study was conducted through a bibliographic update
compared to previous work and questionnaires in convenience samples of
professionals and students of nursing and evaluation of results according to the
mixed method perspective. RESULTS: The nursing literature on the quantity is very
limited plan. The questionnaire provides useful answers to the evaluation of the
perception of inequalities in health in terms of horizontal and vertical equity.
CONCLUSION: Knowledge of health inequalities cannot be on the edge scientific
work and the same professional literature. E 'need to reduce the gap between the
aspects of values of their profession, which reverberate in the perception of
existing inequities, and the full assumption of knowledge related to the socio
economic determinants of health and disease.
PMID- 27865087
TI - [Pain management in patients of a Swiss Institute of Oncology and the role of
nurses: from programs to reality].
AB - INTRODUCTION: In 2004, the Oncology Institute of Southern Switzerland (IOSI)
started a program to improve cancer pain treatment, through training of health
professionals and the introduction of monitoring tools . AIM: The aim is to
evaluate patient relief from pain (effective pain control), with attention to
nursing role (pain assessment, monitoring and documentation). METHOD:
Retrospective study. The health records of patients admitted in the last three
months of 2010 were consulted to evaluate how pain was assessed and managed.
RESULTS: Out of 177 patients admitted, 93 (52.6 %) experienced pain during
hospitalization and 85 were included in the analysis . Sixty-two out of 85 (72.9
%) received drugs in reserve > = 2 days. They had pain from 2 to 28 days (median
4). For 56/62 patients ( 90.3 %) analgesic therapy was changed one or more times
and 40 of them ( 63.4 % ) received strong opioids during hospitalization . In
8/62 cases pain wasn't documented, and overall, in 25/85 (29.4 %) intensity or
any other feature weren't documented. CONCLUSIONS: At IOSI there is a good
pharmacological control of pain. Analgesics are prescribed upon entry and are
modulated according to patient needs, even with wide administration of opioids.
The issue to improve is pain assessment and monitoring by nurses, whose role is
mainly oriented to contribute to the pharmacological management of this symptom.
PMID- 27865088
TI - Clinical competence in nursing: A concept analysis.
AB - INTRODUCTION: In nursing, clinical competence is a central issue for patient care
and a clear understanding of the concept is critical for nursing education and
nursing discipline. AIM: To perform an analysis of the concept of 'clinical
competence' in nursing to better understand its meaning. METHOD: The Walker and
Avant's concept analysis model was used. A systematic literature review on
international databases (PubMed, CINAHL, Scopus, Cochrane, Ovid, Open Grey) from
1993 to 2015 was conducted. In the retrieved articles, the utilization of the
concept of "clinical competence in nursing" was examined. RESULTS: According to
Walker and Avant's method all the 8 steps were described on the basis of the
findings of the literature review. Attributes, antecedents, consequences and
empirical referents of the clinical competence in nursing have been identified
and discussed and model, borderline, opposite cases were constructed. The
relevance of the concept both for education and nursing professionalism have been
also debated. CONCLUSION: This concept analysis has defined 'clinical competence
in nursing' as 'a mix of skills, knowledge, attitudes and abilities that each
nurse must possess to perform acceptably those duties directly related to patient
care, in a specific clinical context and in given circumstances in order to
promote, maintain and restore the health of patients'.
PMID- 27865089
TI - The novel class of seven transmembrane segment inverted repeat carriers.
AB - Solute carriers from the SLC4, SLC23, and SLC26 families are involved in pH
regulation, vitamin C transport and ion homeostasis. While these families do not
share any obvious sequence relationship, they are united by their unique and
novel architecture. Each member of this structural class is organized into two
structurally related halves of seven transmembrane segments each. These halves
span the membrane with opposite orientations and form an intricately intertwined
structure of two inverted repeats. This review highlights the general design
principles of this fold and reveals the diversity between the different families.
We discuss their domain architecture, structural framework and transport mode and
detail an initial transport mechanism for this fold inferred from the recently
solved structures of different members.
PMID- 27865091
TI - Analysis of voluntary opening Ottobock Hook and Hosmer Hook for upper limb
prosthetics: a preliminary study.
AB - There are a number of prosthetic terminal devices which offer functional
restoration to individuals with upper limb deficiencies. Hosmer and Ottobock are
major commercial hook providers for prosthetic terminal devices. The concern of
this paper is to analyse the voluntary opening (VO) Ottobock model 10A18 and
Hosmer model 99P hooks (one band) during opening operation and to find out
favourable features in the design. Two tests were conducted to analyse the
performance of both hooks. The first test used a simple bench tool to investigate
cable excursion and hook opening angle and the second test used force sensor to
find out the force supplied at a different hook opening angle. The study found
that the average cable excursion for both hooks is approximately 30% less than
the hook's opening span with the force at the hook's tip section being inversely
proportional to the force at the lateral section. Ottobock 10A18 has a better
control for grasping larger objects, while Hosmer 99P has the highest average
force at the tip section but yet less efficient in generating adequate force for
activities of daily living. Favourable features identified are low cable
excursion per hook opening span and balance lateral to hook tip pinch force.
PMID- 27865090
TI - Characterization of the subcellular localization and nuclear import molecular
mechanisms of herpes simplex virus 1 UL2.
AB - As a crucial protein, the herpes simplex virus 1 (HSV-1) UL2 protein has been
shown to take part in various stages of viral infection, nonetheless, its exact
subcellular localization and transport molecular determinants are not well known
thus far. In the present study, by using live cells fluorescent microscopy assay,
UL2 tagged with enhanced yellow fluorescent protein was transiently expressed in
live cells and showed a completely nuclear accumulation without the presence of
other HSV-1 proteins. Moreover, the nuclear transport of UL2 was characterized to
be assisted by multiple transport pathways through Ran-, importin alpha1-, alpha5
, alpha7-, beta1- and transportin-1 cellular transport receptors. Consequently,
these results will improve understanding of UL2-mediated biological functions in
HSV-1 infection cycles.
PMID- 27865092
TI - Control of bone and fat mass by oxytocin.
AB - Osteoporosis and overweight/obesity constitute major worldwide public health
burdens. Aging is associated with a decrease in hormonal secretion, lean mass and
bone mass, and an increase in fat accumulation. It is established that both
obesity and osteoporosis are affected by genetic and environmental factors, bone
remodeling and adiposity are both regulated through the hypothalamus and
sympathetic nervous system. Oxytocin (OT), belongs to the pituitary hormone
family and regulates the function of peripheral target organs, its circulating
levels decreased with age. Nowadays, it is well established that OT plays an
important role in the control of bone and fat mass and their metabolism. Of note,
OT and oxytocin receptor knock out mice develop bone defects and late-onset
obesity. Thus OT emerges as a promising molecule in the treatment of osteoporosis
and obesity as well as associated metabolic disorders such as type 2 diabetes and
cardiovascular diseases. In this review, we will discuss findings regarding the
OT effects on bone and fat mass.
PMID- 27865093
TI - Maternal endothelial damage as a disorder shared by early preeclampsia, late
preeclampsia and intrauterine growth restriction.
AB - INTRODUCTION: Preeclampsia (PE) and intrauterine growth restriction (IUGR) are
separate disease entities that have frequently been reported as sharing the same
pathogenesis. In both of them, angiogenesis disorders and generalized endothelial
damage with an accompanying inflammation are the dominant symptoms. In this
study, we attempted to prove that both these processes demonstrate the same
profile in early PE, late PE and IUGR patients, while the only difference is in
the degree of exacerbation of the lesions. PATIENTS, MATERIALS AND METHODS: In
167 patients divided into four groups, three of those with early PE, late PE and
IUGR and one control group, fms-like tyrosine kinase-1 (sFlt-1), placental growth
factor (PlGF), high sensitive c-reactive protein (hsCRP) and fibronectin were
determined. The behavior of these parameters in each of the groups was studied,
and correlations between them were sought for. RESULTS: Higher concentrations of
sFlt-1, hsCRP and fibronectin and a lower concentration of PlGF were found in the
study groups compared to the control group. Significant correlations were
observed between the factors concerned. CONCLUSIONS: The higher values of
disordered angiogenesis markers, endothelial damage markers and inflammatory
markers both in the PE and the intrauterine growth restriction (IUGR) groups
suggest the existence of shared disorders in the development of these
pathologies. The correlations between disordered angiogenesis markers and
endothelial damage markers argue in favor of a mutual relationship between these
two processes in the development of pathologies evolving as secondary to
placental ischemia. The results obtained confirm that the lesion profiles are the
same in both PE and IUGR patients, which can be utilized in developing common
diagnostic criteria.
PMID- 27865094
TI - Planned home birth and the association with neonatal hypoxic ischemic
encephalopathy.
AB - OBJECTIVE: To evaluate the association between planned home birth and neonatal
hypoxic ischemic encephalopathy (HIE). METHODS: This is a case-control study in
which a database of neonates who underwent head cooling for HIE at our
institution from 2007 to 2011 was linked to New York City (NYC) vital records.
Four normal controls per case were then randomly selected from the birth
certificate data after matching for year of birth, geographic location, and
gestational age. Demographic and obstetric information was obtained from the
vital records for both the cases and controls. Location of birth was analyzed as
hospital or out of hospital birth. Details from the out of hospital deliveries
were reviewed to determine if the delivery was a planned home birth. Maternal and
pregnancy characteristics were examined as covariates and potential confounders.
Logistic regression was used to determine the odds of HIE by intended location of
delivery. RESULTS: Sixty-nine neonates who underwent head cooling for HIE had
available vital record data on their births. The 69 cases were matched to 276
normal controls. After adjusting for pregnancy characteristics and mode of
delivery, neonates with HIE had a 44.0-fold [95% confidence interval (CI) 1.7
256.4] odds of having delivered out of hospital, whether unplanned or planned.
Infants with HIE had a 21.0-fold (95% CI 1.7-256.4) increase in adjusted odds of
having had a planned home birth compared to infants without HIE. CONCLUSION: Out
of hospital birth, whether planned home birth or unplanned out of hospital birth,
is associated with an increase in the odds of neonatal HIE.
PMID- 27865095
TI - Assessment and Determinants of Spinal Pain in the Course of Disc Disorders
Treated Surgically.
AB - BACKGROUND Intervertebral disc disease is defined as a complex of structural
changes in the aftermath of disorders of mutual elements, the structure of which
form the discus intervertebralis and the spinal canal. The present work assessed
pain in patients who were surgically treated due to spinal discopathy and
analyzed factors that determine the condition. MATERIAL AND METHODS The research
was carried on a group of 187 patients diagnosed with discopathy of the
lumbosacral and cervical segment. The data are discussed in the context of
medical records and the Visual Analogue Scale used for pain assessment. We used a
prospective study plan with a 3-time assessment. RESULTS The pain level observed
among patients prior to the procedure (M=6.52) was higher than after 7 days
(M=3.15) and 6 months from the operation (M= 3.45). The highest level of pain
(M=6.88), with a relatively high consistency among the patients (SD=2.25), was
observed in the case of left-side hernia (H=7.31; p=0.023). The influence of the
analyzed factors on pain experience markedly increased by the third assessment
(R2=0.14), and was strongly associated with the type of work performed by the
patient. CONCLUSIONS Surgical operation significantly reduces pain in patients
with disc disorders. The level of pain is predominantly affected by the location
of the hernia and the type of work performed by the patient.
PMID- 27865096
TI - Salmonellosis in Poland in 2014
AB - OBJECTIVE: The aim of the study is to assess the epidemiological situation of
salmonellosis in Poland in 2014 in comparison to the previous years. MATERIAL AND
METHODS: The evaluation was based on the data from the bulletin "Infectious
diseases and poisoning in Poland 2014", information from the laboratories of
sanitary-epidemiological stations and reports from the epidemiological
investigations in outbreaks of salmonellosis, sent by the sanitary
epidemiological stations to the Department of Epidemiology, as well as the data
from the Department of Demographic Studies of the Central Statistical Office.
Cases were classified according to the definitions adopted in the UE. RESULTS: In
2014, a total number of 8 392 cases of salmonellosis derived from animals were
reported, including 8 197 cases of intestinal salmonellosis and 195 cases of
extraintestinal. The total incidence was 21.8/100 000. Almost 96% of the cases
met the criteria for a confirmed case. The number of registered cases was, for
the first time since many years, higher than in the previous year, but still
lower than the median for 2008-2012. A very high percentage (approximately 70%)
of hospitalizations remains among patients diagnosed with salmonellosis.
Approximately 33% of all cases in outbreaks of salmonellosis were hospitalized.
The highest incidence was among children under the age of 5 years. Salmonellosis
was reported as the cause of death for 13 patients. In 2014 there were 171
outbreaks reported with Salmonella as an etiological agent. Majority of them were
small outbreaks limited to the family in singular household, and the total number
of cases in those outbreaks amounted to 1 229 people. In Poland the most common
etiological agent of salmonellosis is (and have been for many years) S.
Enteritidis. CONCLUSIONS: In 2014, the first time in many years, an increase was
recorded in the annual number of cases of salmonellosis. A very high percentage
of hospitalizations for salmonellosis cases indicates a relatively rare practice
among GPs in ordering laboratory testing in patients presenting with
gastroenteritis. This causes significant underreporting of salmonellosis in
throughout the country and indicates the need to introduce mechanisms which would
make laboratory testing for salmonellosis as a recommended practice.
PMID- 27865097
TI - Etiology and treatment of acute inferior vena cava thrombosis.
AB - Inferior vena cava thrombosis (IVCT) is a rare but severe disease that is
associated with a high rate of mortality. IVCT can be categorized into primary
versus secondary thrombosis dependent upon the underlying pathophysiology. The
diagnosis includes both clinical probability assessment as well as the imaging
evaluation. The optimal therapeutic strategy remains the target of continued
research. Although anticoagulation therapy remains fundamental in treating IVCT,
its inherent limitations have led to the use of minimally invasive, endovascular
treatment options, including transcatheter thrombolysis, mechanical thrombectomy
or a combination of these techniques. This review focuses on the etiology,
diagnostic assessment, and endovascular treatment options for IVCT.
PMID- 27865098
TI - Dying two deaths - programmed cell death regulation in development and disease.
AB - Programmed cell death (PCD) is a fundamental cellular process that has adopted a
plethora of vital functions in multicellular organisms. In plants, PCD processes
are elicited as an inherent part of regular development in specific cell types or
tissues, but can also be triggered by biotic and abiotic stresses. Although over
the last years we have seen progress in our understanding of the molecular
regulation of different plant PCD processes, it is still unclear whether a common
core machinery exists that controls cell death in development and disease. In
this review, we discuss recent advances in the field, comparing some aspects of
the molecular regulation controlling developmental and pathogen-triggered PCD in
plants.
PMID- 27865099
TI - Assessing symptoms during comprehensive interventions for young children with
autism spectrum disorder: Development and preliminary analysis of the Autism
Behaviour Coding System (ABCS).
AB - The aims of this paper are to present the Autism Behaviour Coding System (ABCS),
a novel, video-based observational instrument for assessing core autism symptoms
during intensive early interventions in autism spectrum disorder (ASD), to
provide preliminary data on its psychometric characteristics and to discuss its
clinical utility. Video recordings of child-therapist interactions during the
'Fruhintervention bei autistischen Storungen' (FIAS) were coded by treatment
independent raters who were blind with respect to the temporal order of the
sequences. We assessed inter-rater reliability using intra-class correlations
(ICCs). Mean ICCs ranged from 0.85 to 0.90. We analysed the sensitivity of the
ABCS to change by comparing the change in ABCS scores with the change in a
validated external measure of level of functioning (Developmental Disorder-Child
Global Assessment of functioning Scale, DD-C-GAS) in a sample of 15 children who
received intensive treatment. Both the ABCS and DD-C-GAS indicated that the
intervention improved symptoms. The ABCS has promise as a research instrument and
has good to excellent inter-rater agreement and sensitivity to intervention
related changes. This pilot study suggests that the ABCS may be useful as an
objective method of assessing the proximal effects of therapy in young children
with ASD.
PMID- 27865100
TI - Walking away from depression-motor activity increases ratings of mood and
incentive drive in patients with major depression.
AB - Reduced motor activity is associated with depression. Lewinsohn's cognitive
behavioural model of depression assumes a lack of positive experience due to a
reduced level of activity as a key aspect of depression. The acute relationship
between motor activity and mood as well as between motor activity and incentive
drive (the motivation to engage in activities) is unknown. An augmentation of
mood and incentive drive could precede or follow motor activity. We examined (1)
whether motor activity would be correlated with the course of depression and (2)
the temporal relationship between motor activity and acute changes in mood and
incentive drive. Motor activity was measured with actigraphy in 12 patients with
depression for one week. Severity of depression was assessed before and at the
end of the study with depression rating scales. The patients reported mood and
incentive drive once per hour. With lag sequential analysis, the temporal
relationship between motor activity and mood and incentive drive was evaluated.
Higher motor activity was associated with depression improvement. Motor activity
had an acute association with mood and incentive drive, especially one hour after
activity. The results support the Lewinsohn's model of depression and underline
the impact of behavioural activation in antidepressant treatment.
PMID- 27865101
TI - Diagnostic guide enabling distinction between taphonomic stains and enamel
hypomineralisation in an archaeological context.
AB - OBJECTIVE: Molar Incisor Hypomineralisation (MIH) is a structural anomaly that
affects the quality of tooth enamel and has important consequences for oral
health. The developmentally hypomineralised enamel has normal thickness and can
range in colour from white to yellow or brown with or without surface breakdown.
The possibility of finding MIH in 'ancient populations' could downplay several
current aetiological hypotheses (e.g., dioxin derivatives, bisphenols,
antibiotics) without excluding the possible multifactorial aspect of the anomaly.
In an archaeological context, chemical elements contained in the burial ground
can stain teeth yellow or brown and therefore might create a taphonomic bias. The
purpose of the present study is to test a proposed diagnostic guide enabling
determination of the pathological or taphonomic cause of enamel discolouration
and defects that resemble MIH present on 'ancient teeth'. DESIGN: Two sample
groups including MIH discoloration (n=12 teeth) from living patients, taphonomic
discoloration (n=9 teeth) and unknown discoloration (n=2 teeth) from medieval
specimens were tested. Three non-destructive methods-Raman spectroscopy, X-ray
micro-computed tomography and X-ray fluorescence were utilised. RESULTS:
Hypomineralised enamel has decreased mineral density (p<0.0001) and increased
phosphate/beta-carbonate ratio (p<0.01) compared to normal enamel whereas
relative concentrations of manganese, copper, iron and lead are similar. In
taphonomic discoloration, relative concentrations of these elements are
significantly different (p<0.05) to normal enamel whereas mineral density and
Raman spectra profile are comparable. CONCLUSIONS: Enamel hypomineralisation can
be distinguished from taphonomic staining in archaeological teeth.
PMID- 27865102
TI - Pharmacotherapy for residual excessive sleepiness and cognition in CPAP-treated
patients with obstructive sleep apnea syndrome: A systematic review and meta
analysis.
AB - Pharmacotherapy has been used as an adjunct to CPAP for treatment of residual
excessive sleepiness in patients with a diagnosis of obstructive sleep apnea
syndrome (OSAS). However, no studies with a high level of evidence have been
conducted to support this practice and confirm its effectiveness. We conducted a
meta-analysis to summarize and quantify the effects of pharmacological treatment
in adults with OSAS who experience residual excessive sleepiness despite adequate
CPAP use. We reviewed clinical trials that compared medications to placebo and
evaluated the outcomes residual excessive sleepiness, cognition, and quality of
life, as well as treatment effectiveness and safety. The MEDLINE, EMBASE, LILACS,
Cochrane Central Register of Controlled Trials - CENTRAL, and PsycINFO electronic
databases were searched using highly sensitive search strategies. Trials were
only included if measures were taken to ensure effective CPAP treatment. Eight
randomized clinical trials were included. Pharmacotherapy with modafinil and
armodafinil led to improvement of excessive daytime sleepiness,
attention/alertness, and clinical condition as measured with the CGI-C. No
improvements in quality of life or other cognitive domains (including memory,
executive function, and language) could be confirmed. Pharmacotherapy did not
cause any severe adverse effects, but was associated with significant dropout
rates as compared with placebo. In conclusion, although our results demonstrate
the effectiveness of pharmacological treatment as an adjunct to CPAP, further
investigation is necessary to improve confidence in its effects. Many findings on
the impact of pharmacotherapy on cognition and quality of life were evaluated
through analysis of single studies, with heterogeneity in tests and absence of
standardization, which reduced certainty as to whether actual improvement
occurred in these outcomes.
PMID- 27865103
TI - Rethinking pathology in adolescent self-harm: Towards a more complex
understanding of risk factors.
AB - Researchers have begun to consider whether there may be more than one
psychological profile to describe adolescents who engage in self-harm. Limited
past research suggests multiple different profiles. Australian high school
students (n = 1,521, age 11-19, 56.4% female) completed an online questionnaire
reporting risk and protective factors and self-harm frequency. Non-hierarchical
cluster analysis allocated 256 students who reported 6-month self-harm to
mutually exclusive profiles based on psychological similarity. Five distinct
psychological profiles were identified: 1) Psychologically 'normal'; 2) Anxiety
symptoms; 3) Impulsive; 4) Pathological; and 5) Pathological-Impulsive. The
proportion of adolescents that reported 11 or more episodes of self-harm varied
from 5.7% in the psychologically 'Normal' group to 27.7% in the 'Pathological-
Impulsive' group. These results indicate that multiple psychological profiles
exist. Adolescents with different risk factors may require disparate strategies
for treatment and prevention. Given the variability in profiles, screening may
assist in detecting adolescents who self-harm.
PMID- 27865104
TI - Detection of low-abundance biomarker lipocalin 1 for diabetic retinopathy using
optoelectrokinetic bead-based immunosensing.
AB - Early diagnosis of diabetic retinopathy (DR) is vital but challenging. DR is a
common complication and a major cause of vision loss in patients with diabetes
mellitus. Without appropriate medical intervention, visual impairment may become
a great burden to our healthcare system. In clinical practice, the current
diagnostic methods, such as fluorescence angiography and optical coherence
tomography, remain constrained by non-quantitative examinations and individual
ophthalmologists' experiences. Late diagnosis often prevents early treatment. To
address the constraints on current diagnostics, this study developed an
optoelectrokinetic bead-based immunosensing technique for detecting lipocalin 1
(LCN1), a DR biomarker. The concentration level of LCN1 in the tears of DR
patients increases with DR severity. The immunoassay was dependent on the
formation of sandwiched immunocomplexes on the particles. A secondary antibody
labeled with dyes/quantum dots (QDs) was used to visualize the presence of the
target antigens. Rapid electrokinetic patterning (REP), an optoelectrokinetic
technique, was used to dynamically enhance the fluorescent signal by
concentrating the modified particles. The limit of detection (LOD) of the
technique could reach 110pg/mL. Only 1.5MUL of a sample fluid was required for
the measurement. Our results showed that highly sensitive and improved LOD is
subjected to particle stacking, small particle size, and compact cluster. By
labeling different particle sizes with dyes/QDs for LCN1 and TNF-alpha, we
successfully used REP to detect the two DR biomarkers on the same platform. The
development of an optoelectrokinetic bead-based immunosensing technique can
provide new insights into diagnosing other low-abundance diseases in the future.
PMID- 27865105
TI - Sensitive and rapid detection of microRNAs using hairpin probes-mediated
exponential isothermal amplification.
AB - MicroRNAs (miRNAs) play significant roles in a diverse range of biological
progress and have been regarded as biomarkers and therapeutic targets in cancer
treatment. Here, we develop a rapid and sensitive miRNA assay on the basis of
exponential isothermal amplification in combination with the hairpin probes,
which was designed for sensitive detection of miRNA. The binding of target miRNA
with a linear DNA template initiates exponential isothermal amplification
reaction (EXPAR) and generates the universal triggers which are complementary to
the 3' protruding end of hairpin probe1(HP1). These triggers function not only as
the primers to unfold the hairpin probes through catalysed hairpin assembly(CHA),
generating distinct fluorescence signals, but also as the primer to initiate the
next EXPAR. Moreover, CHA can release new triggers to initiate EXPAR or CHA. Thus
this hairpin probes-mediated exponential isothermal amplification assay exhibits
high sensitivity with a detection limit of 3.0*10-15M. More importantly, the
isothermal condition and simple fluorescence measurement would greatly promote
the development of a fast, point-of-care detection system. It can be completed in
an hour which can effectively avoid miRNA from degradation. This hairpin probe
based circular exponential amplification assay holds a great promise for further
application in biomedical research and early clinical diagnosis.
PMID- 27865106
TI - High-speed biosensing strategy for non-invasive profiling of multiple cancer
fusion genes in urine.
AB - Aberrant chromosal rearrangements, such as the multiple variants of TMPRSS2:ERG
fusion gene mutations in prostate cancer (PCa), are promising diagnostic and
prognostic biomarkers due to their specific expression in cancerous tissue only.
Additionally, TMPRSS2:ERG variants are detectable in urine to provide non
invasive PCa diagnostic sampling as an attractive surrogate for needle biopsies.
Therefore, rapid and simplistic assays for identifying multiple urinary
TMPRSS2:ERG variants are potentially useful to aid in early cancer detection,
immediate patient risk stratification, and prompt personalized treatment.
However, current strategies for simultaneous detection of multiple gene fusions
are limited by tedious and prolonged experimental protocols, thus limiting their
use as rapid clinical screening tools. Herein, we report a simple and rapid gene
fusion strategy which expliots the specificity of DNA ligase and the speed of
isothermal amplification to simultaneously detect multiple fusion gene RNAs
within a short sample-to-answer timeframe of 60min. The method has a low
detection limit of 2 amol (1000 copies), and was successfully applied for non
invasive fusion gene profiling in patient urine samples with subsequent
validation by a PCR-based gold standard approach.
PMID- 27865108
TI - Self-powered sensing platform equipped with Prussian blue electrochromic display
driven by photoelectrochemical cell.
AB - By incorporating the Prussian Blue (PB) electrochromic display as cathode, a
solar-driven photoelectrochemical (PEC) cell was constructed through combining
sandwich-structured graphite-like carbon nitride (g-C3N4)-Au-branched-titanium
dioxide (B-TiO2) nanorods as photoanode for self-powered hydrogen peroxide (H2O2)
sensing, which exhibits both direct photoelectrochemical and electrochromic
response. The gold nanoparticles (Au NPs) sandwiched between the B-TiO2 nanorods
and the g-C3N4 layer served as electron relay as well as plasmonic
photosensitizer to enhance the solar-to-chemical energy conversion efficiency.
Owing to the effective disproportionation of H2O2 and specific recognition of
mannose on cell surface, concanavalin-A conjugated porous AuPd alloy
nanoparticles were introduced as the catalytically active nanolabels promoting
generation of hydroxyl radicals (.OH). Based on the cleavage of DNA with the
participation of .OH radicals generated by the decomposition of H2O2 under the
catalysis of AuPd alloy result in the disassembly of cancer cells to achieve
further signal enhancement. The multiple-signal-output sensing response not only
provides a promising strategy for different analytical purposes based on novel
stimuli-responsive materials, but also enhances the reliability in the analyte
detection.
PMID- 27865109
TI - Carbon-based nanocomposites with aptamer-templated silver nanoclusters for the
highly sensitive and selective detection of platelet-derived growth factor.
AB - We synthesized two kinds of carbon-based nanocomposites of silver nanoclusters
(AgNCs). An aptamer for targeted platelet-derived growth factor-BB (PDGF-BB)
detection was used as the organic phase to produce AgNCs@Apt, three dimensional
reduced graphene oxide@AgNCs@Aptamer (3D-rGO@AgNCs@Apt), and graphene quantum
dots@AgNCs@Aptamer (GQD@AgNCs@Apt) nanocomposites. The formation mechanism of the
developed nanocomposites was described by detailed characterizations of their
chemical and crystal structures. Subsequently, the as-synthesized nanoclusters
containing aptamer strands were applied as the sensitive layers to fabricate a
novel electrochemical aptasensor for the detection of PDGF-BB, which may be
directly used to determine the target protein. Electrochemical impedance spectra
showed that the developed 3D-rGO@AgNCs@Apt-based biosensor exhibited the highest
sensitivity for PDGF-BB detection among three kinds of fabricated aptasensors,
with an extremely low detection limit of 0.82pgmL-1. In addition, the 3D
rGO@AgNCs@Apt-based biosensor showed high selectivity, stability, and
applicability for the detection of PDGF-BB. This finding indicated that the AgNC
based nanocomposites prepared by a one-step method could be used as an
electrochemical biosensor for various detection procedures in the biomedical
field.
PMID- 27865107
TI - A luminescent lanthanide coordination polymer based on energy transfer from metal
to metal for hydrogen peroxide detection.
AB - A bimetal lanthanide coordination polymer nanoparticle (ATP-Ce/Tb-Tris CPNs) with
good biocompatibility was synthesized in Tris-HCl buffer using adenosine
triphosphate (ATP) molecules as the bridge ligands. The large absorption cross
section and suitable emission energy of Ce3+ matching to the adsorption energy of
Tb3+(4fn) results in the efficient energy transfer from Ce3+ to Tb3+, thus the
synthesized ATP-Ce/Tb-Tris CPNs exhibit the characteristic green emission of
Tb3+. Such energy transfer from metal to metal in fluorescent lanthanide
coordination polymer nanoparticles (Ln-CPNs) has been demonstrated. It is found
that the oxidation of Ce3+ in ATP-Ce/Tb-Tris CNPs to Ce4+ would interrupt the
energy transfer from Ce3+ to Tb3+, leading to fluorescence quenching of Tb3+. On
the basis of this quenching mechanism, ATP-Ce/Tb-Tris CPNs has been successfully
used to detect reactive oxygen H2O2 with detection limit as low as 2nM. If
glucose oxidase is present in the system, glucose can be determined using the ATP
Ce/Tb-Tris CNPs nanosensor.
PMID- 27865110
TI - Specifically and wash-free labeling of SNAP-tag fused proteins with a hybrid
sensor to monitor local micro-viscosity.
AB - Viscosity, as one of the major factors of intracellular microenvironment,
influences the function of proteins. To detect local micro-viscosity of a
protein, it is a precondition to apply a viscosity sensor for specifically target
to proteins. However, all the reported small-molecule probes are just suitable
for sensing/imaging of macro-viscosity in biological fluids of entire cells or
organelles. To this end, we developed a hybrid sensor BDP-V BG by connecting a
viscosity-sensitive boron-dipyrromethene (BODIPY) molecular rotor (BDP-V) to O6
benzylguanine (BG) for specific detection of local micro-viscosity of SNAP-tag
fused proteins. We measured and calculated the reaction efficiency between the
sensor and SNAP-tag protein in vitro to confirm the high labeling specificity. We
also found that the labeling reaction results in a 53-fold fluorescence
enhancement for the rotor, which qualifies it as a wash-free sensor with
ignorable background fluorescence. The high sensitivity of protein labeled sensor
(BDP-V-SNAP) to the changes of local viscosity was evaluated by detecting the
enhancement of fluorescence lifetimes. Further, with the sensor BDP-V BG, we
achieved high specific labeling of cells expressing two SNAP-tag fused proteins
(nuclear histone H2B and mitochondrial COX8A). Two-photon excited fluorescence
lifetime imaging revealed that, the micro-viscosities nearby the SNAP-tag fused
two proteins are distinct. The different changes of local micro-viscosity of SNAP
tag fused histone protein in apoptosis induced by three nucleus-targeted drugs
were also characterized for the first time.
PMID- 27865111
TI - Camelid and shark single domain antibodies: structural features and therapeutic
potential.
AB - In addition to canonical antibodies composed of heavy and light chains, the
adaptive immune systems of camelids and cartilaginous fish comprise heavy-chain
only isotypes (HcAb) devoid of light chains, where antigen-binding is mediated
exclusively by one variable domain. Due to their inherent favorable attributes,
such as high affinity and specificity for their cognate antigen, extraordinary
stability, small size and, most importantly, the possibility to complement
classical antibodies in terms of 'drugable' target-space, HcAb-derived entities
evolved as promising candidates for biomedical applications of which many have
already proven to be successful in early stage clinical trials.
PMID- 27865113
TI - Implicit moral evaluations: A multinomial modeling approach.
AB - Implicit moral evaluations-i.e., immediate, unintentional assessments of the
wrongness of actions or persons-play a central role in supporting moral behavior
in everyday life. Yet little research has employed methods that rigorously
measure individual differences in implicit moral evaluations. In five
experiments, we develop a new sequential priming measure-the Moral Categorization
Task-and a multinomial model that decomposes judgment on this task into multiple
component processes. These include implicit moral evaluations of moral
transgression primes (Unintentional Judgment), accurate moral judgments about
target actions (Intentional Judgment), and a directional tendency to judge
actions as morally wrong (Response Bias). Speeded response deadlines reduced
Intentional Judgment but not Unintentional Judgment (Experiment 1). Unintentional
Judgment was stronger toward moral transgression primes than non-moral negative
primes (Experiments 2-4). Intentional Judgment was associated with increased
error-related negativity, a neurophysiological indicator of behavioral control
(Experiment 4). Finally, people who voted for an anti-gay marriage amendment had
stronger Unintentional Judgment toward gay marriage primes (Experiment 5). Across
Experiments 1-4, implicit moral evaluations converged with moral personality:
Unintentional Judgment about wrong primes, but not negative primes, was
negatively associated with psychopathic tendencies and positively associated with
moral identity and guilt proneness. Theoretical and practical applications of
formal modeling for moral psychology are discussed.
PMID- 27865112
TI - Recent advances in understanding proton coupled peptide transport via the POT
family.
AB - The POT family of membrane transporters use the inwardly directed proton
electrochemical gradient to drive the uptake of essential nutrients into the
cell. Originally discovered in bacteria, members of the family have been found in
all kingdoms of life except the archaea. A remarkable feature of the family is
their diverse substrate promiscuity. Whereas in mammals and bacteria they are
predominantly di- and tri-peptide transporters, in plants the family has diverged
to recognize nitrate, plant defence compounds and hormones. This promiscuity has
led to the development of peptide-based pro-drugs that use PepT1 and PepT2, the
mammalian homologues, to improve oral drug delivery. Recent crystal structures
from bacterial and plant members of the family have revealed conserved features
of the ligand-binding site and provided insights into post-translational
regulation. Here I review the current understanding of transport, ligand
promiscuity and regulation within the POT family.
PMID- 27865114
TI - The effect of selenium and UV radiation on leaf traits and biomass production in
Triticum aestivum L.
AB - UV radiation as an evolutionarily important environmental factor, significantly
affects plants traits and alters the effects of other environmental factors.
Single and combined effects of ambient UV radiation, its exclusion, and Se foliar
treatments on Si concentrations and production of Si phytoliths in wheat
(Triticum aestivum L.) cv. 'Reska' were studied. The effects of these treatments
on growth parameters of the plants, structural and biochemical traits of the
leaves, and interactions of the leaves with light, as Si incrustation is the
first barrier to light at the leaf surface were also examined. Under ambient UV
radiation and foliar treatment with 10mgL-1 sodium selenate solution, there was a
trade-off between the plant investment in primary and secondary metabolism, as
the production of UV-absorbing compounds was enhanced while photosynthetic
pigment levels were reduced. Independent of Se treatment, ambient UV radiation
lowered respiratory potential, Ca concentration, and leaf thickness, and
increased Si concentration, Si phytoliths formation, and cuticle thickness. The
Se treatment has little effect on plant traits and biomass production but it
increased Se concentrations in the plants by >100-fold, independent of UV
radiation. In combination with UV radiation Se strengthen the protection of
plants against stress by increasing the amount of UV absorbing compounds, light
reflectance and transmittance.
PMID- 27865115
TI - Phytochelatin synthesis in Dunaliella salina induced by arsenite and arsenate
under various phosphate regimes.
AB - This study investigated the dynamic variations in thiol compounds, including
cysteine (Cys), glutathione (GSH), and phytochelatins (PCs), in Dunaliella salina
samples exposed to arsenite [As(III)] and arsenate [As(V)] under various
phosphate (PO43-) regimes. Our results showed that GSH was the major non-protein
sulfhydryl compound in D. salina cells. As(III) and As(V) induced PC syntheses in
D. salina. PC2, PC3, and PC4 were all found in algal cells; the PC concentrations
decreased gradually while exposed to As for 3 d. The synthesis of PC2-3 was
significantly affected by As(III) and As(V) concentrations in the cultures. More
PCs were detected in the As(V)-treated algal cells compared with the As(III)
treatment. PC levels increased with As(III)/As(V) amount in the medium, but
remained stable after 112MUgL-1 As(V) exposure. In contrast, significant
(p<0.001) positive correlations were observed between PC synthesis and
intracellular As(III) content or As accumulation in As(III)-treated algal cells
during the 72-h exposure. PO43- had a significant influence on the PC synthesis
in algal cells, irrespective of the As-treated species. Reductions in As uptake
and subsequent PC synthesis by D. salina were observed as the PO43- concentration
in the growth medium increased. L-Buthionine sulfoximine (BSO) differentially
influenced PC synthesis in As-treated D. salina under different extracellular
PO43- regimes. Overall, our data demonstrated that the production of GSH and PCs
was affected by PO43- and that these thiols played an important role in As
detoxification by D. salina.
PMID- 27865116
TI - Comparison of contemporaneous responses for EQ-5D-3L and Minnesota Living with
Heart Failure; a case for disease specific multiattribute utility instrument in
cardiovascular conditions.
AB - BACKGROUND: The EQ-5D-3L, a generic multi-attribute utility instrument (MAUI), is
widely employed to assist in economic evaluations in health care. The EQ-5D-3L
lacks sensitivity when used in conditions such as cardiovascular disease (CVD).
Although there are number of CVD specific quality of life instruments, currently,
there are no CVD specific MAUIs. The aim of this study is to investigate the
discriminative ability and responsiveness of the EQ-5D-3L and the Minnesota
Living with Heart Failure Questionnaire (MLHF), a CVD specific quality of life
instrument in a group of heart failure patients. METHODS: The psychometric
performance of the EQ-5D-3L and the MLHF was assessed using data from a
randomised trial for a heart failure management intervention. The two instruments
were compared for discrimination, responsiveness and agreement. The severity
groups were defined using New York Heart Association functional classes. RESULTS:
The effect sizes for severe classes were generally similar showing good
discrimination. The MLHF recorded better responsiveness between the time points
than the EQ-5D-3L which was indicated by higher effect sizes and standardised
response means. The change in MLHF summary scores between the time points was
significant (p<0.005; paired t-test). The overall agreement between the two
measures was low. CONCLUSION: The low correlation indicates that the two
classification systems cover different aspects of health space. Comparison of CVD
specific instruments with other generic MAUIs such as EQ-5D-3L and AQOL-8D is
recommended for further research.
PMID- 27865117
TI - Catalytic iron in acute myocardial infarction complicated by cardiogenic shock -
A biomarker substudy of the IABP-SHOCK II-trial.
AB - BACKGROUND: Catalytic iron (CI) is unbound ferric iron with the potential to
generate reactive oxygen species with further deleterious vascular effects. In
acute coronary syndromes, high levels of CI are linked to all-cause mortality.
The prognostic impact of CI and iron metabolism in cardiogenic shock (CS) is
currently undetermined. Aims of this study were to investigate the prognostic
impact of CI and to identify predictors of high CI levels in patients with CS
complicating acute myocardial infarction. METHODS: The Intraaortic Balloon Pump
in Cardiogenic Shock II (IABP-SHOCK II) trial randomized 600 patients with CS to
either therapy with intraaortic balloon pump or control. In 185 of these
patients, blood samples were systematically collected at baseline and day 3. CI
levels were measured using a modified bleomycin detectable iron assay.
Furthermore, levels of free hemoglobin, total serum iron, transferrin, total iron
binding capacity, ferritin, hepcidin, and transferrin saturation were assessed.
RESULTS: Patients with baseline CI levels in the highest quartile had a worse
outcome in comparison to patients with lower CI (day 1: HR 1.91 [1.11-3.31],
p=0.005; day 3: HR 2.15 [1.06-4.34], p=0.01). In multivariable Cox-regression
analysis baseline CI remained an independent predictor of 30-day mortality (HR
per 10LOG 2.08 [1.25-3.47], p=0.005). Predictors of CI levels on day 3 were
baseline CI, bleeding events, and baseline troponin T. CONCLUSIONS: CI levels
were associated with increased short-term mortality in CS complicating acute
myocardial infarction. High levels of CI at day 3 were associated with bleeding
and high troponin levels.
PMID- 27865119
TI - Does haptic steering guidance instigate speeding? A driving simulator study into
causes and remedies.
AB - An important issue in road traffic safety is that drivers show adverse behavioral
adaptation (BA) to driver assistance systems. Haptic steering guidance is an
upcoming assistance system which facilitates lane-keeping performance while
keeping drivers in the loop, and which may be particularly prone to BA. Thus far,
experiments on haptic steering guidance have measured driver performance while
the vehicle speed was kept constant. The aim of the present driving simulator
study was to examine whether haptic steering guidance causes BA in the form of
speeding, and to evaluate two types of haptic steering guidance designed not to
suffer from BA. Twenty-four participants drove a 1.8m wide car for 13.9km on a
curved road, with cones demarcating a single 2.2m narrow lane. Participants
completed four conditions in a counterbalanced design: no guidance (Manual),
continuous haptic guidance (Cont), continuous guidance that linearly reduced
feedback gains from full guidance at 125km/h towards manual control at 130km/h
and above (ContRF), and haptic guidance provided only when the predicted lateral
position was outside a lateral bandwidth (Band). Participants were familiarized
with each condition prior to the experimental runs and were instructed to drive
as they normally would while minimizing the number of cone hits. Compared to
Manual, the Cont condition yielded a significantly higher driving speed (on
average by 7km/h), whereas ContRF and Band did not. All three guidance conditions
yielded better lane-keeping performance than Manual, whereas Cont and ContRF
yielded lower self-reported workload than Manual. In conclusion, continuous
steering guidance entices drivers to increase their speed, thereby diminishing
its potential safety benefits. It is possible to prevent BA while retaining
safety benefits by making a design adjustment either in lateral (Band) or in
longitudinal (ContRF) direction.
PMID- 27865120
TI - Polybrominated diphenyl ethers (PBDEs) in Great Lakes fish: Levels, patterns,
trends and implications for human exposure.
AB - Levels of polybrominated diphenyl ethers (PBDEs) were measured in edible portions
of Great Lakes fish, with the goal of examining patterns/trends and evaluating
implications for human exposure. A total of 470 fillets of 18 fish species
collected from various parts of the Canadian waters of the Great Lakes between
2006 and 2013 were analyzed for 17 (expanded to 33 in 2009) PBDEs. For a limited
number of species, fillet to whole body and fillet to eggs PBDEs were compared to
examine pattern and concentration among tissue types. Levels and patterns of
PBDEs varied dramatically within and among the 18 fish species. Bottom dwelling
Common Carp (and White Sucker) exhibited the highest ?PBDE levels (27-71ng/g).
Lake Trout and Lake Whitefish from Lake Superior had higher levels than those
from the other Great Lakes; otherwise the spatial trend was Lake
Ontario?Erie~Huron~Superior. The measured levels would result in restriction on
consumption of only Common Carp from the Toronto waterfront area, which is in
proximity to the most urbanised region on the Canadian side of the basin. Deca
BDE was the major congener in panfish, while BDE-47 was the major congener in top
predators and its contribution to ?PBDE increased with the contamination.
Although ?PBDE was related to fish length and lipid content when all measurements
were pooled, the relationships were variable for individual sampling events
(species/location/year). Whole body ?PBDE for bottom dweller Brown Bullhead and
Common Carp were 2.6-4.9 times greater and egg ?PBDE for four fatty Salmon/Trout
species were same to 6.5 times greater than the corresponding fillet
concentrations. Levels of major lower brominated PBDEs appear to have declined in
fish fillets by 46-74% between 2006/07 and 2012. Although PBDE in existing
consumer items will remain in-use for a while, it will likely not result in
appreciable accumulation of PBDEs in fish. Based on an overall assessment,
regular monitoring of PBDEs in Great Lake fish can be replaced with targeted
surveillance and focus can be shifted to other in-use flame retardants.
PMID- 27865118
TI - Dienogest reduces proliferation, NGF expression and nerve fiber density in human
adenomyosis.
AB - OBJECTIVES: To evaluate the in vivo effect of dienogest on proliferation,
apoptosis, aromatase expression, vascular density, nerve growth factor (NGF)
expression and nerve fiber density in human adenomyosis tissue. STUDY DESIGN:
Twelve women who underwent hysterectomy for adenomyosis were enrolled. Six
patients received dienogest treatment prior to hysterectomy (dienogest group),
and age-matched six patients who had not received any hormonal treatment for >=3
months before surgery (control group). Cell proliferation, vascular and nerve
fiber density in adenomyosis tissue were evaluated by staining for Ki67, von
Willebrand factor and PGP9.5, respectively. Apoptosis was detected using the
TUNEL assay. The expression aromatase and NGF were evaluated by staining for
corresponding antibodies. RESULTS: The proportion of Ki67 positive epithelial
cells was significantly lower in samples from dienogest-treated patients in
comparison with controls (p<0.05). The density of blood vessels in adenomyosis
was marginally lower in the dienogest group in comparison with controls but
statistical significance was not reached (p=0.07). The intensity of NGF
expression and the density of nerve fibers were significantly lower in the
dienogest group compared with controls (p<0.05 for both). CONCLUSION: This study
demonstrates that adenomyosis, taken from patients treated with dienogest, shows
remarkable histological features, such as reductions in proliferation, NGF
expression and nerve fiber density. These findings indicate the impact of
dienogest on local histological events, and explains its therapeutic effect on
adenomyosis.
PMID- 27865121
TI - Physiological responses of a population of Sargassum vulgare (Phaeophyceae) to
high pCO2/low pH: implications for its long-term distribution.
AB - Ocean Acidification (OA) is likely to affect macroalgal diversity in the future
with species-specific responses shaping macroalgal communities. In this
framework, it is important to focus research on the photosynthetic response of
habitat-forming species which have an important structural and functional role in
coastal ecosystems. Most of the studies on the impacts of OA involve short-term
laboratory or micro/mesocosm experiments. It is more challenging to assess the
adaptive responses of macroalgal community to decreasing ocean pH over long-term
periods, as they represent the basis of trophic dynamics in marine environments.
This work aims to study the physiological traits of a population of Sargassum
vulgare that lives naturally in the high pCO2 vents system in Ischia (Italy), in
order to predict the species behaviour in a possible OA future scenario. With
this purpose, the photosynthetic performance of S. vulgare was studied in a wild,
natural population living at low pH (6.7) as well as in a population transplanted
from native (6.7) to ambient pH (8.1) for three weeks. The main results show that
the photochemical activity and Rubisco expression decreased by 30% after
transplanting, whereas the non-photochemical dissipation mechanisms and the
photosynthetic pigment content increased by 50% and 40% respectively, in order to
compensate for the decrease in photochemical efficiency at low pH. Our data
indicated a stress condition for the S. vulgare population induced by pH
variation, and therefore a reduced acclimation capability at different pH
conditions. The decline of the PSII maximum quantum yield (Fv/Fm) and the
increase of PARP enzyme activity in transplanted thalli further supported this
hypothesis. The absence of the species at ambient pH conditions close to the vent
system, as well as the differences in physiological traits, suggest a local
adaptation of S. vulgare at pH6.7, through optimization of photosynthetic
performance.
PMID- 27865122
TI - Prolonged grief and post-traumatic stress among relatives of missing persons and
homicidally bereaved individuals: A comparative study.
AB - BACKGROUND: Traumatic loss (e.g., homicide) is associated with elevated prolonged
grief disorder (PGD) and posttraumatic stress disorder (PTSD). Several studies
comparing relatives of missing persons with homicidally bereaved individuals
showed inconsistent results about the difference in PGD- and PTSD-levels between
the groups. These studies were conducted in the context of armed conflict, which
may confound the results. The current study aims to compare PGD- and PTSD-levels
between the groups outside the context of armed conflict. METHODS: Relatives of
long-term missing persons (n=134) and homicidally bereaved individuals (n=331)
completed self-report measures of PGD and PTSD. Multilevel regression modelling
was used to compare symptom scores between the groups. RESULTS: Homicidally
bereaved individuals reported significantly higher levels of PGD (d=0.86) and
PTSD (d=0.28) than relatives of missing persons, when taking relevant covariates
(i.e., gender, time since loss, and kinship to the disappeared/deceased person)
into account. LIMITATIONS: A limitation of this study is the use of self-report
measures instead of clinical interviews. CONCLUSION: Prior studies among
relatives of missing persons and homicidally bereaved individuals in the context
of armed conflict may not be generalizable to similar samples outside these
contexts. Future research is needed to further explore differences in bereavement
related psychopathology between different groups and correlates and treatment of
this psychopathology.
PMID- 27865125
TI - Preceptors' conceptions of a peer learning model: A phenomenographic study.
AB - BACKGROUND: During the past few years nurses precepting undergraduate nursing
students have been put under greater pressure because of increased number of
students admitted to the universities combined with a shortage of clinical
placements. One solution is the preceptor model peer learning where two students
are tutored by the same preceptor simultaneously. OBJECTIVES: The aim of this
study was to describe the variation of registered nurses' conceptions of
preceptorship in a peer learning model for undergraduate nursing students.
DESIGN: The study used a qualitative descriptive design and a phenomenographic
approach. SETTINGS: The interviews took place at somatic and psychiatric units at
two different hospitals in southern Sweden. PARTICIPANTS: Twelve informants
participated who had worked as registered nurses between 1-17years and acted as
peer learning preceptors between 2month and 6years. METHODS: Each nurse was
interviewed individually using a semi structured interview guide. Follow up
questions were used to make the informants develop and deepen their answers.
RESULTS: Four different descriptive categories emerged in the study: 1)
Preceptorship in peer learning generates development and new perspectives 2)
Preceptorship in peer learning enables student reflection and independence 3)
Preceptorship in peer learning engenders insufficiency and stress 4)
Preceptorship in peer learning requires education and support. CONCLUSIONS: The
result of this study showed that preceptors conceived that peer learning enabled
them to take a step back which gave them a new role and perspectives. The
consequence was that the students could be more independent which saved time for
some of the preceptors. However, some preceptors perceived insufficiency and
stress while working with two students. It is also important to educate both
students and preceptors to optimise the use of peer learning.
PMID- 27865124
TI - The "killer cell story" in recurrent miscarriage: Association between activated
peripheral lymphocytes and uterine natural killer cells.
AB - Peripheral and uterine NK cells (pNK, uNK) can be distinguished according to
their receptor expression. Recent studies indicate an association of elevated pNK
and uNK with recurrent miscarriage (RM). This study aimed to analyze pNK and uNK
in patients with RM and healthy controls. Out of n=590 RM patients screened
according to a standard diagnostic protocol, n=268 couples with >=3 consecutive
RM were identified. Subgroups consisted of n=151 primary RM (pRM), n=85 secondary
RM (sRM), n=32 tertiary RM (tRM) and n=42 healthy controls. Finally, n=147
idiopathic RM (iRM) and n=121 non-iRM patients were identified. Peripheral blood
levels of CD45+CD3-CD56+CD16+ NK cells were determined in non-pregnant patients
and controls in the mid-luteal phase by FACS. In n=129 RM patients a uterine
biopsy was taken to evaluate CD56+ NK cells by immunohistochemistry. PRM showed
higher absolute pNK than sRM (median/MUl (Q1;Q3): 234 (147;306) vs 176 (128;245),
p=0.02). Further a trend towards higher pNK percentages in pRM was detected. UNK
numbers did not differ between RM subgroups and did not correlate with pNK.
However, the rate of highly elevated uNK was increased in iRM compared to non-iRM
patients (p=0.04). Further, higher numbers of CD45+CD3-DR+ (p<0.01) and
CD45+CD3+CD8+DR+ (p=0.04) peripheral lymphocytes were associated with higher uNK
numbers. In conclusion, elevated pNK were present in pRM patients. Although pNK
and uNK numbers did not correlate, the association between high CD45+CD3-DR+ and
CD45+CD3+CD8+DR+ peripheral lymphocytes and uNK might indicate that activated NK,
B and T cells provide cytokines for the differentiation of uNK.
PMID- 27865126
TI - Crossing the canal: Looking beyond hernias - Spectrum of common, uncommon and
atypical pathologies in the inguinal canal.
AB - Inguinal canal can have diverse range of pathologies due to its complex anatomy
and multiple different contents in the males and females. Imaging plays a
dominant role in the appropriate diagnosis and management as inguinal pathologies
have fairly similar clinical presentation with pain and swelling. Various
pathologies in the inguinal region include congenital, infectious/inflammatory,
vascular, neoplastic and iatrogenic. This paper gives an overview of the role of
various modalities like Ultrasound, CT and MRI in the evaluation of inguinal
pathologies.
PMID- 27865123
TI - Decontamination of Bacillus spores adhered to iron and cement-mortar drinking
water infrastructure in a model system using disinfectants.
AB - Decontamination of Bacillus spores adhered to common drinking water
infrastructure surfaces was evaluated using a variety of disinfectants. Corroded
iron and cement-mortar lined iron represented the infrastructure surfaces, and
were conditioned in a 23 m long, 15 cm diameter (75 ft long, 6 in diameter) pilot
scale drinking water distribution pipe system. Decontamination was evaluated
using increased water velocity (flushing) alone at 0.5 m s-1 (1.7 ft s-1), as
well as free chlorine (5 and 25 mg L-1), monochloramine (25 mg L-1), chlorine
dioxide (5 and 25 mg L-1), ozone (2.0 mg L-1), peracetic acid 25 mg L-1) and
acidified nitrite (0.1 mol L-1 at pH 2 and 3), all followed by flushing at 0.3 m
s-1 (1 ft s-1). Flushing alone reduced the adhered spores by 0.5 and 2.0 log10
from iron and cement-mortar, respectively. Log10 reduction on corroded iron pipe
wall coupons ranged from 1.0 to 2.9 at respective chlorine dioxide concentrations
of 5 and 25 mg L-1, although spores were undetectable on the iron surface during
disinfection at 25 mg L-1. Acidified nitrite (pH 2, 0.1 mol L-1) yielded no
detectable spores on the iron surface during the flushing phase after
disinfection. Chlorine dioxide was the best performing disinfectant with >3.0
log10 removal from cement-mortar at 5 and 25 mg L-1. The data show that free
chlorine, monochloramine, ozone and chlorine dioxide followed by flushing can
reduce adhered spores by > 3.0 log10 on cement-mortar.
PMID- 27865127
TI - Beef consumer segment profiles based on information source usage in Poland.
AB - The main aim of this study was to identify market segments based on consumers'
usage of information sources about beef and to investigate whether the use of
information sources was associated with the type of information consumers were
searching for, factors guiding their decision processes to buy beef and motives
related to beef consumption. Data were collected in 2014 through a self
administered survey of 501 regular beef consumers. Three distinct clusters were
identified: Enthusiast (38.5%), Conservative (43.1%) and Ultra Conservative
(18.4%). This study revealed that culinary and personal sources of information on
beef were the most frequently used. Taste, perceived healthiness and suitability
to prepare many dishes were reported as primary motives to eat beef. These
results show that communication channels such as culinary programs and
opportunities provided by the development of labelling systems to guarantee beef
quality should be considered when developing policies and strategies to increase
beef consumption in Poland.
PMID- 27865129
TI - Laurdan emission study of the cholesterol-like effect of long-chain
alkylresorcinols on the structure of dipalmitoylphosphocholine and sphingomyelin
membranes.
AB - Long-chain alkylresorcinols (ARs) are commonly found in plant and bacteria cells,
and they exhibit a wide variety of biological effects, including antifungal,
antitumor, and antiphrastic activities. The cholesterol (Chol)-like effect of ARs
with hydrocarbon side-chain lengths ranging from C15 to C25 on the structure of
pure and Chol-doped dipalmitoylphosphocholine (DPPC) and sphingomyelin (SM)
membranes was investigated by Laurdan fluorescence spectroscopy. The Laurdan
emission generalized polarization parameter was analyzed as a function of the
temperature and excitation wavelength in DPPC (or SM)/Chol, DPPC (or SM)/AR, and
DPPC/Chol/AR systems. It was found that AR incorporation into both DPPC and SM
bilayers induces an increase in the temperature of the main lipid phase
transition, similar to the effect of Chol molecule incorporation. The phase
separation, lipid-chain ordering, and membrane hydration are discussed for the AR
mixed membranes and compared with DPPC (or SM)/Chol membranes.
PMID- 27865128
TI - The pleiotropic role of non-coding genes in development and cancer.
AB - The expansive dimension of non-coding genes is by now a well-recognized feature
of eukaryotes genomes. Over the past decades, in vitro functional studies and in
vivo manipulation of non-coding genes through Genetically Engineered Mouse Models
(GEMMs) have provided compelling evidence that almost every biological phenomenon
is regulated, at some level, by non-coding RNA transcripts or by coding RNAs with
non-coding functions. In this opinion article, we will discuss how recent
discoveries in the field of non-coding RNAs are contributing to advance our
understanding of evolution and organismal complexity and its relevance to human
diseases.
PMID- 27865130
TI - Effects of fatigue on lower limb, pelvis and trunk kinematics and muscle
activation: Gender differences.
AB - BACKGROUND: Muscle fatigue is associated with biomechanical changes that may lead
to anterior cruciate ligament (ACL) injuries. Alterations in trunk and pelvis
kinematics may also be involved in ACL injury. Although some studies have
compared the effects of muscle fatigue on lower limb kinematics between men and
women, little is known about its effects on pelvis and trunk kinematics. The aim
of the study was to compare the effects of fatigue on lower limb, pelvis and
trunk kinematics and muscle activation between men and women during landing.
METHODS: The participants included forty healthy subjects. We performed kinematic
analysis of the trunk, pelvis, hip and knee and muscle activation analysis of the
gluteal muscles, vastus lateralis and biceps femoris, during a single-leg landing
before and after fatigue. RESULTS: Men had greater trunk flexion than women after
fatigue. After fatigue, a decrease in peak knee flexion and an increase in Gmax
and BF activation were observed. CONCLUSION: The increase in the trunk flexion
can decrease the anterior tibiofemoral shear force resulted from the lower knee
flexion angle, thereby decreasing the stress on the ACL.
PMID- 27865131
TI - Evaluation of cellular uptake, cytotoxicity and cellular ultrastructural effects
of heteroleptic oxidovanadium(IV) complexes of salicylaldimines and polypyridyl
ligands.
AB - Searching for prospective vanadium-based drugs for cancer treatment, a new series
of structurally related [VIVO(L-2H)(NN)] compounds (1-8) was developed. They
include a double deprotonated salicylaldimine Schiff base ligand (L-2H) and
different NN-polypyridyl co-ligands having DNA intercalating capacity. Compounds
were characterized in solid state and in solution. EPR spectroscopy suggests that
the NN ligands act as bidentate and bind through both nitrogen donor atoms in an
axial-equatorial mode. The cytotoxicity was evaluated in human tumoral cells
(ovarian A2780, breast MCF7, prostate PC3). The cytotoxic activity was dependent
on type of cell and incubation time. At 24h PC3 cells presented low sensitivity,
but at 72h all complexes showed high cytotoxic activity in all cells. Human
kidney HEK293 and ovarian cisplatin resistant A2780cisR cells were also included
to evaluate selectivity towards cancer cells and potency to overcome cisplatin
resistance, respectively. Most complexes showed no detectable interaction with
plasmid DNA, except 2 and 7 which depicted low ability to induce single strand
breaks in supercoiled DNA. Based on the overall cytotoxic profile, complexes with
2,2'-bipyridine and 1,10-phenanthroline ligands (1 and 2) were selected for
further studies, which consisted on cellular distribution and ultrastructural
analyses. In the A2780 cells both depicted different distribution profiles; the
former accumulates mostly at the membrane and the latter in the cytoskeleton.
Morphology of treated cells showed nuclear atypia and membrane alterations, more
severe for 1. Complexes induce different cell death pathways, predominantly
necrosis for 1 and apoptosis for 2. Complexes alternative mode of cell death
motivates the possibility for further developments.
PMID- 27865132
TI - A network approach to policy framing: A case study of the National Aboriginal and
Torres Strait Islander Health Plan.
AB - Aboriginal health policy in Australia represents a unique policy subsystem
comprising a diverse network of Aboriginal-specific and "mainstream"
organisations, often with competing interests. This paper describes the network
structure of organisations attempting to influence national Aboriginal health
policy and examines how the different subgroups within the network approached the
policy discourse. Public submissions made as part of a policy development process
for the National Aboriginal and Torres Strait Islander Health Plan were analysed
using a novel combination of network analysis and qualitative framing analysis.
Other organisational actors in the network in each submission were identified,
and relationships between them determined; these were used to generate a network
map depicting the ties between actors. A qualitative framing analysis was
undertaken, using inductive coding of the policy discourses in the submissions.
The frames were overlaid with the network map to identify the relationship
between the structure of the network and the way in which organisations framed
Aboriginal health problems. Aboriginal organisations were central to the network
and strongly connected with each other. The network consisted of several densely
connected subgroups, whose central nodes were closely connected to one another.
Each subgroup deployed a particular policy frame, with a frame of "system
dysfunction" also adopted by all but one subgroup. Analysis of submissions
revealed that many of the stakeholders in Aboriginal health policy actors are
connected to one another. These connections help to drive the policy discourse.
The combination of network and framing analysis illuminates competing interests
within a network, and can assist advocacy organisations to identify which network
members are most influential.
PMID- 27865133
TI - Rejoinder to Karen Lutfey Spencer.
PMID- 27865134
TI - Polychromatic light (480-3400nm) similar to the terrestrial solar spectrum
without its UV component in post-surgical immunorehabilitation of breast cancer
patients.
AB - To this day, two methods of phototherapy (PT) have been successfully used in post
surgical immunorehabilitation of patients with breast cancer (BC): intravenous
laser irradiation of the patients' blood and reinfusion of lympholeukosuspension
of BC patients after single irradiation with HeNe laser. The objective of this
pilot experimental study was to verify the effectiveness of the percutaneous use
of polychromatic visible light combined with polychromatic infrared (pVIS+pIR)
radiation similar to the major components of natural solar spectrum in post
surgical management of BC patients. Patients with BC (adenocarcinoma) of I-II
stages, n=19 who had undergone mastectomy, were divided into 2 groups. The
control group of patients (n=8) underwent a conventional course of post-surgical
rehabilitation and sham irradiation. Patients of the PT group (n=11) additionally
received 7days of daily treatment with polychromatic light on the sacral area,
D=15cm. The PT course began on the day after mastectomy (Bioptron-2 device;
Switzerland, 480-3400nm, 95% polarization, 40mW/cm2, 24J/cm2). Mastectomy
produced many changes in cellular and humoral immunity, which was recorded on the
1st and 8th post-surgical days. The PT course resulted in a faster normalization
of post-surgical leukocytosis and activation of cytotoxic CD8+ T-lymphocytes
(Lym), reduced the elevated concentration in blood of immune complexes and in
parallel promoted cytotoxic activity of CD16+/CD56+ NK-cells. The PT up-regulated
the number of NK-cells in patients with its decrease on the 1st post-surgical day
and prevented the decrease in the amount of monocytes, CD19+ B-Lym, CD3+ T-Lym,
CD4+ T-helpers, activated CD3+/HLADR+ T-Lym, and the decrease of the phagocytotic
capability of neutrophils. PT blocked the down-regulation of the IgM, IgA
concentration and abnormally sharp increase of the proinflammatory cytokine IFN
gamma content. Therefore, a 7-day course with polychromatic light prevented the
development of immunosupression in the BC patients at the early post-mastectomy
period.
PMID- 27865135
TI - Applications of vitamin B6 cofactor pyridoxal 5'-phosphate and pyridoxal 5'
phosphate crowned gold nanoparticles for optical sensing of metal ions.
AB - Vitamin B6 cofactor pyridoxal 5'-phosphate (PLP) and PLP crowned gold
nanoparticles (PLP-AuNPs) was applied for the optical chemosensing of metal ions
in aqueous medium. PLP showed a visually detectable colour change from colourless
to yellow and 'turn-off' fluorescence in the presence of Fe3+. The fluorescence
intensity of PLP at 433nm was also blue-shifted and enhanced at 395nm upon
addition of Al3+. When the PLP was functionalized over AuNPs surface, the wine
red colour of PLP-AuNPs was turned to purplish-blue and the SPR band at ~525nm
was red-shifted upon addition of Al3+, Cd2+ and Pb2+ due to the complexation
induced aggregation of nanoparticles. The developed sensing systems exhibited
good selectivity and specificity for the detected analytes (Fe3+, Al3+, Cd2+ and
Pb2+).
PMID- 27865137
TI - Calix[3]carbazole: A C3-symmetrical receptor for barium ion.
AB - The binding ability of calix[3]carbazole (1) to metal ions has been investigated.
It is found that 1 could serve as a non crown ether based, C3-symmetrical
receptor for Ba2+ via the marriage of cation-pi and cation-dipole interactions.
FID assay further illustrates that 1 could selectively interact with Ba2+ over
Pd2+. A possible binding mechanism for [1-Ba2+] complex is proposed.
PMID- 27865136
TI - pH-dependent absorption spectra of rhodopsin mutant E113Q: On the role of
counterions and protein.
AB - The absorption spectra of bovine rhodopsin mutant E113Q in solutions were
investigated at the molecular level by using a hybrid quantum mechanics/molecular
mechanics (QM/MM) method. The calculations suggest the mechanism of the
absorption variations of E113Q at different pH values. The results indicate that
the polarizations of the counterions in the vicinity of Schiff base under
protonation and unprotonation states of the mutant E113Q would be a crucial
factor to change the energy gap of the retinal to tune the absorption spectra.
Glu-181 residue, which is close to the chromophore, cannot serve as the
counterion of the protonated Schiff base of E113Q in dark state. Moreover, the
results of the absorption maximum in mutant E113Q with the various anions (Cl-,
Br-, I- and NO3-) manifested that the mutant E113Q could have the potential for
use as a template of anion biosensors at visible wavelength.
PMID- 27865138
TI - Young driver risky behaviour and predictors of crash risk in Australia, New
Zealand and Colombia: Same but different?
AB - Young drivers remain overrepresented in road crashes around the world, with road
injury the leading cause of death among adolescents. In addition, the majority of
road traffic crashes, fatalities and injuries occur in low- and middle-income
countries. All young drivers are at risk due to a breadth of age- and
inexperience-related factors; however it is well recognised that young drivers
may also intentionally engage in risky driving behaviours which increase their
crash risk. The aim of this paper is to examine the self-reported risky driving
behaviour of young drivers in Australia, New Zealand (high-income countries), and
Colombia (middle-income country), and to explore the utility of a crash risk
assessment model in these three countries. Young drivers aged 16-25 years
completed the Behaviour of Young Novice Drivers Scale (BYNDS), in addition to
self-reporting crash involvement and driving offences. A hierarchical
segmentation analysis via decision trees was used to study the relationship
between self-reported crashes and risky driving. Young drivers in Colombia
reported more risky driving than young drivers in New Zealand, and considerably
more risky driving than young drivers in Australia. Significant differences among
and across countries in individual BYNDS items were found, and 23.5% of all
participants reported they had been involved in a road crash. Handheld mobile
phone usage was the strongest predictor of crashes, followed by driving after
drinking alcohol, and carrying friends as passengers. Country of origin predicted
mobile phone usage, with New Zealand and Colombia grouped in the same decision
tree branch which implies no significant differences in the behaviour between
these countries. Despite cultural differences in licensing programs and
enforcement, young drivers reported engaging in a similar breadth of risky
behaviours. Road crashes were explained by mobile phone usage, drink driving and
driving with passengers, suggesting interventions should target these three risk
factors. Whilst New Zealand and Australia have implemented graduated driver
licensing programs, are geographical neighbours, and are high-income countries,
the finding that behaviours of young drivers in New Zealand and Colombia were
more similar than those of young drivers in New Zealand and Australia merits
further investigation.
PMID- 27865139
TI - Real-world evaluation of the effectiveness of reversing camera and parking sensor
technologies in preventing backover pedestrian injuries.
AB - Backover injuries to pedestrians are a significant road safety issue, but their
prevalence is underestimated as the majority of such injuries are often outside
the scope of official road injury recording systems, which just focus on public
roads. Based on experimental evidence, reversing cameras have been found to be
effective in reducing the rate of collisions when reversing; the evidence for the
effectiveness of reverse parking sensors has been mixed. The wide availability of
these technologies in recent model vehicles provides impetus for real-world
evaluations using crash data. A logistic model was fitted to data from crashes
that occurred on public roads constituting 3172 pedestrian injuries in New
Zealand and four Australian States to estimate the odds of backover injury
(compared to other sorts of pedestrian injury crashes) for the different
technology combinations fitted as standard equipment (both reversing cameras and
sensors; just reversing cameras; just sensors; neither cameras nor sensors)
controlling for vehicle type, jurisdiction, speed limit area and year of
manufacture restricted to the range 2007-2013. Compared to vehicles without any
of these technologies, reduced odds of backover injury were estimated for all
three of these technology configurations: 0.59 (95% CI 0.39-0.88) for reversing
cameras by themselves; 0.70 (95% CI 0.49-1.01) for both reversing cameras and
sensors; 0.69 (95% CI 0.47-1.03) for reverse parking sensors by themselves. These
findings are important as they are the first to our knowledge to present an
assessment of real-world safety effectiveness of these technologies.
PMID- 27865140
TI - Overcoming dynamic molecular heterogeneity in metastatic colorectal cancer:
Multikinase inhibition with regorafenib and the case of rechallenge with anti
EGFR.
AB - In metastatic colorectal cancer (mCRC), fluorouracil-based combination therapy
with oxaliplatin or irinotecan is the mainstay of first-line treatment. Patient
survival has been significantly improved with the introduction of monoclonal
antibodies against VEGF (bevacizumab), VEGFR2 (ramucirumab) or EGFR (cetuximab or
panitumumab) in first- and second-line therapies. However, all patients treated
with chemotherapy and targeted therapies will eventually relapse, and recently
the emergence of alterations in EGFR, RAS, BRAF, ERB-B2, MET and possibly in
other genes has been shown to jeopardize response to EGFR blockade. In
chemorefractory patients, multikinase inhibition with regorafenib has proved to
be effective and rechallenge with chemotherapy or anti-EGFR agents is empirically
pursued. This review will critically discuss how the evolving knowledge of
mechanisms of resistance driven by intratumoural dynamic molecular heterogeneity
can impact on rational choice of treatments in this setting.
PMID- 27865142
TI - Acute renal failure due to complete labial fusion: A case report.
AB - Acute renal failure is characterized by rapidly disruption in kidney function and
postrenal causes typically result from obstruction of urinary flow. Multiple
etiologies were described for acute renal failure, but labial fusion in
postmenopausal female is a quite rarely encountered pathology among postrenal
causes. Only a few cases have been presented in postmenopausal women presenting
with urinary retention. We present a case with acute renal failure due to
complete labial fusion in a postmenopausal woman and its treatment.
PMID- 27865141
TI - Fostering secure attachment in low- and middle-income countries: Suggestions for
evidence-based interventions.
AB - Children struggling with the effects of trauma in low- and middle-income
countries (LMIC) face a substantial mental health resource gap that limits their
opportunities for positive psychosocial development. Multidisciplinary
interventions working to close this gap may benefit from incorporating an
empirically supported treatment (EST) into their approach that targets a
universal mechanism implicated in resilience, like attachment. ESTs should be
selected based on their level of empirical support and cultural adaptability, and
then modified on the basis of qualitative evaluations conducted with the local
population and stakeholders. This paper will provide an overview of attachment as
a mechanism of resilience, a critical analysis of existing attachment-based ESTs,
and recommendations for overcoming EST implementation barriers in LMIC.
PMID- 27865143
TI - The postauricular fasciocutaneous flap with an adipofascial extension: A case
report.
AB - INTRODUCTION: A complex defect on the anterior surface of the ear requires flap
cover; such as the postauricular skin flap. The postauricular skin flap has never
been used with an adipofascial extension. PRESENTATION OF CASE: A 5-year old boy
was involved in a car accident resulting in an exposed cartilage of the upper
part of the right ear. The defect was covered with a post-auricular
fasciocutaneous flap with an adipofascial extension. The adipofascial part of the
flap was covered with a skin graft. DISCUSSION: The adipofascial extension serves
two purposes: Firstly, it allows easier primary closure of the donor site.
Secondly, it is less bulky and hence it does not observe the definition of the
ear cartilage. CONCLUSION: Our case is the first case reported in literature
using the post-auricular fasciocutaneous flap with an adipofascial extension.
PMID- 27865144
TI - Successful endovascular management of endoleak-like phenomenon following open
abdominal aortic aneurysm repair.
AB - INTRODUCTION: Endoleaks are a well-known complication after endovascular aortic
repair. Type I endoleak-like phenomenon (also defined as a proximal
pseudoaneurysm) post-open abdominal aortic aneurysm (AAA) repair is described as
an anastomotic leak causing recurrent pressurization of the original aneurysm
sac. It is rare but may result in aneurysmal sac rupture into the peritoneal
cavity. REPORT: A 78-year-old man presented with a progressively enlarged
aneurysmal sac due to proximal anastomotic degeneration of the proximal suture
line associated with an outflow through a patent large lumbar vessel following a
previous emergency open AAA repair. This was successfully treated using a
combination coils and ethylene-vinyl alcohol copolymer. An Endoluminal tube stent
graft was successfully deployed to seal the aortic anastomotic dehiscence.
CONCLUSION: Endovascular treatment of an anastomotic dehiscence associated with a
large outflow lumbar artery post-open AAA repair is feasible and safe.
PMID- 27865145
TI - Rectal gastrointestinal stromal tumor with metastasis to the penis: Case report
and review of literature.
AB - We report the case of a 51-year-old gentleman with previously diagnosed
gastrointestinal stromal tumor (GIST) of the rectum with metastasis to the penis.
The patient underwent abdominoperineal resection of the primary tumor with
negative margins and completed a three-year course of imatinib mesylate
(Gleevec). Forty months after resection of his rectal tumor, the patient
presented to his urologist with worsening testicular pain, mild lower urinary
tract obstructive symptoms, and nocturia. A pelvic MRI revealed the presence of
an ill-defined mass in the right perineum extending from the base of the penis to
the penoscrotal junction. Biopsy of this mass was consistent with metastatic
GIST. To our knowledge, this is the first report of metastatic GIST to the penis.
PMID- 27865146
TI - A case report of successful diagnosis of a pulmonary nodule by a survey of
oncogenic mutations; primary lung carcinoma or pulmonary metastasis?
AB - INTRODUCTION: The number of patients diagnosed with solid carcinomas is
increasing, and the most common site of metastasis is the lungs. It is often
difficult to make a differential diagnosis between primary lung carcinoma and
metastatic lung tumor in using histological examination and by determining their
immunohistological status. PRESENTATION: A 64-years-old man presented with
dyspnea with chest computed tomography (CT) findings of a pulmonary tumor, and
afterwards suffered from a sudden bowel hemorrhaged due to colorectal carcinoma.
The histological diagnosis of a pulmonary tumor was poorly differentiated
adenocarcinoma. Both Thyroid transcription factor-1 (TTF-1) and Cytokeratin20
(CK20) were immunohistologically negative. Of the some oncogenic mutations
investigated, a neuroblastoma RAS viral oncogen homolog (NRAS) codon13 G13D
mutation was detected in both the colorectal carcinoma and the pulmonary tumor
tissue samples. Based on the result, the pulmonary tumor was diagnosed as a
metastasis derived from colorectal carcinoma. DISCUSSION: Recently, examination
of the oncogenes of solid carcinomas has been clinically investigated in primary
lung caricnoma and in colorectal carcinomas. The clinical advantage of the
oncogenic mutation survey is to identify the site, and the type, of amino acid
change in detail. This case is a rare successful case of a survey of the
oncogenes for giving a differential diagnosis. CONCLUSION: A survey of the
oncogenic genes is very useful to make a differential diagnosis between primary
lung carcinoma and metastatic lung tumor.
PMID- 27865147
TI - Appendicitis as a rare cause of mechanical small-bowel obstruction: A literature
review of case reports.
AB - INTRODUCTION: Although bowel paralysis accompanying acute appendicitis is well
known, mechanical bowel obstruction as a direct consequence of appendicitis
remains a rare, but potentially life-threatening, acute abdomen. The aim of our
literature review was to find all documented cases of this particular
complication and compare them with our own case study. METHODS: We searched the
PubMed database for relevant articles published from 1963 to 2015. The study
included patients for whom direct links between appendicitis and strangulation of
the terminal ileum were found, and for which the disease course had been
documented in detail. The study also included our own case report since it met
the inclusion criteria. A total of 190 articles were examined with a final yield
of 17 case reports from 13 articles. RESULTS: 17 patients (11 men and 6 women),
with a mean age of 48+/-23.9years, met the inclusion criteria. The average period
between symptom onset and surgery was 3.4+/-3.7 days. Symptoms of the disease
were consistent with small-bowel obstruction. Treatment included simple
appendectomy (n=7), possibly supplemented by segmental resection (n=5), followed
by ileocecal resection (n=4), and one case that required a right-sided
hemicolectomy (n=1). CONCLUSION: We found mechanical bowel obstruction directly
related to appendiceal inflammation to be extremely rare, and relatively few
individual cases involving this potentially life-threatening complication have
been documented in the literature. Clinical signs of the disease are variable,
non-uniform, and consistent with symptoms of small-bowel obstruction during their
progression.
PMID- 27865148
TI - Plasma adrenomedullin in critically ill patients with sepsis after major surgery:
A pilot study.
AB - PURPOSE: Adrenomedullin is released by different tissues in hypoxia, oxidative
stress, and inflammation and is found in general and medical patients and,
recently, in sepsis patients in emergency departments. The aim of this study was
to evaluate biologically active adrenomedullin that mirrors directly the active
peptide levels in plasma of surgical intensive care unit (ICU) patients with
sepsis. MATERIALS AND METHODS: In this single-center observational pilot trial,
42 ICU patients with sepsis and 14 patients after major surgery were included
after sepsis diagnosis or ICU admission. RESULTS: Patients (66% male) were 70
(median) (interquartile range [IQR], 61-77]) years old and had a body mass index
of 26.2 (24.2-29.4) kg/m2. The ICU and hospital length of stay was 8 (1-22) and
17 (8-21) days, respectively. Eight patients had sepsis, 19 developed severe
sepsis, and 15 suffered from septic shock. Adrenomedullin increased with severity
(sepsis: 25.8 pg/mL [IQR 20.3-40.2], severe sepsis: 84.2 pg/mL [IQR 42.7-118.5],
septic shock: 119.7 pg/mL [IQR 83.8-172.6]; P<.0001). Higher adrenomedullin was
associated with poor 90-day outcomes (P=.019) and more frequent vasopressor use
(P=.001). CONCLUSIONS: This is the first study investigating adrenomedullin in
patients with sepsis following major surgery. Higher adrenomedullin on admission
is associated with increased vasopressor need and mortality after 90 days. Thus,
adrenomedullin may be a useful additional parameter in surgical patients with
sepsis.
PMID- 27865149
TI - Traceable measurement and imaging of the complex permittivity of a multiphase
mineral specimen at micron scales using a microwave microscope.
AB - This paper describes traceable measurements of the dielectric permittivity and
loss tangent of a multiphase material (particulate rock set in epoxy) at micron
scales using a resonant Near-Field Scanning Microwave Microscope (NSMM) at
1.2GHz. Calibration and extraction of the permittivity and loss tangent is via an
image charge analysis which has been modified by the use of the complex frequency
to make it applicable for high loss materials. The results presented are obtained
using a spherical probe tip, 0.1mm in diameter, and also a conical probe tip with
a rounded end 0.01mm in diameter, which allows imaging with higher resolution
(~10um). The microscope is calibrated using approach-curve data over a restricted
range of gaps (typically between 1% and 10% of tip diameter) as this is found to
give the best measurement accuracy. For both tips the uncertainty of scanned
measurements of permittivity is estimated to be+/-10% (at coverage factor k=2)
for permittivity ?10. Loss tangent can be resolved to approximately 0.001.
Subject to this limit, the uncertainty of loss tangent measurements is estimated
to be+/-20% (at k=2). The reported measurements inform studies of how microwave
energy interacts with multiphase materials containing microwave absorbent phases.
PMID- 27865150
TI - Event-level analysis of alcohol consumption and condom use in partnership
contexts among men who have sex with men and transgender women in Lima, Peru.
AB - BACKGROUND: We explored the association between alcohol use and condomless
receptive (CRAI) and insertive (CIAI) anal intercourse within partnership
contexts of men who have sex with men (MSM) and transgender women (TGW) in Lima,
Peru. METHODS: From 2012-2014, we surveyed men and TGW (n=1607) who reported anal
intercourse with >=1 male or TGW. Alcohol use with up to 3 sexual partners during
the prior 90days was evaluated. Bivariate and multivariate analyses used
generalized estimating equations to assess event-level associations between
alcohol use, CRAI, CIAI, and partnership characteristics while adjusting for
participant clustering from multiple partners. RESULTS: Of 4774 sexual
partnerships reported, 48% were casual, 34% primary, 10% anonymous, and 8%
commercial. Alcohol use preceding sex was significantly (p<0.05) associated with
CRAI (PR=1.26) and CIAI (PR=1.37). Partnership characteristics significantly
associated with alcohol use included commercial sex work (PR=2.21) and trended
(p<0.10) towards alcohol use with casual (PR=1.16), transgender (PR=1.48), and
moderno ("versatile"; PR=1.17) partners. CRAI and CIAI were more common among
participants who reported knowing they (PR=1.52; PR=1.41, respectively) or their
partner (PR=1.47; PR=1.44, respectively) was HIV-uninfected. Yet, only CIAI
(PR=1.42) was more commonly reported with known HIV-infected partners.
Participants who drank alcohol prior to sex were less likely to know their
partner's HIV serostatus. CONCLUSIONS: Alcohol use prior to intercourse was
associated with CRAI and CIAI, varied by partnership type, and may impair
knowledge of partner HIV serostatus. Detailed knowledge of alcohol use within
partner-specific contexts is essential for informing condom-based and alternative
HIV prevention strategies for MSM and TGW in Peru.
PMID- 27865151
TI - Effects of the benzodiazepine GABAA alpha1-preferring antagonist 3-isopropoxy
beta-carboline hydrochloride (3-ISOPBC) on alcohol seeking and self
administration in baboons.
AB - BACKGROUND: The major inhibitory neurotransmitter, gamma-aminobutyric acid
(GABA), modulates many of the behavioral effects of alcohol, including sedation,
tolerance, and withdrawal. The alpha1 subunit of the benzodiazepine GABAA
receptor is the most widely expressed alpha subunit in the brain, and has been
implicated in the reinforcing- and abuse-related effects of alcohol. The aim of
the present study was to examine whether treatment with a benzodiazepine GABAA
alpha1-preferring ligand, 3-isopropoxy-beta-carboline hydrochloride (3-ISOPBC),
selectively decreases alcohol seeking and consumption. METHODS: Eight baboons
self-administered alcohol (4% w/v; n=5; alcohol group) or a non-alcoholic
beverage (n=3; control group) in Component 3 of a chained schedule of
reinforcement. Responses in Component 2 provided indices of motivation to drink
(seeking). Doses of 3-ISOPBC (5.0-30.0mg/kg) and vehicle were administered before
drinking sessions under both acute and chronic (5day) conditions. RESULTS:
Chronic, and not acute, administration of 3-ISOPBC significantly decreased self
administration responses, g/kg alcohol consumed, and the number of drinks in and
duration of the first drinking bout in the alcohol group. In the control group,
chronic administration of 3-ISOPBC did not significantly decrease any of these
measures at any of the doses. CONCLUSIONS: The GABAA alpha1-preferring ligand 3
ISOPBC may have therapeutic potential in the treatment of alcohol use disorder
due to its ability to selectively reduce alcohol use.
PMID- 27865153
TI - Efficient multi-scale 3D CNN with fully connected CRF for accurate brain lesion
segmentation.
AB - We propose a dual pathway, 11-layers deep, three-dimensional Convolutional Neural
Network for the challenging task of brain lesion segmentation. The devised
architecture is the result of an in-depth analysis of the limitations of current
networks proposed for similar applications. To overcome the computational burden
of processing 3D medical scans, we have devised an efficient and effective dense
training scheme which joins the processing of adjacent image patches into one
pass through the network while automatically adapting to the inherent class
imbalance present in the data. Further, we analyze the development of deeper,
thus more discriminative 3D CNNs. In order to incorporate both local and larger
contextual information, we employ a dual pathway architecture that processes the
input images at multiple scales simultaneously. For post-processing of the
network's soft segmentation, we use a 3D fully connected Conditional Random Field
which effectively removes false positives. Our pipeline is extensively evaluated
on three challenging tasks of lesion segmentation in multi-channel MRI patient
data with traumatic brain injuries, brain tumours, and ischemic stroke. We
improve on the state-of-the-art for all three applications, with top ranking
performance on the public benchmarks BRATS 2015 and ISLES 2015. Our method is
computationally efficient, which allows its adoption in a variety of research and
clinical settings. The source code of our implementation is made publicly
available.
PMID- 27865154
TI - A narrow bimalleolar width is a risk factor for ankle inversion injury in male
military recruits: A prospective study.
AB - BACKGROUND: Ankle inversion injuries are one of the most common and burdensome
injuries in athletic populations. Research that prospectively identifies
characteristics associated with this injury is lacking. This prospective study
compared baseline anthropometric and biomechanical gait characteristics of
military recruits who sustained an ankle inversion injury during training, with
those who remained injury-free. METHODS: Bilateral plantar pressure and three
dimensional lower limb kinematics were recorded in 1065 male, injury-free
military recruits, during barefoot running. Injuries that occurred during the 32
week recruit training programme were subsequently recorded. Data were compared
between recruits who sustained an ankle inversion injury during training (n=27)
and a sample (n=120) of those who completed training injury-free. A logistic
regression analysis was used to identify risk factors for this injury. FINDINGS:
A narrower bimalleolar width and an earlier peak pressure under the fifth
metatarsal were predictors of ankle inversion injury. Those who sustained an
ankle inversion injury also had a lower body mass, body mass index, and a smaller
calf girth than those who completed training injury-free. INTERPRETATION:
Anthropometric and dynamic gait characteristics have been identified that may
predispose recruits to an ankle inversion injury during Royal Marine recruit
training, allowing identification of recruits at higher risk at the start of
training.
PMID- 27865155
TI - Intuitive biological thought: Developmental changes and effects of biology
education in late adolescence.
AB - A large body of cognitive research has shown that people intuitively and
effortlessly reason about the biological world in complex and systematic ways. We
addressed two questions about the nature of intuitive biological reasoning: How
does intuitive biological thinking change during adolescence and early adulthood?
How does increasing biology education influence intuitive biological thinking? To
do so, we developed a battery of measures to systematically test three components
of intuitive biological thought: anthropocentric thinking, teleological thinking
and essentialist thinking, and tested 8th graders and university students (both
biology majors, and non-biology majors). Results reveal clear evidence of
persistent intuitive reasoning among all populations studied, consistent but
surprisingly small differences between 8th graders and college students on
measures of intuitive biological thought, and consistent but again surprisingly
small influence of increasing biology education on intuitive biological
reasoning. Results speak to the persistence of intuitive reasoning, the
importance of taking intuitive knowledge into account in science classrooms, and
the necessity of interdisciplinary research to advance biology education. Further
studies are necessary to investigate how cultural context and continued
acquisition of expertise impact intuitive biology thinking.
PMID- 27865156
TI - An unusual case of murder-suicide: The importance of studying knots.
AB - An atypical murder-suicide that involved a married couple over 80 years of age
was investigated at the Institute of Legal Medicine of the University of Chieti
Pescara and is presented and discussed here. The whole story allows us to
confront the difficulties involved in the discovery of a dead body in water when
the circumstantial evidence does not allow any unique interpretation of the
facts. The atypical and misleading element appeared to be how the body of the man
was tied, with the interpretation inclined towards a homicidal manner of death.
It was only by combining the contributions of each of the findings that emerged
during the course of the autopsy with the circumstantial evidence from the site
inspection that it was possible to reach resolution of this case, which was then
identified as a murder-suicide.
PMID- 27865152
TI - Psychoactive medications and disengagement from office based opioid treatment
(obot) with buprenorphine.
AB - BACKGROUND: The prevalence of psychoactive medications (PAMs) use in patients
enrolled in Office Based Opioid Treatment (OBOT) and its association with
engagement in this care is largely unknown. OBJECTIVE: To describe the use of
PAMs, including those medications with emerging evidence of misuse ("emerging
PAMs" - gabapentin, clonidine and promethazine) among patients on buprenorphine,
and its association with disengagement from OBOT. METHODS: This is a
retrospective cohort study of adults on buprenorphine from January 2002 to
February 2014. The association between use of PAMs and 6-month disengagement from
OBOT was examined using multivariable logistic regression models. A secondary
analysis exploring time-to-disengagement was conducted using Cox regression
models. RESULTS: At OBOT entry, 43% of patients (562/1308) were prescribed any
PAM; including 17% (223/1308) on an emerging PAM. In separate adjusted analyses,
neither the presence of any PAM (adjusted odds ratio [AOR] 1.07, 95% CI [0.78,
1.46]) nor an emerging PAM (AOR 1.28 [0.95, 1.74]) was significantly associated
with 6-month disengagement. The results were similar for the Cox model (any PAM
(adjusted hazard ratio [AHR] 1.16, 95% CI [1.00, 1.36]), emerging PAM (AHR 1.18
[0.98, 1.41])). Exploratory analyses suggested gabapentin (AHR 1.30 [1.05-1.62])
and clonidine (AHR 1.33 [1.01-1.73]) specifically, may be associated with an
overall shorter time to disengagement. CONCLUSIONS: Psychoactive medication use
is common among patients in buprenorphine treatment. No significant association
was found between the presence of any psychoactive medications, including
medications with emerging evidence of misuse, and 6-month disengagement from
buprenorphine treatment.
PMID- 27865158
TI - Increasing cAMP levels of preadipocytes by cyanidin-3-glucoside treatment induces
the formation of beige phenotypes in 3T3-L1 adipocytes.
AB - Obesity is a serious health problem and a major risk factor for the onset of
several diseases such as heart disease, diabetes, stroke and cancer. The
conversion of white adipocytes to brown-like adipocytes, also called beige or
brite adipocytes, by pharmacological and dietary compounds has gained attention
as an effective treatment for obesity. Cyanidin-3-glucoside (Cy3G), a
polyphenolic compound contained in black soybean, blueberry and grape, has
several antiobesity effects. However, there are no reports on the role of Cy3G in
the induction of differentiation of preadipocytes to beige adipocytes and
corresponding phenotypes. Here, the formation of beige adipocyte phenotypes
following treatment with Cy3G was evaluated using 3T3-L1 adipocytes. Cy3G induced
phenotypic changes to white adipocytes, such as increased multilocular lipid
droplets and mitochondrial content. Additionally, the expression of mitochondrial
genes (TFAM, SOD2, UCP-1 and UCP-2), UCP-1 protein and beige adipocyte markers
(CITED1 and TBX1) in 3T3-L1 adipocytes was increased by Cy3G. Furthermore, Cy3G
promoted preadipocyte differentiation by up-regulating of C/EBPbeta through the
elevation of the intracellular cAMP levels. These results indicated that Cy3G
elevates the intracellular cAMP levels, which induces beige adipocyte phenotypes.
This is the first report on the effect of Cy3G on induction of differentiation of
preadipocytes into beige adipocyte phenotypes.
PMID- 27865157
TI - Allegations of maltreatment in custody.
AB - BACKGROUND: Maltreatment in custody overlaps with torture. Concerned governments
avoid informing. These governments withhold information and try to impose
definitions. Therefore, reports often cannot be verified, with the consequence
being classified as "allegation". The misery of a victim influences the
recording. Engaged parties modify their reporting according to their intention.
The difficulty to verify reports and the position of governments affects the
perception and in consequence the presentation. METHODS: Corporeal effects of
maltreatment in custody are described. They rely on personal observations, on
cases treated in the rehabilitations centres for victims of torture, and personal
collections of colleagues. Therefore the material is selective. RESULTS: One can
differentiate between not life-threatening maltreatment (with or without
mutilation), life-threatening maltreatment, and maltreatment meant to kill.
Examples are described. The possibilities of diagnostic imaging are mentioned.
The limits of the given overview are pointed out. CONCLUSION: Knowing the
possible forms is the basis to recognize allegations. Diagnostic imaging can
prove maltreatment in rare cases, only. Reports and observations of maltreatment
in custody create emotions. Governments and their organisation react, they
withhold information and impose definitions. On the other hand, engaged parties
insist that the misery of the victim has priority over the objective description.
These positions influence and modify the perception and the use of allegations of
maltreatment in custody.
PMID- 27865159
TI - Dietary glutamine supplementation enhances endothelial progenitor cell
mobilization in streptozotocin-induced diabetic mice subjected to limb ischemia.
AB - Diabetes is a metabolic disorder with increased risk of vascular diseases. Tissue
ischemia may occur with diabetic vascular complications. Bone marrow-derived
endothelial progenitor cells (EPCs) constitute a reparative response to ischemic
injury. This study investigated the effects of oral glutamine (GLN)
supplementation on circulating EPC mobilization and expression of tissue EPC
releasing markers in diabetic mice subjected to limb ischemia. Diabetes was
induced by a daily intraperitoneal injection of streptozotocin for 5 days.
Diabetic mice were divided into 2 nonischemic groups and 6 ischemic groups. One
of the nonischemic and 3 ischemic groups were fed the control diet, while the
remaining 4 groups received diets with identical components except that part of
the casein was replaced by GLN. The respective diets were fed to the mice for 3
weeks, and then the nonischemic mice were sacrificed. Unilateral hindlimb
ischemia was created in the ischemic groups, and mice were sacrificed at 1, 7 or
21 days after ischemia. Their blood and ischemic muscle tissues were collected
for further analyses. Results showed that plasma matrix metallopeptidase (MMP)-9
and the circulating EPC percentage increased after limb ischemia in a diabetic
condition. Compared to groups without GLN, GLN supplementation up-regulated
plasma stromal cell-derived factor (SDF)-1 and muscle MMP-9, SDF-1, hypoxia
inducible factor-1 and vascular endothelial growth factor gene expression. The
CD31-immunoreactive intensities were also higher in the ischemic limb. These
findings suggest that GLN supplementation enhanced circulating EPC mobilization
that may promote endothelium repair at ischemic tissue in diabetic mice subjected
to limb ischemia.
PMID- 27865160
TI - Aetiological relationships between factors associated with postnatal traumatic
symptoms among Japanese primiparas and multiparas: A longitudinal study.
AB - OBJECTIVE: this study aims to identify the aetiological relationships of
psychosocial factors in postnatal traumatic symptoms among Japanese primiparas
and multiparas. DESIGN: a longitudinal, observational survey. SETTING:
participants were recruited at three institutions in Tokyo, Japan between April
2013 and May 2014. Questionnaires were distributed to 464 Japanese women in late
pregnancy (> 32 gestational weeks, Time 1), on the third day (Time 2) and one
month (Time 3) postpartum. MEASUREMENTS: The Japanese Wijma Delivery
Expectancy/Experience Questionnaire (JW-DEQ) version A was used to measure
antenatal fear of childbirth and social support, while the Impact of Event Scale
Revised (IES-R) measured traumatic stress symptoms due to childbirth. FINDINGS:
of the 464 recruited, 427 (92%) completed questionnaires at Time 1, 358 (77%)
completed at Time 2, and 248 (53%) completed at Time 3. Total 238 (51%) were
analysed. A higher educational level has been identified in analysed group
(p=0.021) Structural equation modelling was conducted separately for primiparas
and multiparas and exhibited a good fit. In both groups antenatal fear of
childbirth predicted Time 2 postnatal traumatic symptoms (beta=0.33-0.54, p=0.002
0.007). Antenatal fear of childbirth was associated with a history of mental
illness (beta=0.23, p=0.026) and lower annual income (beta =-0.24, p=0.018).
Among multiparas, lower satisfaction with a previous delivery was related to
antenatal fear of childbirth (beta =-0.28, p < 0.001). KEY CONCLUSIONS: antenatal
fear of childbirth was a significant predictor of traumatic stress symptoms after
childbirth among both primiparous and multiparous women. Fear of childbirth was
predicted by a history of mental illness and lower annual income for primiparous
women, whereas previous birth experiences were central to multiparous women.
IMPLICATION FOR PRACTICE: the association between antenatal fear of childbirth
and postnatal traumatic symptoms indicates the necessity of antenatal care. It
may be important to take account of the background of primiparous women, such as
a history of mental illness and their attitude towards the upcoming birth. For
multiparous women, focusing on and helping them to view their previous birth
experiences in a more positive light are vital tasks for midwives.
PMID- 27865161
TI - Experiences of student midwives learning and working abroad in Europe: The value
of an Erasmus undergraduate midwifery education programme.
AB - BACKGROUND: universities in the United Kingdom are being challenged to modify
policies and curricula that reflect the changing global reality through
internationalisation. An aspect of internationalisation is study abroad which the
European Commission Erasmus exchange programme is just one means of addressing
this. OBJECTIVE: to explore the experiences of student midwives who are engaged
in the Erasmus exchange programme and the effect it has on their learning and
working in an international context. DESIGN: approval for the small
phenomenological cohort study was obtained from two participating universities:
the University of Malta and University of Nottingham. Data were collected from 13
student midwives from a total of five cohorts in the form of diaries to explore
their experiences of learning and working in another country. Thematic analysis
supported by Computer-Assisted Qualitative Data Analysis Software was used to
identify five recurrent themes emerging from the data: the findings of which have
served further in developing this programme. FINDINGS: students valued the
opportunity of undertaking study and midwifery practice in another culture and
healthcare system, extending their knowledge and development of clinical
competence and confidence. For some, this was the first time outside of their
home country and adaptation to a new environment took time. Support from their
contemporaries, lecturers and midwife mentors however, was overwhelmingly
positive, enabling the students to feel 'part of the local university / midwifery
team' By the end of the programme, the students recognised that they had become
more independent and felt empowered to facilitate developments in practice when
they returned home. IMPLICATIONS FOR EDUCATION / PRACTICE: this innovative
development embracing internationalisation within the curricula has the potential
to increase students' employability and further study within Europe and beyond.
It can be used as a vehicle to share best practice within an international
context, ultimately making a difference to the quality of care childbearing
women, their babies and families experience worldwide.
PMID- 27865162
TI - Irradiation-induced secretion of BMP4 by marrow cells causes marrow adipogenesis
post-myelosuppression.
AB - Pre-transplant myeloablation is associated with marrow adipogenesis, resulting in
delayed engraftment of hematopoietic stem cells (HSCs). This is strongly
undesirable, especially when the donor HSCs are fewer in numbers or have
compromised functionality. The molecular mechanisms behind irradiation-induced
marrow adipogenesis have not been extensively investigated. Here we show that
bone marrow (BM) cells, especially T-cells and stromal cells, express and secrete
copious amounts of BMP4 in response to irradiation, which causes the bone marrow
stromal cells to commit to adipocyte lineage, thereby contributing to an increase
in bone marrow adipogenesis. We further demonstrate that Simvastatin inhibits the
BMP4-mediated adipogenic commitment of marrow stromal cells by inhibiting Ppar
gamma expression. Importantly, Simvastatin does not prevent BMP4 secretion by the
BM cells, and thus does not interfere with its salutary role in post-transplant
hematopoietic regeneration. Our data identify previously unknown mechanisms
operative in marrow adipogenesis post-myeloablation. They also reveal the
molecular mechanisms behind the advantage of using Simvastatin as a niche
targeting agent to improve HSC engraftment.
PMID- 27865163
TI - Evaluation of patients with type 2 diabetes mellitus receiving treatment during
the pre-diabetes period: Is early treatment associated with improved outcomes?
AB - AIM: This study evaluates the association of pretreatment with oral antidiabetics
(OADs) on clinical outcomes and health resource utilization among commercially
insured type II diabetes mellitus (T2DM) patients in the United States. METHODS:
Using administrative data (Truven MarketScan(r) Research Databases), patients
diagnosed with T2DM between 2007 and 2014 with ?6months continuous enrolment pre-
and post-diagnosis were evaluated. Pretreatment was defined as OAD use at least
3months prior to T2DM diagnosis. Time-to-insulin initiation and healthcare costs
were compared by OAD pretreatment status. RESULTS: Of the 866,605 patients
studied, 241,856 (27.9%) were pretreated prior to T2DM diagnosis. Mean follow-up
was 2.9years for pretreatment and 3.1years for those without pretreatment.
Monthly diabetes-related pharmacy costs were significantly higher among
pretreated patients ($66 versus $36, p<0.0001), as were overall monthly pharmacy
costs ($255 versus $198, p<0.0001). Pretreated patients had lower mean monthly
costs, both total ($625 versus $671, p<0.0001) and diabetes-related ($207 versus
$214, p=0.0012). After multivariable adjustment, mean monthly diabetes-related
total healthcare costs were higher among pretreated patients (+$60) but total all
cause monthly healthcare costs were significantly lower (-$354) (both p<0.05).
Pretreatment was associated with a lower insulin initiation probability for
2years, after which probability was similar; the adjusted hazard ratio for
pretreatment in a time-to-insulin model was 0.96 (95% CI, 0.94-0.97).
CONCLUSIONS: Pretreatment with OADs is associated with a modest delay in
initiating insulin therapy and lower total healthcare costs. The clinical and
pharmacoeconomic benefits of pretreatment should be elucidated in a prospective
study.
PMID- 27865164
TI - Metformin improves lipid metabolism disorders through reducing the expression of
microsomal triglyceride transfer protein in OLETF rats.
AB - OBJECTIVE: This study aimed to investigate the role of MTP on lipid metabolism
disorders in insulin-resistant rats and the potential mechanism through which
metformin can improve lipid metabolism disorders. METHODS: 30 OLETF rats served
as research subjects and 18 LETO rats of the same strain served as the control
group (LETO group). After the first oral glucose tolerance test (at 8-week-old),
6 rats were randomly killed from each group. The remaining 24 OLETF rats were
randomly divided into untreated group (OLETF group) and treated group (OLETF/M
group, cured with metformin). By the end of the 10th and 20th week of treatment,
MTP in the liver was measured for all rats in the study. RESULTS: All OLETF rats
exhibited diabetic phenotypes at 18-week-old, with their triglyceride level
higher than in LETO rats at the same age. In OLETF rats, MTP level in the liver
was higher than in LETO rats at 18-week-old, and the difference was significant
at 28-week-old [(13.79+/-1.47) vs. (8.20+/-1.14), p<0.05]. Treatment with
metformin for 20weeks decreased triglyceride [(1.06+/-0.23) vs. (2.20+/-0.62)
mmol/L, p<0.05] and total cholesterol [(1.90+/-0.19) vs. (2.36+/-0.14) mmol/L,
p<0.05] in OLETF rats. Metformin also decreased MTP level in the liver [(7.65+/
1.31) vs. (13.79+/-1.47), p<0.01]. CONCLUSIONS: MTP may be associated with the
lipid metabolism disorder in OLETF rats and metformin could improve lipid
metabolism through reducing the expression of MTP.
PMID- 27865165
TI - The utility of diabetes risk score items as predictors of incident type 2
diabetes in Asian populations: An evidence-based review.
AB - BACKGROUND: The prevalence of type 2 diabetes mellitus is rising, with many Asian
countries featured in the top 10 countries with the highest numbers of persons
with diabetes. Reliable diabetes risk scores enable the identification of
individuals at risk of developing diabetes for early intervention. OBJECTIVES:
This article aims to identify common risk factors in the risk scores with the
highest discrimination; factors with the most influence on the risk score in
Asian populations, and to propose a set of factors translatable to the multi
ethnic Singapore population. METHODS: A systematic search of PubMed and EMBASE
databases was conducted to identify studies published before August 2016 that
developed risk prediction models for incident diabetes. RESULTS: 12 studies were
identified. Risk scores that included laboratory measurements had better
discrimination. Coefficient analysis showed fasting glucose and HbA1c having the
greatest impact on the risk score. CONCLUSION: A proposed Asian risk score would
include: family history of diabetes, age, gender, smoking status, body mass
index, waist circumference, hypertension, fasting plasma glucose, HbA1c, HDL
cholesterol and triglycerides. Future research is required on the influence of
ethnicity in Singapore. The risk score may potentially be used to stratify
individuals for enrolment into diabetes prevention programmes.
PMID- 27865166
TI - Ethyl pyruvate inhibits the acetylation and release of HMGB1 via effects on
SIRT1/STAT signaling in LPS-activated RAW264.7 cells and peritoneal macrophages.
AB - High mobility group box 1 (HMGB1), a cytokine present in the late phase of
sepsis, may be a potential target for the treatment of sepsis. For HMGB1 to be
actively secreted from macrophages during infections, it must be post
translationally modified. Although ethyl pyruvate (EP), a simple aliphatic ester
derived from pyruvic acid, has been shown to inhibit the release of HMGB1 in
lipopolysaccharide (LPS)-treated RAW 264.7 cells, the underlying mechanism(s) are
not yet clear. We investigated the hypothesis that the upregulation of SIRT1 by
EP might promote the deacetylation of HMGB1, which reduces HMGB1 release in LPS
activated macrophages. Our results show that EP induced the expression of the
SIRT1 protein in RAW264.7 cells and that it significantly inhibited the LPS
induced acetylation of HMGB1. Transfection with a SIRT1-overexpressing vector
resulted in a significant decrease in the acetylation of HMGB1 in LPS-activated
RAW264.7 cells relative to control cells. The genetic ablation or the
pharmacological inhibition of SIRT1 by sirtinol increased LPS-induced HMGB1
acetylation. Moreover, EP inhibited the acetylation of HMGB1 in peritoneal
macrophages treated with LPS. Interestingly, EP significantly reduced the LPS
induced phosphorylation of STAT1, which was significantly reversed by siSIRT1
transfection in RAW264.7 cells, indicating that SIRT1 negatively regulates the
phosphorylation of STAT1. Overall, the results show that EP promotes the
deacetylation of HMGB1 via the inhibition of STAT1 phosphorylation through the
upregulation of SIRT1, which reduces HMGB1 release in LPS-activated RAW264.7
cells. In conclusion, EP might be useful in the treatment of diseases that target
HMGB1, such as sepsis.
PMID- 27865167
TI - Accurate spectroscopic calculations of the 21 Lambda-S states and 42 Omega states
of the SiB radical.
AB - The potential energy curves were calculated for the 21 Lambda-S states, which
were generated from the first two dissociation channels, Si(3Pg)+B(2Pu) and
Si(1Dg)+B(2Pu), of the SiB radical. The potential energy curves were computed for
the 42 Omega states, which arose from the 21 Lambda-S states. The calculations
were done using the CASSCF method, which was followed by the icMRCI approach. Of
these 21 Lambda-S states, the D4Sigma-, i2Sigma+, j2Pi, 52Pi, and 12Phi states
had double wells. The D4Sigma-, a2Pi, A4Pi, e2Pi, j2Pi, 52Pi, h2Delta, and 12Phi
states were inverted with the spin-orbit coupling effect taken into account. The
32Delta state and the second wells of D4Sigma- and 12Phi states were weakly
bound. Core-valence correlation correction, scalar relativistic correction and
Davidson correction were included. The spectroscopic parameters were determined
and the vibrational properties of some weakly-bound states were predicted. The
spin-orbit coupling effect on the spectroscopic parameters was evaluated.
Comparison with available experimental data shows that the methodology used is
highly accurate for the SiB radical.
PMID- 27865168
TI - Peristrut microhemorrhages: a possible cause of in-stent neoatherosclerosis?
AB - BACKGROUND: In-stent neoatherosclerosis is characterized by the delayed
appearance of markers of atheroma in the subintima, but the pathophysiology
underlying this new disease entity remains unclear. METHODS AND RESULTS: We
collected 20 human coronary artery stents by removal from explanted hearts. The
mean duration of stent implantation was 34 months. In all samples,
neoatherosclerosis was detected, particularly in peristrut areas. It consisted of
foam cells and cholesterol clefts, with or without calcification, associated with
neovascularization. Iron and glycophorin-A were present in peristrut areas, as
well as autofluorescent ceroids. Moreover, in response to neoatherosclerosis,
tertiary lymphoid organs (tissue lymphoid clusters) often developed in the
adventitia. Some of these features could be reproduced in an experimental carotid
stenting model in rabbits fed a high-cholesterol diet. Foam cells were present in
all samples, and peristrut red blood cells (RBCs) were also detected, as shown by
iron deposits and Bandeiraea simplicifiola isolectin-B4 staining of RBC
membranes. Finally, in silico models were used to evaluate the compliance
mismatch between the rigid struts and the distensible arterial wall using finite
element analysis. They show that stenting approximately doubles the local von
Mises stress in the intimal layer. CONCLUSIONS: We show here that stent
implantation both in human and in rabbit arteries is characterized by local
peristrut microhemorrhages and finally by both cholesterol accumulation and
oxidation, triggering together in-stent neoatherosclerosis. Our data indicate
that these processes are likely initiated by an increased mechanical stress due
to the compliance mismatch between the rigid stent and the soft wall.
PMID- 27865169
TI - The effect of TiO2 nanoparticles removal on drinking water quality produced by
conventional treatment C/F/S.
AB - Nanoparticles, namely titanium dioxide (TiO2), are emerging contaminants widely
used to commercial and industrial applications, are a potential hazard and can
cause damage to environment and human health due to their toxicity. Therefore,
their removal from the water is urgent to minimize or eliminate the adverse
environmental and human effects. This work, investigates the efficiency of
conventional coagulation/flocculation/sedimentation (C/F/S) from drinking water
treatment to remove TiO2 nanoparticles (NPs) from surface waters, and pretends to
understand if the removal of TiO2 NPs affects the ability of C/F/S to remove
natural organic matter (NOM) and turbidity, and consequently affects the quality
of the treated water. Results show that TiO2 NPs removal is high (>90%) for all
the waters studied (hydrophobic and hydrophilic waters) and the treated water
quality is not compromised (turbidity, Ti and Al concentrations, pH and
conductivity are below the national and international guidelines). In addition,
TiO2 initial concentrations, ranging between 0.2 and 10 mg/L, have not a
significant impact on NPs removal by C/F/S. Therefore, the widely used
polyaluminium based coagulants are effective in the removal of TiO2 NPs by
conventional C/F/S treatment, but removal is strongly influenced by the water
characteristics. Hydrophobic waters need a higher coagulant dose than hydrophilic
waters to achieve the same TiO2 NPs removals, as well as water with higher
UV254nm values. The principal mechanism involved in TiO2 NPs removal is charge
neutralisation.
PMID- 27865170
TI - Long-term wastewater irrigation of vegetables in real agricultural systems:
Concentration of pharmaceuticals in soil, uptake and bioaccumulation in tomato
fruits and human health risk assessment.
AB - Wastewater (WW) reuse for vegetable crops irrigation is regularly applied
worldwide. Such a practice has been found to allow the uptake of pharmaceutical
active compounds (PhACs) by plants and their subsequent entrance to the food web,
representing an important alternative pathway for the exposure of humans to
PhACs, with potential health implications. Herein we report the impacts of the
long-term (three consecutive years) WW irrigation of a tomato crop with two
differently treated effluents under real agricultural conditions, on (1) the soil
concentration of selected PhACs (i.e. diclofenac, DCF; sulfamethoxazole, SMX;
trimethoprim, TMP), (2) the bioaccumulation of these PhACs in tomato fruits, and
(3) the human risks associated with the consumption of WW-irrigated fruits.
Results revealed that the concentration of the studied PhACs in both the soil and
tomato fruits varied depending on the qualitative characteristics of the treated
effluent applied and the duration of WW irrigation. The PhAC with the highest
soil concentration throughout the studied period was SMX (0.98 MUg kg-1),
followed by TMP (0.62 MUg kg-1) and DCF (0.35 MUg kg-1). DCF was not found in
tomato fruits harvested from WW-irrigated plants during the first year of the
study. However, DCF displayed the highest fruit concentration (11.63 MUg kg-1)
throughout the study (as a result of prolonged WW irrigation), followed by SMX
(5.26 MUg kg-1) and TMP (3.40 MUg kg-1). The calculated fruit bioconcentration
factors (BCFF) were extremely high for DCF in the 2nd (108) and 3rd year (132) of
the experimental period, with the respective values for SMX (0.5-5.4) and TMP
(0.2-6.4) being significantly lower. The estimated threshold of toxicity concern
(TTC) and hazard quotients (HQ) values revealed that the consumption of fruits
harvested from tomato plants irrigated for long period with the WW applied for
irrigation under field conditions in this study represent a de minimis risk to
human health. However, more studies need to be performed in order to obtain more
solid information on the safety of WW reuse for irrigation.
PMID- 27865172
TI - Settling regimen transitions quantify solid separation limitations through
correlation with floc size and shape.
AB - This study monitored three different activated sludge systems from the Blue
Plains Advanced Wastewater Plant for a 1 year period to explore the relationship
between effluent quality and activated sludge settling and flocculation behavior.
Hindered settling rates (ISV) and sludge volume index (SVI) measurements were
collected weekly. Novel metrics based on the solids concentration at which the
transition between settling regimens occurred were also collected weekly. The
transitional metrics were Threshold of Flocculation (TOF), and Limit of Stokesian
Settling (LOSS). They marked the transition from discreet to flocculant settling,
and from flocculant to hindered settling, respectively. A pilot clarifier and
settling column were run and filmed to determine floc morphological properties.
SVI was found to lose sensitivity (r < 0.20) when characterizing ISV above a
hindered settling rate of 3 m h-1. ISV and LOSS had a strong correlation (r =
0.71), but ISV was subject to change, depending on the solids concentration. Two
sludge matrix limitations influencing effluent quality were characterized by
transition concentrations; pinpoint floc formation, and loose floc formation.
Pinpoint flocs had TOF values above 400 mg TSS L-1; loose floc formation sludge
had TOF and LOSS values below 400 mg TSS L-1 and 900 mg TSS L-1, respectively.
TOF was found to correlate with the particle size distribution while LOSS
correlated to the settling velocity distribution. The use of both TOF and LOSS is
a quick and effective way to characterize limitations effecting effluent quality.
PMID- 27865171
TI - Iron and manganese removal: Recent advances in modelling treatment efficiency by
rapid sand filtration.
AB - A model has been developed that takes into account the main characteristics of
(submerged) rapid filtration: the water quality parameters of the influent water,
notably pH, iron(II) and manganese(II) concentrations, homogeneous oxidation in
the supernatant layer, surface sorption and heterogeneous oxidation kinetics in
the filter, and filter media adsorption characteristics. Simplifying assumptions
are made to enable validation in practice, while maintaining the main mechanisms
involved in iron(II) and manganese(II) removal. Adsorption isotherm data
collected from different Dutch treatment sites show that Fe(II)/Mn(II) adsorption
may vary substantially between them, but generally increases with higher pH. The
model is sensitive to (experimentally) determined adsorption parameters and the
heterogeneous oxidation rate. Model results coincide with experimental values
when the heterogeneous rate constants are calibrated.
PMID- 27865173
TI - Accelerated cognitive decline in a rodent model for temporal lobe epilepsy.
AB - OBJECTIVE: Cognitive impairment is frequently observed in patients with temporal
lobe epilepsy. It is hypothesized that cumulative seizure exposure causes
accelerated cognitive decline in patients with epilepsy. We investigated the
influence of seizure frequency on cognitive decline in a rodent model for
temporal lobe epilepsy. METHODS: Neurobehavioral assessment was performed before
and after surgery, after the induction of self-sustaining limbic status
epilepticus (SSLSE), and in the chronic phase in which rats experienced recurrent
seizures. Furthermore, we assessed potential confounders of memory performance.
RESULTS: Rats showed a deficit in spatial working memory after the induction of
the SSLSE, which endured in the chronic phase. A progressive decline in
recognition memory developed in SSLSE rats. Confounding factors were absent.
Seizure frequency and also the severity of the status epilepticus were not
correlated with the severity of cognitive deficits. SIGNIFICANCE: The effect of
the seizure frequency on cognitive comorbidity in epilepsy has long been debated,
possibly because of confounders such as antiepileptic medication and the
heterogeneity of epileptic etiologies. In an animal model of temporal lobe
epilepsy, we showed that a decrease in spatial working memory does not relate to
the seizure frequency. This suggests for other mechanisms are responsible for
memory decline and potentially a common pathophysiology of cognitive
deterioration and the occurrence and development of epileptic seizures.
Identifying this common denominator will allow development of more targeted
interventions treating cognitive decline in patients with epilepsy. The treatment
of interictal symptoms will increase the quality of life of many patients with
epilepsy.
PMID- 27865174
TI - Maraviroc in addition to cART during primary HIV infection: Results from MAIN
randomized clinical trial and 96-weeks follow-up.
AB - BACKGROUND: Multi-targeted treatment strategies including maraviroc (MVC) during
Primary HIV Infection (PHI) may benefit from the immune-modulatory properties of
this CCR5-inhibitor. OBJECTIVES: We conducted a proof-of-concept clinical trial
aimed at assessing whether maraviroc in addition of a combination antiretroviral
therapy (cART) initiated during PHI would improve immunological and virological
parameters. STUDY DESIGN: The MAIN (Maraviroc in HIV Acute INfection) study was a
randomized open-label clinical trial (EUDRACT number: 2008-007004-29) which
enrolled 29 patients with PHI. Subjects were randomly assigned to receive cART
only (cART), cART+8 weeks of MVC (ST-MVC) or cART+48 weeks of MVC (LT-MVC),
regardless of predicted co-receptor usage. After 48 weeks patients in ST-MVC and
LT-MVC groups discontinued MVC. Patients were evaluated at week 48 and at week 96
of follow-up to assess differences in CD4 T-cell gain and plasma HIV-RNA.
RESULTS: Twenty-nine patients were enrolled. Seven patients (24%) had a predicted
CXCR4 co-receptor usage. At week 48, 27 patients (93.1%) reached HIV
RNA<50cps/mL. Median CD4 T-cell count increase was 313 cells/MUL (p<0.001,
Wilcoxon signed-rank test). At multivariate linear regression analysis, LT-MVC
arm had the greatest CD4 T-cell increase, while patients in ST-MVC arm had the
least gain in CD4 T-cells (p=0.007). At week 96, multivariate analysis showed no
associations between former treatment arm and CD4 T-cell gain. CONCLUSIONS: The
MAIN study showed that MVC for 48 weeks in addition to cART during PHI was able
to enhance CD4 T-cell gain, regardless of co-receptor usage. After MVC
discontinuation, the difference between treatment arms was lost.
PMID- 27865176
TI - Adoptive immunotherapy for hematological malignancies: Current status and new
insights in chimeric antigen receptor T cells.
AB - Hematological malignancies frequently express cancer-associated antigens that are
shared with normal cells. Such tumor cells elude the host immune system because
several T cells targeted against self-antigens are removed during thymic
development, and those that persist are eliminated by a regulatory population of
T cells. Chimeric antigen receptor-modified T cells (CAR-Ts) have emerged as a
novel modality for tumor immunotherapy due to their powerful efficacy against
tumor cells. These cells are created by transducing genes-coding fusion proteins
of tumor antigen-recognition single-chain Fv connected to the intracellular
signaling domains of T cell receptors, and are classed as first-, second- and
third-generation, differing on the intracellular signaling domain number of T
cell receptors. CAR-T treatment has emerged as a promising approach for patients
with hematological malignancies, and there are several works reporting clinical
trials of the use of CAR-modified T-cells in acute lymphoblastic leukemia,
chronic lymphoblastic leukemia, multiple myeloma, lymphoma, and in acute myeloid
leukemia by targeting different antigens. This review reports the history of
adoptive immunotherapy using CAR-Ts, the CAR-T manufacturing process, and T cell
therapies in development for hematological malignancies.
PMID- 27865175
TI - JAK2V617F-mutant vascular niche contributes to JAK2V617F clonal expansion in
myeloproliferative neoplasms.
AB - The myeloproliferative neoplasms (MPNs) are characterized by hematopoietic
stem/progenitor cell (HSPC) expansion and overproduction of blood cells. The
acquired mutation JAK2V617F plays a central role in these disorders. Mechanisms
responsible for MPN HSPC expansion is not fully understood, limiting the
effectiveness of current treatments. Endothelial cells (ECs) carrying the
JAK2V617F mutation can be detected in patients with MPNs, suggesting that ECs are
involved in the pathogenesis of MPNs. Here we report that JAK2V617F-bearing
primary murine ECs have increased cell proliferation and angiogenesis in vitro
compared to JAK2WT ECs. While there was no difference between JAK2V617F and
JAK2WT HSPC proliferation when co-cultured with JAK2WT EC, the JAK2V617F HSPC
displayed a relative growth advantage over the JAK2WT HSPC when co-cultured on
JAK2V617F EC. In addition, the thrombopoietin (TPO) receptor MPL is up regulated
in JAK2V617F ECs and contributes to the maintenance/expansion of the JAK2V617F
clone over JAK2WT clone in vitro. Considering that ECs are an essential component
of the hematopoietic niche and most HSPCs reside in the perivascular niche, our
studies suggest that the JAK2V617F-bearing ECs form an important component of the
MPN vascular niche and contribute to mutant stem/progenitor cell expansion,
likely through a critical role of the TPO/MPL signaling axis.
PMID- 27865177
TI - Association of plasma xanthine oxidoreductase activity with severity and clinical
outcome in patients with chronic heart failure.
AB - BACKGROUND: Oxidative stress due to purine degradation is associated with the
development of chronic heart failure (CHF). Xanthine oxidoreductase (XOR) is a
rate-limiting enzyme of purine degradation that plays a key role in uric acid
(UA) production with a resultant increase in reactive oxygen species. However,
the relationship between plasma XOR activity and CHF severity and clinical
outcome remains unclear. METHODS AND RESULTS: We measured XOR activity in 440
patients with CHF and 44 control subjects. Abnormally high and low XOR activities
were identified based on the results for 95% of the control subjects (high and
low XOR activities >=120 and <33pmol/100MUL/h, respectively). The prevalence
rates of high and low XOR activities increased with advancing New York Heart
Association functional class. There were 158 cardiac events during a median
follow-up period of 1034days. Multivariate Cox proportional hazard regression
analysis showed that both high and low XOR activities were significantly
associated with cardiac events in patients with CHF after adjustment for
confounding risk factors including serum UA and loop diuretic use. Kaplan-Meier
analysis revealed that the cardiac event rate was significantly higher in
patients with either high or low XOR activity. The net reclassification index was
significantly improved by adding XOR activity to the basic risk factors.
CONCLUSIONS: We provide the first evidence of an association of plasma XOR
activity with CHF severity and clinical outcome. Plasma XOR activity could be
used to identify high-risk CHF patients and could be a therapeutic target for XOR
inhibitors.
PMID- 27865178
TI - Usefulness of soluble urokinase plasminogen activator receptor (suPAR) as an
inflammatory biomarker in obese children.
AB - OBJECTIVE: Soluble urokinase plasminogen activator receptor (suPAR) has emerged
as a relatively new biomarker that reflects increased inflammatory status and
been associated with cardiovascular risk. We wanted to investigate the predictive
value and usefulness of suPAR as an inflammatory biomarker in obese children.
METHODS AND RESULTS: Of the total 136 participants, 76 (36 male, 40 female) were
in obese group and 60 (24 male, 36 female) were in control group. The median age
was 12.05 (6.16-17.30) years old for obese group, and 12.83 (8.00-16.75) years
old for control group. Obese children had statistically significantly higher
heart rate, systolic and diastolic blood pressure, EAT and LV mass than control
group (p<0.01). The median suPAR level in obese group was not statistically
different than in control group (0.54 vs. 0.59, p=0.26). The median hsCRP level
in obese group was found statistically significantly higher than in control group
(1.97 vs. 0.41, p<0.01). A significant positive correlation between hsCRP and BMI
in the obese participants was found (r=0.45, p<0.01), but not a relationship
between suPAR and BMI (r=-0.21, p>0.05). CONCLUSION: Our research did not
demonstrate the usefulness of suPAR as an inflammatory biomarker and a predictive
value for future atherosclerosis in obese children. Further studies with larger
sample size are required to determine whether suPAR is useful as an inflammatory
biomarker in childhood obesity.
PMID- 27865179
TI - Right coronary artery disappeared after giant right coronary artery fistula
draining into left ventricle occluded by VSD occluder-What we can learn from
this?
PMID- 27865180
TI - Detection of right ventricular myocardial fibrosis using quantitative CT
attenuation of the right ventricular myocardium in the late phase on 320 slice CT
in subjects with pulmonary hypertension.
AB - PURPOSE: Right ventricular myocardial (RVM) fibrosis may be a significant
indicator of prognosis in pulmonary hypertension (PH). To detect the presence of
RVM fibrosis in PH subjects, we employed ECG gated 320-slice CT. METHODS: 62
confirmed PH subjects (16 males; 55+/-16years; 45 chronic thromboembolic PH
(CTEPH) who underwent conventional non-surgical medical therapy; and 17 pulmonary
arterial hypertension (PAH)) underwent ECG-gated 320-slice CT. On CT, RV fibrosis
was defined as contrast defect in the early phase and conversely abnormal
enhancement in the late phase. RESULTS: RVM fibrosis was observed in 14 subjects
(23%) on CT (CTEPH 22%; PAH 29%; P=0.91). CT attenuation of RVM in the late phase
was significantly greater in subjects with RVM fibrosis than in those without
(P=0.025). ROC curves of CT attenuation of RVM in the early and late phase, and
ratio of CT attenuation of RVM in the early phase/late phase showed AUCs of 0.55,
0.70, and 0.65, respectively. The best cutoff points of 79.5 HU (sensitivity of
50% and specificity of 69% for CT attenuation of RVM in the early phase, P=0.59),
99.5 HU (sensitivity of 50% and specificity of 88% for CT attenuation of RVM in
the late phase, P=0.025), and 1.416 (sensitivity of 29% and specificity of 94%
for ratio of CT attenuation of RVM in the early phase/late phase, P=0.092) were
used to distinguish subjects+/-RVM fibrosis. CONCLUSION: Quantitative-measurement
of CT attenuation of RVM in the late phase may be able to detect presence of RVM
fibrosis in PH subjects.
PMID- 27865181
TI - Radial artery diameter does not correlate with body mass index: A duplex
ultrasound analysis of 1706 patients undergoing trans-radial catheterization at
three experienced radial centers.
AB - BACKGROUND: We examined whether the radial artery diameter is correlated with
body mass index (BMI) in patients undergoing transradial catheterization.
METHODS: 1706 patients undergoing trans-radial catheterization at three
experienced, high-volume, radial centers were analyzed. Radial and ulnar artery
diameters were determined by ultrasound in the distal third of the forearm one
day post procedure. Pearson correlation test was used to measure the relationship
between continuous variables. RESULTS: Radial diameter was larger than the ulnar
artery [median 2.8mm (interquartile range (IQR): 2.4-3.1mm) vs. median 2.4mm
(IQR: 2.1-2.6mm), p<0.001]. Women had smaller radial and ulnar arteries compared
to men [median 2.6mm (IQR: 2.3-2.9mm) vs. median 2.8 (IQR: 2.4-3.2mm), p<0.001
and median 2.2mm (IQR: 2.0-2.5mm) vs. median 2.5mm (IQR: 2.2-2.7mm), p<0.001,
respectively]. There was no correlation between radial diameter and BMI (Pearson
correlation=0.003, p=0.88 (2-sided)), but a strong linear correlation between the
radial and ulnar artery diameter existed (Pearson correlation=0.48, p<0.001 (2
sided)). After adjustment for clinical variables including diabetes, gender, and
age, female gender was associated with smaller radial diameter (<2.8mm) (odds
ratio 1.72; 95% CI 1.40-2.12, p<0.001). CONCLUSIONS: No correlation was observed
between radial artery diameter and BMI, although female gender was associated
with smaller radial diameter. This suggests catheterization can be performed
without anthropometric consideration, although it should be recognized women may
have smaller radial arteries. As the diameter of the radial is larger than the
ulnar artery, the radial should remain the default catheterization access-site.
PMID- 27865182
TI - Early initiation of eicosapentaenoic acid and statin treatment is associated with
better clinical outcomes than statin alone in patients with acute coronary
syndromes: 1-year outcomes of a randomized controlled study.
AB - BACKGROUND: Early initiation of EPA treatment in combination with a statin within
24h after percutaneous coronary intervention (PCI) in patients with acute
myocardial infarction (MI) reduces inflammation and ventricular arrhythmia
compared with statin monotherapy; however, the impact of early initiation of EPA
treatment on cardiovascular events is unclear. We determined whether early
eicosapentaenoic acid (EPA) treatment in patients with acute coronary syndrome
(ACS) reduces adverse cardiovascular events. METHODS: This prospective, open
label, blind end point-randomized trial consisted of 241 patients with ACS.
Patients were randomly assigned to receive pitavastatin (2mg/day) with or without
1800mg/day of EPA initiated within 24h after PCI. The primary endpoint was
defined as cardiovascular events occurring within 1year, including death from a
cardiovascular cause, nonfatal stroke, nonfatal MI and revascularization.
RESULTS: The mean EPA/arachidonic acid ratio at follow-up was 0.40 in the control
group and 1.15 in the EPA group. A primary endpoint event occurred in 11 patients
(9.2%) in the EPA group and 24 patients (20.2%) in the control group (absolute
risk reduction, 11.0%; hazard ratio, 0.42; 95% confidence interval, 0.21 to 0.87;
P=0.02). Notably, death from a cardiovascular cause at 1year was significantly
lower in the EPA group than in the control group (0.8% vs. 4.2%, P=0.04).
CONCLUSIONS: Early initiation of treatment with EPA combined with statin after
successful primary PCI reduced cardiovascular events after ACS. CLINICAL TRIAL
REGISTRATION: UMIN Clinical Trials Registry (UMIN-CTR); Registry Number,
UMIN000016723; URL, http://www.umin.ac.jp/ctr/index-j.htm.
PMID- 27865183
TI - Feasibility of low radiation dose retrospectively-gated cardiac CT for functional
analysis in adult congenital heart disease.
AB - BACKGROUND: The use of cardiac computed tomography (CT) in the evaluation of
adult congenital heart disease patients is limited due to concerns of high
radiation doses. The purpose of this study was to prospectively assess whether
low radiation dose cardiac CT is feasible to evaluate ventricular systolic
function in adults with congenital heart disease. METHODS: The study group
included 30 consecutive patients with significant congenital heart disease who
underwent a total of 35 ECG-gated cardiac CT scans utilizing a 320-detector row
CT scanner. Each study included a non-contrast scan and subsequent contrast
enhanced retrospectively-gated acquisition. Effective radiation dose was
estimated by multiplying the dose length product by a k-factor of 0.014mSv/mGycm.
RESULTS: The mean age of the patients was 34.4+/-8.9years, 60% were men, and mean
body mass index was 24.2+/-4.3kg/m2. A majority of patients (n=28, 93.3%) had
contraindications to cardiac MRI. A tube potential of 80kV was used in 27 (77.1%)
of the contrast-enhanced scans. The mean signal-to-noise and contrast-to-noise
ratios were 11.5+/-3.9 and 10.3+/-3.7, respectively. The median radiation dose
for non-contrast and contrast-enhanced images were 0.1mSv (0.07-0.2mSv) and
0.94mSv (0.5-2.1mSv), respectively. All 35 CT scans were successfully analyzed
for ventricular systolic function. CONCLUSIONS: A low radiation contrast
enhanced, retrospectively-gated cardiac CT with a median radiation dose of less
than 1mSv was successful in evaluating ventricular systolic function in 30
consecutive adult congenital heart disease patients who underwent a total of 35
scans.
PMID- 27865184
TI - Changes of left ventricular mechanics after trans-catheter aortic valve
implantation and surgical aortic valve replacement for severe aortic stenosis: A
tissue-tracking cardiac magnetic resonance study.
AB - BACKGROUND: Left ventricular (LV) mechanics are impaired in patients with severe
aortic stenosis (AS). The aim of the present study was to assess their changes
early and late after trans-catheter aortic valve implantation (TAVI) and surgical
aortic valve replacement (AVR) using cardiac magnetic resonance (CMR) tissue
tracking imaging. METHODS: In 59 patients with severe AS undergoing either TAVI
(n=35) or surgical AVR (n=24), CMR with late gadolinium enhancement (LGE) imaging
was performed before and early post-procedure to evaluate LV function and mass,
and presence/extent of LGE. A third CMR scan was performed in 29 patients after a
mean follow-up of 15+/-4months. Tissue-tracking analysis was applied to cine CMR
images, to assess LV global longitudinal (GLS), circumferential (GCS) and radial
(GRS) strains. RESULTS: The TAVI and surgical AVR groups were similar with
respect to baseline (p=0.14) and early post-procedure (p=0.16) LV ejection
fraction. However, baseline LV GLS was significantly impaired in TAVI patients
compared to surgical AVR patients (p=0.025). Early post-procedure, TAVI resulted
in a significant improvement of LV GLS (p=0.003), while a significant worsening
of LV GLS was observed early after surgical AVR (p=0.012). At longer term follow
up, both TAVI and surgical AVR groups experienced a significant reduction of LV
mass and a significant improvement of LV myocardial mechanics in all the three
directions. CONCLUSIONS: Treatment-specific differences in the changes of LV
myocardial mechanics early after afterload release by TAVI and surgical AVR are
present. Later, both interventions are associated with an improvement of LV
myocardial deformation, alongside a regression of LV hypertrophy.
PMID- 27865185
TI - A new era in the management of type 2 diabetes: Is cardioprotection at long last
a reality?
AB - The EMPA-REG OUTCOME and the LEADER trials have revealed a new era in the
management of type 2 diabetes. The SGLT2 inhibitor empagliflozin demonstrated a
lower rate of the primary composite outcome of death from cardiovascular causes,
nonfatal myocardial infarction, or nonfatal stroke compared to placebo.
Liraglutide, a GLP-1 analogue, succeeded to demonstrate reduction on a composite
outcome including first occurrence of cardiovascular death, nonfatal myocardial
infarction or non-fatal stroke. These two medications act through different
mechanisms and has consequently shown different patterns of cardiovascular
benefit. In one hand, empagliflozin showed an earlier effect compared to those
observed using liraglutide. On the other hand, the difference between
empagliflozin and placebo was driven by a significant reduction in death from
cardiovascular causes, with and striking disconnect showing no significant
between-group difference in the risk of myocardial infarction or stroke. In
contrast, liraglutide reduced consistently all components of the composite
endpoint. Based on the different temporal pattern of achieving clinical benefit
one might flirt with the idea that liraglutide seems to provide a chronic
"protection" that better fits in a longer metabolic effect with an impact in the
progression of atherosclerosis, whilst empagliflozin provides an acute effect
compatible with an immediate hemodynamic action. After years going from "bench to
bedside" in order to discover the holy grail of cardioprotection, these 2 new
studies suggest that we may have reached this state and it is time to go from
"bed back to bench side" to understand the mechanisms of this potential paradigm
shift.
PMID- 27865186
TI - Relationship between neutrophil-lymphocyte ratio and severity of lower extremity
peripheral artery disease.
AB - BACKGROUND: The aim of this study was to determine the association between
neutrophil-lymphocyte ratio (NLR) and severity of lower extremity peripheral
artery disease (PAD). METHODS: A retrospective chart review identified 928
patients referred for peripheral angiography. NLR was assessed from routine pre
procedural hemograms with automated differentials and available in 733 patients.
Outcomes of interest were extent of disease on peripheral angiography and target
vessel revascularization. Median follow-up was 10.4months. Odds ratio (OR) [95%
confidence intervals] was assessed using a logistic regression model. RESULTS:
There was a significant association between elevated NLR and presence of severe
multi-level PAD versus isolated suprapopliteal or isolated infrapopliteal disease
(OR 1.11 [1.03-1.19], p=0.007). This association remained significant even after
adjustment for age (OR 1.09 [1.01-1.17], p=0.02); age, sex, race, and body mass
index (OR 1.08 [1.00-1.16], p=0.046); and age, sex, race, body mass index,
hypertension, diabetes mellitus, coronary artery disease, and creatinine (OR 1.07
[1.00-1.15], p=0.049). After additional adjustment for clinical presentation,
there was a trend towards association between NLR and severe multi-level PAD (OR
1.07 [1.00-1.15], p=0.056), likely limited by sample size. In patients who
underwent endovascular intervention (n=523), there was no significant difference
in rate of target vessel revascularization across tertiles of NLR (1st tertile
14.8%, 2nd tertile 14.1%, 3rd tertile 20.1%; p=0.32). CONCLUSION: In a
contemporary cohort of patients undergoing peripheral angiography with possible
endovascular intervention, elevated NLR was independently associated with severe
multi-level PAD. Larger studies evaluating the association between this
inexpensive biomarker and clinical outcomes are warranted.
PMID- 27865187
TI - Final shape of biovascular scaffolds and clinical outcome. Results from a
multicenter all-comers study with intravascular imaging.
AB - AIMS: Radial strength of bioresorbable vascular scaffolds (BVS) implanted in
coronary arteries is still under debate. Moreover, their final shape patterns,
when implanted in an all-comer, unselected population, have not yet been
completely correlated with clinical outcome and should be better investigated.
METHODS AND RESULTS: A multicenter collaborative analysis was performed on all
consecutive patients with native coronary artery disease undergoing PCI with
intravascular imaging-guided BVS implantation. The BVS was arbitrarily
categorized as having a final "oval shape" through intravascular imaging, if
maximal lumen diameter was longer than 150% of minimal lumen diameter at the
target lesion. Primary study-endpoint was device-oriented major adverse events
(DOCE) at mid-term follow-up. Sixty-seven consecutive patients were evaluated at
6 European centers. Mean patient age was 58+/-11years, and 12 patients (18%) had
diabetes. Mean percent diameter stenosis was 79+/-12.5%. Average lesion length
was 24.4+/-13.8mm and 66% of lesions were AHA/ACC type B2/C. Postdilation rate
was 91% and all BVS resulted well apposed to the vessel wall. Procedural success
was achieved in all patients and 10 (14.9%) had an "oval shape" at intravascular
imaging. This occurrence was not associated with an increase in periprocedural
myocardial infarction (p=0.37) or DOCE during hospitalization (p=0.65). Seven
month DOCE occurred in 3 patients (5.6%) of the oval shape group, they were
target-vessel revascularization and did not differ significantly between patients
with vs. without final "oval shape" (p=0.34). We did not register episodes of
scaffold thromboses. CONCLUSIONS: In an all-comer population with complex
coronary lesions treated with BVS, a final oval shape after postdilation was not
rare and not associated with immediate and medium term adverse events.
PMID- 27865188
TI - The impact of digoxin on mortality in patients with chronic systolic heart
failure: A propensity-matched cohort study.
AB - BACKGROUND: Prior Studies showed mixed results in association of digoxin use with
all-cause mortality (ACM). The aim of this analysis is to identify the impact of
digoxin use on ACM in a contemporary heart failure (HF) cohort treated with
guideline based therapy. METHODS: We included 2298 consecutive patients seen in
an HF clinic between 2000 and 2015. Patients were considered to be a digoxin user
if he/she received digoxin at any point during the enrollment period in the HF
clinic. Patients were matched based on digoxin utility using propensity matching
in 2-3:1 fashion. The primary outcome was ACM. RESULT: Of 2298 patients, 325
digoxin users were matched with 750 non-digoxin users. The Matched cohort did not
have differences among demographics and clinical variables except for worse HF
symptomatology and increased prevalence of atrial fibrillation. Overall, the
prevalence of the use of guideline suggested therapies was 96%. After a median
follow-up duration of 4years (IQR 2-6years), digoxin use was associated with
increased ACM (21.8% versus 12.9%, unadjusted HR=1.81; 95% CI=1.33 to 2.45;
p=0.001). This association remained significant after adjusting for the
propensity score, atrial fibrillation, ejection fraction, and New York HF Class
(HR=1.74; 95% CI=1.20 to 2.38; p<0.0001). CONCLUSION: In this analysis of well
treated HF patients, digoxin was associated with increased ACM. Further
randomized controlled trials are needed to determine whether digoxin therapy
should be used in well-treated HF patients. Until then, routine use of digoxin in
clinical practice should be discouraged.
PMID- 27865189
TI - Screening entire healthcare system ECG database: Association of deep terminal
negativity of P wave in lead V1 and ECG referral with mortality.
AB - BACKGROUND: Each encounter of asymptomatic individuals with the healthcare system
presents an opportunity for improvement of cardiovascular disease (CVD) awareness
and sudden cardiac death (SCD) risk assessment. ECG sign deep terminal negativity
of the P wave in V1 (DTNPV1) was shown to be associated with an increased risk of
SCD in the general population. OBJECTIVE: To evaluate association of DTNPV1 with
all-cause mortality and newly diagnosed atrial fibrillation (AFib) in the large
tertiary healthcare system patient population. METHODS: Retrospective double
cohort study compared two levels of exposure (automatically measured amplitude of
P-prime (Pp) in V1): DTNPV1 (Pp from -100MUV to -200MUV) and ZeroPpV1 (Pp=0). An
entire healthcare system (2010-2014) ECG database was screened. Medical records
of children and patients with previously diagnosed AFib/atrial flutter (AFl),
implanted pacemaker or cardioverter-defibrillator were excluded. DTNPV1 (n=3,413)
and ZeroPpV1 (n=3,405) cohorts were matched by age and sex. Primary outcome was
all-cause mortality. Secondary outcomes were newly diagnosed AFib/AFl. Median
follow-up was 2.5 y. RESULTS: DTNPV1 was associated with all-cause mortality (HR
1.95(1.64-2.31); P<0.0001) and newly diagnosed AFib (HR 1.29(1.04-1.59); P=0.021)
after adjustment for CVD, comorbidities, other ECG parameters, medications, and
index ECG referral. Index ECG referral by a cardiologist was independently
associated with 34% relative risk reduction of mortality (HR 0.66(0.52-0.84);
P=0.001), as compared to ECG referral by a non-cardiologist. CONCLUSION: DTNPV1
is independently associated with twice higher risk of all-cause death, as
compared to patients without P prime in V1. Life-saving effect of the index ECG
referral by a cardiologist requires further study.
PMID- 27865190
TI - Effect of potassium supplementation on vascular function: A meta-analysis of
randomized controlled trials.
AB - BACKGROUND: Effects of potassium supplementation on vascular function remain
conflicting. This meta-analysis aimed to summarized current literature to fill
the gaps in knowledge. METHODS: A literature search was performed on PubMed
database through April, 2016. The measurements of vascular function included
pulse wave velocity (PWV), augmentation index (AI), pulse pressure (PP), flow
mediated dilatation (FMD), glycerol trinitrate responses (GTN), and intercellular
cell adhesion molecule-1 (ICAM-1). Data were pooled as standardized mean
difference (SMD) with 95% confidence intervals. RESULTS: Seven randomized
controlled trials examining 409 participants were included, with dosage of
potassium ranging from 40 to 150mmol/day, and duration of intervention from 6days
to 12months. Pooling results revealed a significant improvement in PP (SMD
0.280, 95% CI -0.493 to -0.067, p=0.010), but no improvement in PWV (SMD -0.342,
95% CI -1.123 to 0.440, p=0.391), AI (SMD -0.114, 95% CI -0.282 to 0.054,
p=0.184), FMD (SMD 0.278, 95% CI -0.321 to 0.877, p=0.363), GTN (SMD -0.009, 95%
CI -0.949 to 0.930, p=0.984), and ICAM-1 (SMD -0.238, 95% CI -0.720 to 0.244,
p=0.333). CONCLUSIONS: Potassium supplementation was associated with significant
improvement of PP, rather than other measurements of vascular function. However,
the small number of researches and wide variation of evidences make it difficult
to make a definitive conclusion.
PMID- 27865191
TI - Inverse association between type 2 diabetes and aortic root dimension in
hypertensive patients.
AB - BACKGROUND: Some data support the concept that aortic root diameter (ARD) in
hypertension may be regarded as a marker of subclinical organ damage. The impact
of type 2 diabetes mellitus (DM) on cardiac structure and function is known,
although the relationship between DM and ARD is not clear. The aim of our study
was to evaluate the influence of DM on ARD in hypertensive patients. METHODS: We
enrolled 1693 hypertensive patients (aged 63.7+/-9.6years). The population was
divided into two groups: the first one with DM (n=747) and the second one without
DM (n=946). ARD was measured by echocardiography at level of Valsalva's sinuses
using echocardiography M-mode tracings. It was considered as absolute measure and
normalized to height (ARD/H) and body surface area (ARD/BSA). Left ventricular
mass index (LVMI) and some parameters of systolic and diastolic function have
been valued by means of echocardiography and tissue Doppler imaging. RESULTS: The
DM group was characterized by more elevated values of LVMI and a worst systolic
and diastolic function. ARD value was significantly lower in DM group in
comparison to patients without DM only when indexed for BSA (ARD/BSA=18.7+/
2.3mm/m2 vs 18.3+/-2.0mm/m2, p=0.01). This difference remained statistically
significant, even after correction by age, sex and BMI (p=0.01). A multivariate
linear regression analysis demonstrated an inverse relationship between DM and
ARD/BSA after correction for potential confounders (beta=0.10, p<0.001).
CONCLUSIONS: Our results confirm the hypothesis of a protective role of DM on
aortic root dilatation.
PMID- 27865192
TI - Effect of targeted therapy on circulating progenitor cells in precapillary
pulmonary hypertension.
AB - BACKGROUND: Endothelial dysfunction is key in the development of pulmonary
hypertension (PH) and is associated with reduced number of circulating progenitor
cells. Studies to date evaluating levels of circulating progenitor cells in PH
have provided conflicting results. Current treatment of pulmonary arterial
hypertension (PAH) and medical treatment of chronic thromboembolic pulmonary
hypertension (CTEPH) targets endothelium dependent signalling pathways. The
effect of PAH-targeted therapy on circulating progenitor cells has not been
clearly established. OBJECTIVES: To investigate whether levels of circulating
progenitor cells in treatment-naive patients with PAH or CTEPH differ from
healthy subjects and to assess the effect of PAH-targeted therapy on the
circulating levels of these progenitors. METHODS: Thirty controls, 33 PAH and 11
CTEPH treatment-naive patients were studied. Eighteen patients with PAH and 9
with CTEPH were re-evaluated 6-12months after starting PAH-targeted therapy.
Levels of progenitors were measured by flow cytometry as CD45+CD34+ and
CD45+CD34+CD133+ cells. RESULTS: Compared with controls, the number of
circulating progenitor cells was reduced in PAH but not in CTEPH. After 6
12months of treatment, levels of circulating progenitors increased in PAH and
remained unchanged in CTEPH. Patients with lower exercise tolerance presented
lower levels of circulating progenitors. No other relation was found between
levels of progenitors and clinical or hemodynamic parameters. CONCLUSIONS:
Patients with PAH, but not those with CTEPH, present reduced levels of
circulating progenitor cells. PAH-targeted therapy increases levels of
progenitors in PAH but not in CTEPH, suggesting different involvement of
progenitor cells in the pathobiology of these pulmonary hypertensive disorders.
PMID- 27865194
TI - High-sensitivity cardiac troponin T levels in the emergency department in
patients with chest pain but no myocardial infarction.
AB - BACKGROUND: High-sensitivity cardiac troponin T (hs-cTnT) was recently introduced
into clinical practice. The increased sensitivity has decreased the specificity.
We aimed to determine the predictors for and prevalence of hs-cTnT levels above
the 99th percentile in a stable population of patients without myocardial
infarction (MI) who sought medical attention for chest pain in the emergency
department. METHODS: We included 11,847 patients with chest pain and at least one
hs-cTnT measurement during 2011 and 2012. Patients with any acute reasons for an
elevated hs-cTnT level were excluded. We used logistic regression to calculate
adjusted odds ratios with 95% confidence intervals for the association between
patient characteristics and hs-cTnT levels of >14ng/L. We also determined 50th,
75th, 97.5th, and 99th percentile values of hs-cTnT levels in relation to age,
sex, estimated glomerular filtration rate (eGFR), and presence or absence of
comorbidities. RESULTS: In total, 1360 (11%) patients had hs-cTnT levels of
>14ng/L. Men had higher troponin levels than women, and older patients had higher
levels than younger patients. The strongest predictor of an elevated troponin
level was a reduced eGFR. The 99th percentile for hs-cTnT among all men and among
women <50years of age with normal renal function was 20 and 12ng/L, respectively;
this level increased to 44 and 36ng/L, respectively, at the age of 70-79years.
CONCLUSIONS: A hs-cTnT level above the 99th percentile in patients with chest
pain but no MI is common and is related to sex, age, and eGFR.
PMID- 27865193
TI - Different clinical outcomes in patients with asymptomatic severe aortic stenosis
according to the stage classification: Does the aortic valve area matter?
AB - BACKGROUND: The ACC/AHA guidelines introduced a new classification of severe
aortic stenosis (AS) mainly based on maximum jet velocity (Vmax) and mean
pressure gradient (mPG), but not on aortic valve area (AVA). However, prognostic
value of this new classification has not yet been fully evaluated. METHODS AND
RESULTS: We studied 1512 patients with asymptomatic severe AS enrolled in the
CURRENT AS registry in whom surgery was not initially planned. Patients were
divided into 2 groups: Group 1 (N=122) comprised patients who met the
recommendation for surgery; high-gradient (HG)-AS (Vmax>=4.0m/s or mPG>=40mmHg)
with ejection fraction (EF)<50%, or very HG-AS (Vmax>=5.0m/s or mPG>=60mmHg), and
Group 2 (N=1390) comprised patients who did not meet this recommendation. Group 2
was further subdivided into HG-AS with preserved EF (HGpEF-AS, N=498) and low
gradient (LG)-AS, but AVA<1.0cm2 (N=892). The excess risk of Group 1 relative to
Group 2 for the primary outcome measure (a composite of aortic valve-related
death or heart failure hospitalization) was significant (adjusted HR: 1.92,
95%CI: 1.37-2.68, P<0.001). The excess risk of HGpEF-AS relative to LG-AS for the
primary outcome measure was also significant (adjusted HR: 1.45, 95%CI: 1.11
1.89, P=0.006). Among LG-AS patients, patients with reduced EF (<50%) (LGrEF-AS,
N=103) had extremely high cumulative 5-year incidence of all-cause death (85.5%).
CONCLUSION: Trans-aortic valve gradient in combination with EF was a good
prognostic marker in patients with asymptomatic AS. However, patients with LGrEF
AS had extremely poor prognosis when managed conservatively.
PMID- 27865195
TI - A clinical model to identify patients with high-risk plaque by coronary computed
tomography angiography.
AB - OBJECTIVES: Current clinical models predict the pre-test probability of
obstructive coronary artery disease, but these models do not predict the presence
of high-risk plaques. Thus the objective of this study was to propose a model to
predict high-risk plaque assessed by coronary computed tomography (CT)
angiography. METHODS: This study was a retrospective cross-sectional study. A
clinical model was derived from 2392 patients and verified by 733 patients who
underwent coronary CT suspected of coronary artery disease. High-risk plaque was
defined as a plaque with positive remodeling (remodeling index>1.1), low
attenuation (<30Hounsfield units) and napkin-ring sign. The risk score was
calculated from the following 6 variables with a maximum of 24 points: age, sex,
hemoglobin A1c, systolic blood pressure, high-density lipoprotein and smoking
status. RESULTS: The proportion of patients with high-risk plaque was 11% and 17%
in the derivation and validation cohort, respectively. The area under the
receiver operation characteristic curve was 0.71 (95% confidence interval (CI):
0.68 to 0.74) in the derivation cohort and 0.75 (95% CI: 0.70 to 0.79) in the
validation cohort. The frequency of high-risk plaques was 4% in the low-risk
group (<=8 points) while it was 53% in the high-risk group (>=17 points) of the
derivation cohort. CONCLUSIONS: We propose a scoring system to detect high-risk
plaque assessed by coronary CT. Patients in the high-risk group have a high
prevalence of high-risk plaque and might benefit from lipid lowering therapy.
PMID- 27865197
TI - Efficacy and safety of ticagrelor versus clopidogrel with different dosage in
high-risk patients with acute coronary syndrome.
AB - BACKGROUND: Dual antiplatelet therapy is recommended as a standard antiplatelet
strategy in acute coronary syndrome. For those with reduced pharmacologic
response to clopidogrel, strengthening antiplatelet therapy (clopidogrel 150mg
daily) may reduce adverse clinical events. Ticagrelor is a direct-acting
inhibitor of the adenosine diphosphate receptor P2Y12 that has a more rapid onset
and offset than clopidogrel. METHODS: In this retrospective study, we compared
ticagrelor (180mg loading dose 90mg twice daily thereafter), clopidogrel (300mg
loading dose, 75mg or 150mg daily thereafter) for the prevention of
cardiovascular events in 273 high-risk patients admitted to coronary care unit
with acute coronary syndrome. RESULTS: The rate of IST in hospital was
significantly reduced in patients of ticagrelor group comparing with those
receiving clopidogrel 75mg (0.69% vs 8.2%, p=0.009). Moreover, the TVR rate was
less in the ticagrelor group than clopidogrel 75mg group (2.7% vs 13.1%, p=0.007)
6months follow-up. The incidence of MACCE has no difference between the two
clopidogrel groups. Kaplan-Meier analysis of MACCE-free indicated that there was
no difference between the three groups. Ticagrelor significantly increased the
rate of minor bleeding compared with clopidogrel 75mg daily during hospital
(45.5% vs 26.2%,p=0.012) and 6-month follow-up (66.9% vs 45.9%,p=0.004).Bleeding
free prognosis was significantly better in the clopidogrel 75mg daily group.
CONCLUSIONS: In patients with acute coronary syndrome undergoing PCI, the rate of
in-stent thrombosis and TVR were significantly reduced treated with ticagrelor
compared with clopidogrel 75mg daily, without an increase of overall major
bleeding, but with an increase of minor bleeding.
PMID- 27865198
TI - A propensity matched case-control study comparing efficacy, safety and costs of
the subcutaneous vs. transvenous implantable cardioverter defibrillator.
AB - BACKGROUND: Subcutaneous implantable cardioverter defibrillators (S-ICD) have
become more widely available. However, comparisons with conventional transvenous
ICDs (TV-ICD) are scarce. METHODS: We conducted a propensity matched case-control
study including all patients that underwent S-ICD implantation over a five-year
period in a single tertiary centre. Controls consisted of all TV-ICD implant
patients over a contemporary time period excluding those with pacing indication,
biventricular pacemakers and those with sustained monomorphic ventricular
tachycardia requiring anti-tachycardia pacing. Data was collected on device
related complications and mortality rates. A cost efficacy analysis was
performed. RESULTS: Sixty-nine S-ICD cases were propensity matched to 69 TV-ICD
controls. During a mean follow-up of 31+/-19 (S-ICD) and 32+/-21months (TV-ICD;
p=0.88) there was a higher rate of device-related complications in the TV-ICD
group predominantly accounted for by lead failures (n=20, 29% vs. n=6, 9%;
p=0.004). The total mean cost for each group, including the complication-related
costs was L9967+/-4511 ($13,639+/-6173) and L12,601+/-1786 ($17,243+/-2444) in
the TV-ICD and S-ICD groups respectively (p=0.0001). Even though more expensive S
ICD was associated with a relative risk reduction of device-related complication
of 70% with a HR of 0.30 (95%CI 0.12-0.76; p=0.01) compared to TV-ICDs.
CONCLUSIONS: TV-ICDs are associated with increased device-related complication
rates compared to a propensity matched S-ICD group during a similar follow-up
period. Despite the existing significant difference in unit cost of the S-ICD,
overall S-ICD costs may be mitigated versus TV-ICDs over a longer follow-up
period.
PMID- 27865200
TI - A review of comparative studies of MitraClip versus surgical repair for mitral
regurgitation.
AB - OBJECTIVES: We summarized comparative studies of MitraClip versus surgical repair
for mitral regurgitation (MR) with a systematic literature search and meta
analytic estimates. METHODS: MEDLINE, EMBASE, and the Cochrane Central Register
of Controlled Trials were searched through June 2016. Eligible studies were
randomized controlled or observational comparative studies of MitraClip versus
surgical repair enrolling patients with MR and reporting early (30-day or in
hospital) or late (>=6-month including early) all-cause mortality. For each
study, data regarding all-cause mortality and incidence of recurrent >2+ MR in
both groups were used to generate odds ratios (ORs). Alternatively, ORs or hazard
ratios (HRs) for mortality and recurrent MR themselves were directly abstracted
from each study. RESULTS: Eight reports of 7 studies comparing MitraClip with
surgical repair enrolling a total of 1015 patients with MR were identified and
included. Pooled analyses demonstrated significantly higher age and logistic
European System of Cardiac Operative Risk Evaluation and significantly lower
ejection fraction in the MitraClip than surgical repair group, no significant
difference in rate of women and patients with New York Heart Association
functional class of >II, no statistically significant difference in early- (OR,
0.54; p=0.08) and late-mortality (HR/OR, 1.17; p=0.46), and significantly higher
incidence of recurrent MR in the MitraClip than surgical repair group (HR/OR,
4.80; p<0.00001). CONCLUSIONS: In patients with MR, the MitraClip procedure
achieves similar survival to surgical MV repair despite higher risk profiles.
Recurrent MR, however, occurs more frequently (4.8-fold) after the MitraClip than
surgical repair.
PMID- 27865196
TI - 'Browning' the cardiac and peri-vascular adipose tissues to modulate
cardiovascular risk.
AB - Excess visceral adiposity, in particular that located adjacent to the heart and
coronary arteries is associated with increased cardiovascular risk. In the
pathophysiological state, dysfunctional adipose tissue secretes an array of
factors modulating vascular function and driving atherogenesis. Conversely, brown
and beige adipose tissues utilise glucose and lipids to generate heat and are
associated with improved cardiometabolic health. The cardiac and thoracic
perivascular adipose tissues are now understood to be composed of brown adipose
tissue in the healthy state and undergo a brown-to-white transition i.e. during
obesity which may be a driving factor of cardiovascular disease. In this review
we discuss the risks of excess cardiac and vascular adiposity and potential
mechanisms by which restoring the brown phenotype i.e. "re-browning" could
potentially be achieved in clinically relevant populations.
PMID- 27865199
TI - CHA2DS2-VASC-AF2 score accurately predicts moderate-to-severe acute neurological
dysfunction in the course of first ever ischaemic stroke.
PMID- 27865201
TI - Increased risk of ventricular tachycardia in patients with sarcoidosis during the
very long term follow-up.
AB - BACKGROUND: Sarcoidosis is an important diagnostic consideration in patients with
ventricular tachycardia (VT) of unknown origin. The clinical course of VT as the
primary presentation in patients with sarcoidosis is mostly unknown. This study
aimed to investigate the incidence of life-threatening VT and mortality during
long term follow-up in patients with sarcoidosis. METHODS: We analyzed the
epidemiological features of sarcoidosis in Taiwan using the National Health
Insurance Research Database from 2000 to 2004. Patients with sarcoidosis were
identified, and healthy controls without prior histories of structural heart
disease were matched with a 1:1 propensity-score to the sarcoidosis group. The
risk of life-threatening VT and mortality with sarcoidosis was analyzed. RESULTS:
A total of 2237 sarcoidosis cases were enrolled with a matching number of healthy
controls, and the baseline characteristics between the two groups were similar.
After a mean follow-up of 11.4+/-2.15years (IQR: 12, 11.3-12), the VT incidence
in the sarcoidosis group was higher than in healthy controls (0.94% [85 per
100,000 person-year] in the sarcoidosis group, and 0.09% [8 per 100,000 person
year] in healthy controls). After a multivariate adjustment including the sex,
age, and other comorbidities, the VT risk was still higher in the sarcoidosis
group (hazard ratio: 12.7, 95% confidence interval: 2.82-56.9; P<0.001). The risk
of defibrillator implantations for secondary prevention, cardiovascular death,
and total mortality between the groups was equivalent. CONCLUSIONS: Sarcoidosis
may increase the predisposition to ventricular arrhythmias with a cumulative
incidence of 0.94% during a very long term follow-up of nearly 10years from
initially diagnosing sarcoidosis.
PMID- 27865202
TI - Compulsive exercise as a mediator between clinical perfectionism and eating
pathology.
AB - The aim of this study was to examine whether compulsive exercise mediates the
relationship between clinical perfectionism and eating pathology, based on the
cognitive behavioral model of compulsive exercise. Participants were 368 adults
who participated regularly in sport/exercise and completed online measures of
perfectionism, compulsive exercise and eating disorders. In support of the well
established link between perfectionism and eating disorders, clinical
perfectionism predicted eating pathology both directly and indirectly mediated by
compulsive exercise. In addition, there were also direct effects of clinical
perfectionism on the avoidance/rule-driven behavior, weight control, and mood
improvement subscales of the Compulsive Exercise Test (CET). There was a direct
effect of the CET weight control subscale on eating pathology and a negative
direct effect of the CET subscale mood improvement on eating pathology. Findings
lend support to the cognitive behavioral model of compulsive exercise in which
clinical perfectionism is conceptualized as related to eating disorders directly
and indirectly through the mediation of compulsive exercise. Compulsive exercise
was also found to have a direct effect on eating disorders. Compulsive exercise
may be a symptom of eating pathology, rather than an antecedent, however causal
inferences could not be established given the correlational design. Longitudinal
research using cross-lagged panel designs to examine a bidirectional relationship
between compulsive exercise and eating disorders is needed.
PMID- 27865203
TI - Minocycline prevents cerebral malaria, confers neuroprotection and increases
survivability of mice during Plasmodium berghei ANKA infection.
AB - Cerebral malaria (CM) is a neurological complication arising due to Plasmodium
falciparum or Plasmodium vivax infection. Minocycline, a semi-synthetic
tetracycline, has been earlier reported to have a neuroprotective role in several
neurodegenerative diseases. In this study, we investigated the effect of
minocycline treatment on the survivability of mice during experimental cerebral
malaria (ECM). The currently accepted mouse model, C57BL/6 mice infected with
Plasmodium berghei ANKA, was used for the study. Infected mice were treated with
an intra-peritoneal dose of minocycline hydrochloride, 45mg/kg daily for ten days
that led to parasite clearance in blood, brain, liver and spleen on 7th day post
infection; and the mice survived until experiment ended (90days) without parasite
recrudescence. Evans blue extravasation assay showed that blood-brain barrier
integrity was maintained by minocycline. The tumor necrosis factor-alpha protein
level and caspase activity, which is related to CM pathogenesis, was
significantly reduced in the minocycline-treated group. Fluoro-Jade(r) C and
hematoxylin-eosin staining of the brains of minocycline group revealed a decrease
in degenerating neurons and absence of hemorrhages respectively. Minocycline
treatment led to decrease in gene expressions of inflammatory mediators like
interferon-gamma, CXCL10, CCL5, CCL2; receptors CXCR3 and CCR2; and hence
decrease in T-cell-mediated cerebral inflammation. We also proved that this
reduction in gene expressions is irrespective of the anti-parasitic property of
minocycline. The distinct ability of minocycline to modulate gene expressions of
CXCL10 and CXCR3 makes it effective than doxycycline, a tetracycline used as
chemoprophylaxis. Our study shows that minocycline is highly effective in
conferring neuroprotection during ECM.
PMID- 27865204
TI - Possible role of transforming growth factor beta in tuberculous meningitis.
AB - BACKGROUND: Transforming growth factor beta (TGF-beta) is an anti-inflammatory
cytokine and its role in hydrocephalus and stoke has been suggested. Tuberculous
meningitis (TBM) is associated with exudates, stroke, hydrocephalus and
tuberculoma, but the role of TGF-beta has not been evaluated in relation to these
changes. AIM: To evaluate the cerebrospinal fluid (CSF) TGF-beta level in the
patients with TBM, and correlate these with clinical findings, MRI changes,
paradoxical response and outcome at 6months. METHODS: TBM patients diagnosed on
the basis of clinical, CSF and MRI criteria were prospectively included. The
clinical details including duration of illness, seizures, focal motor deficit,
Glasgow Coma Scale (GCS) score and stage of TBM were noted. Presence of exudate,
hydrocephalus, tuberculoma and infarction in MRI was also noted. MRI was repeated
at 3months and presence of paradoxical response was noted. Cerebrospinal fluid
TGF-beta was measured using ELISA on admission and repeated at 3months and these
were compared with 20 controls. RESULTS: TGF-beta level was significantly higher
in TBM compared to the controls (385.76+/-249.98Vs 177.85+/-29.03pg/ml,
P<0.0001). TGF-beta correlated with motor deficit, infarction and tuberculoma on
admission but did not correlate with CSF abnormalities, drug induced hepatitis,
paradoxical response and outcome. TGF-beta level at 3months was significantly
lower than the baseline but remained higher than the controls. CONCLUSION: CSF
TGF-beta levels are elevated in TBM and correlate with infarction and
tuberculoma.
PMID- 27865205
TI - Human hepatic stellate cells and inflammation: A regulated cytokine network
balance.
AB - AIM: Uncertainty about the safety of cell therapy continues to be a major
challenge to the medical community. Inflammation and the associated immune
response represent a major safety concern hampering the development of long-term
clinical therapy. In vivo interactions between the cell graft and the host immune
system are mediated by functional environmental sensors and stressors that play
significant roles in the immunobiology of the graft. Within this context, human
liver stellate cells (HSC) demonstrated marked immunological plasticity that has
main importance for future liver cell therapy application. METHODS: By using qPCR
technique, we established the cytokine gene expression profile of HSCs and
investigated the effect of an inflammatory environment on the immunobiology of
HSCs. RESULTS AND DISCUSSION: HSCs present a specific immunological profile as
demonstrated by the expression and modulation of major immunological cytokines.
Under constitutive conditions, the cytokine pattern expressed by HSCs was
characterized by the high expression of IL-6. Inflammation critically modulated
the expression of major immunological cytokines. As evidenced by the induction of
the expression of several inflammatory genes, HSCs acquire a pro-inflammatory
profile that ultimately might have critical implications for their immunological
shape. CONCLUSION: These new observations have to be taken into account in any
future liver cell therapy application based on the use of HSCs.
PMID- 27865206
TI - Humor and preschoolers' trust: Sensitivity to changing intentions.
AB - This research demonstrates that preschoolers (a) avoid trusting informants with
humorous intentions when learning novel information and (b) flexibly consider
current intentions rather than initial intentions when determining who to trust.
In Study 1 (N=61), 3- and 4-year-olds based their trust on intentions or
intentional cues alone, trusting a sincere informant over a joker, even when no
prior accuracy or inaccuracy was displayed. In Study 2 (N=32), 3- and 4-year-olds
flexibly based their trust on the informants' current intentions or intentional
cues rather than their initial ones. Children trusted a sincere informant, who
originally joked, over a joker, who was originally sincere. In Study 3 (N=89), 3
, 4-, and 5-year-olds tracked changing intentions, and not just intentional cues,
in determining who to trust. Children trusted an informant who joked during
training trials but was sincere during test trials over an informant who was
ignorant during training trials and was sincere during test trials. However, if
the ignorant informant became knowledgeable and the joker continued to joke, the
pattern reversed. This is the first study to show that preschoolers consider
intentions to joke when learning information. This is also the first study to
show that preschoolers do not see trust as stable but rather see it as a function
of changing intentions.
PMID- 27865208
TI - What evolution tells us about protein physics, and protein physics tells us about
evolution.
AB - The integration of molecular evolution and protein biophysics is an emerging
theme that steadily gained importance during the last 15 years, significantly
advancing both fields. The central integrative concept is the stability of the
native state, although non-native conformations are increasingly recognized to
play a major role, concerning, for example, aggregation, folding kinetics, or
functional dynamics. Besides molecular requirements on fitness, the stability of
native and alternative conformations is modulated by a variety of factors,
including population size, selective pressure on the replicative system, which
determines mutation rates and biases, and epistatic effects. We discuss some of
the recent advances, open questions, and integrating views in protein evolution,
in light of the many underlying trade-offs, correlations, and dichotomies.
PMID- 27865207
TI - A multi-level comparative analysis of human femoral cortical bone quality in
healthy cadavers and surgical safe margin of osteosarcoma patients.
AB - Osteosarcoma is the most common primary malignancy of bone. However, the
potential variation it brings to the adjacent undamaged bone tissue is seldom
investigated. In this study, we conducted a multi-level comparison of human
femoral cortical bone quality in healthy cadavers (aged 42+/-11 years) and in
resected safe margin of osteosarcoma patients (aged 49+/-15 years). The objective
of this study was to document the changes with exposure to osteosarcoma condition
in bone mechanical strength, structural morphology and elementary composition,
evaluated by static and dynamic mechanical analysis (DMA), scanning electron
microscopy (SEM) imaging, X-ray diffraction (XRD) and Fourier-transform infrared
spectroscopy (FTIR). The resected clinical samples from healthy and osteosarcoma
conditioned bones were grouped into the Tumor and Healthy groups, and shaped into
regular beam specimens or pulverized according to testing protocols. The results
of mechanical tests confirmed osteolytic deteriorations in mineral phase of the
Tumor group, in terms of significant reductions in storage modulus (-53.6%, at
1Hz), bending strength (-53.0%) and stiffness (-64.8%) as compared to the Healthy
group. However, the energy dissipation/absorption ability of the collagen phase
in the Tumor group did not differ from the Healthy group statistically. Although
specimens showed no visible morphological difference, a decline in osteocyte
lacunar density in bone specimens from the Tumor group was discovered (-54.4%,
p<0.001). Higher magnification observation showed that the cell-free lacunae in
the Tumor group were occluded by randomized overgrown collagen fibers. XRD and
FTIR analysis further demonstrated a lower hydroxyapatite crystallinity and
decreased mineral:matrix ratio in pulverized samples from the Tumor group, mainly
due to the prominent Amide peaks. Taken together, these data revealed a
previously unrecognized effect of osteosarcoma on the adjacent "normal" bone
quality. The current study may provide insights on bone tumor pathology and an
advanced understanding of surgical safe margin.
PMID- 27865209
TI - Protein function machinery: from basic structural units to modulation of
activity.
AB - Contemporary protein structure is a result of the trade off between the laws of
physics and the evolutionary selection. The polymer nature of proteins played a
decisive role in establishing the basic structural and functional units of
soluble proteins. We discuss how these elementary building blocks work in the
hierarchy of protein domain structure, co-translational folding, as well as in
enzymatic activity and molecular interactions. Next, we consider modulators of
the protein function, such as intermolecular interactions, disorder-to-order
transitions, and allosteric signaling, acting via interference with the protein's
structural dynamics. We also discuss the post-translational modifications, which
is a complementary intricate mechanism evolved for regulation of protein
functions and interactions. In conclusion, we assess an anticipated contribution
of discussed topics to the future advancements in the field.
PMID- 27865210
TI - Exophytic Verrucous Hyperplasia of the Oral Cavity - Application of Standardized
Criteria for Diagnosis from a Consensus Report
AB - Verruco-papillary lesions (VPLs) of the oral cavity described in the literature
involve a spectrum of conditions including squamous papilloma, verruca vulgaris,
focal epithelial hyperplasia, condyloma, proliferative verrucousleukoplakia and
verrucous carcinoma. A majority of the VPLs are slow growing, benign in nature
and have aviral aetiology. Virus associated benign mucosal outgrowths are not too
difficult to diagnose either clinically orby microscopy. Apart from virus
associated lesions, VPLs harboring malignant potential or behaviour such
asverrucous carcinoma, proliferative verrucous leukoplakia, oral verrucous
hyperplasia (OVH), oral papillarysquamous cell carcinoma (PSCC) and oral
conventional squamous cell carcinoma with papillary features (CSCC)need to be
further clarified for better understanding of their predictable biologic behavior
and appropriatetreatment. Current understanding of potentially malignant VPLs is
perplexing and is primarily attributed tothe use of confusing and unsatisfactory
terminology. In particular, the condition referred to as oral
verrucoushyperplasia (OVH) poses a major diagnostic challenge. OVH represents a
histopathological entity whose clinicalfeatures are not well recognised and is
usually clinically indistinguishable from a verrucous carcinoma and aPSCC or a
CSCC. A consensus report published by an expert working group from South Asia as
an outcome ofthe 'First Asian Regional Meeting on the Terminology and Criteria
for Verruco-papillary Lesions of the OralCavity' held in Kuala Lumpur, Malaysia,
recognised the clinical description of these OVH as a new entity named'Exophytic
Verrucous Hyperplasia'. Previously described clinical features of OVH such as the
'blunt' or 'sharp'variants; and the 'mass' or 'plaque' variants can now
collectively fall under this newly described entity. This paperdiscusses in
detail the application of the standardized criteria guidelines of 'Exophytic
Verrucous Hyperplasia'as published by the expert group which will enable
clinicians and pathologists to uniformly interpret their poolof OVH cases and
facilitate a better understanding of OVH malignant potential.
PMID- 27865211
TI - The time is now: setting a rural health research agenda.
PMID- 27865212
TI - Changes in the oral health of the children of Dili, Timor Leste, between 2002 and
2014.
AB - INTRODUCTION: This research compared the oral health status of school children in
Dili (the capital of Timor Leste) in 2002 and 2014. METHODS: The 2014 oral health
survey of Dili's children replicated the methods of an AusAID-supported oral
health survey conducted in 2002. Equal numbers of children were invited to
participate from four age groups (6-8, 9-11, 12-14 and 15-17 years). For the 2014
survey, the subdistricts of Dom Aleixo, Cristo Rei, Metinaro and Vera Cruz were
randomly selected for inclusion. A questionnaire was used to collect data on
demographics and oral health behaviours. Oral epidemiological examinations were
conducted by four dentists and five dental nurses. RESULTS: The 2014 survey in
Dili recruited 758 participants for the questionnaire and 655 children for the
oral examination. In 2014, a lower proportion of children reported brushing their
teeth the previous day (97% vs 100%, p=0.01) and a larger proportion
reported having toothache (40% vs 19%, p<0.001) (sometimes to very often)
during the previous 12 months. The mean number of decayed, missing or filled
teeth in the primary plus permanent dentition (dmft + DMFT) was greater in 2014
than in 2002 (4.2 vs 3.5, p=0.01). There was no difference in the
prevalence of decay in the primary dentition (39% vs 37%, p=0.61) or the
mean number of decayed, missing or filled (dmft) teeth in the primary dentition
in 2014 compared to 2002 (2.0 vs 1.8, p=0.47). However, the prevalence of
decay in the permanent dentition was greater in 2014 (70% vs 53%, p<0.001)
as was the mean DMFT (2.3 vs 1.7, p=0.04). The prevalence of gingival
bleeding (65% vs 81%, p<0.001) and calculus (57% vs 86%, p<0.001)
was lower in 2014. CONCLUSIONS: There was an increase in dental caries
experiences in Dili school children between 2002 and 2014, associated with more
permanent teeth dental caries experiences.
PMID- 27865214
TI - [Auditory Neuropathy: Clinical Evaluation and Diagnostic Approach].
AB - INTRODUCTION: Auditory neuropathy is a condition in which there is a change in
the neuronal transmission of the auditory stimuli. Our objective was to describe
the patients' series within the clinical spectrum of auditory neuropathy.
MATERIAL AND METHODS: We designed a transversal, retrospective study, with a
description of a consecutive case series. Auditory neuropathy was defined by the
presence of acoustic otoemissions plus absent/abnormal auditory brainstem
responses with cochlear microphonism. RESULTS: 34 patients with bilateral hearing
loss, 23 males and 11 females, were included in the study. Eighty percent of the
cases had congenital onset of hearing loss. Acoustic otoemissions were absent in
67% of them. Cochlear microfonism was present in 79% of all cases. Prenatal,
perinatal or ambiental factors were present in 35.2% of the cases. DISCUSSION:
Medical literature shows great variability in findings related to auditory
neuropathy, both in its etiology and epidemiological data. CONCLUSION: Auditory
neuropathy presents a broad spectrum of changes that may result from mild to
severe changes in the functioning of the auditory pathway, and in our sample we
observed that 80% of Auditory neuropathy have congenital onset of hearing loss
and/or with cochlear microphonism identified. 91% of patients experience
significant hearing impairment and 53% suffer from severe or profound deafness.
PMID- 27865213
TI - Phenotypic correction of Fanconi anemia cells in the murine bone marrow after
carrier cell mediated delivery of lentiviral vector.
AB - Fanconi anemia (FA) is an autosomal-recessive disorder associated with
hematopoietic failure and it is a candidate for hematopoietic stem cell (HSC)
directed gene therapy. However, the characteristically reduced HSC numbers found
in FA patients, their ineffective mobilization from the marrow, and re
oxygenation damage during ex vivo manipulation have precluded clinical success
using conventional in vitro approaches. We previously demonstrated that
lentiviral vector (LV) particles reversibly attach to the cell surface where they
gain protection from serum complement neutralization. We reasoned that cellular
delivery of LV to the bone marrow niche could avoid detrimental losses during FA
HSC mobilization and in vitro modification. Here, we demonstrate that a VSV-G
pseudotyped lentivector, carrying the FANCC transgene, can be transmitted from
carrier to bystander cells. In cell culture and transplantation models of FA, we
further demonstrate that LV carrier cells migrate along SDF-1alpha gradients and
transfer vector particles that stably integrate and phenotypically correct the
characteristic DNA alkylator sensitivity in murine and human FA-deficient target
bystander cells. Altogether, we demonstrate that cellular homing mechanisms can
be harnessed for the functional phenotype correction in murine FA hematopoietic
cells.
PMID- 27865215
TI - [Study of the Sociodemographic Factors and Risky Behaviours Associated with the
Acquisition of Sexual Transmitted Infections by Foreign Exchange Students in
Portugal].
AB - BACKGROUND: Sexual transmitted infections are a main cause of morbidity, being a
public health problem due to its reproductive complications, mostly observed in
teenagers and young adults. The purpose of this study was to evaluate
sociodemographic factors and risky behaviours associated with sexual transmitted
infections acquisition and to assess personal awareness of risky behaviour and
the knowledge about Chlamydia trachomatis infection between foreign exchange
students in Portugal. MATERIAL AND METHODS: The main instrument for data
collection was a questionnaire, applied to foreign students in university
exchange in Portugal, during the years 2012/2013, 2013/2014 e 2014/2015 Results:
Three hundred and thirty eight (338) questionnaires were evaluated, being 58.3%
female students, aged between 17 and 30 years old. Mean age for the beginning of
the sexual activity was 17.5 years old and the mean number of lifetime sexual
partners was 6.9. Concerning the answers given: 11.8% mentioned a sexual
relationship with the same gender, 9.5% mentioned that they have never done oral
sex and 29% assumed they had practiced anal sex; 82.1% mentioned alcohol/drugs
consumption; 21% did not know that Sexual transmitted infections can be
transmitted through oral sex and 42.3% did not recognize Chlamydia trachomatis as
an Sexual transmitted infections agent. DISCUSSION: Although sexual transmitted
infections can affect individuals of all ages, races and sexual orientation,
various demographic, social and behavioral factors have revealed influence in
their prevalence rates. CONCLUSION: Despite knowing about sexual transmitted
infections, these students maintain sexual risky behaviours, mainly early age for
starting sexual activity, multiple sexual partners and the absence of protection
during sexual activities.
PMID- 27865216
TI - Establishing the Role of Unlinked Total Elbow Arthroplasty in Low Demand
Patients: A Long-Term Follow-up Study.
AB - INTRODUCTION: Experience with total elbow arthroplasty is scarce in most centers.
It seems to have a significant rate of associated complications. Most studies are
based on non-validated outcome measures and short-term results. MATERIAL AND
METHODS: We selected patients undergoing unlinked total elbow arthroplasty, with
a resultant sample of thirteen cases, with a mean postoperative follow-up of 72
months. We applied the Mayo Elbow Score and all patients underwent an X-ray
study, prior to surgery and during the follow-up period. RESULTS: All patients
have a systemic inflammatory condition. The mean Mayo score increased from 43
points preoperatively to 70 and 80 points at the intermediate follow-up period
(with a mean of 15 months after the operation) and at the time of the latest
follow-up evaluation (with a mean of 72 months after the operation). There was an
increase in range of motion in all cases. There was one case of mechanical
failure and two cases of transient ulnar neuropathy. DISCUSSION: Elbow
dysfunction causes great loss in patient's quality of life, incapacitating them
for the simplest activities. Small improvements in range of motion and pain
relief result in significant changes in the patient's functional ability. There
is a demand to clarify the performance of total elbow arthroplasty in selected
patient groups in order to throw more light on the relative roles of the
available implants. CONCLUSIONS: The results obtained in this study seem to
confirm the long-term benefit of the unlinked arthroplasty in severe joint
dysfunction in patients with low physical demand, particularly in rheumatoid
arthritis, a common and limiting condition in our population.
PMID- 27865217
TI - [Multidimensional Strategy Regarding the Reduction of Central-Line Associated
Infection in Pediatric Intensive Care].
AB - INTRODUCTION: To determine the central-line associated bloodstream infection rate
after implementation of central venous catheter-care practice bundles and
guidelines and to compare it with the previous central-line associated
bloodstream infection rate. MATERIAL AND METHODS: A prospective, longitudinal,
observational descriptive study with an exploratory component was performed in a
Pediatric Intensive Care Unit during five months. The universe was composed of
every child admitted to Pediatric Intensive Care Unit who inserted a central
venous catheter. A comparative study with historical controls was performed to
evaluate the result of the intervention (group 1 versus group 2). RESULTS:
Seventy five children were included, with a median age of 23 months: 22 (29.3%)
newborns; 28 (37.3%) with recent surgery and 32 (43.8%) with underlying illness.
A total of 105 central venous catheter were inserted, the majority a single
central venous catheter (69.3%), with a mean duration of 6.8 +/- 6.7 days. The
most common type of central venous catheter was the short-term, non-tunneled
central venous catheter (45.7%), while the subclavian and brachial flexure veins
were the most frequent insertion sites (both 25.7%). There were no cases of
central-line associated bloodstream infection reported during this study.
Comparing with historical controls (group 1), both groups were similar regarding
age, gender, department of origin and place of central venous catheter insertion.
In the current study (group 2), the median length of stay was higher, while the
mean duration of central venous catheter (excluding peripherally inserted central
line) was similar in both groups. There were no statistical differences regarding
central venous catheter caliber and number of lumens. Fewer children admitted to
Pediatric Intensive Care Unit had central venous catheter inserted in group 2,
with no significant difference between single or multiple central venous
catheter. DISCUSSION: After multidimensional strategy implementation there was no
reported central-line associated bloodstream infection Conclusions: Efforts must
be made to preserve the same degree of multidimensional prevention, in order to
confirm the effective reduction of the central-line associated bloodstream
infection rate and to allow its maintenance.
PMID- 27865218
TI - [Percutaneous Endovascular Aortic Repair with Local Anesthesia - One Day
Surgery].
AB - INTRODUCTION: To evaluate the results of the abdominal aortic aneurism
endovascular treatment (EVAR), percutaneously and with local anesthesia,
according to the concept of one day surgery. MATERIAL AND METHODS: Unicentric,
retrospective analysis of patients with aorto-iliac aneurysmal disease,
consecutively treated by EVAR with percutaneous access trough the Preclose
technique (pEVAR), according to the outpatient criteria, with one overnight stay
in the hospital. The technical success, exclusion of the aneurysmal sac,
endoleak, re-intervention and mortality were evaluated. RESULTS: Twenty
consecutive patients (all male; mean age 74.65 years) were treated by EVAR with
percutaneous access and local anesthesia, from which 95% (19) presented with
abdominal aortic aneurysm and 5% (1) common iliac aneurysm. All implants were
sucessfully performed, with an initial endoleak rate of 10% (2), determined by
one type 1a endoleak successfully corrected intra-operatively and one type 2a
endoleak diagnosed in the first imaging control, which sealed spontaneously on
the second control. Initial technical success for percutaneous closure was 97.5%,
with one case reported of femoral pseudo-aneurism, posteriorly treated by
percutaneous thrombin injection. Median length of stay was one day [1-10], with a
mean follow-up of 11.4 months [1-36]. Both the re-intervention and mortality rate
are 0% for the selected period. CONCLUSION: Our one day surgery model for the
outpatient treatment of abdominal aortic aneurysm by the pEVAR technique is
innovative, safe and effective, as long as the selection criteria are respected.
PMID- 27865219
TI - HIV/AIDS Indicators for Clinical Care Quality Assessment: Relevance and Utility
Assessed by Health Professionals.
AB - INTRODUCTION: After conducting a systematic review of quality indicators for
assessing HIV/AIDS clinical care, we aimed to assess the clinical relevance and
practice utility of those indicators from the point of view of HIV/AIDS physician
experts. MATERIAL AND METHODS: This is an observational, cross-sectional study,
in which we selected, by convenience, physicians who work in an Infectious
Disease Department of a central hospital to complete two questionnaires with a
core set of indicators to establish the most relevant and useful indicators for
assessment of the clinical HIV/AIDS care. A Likert scale was used to rank the
indicators. RESULTS: Eleven of thirteen physicians filled two questionnaires.
From the initial list of 53 quality indicators, 21 were identified as the most
relevant and useful in HIV/AIDS clinical care. The internal consistency for
clinical relevance in each indicators domain was for clinical signs and symptoms
(p = 0.971), for therapy (p = 0.900), for prognosis (p = 0.820) and diagnosis (p
= 0.733) and for practice utility were diagnosis (p = 0.934), clinical signs (p =
0.964), laboratory examinations (p = 0.947), therapy (p = 0.583) and prognosis (p
= 0.368). DISCUSSION: In the process of assessing the clinical relevance and
practice utility of HIV/AIDS quality care indicators, it was found that the
majority of physicians agreed that diagnosis and clinical symptoms and signs
indicators domains are the most important for assessing the quality of care for
HIV/AIDS patients. CONCLUSION: This instrument should be considered as a
diagnostic tool, allowing hospital administrators to identify if HIV/AIDS care is
properly delivered or needs improvement.
PMID- 27865220
TI - [Efficacy of the Vaccines Against Human Papillomavirus in Women Older than 24
Years in the Cervix Cancer Prevention].
AB - INTRODUCTION: Cervix cancer is the fourth most common female cancer and the
second most frequent in women aged 15 to 44 years. The infection by the human
papillomavirus is a necessary condition in almost all of cervix cancer cases; it
is a sexually transmitted disease and all women sexually active are at risk of
being infected. There are currently three vaccines against human papillomavirus,
and despite of the efficacy being well proven in woman aged up to 25 years, it is
not well established in older ages. MATERIAL AND METHODS: It was performed a
literature review of the current evidence about the efficacy of the vaccines
against human papillomavirus in women older than 24 years in the cervix cancer
prevention, and was assessed the evidence quality by the GRADE system. RESULTS:
Two randomized controlled trials were identified with a total of 9,571
participants - 4,792 vaccinated and 4,779 at the control group and reported data
of 48 months period follow-up. The relative risk reduction was 41% (95% CI: 29 a
50%) - for the vaccinated group. There were no differences in safety and
tolerability between the two groups - vaccine and control. CONCLUSION: The actual
evidence shows that the vaccines against human papillomavirus 16 and/or 18 in
women older than 24 until 45 years have an important efficacy at reducing the
risk of having persistent infection and/or to acquire cervix cancer precursor
lesions.
PMID- 27865221
TI - [Massive Upper Airway Bleeding: A Rare Case of Aortobronchial Fistula].
AB - Clinical presentation of haemoptysis and haematemesis often generates confusion,
becoming a difficult task to determine the source of upper airway bleeding.
Aortobronchial fistula is a rare entity, but has been a reported complication in
patients that have undergone aortic vascular surgery. A high clinical suspicion
is fundamental to making this diagnosis. A 69 year old male, with a previous
diagnosis of chronic gastritis, is admitted to the emergency room for vomiting
blood. In the first 24 hours after admission, the patient develops respiratory
insufficiency after an episode of massive bleeding from his mouth. A computed
tomography is performed, which shows a descending thoracic aortic aneurism and
signs of aortobronchial fistula. Urgent thoracic endovascular repair was
performed. Early symptoms of aortobronchial fistulae are nonspecific which
complicates the diagnosis and can lead to treatment delay. The use of
bronchoscopy in these cases is controversial.
PMID- 27865222
TI - Endometrial Tuberculosis Simulating an Ovarian Cancer: a case report.
AB - Female genital tuberculosis remains a major health problem in developing
countries and is an important cause of infertility. As symptoms, laboratory data
and physical findings are non-specific, its diagnosis can be difficult. We
describe a case of a 39-year-old woman suffering from peri-umbilical pain and
increased abdominal size for one year, anorexia, asthenia, weight loss,
occasionally dysuria and dyspareunia, and four months amenorrhea. Laboratory data
revealed cancer antigen 125 (CA-125) level of 132.3 U/mL, erythrocyte
sedimentation rate of 42 mm/h, and gamma-globulins of 2.66 g/dL. Computed
tomography scan showed loculated ascites. It was initially suspected a
carcinomatous origin, but ascites evaluation was negative for malignant cells.
Magnetic resonance imaging from another hospital showed endometrial
heterogeneity. Therefore, an endometrial biopsy was performed demonstrating an
inflammatory infiltrate with giant cells of type Langhans and bacteriological
culture identified Mycobacterium tuberculosis.
PMID- 27865223
TI - Pyogenic Granuloma in a Patient on Gefitinib.
PMID- 27865224
TI - [Penile Squamous Cell Carcinoma].
PMID- 27865225
TI - Reactions of protective service workers towards people who stutter.
AB - PURPOSE: This study sought to assess whether protective service workers differ
from people in non-protective services occupations in their intended reactions
towards people who stutter (PWS). METHODS: Analyses were based on questionnaire
responses regarding intended reactions toward PWS from 171 protective services
workers and 2595 non-protective services workers in the United States. A
propensity score matching procedure was used to identify a comparison group of
non-protective services workers for the protective services workers. The matching
covariate variables included age, gender, years of education, familiarity with
PWS, and beliefs about PWS. RESULTS: Findings indicated that protective services
workers had less helpful intended behavioral reactions and more negative
affective reactions towards PWS than the matched non-protective services workers.
Examination of the matching covariate variables in the larger sample also
indicated that protective services workers had less accurate beliefs about PWS
compared to respondents not in protective services professions. CONCLUSION: Less
favorable intended reactions of protective services workers toward PWS indicate a
need for protective services workers to receive training in best practices when
interacting with PWS.
PMID- 27865226
TI - An investigation of the effects of a speech-restructuring treatment for
stuttering on the distribution of intervals of phonation.
AB - PURPOSE: The purpose of this study was to investigate whether stuttering
reductions following the instatement phase of a speech-restructuring treatment
for adults were accompanied by reductions in the frequency of short intervals of
phonation (PIs). The study was prompted by the possibility that reductions in the
frequency of short PIs is the mechanism underlying such reductions in stuttering.
METHOD: The distribution of PIs was determined for seven adults who stutter,
before and immediately after the intensive phase of a speech-restructuring
treatment program. Audiovisual recordings of conversational speech were made on
both assessment occasions, with PIs recorded with an accelerometer. RESULTS: All
seven participants had much lower levels of stuttering after treatment but these
were associated with reductions in the frequency of short PIs for only four of
them. For the other three participants, two showed no change in frequency of
short PIs, while for the other participant the frequency of short PIs actually
increased. CONCLUSIONS: Stuttering reduction with speech-restructuring treatment
can co-occur with reduction in the frequency of short PIs. However, the latter
does not appear necessary for this reduction in stuttering to occur. Thus, speech
restructuring treatment must have other, or additional, treatment agents for
stuttering to reduce.
PMID- 27865227
TI - Behavioural, emotional and social development of children who stutter.
AB - PURPOSE: Developmental stuttering may be associated with diminished psychological
well-being which has been documented from late childhood onwards. It is important
to establish the point at which behavioural, emotional and social problems emerge
in children who stutter. METHODS: The study used data from the Millennium Cohort
Study, whose initial cohort comprised 18,818 children. Analysis involved data
collected when the cohort members were 3, 5 and 11 years old. The association
between parent-reported stuttering and performance on the Strengths and
Difficulties Questionnaire was determined in regression analyses which controlled
for cohort members' sex, verbal and non-verbal abilities, maternal education, and
family economic status. RESULTS: Compared with typically-developing children,
those who stuttered had significantly higher Total Difficulties scores at all
three ages; in addition, scores on all of the sub-scales for 5-year-olds who
stuttered indicated poorer development than their peers, and 11-year-olds who
stuttered had poorer development than peers in all areas except prosocial skills.
At ages 5 and 11, those who stuttered were more likely than peers to have scores
indicating cause for clinical concern in almost all areas. CONCLUSION: Children
who stutter may begin to show impaired behavioural, emotional and social
development as early as age 3, and these difficulties are well established in
older children who stutter. Parents and practitioners need to be aware of the
possibility of these difficulties and intervention needs to be provided in a
timely fashion to address such difficulties in childhood and to prevent the
potential development of serious mental health difficulties later in life.
PMID- 27865228
TI - Specific subtype of fluency disorder affecting French speaking children: A
phonological analysis.
AB - PURPOSE: Clinicians working with fluency disorders sometimes see children whose
word repetitions are mostly located at the end of words and do not induce
physical tension. Prior studies on the topic have proposed several names for
these disfluencies including "end word repetitions", "final sound repetitions"
and "atypical disfluency". The purpose of this study was to use phonological
analysis to explore the patterns of this poorly recognized fluency disorder in
order to better understand its specific speech characteristics. METHODS: We
analyzed a spontaneous language sample of 8 French speaking children. Audio and
video recordings allowed us to study general communication issues as well as
linguistic and acoustical data. RESULTS: We did not detect speech rupture or
coarticulation failures between the syllable onset and rhyme. The problem resides
primarily on the rhyme production with a voicing interruption in the middle of
the syllable nucleus or a repetition of the rhyme (nucleus alone or nucleus and
coda), regardless of the position in the word or phrase. CONCLUSION: The present
study provides data suggesting that there exist major differences in syllable
production between the disfluencies produced by our 8 children and stuttered
disfluencies. Consequently, we believe that this fluency disorder should be
recognized as distinct from stuttering.
PMID- 27865229
TI - A comparison of three strategies for reducing the public stigma associated with
stuttering.
AB - PURPOSE: The effects of three anti-stigma strategies for stuttering-contact
(hearing personal stories from an individual who stutters), education (replacing
myths about stuttering with facts), and protest (condemning negative attitudes
toward people who stutter)-were examined on attitudes, emotions, and behavioral
intentions toward people who stutter. METHOD: Two hundred and twelve adults
recruited from a nationwide survey in the United States were randomly assigned to
one of the three anti-stigma conditions or a control condition. Participants
completed questionnaires about stereotypes, negative emotional reactions, social
distance, discriminatory intentions, and empowerment regarding people who stutter
prior to and after watching a video for the assigned condition, and reported
their attitude changes about people who stutter. Some participants completed
follow-up questionnaires on the same measures one week later. RESULTS: All three
anti-stigma strategies were more effective than the control condition for
reducing stereotypes, negative emotions, and discriminatory intentions from
pretest to posttest. Education and protest effects for reducing negative
stereotypes were maintained at one-week follow-up. Contact had the most positive
effect for increasing affirming attitudes about people who stutter from pretest
to posttest and pretest to follow-up. Participants in the contact and education
groups, but not protest, self-reported significantly more positive attitude
change about people who stutter as a result of watching the video compared to the
control group. CONCLUSION: Advocates in the field of stuttering can use education
and protest strategies to reduce negative attitudes about people who stutter, and
people who stutter can increase affirming attitudes through interpersonal contact
with others.
PMID- 27865230
TI - Impact of social media and quality life of people who stutter.
PMID- 27865231
TI - Long-term Consequences of Childhood Bullying in Adults who Stutter: Social
Anxiety, Fear of Negative Evaluation, Self-esteem, and Satisfaction with Life.
AB - PURPOSE: Psychosocial disorders have been reported in adults who stutter,
especially social anxiety disorder. Social anxiety has been linked to childhood
victimization. It is possible that recalled childhood victimization could be
linked to psychosocial problems reported in some adults who stutter. METHOD:
Participants were 36 adults who stutter and 36 adults who do not stutter (mean
age=21.9 years). The Retrospective Bullying Questionnaire was completed for
primary school, secondary school and university environments for physical,
verbal, relational and cyber bullying. Participants were categorized into one of
five groups (bully, victim, bully-victim, bystander and uninvolved) based ontheir
responses. Participants completed four psychosocial scales: social interaction
anxiety, fear of negative evaluation, self-esteem and satisfaction with life
scales. RESULTS: The two groups differed with adults who stutter having higher
social interaction anxiety, fear of negative evaluation and satisfaction with
life. Analyses of variance revealed that victims had the highest scores among
both groups on all four scales. CONCLUSION: Adults who recalled being victimized
during childhood were more likely, regardless of whether they stutter or did not
stutter, to have poorer psychosocial scale scores. These results show the
lingering effects of childhood victimization, common in some children who
stutter, may contribute to the reported psychosocial problems in adulthood. The
need for early intervention for children who are bullied and future research with
larger samples is warranted.
PMID- 27865232
TI - Bedaquiline: Introducing a new drug to the MDR TB armamentarium.
PMID- 27865233
TI - CYP2E1 polymorphism, acetylator profiles and drug-induced liver injury incidence
of Indonesian tuberculosis patients.
AB - OBJECTIVE: A polymorphism of CYP2E1 may be directly associated with the
development of INH hepatotoxicity. We conducted this study to evaluate the
association between polymorphisms of CYP2E1, Isoniazid (INH) concentration and
the acetylator status of INH in cases of Indonesian tuberculosis patients with
drug-induced liver disease (DILI). METHODS: We conducted our study with a cohort
design consisting of 55 Indonesian adult tuberculosis (TB) patients. Acetylating
phenotypes were studied in using the metabolic ratio of plasma AcHZ/HZ. DILI was
defined using CTCAV version 4.0. The allelic and genotypic frequency
distributions of CYP2E1 rs 3813867 were studied using the polymerase chain
reaction - amplification refractory mutation system (ARMS) methodology. RESULTS:
Patients with an INH concentration of more than 7MUg/mL showed a higher risk of
developing DILI when compared with patients who showed a therapeutic range of 3
6MUg/mL INH (OR: 1.3, 95% CI: 0.2-8.2). Slow acetylators had a higher incidence
of DILI when compared with rapid acetylators (OR: 4.6, 95% CI: 1.3-15.9).
Meanwhile, subjects with GC had a higher risk of DILI incidence (OR: 4.3, 95% CI:
0.8-24.4). CONCLUSION: Our study shows that polymorphisms of CYP2E1 and slow
acetylator may have role in the DILI incidence.
PMID- 27865234
TI - Rapid detection of extensively drug-resistant (XDR-TB) strains from multidrug
resistant tuberculosis (MDR-TB) cases isolated from smear-negative pulmonary
samples in an Intermediate Reference Laboratory in India.
AB - BACKGROUND: Direct sputum smear microscopy is commonly used for diagnosing
tuberculosis (TB). The objectives of the study were first, to determine the
recovery of Mycobacterium tuberculosis in smear-negative sputum samples through
liquid culture (using MGIT 960) and solid culture (using LJ slant) and second, to
screen multidrug-resistant isolates through line probe assay and further third,
to identify XDR isolates through MGIT second-line DST from these positive MDR
cultures in Delhi region. METHODS: In this study, the sample size was 717 (sputum
smear AFB negative and culture positive for M. tuberculosis complex by both solid
and liquid culture methods) MDRTB suspects who were enrolled from January 2014 to
December 2014 at the Intermediate Reference Laboratory in New Delhi Tuberculosis
Centre, New Delhi. Rapid line probe assay was performed on all culture-positive
samples, which were direct smear-negative specimens, and LPA-confirmed MDR
samples were tested on MGIT 960 second-line DST for identification of XDR
strains. RESULTS: An overall increase in the culture positivity (9.4%) among
these smear-negative cases shows a good sign of recovery from M. tuberculosis
infection in these samples. 717 (9.4%) positive cultures (MGIT+LJ) were subjected
to line probe assay. Out of these 717 cultures, 9 (1.2%) were confirmed as NTM,
50 (7%) were MDR, 4 (0.6%) were mono-rifampicin resistant and 654 (91.2%)
cultures were sensitive to both drugs Rif and Inh, respectively. Out of these 54
(50 MDR +4 mono-RIF resistant) cultures as screened by LPA, 1 (1.8%) was XDR, 10
(18.6%) were mono-ofloxacin resistant and 1 (1.8%) was mono-Kanamycin resistant.
Sensitivity to both drugs KAN and OFX was seen in 42 (77.8%) cultures.
CONCLUSIONS: Since the bacterial load in direct smear-negative suspected MDR
samples is less, it is important to recover mycobacteria by rapid liquid culture
method in such samples. Initial screening for MDRTB is to be done in such cases
by performing rapid molecular genotypic drug susceptibility test such as LPA.
Baseline second-line DST is also done to rule out the XDR cases among them for
rapid and better management of XDRTB patients.
PMID- 27865235
TI - Role of real-time PCR for detection of tuberculosis and drug resistance directly
from clinical samples.
AB - BACKGROUND: Only a few studies done earlier in India reveal the utility of real
time PCR in detecting drug resistance in cases of pulmonary tuberculosis.
OBJECTIVES: The study was carried out to standardise real-time PCR (Quantitative
real-time PCR, qPCR) targeting 16s RNA for the rapid detection of tuberculosis
and its drug resistance from suspected TB patients. MATERIALS AND METHODS: Sputum
samples from 100 clinically suspected tuberculosis patients, after processing
were subjected to microscopy, MGIT culture and qPCR. qPCR targeted 16sRNA for
detecting Mycobacterium tuberculosis complex, KatG and rpoB genes for detection
of resistance to isoniazid and rifampicin respectively. 1% proportionate method
and Line probe assay (Hain Lifesciences, Nehren, Germany) were used to confirm
the MDR isolates. RESULTS: The study showed positivity of microscopy, culture and
qPCR for M. tuberculosis as 37%, 44% and 46% respectively. Sensitivity of 100%
and specificity of 96.5% in the detection of M. tuberculosis was observed for
qPCR in comparison to culture. MDRTB was detected in 14 cases whereas
monoresistance to rifampicin and isoniazid was detected in 1 and 3 samples
respectively. CONCLUSION: Real-time PCR targeting 16sRNA, KatG and rpoB is a
sensitive, specific, rapid and reliable technique to detect pulmonary
tuberculosis and its MDR status directly from the sputum samples.
PMID- 27865236
TI - PCR targeting IS6110 in diagnosing tuberculosis in children in comparison to MGIT
culture.
AB - BACKGROUND: Diagnosis of tuberculosis (TB) in children is difficult in children
especially in extrapulmonary tuberculosis (EPTB). This study was conducted to
evaluate the use of polymerase chain reaction (PCR) targeting IS6110 in the
diagnosis of TB in children with pulmonary TB and EPTB and also to compare its
performance with MGIT 960 culture and conventional microscopy. METHODS: A total
of 142 cases (50 pulmonary, 92 extrapulmonary) of suspected TB patients <15 years
of age were included in the study. The clinical specimens obtained from these
cases were subjected to Ziehl-Neelsen staining (ZN), MGIT 960 TB culture and PCR
targeting insertion sequence IS6110. Sensitivity and specificity of PCR were
calculated in pulmonary and extrapulmonary specimens. The results were compared
to MGIT culture. RESULTS: PCR targeting IS6110 sequence had sensitivity of 69.01%
in various clinical specimens which was significantly more than MGIT culture
showing a sensitivity of 47.41% (p<0.05). Sensitivity of PCR IS6110 in
extrapulmonary specimens was 65.21% which was lower than sensitivity in pulmonary
specimens (76%) but was not statistically significant (p>0.05). CONCLUSIONS:
Diagnostic efficacy of PCR IS6110 in pulmonary and extrapulmonary TB cases was
similar. PCR using IS6110 primer had significantly better efficiency than MGIT
culture in diagnosing TB in children.
PMID- 27865237
TI - Smoked and smokeless tobacco use among pulmonary tuberculosis patients under
RNTCP in urban Puducherry, India.
AB - BACKGROUND: Smoking is associated with unfavourable treatment outcomes like
failures and defaults among the TB patients. OBJECTIVES: To study the prevalence
and pattern of tobacco use among the pulmonary tuberculosis (PTB) patients in
urban Pondicherry and study the association of various socio-demographic
variables with current smoked and smokeless tobacco users. METHODS: A cross
sectional study was conducted among 235 PTB patients from 6 randomly selected
urban PHCs of Pondicherry from Jan 2013 to March 2014. Fagerstrom Test for
Nicotine Dependence was used. Chi-square test and multiple-logistic regression
were done. RESULTS: Prevalence of smoking among the PTB patients at the time of
TB diagnosis was 35.3%, whereas the same during the continuation phase (CP) was
23.4%. Among 83 smokers at the time of diagnosis, 52 modified and 31 did not
modify their smoking after TB diagnosis. Similarly, prevalence of smokeless
tobacco use both at the time of TB diagnosis and during CP was 9.8%. Male and
lower education level was associated with current smoking. Similarly, female and
lower education level was associated with current smokeless tobacco use.
CONCLUSIONS: One-third of PTB patients used smoked or smokeless tobacco during
their CP. Health programme needs to concentrate on PTB patients who continue to
use smoked or smokeless tobacco during their treatment; necessary interventions
need to be planned.
PMID- 27865238
TI - Tuberculosis prevalence and socio-economic differentials in the slums of four
metropolitan cities of India.
AB - AIM: To understand tuberculosis (TB) prevalence among the slum dwellers of
metropolitan cities of India and the factors associated with TB prevalence.
METHODS: National Family Health Survey-III data for four metropolitan cities
namely, Delhi, Mumbai, Kolkata and Chennai was used for this study. RESULTS:
Prevalence of TB is significantly (P=0.001) higher in the slums than non-slums of
Mumbai, Chennai and Kolkata cities. As the living standard increases, TB
prevalence decreases. Logistic regression analysis uncovers that lower standard
of living is highly associated with TB followed by place of residence (slum or
non-slum). CONCLUSION: Mumbai has the highest prevalence among the four cities
studied herein. Living standards, place of residence and absence of windows and
electricity in the households are the factors associated with TB prevalence.
PMID- 27865240
TI - Prediction equations for spirometry in adults in western India.
AB - BACKGROUND: Spirometry is an essential investigation in pulmonology. The
predicted normal spirometry values depend on various physiological parameters.
This study was conducted to collect updated information on pulmonary functions in
normal adults from western India. MATERIAL AND METHODS: A prospective
observational study was undertaken at a tertiary hospital in Mumbai enrolling
healthy subjects, 18-75 years, with ethnic origin from western India. Spirometry
measurements were carried out as per ATS/ERS-2005 guidelines using a non-heated
Fleish Pneumotachograph spirometer. Data was analyzed using SPSS for Pearson's
correlation analysis, multiple linear regressions and log transformations of
variables to get the best prediction equations. RESULTS: 310 subjects (185 males,
125 females) were included. Lung function values were higher in men as compared
to women. In multivariate linear regression models, age and height were major
predictor variables for all spirometry parameters. Addition of weight as a
determinant variable did not make significant contribution to the models except
for PEFR in males and F75 in females. Regression equations were established for
FVC, FEV1, FEV1/FVC ratio, PEFR, F25-75, F50, and F75. The standard-error-of
estimate was provided to enable computation of lower limits of normal for these
parameters. CONCLUSION: We propose regression equations for spirometry variables
developed using the current standards for adult West Indian population fulfilling
the long-felt need for updated equations.
PMID- 27865239
TI - Patterns of granulomatous responses in TB lymphadenitis and their correlation
with treatment outcomes.
AB - INTRODUCTION: Four patterns are noted in aspirates of TB lymphadenitis with or
without concomitant HIV. They are granulomatous, necrotizing granulomatous,
predominantly necrotizing and necrotizing suppurative designated pattern 1, 2, 3
and 4, respectively. The present study attempted to correlate granulomatous
patterns, Acid Fast Bacilli (AFB) density with treatment outcomes. MATERIALS AND
METHODS: The MGG and Papanicolaou stained slides of 56 lymphadenitis patients, 38
TB and 18 TB with seropositive HIV were studied for two years. The AFB were
stratified into: 0 - nil (1 - <=1 AFB, 2 - >1 but <10 AFB, 3 - >=10 AFB)/10
fields. RESULTS: There were 35 males and 21 females. Eleven aspirates
demonstrated AFB. TB+HIV lymphadenitis displayed a higher AFB score. TB+HIV
lymphadenitis aspirates significantly showed higher grade granulomas and AFB.
TB+HIV lymphadenitis required >=8-month treatment. Granulomas (pattern 3 or 4)
but not high AFB scores required longer treatment (>6 months). Treatment of AFB
(>=1) often extended to >6 months. CONCLUSION: TB with seropositive HIV, possibly
due to defective immune regulation exhibited granulomas (pattern 3 or 4)
necessitating treatment for >=8 months. Pattern 3 or 4 granulomas irrespective of
HIV status demanded >6-month treatment.
PMID- 27865241
TI - Tobacco use and its impact on pulmonary health among elderly population in rural
area of Muzaffarnagar - A cross-sectional study.
AB - BACKGROUND: The tobacco use is significant in Indian rural population. Among
them, elderly people in rural area are at special risk due to ageing and other
factors. The impact of tobacco use on elderly health, therefore, needs to be
studied in depth in rural context. OBJECTIVE: To study the patterns of tobacco
use and its consequent impact on pulmonary health of the elderly. DESIGN AND
METHODOLOGY: A community-based cross-sectional study was done (April 1st to
September 30th, 2014) in the field practice area (village Bilaspur) of Rural
Health Training Centre (RHTC) of Muzaffarnagar Medical College, Muzaffarnagar. A
simple random sampling was used and elderly of 60 years and above were
interviewed by semi-structured interview schedule. The data were analyzed by
software Epi-info. version 7.1.3.3. RESULTS AND CONCLUSION: The prevalence of
tobacco usage among elderly was 56.7%, in which smoking was the dominant one
(37%) and majority being in the form of Bidi (56.7%). Tobacco usage was
significantly associated not only with age, sex, and caste (p<0.05 each), but
occupational and socio-economic status (p<0.01 each) also; however, literacy was
the most significant factor (p<0.0001) among all. The tobacco usage in smoking
form was highly significantly associated with the presence of chronic obstructive
pulmonary disease (p<0.0001), elucidating a significant impact on their pulmonary
health. The rural elderly people need health education regarding curtailing the
use of tobacco for their better health from health clinics.
PMID- 27865242
TI - A study on knowledge and awareness about tuberculosis in senior school children
in Bangalore, India.
AB - BACKGROUND: Tuberculosis (TB) is an infectious disease caused by Mycobacterium
tuberculosis (M. tuberculosis), commonly affecting the lungs. All health care
professionals including the pharmacists provide a valuable public health role in
promoting community awareness of TB particularly in reducing stigma attached to
TB. Thus, creating awareness at a community level could play a vital role in
control and prevention of TB. OBJECTIVES: To determine whether educational
intervention would affect the level of TB awareness among students of selected
schools and pre-university colleges (PUCs) in Bangalore urban and Bangalore rural
regions. METHODOLOGY: The present study was conducted among the students of 8th,
9th, 10th and PUC in Bangalore rural and urban jurisdiction (n=2635). A
questionnaire was designed in English and Kannada language, consisting of 20
questions with multiple-choice answers. A 30-minute visual health education was
given on TB in English, followed by general pictorial presentation, and the data
were collected as pre-test and post-test. RESULTS: Data collected from 2635
participants during pre- and post-education session revealed that mean score
improved from 8.77+/-2.59 to 14.95+/-1.99. Impact of the education session showed
a significant knowledge improvement about TB from 1.59% (pre-education) to 49.67%
(post-education). CONCLUSION: The present study clearly demonstrated that a
simple, 30-minute health education session did have a positive impact on
knowledge and awareness about TB among school children as observed with increase
in mean knowledge score from pre-test to post-test, indicating that empowerment
of students could guide the community on various aspects of TB.
PMID- 27865243
TI - Lessons learnt from active tuberculosis case finding in an urban slum setting of
Agra city, India.
AB - Active case finding (ACF) is recognized as one of the key strategies to reach the
missing 3 million cases in high tuberculosis (TB) burden countries. In India, we
conducted ACF as a pilot project to assess its operational feasibility in four
slums of Agra city in 2012 and covered 3940 households (in 14 wards) with a
population of 21,870. Trained community volunteers visited households with an
intention to provide information on TB and refer those with cough >=2 weeks for
sputum smear examination. Volunteers identified 8 persons with cough of >=2 weeks
by asking the first or the main respondent of the household. However, by directly
asking (or probing) all available members of the household, they identified 374
persons with cough of >=2 weeks. All 382 persons with cough of >=2 weeks were
referred for sputum smear examination. While 40% of those referred reached health
facilities for sputum examination on their own, 60% had to be accompanied by the
community volunteers to the health facility for sputum smear examination by Ziehl
Neelsen staining method. Eventually, seven persons were found to be sputum smear
positive. This study highlighted important aspects for implementing ACF: First,
all household members have to be asked for TB symptoms and Second, mere referral
for sputum examination is not enough and there is a need to support people to
reach the health facility for sputum smear examination.
PMID- 27865244
TI - Tubercular osteomyelitis of calcaneum bone: A rare occurrence.
AB - In spite of the endemic nature of tuberculosis in India, skeletal tuberculosis is
relatively infrequent. Involvement of foot bones is uncommon and isolated
calcaneum is even rarer. Osteoarticular tuberculosis is a diagnostic enigma, as
the characteristic signs and symptoms of this disease may be absent, or mimic
other disorders, leading to emergence of complications and therapeutic delay,
particularly when the disease affects unusual sites. Here, we are reporting the
case of 20-year-old male, who presented with a rare localization of tubercular
osteomyelitis involving the calcaneum without adjacent joint involvement to draw
attention to this exceptional location in adults, managed with anti-tubercular
treatment and gained excellent recovery.
PMID- 27865245
TI - Adolescent with recurrent tuberculosis: Can it be chronic granulomatous disease?
AB - Chronic granulomatous disease (CGD) is an inherited primary immunodeficiency
disorder with recurrent bacterial and fungal infections like Staphylococcus
aureus, Nocardia spp, Serratia marcescens, Burkholderia cepacia, Salmonella spp.
and Aspergillus species. We present a 13-year-old male child who had 3 episodes
of tuberculosis (TB) at 5 years, 8 years and 13 years of age, respectively, with
no other intercurrent infections and who was diagnosed as CGD at the age of 13
years. This case highlights the possibility of phenotypic variations of CGD. The
diagnosis of CGD should also be sought in all children with recurrent TB.
PMID- 27865246
TI - Renal tuberculosis presenting as acute pyelonephritis - A rarity.
AB - One of the major health problems faced particularly by the developing world since
ages is that of tuberculosis (TB). Genito-urinary tuberculosis (GUTB) is the
second most common extrapulmonary TB, with kidney being the most frequent site of
infection. Due to the diverse and atypical clinical manifestations of urinary TB,
the disease is easy to misdiagnose. The diagnosis of renal TB should be suspected
in a nonspecific bacterial cystitis associated with a therapeutic failure or a
sterile pyuria and a past history of pulmonary TB with important radiologic
findings, particularly with the help of CT scan. Here, we describe a case of
renal TB where no clinical or radiological features suggestive of renal TB were
present. The diagnosis was only evident after the histopathological examination
of the excised kidney. This case highlights the importance of suspecting renal TB
as an important cause of kidney disease, which can lead to irreversible renal
function loss particularly in an endemic area, and also the diversity that this
disease may acquire in its presentation leading to misdiagnosis. In such a case,
particularly in a high endemic area for TB, therapeutic trial of ATT may also be
considered to avoid unnecessary surgical intervention and end-stage renal
disease.
PMID- 27865247
TI - Implementing a central venous catheter self-management education program for
patients with cancer.
AB - PURPOSE: To evaluate the effects of the central venous catheter self-management
education program (CVC S-MEP) in improving knowledge, attitude, and behavior
regarding CVC and in decreasing CVC-related complications in patients with cancer
during homecare service. METHODS: A quasi-experimental, sequential cohort design
study of patients with cancer and who have CVCs was performed to compare the
effect of CVC S-MEP with usual care. RESULTS: The study group consisted of 45
participants (26 male and 19 female), and the mean age was 46.1 (SD, 10.5) years.
The subjects of the CVC S-MEP had significantly high mean levels of self
management knowledge (p = 0.007), attitude (p < 0.001), and behavior (p = 0.002).
Also, the participants in the CVC S-MEP had significantly lower frequency of
catheter-related complications (p = 0.030). CONCLUSIONS: The CVC S-MEP helped
improve patients' ability to resolve problems and adequately respond to CVC
related emergency situations by fostering greater self-care ability.
Additionally, providing practical information for CVC self-management in a
gradual and repetitive manner had a notable positive effect on patients.
PMID- 27865248
TI - The Chinese version of hospital anxiety and depression scale: Psychometric
properties in Chinese cancer patients and their family caregivers.
AB - PURPOSE: The Hospital Anxiety and Depression Scale (HADS) acts as one of the most
frequently used self-reported measures in cancer practice. The evidence for
construct validity of HADS, however, remains inconclusive. The objective of this
study is to evaluate the psychometric properties of the Chinese version HADS (C
HADS) in terms of construct validity, internal consistency reliability, and
concurrent validity in dyads of Chinese cancer patients and their family
caregivers. METHODS: This was a cross-sectional study, conducted in multiple
centers: one hospital in each of the seven different administrative regions in
China from October 2014 to May 2015. A total of 641 dyads, consisting of cancer
patients and family caregivers, completed a survey assessing their demographic
and background information, anxiety and depression using C-HADS, and quality of
life (QOL) using Chinese version SF-12. Data analysis methods included
descriptive statistics, confirmatory factor analysis (CFA), and Pearson
correlations. RESULTS: Both the two-factor and one-factor models offered the best
and adequate fit to the data in cancer patients and family caregivers
respectively. The comparison of the two-factor and single-factor models supports
the basic assumption of two-factor construct of C-HADS. The overall and two
subscales of C-HADS in both cancer patients and family caregivers had good
internal consistency and acceptable concurrent validity. CONCLUSIONS: The Chinese
version of the HADS may be a reliable and valid screening tool, as indicated by
its original two-factor structure. The finding supports the basic assumption of
two-factor construct of HADS.
PMID- 27865249
TI - Development and validation of the preparedness for Colorectal Cancer Surgery
Questionnaire: PCSQ-pre 24.
AB - PURPOSE: The aims of the study were to develop and psychometrically evaluate a
patient-reported outcome instrument for the measurement of preoperative
preparedness in patients undergoing surgery for colorectal cancer. METHODS: This
study was conducted in two stages: a) instrument development (item generation,
construction of items and domains), empirical verification and b) instrument
evaluation. A questionnaire with 28 items measuring preparedness for surgery was
developed covering four domains and was tested for content validity with an
expert panel and with patients. Psychometric testing of the questionnaire was
conducted on 240 patients undergoing elective surgery for colorectal cancer.
RESULTS: The scale content validity index of the preparedness items was 0.97. The
final version consisted of 24 items measuring 4 subscales: Searching for and
making use of information, Understanding and involvement in the care process,
Making sense of the recovery process and Support and access to medical care.
Confirmatory factor analysis revealed good model fit with standardized factor
loadings ranging from 0.58 to 0.97. A well-fitting second-order factor model
provided support for a total preparedness score with second-order factor loadings
ranging from 0.75 to 0.93. The ordinal alpha values of the four latent factors
ranged from 0.92 to 0.96, indicating good internal consistency. The polyserial
correlations with the total score were 0.64 (p < 0.01) for the overall
preparedness question and 0.37 (p < 0.01) for overall well-being. CONCLUSION: The
Swedish Preparedness for Colorectal Cancer Surgery Questionnaire for use in the
preoperative phase demonstrated good psychometric properties based on a sound
conceptualization of preparedness.
PMID- 27865250
TI - Are children as relatives our responsibility? - How nurses perceive their role in
caring for children as relatives of seriously ill patients.
AB - PURPOSE: The purpose of this study was to elucidate how nurses perceive their
role in caring for children as relatives to a parent with a serious physical
illness. METHOD: A qualitative explorative design with focus group interviews was
used. In total, 22 nurses working at one neurological, one haematological and two
oncological wards were interviewed. The transcripts from the interviews were
analysed in steps in accordance with inductive qualitative content analysis.
RESULTS: This study revealed six variations in how nurses perceived their role in
the encounter with child relatives, ranging from being convinced that it is not
their responsibility to being aware of the children's situation and working
systematically to support them. CONCLUSION: Nurses should consider whether their
patients have children who might be affected by their parent's illness. The
nurses' self-confidence when meeting these children must be increased by
education in order to strengthen their professional role. Furthermore, guidelines
on how to encounter child relatives are required.
PMID- 27865251
TI - Like being covered in a wet and dark blanket - Parents' lived experiences of
losing a child to cancer.
AB - PURPOSE: The aim of this study was to illuminate parents' lived experiences of
losing a child to cancer. METHOD: Interviews and a narrative about parents'
experiences of losing a child to cancer were gathered from six parents of
children whom had participated in a longitudinal study across the child's illness
trajectory. The analysis of the data was inspired by van Manen's hermeneutic
phenomenological approach. RESULTS: One essential theme emerged: Like being
covered in a wet and dark blanket, as well as six related themes: Feeling
conflicting emotions, Preparing for the moment of death, Continuing parenting
after death, Recollecting and sharing memories, Working through the sorrow and
New perspectives in life. CONCLUSION: There is a need for good palliative care.
If not, there is a risk that the parent will perseverate and blame themselves for
not being a good parent during the suffering child's last time in life. Meetings
with the parents six months and two years after the child's death might
facilitate healing through the grief process.
PMID- 27865252
TI - Measuring trust in nurses - Psychometric properties of the Trust in Nurses Scale
in four countries.
AB - PURPOSE: The purpose of this study was to examine psychometric properties of
three translated versions of the Trust in Nurses Scale (TNS) and cancer patients'
perceptions of trust in nurses in a sample of cancer patients from four European
countries. METHODS: A cross-sectional, cross-cultural, multi-site survey design
was used. The data were collected with the Trust in Nurses Scale from patients
with different types of malignancies in 17 units within five clinical sites (n =
599) between 09/2012 and 06/2014. Data were analyzed using descriptive and
inferential statistics, multivariate methods and psychometrics using exploratory
factor analysis, Cronbach's alpha coefficients, item analysis and Rasch analysis.
RESULTS: The psychometric properties of the data were consistent in all
countries. Within the exploratory factor analysis the principal component
analysis supported the one component structure (unidimensionality) of the TNS.
The internal consistency reliability was acceptable. The Rasch analysis supported
the unidimensionality of the TNS cross-culturally. All items of the TNS
demonstrated acceptable goodness-of-fit to the Rasch model. Cancer patients
trusted nurses to a great extent although between-country differences were found.
CONCLUSIONS: The Trust in Nurses Scale proved to be a valid and reliable tool for
measuring patients' trust in nurses in oncological settings in international
contexts.
PMID- 27865253
TI - Perceptions of patients, families, physicians and nurses regarding challenges in
cancer disclosure: A descriptive qualitative study.
AB - PURPOSE: The findings of numerous studies have illustrated that there is still a
high proportion of cancer patients in Eastern and Middle-East countries including
Iran, who are not properly informed of their disease due to the concealment
atmosphere which still prevails. This descriptive qualitative study is aimed at
exploring perceptions of patients, patients' family members, physicians and
nurses regarding cancer disclosure challenges. METHODS: Thirty-five participants
(15 patients, 6 family members, 9 physicians, and 5 nurses) were selected through
purposive sampling. The data were collected through in-depth interviews; after
which they were analyzed using a qualitative content analysis with an inductive
approach. RESULTS: Data analysis revealed the following three categories: first,
challenges related to healthcare system which deals with the deficiencies,
strains and concerns in medical setting and healthcare team training; second,
challenges related to family insistence on concealment which includes their fear
of cancer disclosure and its negative impact on the patients; and third,
challenges related to policy making which consists of deficiencies in legislative
and supportive institutions for advocacy of truth telling. CONCLUSIONS:
Successful move from concealment to effective disclosure attitude in cancer
patients in Iran requires a national determination for resolving challenges in
medical education as well as other different social, cultural and policy making
dimensions.
PMID- 27865255
TI - Recommendations for symptom management in women with vulvar neoplasms after
surgical treatment: An evidence-based guideline.
AB - PURPOSE: To develop an evidence-based guideline for nurses and other health care
professionals involved in pre- and postsurgical care of women with vulvar cancer
(VC) or vulvar intraepithelial neoplasia (VIN). METHODS: This evidence-based
guideline was developed according to six domains of the methodological framework
AGREE II. Literature research with focus on cancer care, symptom management and
self-management/counselling was conducted from April to August 2013 in the
databases CINAHL, Cochrane Library, PsycINFO, PubMed as well as in 14
international guideline databases. Interdisciplinary experts (n = 14) were
involved in the development of the guideline from December 2013 to January 2014.
This guideline is currently tested in the WOMAN-PRO II RCT (Clinical Trial No:
NCT01986725). RESULTS: For the definition of recommendations, five guidelines,
one meta-analysis, two systematic reviews and two randomized controlled trials
were included. In total, 24 recommendations were formulated to answer 22 clinical
questions based on patients' perspective and experts' opinion. Evidence ranged
from 3.5 to 5 (3.5 = weak evidence and/or clinical relevance, 5 = best evidence
and/or clinical relevance). The recommendations were subsumed under different
themes regarding physical, psychological and psychosocial aspects. CONCLUSIONS:
The clinical practice guideline developed in this study firstly provides
recommendations for symptom management issues focusing on self-management
interventions for women with VC or VIN. As an interdisciplinary guideline it
should be used in addition to the existing medical guideline in the German
speaking context.
PMID- 27865254
TI - A systematic literature review comparing the psychological care needs of patients
with mesothelioma and advanced lung cancer.
AB - PURPOSE: Psychological distress which adversely affects a person's experience of
cancer has been shown to be highly prevalent in patients with mesothelioma.
Historically, the assumption has been made that the evidence guiding the
supportive care needs for lung cancer is relevant to those with mesothelioma. The
objective of the study was to evaluate if the psychological care needs differ
between patients with pleural mesothelioma and those with advanced lung cancer.
METHODS: A search of MEDLINE, CINAHL, PsycARTICLES, Psychology and Behavioural
Sciences Collection, PsycINFO databases, grey literature and the Cochrane Library
of Systematic Reviews identified 17 studies meeting a predefined inclusion
criteria. These were critically appraised for quality. Data relating to
psychological experiences was extracted which was then synthesised narratively
and through a process of meta ethnography. RESULTS: Common themes identified
across the studies created 10 key concepts. These were uncertainty, normality,
hope/hopelessness, stigma/blame/guilt, family/carer concern, physical symptoms,
experience of diagnosis, iatrogenic distress, financial/legal and death and
dying. Key similarities and differences were identified between the mesothelioma
and lung cancer evidence. CONCLUSIONS: There is limited research exploring the
lived experiences of those with mesothelioma and lung cancer, with the majority
of them having methodological and/or reporting concerns compromising the
conclusions made. However, reoccurring themes in the evidence were found
suggesting a number of areas where the psychological experience of mesothelioma
differs from that of advanced lung cancer. These findings warrant further
research to explore further and if proven, the need for the provision of
specialist mesothelioma care services is affirmed.
PMID- 27865256
TI - Opioid-taking self-efficacy as influencing emotional status in patients with
cancer pain.
AB - PURPOSE: The purpose of this study was to evaluate how much of the variance in
emotional status amongst Taiwanese cancer patients could be accounted for by
opioid-taking self-efficacy. METHODS: This cross-sectional study included 109
cancer patients who had taken prescribed opioid analgesics for cancer related
pain in the past week and completed the Opioid-Taking Self-Efficacy Scale-CA
(OTSES-CA) and Hospital Anxiety and Depression Scale. RESULTS: There was a
significant and negative correlation between scores on anxiety and self-efficacy
total scale (r = -0.29, p < 0.01), self-efficacy communication subscale (r =
0.37, p < 0.01), self-efficacy acquiring help subscale (r = -0.22, p < 0.05) and
self-efficacy managing treatment related concerns subscale (r = -0.32, p < 0.01).
However, the correlation between scores on depression and the self-efficacy total
scale was not significant (r = -0.18, p > 0.05); only the self-efficacy
communication subscale was significantly and negatively associated with
depression (r = -0.27, p < 0.01). The opioid-taking self-efficacy total scale
accounted for 8% of predicting the patients' anxiety. Opioid-taking self-efficacy
subscales accounted for 20% (R2 = 0.20, p = 0.000) of the variance in predicting
anxiety and 10% (R2 = 0.10, p = 0.02) of the variance in predicting depression.
CONCLUSIONS: This study highlights the potential importance of a patient's opioid
taking self-efficacy beliefs in their emotional status, which is relevant to
cancer pain.
PMID- 27865257
TI - Pain and social processes for hospice cancer patients: An integrative review.
AB - PURPOSE: Hospice cancer patients experience poorly-controlled pain in spite of
widely-disseminated evidence-based guidelines for use by hospice care
practitioners. Pain management occurs in the context of the interdisciplinary
team, centered on a caring triad in the home: the person with pain, their
caregiver, and their nurse. This review: 1) Summarizes what is known about
differing ways that members of the hospice caring triad (patients, caregivers,
and nurses) interpret and respond to cancer pain, in order to develop a cancer
pain social processes theoretical framework, 2) Identifies gaps in understanding
of hospice cancer pain social processes, and 3) Identifies framework concepts for
research-based clinical practice with potential to improve pain outcomes.
METHODS: Our integrative review of the literature resulted in the identification
and synthesis of 21 unique studies of cancer pain social processes, which were
categorized according to a social processes framework and hospice caring triad
member roles, using a social processes concepts matrix. RESULTS: Pain meanings,
goals, and related responses vary for persons with pain, caregivers, and nurses.
Studies have explored individual social processes concepts or triad member roles.
Studies identify the need for pain meaning to be included in hospice pain
management plans. CONCLUSIONS: To our knowledge, no single study has generated a
framework for hospice cancer pain social processes addressing and incorporating
the roles of all three caring triad members. Therefore, comprehensive hospice
cancer pain clinical evaluation and interventions plans may be missing key
elements of pain management, especially for persons with ongoing poorly
controlled pain.
PMID- 27865258
TI - Preparing young people for future decision-making about cancer risk in families
affected or at risk from hereditary breast cancer: A qualitative interview study.
AB - PURPOSE: Women carrying the mutated BRCA gene, have approximately an 80% life
time risk of developing breast cancer with 50% risk of their children inheriting
the gene mutation. Many parents find it difficult to know when and how to
disclose this information to their children and how such disclosure might affect
their child's future decision-making. METHOD: This study explored the
communication of genetic risk information in families using qualitative semi
structured interviews conducted with parents, children (7-11years) and young
people (12-18years) affected or at risk from a BRCA gene mutation. Thematic
analysis was applied to coded transcripts producing four themes; family
communication, perception of cancer risks, risk management strategies and impact
of genetic risk communication in children and young people's decision making.
RESULTS: Twenty-seven individuals from 11 families took part, recruited through
purposive sampling techniques. Cancer risk caused by a BRCA gene mutation induced
a sense of fear in parents about their children's future. As a result, parents
with hereditary breast cancer disclosed limited information about the risks
associated with prophylactic surgery and/or the psychological and emotional
impacts of surgery on body image. This had implications to children and young
people's perceptions of prophylactic procedures, which were already influenced by
cultural understandings of the 'desirable body' and increasing acceptance and
proliferation cosmetic surgery. CONCLUSION: Lack of risk management information
and the acculturation of cosmetic surgery combined to limit children and young
people's understanding of the impact of hereditary breast cancer; reducing their
ability to actualise the physiological, psychological and emotional consequences
of surgery.
PMID- 27865259
TI - Web based survivorship interventions for women with breast cancer: An integrative
review.
AB - PURPOSE: Breast cancer survivors' experience a range of negative sequelae post
treatment including depression, anxiety, physical side effects from treatment,
sexuality concerns and decreased quality of life. Survivorship care is
recommended by the IOM to meet the post treatment needs of survivors but
implementation is variable and barriers to delivery such as time and resource
restraints have been identified. Web-based interventions may be a way to overcome
some barriers to providing quality survivorship care that is efficacious, cost
efficient and convenient. The purpose of this integrative review is to summarize
and synthesize the current research on web-based interventions for breast cancer
survivorship care and evaluate the data to determine potential implications for
practice. METHODS: The Preferred Reporting Items for Systematic Reviews and Meta
Analyses (PRISMA) guidelines were used to guide this integrative review. Key
search terms included breast cancer, survivor, intervention, web, internet and
technology. Articles evaluating web-based survivorship interventions (n = 405)
for early stage breast cancer patients who completed active therapy were
included. RESULTS: Fifteen studies met inclusion criteria: six randomized
controlled trials, six survey based studies, one qualitative study, one
retrospective chart review and one mixed methods study. Studies evaluating
cognitive behavioral therapy provided the strongest data. Other studies evaluated
exercise and lifestyle interventions, symptom management programs and
pilot/exploratory work. Findings suggest that web-based survivorship
interventions are feasible and acceptable to breast cancer survivors.
CONCLUSIONS: Web-based survivorship interventions have the potential to meet the
needs of breast cancer survivors while possibly overcoming some of the documented
barriers to survivorship care implementation.
PMID- 27865260
TI - Antimicrobial resistance and molecular characterization of virulence genes,
phylogenetic groups of Escherichia coli isolated from diarrheic and healthy camel
calves in Tunisia.
AB - This study was conducted to determine the prevalence of virulence genes,
serogroups, antimicrobial resistance and phylogenetic groups of Escherichia coli
strains isolated from diarrheic and healthy camel calves in Tunisia. From 120
fecal samples (62 healthy and 58 diarrheic camel calves aged less than 3 months),
70 E. coli isolates (53 from diarrheic herds and 17 from healthy herds) were
examined by PCR for detection of the virulence genes associated with pathogenic
E. coli in animals. A significantly greater frequency of the f17 gene was
observed in individual camels and in herds with diarrhea, this gene being found
in 44.7% and 41.5% of isolates from camels and herds with diarrhea versus 22.5%
and 11.7% in camels (p=0.05) and herds without diarrhea (p=0.02). The aida,
cnf1/2, f18, stx2 and paa genes were found only in isolates from camels with
diarrhea, although at a low prevalence, 1.8%, 3.7%, 1.8%, 3.7% and 11.3%,
respectively. Prevalence of afa8, cdtB, eae, east1, iroN, iss, kpsMTII, paa, sfa,
tsh and papC genes did not differ significantly between herds with or without
diarrhea. Genes coding for faeG, fanC, f41, estI, estII, CS31a and eltA were not
detected in any isolates. All isolates were sensitive to amikacin,
chloramphenicol, ciprofloxacin, gentamicin and ceftiofur and the highest
frequency of resistance was observed to tetracycline, and ampicillin (52.8% and
37.1% respectively). The phylogenetic groups were identified by conventional
triplex PCR. Results showed that E. coli strains segregated mainly in
phylogenetic group B1, 52.8% in diarrheic herds and 52.9% in healthy herds.
PMID- 27865261
TI - Humanized mice in infectious diseases.
AB - The pathogenesis of infectious agents with human tropism can only be properly
studied in an in vivo model featuring human cells or tissue. Humanized mice
represent a small animal model featuring human cells or tissue that can be
infected by human-specific viruses, bacteria, and parasites and also providing a
functional human immune system. This makes the analysis of a human immune
response to infection possible and allows for preclinical testing of new vaccines
and therapeutic agents. Results of various studies using humanized mice to
investigate pathogens with human tropism are presented in this review. In
addition, the limitations of humanized mice and methods to improve this valuable
animal model are discussed.
PMID- 27865262
TI - Soluble forms of CD46 are detected in Bos taurus plasma and neutralize BVDV, the
bovine pestivirus.
AB - The pestivirus bovine viral diarrhea virus (BVDV) is known to bind to the CD46
molecule, which subsequently promotes entry of the virus. Mapping of the BVD
virion-binding site has shown that two peptides, 66EQIV69 and 82GQVLAL87, located
on antiparallel beta sheets in the most distal complement control protein module
(CCP1), provide the attachment platform. In the present study, we reveal new CD46
encoding transcripts that are predicted to encode CCP1-containing soluble forms.
Further, we show that the serum of most adult cattle contains soluble CD46
(sCD46) and that a recombinant soluble isoform neutralizes BVDV infectivity in an
in vitro assay. We have then established an ELISA for determination of plasma
sCD46 in a large cohort of animals. Overall, serum sCD46 amounts to 8+/-18ng/mL
(mean+/-SD, n=440), with a IC [95-105] ranging from 6,4 to 9,8ng/mL and extreme
values between 0 and 178ng/mL. We found that sCD46 is not detectable in fetal and
neonatal sera and that its plasma concentration increases progressively up to
adulthood. We also detected high- and low-sCD46 performers and show that this
phenotype does not depend of environment. As modern rearing techniques make it
possible to disseminate genetically-determined phenotypes very quickly in a
population, a large-scale study examining whether high-sCD46 animals provide
epidemiological protection against BVDV infection and transmission should be
undertaken.
PMID- 27865263
TI - Molecular assessment of the transplacental transmission of Toxoplasma gondii,
Neospora caninum, Brucella canis and Ehrlichia canis in dogs.
AB - Given the fact that numerous microbial species can be detected in pregnant female
dogs, the objective of this study was to assess the transplacental transmission
of Brucella canis, Ehrlichia canis, Neospora caninum and Toxoplasma gondii in
stillborn puppies. This study involved 41 stillborn puppies, 78.6% of which were
positive for T. gondii, 52.4% for N. caninum and 59.5% for B. canis. E. canis was
not detected in any of the analyzed puppies. Pregnancy is an important
physiological condition for the transmission of infectious agents to puppies and
transplacental transmission may be epidemiologically relevant in the spread of
these opportunistic agents.
PMID- 27865264
TI - Changes in serum biomarkers of oxidative stress after treatment for canine
leishmaniosis in sick dogs.
AB - Canine leishmaniosis (CanL) is a zoonotic disease being endemic in several parts
of the world. In this study we investigated the behavior of a panel of biomarkers
of oxidative stress in 12 sick dogs naturally infected by CanL before and at days
30 and 180 of a successful therapy with a standard treatment. The assays total
oxidant status (TOS), trolox equivalent antioxidant capacity (TEAC), ferric
reducing ability of plasma (FRAP), cupric reducing antioxidant capacity (CUPRAC),
serum thiol and paraoxonase 1 (PON1) were included in the panel. In addition,
correlations between biomarkers of oxidative stress and inflammation (C-reactive
protein (CRP) and ferritin) and urinary protein:creatinine ratio (UPC) were
calculated. Serum CUPRAC, thiol and PON1 significantly increased after treatment
and were negatively correlated with CRP, ferritin and UPC. This study
demonstrates that biomarkers of oxidative stress, not previously studied in
leishmaniosis such as CUPRAC and thiol, can change after a successful treatment
for CanL showing a potential for use in monitoring the treatment of this disease.
PMID- 27865266
TI - Ability of an oral formulation of afoxolaner to protect dogs from Borrelia
burgdorferi infection transmitted by wild Ixodes scapularis ticks.
AB - A randomized, blinded, negative controlled study was conducted to determine
whether treatment with afoxolaner (NexGard(r), Merial, Inc.) would prevent the
transmission of Borrelia burgdorferi to dogs by wild caught Ixodes scapularis
ticks. Twenty healthy dogs were randomly assigned to two groups of ten dogs each.
Ten dogs were treated orally on Day 0 at a dose near the minimum recommended dose
of afoxolaner of 2.5mg/kg (actual doses 2.5-3.1mg/kg) and ten control dogs were
not treated. On Day 28, each dog was infested with approximately 50 adult unfed
wild caught I. scapularis that had a 67% B. burgdorferi infection rate
(determined by polymerase chain reaction). On Day 33, live ticks were counted and
removed. No ticks were found on treated dogs while control dogs had an average of
21.4 ticks. To detect infection, the B. burgdorferi-specific C6 antibody SNAP(r)
4Dx(r) test (IDEXX) was performed on serum collected before infestation (all dogs
seronegative on Days -6 and 27) and on Days 48, 63, 77 and 92. The ten treated
dogs remained seronegative through the end of the study (Day 92), while nine out
of the ten control dogs were infected, as demonstrated by their seroconversion to
being positive for the presence of the B. burgdorferi-specific C6 antibody
starting on Day 48. In this study, all dogs treated with NexGard(r) 28days prior
to challenge with wild caught I. scapularis ticks were protected from B.
burgdorferi infection, while nine out of the ten untreated control dogs were
infected.
PMID- 27865265
TI - Monitoring of West Nile virus, Usutu virus and Meaban virus in waterfowl used as
decoys and wild raptors in southern Spain.
AB - In the last decade, the number of emerging flaviviruses described worldwide has
increased considerably, with wild birds acting as the main reservoir hosts of
these viruses. We carried out an epidemiological survey to determine the
seroprevalence of antigenically related flaviviruses, particularly West Nile
virus (WNV), Usutu virus (USUV) and Meaban virus (MBV), in waterfowl used as
decoys and wild raptors in Andalusia (southern Spain), the region considered to
have the highest risk of flaviviruses circulation in Spain. The overall
flaviviruses seroprevalence according to bELISA was 13.0% in both in decoys
(n=1052) and wild raptors (n=123). Specific antibodies against WNV, USUV and MBV
were confirmed by micro virus neutralization tests in 12, 38 and 4 of the
seropositive decoys, respectively. This is the first study on WNV and USUV
infections in decoys and the first report of MBV infections in waterfowl and
raptors. Moreover we report the first description of WNV infections in short-toed
snake eagle (Circaetus gallicus) and Montagu's harrier (Circus pygargus). The
seropositivity obtained indicates widespread but not homogeneous distribution of
WNV and USUV in Andalusia. The results also confirm endemic circulation of WNV,
USUV and MBV in both decoys and wild raptors in southern Spain. Our results
highlight the need to implement surveillance and control programs not only for
WNV but also for other related flaviviruses. Further research is needed to
determine the eco-epidemiological role that waterfowl and wild raptors play in
the transmission of emerging flaviviruses, especially in decoys, given their
close interactions with humans.
PMID- 27865268
TI - Epitope mapping of Campylobacter jejuni flagellar capping protein (FliD) by
chicken (Gallus gallus domesticus) sera.
AB - Campylobacter jejuni, a Gram-negative rod, is a zoonotic pathogen associated with
human acute bacterial gastroenteritis worldwide. The flagellum, composed of more
than 35 proteins, is responsible for colonization of C. jejuni in the host
gastrointestinal tract as well as inducing protective antibodies against the
homologous serotype. In our previous study, we demonstrated that the flagellar
capping protein (FliD) is an immunodominant protein that reacted strongly to sera
from field chickens. In this communication, we mapped linear immunoreactive
epitopes on FliD using a set of 158 synthetic peptides of 15-mer overlapping with
11 amino acid residues on peptide microarrays with sera from field chickens. The
results from peptide microarrays showed (1) no cross-reactivity of the
immobilized peptides with the secondary anti-chicken antibody in the control
incubation, and (2) heterogeneous patterns of sera reacting to the immobilized
peptides. The peptides that reacted to more than three chicken sera and had
higher averages of fluorescence units were selected for further validation by the
peptide ELISA. The results showed peptides 24, 91 and 92 had relatively high
reactivity and less variation among 64 individual serum samples, indicating these
peptides represented the shared immunodominant epitopes on the C. jejuni FliD
protein. These peptides were also recognized by sera from chickens immunized with
the purified recombinant FliD protein. The findings of the specific shared linear
immunodominant epitopes on FliD in this study provide a rationale for further
evaluation to determine their utility as epitope vaccines covering multiple
serotypes for chicken immunization, and subsequently, for providing safer poultry
products for human consumption.
PMID- 27865269
TI - The immunopathogenesis of staphylococcal skin infections - A review.
AB - Staphylococcus aureus and S. pseudintermedius are the major causes of bacterial
skin disease in humans and dogs. These organisms can exist as commensals on the
skin, but they can also cause severe or even devastating infections. The immune
system has evolved mechanisms to deal with pathogenic microorganisms and has
strategies to combat bacteria of this type. What emerges is a delicate "peace"
between the opposing sides, but this balance can be disrupted leading to a full
blown "war". In the ferocious battle that ensues, both sides attempt to get the
upper hand, using strategies that are comparable to those used by modern day
armies. In this review article, the complex interactions between the immune
system and the organisms are described using such military analogies. The process
is described in a sequential manner, starting with the invasion itself, and
progressing to the eventual battlezone in which there are heavy casualties on
both sides. By the end, the appearance of a simple pustule on the skin surface
will take on a whole new meaning.
PMID- 27865267
TI - Occurrence of Pseudocowpox virus associated to Bovine viral diarrhea virus-1,
Brazilian Amazon.
AB - In 2011, an outbreak of severe vesicular disease occurred in the state of Para,
Amazon region. Besides proliferative or verrucous lesions, cattle showed atypical
clinical signs such as diarrhea and leading to death. The animals were submitted
to clinical, pathological and molecular diagnosis, and laboratory tests have
confirmed the presence of Pseudocowpox virus (PCPV), a Parapoxvirus genus member,
and have also found Bovine viral diarrhea virus-1 (BVDV-1), probably causing
persistent infection. The results of molecular diagnostics, followed by
sequencing data demonstrated the circulation of both viruses (PCPV and BVDV-1) in
an area previously affected by another poxvirus, as Vaccinia virus.The
cocirculation between PCPV and BVDV-1 indicates a major concern for animal health
because the clinical presentation can be a severe disease. This is the first
detection of PCPV in the Brazilian Amazon.
PMID- 27865271
TI - Bacterial contamination of eggs and behaviour of poultry flocks in the free range
environment.
AB - The free range production system is becoming more common in Australia and is
expected to increase. Free range hens are exposed to more stressors in comparison
to hens from barn and cage systems and it is suggested that stress can increase
bacterial shedding on eggs. The aims of this study were to examine the level of
total bacteria and Enterobacteriaceae populations, as well as the presence of
Salmonella and Campylobacter, in eggs collected from two free range flocks on two
different farms and to conduct longitudinal observations of the behaviour and
welfare of hens in the free range production system. Hen age (weeks) was shown to
have a significant effect (increase) on the level of total bacteria on the egg
shell surface and in shell pores, as well as having an effect on feather
condition score. As the hens aged, the frequency of external visual egg
characteristics increased, as did feather condition score (where feather
condition was poorer). These observations indicate areas which should be
investigated further to improve the food safety of eggs and optimise the welfare
of free range hens.
PMID- 27865270
TI - Serum apolipoprotein-A1 as a possible biomarker for monitoring treatment of
canine leishmaniosis.
AB - The aims of this study were: the identification of proteins differentially
represented in the serum proteome of dogs with leishmaniosis after treatment and
the verification of one selected protein as a possible biomarker for treatment
monitoring. Serum samples from five dogs with leishmaniosis, before and after
treatment were pooled into two groups and analysed using 2-dimensional
electrophoresis followed by mass spectrometry analysis (MS). The MS analysis
allowed the identification of 8 proteins differently expressed. APO-A1 was
selected and an immunoturbidimetric assay was validated for its measurement in
dogs. Significantly decreased concentrations of APO-A1 in dogs with leishmaniosis
and a significant increase after a good response to the treatment were observed,
suggesting that APO-A1 could be a potential biomarker of treatment monitoring
with the advantages of an automated measurement.
PMID- 27865273
TI - Genetics of Pancreatic Cancer and Its Implications on Therapy.
AB - Over the past decade, emerging technologies have provided new insights into the
genomic landscape of pancreatic ductal adenocarcinoma (PDA). In addition to the
commonly recognized genetic drivers of pancreatic carcinogenesis (KRAS, CDKN2A,
TP53, SMAD4), new genes and pathways have been implicated. However, these efforts
have not identified any new high-frequency actionable mutations, limiting the
success of mutation-targeted therapy in PDA. This article provides a report on
the current landscape of pancreas cancer genetics and targeted therapeutics.
PMID- 27865272
TI - Proline-arginine rich (PR-39) cathelicidin: Structure, expression and functional
implication in intestinal health.
AB - Proline-Arginine-39 (PR-39) is a small cationic, proline and arginine rich,
cathelicidin that plays an important role in the porcine innate immune system.
Although PR-39 was first discovered in intestinal cell lysates of pigs,
subsequent research has indicated that it is primarily expressed in bone marrow
and other lymphoid tissues including the thymus and spleen, as well as in
leukocytes. Mature PR-39 cathelicidin has anti-microbial activity against many
gram-negative and some gram-positive bacteria. PR-39 is also a bridge between the
innate and adaptive immune system with recognized immunomodulatory, wound
healing, anti-apoptotic, and pro-angiogenic functions. The purpose of this review
is to summarize our current knowledge about the structure, expression, and
functions of PR-39 and its potential to promote intestinal homeostasis. This
understanding is relevant in the search of alternative therapeutics against
diarrheic enterocolitis, a major problem faced by pork producers both in terms of
costs and risk of zoonosis.
PMID- 27865275
TI - Imaging Evaluation of Pancreatic Cancer.
AB - Imaging studies are critical for the detection, characterization, initial
staging, management, and monitoring of pancreatic cancer cases. Treatment of
pancreatic cancer requires a multidisciplinary approach. Ideally, assessing
resectablility with imaging and subsequent treatment decisions should be made at
a high-volume center of excellence with a multidisciplinary team. This article
reviews the major imaging modalities used to evaluate pancreatic neoplasms, with
an emphasis on pancreatic imaging protocols. The imaging appearance of solid
pancreatic neoplasms and the imaging criteria used to stage and determine
resectability for pancreatic ductal adenocarcinoma are described. An approach to
standardized radiologic reporting is also reviewed.
PMID- 27865276
TI - Endoscopic Evaluation in the Workup of Pancreatic Cancer.
AB - Early diagnosis and accurate staging of pancreatic cancer is very important to
plan optimal management strategy. Endoscopy plays an important role in the
diagnosis and management of pancreatic cancer. Endoscopic ultrasound imaging
(EUS) is the most sensitive modality for diagnosis, especially for small
pancreatic tumors; it also allows tissue acquisition for histological diagnosis.
Computed tomography scanning and EUS play complementary roles in staging and are
comparable in determining resectability. Endoscopic retrograde
cholangiopancreatography allows tissue sampling but is limited to palliative
biliary drainage in most cases. In this article, we review the role of endoscopy
in the diagnosis and management of pancreatic adenocarcinoma, with special
emphasis on the use of endoscopic ultrasound and endoscopic retrograde
cholangiopancreatography (ERCP).
PMID- 27865274
TI - Screening for Pancreatic Cancer.
AB - Pancreatic cancer (PC) is a highly fatal disease that can only be cured by
complete surgical resection. However, most patients with PC have unresectable
disease at the time of diagnosis, highlighting the need to detect PC and its
precursor lesions earlier in asymptomatic patients. Screening is not cost
effective for population-based screening of PC. Individuals with genetic risk
factors for PC based on family history or known PC-associated genetic syndromes,
however, can be a potential target for PC screening programs. This article
provides an overview of the epidemiology and genetic background of familial PC
and discusses diagnostic and management approaches.
PMID- 27865277
TI - Surgical Therapy for Pancreatic and Periampullary Cancer.
AB - Surgery is the key component of treatment for pancreatic and periampullary
cancers. Pancreatectomy is complex, and there are numerous perioperative and
intraoperative factors that are important for achieving optimal outcomes. This
article focuses specifically on key aspects of the surgical management of
periampullary and pancreatic cancers.
PMID- 27865278
TI - Adjuvant and Neoadjuvant Therapy for Resectable Pancreatic and Periampullary
Cancer.
AB - Pancreatic adenocarcinoma is a relatively uncommon malignancy associated with a
high rate of cancer-related mortality despite best efforts to perform curative
surgery. Adjuvant therapy in patients after surgical resection is associated with
improved overall survival. Adjuvant treatment approaches may include either
chemotherapy alone or a combination of chemotherapy and radiation therapy.
Neoadjuvant approaches, also including either chemotherapy alone or a combination
of chemotherapy and radiation therapy, are under investigation. Periampullary
cancers constitute a rare and heterogeneous group of tumors that are typically
treated as pancreatic cancers given their histologic similarities and tumor
location.
PMID- 27865279
TI - Enhanced Recovery Pathways in Pancreatic Surgery.
AB - Enhanced recovery after surgery (ERAS) protocols were first introduced to help
recovery after colorectal surgery. They have now been applied to multiple
surgical specialties, including pancreatic surgery. ERAS protocols in pancreatic
surgery have been shown to decrease length of stay and possibly postoperative
morbidity.
PMID- 27865280
TI - Postpancreatectomy Complications and Management.
AB - Although mortality rates after pancreatectomy have decreased, the incidence of
postoperative morbidity remains high. The major procedure-related complications
are pancreatic fistula, delayed gastric emptying, and postpancreatectomy
hemorrhage. The International Study Group of Pancreatic Surgery defined leading
complications in a standardized fashion, allowing unbiased comparison of
operative results and management strategies. Risk factors for postoperative
complications have been investigated and quantitative scoring systems established
to estimate patient-specific risks. Management of postpancreatectomy
complications has shifted from an operative to a conservative approach.
Nevertheless, postoperative morbidities may have a profound impact on patient
recovery and length of hospital stay and are associated with increased hospital
costs.
PMID- 27865281
TI - Definition and Management of Borderline Resectable Pancreatic Cancer.
AB - Patients with localized pancreatic ductal adenocarcinoma seek potentially
curative treatment, but this group represents a spectrum of disease. Patients
with borderline resectable primary tumors are a unique subset whose successful
therapy requires a care team with expertise in medical care, imaging, surgery,
medical oncology, and radiation oncology. This team must identify patients with
borderline tumors then carefully prescribe and execute a combined treatment
strategy with the highest possibility of cure. This article addresses the issues
of clinical evaluation, imaging techniques, and criteria, as well as
multidisciplinary treatment of patients with borderline resectable pancreatic
ductal adenocarcinoma.
PMID- 27865282
TI - Techniques of Vascular Resection and Reconstruction in Pancreatic Cancer.
AB - Multimodality therapy has become the standard approach for the treatment of
pancreatic cancer. With improved response rates to newer chemotherapeutic agents,
tumors that used to be considered unresectable are now being considered for
operation. Neoadjuvant therapy for borderline resectable pancreatic cancer is
considered standard of care and venous resection/reconstruction is no longer
controversial. Arterial resection and reconstruction in select patients has also
proven to be safe when done in highly specialized centers by high-volume
surgeons. This article reviews indications for, and technical aspects of,
vascular resection/reconstruction and shunting procedures during pancreatectomy,
including critical elements of perioperative care.
PMID- 27865283
TI - Management of Locally Advanced Pancreatic Cancer.
AB - The diagnosis for locally advanced pancreatic cancer is based on high-quality
cross-sectional imaging, which shows tumor invasion into the celiac/superior
mesenteric arteries and/or superior mesenteric/portal venous system that is not
reconstructable. The optimal management of these patients is evolving quickly
with the advent of newer chemotherapeutics, radiation, and nonthermal ablation
modalities. This article presents the current status of initial chemotherapy,
surgical therapy, ablative therapy, and radiation therapy for patients with
nonmetastatic locally advanced unresectable pancreatic cancer. Surgical resection
offers the best chance of long-term disease control and the only chance for cure
for patients with nonmetastatic exocrine pancreatic cancer.
PMID- 27865284
TI - Management of Metastatic Pancreatic Adenocarcinoma.
AB - Pancreatic ductal adenocarcinoma (PDAC) is one of the most lethal and clinically
challenging malignancies to treat, with an estimated 5-year survival rate of
approximately 7%. At the time of initial presentation, a majority of patients
have metastatic disease. The median overall survival in these patients with good
performance status is 8.5 to 11.1 months and in patients with significantly
impaired performance status, even less. Strategies to integrate novel agents with
traditional cytotoxic therapies are under investigation and hold promise for
improving outcomes in patients with metastatic PDAC. This article focuses on the
current management options and novel therapeutics for metastatic PDAC.
PMID- 27865286
TI - Intraductal Papillary Mucinous Neoplasm of the Pancreas.
AB - The incidence of intraductal papillary mucinous neoplasms (IPMNs) of the pancreas
has been rising in the past 2 decades, driven mainly by the widespread use of
cross-sectional imaging. IPMNs are intraductal mucin-producing neoplasms that
involve the main pancreatic duct or its side branches and lack the ovarian stroma
typically seen in mucinous cystic neoplasms. The International Association of
Pancreatology released consensus guidelines in 2006 and 2012 providing clinical
algorithms based on IPMN features and risk of malignancy. In this article, we
review the different classifications of IPMNs, their natural history, and
clinical management and address recent controversies in the literature.
PMID- 27865285
TI - Palliative Care for Pancreatic and Periampullary Cancer.
AB - Most patients with pancreatic cancer will present with metastatic or locally
advanced disease. Unfortunately, most patients with localized disease will
experience recurrence even after multimodality therapy. As such, pancreatic
cancer patients arrive at a common endpoint where decisions pertaining to
palliative care come to the forefront. This article summarizes surgical,
endoscopic, and other palliative techniques for relief of obstructive jaundice,
relief of duodenal or gastric outlet obstruction, and relief of pain due to
invasion of the celiac plexus. It also introduces the utility of the palliative
care triangle in clarifying a patient's and family's goals to guide decision
making.
PMID- 27865287
TI - Surgical Management of Pancreatic Neuroendocrine Tumors.
AB - Pancreatic neuroendocrine tumors (PNETs) are a rare, heterogeneous group of
neoplasms infamous for their endocrinopathies. Up to 90% of PNETs, however, are
nonfunctional and are frequently detected incidentally on axial imaging during
the evaluation of vague abdominal symptoms. Surgery remains the mainstay of
therapy for patients diagnosed with both functional and nonfunctional PNETs.
However, the multifaceted nature of PNETs challenges treatment decision making.
In general, resection is recommended for patients with acceptable perioperative
risk and amenable lesions.
PMID- 27865288
TI - Foreword.
PMID- 27865289
TI - Preface.
PMID- 27865290
TI - Pneumatic Dilation of the Lower Esophageal Sphincter Can Now Be Successfully
Performed Without Morbidity.
AB - BACKGROUND: Patients with dysphagia may be diagnosed with impaired lower
esophageal sphincter (LES) relaxation and treated with pneumatic dilation (PD),
stretching and tearing LES muscle fibers. Esophageal perforation has been
reported to be as high as 10%. We conducted a retrospective study to evaluate the
perforation rate of PD when used for impaired relaxation of the LES using current
techniques. METHODS: A chart review was conducted to identify patients referred
for esophageal manometry by high-resolution manometry and later received PD from
January 2013 to April 2016. The diagnoses of achalasia, gastroesophageal junction
outlet obstruction or hypertensive LES with accompanying impaired LES relaxation
were based on the Chicago Classification III. Demographic data, clinical
findings, treatment approaches and outcomes were explored. RESULTS: A total of
187 patients were referred for dysphagia and had esophageal manometry during this
time frame. In all, 62 patients (34 female), mean age of 52 years, met the
criteria for incomplete relaxation of the LES and underwent a total of 88 PD
procedures. All initial PD procedures used the 30-mm diameter balloon, 18
subsequently required a 35-mm balloon and 8 went on to 40-mm balloon size. No
perforations or other complications were documented by
esophagogastroduodenoscopy, gastrografin testing immediately postdilation or by
subsequent clinical outcome. CONCLUSIONS: PD by an experienced gastroenterologist
using general anesthesia, fluoroscopic guidance, Rigiflex balloon equipment and a
specific repetitive technique can be successfully performed without perforation.
Hence, the already known therapeutic efficacy of PD can now be combined with the
knowledge that there is essentially no accompanying perforation rate.
PMID- 27865291
TI - Adiposity and Cardiovascular Risk Factor Variables in Childhood Are Associated
With Premature Death From Coronary Heart Disease in Adults: The Bogalusa Heart
Study.
AB - BACKGROUND: More than 600 deaths of all causes have been documented over the 40
year duration of the Bogalusa Heart Study. Of these, 97 deaths have been related
to cardiovascular events, based on obituaries published in local newspapers,
death certificates obtained from the State Health Department, information from
the coroner and word of mouth by nursing staff from the community. METHODS: This
study was a retrospective longitudinal cohort with several observations of each
subject. It consisted of 6 cross-sectional surveys of children aged 5-7 years,
conducted between 1973 and 1988, and 4 cross-sectional surveys of previously
examined subjects as young adults extending into middle age, conducted between
1988 and 2010. RESULTS: Excluding pulmonary, congenital and noncoronary
cardiovascular diseases, 46 deaths (average age at death = 44.7 years, range: 31
55) were considered to have been related to coronary artery disease, that is,
myocardial infarction. Cardiovascular risk factor observations, gathered from
multiple surveys (average of 4.4 surveys, range: 1-14) since childhood, indicated
that body fatness and elevated blood pressure beginning in childhood were more
common in subjects who later died of coronary artery disease than in living
subjects. CONCLUSIONS: The present findings emphasize that sub-clinical
cardiovascular disease begins early in life and that early prevention is vital.
PMID- 27865292
TI - Katrina's Legacy: Processes for Patient Disaster Preparation Have Improved but
Important Gaps Remain.
AB - BACKGROUND: Ensuring continuity of care for patients with chronic illness, who
are elderly or indigent presents unique challenges after disasters; this
population has fewer financial resources, is less likely to evacuate, has limited
access to recovery resources and is significantly dependent on charitable and
government-funded institutions for care. This study expands a previous
investigation of the extent to which healthcare providers in coastal Mississippi
and Alabama have made changes to facilitate continued care to these populations
after disasters. METHODS: Key informants representing healthcare and social
services organizations serving health-disparate residents of the Mississippi and
Alabama Gulf Coast were interviewed regarding disaster preparation planning for
the period of 2009-2012. Interview transcripts were qualitatively coded and
analyzed for emerging themes using ATLAS.ti software. RESULTS: Participant
organizations have implemented changes to ensure continuity of care for patients
with chronic illness in case of disasters. Changes include patient assistance
with predisaster preparation and training; evacuation planning and assistance;
support to find resources in evacuation destinations; equipping patients with
prescription information, diagnoses, treatment plans and advance medications when
a disaster is imminent; multiple methods for patients to communicate with
providers and more mandated medical needs shelters. Patients whose chronic
conditions were diagnosed post-Katrina are more likely to underestimate the need
to prepare. Further, patients' lack of compliance tends to increase as time
passes from disasters. CONCLUSIONS: Although changes were implemented, results
indicate that these may be inadequate to completely address patient needs. Thus,
additional efforts may be needed, underscoring the complexity of adequate
disaster preparation among disparate populations.
PMID- 27865293
TI - Septal Ablation for Hypertrophic Obstructive Cardiomyopathy: Transcoronary and
Endocardial Approach.
AB - Septal reduction therapy is the gold standard treatment method for symptomatic,
hypertrophic obstructive cardiomyopathy. Although surgical myectomy is a well
established and effective technique with decades of experience in its
application, use of transcoronary alcohol septal ablation has increased
precipitously owing to the less-invasive nature of the procedure. Moreover,
endocardial septal ablation therapy is a relatively newly defined modality, and
outcomes of the procedure are not clearly elucidated yet. In this review, we
aimed to present nonsurgical septal reduction approaches in patients with
hypertrophic obstructive cardiomyopathy.
PMID- 27865294
TI - Overuse and Misperceptions of Nonsteroidal Anti-inflammatory Drugs in the United
States.
AB - BACKGROUND: Nonsteroidal anti-inflammatory drugs (NSAIDs) are some of the most
commonly used medications worldwide. The availability of hundreds of products
containing an NSAID, combined with a lack of recognition and understanding of
NSAIDs, can increase the potential of consumers to inadvertently exceed the
recommended NSAID dosage, which can cause potentially serious side effects.
Physician and consumer education regarding the appropriate use of NSAIDs can help
prevent NSAID misuse. Evaluations of current consumer patterns of NSAID use and
perceptions about NSAIDs are necessary to develop targeted educational programs.
MATERIALS AND METHODS: An online and telephone survey of 1,750 U.S. adults was
conducted to obtain information about the patterns of use and perceptions about
prescription and over-the-counter NSAIDs and medicines. The survey was compared
to similar surveys conducted in 1997, 2001 and 2002. RESULTS: NSAIDs are widely
used, with 63% of respondents reporting use within the past 12 months. NSAIDs
were not well recognized by generic or brand names and many respondents were
unaware or unconcerned about potential side effects. NSAID misuse was common,
with 19% using more than the recommended dose and 24% using multiple NSAIDs
concomitantly. NSAID use appears to have increased since 2002 but the level of
NSAID awareness and pattern of NSAID misuse has not changed. CONCLUSIONS: NSAIDs
are widely used and often used in a manner that increases the risk of serious
side effects. Sufficient knowledge and understanding of NSAIDs is lacking and
educational interventions directed to consumers and physicians are needed.
PMID- 27865295
TI - Serum Pepsinogen Levels Are Correlated With Age, Sex and the Level of
Helicobacter pylori Infection in Healthy Individuals.
AB - BACKGROUND: To explore the relationship between age, sex, the level of
Helicobacter pylori (HP) infection and serum pepsinogen (PG) in healthy people
undergoing a medical examination. METHODS: A total of 6,596 "healthy" individuals
undergoing a medical examination were selected as subjects in this study. The
concentrations of serum pepsinogen I (PGI) and serum pepsinogen II (PGII) were
tested for each of the subjects using time-resolved fluorescence immunoassay
characterized with high sensitivity and wide measuring range. The infection ratio
and level of HP were tested using a 13C-urea breath test to analyze the
relationship between age, sex, HP infection, and serum PGs. RESULTS: The PGI,
PGII and PGI-to-PGII ratio (x-+/-S) were higher in males than in females. The
serum PGI and PGII levels gradually increased with age. HP infection rate was
48.83%, and the serum PGI, PGII and PGI-to-PGII ratio (x-+/-S) were 187.05 +/-
73.50ug/L, 18.09 +/- 8.68ug/L and 11.67 +/- 5.44, respectively in the HP-positive
group and 150.39 +/- 67.04ug/L, 11.50 +/- 7.45ug/L and 15.67 +/- 8.19,
respectively in the HP-negative group. There was significant difference in the
detection rate of an abnormal PG between the 2 groups as with the worsening of HP
infection, 13C-urea breath test and serum PGI and PGII levels increased, but the
PGI-to-PGII ratio decreased significantly. CONCLUSIONS: Serum PGI and PGII levels
were correlated with age, sex and the level of HP infection. Therefore, the
influencing factors of age, sex and the level of HP infection should be
considered when screening stomach diseases using PG.
PMID- 27865296
TI - Cardiovascular Disease Risk Associated With the Long-term Use of Depot
Medroxyprogesterone Acetate.
AB - BACKGROUND: Depot medroxyprogesterone acetate (DMPA) contraception is widely used
all over the world; however, it may lead to a decrease in high-density
lipoproteins and an increase in low-density lipoproteins (LDL) and triglycerides.
These changes in lipid profile have a direct effect on cardiovascular disease
risk. This study has been conducted to investigate the relationship between DMPA
use and lipid profile, and the effect of worsening of lipid profile on fasting
blood glucose. The objective of the present study is to ascertain the effects of
DMPA on lipid profiles and Castelli indices, and to estimate the risk of
cardiovascular disease in the women using progesterone-only methods for
contraception. METHODS: This was a multicenter case-control study including
females of reproductive age. A total of 893 women were selected according to
inclusion and exclusion criteria described below with the age range of 19-49
years. Among these, 477 were females who were beginning DMPA for contraception
whereas 416 were the matched controls of same age and socioeconomic status. The
lipid profiles, Castelli indices and fasting blood sugar were evaluated before
initiation of DMPA and thereafter at 3, 6, 9 and 12 months. Controls were also
analyzed for the same parameters in the same manner as that of treated group. The
results were analyzed by repeated measure analysis of variance followed by
Tukey's post hoc test for the multiple comparisons. RESULTS: The results showed
statistically significant differences in all parameters of lipid profile, namely
cholesterol (180.7 +/- 38.8 versus 133.03 +/- 14.8mg/dL, and P = 0.000), LDL
(120.04 +/- 36.2 versus 94.27 +/- 19.6mg/dL, and P = 0.000), very low-density
lipoprotein cholesterol (24.6 +/- 10.0 versus 20.99 +/- 8.66mg/dL, and P =
0.000), high-density lipoprotein (39.67 +/- 3.6 versus 44.13 +/- 4.22mg/dL, and P
= 0.000), total cholesterol (713.05 +/- 110.2 versus 569.19 +/- 80.4mg/dL, and P
= 0.000), triglycerides (126.33 +/- 48.8 versus 99.03 +/- 30.6mg/dL, and P =
0.000), Castelli index I (4.61 +/- 1.2 versus 3.02 +/- 0.31, and P = 0.000) and
Castelli index II (3.08 +/- 1.07 versus 2.13 +/- 0.41, and P = 0.000) between
treated and control groups, respectively. Serum glucose levels were significantly
higher (P <= 0.001) among the cases of DMPA (84.6394 +/- 7.425mg/dL) compared
with that in the control (77.822 +/- 7.733mg/dL). CONCLUSIONS: This study clearly
revealed that there is an increase in all deleterious lipid parameters and a
decrease in favorable lipid measures. Hence, it can be concluded that continued
use of DMPA may predispose females to the risk of cardiovascular disease in the
long run.
PMID- 27865297
TI - Cancer Screening 2016.
AB - The primary goal of cancer screening is to reduce cancer-related mortality
without incurring significant harm. Screening efforts for solid tumors,
therefore, have targeted the precursors of the most common and the most deadly
cancers-breast, cervical, colorectal, lung and prostate cancer. Balancing risk
and benefit has led to controversy regarding the timing of cancer screening-when
to begin, how often to screen and when to stop-and the nature of the modality of
cancer screening-invasive or noninvasive, laboratory-centered or imaging
centered. Evidence-based guidelines published by general medical societies,
subspecialty societies and publicly funded task forces on population-based
screening aid healthcare providers in making individualized decisions with their
patients.
PMID- 27865298
TI - The Value of Thromboelastography for Bleeding Risk Prediction in Hematologic
Diseases.
AB - BACKGROUND: This study aimed to explore the correlations between
thromboelastography (TEG) parameters with platelet (PLT) count and fibrinogen and
to evaluate the value of the maximal amplitude (MA) for bleeding risk prediction.
METHODS: A total of 1,559 patients with hematologic diseases underwent PLT
counting and TEG tests, and 1,201 of these patients underwent conventional
coagulation tests. Patients were divided into a bleeding group and a nonbleeding
group according to their clinical records. RESULTS: Patients in the bleeding
group had lower PLT counts, alpha-angle values, MA values and higher K values
(all P < 0.05) than patients in the nonbleeding group. Low PLT counts (<=30 *
109/L) were found in 265 patients and bleeding episodes occurred in 109 patients
(41.13%). A total of 99 patients had both low MA values and bleeding episodes in
this subgroup. A total of 124 of the 265 patients (46.79%) had hematological
malignancies. In the 2 different types of diseases, there was a similar tendency
in bleeding risk prediction according to the receiver operating characteristic
curves. The curves using both the PLT counts and MA values show a higher
sensitivity and a slightly lower specificity than those of the PLT count or MA
alone. CONCLUSIONS: There are some correlations between the TEG parameters and
the traditional hemostatic parameters. The combination of the PLT counts and MA
values had greater predictive value for bleeding risk in hematological diseases
when the PLT counts were at a low level (<=30 * 109/L).
PMID- 27865301
TI - Resveratrol Inhibited Non-small Cell Lung Cancer Through Inhibiting STAT-3
Signaling.
AB - BACKGROUND: Resveratrol has demonstrated many beneficial effects against cancers;
however, the mechanism remains unclear. Non-small cell lung cancer accounts for
80% of lung cancers. The present study was designed to observe the effects and
related mechanisms of resveratrol on non-small cell lung cancer in in vitro A549
cells. MATERIALS AND METHODS: The anticancer effects of resveratrol were analyzed
on cell viability, migration and invasion, proliferation and apoptosis. Cell
viability was determined by sulphorhodamine B assays. Cell proliferation and
apoptosis were determined by flow cytometry and migration and invasion by
transwell chamber analysis. Expression of STAT-3 was examined by real-time
polymerase chain reaction and western blot. Overexpressing vector of STAT-3 was
also constructed and transfected into A549 cells to observe the effects of
resveratrol on STAT-3 signaling. RESULTS: The results showed that resveratrol
displayed a dose-dependent and time-dependent cytotoxicity action on A549 cell
viability. Resveratrol also inhibited proliferation, migration and invasion and
promoted apoptosis in a time-dependent manner from 0-72 hours. Further study
showed that resveratrol inhibited the messenger RNA and protein expression of
STAT-3, and overexpressed STAT-3 abolished the effects of resveratrol on
proliferation, apoptosis, migration and invasion totally or in part. CONCLUSIONS:
These results suggest that the anticancer effects of resveratrol are mediated by
STAT-3 signaling.
PMID- 27865302
TI - Improving Resident Teaching Through Clinical Case Conference Presentations in
Japan.
PMID- 27865303
TI - A Tear in the Heart: Myocardial Laceration Following Left Ventriculogram With a
Dedicated Radial Catheter.
PMID- 27865304
TI - Brain Natriuretic Peptide Counteracting the Renin-angiotensin-aldosterone System
in Accelerated Malignant Hypertension.
AB - We describe 2 patients, a 52-year-old woman and a 57-year-old man, with rapidly
progressive hypertension and marked elevation of brain natriuretic peptide who
exhibited polyuria, natriuresis, hypokalemia, posterior reversible encephalopathy
syndrome and left ventricular dysfunction together with retinopathy and
nephropathy, which were attenuated in a short time span of 1-2 months with
normalization of blood pressure after the antihypertensive treatment. The
possible role of brain natriuretic peptide in the pathophysiology of accelerated
malignant hypertension was discussed and a review of the literature was
completed.
PMID- 27865300
TI - Effect of Dapagliflozin Treatment on Fluid and Electrolyte Balance in Diabetic
Rats.
AB - AIM: This study evaluates the effect of dapagliflozin, a SGLT2 inhibitor, on
fluid or electrolyte balance and its effect on urea transporter-A1 (UT-A1),
aquaporin-2 (AQP2) and Na-K-2Cl cotransporter (NKCC2) protein abundance in
diabetic rats. METHODS: Diabetes mellitus (DM) was induced by injection of
streptozotocin into the tail vein. Serum Na+, K+, Cl- concentration, urine Na+,
K+, Cl- excretion, blood glucose, urine glucose excretion, urine volume, urine
osmolality and urine urea excretion were analyzed after the administration of
dapagliflozin. UT-A1, AQP2 and NKCC2 proteins were detected by western blot.
RESULTS: Dapagliflozin treatment decreased blood glucose concentration by 38% at
day 7 and by 47% at day 14 and increased the urinary glucose excretion rate
compared with the untreated diabetic animals. Increased 24-hour urine volume,
decreased urine osmolality and hyponatremia, hypokalemia and hypochloremia
observed in diabetic rats were attenuated by dapagliflozin treatment. Western
blot analysis showed that UT-A1, AQP2 and NKCC2 proteins are upregulated in DM
rats over control rats; dapagliflozin treatment results in a further increase in
inner medulla tip UT-A1 protein abundance by 42% at day 7 and by 46% at day 14,
but it did not affect the DM-induced upregulation of AQP2 and NKCC2 proteins.
CONCLUSION: Dapagliflozin treatment augmented the compensatory changes in
medullary transport proteins in DM. These changes would tend to conserve solute
and water even with persistent glycosuria. Therefore, diabetic rats treated with
dapagliflozin have a mild osmotic diuresis compared to nondiabetic animals, but
this does not result in an electrolyte disorder or significant volume depletion.
PMID- 27865299
TI - Targeting the Mammalian Target of Rapamycin in Lung Cancer.
AB - Lung cancer is the leading cause of cancer death worldwide. Despite advances in
its prevention and management, the prognosis of patients with lung cancer remains
poor. Therefore, much attention is being given to factors that contribute to the
development of this disease, the mechanisms that drive oncogenesis and tumor
progression and the search for novel targets that could lead to the development
of more effective treatments. One cellular pathway implicated in lung cancer
development and progression is that of the mammalian target of rapamycin. Studies
involving human tissues have linked lung cancer with abnormalities in this
pathway. Furthermore, studies in vitro and in vivo using animal models of lung
cancer reveal that targeting this pathway might represent an effective means of
treating this disease. As a result, there is significant effort invested in the
development of drugs targeting mammalian target of rapamycin and related pathways
in the clinical setting.
PMID- 27865305
TI - Persistent Pruritic Lesions in Adult-onset Still's Disease.
PMID- 27865306
TI - Nocardia Bacteremia and Endocarditis in a Patient With a Sulfa Allergy.
PMID- 27865307
TI - Erratum to "Blood Volume Measurement as a Tool in Diagnosing Syncope" [Am J Med
Sci 334 (2007) 53-56].
PMID- 27865308
TI - Chronic Suppurative Otitis Media Complicated With Mastoiditis: An Unusual
Presentation of Tuberculosis.
PMID- 27865309
TI - Erdheim-Chester Disease Presenting With Intractable Exophthalmos and Bone
Lesions.
PMID- 27865310
TI - The Diabetic Charcot Foot from 1936 to 2016: Eighty Years Later and Still
Growing.
AB - Knowledge of diabetic Charcot neuroarthropathy (DCN) has grown tremendously since
this condition was first described in 1936 by William Riely Jordan. Despite
advancements in diagnostic approaches and treatment options making DCN limb
salvage a more viable option, ongoing and additional research is needed to
determine the definitive pathogenesis, which may aid in prevention of the
condition. This article chronicles the main developments for the study and
management of DCN since 1936 and recommends using the term diabetic Charcot
Jordan foot when specifically managing foot and ankle neuroarthropathy joints in
patients with diabetic neuropathy.
PMID- 27865311
TI - Conservative and Pharmacologic Treatments for the Diabetic Charcot Foot.
AB - Charcot neuroarthropathy is a disabling complication of diabetic neuropathy.
Prolonged immobilization in a total contact cast (TCC) is among the main
treatments. Education of health care professionals in the application of TCC
together with well-conducted clinical trials are required to overcome its
frequent underuse. There are no established pharmacologic therapies to treat this
condition; however, there is an overwhelming need for a new therapeutic approach.
Novel targeted drug delivery systems are required to prevent the pathologic bone
and joint destruction of the Charcot neuroarthropathy and this may lead to an
improved outcome in diabetic patients with this condition.
PMID- 27865312
TI - An Overview of Internal and External Fixation Methods for the Diabetic Charcot
Foot and Ankle.
AB - Diabetic Charcot neuroarthropathy (DCN) of the foot and ankle is a challenging
disease with regard to clinical presentation, pathogenesis, and prognosis. Its
surgical management is equally difficult to interpret based on the wide array of
options available. In the presence of an ulceration or concomitant osteomyelitis,
internal fixation by means of screws, plates, or intramedullary nailing needs to
be avoided when feasible. External fixation becomes a great surgical tool when
managing DCN with concomitant osteomyelitis. This article describes internal and
external fixation methods along with available literature to enlighten surgeons
faced with treating this complex condition.
PMID- 27865313
TI - Surgical Equinus Correction for the Diabetic Charcot Foot: What the Evidence
Reveals.
AB - Triceps surae contracture, or equinus, is a known deforming force in the foot and
ankle. Biomechanical studies have shown that ankle equinus significantly alters
gait and plantar pressures, and in the diabetic neuropathic patient population,
this can propagate plantar ulceration and/or Charcot neuroarthropathy (CN).
Surgical correction of equinus is globally and frequently used to aid in plantar
wound healing in the neuropathic diabetic patient, with and without CN. Treatment
guidelines for equinus correction in this medically complex population are
undefined and lack evidence from high-quality published peer-reviewed studies.
PMID- 27865314
TI - Surgical Treatment Options for the Diabetic Charcot Midfoot Deformity.
AB - Management of diabetic Charcot midfoot deformity is one of the most demanding
aspects of foot and ankle surgery. Its treatment should aim at reducing the rate
of complications, including foot and ankle amputations or limb loss. Attempting
reconstruction at Eichenholtz stages I and II carries the risk of infection and
loss of fixation. It is advisable to limit surgical reconstruction to Eichenholtz
stage III in the absence of any evidence of infection or vascular insufficiency.
Achilles lengthening or gastrocnemius-soleus release is an essential initial step
in surgery. Addressing the medial foot column first is a key to a successful
reconstruction.
PMID- 27865315
TI - Surgical Treatment Options for the Diabetic Charcot Hindfoot and Ankle Deformity.
AB - Charcot neuroarthropathy is associated with progressive, noninfectious,
osteolysis-induced bone and joint destruction. When the ankle and/or hindfoot is
affected by the destruction process, management is further complicated with
collapse and destruction of the talar body, which increases instability around
the ankle. In this patient population, arthrodesis is the most commonly used
surgical procedure. Internal fixation, external fixation, or a combination of
both can be used for the treatment. Decision making between them should be
individualized according to the patient characteristics.
PMID- 27865316
TI - Soft Tissue Reconstruction Pyramid for the Diabetic Charcot Foot.
AB - Foot and ankle ulcerations in patients with diabetic Charcot neuroarthropathy
(DCN) occur frequently and can be challenging to address surgically when
conservative care fails. Patients with acute or chronic diabetic foot ulcers
(DFU) are at continued risk for development of osteomyelitis, septic arthritis,
gas gangrene, and potential lower extremity amputation. Concurrent vasculopathy
and peripheral neuropathy as well as uncontrolled medical comorbidities
complicate the treatment approach. In addition, pathomechanical forces left
untreated may contribute to DFU recurrence in this patient population. This
article outlines in detail the stepwise approach and options available for
durable soft tissue coverage in the DCN patient.
PMID- 27865317
TI - Revisional Surgery of the Diabetic Charcot Foot and Ankle.
AB - Charcot neuroarthropathy (CN) is a difficult problem for the foot and ankle
surgeon. If surgery is required, little is known or available regarding the best
methods and timing. When the initial attempt of reconstruction fails, revision of
CN is even more demanding. One must take in to account all aspects, including
nutrition, vascular status, infection control, short- and long-term blood glucose
management, as well as other factors requiring laboratory monitoring and consult
services. Once optimized, the biomechanics of the deformity can be addressed and
decisions can be made on fixation devices.
PMID- 27865318
TI - Medical Imaging in Differentiating the Diabetic Charcot Foot from Osteomyelitis.
AB - Diabetic Charcot neuroarthropathy (DCN) poses a great challenge to diagnose in
the early stages and when plain radiographs do not depict any initial signs of
osseous fragmentation or dislocation in a setting of a high clinical index of
suspicion. Medical imaging, including magnetic resonance imaging, computed
tomography, and advanced bone scintigraphy, has its own unique clinical
indications when treating the DCN with or without concomitant osteomyelitis. This
article reviews different clinical case scenarios for choosing the most accurate
medical imaging in differentiating DCN from osteomyelitis.
PMID- 27865319
TI - Circular External Fixation as a Primary or Adjunctive Therapy for the Podoplastic
Approach of the Diabetic Charcot Foot.
AB - Numerous techniques have been described for surgical management of the diabetic
Charcot foot. External fixation has become a main surgical tool for the
reconstructive foot and ankle surgeon when dealing with the ulcerated diabetic
Charcot foot. In the presence of an open wound and/or osteomyelitis, staged
reconstruction with circular external fixation becomes ideal for salvage of the
diabetic lower extremity. Also, circular external fixation can provide
simultaneous compression and stabilization, correct the underlying osseous or
soft tissue deformities, and surgically offload the diabetic Charcot foot. This
article describes a variety of circular external fixation applications for the
diabetic Charcot foot.
PMID- 27865320
TI - The Diabetic Charcot Foot and Ankle: A Multidisciplinary Team Approach.
PMID- 27865321
TI - Chest Tubes: Generalities.
AB - Insertion, management, and withdrawal of chest tubes is part of the routine
activity of thoracic surgeons. The selection of the chest tube and the strategy
for each of these steps is usually built on knowledge, practice, experience, and
judgment. The indication to insert a chest tube into the pleural cavity is the
presence of air or fluid within it. Various types and sizes of chest tubes are
now commercially available.
PMID- 27865322
TI - Thoracic Trauma: Which Chest Tube When and Where?
AB - Clinical suspicion of hemo/pneumothorax: when in doubt, drain the chest. Stable
chest trauma with hemo/pneumothorax: drain and wait. Unstable patient with
dislocated trachea must be approached with drain in hand and scalpel ready.
Massive hemo/pneumothorax may be controlled by drainage alone. The surgeon should
not hesitate to open the chest if too much blood drains over a short period. The
chest drainage procedure does not end with the last stitch; the second half of
the match is still ahead. The drained patient is in need of physiotherapy and
proper pain relief with an extended pleural space: control the suction system.
PMID- 27865323
TI - Chest Tube Management after Surgery for Pneumothorax.
AB - There is scant evidence on the management of chest tubes after surgery for
pneumothorax. Most of the current knowledge is extrapolated from studies
performed on subjects with lung cancer. This article reviews the existing
literature with particular focus on the effect of suction and no suction on the
duration of air leak after lung resection and surgery for pneumothorax. Moreover,
the role of regulated suction, which seems to provide some benefit in reducing
pneumothorax recurrence after bullectomy and pleurodesis, is discussed. Finally,
a personal view on the management of chest tubes after surgery for pneumothorax
is provided.
PMID- 27865324
TI - Modern Techniques to Insert Chest Drains.
AB - Both physicians and surgeons insert chest drains by various techniques-including
Seldinger and "wide-bore" methods. The indications include hemothorax,
pneumothorax, pleural effusion, and postoperative care in thoracic surgery. Given
their invasive nature, there is significant potential for complications; however,
this can be minimized by following a meticulous technique, which is herein
described for both Seldinger and "wide-bore" drain insertion.
PMID- 27865325
TI - Suction or Nonsuction: How to Manage a Chest Tube After Pulmonary Resection.
AB - Despite several randomized trials and meta-analyses, the dilemma as to whether to
apply suction after subtotal pulmonary resection has not been solved. The
combination of a poorly understood pathophysiology of the air leak phenomenon and
the inadequate quality of the published randomized trials is actually preventing
thoracic surgeons from abandoning an empirical management of chest drains. Even
digital systems do not seem to have made the difference so far. Based on the
evidence of the literature, the authors propose a new air leak predictor score
(ALPS) as a contributing step toward appropriateness in using intraoperative
sealants, opting for an external suction and managing and chest tubes.
PMID- 27865326
TI - When to Remove a Chest Tube.
AB - Despite the increasing knowledge about the pleural physiology after lung
resection, most practices around chest tube removal are dictated by personal
preferences and experience. This article discusses recently published data on the
topic and suggests opportunities for further investigation and future
improvements.
PMID- 27865327
TI - Indwelling Pleural Catheters: A Clinical Option in Trapped Lung.
AB - Malignant pleural effusion (MPE) symptoms have a real impact on quality of life.
Surgical approach through video-assisted thoracic surgery provides a first step
in palliation. In patients unfit for general anesthesia, awake pleuroscopy
represents an alternative. Sclerosing agents can be administered at the bedside
through a chest tube. Ideal treatment of MPE should include adequate long-term
symptom relief, minimize hospitalization, and reduce adverse effects. Indwelling
pleural catheter (IPC) allows outpatient management of MPE through periodic
ambulatory fluid drainage. IPC offers advantages over pleurodesis in patients
with poor functional status who cannot tolerate pleurodesis or in patients with
trapped lungs.
PMID- 27865329
TI - Management of Chest Drains After Thoracic Resections.
AB - Immediately after lung resection, air tends to collect in the retrosternal part
of the chest wall (in supine position), and fluids in its lower part
(costodiaphragmatic sinus). Several general thoracic surgery textbooks currently
recommend the placement of 2 chest tubes after major pulmonary resections, one
anteriorly, to remove air, and another into the posterior and basilar region, to
drain fluids. Recently, several authors advocated the placement of a single chest
tube. In terms of air and fluid drainage, this technique demonstrated to be as
effective as the conventional one after wedge resection or uncomplicated
lobectomy.
PMID- 27865328
TI - Errors and Complications in Chest Tube Placement.
AB - Chest drain placement is one of the most common surgical procedures performed in
routine clinical practice. Despite the many benefits, chest tube insertion is not
always a harmless procedure, and potential significant morbidity and mortality
may exist. The aim of this article was to highlight the correct chest tube
placement procedure and to focus on errors and clinical complications following
its incorrect insertion into the chest.
PMID- 27865330
TI - Chest Drainage Management: Where Are We Now?
PMID- 27865331
TI - Lesbian, Gay, Bisexual, and Transgender Youth and Family Acceptance.
AB - In this article, we address theories of attachment and parental acceptance and
rejection, and their implications for lesbian, gay, bisexual, and transgender
(LGBT) youths' identity and health. We also provide 2 clinical cases to
illustrate the process of family acceptance of a transgender youth and a gender
nonconforming youth who was neither a sexual minority nor transgender. Clinical
implications of family acceptance and rejection of LGBT youth are discussed.
PMID- 27865333
TI - Substance Abuse Prevention, Assessment, and Treatment for Lesbian, Gay, Bisexual,
and Transgender Youth.
AB - Knowing how to manage substance abuse in all youth is an important aspect of
pediatric care, including providing clinically appropriate anticipatory guidance,
monitoring, assessment, and treatment. Although most lesbian, gay, bisexual, and
transgender (LGBT) youth do not abuse substances, as a group they experience
unique challenges in self-identity development that put them at an increased risk
for substance abuse. This article addresses prevention and management of
substance use in LGBT youth relevant to pediatrics and allied professions as an
aspect of their overall health care. It reviews basic information about substance
abuse in youth and special considerations for LGBT youth.
PMID- 27865332
TI - Human Immunodeficiency Virus, Other Sexually Transmitted Infections, and Sexual
and Reproductive Health in Lesbian, Gay, Bisexual, Transgender Youth.
AB - Lesbian, gay, bisexual, transgender (LGBT), and questioning youth represent a
diverse population who are affected by many sexual health inequities, including
increased risk for human immunodeficiency virus (HIV) and sexually transmitted
infections (STIs). To provide comprehensive sexual health care for LGBT youth,
providers should set the stage with a nonjudgmental, respectful tone. Providers
should be competent in recognizing symptoms of STIs and HIV and aware of the most
up-to-date screening guidelines for LGBT youth. Sexual health visits should also
focus on prevention, including safer sex practices, HIV pre-exposure and post
exposure prophylaxis, family planning, and immunization for hepatitis and human
papillomavirus.
PMID- 27865334
TI - Body Image and Eating Disorders Among Lesbian, Gay, Bisexual, and Transgender
Youth.
AB - Adolescence is a crucial period for emerging sexual orientation and gender
identity and also body image disturbance and disordered eating. Body image
distortion and disordered eating are important pediatric problems affecting
individuals along the sexual orientation and gender identity spectrum. Lesbian,
gay, bisexual, transgender (LGBT) youth are at risk for eating disorders and body
dissatisfaction. Disordered eating in LGBT and gender variant youth may be
associated with poorer quality of life and mental health outcomes. Pediatricians
should know that these problems occur more frequently in LGBT youth. There is
evidence that newer treatment paradigms involving family support are more
effective than individual models of care.
PMID- 27865336
TI - Lesbian, Gay, Bisexual, and Transgender Families.
AB - Families headed by sexual minorities encounter unique barriers to care and health
equity despite greater cultural acceptance and visibility. Empirical research
suggests that children in lesbian, gay, bisexual, and transgender (LGBT) families
develop and function comparably to those from traditional families. In helping
families, awareness of family structure is important. The health care provider
should be familiar with family composition, and their community, social supports,
race/ethnic concerns, financial issues, and other vulnerabilities. Cultivating an
office culture and practice that supports all patients to comfortably discuss
their family history, interpersonal experiences, needs, and vulnerabilities is
essential for excellence in clinical care.
PMID- 27865335
TI - The Intersection of Sociocultural Factors and Health-Related Behavior in Lesbian,
Gay, Bisexual, and Transgender Youth: Experiences Among Young Black Gay Males as
an Example.
AB - Intersectionality suggests that multiple social identities intersect at the
individual or micro level of experience and reflects larger social structural
inequities experienced on the macro level. This article uses intersectionality to
describe how multiple stigmatized social identities can create unique challenges
for young black gay and bisexual men (YBGBM). YBGBM exist at the intersection of
multiple stigmatized identities compared with their majority peers. This article
examines key intersecting identities and cultural expectations that exist in
YBGBM and how those factors may predispose young men to adverse health outcomes
and health inequality.
PMID- 27865337
TI - What the Primary Care Pediatrician Needs to Know About Gender Incongruence and
Gender Dysphoria in Children and Adolescents.
AB - The recognition and acknowledgment that gender identity and birth-assigned sex
may be incongruent in children and adolescents have evolved in recent decades.
Transgender care for children and adolescents has developed and is now more
widely available. Controversies exist, however, around clinical management of
gender dysphoria and gender incongruence in children and adolescents. Clinical
guidelines are consensus based and research evidence is limited. Puberty
suppression as part of clinical management has become a valuable element of
adolescent transgender care, but long-term evidence of success is limited. These
uncertainties should be weighed against the risk of harming a transgender
adolescent when medical intervention is denied.
PMID- 27865339
TI - Development and Mental Health of Lesbian, Gay, Bisexual, or Transgender Youth in
Pediatric Practice.
AB - Assessing, monitoring, and supporting children and adolescents' mental health are
integral parts of comprehensive pediatric primary care. These are especially
relevant for LGBT youth, who frequently experience unique stressors, often
including having an identity different from family and peer expectations, whether
to reveal it, and stigma like peer bullying, family rejection, social
intolerance, and self nonacceptance. Pediatricians should know key mental health
practice principles for LGBT youth, how to adapt these to various pediatric
settings, the continuum of mental health interventions, and their local
resources. Practice principles in pediatric care for LGBT youth and examples of
their implementation are discussed.
PMID- 27865340
TI - Stigma and Minority Stress as Social Determinants of Health Among Lesbian, Gay,
Bisexual, and Transgender Youth: Research Evidence and Clinical Implications.
AB - In this article, we review theory and evidence on stigma and minority stress as
social/structural determinants of health among lesbian, gay, bisexual, and
transgender (LGBT) youth. We discuss different forms of stigma at individual (eg,
identity concealment), interpersonal (eg, victimization), and structural (eg,
laws and social norms) levels, as well as the mechanisms linking stigma to
adverse health outcomes among LGBT youth. Finally, we discuss clinical (eg,
cognitive behavioral therapy) and public health (eg, antibullying policies)
interventions that effectively target stigma-inducing mechanisms to improve the
health of LGBT youth.
PMID- 27865338
TI - Caring for Lesbian, Gay, Bisexual, Transgender, and Questioning Youth in
Inclusive and Affirmative Environments.
AB - Lesbian, gay, bisexual, transgender, queer and questioning (LGBTQ) youth may
experience interpersonal and structural stigma within the health care
environment. This article begins by reviewing special considerations for the care
of LGBTQ youth, then turns to systems-level principles underlying inclusive and
affirming care. It then examines specific strategies that individual providers
can use to provide more patient-centered care, and concludes with a discussion of
how clinics and health systems can tailor clinical services to the needs of LGBTQ
youth.
PMID- 27865341
TI - Bullying Among Lesbian, Gay, Bisexual, and Transgender Youth.
AB - Bullying of lesbian, gay, bisexual, and transgender (LGBT) youth is prevalent in
the United States, and represents LGBT stigma when tied to sexual orientation
and/or gender identity or expression. LGBT youth commonly report verbal,
relational, and physical bullying, and damage to property. Bullying undermines
the well-being of LGBT youth, with implications for risky health behaviors, poor
mental health, and poor physical health that may last into adulthood.
Pediatricians can play a vital role in preventing and identifying bullying,
providing counseling to youth and their parents, and advocating for programs and
policies to address LGBT bullying.
PMID- 27865342
TI - Lesbian, Gay, Bisexual, and Transgender Youth.
PMID- 27865344
TI - Erratum.
PMID- 27865345
TI - Oral delivery of peptides: opportunities and issues for translation.
PMID- 27865343
TI - Introduction to Lesbian, Gay, Bisexual, and Transgender Youth Health.
PMID- 27865346
TI - Maternal fat-soluble vitamins, brain development, and regulation of feeding
behavior: an overview of research.
AB - Recent research shows a link between vitamin intake during pregnancy and
offspring health. Inadequate intakes of water-soluble vitamins during pregnancy
lead to obesity and characteristics of the metabolic syndrome, concurrent with
altered developments in food intake regulatory pathways. Few studies, however,
have reported on the effects of fat-soluble vitamins (A, D, E, and K) on the
development of food intake regulatory pathways. The majority of studies to date
have focused on associations between inadequate and high intakes of folic acid
and vitamin D and neurocognitive development of the offspring. Hence, the
objective of this review is to present an evaluation of the role of maternal
vitamins A, D, E, and K in brain development and function of neural pathways that
regulate feeding behaviors. PubMed and Google Scholar were searched from 1975
through September, 2016. Most studies supporting a role for fat-soluble vitamins
in regulating brain development and associated behaviors have been conducted in
animal and cell models, leaving uncertain their relevance to neurocognitive
development and function in humans. Nevertheless, although current research on
defining the role of maternal fat-soluble vitamins in offspring's brain
development is limited, it is sufficient to warrant further investigations on
their impact when intake amounts during pregnancy are not only inadequate but
also exceed requirements.
PMID- 27865347
TI - Gestational food restriction decreases placental interleukin-10 expression and
markers of autophagy and endoplasmic reticulum stress in murine intrauterine
growth restriction.
AB - Intrauterine growth restriction (IUGR) affects up to 10% of pregnancies and often
results in short- and long-term sequelae for offspring. The mechanisms underlying
IUGR are poorly understood, but it is known that healthy placentation is
essential for nutrient provision to fuel fetal growth, and is regulated by
immunologic inputs. We hypothesized that in pregnancy, maternal food restriction
(FR) resulting in IUGR would decrease the overall immunotolerant milieu in the
placenta, leading to increased cellular stress and death. Our specific objectives
were to evaluate (1) key cytokines (eg, IL-10) that regulate maternal-fetal
tolerance, (2) cellular processes (autophagy and endoplasmic reticulum [ER]
stress) that are immunologically mediated and important for cellular survival and
functioning, and (3) the resulting IUGR phenotype and placental histopathology in
this animal model. After subjecting pregnant mice to mild and moderate FR from
gestational day 10 to 19, we collected placentas and embryos at gestational day
19. We examined RNA sequencing data to identify immunologic pathways affected in
IUGR-associated placentas and validated messenger RNA expression changes of genes
important in cellular integrity. We also evaluated histopathologic changes in
vascular and trophoblastic structures as well as protein expression changes in
autophagy, ER stress, and apoptosis in the mouse placentas. Several
differentially expressed genes were identified in FR compared with control mice,
including a considerable subset that regulates immune tolerance, inflammation,
and cellular integrity. In summary, maternal FR decreases the anti-inflammatory
effect of IL-10 and suppresses placental autophagic and ER stress responses,
despite evidence of dysregulated vascular and trophoblast structures leading to
IUGR.
PMID- 27865348
TI - Nutrient restriction of glucose or serum results in similar proteomic expression
changes in 3D colon cancer cell cultures.
AB - Nutrient restriction, also known as caloric restriction, has been extensively
examined for its positive impact on lifespan, immune system boost, and aging. In
addition, nutrient restriction is implicated in decreasing cancer initiation and
progression. Given the phenotypic changes associated with nutrient restriction,
we hypothesized significant protein expression alterations must be associated
with caloric restriction. To compare the molecular and phenotypic changes caused
by glucose restriction and fetal bovine serum restriction there is need for an
efficient model system. We establish 3-dimensional cell culture models, known as
spheroids, in the HCT 116 colorectal cancer cell line as a high throughput model
for studying the proteomic changes associated with nutrient restriction. Flow
cytometry was used to assess apoptosis and autophagy levels in the spheroids
under nutrient restriction. Isobaric tags for relative and absolute
quantification and liquid chromatography tandem mass spectrometry were used to
determine differential protein abundances between the nutrient restriction
conditions. We identified specific proteins that have implications in cancer
progression and metastasis that are differentially regulated by restriction of
either glucose or serum. These proteins include the up-regulation of sirtuin 1
and protein inhibitor of activated STAT 1 and down-regulation of multi-drug
resistance protein and Zinc finger and BTB domain-containing protein 7A. The
results indicate nutrient restriction causes lower apoptotic and higher autophagy
rates in HCT 116 spheroids. In addition, proteins shown to be differentially
regulated by both glucose and serum restriction were similarly regulated.
PMID- 27865349
TI - Whey protein increases muscle weight gain through inhibition of oxidative effects
induced by resistance exercise in rats.
AB - Whey protein (WP) is known for its nutritional value and antioxidant properties.
The aim of this study was to evaluate whether the antioxidant properties of WP
could contribute to muscle weight gain in response to resistance exercise (RE).
We hypothesized that WP ingestion could increase muscle weight gain in rats
subjected to an RE program, through inhibition of oxidative effects induced by
high-intensity RE. Thirty-two male Fischer rats were randomly assigned to control
sedentary, control exercised, WP sedentary, and WP exercised groups (n=8/group).
The RE consisted of inducing the rats to perform sets of jumps for 8 weeks. Body
and muscle weight gains, muscle glutathione content, histopathology, muscle
antioxidant enzyme activities, and gene expression were evaluated. Body and
muscle weight gains of exercised rats fed WP were higher than those of control
exercised rats. Concomitantly, RE induced an increase in phagocyte infiltration,
protein oxidation, and down-regulation of glutathione peroxidase and gamma
glutamylcysteine synthetase messenger RNA expression in gastrocnemius muscle
(P<.05), effects that were inhibited by WP ingestion. Cytosolic superoxide
dismutase and catalase messenger RNA expression were reduced only by RE (P<.05),
and muscle glutathione content was increased only by WP (P<.05) with no
significant interaction observed (P>.05). These findings suggest that differences
in body and muscle weight gain in exercised rats fed control or WP diets were
mediated, in part, by the antioxidant properties of WP, and indicate that when
associated with RE, WP represents a nutritional aid to support muscle growth.
PMID- 27865350
TI - The fruit of Acanthopanax senticosus (Rupr. et Maxim.) Harms improves insulin
resistance and hepatic lipid accumulation by modulation of liver adenosine
monophosphate-activated protein kinase activity and lipogenic gene expression in
high-fat diet-fed obese mice.
AB - Obesity-associated insulin resistance is a major risk factor for most metabolic
diseases, including dyslipidemia and type 2 diabetes. Acanthopanax senticosus
(Rupr. et Maxim.) Harms (Goka) root has been used in traditional Chinese medicine
for treatment of diabetes and other conditions; however, little is known about
the effects of Goka fruit (GF). Goka fruit is rich in anthocyanin, which has
beneficial effects on obesity and insulin resistance via activation of adenosine
monophosphate-activated protein kinase (AMPK). We hypothesized that GF can
improve obesity-associated insulin resistance. The aim of the present study was
to investigate whether GF improves insulin resistance in high-fat diet (HFD)
induced obese mice. High-fat diet mice treated with GF (500 and 1000 mg/kg) for
12 weeks showed an improved glucose tolerance and insulin sensitivity, as well as
reduced plasma insulin and liver lipid accumulation. Moreover, GF administration
to HFD mice resulted in down-regulation of fatty acid synthase expression and up
regulation of cholesterol 7-alpha-hydroxylase expression in the liver. Notably,
AMPK phosphorylation in the liver increased after GF administration. In summary,
GF supplementation improved obesity-associated insulin resistance and hepatic
lipid accumulation through modulation of AMPK activity and lipid metabolism
associated gene expression.
PMID- 27865351
TI - Quercetin relaxes guinea pig gallbladder strips.
AB - Quercetin, a phytoestrogen and flavonoid, relaxes intestinal and vascular smooth
muscle. The purpose of this study was to determine if quercetin had an effect on
gallbladder smooth muscle. An in vitro technique was used to determine the
effects of quercetin on gallbladder strips and which system(s) mediated the
relaxation. Paired t tests were used; differences between means of P < .05 were
considered significant. Adding quercetin before cholecystokinin or KCl produced a
significant (P < .001) decrease in the amount of tension (0.80 +/- 0.04 vs 0.48
+/- 0.04 g cholecystokinin octapeptide and 0.8 +/- 0.06 vs 0.54 +/- 0.05 g KCl,
respectively). When the protein kinase C (PKC) inhibitors bisindolymaleimide IV
and chelerythrine Cl- were simultaneously, a significant (P < .001) reduction in
the quercetin-induced relaxation (45.7% +/- 4.3% vs 27.6% +/- 3.4%) was observed.
To determine if protein kinase A (PKA) mediated the quercetin-induced relaxation,
PKA inhibitor 14-22 amide myristolated was used. It significantly (P < .05)
decreased the amount (40.4% +/- 3.7% vs 34.5% +/- 3.3%) of quercetin-induced
relaxation. The use of 2-APB also significantly (P < .001) reduced the amount of
quercetin-induced relaxation (51.2% +/- 3.5% vs 14.8% +/- 3.6%). l-NG-methyl-l
arginine acetate salt, a nitric oxide synthase inhibitor, significantly (P < 001)
decreased the quercetin-induced relaxation (45.7% +/- 4.2% vs 35.2% +/- 3.6%).
KT5823, a PKC inhibitor, had no effect on the quercetin-induced relaxation.
Quercetin blocked extracellular Ca2+ entry which affected downstream events such
as activation of PKC, PKA, intracellular Ca2+ release, and activation of nitric
oxide synthase. Quercetin relaxed cholecystokinin octapeptide and KCl-induced
tension in a concentration dependent manner. Thus quercetin-induced relaxation
was mediated by multiple signaling pathways.
PMID- 27865352
TI - Plum polyphenols inhibit colorectal aberrant crypt foci formation in rats:
potential role of the miR-143/protein kinase B/mammalian target of rapamycin
axis.
AB - The nutritional prevention of aberrant crypt foci by polyphenols may be a crucial
step to dietary cancer prevention. The objective of this study was to determine
the underlying mechanisms that contribute to the anti-inflammatory and
antitumorigenic properties of plum (Prunus salicina L.) polyphenols, including
chlorogenic acid and neochlorogenic acid, in azoxymethane (AOM)-treated rats. The
hypothesis was that plum polyphenolics suppress AOM-induced aberrant crypt foci
formation through alterations in the protein kinase B (AKT)/mammalian target of
rapamycin (mTOR) pathway and relative micro-RNA expressions. Sprague-Dawley rats
(n=10/group) received plum beverage (1346mg gallic acid equivalents/L) or a
control beverage ad libitum for 10 weeks with subcutaneous injections of AOM
(15mg/kg) at weeks 2 and 3. Results show that the consumption of the plum
beverage decreased the number of dysplastic aberrant crypt foci by 48% (P<.05)
and lowered proliferation of mucosal cells by 24% (P<.05). The plum beverage
decreased the activity of glutathione peroxidase, superoxide dismutase, and
catalase in mucosal scrapings, as well as the superoxide dismutase activity in
serum. The results were accompanied by a down-regulation of proinflammatory
enzymes nuclear factor kappaB, nitric oxide synthase, cyclooxygenase-2, and
vascular cell adhesion molecule 1 messenger RNA. Plum inhibited the expression of
AKT and mTOR messenger RNA, phosphorylated AKT, mTOR, and hypoxia-inducible
factor-1alpha protein levels, and the ratio of the phosphorylated/total protein
expression of mTOR. Also, the plum beverage increased the expression of miR-143,
which is involved in the regulation of AKT. These results suggest that plum
polyphenols may exhibit a chemopreventive potential against colon carcinogenesis
by impacting the AKT/mTOR pathway and miR-143.
PMID- 27865353
TI - Maintenance of cytosolic calcium is crucial to extend l-arginine therapeutic
benefits during continuous dosing.
AB - The therapeutic benefits associated with short-term l-arginine supplementation
are lost during continuous dosing. AMP-activated protein kinase (AMPK) functional
modulation has been correlated with l-arginine therapeutic effectiveness, and
with tolerance development during continuous supplementation. However, the
metabolic link that is responsible for AMPK functional modulation during
continuous l-arginine exposure is currently not known. To explore this, we
incubated HUVECs for 7 days with 100 MUmol/L l-arginine, in the presence or
absence of other agents; and monitored their effects for eNOS function, and on
tolerance sparing effects (viz, cellular glucose accumulation, and oxidative
stress). HUVEC co-incubation with 100 MUmol/L l-arginine and <=1200 mg/mL calcium
(Ca2+) for 7 days avoided tolerance development, with an at least 1-fold increase
in the eNOS and AMPK functional activity; and an 1-fold increase in overall
cellular glucose uptake. The overall cellular cytosolic Ca2+was below 200 nmol/L,
with no change in cellular glucose and superoxide/peroxynitrite (O2*-/ONOO-)
level from control. However, tolerance sparing effects of at least 70% decrease
in eNOS and AMPK functional response, with an 1-fold reduction in glucose uptake,
and at least 2-fold increase in O2*-/ONOO- were observed in cells exposed for 7
days to 100 MUmol/L l-arginine at Ca2+co-incubation concentration of >1200 mg/mL.
The >1200 mg/mL Ca2+ co-incubation condition, also improved the overall cellular
Ca2+to >200 nmol/L. Similar tolerance response was observed in cells co-treated
with 100 MUmol/L l-arginine and <=1200 mg/mL Ca2+ in the presence of Ca2+ influx
inhibitor (20 MUmol/L 1,2-bis(o-aminophenoxy)ethane-N,N,N',N'-tetra acetic acid),
or eNOS activity inhibitor (30 MUmol/L l-NG-nitroarginine methyl ester). No
tolerance response was seen in cells incubated for 7 days with 100 MUmol/L l
arginine and <=1200 mg/mL Ca2+; even in the presence of the inhibitor for
cellular glucose induction (30 MUmol/L 5-chloro-2-(n-(2,5
dichlorobenzenesulfonamide))-benzoxazole). The present study thus provides the
first definitive evidence that shows the need to maintain cytosolic Ca2+ within a
threshold limit of less than 200 nmol/L to extend l-arginine therapeutic efficacy
during continuous dosing, without any potential tolerance development.
PMID- 27865354
TI - Vitamin D supplementation reduces insulin resistance in Japanese adults: a
secondary analysis of a double-blind, randomized, placebo-controlled trial.
AB - Higher circulating 25-hydroxyvitamin D (25[OH]D) concentration has been linked to
a lower prevalence of insulin resistance and type 2 diabetes mellitus. However,
randomized controlled trials have not clarified the effect of vitamin D
supplementation on insulin resistance in healthy adults. The objective of this
study was to assess the effect of vitamin D supplementation for 1 year on insulin
resistance; the study was a secondary analysis of a clinical trial. We
hypothesized that increased 25(OH)D concentration after vitamin D supplementation
for 1 year would significantly improve insulin resistance. Ninety-six healthy
adults participated in this study, of whom 81 completed the study. The
participants randomly received daily either 420 IU vitamin D3 or placebo in a
double-blind manner for 1 year. The levels of fasting insulin, glucose, and other
parameters were assessed at baseline and after 1 year of intervention.
Homeostasis model assessment of insulin resistance index was calculated from
insulin and glucose levels. Visceral fat area and physical activity were also
investigated. Serum 25(OH)D and 1,25-dihydroxyvitamin D concentrations were
significantly increased by approximately 29.5 nmol/L and 7.0 pg/mL, respectively,
after 1-year vitamin D supplementation. After vitamin D supplementation, fasting
glucose levels and values of homeostasis model assessment of insulin resistance
index significantly decreased from 88.3 to 85.3 mg/dL (P < .01) and 1.17 to 0.84
(P < .01), respectively, and the results were independent of physical activity
and visceral fat accumulation. In conclusion, the present study showed that
vitamin D supplementation for 1 year effectively improves fasting glucose level
and insulin resistance in healthy Japanese adults.
PMID- 27865355
TI - White sesame seed water-soluble fraction enhances human neuroblast cell viability
via an anti-apoptotic mechanism.
AB - Defatted sesame seed flour is recovered as a byproduct after oil extraction and
is usually considered a waste product. Previously, we showed that water-soluble
fractions purified from defatted white and gold sesame seed flour exhibited good
antioxidant activity in vitro. We also identified ferulic acid and vanillic acid
as the bioactive antioxidants in both white and gold sesame seed water-soluble
fractions (WS-wsf and GS-wsf, respectively). In this study, we hypothesized that
WS-wsf and GS-wsf may have neuroprotective effects due to their antioxidant
potential. Treatment with WS-wsf for 24 hours enhanced human neuroblastoma SH
SY5Y cell viability and proliferation, while GS-wsf, ferulic acid, and vanillic
acid did not show similar effects. In addition, WS-wsf (1-3 mg/mL) significantly
and dose-dependently protected SH-SY5Y cells against camptothecin-induced
apoptosis, suggesting the involvement of an anti-apoptosis mechanism in the
neuroprotective effects of WS-wsf. In fact, treatment with WS-wsf significantly
decreased the mRNA expression levels of pro-apoptotic Bax and p53 genes. WS-wsf
also enhanced Bcl-2 protein level and Akt phosphorylation. Taken together, this
study showed that WS-wsf has interesting neuroprotective potential via an anti
apoptotic mechanism, which is independent from its antioxidant capacity.
PMID- 27865356
TI - Temporal trends in dietary supplement prescriptions of United States military
service members suggest a decrease in pyridoxine and increase in vitamin D
supplements from 2005 to 2013.
AB - Dietary supplements (DSs) can be obtained over-the-counter but can also be
prescribed by health-care providers for therapeutic reasons. Few studies have
documented this later source despite the fact that 79% of physicians and 82% of
nurses have recommended DSs to patients. This investigation assessed prevalence
and temporal trends in oral DS prescriptions filled by all United States service
members (SMs) from 2005 to 2013 (n = 1 427 080 +/- 22 139, mean +/- standard
deviation (SD)/y). We hypothesize that there would be temporal variations in
specific types of DSs. Data obtained from Department of Defense Pharmacy Data
Transaction System were grouped by American Hospital Formulary System
pharmacologic-therapeutic classifications and prevalence examined over time.
About 11% of SMs filled one or more DS prescriptions of 235 180 +/- 4926 (mean +/
SD) prescriptions/y over the 9-year period. Curve-fitting techniques indicated
significant linear declines over time for multivitamins (P = .004), iron
preparations (P < .001), antacids (P < .001), and vitamin B and B complex
vitamins (P < .001). There were significant quadratic trends indicating a rise in
early years followed by a leveling off in later years for replacement
preparations (P < .001) and vitamin C (P < .001). There were significant
quadratic trends (P < .001) for vitamin E indicating a decline in early years and
leveling off in later years, and vitamin D indicating little change in early
years followed by a large rise subsequently (P < .001). This study identified
temporal trends in specific DS categories that may be associated with changing
perceptions of prescribers and/or patients of the appropriate roles of DSs in
medicine and public health.
PMID- 27865359
TI - Getting the Most from Your Cytology Samples.
PMID- 27865358
TI - Low daily dose of 3 mg monacolin K from RYR reduces the concentration of LDL-C in
a randomized, placebo-controlled intervention.
AB - Hypercholesterolemia and elevated homocysteine concentrations are associated with
cardiovascular risk. Previous studies have demonstrated a cholesterol-lowering
effect of red yeast rice (RYR) supplements which contained 5 to 10 mg of
monacolin K. We hypothesized that the intake of a low monacolin K dose may
likewise reduce low-density lipoprotein-cholesterol (LDL-C) and other plasma
lipids. In secondary analyses, we tested the homocysteine lowering effect of
folic acid, which was also included in the study preparation. Therefore, we
conducted a randomized, double-blind, and placebo-controlled intervention study.
One hundred forty-two nonstatin-treated participants with hypercholesterolemia
(LDL-C >= 4.14 <= 5.69 mmol/L) were randomized to the supplement group with RYR
or the placebo group. Participants of the supplement group consumed 3 mg
monacolin K and 200 MUg folic acid per day. A significant (P < .001) reduction of
LDL-C (-14.8%), total cholesterol (-11.2%), and homocysteine (-12.5%) was
determined in the supplement group after 12 weeks. A total of 51% of the
participants treated with RYR achieved the limit of LDL-C <4.14 mmol/L advised
and 26% reached the threshold level of homocysteine <10 MUmol/L. No significant
changes were exhibited within the placebo group. Other parameters remained
unchanged and no intolerances or serious adverse events were observed. In
conclusion, we demonstrated that a low dose of daily 3 mg monacolin K from RYR
reduces the concentration of LDL-C; a risk factor for cardiovascular diseases.
PMID- 27865357
TI - Younger and older ages and obesity are associated with energy intake
underreporting but not overreporting in Japanese boys and girls aged 1-19 years:
the National Health and Nutrition Survey.
AB - Evidence on the magnitude and nature of misreporting of food intake in non
Western children and adolescents is sparse. We investigated the hypothesis that
under- and overreporting of energy intake (EI) is prevalent and associated with
some characteristics in 3866 Japanese boys and girls aged 1-19 years using data
from the 2012 National Health and Nutrition Survey, Japan. Each individual's EI
was calculated based on a 1-day semiweighed household dietary record, including
information on approximate proportions by which each dish was divided among the
family members. Under-, acceptable, and overreporters of EI were identified by 2
methods: based on the 95% confidence limits (1) for agreement between the ratio
of EI to basal metabolic rate (BMR) and a physical activity level for sedentary
lifestyle (1.55) and (2) of the expected ratio of EI to estimated energy
requirement (EER) of 1.0. BMR was calculated using Schofield's equations. EER was
calculated using equations from the US Dietary Reference Intakes, assuming "low
active" level of physical activity. Percentages of under-, acceptable, and
overreporters were 2.4%, 97.0%, and 0.5%, respectively, based on EI/BMR and 3.2%,
95.4%, and 1.4%, respectively, based on EI/EER. Underreporting was associated
with the youngest (1-5 years) and oldest (15-19 years) age groups and with
obesity. Overreporting was not associated with any of the variables examined. In
conclusion, although overall mean EI obtained from the National Health and
Nutrition Survey, Japan seemed plausible in Japanese boys and girls, younger and
older ages and obesity were associated with EI underreporting but not
overreporting.
PMID- 27865360
TI - Langerhans cell number is a strong and independent prognostic factor for head and
neck squamous cell carcinomas.
AB - OBJECTIVES: Head and neck squamous cell carcinomas (HNSCCs) exhibit great
biological heterogeneity and relatively poor prognosis. Tobacco and alcohol
consumption is involved in the cause of the majority of these cancers, but over
the last several years, Human Papilloma Virus (HPV) infection has increased
specifically in oropharyngeal cancers and become an additional risk factor. Here,
we evaluated the number of Langerhans cells (LCs) in HNSCC and reporting its
prognostic power in comparison to other risk factors. MATERIALS AND METHODS: Our
clinical series was composed of 25 tumor-free peritumoral epithelium, 64 low
grade dysplasia, 54 high-grade dysplasia and 125 carcinoma samples. HPV was
detected by E6/E7 qPCR and p16 immunohistochemistry. CD1a-positive LCs were
counted in intra-tumoral and stromal compartments as well as lymph nodes. MIP
3alpha was assessed in carcinomas using immunohistochemistry. RESULTS: Univariate
Cox regression analyses demonstrated that high LC number is associated with
longer recurrence-free survival in both intra-tumoral and stromal compartments
and longer overall survival in stromal compartment. Tobacco and alcohol habits,
but not HPV status, are also correlated with poor prognoses in terms of
recurrence. Multivariate analyses reported stromal LC number as a strong
prognostic factor independent of tobacco, alcohol and HPV status. Moreover, LC
number is higher in tumors and invaded lymph nodes than dysplastic lesions but it
decreases in HPV-positive cancer patients. Further, LC number correlates with MIP
3alpha expression. CONCLUSION: These findings suggest that LC number is a
significant and independent prognostic factor for HNSCC. LC infiltration is
increased in cancer lesions but decrease with HPV infection.
PMID- 27865362
TI - Lateral lymph node recurrence after total thyroidectomy and central neck
dissection in patients with papillary thyroid cancer without clinical evidence of
lateral neck metastasis.
AB - BACKGROUND: This study analyzed the incidence, pattern, and predictive factors
for lateral lymph node (LN) recurrence in patients with papillary thyroid cancer
(PTC) without clinical evidence of lateral LN metastasis. METHODS: A
retrospective analysis was performed on 246 patients with PTC who underwent total
thyroidectomy and central neck dissection from 2004 to 2010. None of the patients
had clinical evidence of lateral LN metastasis at the time of diagnosis.
Predictive factors for lateral LN recurrence were evaluated using the chi-square
test. Binary logistic regression was used for the multivariate analysis.
Recurrence-free survival rates were estimated by the Kaplan-Meier and Cox
regression methods. RESULTS: Of the 246 patients, 11 (4.5%) developed lateral LN
recurrence with a median follow-up of 49months. In the multivariate analysis,
tumor size >1cm (odds ratio [OR], 8.14; 95% confidence interval [CI], 1.01-65.68;
p=0.049) and central LN metastasis (OR, 10.59; 95% CI, 1.32-85.17; p=0.026) were
independent predictive factors of lateral LN recurrence. Especially, extranodal
extension of a metastatic central LN (OR, 38.82; 95% CI, 5.71-264.10; p<0.001)
was an independent predictor of lateral LN recurrence. CONCLUSIONS: Tumor size
and central LN metastasis were independent predictors of lateral LN recurrence in
patients with PTC without initial clinical lateral neck metastasis who underwent
total thyroidectomy and central neck dissection. Close surveillance may be
necessary for early detection of lateral LN recurrence in PTC patients with tumor
size ?1cm, and central LN metastasis with extranodal extension.
PMID- 27865361
TI - Diagnosis of HPV driven oropharyngeal cancers: Comparing p16 based algorithms
with the RNAscope HPV-test.
AB - BACKGROUND: Accurate identification of HPV-driven oropharyngeal cancer (OPC) is a
major issue and none of the current diagnostic approaches is ideal. An in situ
hybridization (ISH) assay that detects high-risk HPV E6/E7 mRNA, called the
RNAscope HPV-test, has been recently developed. Studies have suggested that this
assay may become a standard to define HPV-status. METHODS: To further assess this
test, we compared its performance against the strategies that are used in routine
clinical practice: p16 immunohistochemistry (IHC) as a single test and algorithms
combining p16-IHC with HPV-DNA identification by PCR (algorithm-1) or ISH
(algorithm-2). RESULTS: 105 OPC specimens were analyzed. The prevalence of HPV
positive samples varied considerably: 67% for p16-IHC, 54% for algorithm-1, 61%
for algorithm-2 and 59% for the RNAscope HPV-test. Discrepancies between the
RNAscope HPV-test and p16-IHC, algorithm-1 and 2 were noted in respectively
13.3%, 13.1%, and 8.6%. The 4 diagnostic strategies were able to identify 2
groups with different prognosis according to HPV-status, as expected. However,
the greater survival differential was observed with the RNAscope HPV-test [HR:
0.19, 95% confidence interval (CI), 0.07-0.51, p=0.001] closely followed by
algorithm-1 (HR: 0.23, 95% CI, 0.08-0.66, p=0.006) and algorithm-2 (HR: 0.26, 95%
CI, 0.1-0.65, p=0.004). In contrast, a weaker association was found when p16-IHC
was used as a single test (HR: 0.33, 95% CI, 0.13-0.81, p=0.02). CONCLUSIONS: Our
findings suggest that the RNAscope HPV-test and p16-based algorithms perform
better that p16 alone to identify OPC that are truly driven by HPV-infection. The
RNAscope HPV-test has the advantage of being a single test.
PMID- 27865364
TI - Induction chemotherapy with docetaxel, cisplatin and fluorouracil followed by
concurrent chemoradiotherapy or chemoradiotherapy alone in locally advanced non
endemic nasopharyngeal carcinoma.
AB - OBJECTIVES: To evaluate the efficacy of induction chemotherapy with docetaxel,
cisplatin and fluorouracil (TPF) followed by concurrent chemoradiotherapy
(IC+CCRT) or CCRT alone in non-endemic locally advanced nasopharyngeal carcinoma
(NPC) patients. MATERIALS AND METHODS: Data of 106 patients with NPC treated from
January 1999 to June 2012 with IC+CCRT (n=58) or CCRT alone (n=48) were
retrospectively reviewed. RESULTS: Median follow-up was 6.4years. Distribution of
age, performance status, stage and concurrent chemotherapy regimen were
imbalanced between the two groups. The 5-year overall survival (OS) and
progression-free survival (PFS) were not significantly different between IC+CCRT
and CCRT groups (OS: 78.3% vs. 82.7%, p=0.77; PFS: 72.5% vs. 68.2%, p=0.81,
respectively). There were less total cumulative incidence of grade 3-4 late
radiation morbidity in the IC+CCRT group (44.8% vs. 70.8%, p=0.01). Five-year OS
for patients with post-IC complete response (CR), partial response (PR) and
stable disease (SD) sub-groups were 100%, 79.4% and 60%, respectively.
CONCLUSION: Compared with CCRT alone, IC (TPF regimen)+CCRT did not improve OS or
PFS in patients with NPC, but less grade 3-4 late toxicities were observed.
Responsiveness of IC may provide additional prognostic information.
PMID- 27865363
TI - Pathology-based staging for HPV-positive squamous carcinoma of the oropharynx.
AB - OBJECTIVE: The rapid worldwide rise in incidence of human papillomavirus (HPV)
positive oropharyngeal squamous cell carcinoma (OPSCC) has generated studies
confirming this disease as an entity distinct from traditional OPSCC. Based on
pathology, surgical studies have revealed prognosticators specific to HPV
positive OPSCC. The current AJCC/UICC staging and pathologic nodal (pN)
classification do not differentiate for survival, demonstrating the need for new,
HPV-specific OPSCC staging. The objective of this study was to define a
pathologic staging system specific to HPV-positive OPSCC. METHODS: Data were
assembled from a surgically-managed, p16-positive OPSCC cohort (any T, any N, M0)
of 704 patients from five cancer centers. Analysis was performed for (a) the
AJCC/UICC pathologic staging, (b) newly published clinical staging for non
surgically managed HPV-positive OPSCC, and (c) a novel, pathology-based,
"HPVpath" staging system that combines features of the primary tumor and nodal
metastases. RESULTS: A combination of AJCC/UICC pT-classification and pathology
confirmed metastatic node count (?4 versus ?5) yielded three groups: stages I
(pT1-T2, ?4 nodes), II (pT1-T2, ?5 nodes; pT3-T4, ?4 nodes), and III (pT3-T4, ?5
nodes), with incrementally worse prognosis (Kaplan-Meier overall survival of 90%,
84% and 48% respectively). Existing AJCC/UICC pathologic staging lacked
prognostic definition. Newly published HPV-specific clinical stagings from non
surgically managed patients, although prognostic, showed lower precision for this
surgically managed cohort. CONCLUSIONS: Three loco-regional "HPVpath" stages are
identifiable for HPV-positive OPSCC, based on a combination of AJCC/UICC primary
tumor pT-classification and metastatic node count. A workable, pathologic staging
system is feasible to establish prognosis and guide adjuvant therapy decisions in
surgically-managed HPV-positive OPSCC.
PMID- 27865366
TI - Effects of geographic area and socioeconomic status in Taiwan on survival rates
of head and neck cancer patients after radiotherapy.
PMID- 27865365
TI - Implications of cancer stem cells in developing therapeutic resistance in oral
cancer.
AB - Conventional therapeutics are often frequented with recurrences, refraction and
regimen resistance in oral cavity cancers which are predominantly manifested by
cancer stem cells (CSCs). During oncoevolution, cancer cells may undergo
structural and functional reprogramming wherein they evolve as highly tolerant
CSC phenotypes with greater survival advantages. The CSCs possess inherent and
exclusive properties including self-renewal, hierarchical differentiation, and
tumorigenicity that serve as the basis of chemo-radio-resistance in oral cancer.
However, the key mechanisms underlying the CSC-mediated therapy resistance need
to be further elucidated. A spectrum of dysfunctional cellular pathways including
the developmental signaling, apoptosis, autophagy, cell cycle regulation, DNA
damage responses and epigenetic regulations protect the CSCs from conventional
therapies. Moreover, tumor niche shelters CSCs and creates an immunosuppressive
environment favoring the survival of CSCs. Maintenance of lower redox status,
epithelial-to-mesenchymal transition (EMT), metabolic reprogramming and altered
drug responses are the accessory features that aid in the process of chemo-radio
resistance in oral CSCs. This review deals with the functional and molecular
basis of cancer cell pluripotency-associated resistance highlighting the abrupt
fundamental cellular processes; targeting these events may hold a great promise
in the successful treatment of oral cancer.
PMID- 27865367
TI - Circulating tumor stem like cells in oral squamous cell carcinoma: An unresolved
paradox.
AB - OBJECTIVE: Circulating tumor cells (CTCs) are increasingly gaining importance due
to their immense potential in enhancing diagnosis, prognosis and response to
therapy in solid malignancies. Therefore, we aimed to comprehend the molecular
diversity and critical role of this disseminated tumor population in OSCC.
METHODOLOGY: CD44+ subpopulation was isolated using immuno-magnetic cell
separation and their purity was validated using flow cytometry. Characterisation
of self renewal potential and resistance to chemotherapy was assessed using tumor
sphere forming and cytotoxicity assay. Gene expression profile of pertinent CSC
(CD44s, CD44v3, CD44v6) and stemness markers (Bmi1 and Nanog) was carried out in
CD44+ cells using Real Time PCR. Predominantly expressed markers and their
association with clinico-pathological conditions were substantiated in 30 OSCC
patients. RESULT: Flow cytometry analysis depicted a predominant population of
CD44+CD24-CD45- cells suggesting that circulating tumor cells had a subpopulation
of CSC like cells in the circulation. These cells demonstrated increased sphere
forming capability and intrinsic chemo-resistance compared to non-CSC, thus
indicating the CSC features of self-renewal and chemo-resistance. Additionally,
CD44+ cells showed significantly increased expression levels of CD44v6 and Nanog
compared to CD44- cells. Clinically, expression pattern of CD44v6 and Nanog
correlated with different anatomical subsites, loco-regional aggressiveness of
the disease and recurrence, thus opening newer avenues that can be explored for
better prognostic and therapeutic implications. CONCLUSION: This study explored
the inevitable role of CD44v6 and Nanog as circulating stem like cell markers in
assessment of loco-regional aggressiveness, detection of relapse and therapeutic
response and resistance.
PMID- 27865369
TI - Feasibility of using head and neck CT imaging to assess skeletal muscle mass in
head and neck cancer patients.
AB - OBJECTIVES: Patients with head and neck cancer (HNC) have a higher risk of
malnutrition and sarcopenia, which is associated with adverse clinical outcome.
As abdominal CT-imaging is often used to detect sarcopenia, such scans are rarely
available in HNC patients, possibly explaining why no studies investigate the
effect of sarcopenia in this population. We correlated skeletal muscle mass
assessed on head and neck CT-scans with abdominal CT-imaging. METHODS: Head and
neck, and abdominal CT-scans of trauma (n=51) and HNC-patients (n=52) were
retrospectively analyzed. On the head and neck CT-scans, the paravertebral and
sternocleidomastoid muscles were delineated. On the abdominal CT-scans, all
muscles were delineated. Cross-sectional area (CSA) of the muscles at the level
of the C3 vertebra was compared to CSA at the L3 level using linear regression. A
multivariate linear regression model was established. RESULTS: HNC-patients had
significantly lower muscle CSA than trauma patients (37.9 vs. 45.1cm2, p<0.001,
corrected for sex and age). C3 muscle CSA strongly predicted L3 muscle CSA
(r=0.785, p<0.001). This correlation was stronger in a multivariate model
including sex, age and weight (r=0.891, p<0.001). DISCUSSION: Assessment of
skeletal muscle mass on head and neck CT-scans is feasible and may be an
alternative to abdominal CT-imaging. This method allows assessment of sarcopenia
using routinely performed scans without additional imaging or additional patient
burden. Identifying sarcopenic patients may help in treatment selection, or to
select HNC patients for physiotherapeutic or nutritional interventions to improve
their outcome.
PMID- 27865368
TI - Effect of HPV on head and neck cancer patient survival, by region and tumor site:
A comparison of 1362 cases across three continents.
AB - OBJECTIVES: To explore whether HPV-related biomarkers predict oropharyngeal
squamous cell cancer (OPSCC) survival similarly across different global regions,
and to explore their prognostic utility among non-oropharyngeal (non-OP) head and
neck cancers. METHODS: Data from 1362 head and neck SCC (HNSCC) diagnosed 2002
2011 was used from epidemiologic studies in: Brazil (GENCAPO study, n=388), U.S.
(CHANCE study, n=472), and Europe (ARCAGE study, n=502). Tumors were centrally
tested for p16INK4a and HPV16 DNA (by PCR). Risk of mortality was examined using
Cox proportional hazard models. RESULTS: There were 517 OPSCC and 845 non-OP
HNSCC. Cases were primarily male (81%), ever smokers (91%), with median age of
58yearsandmedian follow-up of 3.1years (IQR=1.4-5.9). Among OPSCC, the risk of
mortality was significantly lower among 184 HPV-related (i.e., p16+/HPV16+)
compared to 333 HPV-unrelated (p16- and/or HPV16-) cases (HR=0.25, 95%CI=0.18
0.34). Mortality was reduced among HPV-related OPSCC cases from the U.S., Europe,
and Brazil (each p?0.01) and after adjustment, remained significantly reduced
(aHR=0.34, 95%CI=0.24-0.49). Among non-OP HNSCC, neither p16 (aHR=0.83,
95%CI=0.60-1.14), HPV16 DNA (aHR=1.20, 95%CI=0.89-1.63), or p16+/HPV16+
(aHR=0.59, 95%CI=0.32-1.08) was a significantly predictor of mortality. When
interaction was tested, the effect of HPV16/p16 was significantly different in
OPSCC than non-OP HNSCC (p-interaction=0.02). CONCLUSION: HPV-related OPSCCs had
similar survival benefits across these three regions. Prognostic utility of HPV
among non-OP HNSCC is limited so tumor HPV/p16 testing should not be routinely
done among non-OP HNSCC.
PMID- 27865370
TI - Hypermethylated ZNF582 and PAX1 are effective biomarkers for detection of oral
dysplasia and oral cancer.
AB - OBJECTIVES: This study investigated whether the methylation of ZNF582, PAX1,
SOX1, NKX6.1, and PTPRR genes in oral scrapings could be used to detect oral
dysplasia and oral cancer and to predict oral cancer recurrence. MATERIALS AND
METHODS: Oral scrapings were collected from 65 normal oral mucosa subjects, 107
oral precancer patients, and 95 oral squamous cell carcinoma patients.
Methylation levels of the five genes were quantified by real-time methylation
specific PCR after bisulfite conversion. RESULTS: Among the five tested genes,
methylated ZNF582 (ZNF582m) and PAX1 (PAX1m) were found to be appropriate
biomarkers for oral dysplasia and oral cancers. ZNF582m could detect mild
dysplasia or worse oral lesions with the sensitivity and specificity being 0.85
and 0.87, respectively. PAX1m performed better in identifying moderate dysplasia
or worse oral lesions with the sensitivity and specificity being 0.72 and 0.86,
respectively. Moreover, the methylation levels and positive rates for ZNF582m and
PAX1m were increased when disease severity increased. Thus, they may be
applicable as a triage tool for patients with abnormal visual oral examinations.
After cancer excision, both ZNF582m and PAX1m levels decreased. However, their
levels increased again at the subsequently recurrent sites in some patients
approximately 3-4 months before cancer recurrence. Finally, areca-quid chewing
alone and in combination with cigarette smoking or alcohol drinking were found to
be correlated with ZNF582 and PAX1 hypermethylation. CONCLUSION: We conclude that
hypermethylated ZNF582 and PAX1 are effective biomarkers for the detection of
oral dysplasia and oral cancer and for the prediction of oral cancer recurrence.
PMID- 27865371
TI - Assessing head and neck cancer patient preferences and expectations: A systematic
review.
AB - INTRODUCTION: To enhance the value of care, interventions should aim at improving
endpoints that matter to patients. The preferences of head and neck cancer
patients regarding treatment outcomes are therefore a major topic for patient
centered research. METHODS: A systematic review (PROSPERO number CRD42016035692)
was conducted by searching electronic databases (Medline, Embase, Cochrane,
CINAHL) for articles evaluating patient or surrogate preferences in head and neck
cancer. A qualitative review was performed but no quantitative synthesis.
RESULTS: Of 817 references retrieved, 20full-text articles were eventually
included in the qualitative analysis Disease sites included mixed head and neck
tumor sites, n=9; larynx, n=6; oropharynx/oral cavity, n=5. Overall, patients
prioritized survival over functional endpoints. However, preferences and utility
scores varied greatly between patients and healthy subjects, and differences were
less pronounced with spouses or healthcare providers. Findings from studies of
laryngeal preservation are consistent and conclude that a subset of patients
would be willing to compromise a certain amount of survival to avoid
laryngectomy. On the other hand, studies of patients with oropharyngeal cancer
are too heterogeneous to draw conclusions about acceptable functional trade-offs
or priorities, and should be the focus of future research. CONCLUSION: Future
research surrounding head and neck cancer patients will most likely be clinically
applicable if the questions are focused on well-defined patient groups and
treatment options. Gathering reliable and valid quality-of-life data, designing
patient preference studies that use reliable and generalizable methods, and using
the results to develop decision aids for shared decision-making strategies are
recommended going forward.
PMID- 27865372
TI - Phase II study of panitumumab and paclitaxel as first-line treatment in recurrent
or metastatic head and neck cancer. TTCC-2009-03/VECTITAX study.
AB - OBJECTIVE: To evaluate the activity and safety profile of panitumumab in
combination with paclitaxel in patients with recurrent or metastatic SCCHN.
MATERIALS AND METHODS: The VECTITAX phase II, open-label, multicenter study
included patients with confirmed metastatic and/or recurrent SCCHN deemed to be
untreatable by surgery or radiotherapy and ECOG PS=0-1. All patients received
paclitaxel (80mg/m2/week) and panitumumab (6mg/kg/2weeks) until disease
progression or unacceptable toxicity. EQ-5D-3L andvisual analogic scale (VAS)
were used to evaluate impact on quality of life (QoL). RESULTS: The study
included 40 patients (ITT population): (median age: 61 years; 87% male). Previous
treatment: 29 patients (73%) had undergone surgery, 34 (85%) had received prior
radiotherapy and 23 (58%) prior systemic treatment for locally advanced disease.
Confirmed response was observed in 19 patients (48%) which was a complete
response in 15% of patients. Stable disease was observed in 11 patients (28%).
Disease control rate was 75%. Median progression-free survival was 7.5 months
(95%CI: 4.9-8.3) and median overall survival 9.9 months (95%CI: 7.9-16.3). Most
frequent grade 3-4 adverse events were skin rash (25%); asthenia (17%);
neurotoxicity (15%); hypomagnesemia (10%); neutropenia (10%). Permanent
discontinuation of panitumumab or paclitaxel due to adverse events was required
in 10 (25%) and 13 patients (33%), respectively. There was one toxic death due to
febrile neutropenia. Patient-reported QoL was preserved with no decline of median
VAS scores. CONCLUSION: Panitumumab and paclitaxel is an active combination,
providing promising outcomes with preservation of the QoL and a favorable safety
profile. (EudraCT: 2010-018898-37; NCT01264328).
PMID- 27865373
TI - Extracapsular spread in head and neck squamous cell carcinoma: A systematic
review and meta-analysis.
AB - Extracapsular spread (ECS) is one of the most important prognostic factors in
head and neck squamous cell carcinoma (HNSCC). However, despite its major
clinical relevance, there are still a number of important remaining issues
regarding this condition. Indeed, standardized diagnostic and grading criteria of
ECS are still lacking. The imaging modality of choice for its diagnosis is a
matter of debate. Current research looking at the identification of specific
biomarkers is ongoing. Recent findings demonstrate a direct correlation between
the level of extension of ECS and a poor prognosis. Accumulating data show that
ECS does not carry the same adverse features in human papilloma virus (HPV)
positive oropharyngeal squamous cell carcinoma (OPSCC). New treatment strategies
based on these factors are currently considered to investigate the possibility of
diminishing the toxicity of chemo-radiotherapy while maintaining similar
outcomes. The goal of this article was to provide a systematic review of the
literature covering all the issues related to ECS. As an additional component of
the review, meta-analyses were performed on relevant aspects of ECS for which
previous quantitative data were outdated or not available. The results of these
meta-analyses confirm the negative impact of ECS on loco-regional recurrence and
distant metastasis. They also demonstrate the absence of a negative impact of ECS
in HPV-positive OPSCC.
PMID- 27865374
TI - Clinical implications of the extent of BRAFV600E alleles in patients with
papillary thyroid carcinoma.
AB - OBJECTIVE: There are many conflicting reports about the clinical implications of
BRAFV600E in papillary thyroid cancer (PTC). We investigated the associations
between the extent of BRAFV600E alleles and both clinico-pathological features
and recurrence of PTC. MATERIALS AND METHODS: Carcinoma tissues from 60 patients
with PTC were genotyped for BRAFV600E using pyrosequencing, and the clinico
pathological factors and disease outcomes of the patients were examined. The
associations between the extent of mutant BRAF alleles and both clinico
pathological parameters and recurrence-free survival (RFS) were analyzed.
RESULTS: The BRAFV600E mutation was detected in 66.7% (40/60) of our PTC
patients. When we defined four groups on the basis of the extent of BRAFV600E
alleles by pyrosequencing-negative (less than 5%), low (5 - less than 15%),
intermediate (15 - less than 25%), and high (25% or greater)- the four groups
showed statistically significant differences regarding lymph node (LN) metastasis
and recurrence (P<0.05). However, age, gender, tumor size, multicentricity,
capsular invasion, and lymphovascular invasion were not significantly different
among the groups. The 10-year RFS rates in PTC patients with greater than 25% and
less than 25% mutated BRAF alleles were 74% and 100%, respectively. This
difference was significant (P=0.043). CONCLUSIONS: A high extent more than 25% of
BRAFV600E alleles may be associated with disease outcome in PTC patients. We need
more data to verify a hypothesis that the extent of BRAF mutations may be
clinically informative in the management of PTC, such as by tailoring proper
surgical and radioactive iodine treatments and determining appropriate management
during follow-up.
PMID- 27865375
TI - Optimize the cycle of neoadjuvant chemotherapy for locoregionally advanced
nasopharyngeal carcinoma treated with intensity-modulated radiotherapy: A
propensity score matching analysis.
AB - OBJECTIVES: The aim of this study is to optimize the cycle for patients with
locoregionally advanced nasopharyngeal carcinoma (NPC) receiving neoadjuvant
chemotherapy (NCT) in the era of intensity-modulated radiotherapy (IMRT).
MATERIALS AND METHODS: Data on 569 locoregionally advanced NPC patients treated
with IMRT were retrospectively reviewed. Propensity score matching (PSM) method
was adopted to balance prognostic factors and match patients. Survival outcomes
of matched patients between different NCT cycle groups were compared. RESULTS:
The median cycle of NCT was 2 (range, 2-4 cycles) for the whole cohort, and
patients were therefore stratified as low cycle (=2) and high cycle (>2) groups.
In total, 247 pairs of NPC patients were selected by PSM. Univariate analysis
found no significantly prognostic difference between the low cycle and high cycle
groups, and multivariate analysis did not establish NCT cycle as an independent
factor. However, stratified analysis revealed patients in the low cycle group had
better OS than those of patients in the high cycle group (92.4% vs. 80.8%,
P=0.029), and NCT was identified as an independent prognostic factor for OS in
patients with N2-3 category (HR, 2.252; 95% CI, 1.024-4.953; P=0.043).
CONCLUSION: Two cycles of NCT may be enough and additional more cycles are not
associated with improved survival outcomes for patients with locoregionally
advanced NPC in the era of IMRT.
PMID- 27865376
TI - Free flap outcome of salvage surgery compared to primary surgery for head and
neck defects: A propensity score analysis.
AB - OBJECTIVES: To compare free flap outcomes for head and neck defects between
primary surgery and salvage surgery and identify factors affecting the outcomes
in the two settings. METHODS: A total of 225 patients (primary group, n=56;
salvage group, n=169) were retrospectively identified. The salvage group was
previously treated with radiotherapy, chemoradiation, surgery, or any combination
of these treatments. Clinical data were retrieved and analyzed between the two
groups to compare the incidence and contributing factors of flap-related
complications and flap failure. A propensity score analysis with matching T
stage, defect, and flap types was also performed for unbiased comparisons.
RESULTS: Flap-related complication rate was 22.2% in all patients. The salvage
group showed higher rates of wound dehiscence than the primary group (3.6% in
primary vs. 13.0% in salvage; p=0.04). Flap failures occurred in 10 patients
(4.4%), including 3 (5.4%) in the primary group and 7 (4.1%) in the salvage group
(p=0.71). Multivariate analysis showed no critical factor that influenced the
occurrence of flap-related complications or flap failure, including surgery type
(primary or salvage). In propensity score analysis, incidences and types of flap
related complications and flap failure were not statistically different between
the two groups (primary and salvage). CONCLUSIONS: Free flap reconstruction is a
safe and reliable method to restore the ablative defects in previously irradiated
or operated head and neck defects.
PMID- 27865377
TI - Risk factors for radiation failure in early-stage glottic carcinoma: A systematic
review and meta-analysis.
AB - BACKGROUND: Radiotherapy is one of the main treatment modalities for early-stage
glottic carcinoma. Unfortunately, local failure may occur in a group of cases
with T1-T2 glottic carcinoma. This meta-analysis sought to determine risk factors
for radiation failure in patients with early-stage glottic carcinoma. METHODS: A
systematic and comprehensive search was performed for related studies published
between 1995 and 2014. The primary end-point was 5-year local control. Data
extraction and analysis were performed using the software STATA/SE 13.1 for
Windows. RESULTS: Twenty-seven studies were eligible. A higher risk of radiation
failure was demonstrated in male patients [relative risk (RR): 0.927, p<0.001]
and those with low hemoglobin level (RR: 0.891, p<0.001) with a high agreement
between studies (I-squared=0.0%). Moreover, T2 tumors (RR: 0.795, p<0.001),
tumors with anterior commissure involvement (RR: 0.904, p<0.001), tobacco use
during/after therapy (RR: 0.824, p<0.001), and "bulky" tumors (RR: 1.270,
p<0.001] or tumors bigger in size (RR: 1.332, p<0.001]. Poorly differentiated
tumors had a questionable risk of local failure, although a moderate to high
interstudy heterogeneity was determined. A statistically significant contribution
was not detected for age, presence of comorbidity, alcohol use or subglottic
extension. CONCLUSION: This is the first meta-analysis which assessed the
potential risk factors for radiation failure in patients with early-stage glottic
carcinoma. Gender and pretreatment hemoglobin level are major influential factors
associated with radiation failure in patients with early-stage glottic carcinoma.
However, prospective, randomized clinical trials may permit better stratification
of their relative contributions, and those who may benefit more from upfront
surgery.
PMID- 27865378
TI - Development of immune-biomarkers of pulmonary tuberculosis in a rabbit model.
AB - Tuberculosis (TB) causes extensive morbidity and mortality worldwide with
approximately 10 million new cases of active disease emerging mostly from a pool
of two billion individuals latently infected with Mycobacterium tuberculosis (M.
tb) every year. The underlying host immune responses that drive M. tb infection
to active disease or latency are not well understood. We propose that
identification and characterization of host immune biomarkers will be helpful to
better understand the mechanisms that drive this process, and may, in addition,
lead to the development of better diagnostic tools for TB. We have previously
reported the profiles of plasma immune biomarkers in pulmonary TB patients in
endemic countries, and in M. tb-infected nonhuman primates. However, biomarker
profiling for a cost-effective and user-friendly animal model relevant to human
disease, such as rabbit, has not been developed. One challenge in the analysis of
circulating cytokines/chemokines for rabbit model of TB is the limited
availability of validated immune-reagents. Here we report the use of a
commercially available multiplex microbead human cytokine/chemokine panels as
development platform for rabbit immune reagents. The results demonstrate their
utility to determine circulating analytes and define their profiles related to TB
in the rabbit model. In addition, we report the profiles of circulating anti-M.
tb antibodies in the plasma of rabbits with active pulmonary TB. These studies
show that the pattern of expression of circulating immune biomarkers correlate
with TB pathology in rabbits, and are similar to those defined in pulmonary TB
patients.
PMID- 27865380
TI - Highly sensitive sequence specific qPCR detection of Mycobacterium tuberculosis
complex in respiratory specimens.
AB - Nucleic acid amplification tests for Mycobacterium tuberculosis (MTB) detection
from sputum are highly sensitive and specific with smear microscopy positive
specimens, but their sensitivity with smear-negative/culture-positive specimens
is much lower; therefore, these tests cannot rule out a tuberculosis diagnosis.
Co-extraction of PCR inhibitors may be a cause of decreased test sensitivity.
Here the design and early validation of a MTB screening assay with sample
preparation and qPCR methods designed to specifically address this diagnostic gap
is reported. First, human genomic DNA is identified as a significant qPCR
inhibitor. To circumvent this problem, a novel, streamlined sample preparation
method utilizing detergent and proteolysis to thin the sputum and DNA sequence
specific MTB DNA isolation was developed. Additionally, a multiplexed qPCR assay
targeting two MTB complex-specific loci: the potentially multi-copy IS6110 and
the single-copy senX3-regX3, combined with the cotJC gene from Bacillus
atrophaeus spores amplified as a process control was developed. The limit of
detection of the test was estimated to be 20 cfu/ml which is significantly lower
than the Xpert(r) MTB/RIF assay. In a preliminary field study of 60 de-identified
blinded sputa, a test sensitivity of 96% and specificity of 100% was observed
when compared to the Xpert(r) MTB/RIF assay.
PMID- 27865382
TI - BCG infection in mice is promoted by naive mesenchymal stromal cells (MSC) and
suppressed by poly(A:U)-conditioned MSC.
AB - Mesenchymal stromal cells (MSC) transplantation is an actively studied
therapeutic approach used in regenerative medicine and in the field of control of
immunoinflammatory response. Conditioning of MSC in culture can form their
predominantly pro- or anti-inflammatory phenotypes. We demonstrated that
poly(A:U)-conditioning of bone marrow-derived mouse MSC induced predominantly pro
inflammatory phenotype. The effects of administration of naive MSC (nMSC) or
conditioned MSC (cMSC) on the course of mycobacterial infection were studied.
BALB/c mice infected i.p. with 5 * 106 M. bovis BCG were successively injected
i.v. with 0.75 * 106 of nMSC or cMSC in 11 and 12.5 weeks after infection and
sacrificed at the week 14. Histological and bacteriological examination of BCG
infected animals revealed low bacterial loads in liver, lungs and spleen; the
bacterial load in spleen was higher than in other organs. Treatment with nMSC
induced 3-fold increase of the number of bacteria in spleen granulomas, while
cMSC decreased significantly the number of bacteria in BCG-positive granulomas.
Analysis of preparations of organ homogenates by luminescent microscopy, MGIT
cultures and CFU count on Lowenstein-Jensen medium revealed that nMSC promoted
mycobacterial growth whereas cMSC suppressed mycobacterial growth significantly.
We concluded that MSC therapy can be effective in mycobacterial infection, but
only in a case of appropriate conditioning of the cells.
PMID- 27865381
TI - The impact of Bacille Calmette-Guerin shortage on immunisation practice and
policies in Europe - A Paediatric Tuberculosis Network European Trials Group
(ptbnet) survey.
AB - BACKGROUND: Recent reports indicate an ongoing BCG shortage that may influence
immunisation practice. This study aimed to determine current availability of BCG
vaccine across Europe, and implications on immunisation practices and policies in
Europe. METHODS: Web-based survey among Paediatric Tuberculosis Network European
Trials Group (ptbnet) members, between May and October 2015. RESULTS: Twenty
individuals from 13 European countries participated. Ongoing shortages were
reported in eight countries routinely using BCG (8/11, 73%). As a consequence of
the shortage, BCG was not given as completely unavailable in some countries (2/8,
25%), was given only whenever available (1/8, 13%), or only in certain regions of
the country (1/8, 13%). Strategies reported to reduce loss of immunisation were
administration to selected high-risk individuals (2/8, 25%), or cohorting
vaccinees on specific days to maximise the use of multi-dose vials (3/8, 38%).
Authorities in two countries each were considering a change of
manufacturer/supplier (2/8, 25%). CONCLUSIONS: The BCG shortage in Europe leads
to significant changes in immunisation policies including changes of BCG vaccine
strain and manufacturer. In addition, infants and children eligible for
immunisation are at risk of not receiving BCG. To ensure necessary BCG
immunisations, collaboration between national health agencies and vaccine
manufacturers is crucial.
PMID- 27865383
TI - Analytical and clinical performance characteristics of the Abbott RealTime MTB
RIF/INH Resistance, an assay for the detection of rifampicin and isoniazid
resistant Mycobacterium tuberculosis in pulmonary specimens.
AB - Clinical management of drug-resistant tuberculosis patients continues to present
significant challenges to global health. To tackle these challenges, the Abbott
RealTime MTB RIF/INH Resistance assay was developed to accelerate the diagnosis
of rifampicin and/or isoniazid resistant tuberculosis to within a day. This
article summarizes the performance of the Abbott RealTime MTB RIF/INH Resistance
assay; including reliability, analytical sensitivity, and clinical
sensitivity/specificity as compared to Cepheid GeneXpert MTB/RIF version 1.0 and
Hain MTBDRplus version 2.0. The limit of detection (LOD) of the Abbott RealTime
MTB RIF/INH Resistance assay was determined to be 32 colony forming
units/milliliter (cfu/mL) using the Mycobacterium tuberculosis (MTB) strain H37Rv
cell line. For rifampicin resistance detection, the Abbott RealTime MTB RIF/INH
Resistance assay demonstrated statistically equivalent clinical sensitivity and
specificity as compared to Cepheid GeneXpert MTB/RIF. For isoniazid resistance
detection, the assay demonstrated statistically equivalent clinical sensitivity
and specificity as compared to Hain MTBDRplus. The performance data presented
herein demonstrate that the Abbott RealTime MTB RIF/INH Resistance assay is a
sensitive, robust, and reliable test for realtime simultaneous detection of first
line anti-tuberculosis antibiotics rifampicin and isoniazid in patient specimens.
PMID- 27865379
TI - Antibodies and tuberculosis.
AB - Tuberculosis (TB) remains a major public health problem internationally, causing
9.6 million new cases and 1.5 million deaths worldwide in 2014. The Bacillus
Calmette-Guerin vaccine is the only licensed vaccine against TB, but its
protective effect does not extend to controlling the development of infectious
pulmonary disease in adults. The development of a more effective vaccine against
TB is therefore a pressing need for global health. Although it is established
that cell-mediated immunity is necessary for the control of latent infection, the
presupposition that such immunity is sufficient for vaccine-induced protection
has recently been challenged. A greater understanding of protective immunity
against TB is required to guide future vaccine strategies against TB. In contrast
to cell-mediated immunity, the human antibody response against M.tb is
conventionally thought to exert little immune control over the course of
infection. Humoral responses are prominent during active TB disease, and have
even been postulated to contribute to immunopathology. However, there is evidence
to suggest that specific antibodies may limit the dissemination of M.tb, and
potentially also play a role in prevention of infection via mucosal immunity.
Further, antibodies are now understood to confer protection against a range of
intracellular pathogens by modulating immunity via Fc-receptor mediated
phagocytosis. In this review, we will explore the evidence that antibody-mediated
immunity could be reconsidered in the search for new vaccine strategies against
TB.
PMID- 27865384
TI - The in vitro mechanisms of isoniazid and ethionamide resistance poorly reflect
those in vivo in Mycobacterium tuberculosis.
PMID- 27865385
TI - The characteristic profiles of PD-1 and PD-L1 expressions and dynamic changes
during treatment in active tuberculosis.
AB - PD-1 is a cell surface receptor of activated T and B lymphocytes and it's role in
tuberculosis is controversial because of lack of congruence between clinical
study and animal model. To investigate the immunological pathogenesis mechanisms
of tuberculosis and to develop the immune therapy target essential for
controlling tuberculosis, here we explored the expression characteristics and
dynamic changes of PD-1/PD-L1 pathway in different CD4+T cell subsets. We
enrolled 24 human subjects including 15 active tuberculosis (ATB) patients and 9
healthy donors (HD). The expressions of PD-1 and PD-L1 on CD4+T cells increased
significantly in ATB patients than HD. ATB patients had a higher proportion of
regulatory T cells (Treg, CD4+CD25 + Foxp3+) than HD. The expressions of PD-1 and
PD-L1 increased remarkably on CD4+T cell subsets, including Treg cells, Tresp
(CD4+CD25-) cells and Teff (CD4+CD25 + Foxp3-) cells. Finally, clinical
improvement following effective anti-TB therapy is correlated with significantly
decreased expression of PD-1 in Tresp and Teff cells, but not in Treg cells.
Thus, expression profiles of PD-1 in T cell subpopulations may be used as a
candidate to predict the clinical efficacy of anti-tuberculosis therapy.
Modulation of PD-1/PD-L1 pathway in CD4 subsets may offer an immunotherapy target
for the control of tuberculosis.
PMID- 27865386
TI - Factors associated with anti-TB drug-induced hepatotoxicity and genetic
polymorphisms in indigenous and non-indigenous populations in Brazil.
AB - Anti-tuberculosis (TB) drugs are responsible for the occurrence of several
adverse drug reactions (ADRs), including hepatotoxicity. The aim was to estimate
the incidence of hepatotoxicity and its association with genetic polymorphisms
and clinical-epidemiological factors by comparing indigenous and non-indigenous
TB patients. We investigated clinical-epidemiological variables, serum levels of
liver enzymes and NAT2, CYP2E1 and GSTM1 polymorphisms. A non-conditional
logistic regression was used to identify the factors associated with
hepatotoxicity. Odds ratios were used as the association measures. The incidence
of hepatotoxicity was 19.7% for all patients. The risk of hepatotoxicity was
almost four times higher in indigenous patients, comparing to non-indigenous. We
identified a new nonsynonymous single nucleotide polymorphism of NAT2 in
indigenous patients. In total, 54.6% of the patients expressed a slow acetylation
phenotype profile. The frequency of the null genotype of GSTM1 was higher in non
indigenous patients (p = 0.002), whereas no significant differences in relation
to polymorphisms of CYP2E1 were observed between the groups. Hepatotoxicity was
associated with patients older than 60 and indigenous (OR = 26.0; 95%CI:3.1
217.6; OR = 3.8; 95%CI:1.3-11.1, respectively). Furthermore, hepatotoxicity was
associated with a slow acetylation profile in indigenous patients (OR = 10.7;
95%CI:1.2-97.2). Our findings suggest that there are distinct acetylation
profiles in the Brazilian population, emphasizing the importance of
pharmacogenetic analyses for achieving personalized therapeutic schemes and
better outcomes.
PMID- 27865387
TI - MicroRNA expression signatures in lungs of mice infected with Mycobacterium
tuberculosis.
AB - Tuberculosis (TB) is a major public health concern worldwide; however the factors
that account for resistance or susceptibility to disease are not completely
understood. Although some studies suggest that the differential expression of
miRNAs in peripheral blood of TB patients could be useful as biomarkers of active
disease, their involvement during the inflammatory process in lungs of infected
individuals is unknown. Here, we evaluated the global expression of miRNAs in the
lungs of mice experimentally infected with Mycobacterium tuberculosis on 30 and
60 days post-infection. We observed that several miRNAs were differentially
expressed compared to uninfected mice. Furthermore, we verified that the
expression of miR-135b, miR-21, miR-155, miR-146a, and miR-146b was significantly
altered in distinct leukocyte subsets isolated from lungs of infected mice, while
genes potentially targeted by those miRNAs were associated with a diversity of
immune related molecular pathways. Importantly, we validated the inhibition of
Pellino 1 expression by miR-135b in vitro. Overall, this study contributes to the
understanding of the dynamics of miRNA expression in lungs during experimental TB
and adds further perspectives into the role of miRNAs on the regulation of immune
processes such as leukocyte activation.
PMID- 27865388
TI - Quick and cheap MIRU-VNTR typing of Mycobacterium tuberculosis species complex
using duplex PCR.
AB - While minisatellites are usually typed using capillary sequencers or qiaplex
systems in developed countries, many low-resource regions cannot afford it. We
propose an optimized agarose gel electrophoresis method to genotype Mycobacterium
tuberculosis species complex minisatellites in their standardized format (24 MIRU
VNTR). It is based on duplex PCRs combining VNTR loci harboring distinct amplicon
sizes whatever the repetition number of each locus. This method performs well
both on DNA extracts of good quality and on thermolysates while reducing workload
and reagents costs.
PMID- 27865389
TI - Mycobacterium indicus pranii as a booster vaccine enhances BCG induced immunity
and confers higher protection in animal models of tuberculosis.
AB - BCG, the only approved vaccine protects against severe form of childhood
tuberculosis but its protective efficacy wanes in adolescence. BCG has reduced
the incidence of infant TB considerably in endemic areas; therefore prime-boost
strategy is the most realistic measure for control of tuberculosis in near
future. Mycobacterium indicus pranii (MIP) shares significant antigenic
repertoire with Mtb and BCG and has been shown to impart significant protection
in animal models of tuberculosis. In this study, MIP was given as a booster to
BCG vaccine which enhanced the BCG mediated immune response, resulting in higher
protection. MIP booster via aerosol route was found to be more effective in
protection than subcutaneous route of booster immunization. Pro-inflammatory
cytokines like IFN-gamma, IL-12 and IL-17 were induced at higher level in
infected lungs of 'BCG-MIP' group both at mRNA expression level and in secretory
form when compared with 'only BCG' group. BCG-MIP groups had increased frequency
of multifunctional T cells with high MFI for IFN-gamma and TNF-alpha in Mtb
infected mice. Our data demonstrate for the first time, potential application of
MIP as a booster to BCG vaccine for efficient protection against tuberculosis.
This could be very cost effective strategy for efficient control of tuberculosis.
PMID- 27865391
TI - Modulation of dendritic cell and monocyte subsets in tuberculosis-diabetes co
morbidity upon standard tuberculosis treatment.
AB - Type 2 diabetes mellitus (DM) is a major risk factor for the development of
active pulmonary tuberculosis (PTB), with development of DM pandemic in countries
where tuberculosis (TB) is also endemic. However, the effect of anti-TB treatment
on the changes in dentritic cell (DC) and monocyte subset phenotype in TB-DM co
morbidity is not well understood. In this study, we characterized the frequency
of DC and monocyte subsets in individuals with PTB with (PTB-DM) or without
coincident diabetes mellitus (PTB-NDM) before, during and after completion of
anti-TB treatment. PTB-DM is characterized by diminished frequencies of
plasmacytoid and myeloid DCs and classical and intermediate monocytes at baseline
and 2 months of anti-TB treatment but not following 6 months of treatment
completion in comparison to PTB-NDM. DC and monocyte subsets exhibit significant
but borderline correlation with fasting blood glucose and glycated hemoglobin
levels. Finally, while minor changes in the DC and monocyte compartment were
observed at 2 months of treatment, significantly increased frequencies of
plasmacytoid and myeloid DCs and classical and intermediate monocytes were
observed at the successful completion of anti-TB treatment. Our data show that
coincident diabetes alters the frequencies of innate subset distribution of DC
and monocytes in TB-DM co-morbidity and suggests that most of these changes are
reversible following anti-TB therapy.
PMID- 27865392
TI - Mycobacterium indicus pranii (MIP) mediated host protective intracellular
mechanisms against tuberculosis infection: Involvement of TLR-4 mediated
signaling.
AB - Mycobacterium tuberculosis infection inflicts the disease Tuberculosis (TB),
which is fatal if left untreated. During M. tuberculosis infection, the pathogen
modulates TLR-4 receptor down-stream signaling, indicating the possible
involvement of TLR-4 in the regulation of the host immune response. Mycobacterium
indicus pranii (MIP) possesses immuno-modulatory properties which induces the pro
inflammatory responses via induction of TLR-4-mediated signaling. Here, we
observed the immunomodulatory properties of MIP against tuberculosis infection.
We have studied the detailed signaling mechanisms employed by MIP in order to
restore the host immune response against the in vitro tuberculosis infection. We
observed that in infected macrophages MIP treatment significantly increased the
TLR-4 expression as well as activation of its downstream signaling, facilitating
the activation of P38 MAP kinase. MIP treatment was able to activate NF-kappaB
via involvement of TLR-4 signaling leading to the enhanced pro-inflammatory
cytokine and NO generation in the infected macrophages and generation of
protective immune response. Therefore, we may suggest that, TLR4 may represent a
novel therapeutic target for the activation of the innate immune response during
Tuberculosis infection.
PMID- 27865390
TI - Alternative BCG delivery strategies improve protection against Mycobacterium
tuberculosis in non-human primates: Protection associated with mycobacterial
antigen-specific CD4 effector memory T-cell populations.
AB - Intradermal (ID) BCG injection provides incomplete protection against TB in
humans and experimental models. Alternative BCG vaccination strategies may
improve protection in model species, including rhesus macaques. This study
compares the immunogenicity and efficacy of BCG administered by ID and
intravenous (IV) injection, or as an intratracheal mucosal boost (ID + IT),
against aerosol challenge with Mycobacterium tuberculosis Erdman strain. Disease
pathology was significantly reduced, and survival improved, by each BCG
vaccination strategy, relative to unvaccinated animals. However, IV induced
protection surpassed that achieved by all other routes, providing an opportunity
to explore protective immunological mechanisms using antigen-specific IFN-gamma
ELISpot and polychromatic flow cytometry assays. IFN-gamma spot forming units and
multifunctional CD4 T-cell frequencies increased significantly following each
vaccination regimen and were greatest following IV immunisation. Vaccine-induced
multifunctional CD4 T-cells producing IFN-gamma and TNF-alpha were associated
with reduced disease pathology following subsequent M.tb challenge; however, high
frequencies of this population following M.tb infection correlated with increased
pathology. Cytokine producing T-cells primarily occupied the CD4 transitional
effector memory phenotype, implicating this population as central to the
mycobacterial response, potentially contributing to the stringent control
observed in IV vaccinated animals. This study demonstrates the protective
efficacy of IV BCG vaccination in rhesus macaques, offering a valuable tool for
the interrogation of immunological mechanisms and potential correlates of
protection.
PMID- 27865394
TI - Recent developments in genomics, bioinformatics and drug discovery to combat
emerging drug-resistant tuberculosis.
AB - Emergence of drug-resistant tuberculosis (DR-TB) is a big challenge in TB
control. The delay in diagnosis of DR-TB leads to its increased transmission, and
therefore prevalence. Recent developments in genomics have enabled whole genome
sequencing (WGS) of Mycobacterium tuberculosis (M. tuberculosis) from 3-day-old
liquid culture and directly from uncultured sputa, while new bioinformatics tools
facilitate to determine DR mutations rapidly from the resulting sequences. The
present drug discovery and development pipeline is filled with candidate drugs
which have shown efficacy against DR-TB. Furthermore, some of the FDA-approved
drugs are being evaluated for repurposing, and this approach appears promising as
several drugs are reported to enhance efficacy of the standard TB drugs, reduce
drug tolerance, or modulate the host immune response to control the growth of
intracellular M. tuberculosis. Recent developments in genomics and bioinformatics
along with new drug discovery collectively have the potential to result in
synergistic impact leading to the development of a rapid protocol to determine
the drug resistance profile of the infecting strain so as to provide personalized
medicine. Hence, in this review, we discuss recent developments in WGS,
bioinformatics and drug discovery to perceive how they would transform the
management of tuberculosis in a timely manner.
PMID- 27865395
TI - Innovative Tuberculosis Symposium held during Cuba Salud 2015.
AB - The fourth Tuberculosis (TB) Symposium, held during the Cuba Salud 2015
International Convention, highlighted advancements in research on TB and
Mycobacterium tuberculosis (Mtb) by interdisciplinary teams from academic and
federal institutions in Cuba, Colombia, Mexico, and the Dominican Republic.
Delegates focused on the targets presented in the World Health Organization End
TB Strategy for 2016-2035 and elaborated on four primary themes: 1) attention to
vulnerable populations such as immunocompromised individuals, health care
workers, and residents of long-term institutions such as prisons and nursing
homes; 2) identification of active and latent TB cases through contact
investigations; 3) spread and control of drug-resistant Mtb strains; and 4)
advancements in the development of novel vaccines or "booster" immunizations.
This international TB forum served as a platform for experts in diverse
disciplines in these Latin American countries to discuss challenges faced by TB
research and control programs, proposing novel research initiatives and promoting
collaborative teamwork strategies for TB elimination. In solidarity,
collaborative efforts in TB control require identification of symptomatic
individuals, rapid diagnostic testing for TB, drug susceptibility assays on Mtb
strains, and management that provide universal and gratuitous access to directly
observed short-course therapy.
PMID- 27865393
TI - Selective reduction of IFN-gamma single positive mycobacteria-specific CD4+ T
cells in HIV-1 infected individuals with latent tuberculosis infection.
AB - HIV-1 is recognized to increase the risk for tuberculosis even before CD4+ T cell
deficiency is profound. To better understand how HIV-1 alters immunity to latent
tuberculosis, we compared the magnitude and functional profile of mycobacteria
specific CD4+ T cells between HIV-uninfected and HIV-infected individuals, using
flow cytometry. In HIV-1 infection, IFN-gamma single positive mycobacteria
specific CD4+ T cells were decreased, while the frequency of polyfunctional cells
(IFN-gamma+IL-2+TNF-alpha+) remained unchanged. Moreover, the proportion of IFN
gamma single positive cells correlated inversely with viral replication. Our
results suggest that HIV-1 affects mycobacteria-specific cells differentially,
depending on their functional capacity.
PMID- 27865398
TI - Primate models of tuberculosis. Faith-based or evidence-based science.
PMID- 27865396
TI - Mycobacterium smegmatis proteoliposome induce protection in a murine progressive
pulmonary tuberculosis model.
AB - Tuberculosis (TB) remains an important cause of mortality and morbidity. The TB
vaccine, BCG, is not fully protective against the adult form of the disease and
is unable to prevent its transmission although it is still useful against severe
childhood TB. Hence, the search for new vaccines is of great interest. In a
previous study, we have shown that proteoliposomes obtained from Mycobacterium
smegmatis (PLMs) induced cross reactive humoral and cellular response against
Mycobacterium tuberculosis (Mtb) antigens. With the objective to evaluate the
protective capability of PLMs, a murine model of progressive pulmonary TB was
used. Animals immunized with PLMs with and without alum (PLMs/PLMsAL
respectively) showed protection compared to non-immunized animals. Mice immunized
with PLMsAL induced similar protection as that of BCG. Animals immunized with
BCG, PLMs and PLMsAL showed a significant decrease in tissue damage (percentage
of pneumonic area/lung) compared to non-immunized animals, with a more prominent
effect in BCG vaccinated mice. The protective effect of the administration of
PLMs in mice supports its future evaluation as experimental vaccine candidate
against Mtb.
PMID- 27865397
TI - Transmission of multi-drug resistant tuberculosis in Mongolia is driven by
Beijing strains of Mycobacterium tuberculosis resistant to all first-line drugs.
AB - BACKGROUND: Mongolia has high and rising rates of multi-drug resistant
tuberculosis (MDR-TB). Spatio-temporal and programmatic evidence suggests a major
contribution from MDR-TB transmission, but genotypic evidence has not been
assessed. METHODS: All MDR-TB cases identified during 2012 were examined.
Demographic and bacteriological data were obtained from the National Tuberculosis
Reference Laboratory. Isolates of Mycobacterium tuberculosis from culture
confirmed category 1 treatment failures were genotyped using 24-loci
mycobacterium interspersed repetitive unit (MIRU-24) analysis. RESULTS: Of the
210 MDR-TB cases identified, 115 (54.8%) were treatment failures (34.8% category
1; 20.0% category 2). Streptomycin resistance was present in 156 (74.3%) cases;
including 55/73 (75.3%) category 1 treatment failures who had never been exposed
to streptomycin. Among category 1 treatment failures, Beijing lineage strains
predominated (88.0%; 59/67 of genotyped isolates). MIRU-24 clustering was
documented in 62.7% (42/67) of strains; 55.2% (37/67) remained clustered when
drug susceptibility test results were considered. In total 59.5% (25/42) of
clustered strains were Beijing lineage and demonstrated in-vitro resistance to
all first-line drugs tested. CONCLUSION: The MDR-TB epidemic in Mongolia appears
to be driven by primary transmission of Beijing lineage strains resistant to all
first-line drugs. Enhanced infection control strategies together with early MDR
TB case detection and appropriate treatment are necessary to limit escalation of
the MDR-TB epidemic.
PMID- 27865399
TI - High body mass index is associated with heightened systemic and mycobacterial
antigen - Specific pro-inflammatory cytokines in latent tuberculosis.
AB - High body mass index (HBMI) has been shown to be protective against active
tuberculosis (TB), although the biological mechanism underlying this protection
is poorly understood. The immunological association between HBMI and latent TB
has never been examined. In order to study the association of HBMI with latent
TB, we examined the circulating and TB- antigen or mitogen stimulated levels of a
large panel of cytokines in individuals with latent TB (LTB) and high or normal
body mass index (HBMI or NBMI). HBMI is characterized by heightened circulating
levels of pro-inflammatory (IFNgamma, TNFalpha, IL-22, IL-1alpha, IL-12 and GM
CSF) cytokines but decreased circulating levels of anti-inflammatory cytokines
(IL-4, IL-5 and TGFbeta). This systemic cytokine profile is associated with
elevated TB-antigen and mitogen stimulated levels of IFNgamma, TNFalpha, IL-2 and
IL-1alpha and diminished levels of IL-10 and TGFbeta. In addition, we also
observed a positive correlation between the circulating levels of IFNgamma,
TNFalpha, IL-22, IL-1alpha with BMI and a negative correlation between the
circulating levels of IL-10, TGFbeta and BMI. Our data, therefore, suggest the
modulation of protective and regulatory cytokines might underlie the protective
effect of HBMI against the development of active TB.
PMID- 27865400
TI - New Mycobacterium tuberculosis LAM sublineage with geographical specificity for
the Old World revealed by phylogenetical and Bayesian analyses.
AB - We recently showed that the Mycobacterium tuberculosis sublineage LAM9 could be
subdivided as two distinct subpopulations - each reflecting its unique
biogeographical structure and evolutionary history. We subsequently attempted to
verify if this genetic structuration could be traced in an enlarged global
sample. For this purpose, we analyzed global evolutionary relationships of LAM
strains in a large dataset (n = 1923 isolates from 35 countries worldwide) with
concomitant spoligotyping and MIRU-VNTR data, followed by a deeper analysis of
LAM9 sublineage (n = 851 isolates). Based on a combination of phylogenetical
analysis and Bayesian statistics, a total of three different clusters,
tentatively named LAM9C1, C2 and C3 were described in this dataset. Closer
inspection of the phylogenetic tree with concomitant data on origin of isolates
with genetic clusterization revealed LAM9C3 being the most tightly knit group
exclusively found in the Old World as opposed to LAM9C2 being a loosely-knit
group without any phylogeographical specificity; while LAM9C1 appeared with a
majority of strains being well-clustered despite some isolates that intermixed
with unrelated LAM clusters. Subsequently, we hereby describe a new M.
tuberculosis LAM sublineage named LAM9C3 with phylogeographical specificity for
the Old World. These findings open new perspectives to study respective migration
histories and adaptation to human hosts of specific M. tuberculosis clones during
the exploration and conquest of the New World. We therefore plan to reevaluate
the nomenclature and evolutionary history of various LAM sublineages using Whole
Genome Sequencing (WGS).
PMID- 27865401
TI - Relating annual migration from high tuberculosis burden country of origin to
changes in foreign-born tuberculosis notification rates in low-medium incidence
European countries.
AB - The level of immigration from high tuberculosis (TB) burden countries (HBCs)
which impacts on the foreign-born TB notification rate is largely unknown. In
this work, we performed a cross-sectional analysis of epidemiological data from
2000 to 2013 from nine European countries: Austria, Denmark, Finland, Hungary,
Netherlands, Norway, Spain, Sweden, and the United Kingdom. Crude notification
rates were calculated for foreign- and native-born populations and a multiple
linear regression model predicting notification rates with HBC population data
was generated. From 2000 to 2013, the population percentage with a foreign
birthplace increased on average each year in all nine countries, ranging from
+0.11%/year in the Netherlands to +0.66%/year in Spain. An annual increase in HBC
migrants above +0.43% per year (95% Confidence Interval: 0.24%-0.63%)
corresponded with higher TB notification rates in the foreign-born population of
the countries analyzed. This indicates that migration from HBCs can exert a
measurable effect on the foreign-born TB notification rate. However, an increase
in the foreign-born TB notification rate coincided with an average annual rise in
national TB notification rates only in countries, Norway (+3.85%/year) and Sweden
(+2.64%/year), which have a high proportion (>80%) of TB cases that are foreign
born.
PMID- 27865402
TI - Some proteins of M. tuberculosis that localise to the nucleus of THP-1-derived
macrophages.
AB - Host-pathogen dialectics in tuberculosis (TB) via DNA-protein interactions are
emerging. We investigated whether proteins produced by Mycobacterium tuberculosis
(Mtb) could translocate to the host nucleus. Using lysates of nuclei purified
from Mtb-infected THP-1-derived macrophages, we identified at least 15 proteins
of Mtb-origin by electrophoretic and chromatographic separation and mass
spectrometry. Western blotting confirmed time-dependent accumulation of Mtb EF
Tu, GroEL, GroES and MtrA in the host nucleus. MtrA could pull down at least 16
host proteins. Mtb proteins may have moonlighting functions that affect host gene
expression.
PMID- 27865403
TI - Propidium monoazide and Xpert MTB/RIF to quantify Mycobacterium tuberculosis
cells.
AB - Propidium monoazide (PMA) penetrates non-viable cells with compromised membranes.
PMA has been proposed to improve the specificity of Xpert MTB/RIF (Xpert) for the
detection of viable Mycobacterium tuberculosis. This study assessed the effect of
PMA on Xpert cycle thresholds (CT) of M. tuberculosis made non-viable under
antibiotic pressure. In vitro, we measured the difference between CT with and
without PMA (DeltaCT) in liquid cultures treated with one of six anti
tuberculosis drugs (isoniazid, rifampin, pyrazinamide, ethambutol, streptomycin,
moxifloxacin) and found significant DeltaCT only with isoniazid and ethambutol
for pan-susceptible M. tuberculosis and only with ethambutol for extensively drug
resistant M. tuberculosis. In the clinic we assessed DeltaCT in sputum samples
collected from patients with pulmonary tuberculosis before and at regular
intervals over 12 weeks after initiation of treatment. Before treatment start,
estimated CT were 19.3 (95% CI: 17.1-21.4) and 19.8 (95% CI: 17.6-22.1) without
and with PMA, respectively. Under treatment CT increased by 2.54 per ??day (95%
CI: 1.38-3.69) without PMA and an additional 0.55 per ??day (95% CI: 0.37-0.74; p
< 0.0001) with PMA. We conclude that PMA increases the specificity of Xpert for
viable M. tuberculosis but the effect is small and dependent on the antibiotics
used.
PMID- 27865404
TI - Formulation studies of InhA inhibitors and combination therapy to improve
efficacy against Mycobacterium tuberculosis.
AB - Previously, structure-based drug design was used to develop substituted diphenyl
ethers with potency against the Mycobacterium tuberculosis (Mtb) enoyl-ACP
reductase (InhA), however, the highly lipophilic centroid compound, SB-PT004,
lacked sufficient efficacy in the acute murine Mtb infection model. A next
generation series of compounds were designed with improved specificity, potency
against InhA, and reduced cytotoxicity in vitro, but these compounds also had
limited solubility. Accordingly, solubility and pharmacokinetics studies were
performed to develop formulations for this class and other experimental drug
candidates with high logP values often encountered in drug discovery. Lead
diphenyl ethers were formulated in co-solvent and Self-Dispersing Lipid
Formulations (SDLFs) and evaluated in a rapid murine Mtb infection model that
assesses dissemination to and bacterial burden in the spleen. In vitro synergy
studies were performed with the lead diphenyl ether compounds, SB-PT070 and SB
PT091, and rifampin (RIF), which demonstrated an additive effect, and that guided
the in vivo studies. Combinatorial therapy in vivo studies with these compounds
delivered in our Self-Micro Emulsifying Drug Delivery System (SMEDDS) resulted in
an additional 1.4 log10 CFU reduction in the spleen of animals co-treated with SB
PT091 and RIF and an additional 1.7 log10 reduction in the spleen with animals
treated with both SB-PT070 and RIF.
PMID- 27865405
TI - Identification of SP110 in horse (Equus caballus): Isolation of novel splice
variants and evidence of activation effects on macrophages.
AB - SP110 has previously shown to be a genetic determinant of host resistance to the
intracellular pathogen infection in mouse and human. However, its relevant
biological information in large non-primate animals still remains unknown. Here
we report the novel discovery and characterization of three transcript variants
of horse SP110. The transcript variant 1 (Tv1) of horse SP110 with the longest
open reading frame has four domains (Sp100, SAND, PHD and Bromo domain). Tv2 and
Tv3 share the same N-terminal sequence as Tv1, which contains Sp100 and SAND. We
show that Tv2 is generated from alternative splicing and deletion of Exon17
Exon18 segment, while Tv3 is generated by pre-mature transcriptional termination
at Exon 16. Furthermore, we demonstrate that the heterologous expression of horse
SP110 variants stimulate macrophages into an activation-like phenotype. The
macrophages underwent a shift in enhancing the secretion of cytokines
(interleukin-1 (IL-1) and TNF-alpha) and accelerating inducible nitric oxide
synthase (iNOS) activity, and eventually went into apoptotic cell death.
Intriguingly, horse SP110 Tv1 showed more capability to trigger the immune
activities compared to Tv2 and Tv3. To our knowledge, the identification of SP110
transcript variants from horse is the first report on biological function of
SP110 in perissodactyla animals.
PMID- 27865406
TI - An adverse immune-endocrine profile in patients with tuberculosis and type 2
diabetes.
AB - Diabetes is a risk factor for the development of pulmonary tuberculosis (TB) and
both diseases present endocrine alterations likely to play a role in certain
immuno-endocrine-metabolic associated disorders. Patients with TB, or with TB and
type 2 diabetes (TB + T2DM) and healthy controls (HCo) were assessed for plasma
levels of cortisol, dehydroepiandrosterone (DHEA), estradiol, testosterone,
growth hormone (GH), prolactin, insulin-like growth factor-1 (IGF-1), cytokines
(IL-6, IL-10, IFN-gamma) and the specific lymphoproliferative capacity of
peripheral blood mononuclear cells. All patients had higher levels of cortisol
with a reduction in DHEA, thus resulting in an increased cortisol/DHEA ratio
(Cort/DHEA). Increased prolactin and particularly GH levels were found in both
groups of TB patients. This was not paralleled by increased concentrations of
IGF, which remained within the levels of HCo. Estradiol levels were significantly
augmented in patients TB, and significantly more in TB + T2DM, whereas
testosterone levels were decreased in both groups of patients. IFN- gamma and IL
6 concentrations were significantly increased in all TB, even further in TB +
T2DM; while IL-10 was equally increased in both groups of TB patients. The in
vitro specific proliferative capacity was decreased in both groups of patients as
compared to that of HCo. The adverse immune-endocrine profile of TB seems to be
slightly more pronounced in patients who also have T2DM.
PMID- 27865407
TI - Resveratrol prevents capacitation-like changes and improves in vitro fertilizing
capability of buffalo frozen-thawed sperm.
AB - The aim of this study was to evaluate the effect of resveratrol supplementation
of semen extender on fertility parameters of frozen-thawed buffalo sperm. After
the initial semen assessment, buffalo semen was cryopreserved in BioXcell
containing 0 (control group), 0.5, 1, 10, and 50-MUM resveratrol. After thawing,
viability, motility, and capacitation status (assessed by localization of
phosphotyrosine-containing proteins) were evaluated. Based on the results of the
dose-response trial, the concentration of 50 MUM was selected for further
assessments, such as membrane integrity, total antioxidant capacity, reactive
oxygen species, and lipid peroxidation (LPO) levels. Moreover, in vitro
fertilizing ability by heterologous IVF and in vivo fertility were assessed. No
differences among groups were recorded in sperm motility and viability (on
average 52.3 +/- 2.1% and 76.6 +/- 1.3%, respectively). However, data showed a
resveratrol dose-dependent effect on sperm capacitation status, with a
significant reduction of the cryopreservation-induced capacitation with the
higher concentrations tested. In particular, both 10- and 50-MUM resveratrol
increased (P < 0.01) the percentage of sperm displaying pattern A (low
capacitation level), but treatment with 50-MUM resveratrol also decreased (P <
0.01) the proportion of sperm exhibiting pattern EA (high-capacitation level)
compared with the control. Interestingly, supplementation of semen extender with
resveratrol increased membrane integrity, indicated by the higher percentage of
hypo-osmotic swelling positive sperm (55.6 +/- 0.6 vs. 48.4 +/- 0.7; P < 0.01),
and total antioxidant capacity (1.36 +/- 0.01 vs. 1.32 +/- 0.02 mM/L; P < 0.05)
compared with the control. Intracellular reactive oxygen species decreased in
resveratrol-treated sperm compared with the control, as indicated by
dihydroethidium values (0.17 +/- 0.01 and 0.22 +/- 0.01 MUM/MUL dihydroethidium,
respectively; P < 0.01). Moreover, when IVF was carried out by using semen
treated with 50 MUM resveratrol, the normal fertilization rate considerably
improved (60.8%, P < 0.05) compared with the control (51.3%). However, no
differences were recorded in pregnancy rates at 60 days post-AI with resveratrol
treated semen (50 MUM) compared with the control (48.7 vs. 46.5%, respectively).
In conclusion, the inclusion of 50-MUM resveratrol in the extender decreases
capacitation-like changes and oxidative stress, improving membrane stability and
in vitro fertilizing ability of buffalo semen.
PMID- 27865408
TI - Progesterone and ultrasonographic changes during aglepristone or cloprosternol
treatment in queens at 21 to 22 or 35 to 38 days of pregnancy.
AB - Progesterone (P4) is a requirement for pregnancy development. Previous reports
observed a maximal value of serum P4 concentration on 21 days after the first
mating after which it slowly declines throughout the rest of pregnancy.
Ultrasound examination should be performed to ensure that pregnancy interruption
is complete. Limited information is available on the ultrasonic appearance of
conceptuses during pregnancy termination in cats The objective was to study serum
P4 concentration and ultrasonographic changes during aglepristone (ALI) or
cloprostenol (CLO) treatment and to evaluate the fertility after treatment. Two
experiments (EXP) were carried out to accomplish this aim. Sixty queens, 12- to
36-month-old, were used. On Days 21 to 22 of pregnancy (EXP I) or 35 to 38 of
pregnancy (EXP II), queens were divided into three groups (G). Queens in G1
received ALI (10 mg/kg, sc; EXP I, n = 10; EXP II, n = 10) for 2 consecutive
days. Queens in G2 received CLO (5 MUg/kg, sc; EXP I, n = 10; EXP II = 10) for 3
consecutive days. Queens in G3 received 1 mL of saline solution (PLA, sc; EXP I,
n = 10; EXP II = 10). Blood samples were taken before treatment (Day 0) and every
day during 10 days after the treatment to measure serum P4 concentrations.
Likewise, after treatment, queens were monitored daily by ultrasonography for 10
days and weekly until the end of gestation to obtain gestational sacs
measurements (GS), fetal measurements, and fetal biophysical profile. Data were
analyzed by ANOVA. Serum P4 concentrations were significantly different on Day 6
(EXP I) and on Day 1 (EXP II) in ALI and CLO groups compared with PLA group (P <
0.05 and P < 0.01; respectively). The ultrasonographic monitoring during
treatment allowed assessing changes in the GS and fetal measurements, embryo
fetal viability, and risk of pregnancy loss. In conclusion, the results from this
study reported changes in serum P4 concentration and in ultrasonography
measurements during pregnancy interruption with ALI or CLO treatment. Also it was
observed that ALI and CLO are safe drugs and can preserve posttreatment queen
fertility. Therefore, the results obtained in our work will be applied in feline
reproduction practice.
PMID- 27865409
TI - High somatic cell counts and changes in milk fat and protein contents around
insemination are negatively associated with conception in dairy cows.
AB - The fertility of dairy cows has decreased dramatically worldwide over the last
few decades, and several causes of this trend have been reported. Several studies
have associated compromised udder health with deteriorating reproduction
performance. Subclinical ketosis (SCK) has also been reported to be a risk factor
for decreased conception. The objective of the present study was to describe how
SCK might interact with the reported association between udder health and
conception in dairy cows. Data from the French Milk Control Program and data on
8,549,667 instances of artificial insemination (AI) and their corresponding
preceding and subsequent test-days from 5,979,701 Holstein cows were examined
over a 5-year period (2008-2012). The effect of udder health was evaluated
through a low (L) or high (H) somatic cell count (SCC) before and after AI using
a threshold of 200,000 cells/mL, and transformed into four groups (LL, LH, HL,
and HH). Three proxies for defining SCK were proposed based on the milk fat and
protein content (or their ratio) before AI. Statistical analysis first included a
generalized additive model to help define the optimal threshold values. Next, a
logistic regression with a Poisson correction was performed. On average, the risk
of conception at first AI was reduced by 14% for LH or HH cows (relative risk
[and 95% CI] = 0.86 [0.85-0.87]) when the SCC increased or remained high within
40 days before and after AI, relative to LL group. The reduction of conception
success associated with SCK (fat and protein contents changes) varied from 3% to
17% depending on the used SCK proxy. Including the interaction term SCC*SCK
clearly showed that the association of increased SCC around AI with conception
success was modified by the presence of SCK. A cow that already has SCK and
experiences an increase in SCC around or after AI exhibits up to 2 times further
decrease in conception success compared with a cow with a high SCC and no SCK. In
conclusion, this study reinforces the previously described association between
intramammary inflammation around or after AI and a decreased rate of conception.
These findings highlight how SCK interacts with the above-mentioned relationship
by strengthening the negative association between mastitis and conception
success. In addition, the present work supports the theory that local
inflammation may affect the whole-body response and alter the functions of other
organs, such as the reproductive tract.
PMID- 27865410
TI - Comparative analysis of testis transcriptomes associated with male infertility in
cattleyak.
AB - Cattleyak exhibit equivalent adaptability to harsh environment as yak and much
higher performances than yak. However, male infertility of cattleyak due to
spermatogenic arrest greatly restricts their effective utilization in yak
breeding. Although much work has been done to investigate the mechanisms of
spermatogenic arrest, there is little information available in regard to the
differences in transcriptomic profiling between cattleyak and yak testes. In this
work, histologic observation indicated that spermatogonia were the main type of
germ cells present in cattleyak testis, whereas all types of germ cells in
differentiation were present in yak testis. Transcriptomic profiling identified
2960 differentially expressed genes (DEGs) in which 679 were upregulated and 2281
were downregulated in cattleyak. Significantly enriched gene ontology terms
comprised a large number of DEGs associated with male infertility of cattleyak.
The upregulation of STRA8 and NLRP14 may be associated with the accumulation of
undifferentiated spermatogonial cells and serious cellular apoptosis in
cattleyak. However, downregulated SPP1, SPIN2B, and PIWIL1 were associated with
cell cycle progression and spermatogonial genome integrity, whereas CDKN2C,
CYP26A1, OVOL1, GGN, MAK, INSL6, RNF212, TSSK1B, TSSK2, and TSSK6 were involved
in meiosis. Furthermore, scores of genes associated with sperm components were
also downregulated in cattleyak. Wnt/beta-catenin signaling pathway was involved
in the top-listed three significantly enriched pathways, and the downregulation
of Wnt3a, PP2A, and TCF/LEF-1 may have contributed to the arrest of
spermatogonial differentiation in cattleyak. The data suggest that spermatogenic
arrest of cattleyak might occur at the stage of spermatogonial differentiation
and get aggravated during meiosis, which results in minimal number of sperms with
morphologic abnormalities and structural deficiency lacking fertilization
ability.
PMID- 27865411
TI - Low levels of apoptotic-like changes in fresh and cryopreserved feline
spermatozoa collected from the urethra and epididymis.
AB - The aim of this study was to examine apoptotic markers in fresh and frozen-thawed
feline spermatozoa collected via urethral catheterization and epididymal slicing.
Caspase activation, DNA fragmentation, and phosphatidylserine externalization
were evaluated using flow cytometry in sperm cells from both sources before and
after cryopreservation. The study revealed no differences between urethral and
epididymal spermatozoa, both in fresh and frozen-thawed samples. The level of
apoptotic changes in sperm cells in fresh feline semen was low: 0.8 +/- 0.8% of
live urethral and 0.4 +/- 0.4% of live epididymal spermatozoa showed active
caspases; 1.6 +/- 0.9% and 2.1 +/- 1.9%, respectively, showed DNA fragmentation;
and 0.3 +/- 0.2% and 1.0 +/- 1.3%, respectively, showed phosphatidylserine
externalization. In both types of sperm cells, cryopreservation did not induce a
significant increase in caspase activation (urethral: from 3.9 +/- 3.2% to 7.5 +/
5.0%; epididymal: from 4.7 +/- 2.9% to 11.7 +/- 8.5%). In urethral spermatozoa,
phosphatidylserine externalization in live cells was significantly (P < 0.05)
increased after thawing (from 0.3 +/- 0.2% to 2.7 +/- 2.5%). This increase was
not noted for epididymal spermatozoa (from 1.0 +/- 1.3% to 1.7 +/- 1.3%). No
significant changes in DNA fragmentation were observed (2.1 +/- 0.8% and 1.7 +/-
1.0%). In conclusion, both urethral and epididymal feline spermatozoa showed
equally low levels of apoptotic-like changes. Hence, apoptotic alterations seem
to play only a minor role, if any, in urethral and epididymal feline spermatozoa.
The deterioration of sperm quality after freezing and thawing is more likely
connected with direct damage to the cells than to activation of apoptotic
processes.
PMID- 27865412
TI - Expression and localization of matrix metalloproteinases (MMP-2, -7, -9) and
their tissue inhibitors (TIMP-2, -3) in the chicken oviduct during pause in
laying induced by tamoxifen.
AB - Induced pause in egg laying simulates natural molting events in which the hen's
reproductive organs regress and rejuvenate. Such processes require extracellular
matrix remodeling that is maintained, at least in part, by the action of
proteolytic enzymes known as matrix metalloproteinases (MMPs). Nevertheless,
information concerning the expression and hormonal regulation of MMP system
members in chickens is scarce. Therefore, MMP-2, -7, and -9 and their tissue
inhibitors (TIMP-2, -3) expression and localization were investigated in all
segments of the domestic hen oviduct (infundibulum, magnum, isthmus, shell gland,
vagina) during a pause in egg laying induced by tamoxifen (TMX)-an estrogen
receptor modulator. Hy-Line Brown hens were treated daily with TMX (n = 6) at a
dose of 6 mg/kg of body weight or a vehicle (n = 6) until complete cessation of
egg laying (for 7 days). Chickens were decapitated on Day 7 of the experiment.
Real-time polymerase chain reaction and Western blotting revealed section
dependent expression of MMP-2, -7, -9 and TIMP-2 and -3. Immunohistochemistry
found tissue and cell-dependent localization of examined proteins in the wall of
the oviduct. The MMP-2, TIMP-2, and TIMP-3 were localized mainly in the luminal
epithelium, MMP-7 in the luminal and glandular epithelium, whereas MMP-9 was
detected only in the connective tissue. Treatment of chickens with TMX markedly
elevated the relative expression of MMP-7 and MMP-9 mRNA in the oviduct, but did
not affect MMP-2, TIMP-2, and TIMP-3 mRNA levels. However, TMX increased the MMP
2 protein level in the infundibulum, shell gland, and vagina as well as activity
of MMP-2 evaluated by gelatin zymography. The results obtained indicate that MMP
2, MMP-7, and MMP-9 are involved in chicken oviduct regression. Moreover, changes
in the expression and activity of chosen MMPs after TMX treatment may indicate a
contribution of estrogen in the regulation of transcription, translation, and/or
the activity of selected elements of the MMP system.
PMID- 27865413
TI - Evaluation of chlorhexidine hydrochloride treatment on endometrial health of
normal mares.
AB - Chlorhexidine gluconate solution is a potent antimicrobial and therefore could be
used effectively for treatment of endometritis, but historically this substance
has been implicated as irritating to mucous membranes, including the endometrium
of the mare. The use of chlorhexidine hydrochloride suspension (Nolvasan
Suspension, Zoetis, Florham Park, NJ, USA) was evaluated in the uterus of normal
mares to determine if adverse effects on endometrial health were noted. Twelve
healthy, adult light breed mares were included in this study. Procedures were
approved by the Auburn University Institutional Animal Care and Use Committee.
All mares were determined to be reproductively normal by evaluation of
endometrial histopathology, cytology, and bacterial culture. Mares were randomly
assigned to treatment or control groups (n = 6 per group). Each mare was treated
during estrus with an intrauterine infusion of 1 g (28 mLs per tube; 35.7 mg/mL)
of chlorhexidine hydrochloride suspension (treatment group) or an equal volume of
lactated ringer's solution (control group) once daily for 3 consecutive days.
Biopsy and cytology samples were taken 3, 7, and 14 days after completion of
treatment. Cytology and biopsy samples were read by a board-certified pathologist
(L.N.) blinded to treatments, and biopsy samples were graded using a standardized
Kenney-Doig score. There was no difference with respect to biopsy grade, degree
of endometrial fibrosis, or presence of cytologic inflammation comparing control
and treatment groups (P = 0.55, 0.7, and 0.06, respectively), neither when
accounting for sampling day. The suspension was visible within the uterine lumen
when mares were examined with transrectal ultrasonography for up to 4 days after
treatment. Treatment with chlorhexidine hydrochloride in this formulation and at
this concentration does not appear to have a deleterious effect on short term
endometrial health in mares.
PMID- 27865414
TI - Association between polymorphisms in somatotropic axis genes and fertility of
Holstein dairy cows.
AB - The aim of this study was to determine the effect of growth hormone receptor
(GHR) AluI, insulin-like growth factor type 1 (IGF-I) SnaBI, and signal
transducer and activator 5A (STAT5A) BstEII polymorphisms in the reproductive
performance of Holstein dairy cows and the frequency of this genotypes in cows
managed in different systems. This work studied 381 and 506 Holstein cows from
semiextensive and intensive systems, respectively. The frequency of genotypes
GHRAluI (+/-), IGF-ISnaBI (-/-) and (+/-), and STAT5ABstEII (-/-) was higher in
animals from semiextensive system, whereas the frequency of the genotypes GHRAluI
(+/+) and IGF-ISnaBI (+/+) was higher in animals from intensive system (P <
0.05). In the intensive system, cows from the STAT5ABstEII (-/-) genotype had a
longer calving-first heat interval (P = 0.03). In conclusion, there was no
association between the genotypes of GHRAluI and IGF-ISnaBI and fertility of
Holstein cows raised in semiextensive or intensive regimes, although the genotype
frequencies of the evaluated polymorphisms were different between the studied
systems. The STAT5ABstEII polymorphism was associated with calving-first heat
interval in Holstein cows raised in the intensive system, indicating that this
gene could be a molecular marker for genetic selection to improve reproductive
performance.
PMID- 27865415
TI - Biosterilant effects of Bacillus thuringiensis kurstaki HD-73 extract on male
Wistar albino rats.
AB - Chemosterilants have long been used in sterilization programs for managing pet,
stray, and wild animals but adverse effects such as trauma, incomplete responses
to treatment, and complete abolition of gonadal sources of testosterone often
occurs. This study describes the biosterilant effects of administering three
doses of Bacillus thuringiensis kurstaki HD-73 (Bt 5, 50, and 250 mg/kg; 20
rats/dose) extract in adult male Wistar albino rats on testicular parameters,
function, histology, and a number of biochemical markers of overall health, free
radical production, and cell proliferation. Intratesticular administration of Bt
extract to rats induces testicular oxidative stress and damages and consequently,
perturb spermatogenesis, degeneration of testis, reduction in testes size, and
depletion of testosterone and antioxidant enzyme concentrations in a dose
dependent manner because of free radical-mediated lipid peroxidation. No
morbidity or mortality adverse effects were observed in both the saline control
and Bt extract-treated rats. Significant variation was noted in clinical
manifestations, weight and volume of testes, and hormonal and biochemical
profiles between Bt doses in comparison with the saline control.
Aspermia/azoospermia (100%) resulted in Bt-treated rats without any adverse
effects. Histopathological analysis showed degeneration, necrosis, vacuolation,
fewer germ cells, formation of multinucleated giant cells, and a lack of
elongated spermatids in atrophic seminiferous tubules in Bt extract-treated
groups in the presence of low concentrations of testosterone, antioxidant
enzymes, and suppression of germ cell proliferation. Dose-dependent effects were
evident in most parameters that were measured. The vast array of tests that were
undertaken also provides some important indicators of the physiological effects
associated with the treatments that were applied. Intratesticular injection of Bt
extract impairs spermatogenesis and induces permanent sterility in rats.
PMID- 27865416
TI - Embryo yield in dairy cattle after superovulation with Folltropin or Pluset.
AB - Two commercial FSH products were compared in a retrospective study on 3990
commercial superovulations and embryo recoveries in dairy heifers and cows. In
addition, the 56-day nonreturn rate of 19,400 embryos produced with these two
preparations was analyzed. Embryo collections were performed during a 16-year
period from donors of Holstein and Ayrshire breeds. Folltropin (Vetoquinol S.A.,
Lure cedex, France) group (Group F) consisted of 2592 superovulations, of which
80% were performed on heifers and 20% on cows, and Pluset (Laboratorios Calier,
S.A., Barcelona, Spain) group (Group P) of 1398 treatments, of which 66% and 34%
were on heifers and cows, respectively. Total number of recovered structures,
number of transferable embryos, and the proportion of unfertilized ova (UFO) and
degenerated embryos were analyzed. Distribution of embryos into quality grades (1
3) and developmental stages (4-9) according to the IETS classification guidelines
and means for each collection were evaluated. The proportion of low-responders
having fewer than five corpora lutea and yielding fewer than five embryos or ova
was investigated for each treatment. Group P yielded 1.1 recovered structures
more than Group F (P < 0.001). Consequently, however, the number of transferable
embryos did not differ among the groups, being 7.0 and 7.1 in Groups F and P,
respectively. Instead, there was an increase in the number of UFO from 2.0 in
Group F to 3.0 in Group P (P < 0.001). The quality of embryos and the
developmental stages were similar between the groups and there was no difference
in the proportion of low-responding donors in Group F and Group P. Also, there
was no difference in the nonreturn rate after transfer of embryos originating
from donors superovulated with Folltropin or Pluset. It was concluded that equal
numbers of transferable embryos and pregnancies can be achieved with Folltropin
and Pluset.
PMID- 27865417
TI - Knock-down of apoptosis inducing factor gene protects endoplasmic reticulum
stress-mediated goat granulosa cell apoptosis.
AB - The apoptosis of granulosa cells is the main cause of follicular atresia, and
endoplasmic reticulum (ER) stress is involved in the apoptosis of granulosa
cells. Apoptosis inducing factor (AIF) mediates caspase-independent apoptosis and
causes chromatin condensation and DNA fragmentation, but its role in ER stress
mediated granulosa cell apoptosis during goat follicular atresia remains largely
unknown. The aim of this study was to investigate the function of AIF in the
apoptosis of goat granulosa cells mediated by ER stress. The results of
immunohistochemical and Western blot analyses demonstrated that AIF was mainly
located in granulosa cells, and the expression of AIF significantly increased
during follicular atresia. Then, AIF-short hairpin RNA recombinant lentiviral
vectors were constructed successfully and transfected into human telomerase
reverse transcriptase-goat granulosa cells (hTERT-GGCs). Real-time quantitative
polymerase chain reaction and Western blot analysis confirmed that AIF was
effectively knocked down in hTERT-GGCs. Flow cytometry results showed that the
knockdown of AIF in hTERT-GGCs reduced apoptosis due to serum starvation or
thapsigargin (Tg) treatment. In addition, AIF depletion changed the expression of
related molecular marker molecules of ER stress under Tg treatment. In
conclusion, AIF may serve as a key factor during follicular atresia, and AIF
depletion protects ER stress-mediated goat granulosa cell apoptosis.
PMID- 27865418
TI - Characterizing emergence and divergence in the first follicular wave in a
tropically adapted Bos taurus breed.
AB - Tropically adapted Bos taurus breeds are descended from the cattle which were
brought from the Iberian Peninsula to Latin America by the colonizers and which
adapted over time to local conditions. These breeds have become a genetic
treasure that must be preserved. The objective of this study was to characterize
ovarian follicular emergence and divergence in tropically adapted B. taurus cows
and heifers. Cyclic heifers (n = 11) and nonlactating, multiparous cows (n = 11)
were examined at 8-hour intervals using ultrasonography starting on the day
following the final application of PGF2alpha, which was used to synchronize the
estrous cycle, and ending 5 days after ovulation. Blood samples were collected
immediately following the ultrasonographic examinations in order to evaluate FSH
and estradiol-17beta (E2) concentrations. The interval between ovulation and
follicular wave emergence (11.6 +/- 3.3 hours vs. 20.3 +/- 2.5 hours, P < 0.05)
and ovulation and follicular wave divergence (52.4 +/- 5.2 hours vs. 71.8 +/- 4.1
hours, P < 0.05) was shorter in the cows than in the heifers, respectively.
Plasma FSH concentrations increased (P < 0.05) and serum E2 concentrations
decreased earlier in cows than in heifers before ovulation. Following follicular
wave emergence, no difference in follicular development was found between the
cows and the heifers. Consequently, following follicular wave emergence, the data
from both the cows and the heifers were combined and categorized by dominant
follicle (DF) and second largest follicle (SF). The DF and SF were identified at
the same time (P > 0.05). The mean number of small (<=4 mm, 7.2 +/- 5.1) and
medium (4 to <= 8 mm, 6.8 +/- 3.5) follicles was greater than that of large
follicles (>=8 mm, 0.6 +/- 0.5) from ovulation until 5 days after ovulation. The
DF diameter (8.1 +/- 1 mm) did not differ (P = 0.09) from SF diameter (7.6 +/-
0.9 mm) at the time of follicular divergence (around 45 hours after follicular
emergence). The DF and SF growth rates were similar (P > 0.05) until follicular
divergence, at which point the SF growth rate decreased, whereas the DF growth
rate remained constant. Serum E2 concentrations did not change (P > 0.05) during
the divergence period, whereas FSH concentrations decreased between 48 and 32
hours before follicular wave divergence. In conclusion, cows and heifers differed
only in the interval from ovulation to follicular wave emergence and divergence,
which was confirmed by the different patterns of FSH and E2 concentrations.
PMID- 27865420
TI - Towards value-based universal Lynch syndrome identification in endometrial cancer
patients.
PMID- 27865419
TI - GPR39 is region-specifically expressed in mouse oviduct correlating with the Zn2+
distribution.
AB - G-protein-coupled receptor 39 (GPR39) plays a role in cellular and physiological
processes, including insulin secretion, cell death inhibition, wound healing, and
obesity. Increasing evidence suggests that GPR39 is potently stimulated by zinc
ions (Zn2+) and is therefore considered a putative Zn2+ receptor. Given the
importance of Zn2+ in the reproductive system, we proposed that GPR39 might have
a functional role in the reproductive system. However, the localization of GPR39
in the reproductive system remains unknown. Here, we used mice expressing a Gpr39
promoter-driven LacZ reporter system to detect Gpr39 expression in the
reproductive system at different phases of the estrous cycle and found an
interesting region-specific distribution of Gpr39 in the mouse oviduct
epithelium, with strong expression at the ampulla and weak expression at the
isthmus, which was consistent with the results using reverse transcription
polymerase chain reaction and immunofluorescence. Moreover, using ZnSeAMG
staining, we found that Zn2+, the putative ligand of GPR39, also found a
distribution similar to GPR39 expression, suggesting that their potential
interaction mediates fertilization and embryo transportation.
PMID- 27865422
TI - We've come a long way: Let the work begin.
PMID- 27865423
TI - Embryonal Rhabdomyosarcoma of the Oesophagus in a Young Dog.
AB - A 15-month-old great Dane dog, showing clinical signs related to hypertrophic
osteopathy, was diagnosed radiographically with a mass in the region of the
thoracic oesophagus. Exploratory thoracotomy revealed an extensive, highly
vascularized and locally invasive oesophageal mass and the presence of nodules in
adjacent lung lobes. The dog was humanely destroyed intra-operatively.
Histological examination revealed that the mass was an embryonal
rhabdomyosarcoma. This is the first report of rhabdomyosarcoma of the oesophagus
of a dog. Rhabdomyosarcoma should be considered a differential diagnosis when a
mass adjacent to the oesophagus is diagnosed.
PMID- 27865424
TI - Surface-bound microgels - From physicochemical properties to biomedical
applications.
AB - Microgels offer robust and facile approaches for surface modification, as well as
opportunities to introduce biological functionality by loading such structures
with bioactive agents, e.g., in the context of drug delivery, functional
biomaterials, and biosensors. As such, they provide a versatile approach for the
design of surfaces with pre-determined characteristics compared to more elaborate
bottom-up approaches, such as layer-by-layer deposition and surface-initiated
polymerization. In the present overview, properties of surface-bound microgels
are discussed, ranging from physical adsorption and covalent grafting in dilute
systems, to directed self-assembly, multilayer structures, and composites, as
well as loading an release of drugs and other cargo molecules into/from such
systems, and biomedical applications of these.
PMID- 27865421
TI - Cannabidiol (CBD) induces functional Tregs in response to low-level T cell
activation.
AB - Many effects of the non-psychoactive cannabinoid, cannabidiol (CBD), have been
described in immune responses induced by strong immunological stimuli. It has
also been shown that CBD enhances IL-2 production in response to low-level T cell
stimulation. Since IL-2, in combination with TGF-beta1, are critical for Treg
induction, we hypothesized that CBD would induce CD4+CD25+FOXP3+ Tregs in
response to low-level stimulation. Low-level T cell stimulation conditions were
established based on minimal CD25 expression in CD4+ cells using suboptimal
PMA/Io (4nM/0.05MUM, S/o), ultrasuboptimal PMA/Io (1nM/0.0125MUM, Us/o) or
soluble anti-CD3/28 (400-800ng each, s3/28). CBD increased CD25+FOXP3+ cells from
CD4+, CD4+CD25+, and CD4+CD25- T cells, as well as in CD4+ T cells derived from
FOXP3-GFP mice. Most importantly, the Us/o+CBD-induced CD4+CD25+ Tregs robustly
suppressed responder T cell proliferation, demonstrating that the mechanism by
which CBD is immunosuppressive under low-level T cell stimulation involves
induction of functional Tregs.
PMID- 27865425
TI - Zika virus infection during the Olympic Games in Rio: A fear or an actual risk?
AB - The recent outbreak of Zika virus infection in Brazil has aroused considerable
media interest due to its association with neurological malformations in children
born from mothers infected by the virus and to its association with Guillain
Barre syndrome in adults. This relationship has led to the World Health
Organisation declaring the current epidemic as a "Public Health Emergency of
International Concern". Controversy also emerged on the advisability of delaying
or changing the location of the Olympic and Paralympic Games, which were held in
August at various locations in Brazil. In this article, we review the available
evidence on the risk of Zika and dengue virus infection in individuals who travel
to endemic countries, especially for multitudinous events.
PMID- 27865426
TI - Surgical treatment of acute diverticulitis. A retrospective multicentre study.
AB - INTRODUCTION: To analyze short and medium-term results of different surgical
techniques in the treatment of complicated acute diverticulitis (CAD). METHODS:
Multicentre retrospective study including patients operated on as surgical
emergency or deferred-urgency with the diagnosis of CAD. RESULTS: A series of 385
patients: 218 men and 167 women, mean age 64.4+/-15.6 years, operated on in 10
hospitals were included. The median (25th-75th percentile) time from symptoms to
surgery was 48 (24-72) h, being peritonitis the main surgical indication in a 66%
of cases. Surgical approach was usually open (95.1%), and the commonest findings,
a purulent peritonitis (34.8%) or pericolonic abscess (28.6%). Hartmann procedure
(HP) was the most used technique in 278 (72.2%) patients, followed by resection
and primary anastomosis (RPA) in 69 (17.9%). The overall postoperative morbidity
and mortality was 53.2% and 13% respectively. Age, immunosupression, presence of
general risk factors and faecal peritonitis were associated with increased
mortality. Laparoscopic peritoneal lavage (LPL) was associated with an increased
reoperation rate frequently involving a stoma, and anastomotic leaks presented in
13.7 patients after RPA, without differences in morbimortality when compared with
HP. Median postoperative length of stay was 12 days, and was correlated with age,
surgical risk, ASA score, hospital and postoperative complications. CONCLUSIONS:
Surgery for CAD has important morbidity and mortality and is frequently
associated with an end-stoma. Moreover LPL presented high reoperation rates. It
seems better to resect and anastomose in most cases, even with an associated
protective stoma.
PMID- 27865427
TI - De Garengeot's hernia: Incarcerated femoral hernia containing the vermiform
appendix. Report of two cases and literature review.
PMID- 27865428
TI - Reply to: "Unique effect for fructose on lipoprotein risk factors for
cardiovascular disease in children is not demonstrated".
PMID- 27865429
TI - Maternal Education Is Associated with Disparities in Breastfeeding at Time of
Discharge but Not at Initiation of Enteral Feeding in the Neonatal Intensive Care
Unit.
AB - OBJECTIVE: To investigate the relationship between maternal education and
breastfeeding in very preterm infants admitted to neonatal intensive care units.
STUDY DESIGN: This prospective, population-based cohort study analyzed the data
of all very preterm infants admitted to neonatal care during 1 year in 3 regions
in Italy (Lazio, Emilia-Romagna, and Marche). The use of mothers' own milk was
recorded at initial enteral feedings and at hospital discharge. We used
multilevel logistic analysis to model the association between maternal education
and breastfeeding outcomes, adjusting for maternal age and country of birth.
Region was included as random effect. RESULTS: There were 1047 very preterm
infants who received enteral feeding, and 975 were discharged alive. At
discharge, the use of mother's own milk, exclusively or not, and feeding directly
at the breast were significantly more likely for mothers with an upper secondary
education or higher. We found no relationship between maternal education and type
of milk at initial enteral feedings. However, the exclusive early use of the
mother's own milk at initial feedings was related significantly with receiving
any maternal milk and feeding directly at the breast at discharge from hospital,
and the association with feeding at the breast was stronger for the least
educated mothers. CONCLUSION: In this population-based cohort of very preterm
infants, we found a significant and positive association between maternal
education and the likelihood of receiving their mother's own milk at the time of
discharge. In light of the proven benefits of maternal milk, strategies to
support breastfeeding should be targeted to mothers with less education.
PMID- 27865430
TI - Apnea in the Otherwise Healthy, Term Newborn: National Prevalence and Utilization
during the Birth Hospitalization.
AB - OBJECTIVES: To describe the prevalence of apnea in otherwise healthy term
newborns, identify attributable length of stay (LOS) and healthcare utilization
(cost) of apnea, and measure hospital variation in attributable LOS and cost of
apnea in this population. STUDY DESIGN: We conducted a secondary analysis of a
national administrative dataset, the 2012 Kids' Inpatient Database, which
included 3.4 million newborn discharges in the US. The birth hospitalizations of
approximately 2.6 million otherwise healthy, full-term newborns were included for
analysis. Attributable LOS and cost of apnea were calculated using multivariate
analyses. RESULTS: Apnea was diagnosed in 1 in 1000 healthy full-term newborns.
Multivariate analyses showed that newborns with apnea had 0.6 days longer LOS (P
< .001) and $483 greater costs (P < .001) compared with healthy term newborns,
per birth hospitalization. Newborns diagnosed with apnea plus hypoxia and/or
bradycardia had 1.4 days longer LOS (P < .001) and $653 greater costs (P < .001).
The attributable LOS and cost attributable to apnea varied between individual
hospitals and differed by hospital region. CONCLUSIONS: Apnea is associated with
higher LOS and cost in the newborn hospitalization, with variation in hospital
practice. This suggests the need for better comprehension of the underlying
physiology and standardization of practice in its management in the term newborn.
PMID- 27865431
TI - Regression-based statistical mediation and moderation analysis in clinical
research: Observations, recommendations, and implementation.
AB - There have been numerous treatments in the clinical research literature about
various design, analysis, and interpretation considerations when testing
hypotheses about mechanisms and contingencies of effects, popularly known as
mediation and moderation analysis. In this paper we address the practice of
mediation and moderation analysis using linear regression in the pages of
Behaviour Research and Therapy and offer some observations and recommendations,
debunk some popular myths, describe some new advances, and provide an example of
mediation, moderation, and their integration as conditional process analysis
using the PROCESS macro for SPSS and SAS. Our goal is to nudge clinical
researchers away from historically significant but increasingly old school
approaches toward modifications, revisions, and extensions that characterize more
modern thinking about the analysis of the mechanisms and contingencies of
effects.
PMID- 27865432
TI - A hybrid fault diagnosis approach based on mixed-domain state features for
rotating machinery.
AB - To make further improvement in the diagnosis accuracy and efficiency, a mixed
domain state features data based hybrid fault diagnosis approach, which
systematically blends both the statistical analysis approach and the artificial
intelligence technology, is proposed in this work for rolling element bearings.
For simplifying the fault diagnosis problems, the execution of the proposed
method is divided into three steps, i.e., fault preliminary detection, fault type
recognition and fault degree identification. In the first step, a preliminary
judgment about the health status of the equipment can be evaluated by the
statistical analysis method based on the permutation entropy theory. If fault
exists, the following two processes based on the artificial intelligence approach
are performed to further recognize the fault type and then identify the fault
degree. For the two subsequent steps, mixed-domain state features containing time
domain, frequency-domain and multi-scale features are extracted to represent the
fault peculiarity under different working conditions. As a powerful time
frequency analysis method, the fast EEMD method was employed to obtain multi
scale features. Furthermore, due to the information redundancy and the
submergence of original feature space, a novel manifold learning method (modified
LGPCA) is introduced to realize the low-dimensional representations for high
dimensional feature space. Finally, two cases with 12 working conditions
respectively have been employed to evaluate the performance of the proposed
method, where vibration signals were measured from an experimental bench of
rolling element bearing. The analysis results showed the effectiveness and the
superiority of the proposed method of which the diagnosis thought is more
suitable for practical application.
PMID- 27865433
TI - Influences of size-fractionated humic acids on arsenite and arsenate complexation
and toxicity to Daphnia magna.
AB - The intrinsic physicochemical properties of dissolved organic matter (DOM) may
affect the mobility and toxicity of arsenic in aquatic environments. In the
present study, the humic acid (HA) was ultra-filtered into five fractions
according to molecular weight, and their physicochemical properties were
characterized. Complexation of HA fractions with arsenite and arsenate was first
determined by differential pulse polarography (DPP). The influences of HA
fractions on arsenic toxicity were then examined using Daphnia magna as a model
organism. As(V) had a higher affinity with HA than As(III), and their
complexation was dependent on the total acidity and fluorescence characteristics
of DOM. We demonstrated that the acidity and fluorescence also better explained
the As toxicity to daphnids than UV absorbance and hydraulic diameter. Arsenic
speciation determined by DPP significantly affected the toxicity of arsenite and
arsenate. The results extended the free-ion activity model application to the
case of arsenic. The present study clearly indicated that DOM with different
molecular weights has distinct physicochemical properties, and could influence
the speciation and toxicity of As to different extent.
PMID- 27865434
TI - Potential water resource impacts of hydraulic fracturing from unconventional oil
production in the Bakken shale.
AB - Modern drilling techniques, notably horizontal drilling and hydraulic fracturing,
have enabled unconventional oil production (UOP) from the previously inaccessible
Bakken Shale Formation located throughout Montana, North Dakota (ND) and the
Canadian province of Saskatchewan. The majority of UOP from the Bakken shale
occurs in ND, strengthening its oil industry and businesses, job market, and its
gross domestic product. However, similar to UOP from other low-permeability
shales, UOP from the Bakken shale can result in environmental and human health
effects. For example, UOP from the ND Bakken shale generates a voluminous amount
of saline wastewater including produced and flowback water that are characterized
by unusual levels of total dissolved solids (350 g/L) and elevated levels of
toxic and radioactive substances. Currently, 95% of the saline wastewater is
piped or trucked onsite prior to disposal into Class II injection wells. Oil and
gas wastewater (OGW) spills that occur during transport to injection sites can
potentially result in drinking water resource contamination. This study presents
a critical review of potential water resource impacts due to deterministic
(freshwater withdrawals and produced water management) and probabilistic events
(spills due to leaking pipelines and truck accidents) related to UOP from the
Bakken shale in ND.
PMID- 27865435
TI - The pulse-mass index as a predictor of cardiovascular events in women with
systemic lupus erythematosus.
AB - BACKGROUND: Patients with systemic lupus erythematosus (SLE) have 3times the risk
of death compared to the rest of the population, with cardiovascular events (CVD)
being one of the main causes. Indices such as waist-height (W-Ht I), waist-hip (W
Hp I) and pulse-mass (PMI) predict CVD, though the behaviour is unknown in
patients with SLE. The aim of this study was to determine the prognostic value of
PMI in the development of CVD in premenopausal women with SLE. METHODOLOGY:
Cohort study. Included were premenopausal women with SLE without prior CVD;
excluded were those patients with antiphospholipid syndrome (APS), pregnancy,
thyroid disease, recent liposuction, and chronic kidney disease. Exposure
variables were: PMI, W-Ht I, W-Hp I and metabolic syndrome at onset of the
cohort. Considered confounding variables were time of evolution, disease
activity, cumulative damage and treatment. Through semi-annual appointments,
accident and emergency admittance and hospitalisation records the CVD were
screened. Analysis was performed with Cox for proportional hazards and survival
with Kaplan Meier. RESULTS: We included 238 women with a median age of 31 (18-52)
years, with a follow-up of 8years. We identified 22 (9.6%) cases of CVD. In the
Cox proportional hazards analysis, the prognostic variables were: PMI with HR=8.1
(95% CI: 1.1-65), metabolic syndrome with 2.4 (95% CI: 1-5.8), cumulative damage
with HR=1.5 (95% CI: 1.1-2.2) and body fat percentage HR=2.8 (95% CI: 1.1-6.9)
CONCLUSIONS: The PMI is a better predictor factor of CVD in women with SLE.
PMID- 27865436
TI - [Improving adverse drug reaction reporting by general practitioners through
clinical research assistants visits].
AB - The main limitation of adverse drug reactions (ADRs) reporting, particularly by
general practitioners (GP), to the regional pharmacovigilance centers is under
reporting. The Midi-Pyrenees Regional Pharmacovigilance Center (South western,
France) sets up regular visits by a clinical research assistant (CRA) to GP in
order to increase the number of ADR reports. The aim of this pilot study was to
assess the effect of regular visits of a CRA in GPs offices on the rate of ADR
reporting. After one year, CRA visits permit a two-fold increase in ADR
reporting.
PMID- 27865437
TI - Early neurodevelopmental outcomes of extremely preterm infants.
AB - Infants born at extreme preterm gestation are at risk for both death and
disability. Although rates of survival have improved for this population, and
some evidence suggests a trend toward decreased neuromotor impairment over the
past decades, a significant improvement in overall early neurodevelopmental
outcome has not yet been realized. This review will examine the rates and types
of neurodevelopmental impairment seen after extremely preterm birth, including
neurosensory, motor, cognitive, and behavioral outcomes. We focus on early
outcomes in the first 18-36 months of life, as the majority of large neonatal
studies examining neurodevelopmental outcomes stop at this age. However, this
early age is clearly just a first glimpse into lifetime outcomes; the
neurodevelopmental effects of extreme prematurity may last through school age,
adolescence, and beyond. Importantly, prematurity appears to be an independent
risk factor for adverse development, but this population demonstrates
considerable variability in the types and severity of impairments. Understanding
both the nature and prevalence of neurodevelopmental impairment among extremely
preterm infants is important because it can lead to targeted interventions that
in turn may lead to improved outcomes.
PMID- 27865438
TI - Evaluation of bone marrow derived mesenchymal stem cells for full-thickness wound
healing in comparison to tissue engineered chitosan scaffold in rabbit.
AB - BACKGROUND: Chronic wounds present a major challenge in modern medicine. Even
under optimal conditions, the healing process may lead to scarring and fibrosis.
The ability of mesenchymal stem cells (MSCs) to differentiate into other cell
types makes these cells an attractive therapeutic tool for cell transplantation.
Both tissue-engineered construct and MSC therapy are among the current wound
healing procedures and potential care. Chitosan has been widely applied in tissue
engineering because of its biocompatibility and biodegradability. AIM: The aim of
the current work was to compare the efficiency of MSCs and chitosan dressing,
alone or in combination treatment on wound healing. METHODS: This study was
conducted on 15 rabbits, which were randomly divided in 3 groups based on the
type of treatment with MSCs, chitosan dressing and combination of both. A full
thickness skin defect was excised from the right and left side of the back of
each animals. Defects on right sides were filled with treatments and left side
defects were left as control. Evaluation of the therapeutic effectiveness was
performed through a variety of clinical and microscopical evaluations and
measurements of the process of wound healing on days 7, 14, 21, and 28.
Histological evaluation of wound healing was classified by different scoring
systems. RESULTS: The data indicated that wounds treated with bone marrow derived
MSC had enhanced cellularity and better epidermal regeneration. During the early
stages of wound healing, the closure rate of bone marrow derived MSC-treated
wounds were significantly higher than other treatments (P<0.05). Although the
MSCs in the wound edges enhance the healing of the full-thickness wound, the
healing process of chitosan treatment was slower than the control group.
CONCLUSION: This study revealed advanced granulation tissue formation and
epithelialization in wounds treated with MSCs, and may suggests this treatment as
an effective applicant in wound healing process. Chitosan scaffold dressings,
whether alone or in combination with MSCs, have worsened the wound healing as
compared to the control group.
PMID- 27865439
TI - A scratch by any other name: A comment on Lucas et al.'s reply to "Scratching the
surface: A critique of Lucas et al. (2013)'s conclusion that phytoliths do not
abrade enamel" [J. Hum. Evol. 74 (2016) 130-133].
PMID- 27865440
TI - Hemoglobin of 12 g/dl and above is not associated with increased cardiovascular
morbidity in children on hemodialysis.
AB - In adults on chronic hemodialysis, achieving a hemoglobin concentration of 12g/dl
and above with erythropoiesis stimulating agents leads to increased
cardiovascular events and mortality, but this may not be true in children.
Therefore, we conducted a retrospective cohort study of pediatric patients (under
18) from the Centers for Medicare and Medicaid Services End Stage Renal Disease
(ESRD) Clinical Performance Measures (CPM) project (2000 to 2008) merged with the
United States Renal Data System. Hemoglobin was determined from the Clinical
Performance Measures data, and beginning annually on January 1st of the next
year, patients were followed for up to 1 year. We determined the outcomes
(mortality, hospitalization, and cardiovascular events) during follow-up by
hemoglobin group at baseline. Models were adjusted for demographic and clinical
characteristics of 1569 children studied. The hemoglobin 12 g/dl and above group
was older, had fewer years of ESRD, and was more often transplanted. Inpatient
and outpatient visits for congestive heart failure, cardiomyopathy, and valvular
heart disease were most common in the hemoglobin under 10g/dl group and the
frequency of these diagnoses decreased with increasing hemoglobin. The hazard
ratio of all-cause mortality (0.33, 95% confidence interval 0.14-0.81) and the
adjusted relative rate of all-cause hospitalizations (0.81, 0.74-0.89) were
significantly lower in the hemoglobin 12 g/dl and above group. Cardiovascular
hospitalizations were significantly higher in the hemoglobin under 10g/dl group
(1.31, 1.05-1.64). Thus, in children on hemodialysis, hemoglobin 12g/dl and above
is not associated with increased cardiovascular visits, mortality, or all-cause
and cardiovascular-related hospitalizations.
PMID- 27865441
TI - Long-term versus short-term dual antiplatelet therapy was similarly associated
with a lower risk of death, stroke, or infarction in patients with acute coronary
syndrome regardless of underlying kidney disease.
AB - Scarce and conflicting evidence exists on whether clopidogrel is effective and
whether dual antiplatelet treatment (DAPT) is safe in patients with acute
coronary syndrome and chronic kidney disease (CKD). To study this, we performed
an observational, prospective, multicenter cohort study of 36,001 patients of the
SWEDEHEART registry. The exposure was DAPT prolonged after 3 months versus DAPT
stopped at 3 months in consecutive patients with acute coronary syndrome and
known serum creatinine. DAPT duration with clopidogrel and aspirin was assessed
by dispensed tablets. CKD stages were classified according to estimated
glomerular filtration rate (eGFR). Study outcomes were 1) the composite of death,
myocardial infarction, or ischemic stroke; 2) bleeding; or 3) the aggregate of
these two outcomes within day 111 and 365 from discharge. A longer DAPT duration,
as compared with 3-month DAPT, was associated with lower hazard ratios for
outcome one in each CKD stratum (eGFR over 60, adjusted hazard ratio [95%
confidence interval] 0.76 [0.67-0.85]; eGFR 60 and less, 0.84 [0.73-0.96], of
which eGFR between 45 and 60, 0.85 [0.70-1.05], eGFR between 30 and 45, 0.78
[0.62-0.97]; eGFR 30 and less ml/min/1.73 m2, 0.93 [0.70-1.24]. Bleeding (outcome
2) was in general more common in the longer DAPT group of each aforementioned CKD
stratum. Aggregated outcome analysis (outcome 3) similarly favored longer DAPT in
each stratum. There was no interaction between DAPT duration and CKD strata for
any of the study outcomes. Thus, a prolonged as compared with three-month DAPT
was similarly associated with a lower risk of death, stroke, or reinfarction
regardless of underlying CKD.
PMID- 27865442
TI - Triterpene glycosides from the aerial parts of Gouania longipetala.
AB - Six previously undescribed triterpenoid saponins, gouaniaside I-VI, were isolated
from the aerial parts of Gouania longipetala Hemsl. (Rhamnaceae), in addition to
four known triterpenes. The structure elucidation of these compounds was based on
analyses of spectroscopic data including 1D- and 2D-NMR and HR-ESI-MS techniques.
The inhibitory activity of isolated compounds against promyelocytic leukemia HL60
and human erythromyeloblastoid leukemia K562 cell lines was evaluated and
jujuboside I exhibited moderate cytotoxicity, with IC50 values of 13.5 and 21.0
MUM, respectively. Among the isolated triterpenes, alphitolic acid exhibited
moderate antibacterial activity against Staphylococcus aureus, Enterococcus
faecalis and Escherichia coli (MICs 32, 64 and 128 MUg/mL, respectively).
PMID- 27865443
TI - Interaction of rare earth elements and components of the Horonobe deep
groundwater.
AB - To better understand the migration behavior of minor actinides in deep
groundwater, the interactions between doped rare earth elements (REEs) and
components of Horonobe deep groundwater were investigated. Approximately 10 ppb
of the REEs, i.e. Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Tm, and Yb were
doped into a groundwater sample collected from a packed section in a borehole
drilled at 140 m depth in the experiment drift of Horonobe Underground Research
Laboratory in Hokkaido, Japan. The groundwater sample was sequentially filtered
with a 0.2 MUm pore filter, and 10 kDa, 3 kDa and 1 kDa nominal molecular weight
limit (NMWL) ultrafilters with conditions kept inert. Next, the filtrate
solutions were analyzed with inductively coupled plasma mass spectrometry (ICP
MS) to determine the concentrations of the REEs retained in solution at each
filtration step, while the used filters were analyzed through neutron activation
analysis (NAA) and TOF-SIMS element mapping to determine the amounts and chemical
species of the trapped fractions of REEs on each filter. A strong relationship
between the ratios of REEs retained in the filtrate solutions and the ionic radii
of the associated REEs was observed; i.e. smaller REEs occur in larger
proportions dissolved in the solution phase under the conditions of the Horonobe
groundwater. The NAA and TOF-SIMS analyses revealed that portions of the REEs
were trapped by the 0.2 MUm pore filter as REE phosphates, which correspond to
the species predicted to be predominant by chemical equilibrium calculations for
the conditions of the Horonobe groundwater. Additionally, small portions of
colloidal REEs were trapped by the 10 kDa and 3 kDa NMWL ultrafilters. These
results suggest that phosphate anions play an important role in the chemical
behavior of REEs in saline (seawater-based) groundwater, which may be useful for
predicting the migration behavior of trivalent actinides released from
radioactive waste repositories in the far future.
PMID- 27865445
TI - Perinatal complications of oocyte donation to women of advanced reproductive age.
PMID- 27865444
TI - The Prospective Association of Organized Sports Participation With Cardiovascular
Disease Risk in Children (the CHAMPS Study-DK).
AB - OBJECTIVE: To investigate the prospective association of organized leisure-time
sports participation with cardiovascular risk in children. METHODS: Students were
recruited from 10 public primary schools. From July 2009 to October 2010, parents
reported children's weekly organized leisure-time sports participation via text
messaging. Clustered cardiovascular risk was estimated with a composite score
comprising fasting serum triglyceride levels, homeostasis assessment model
estimated insulin resistance, total to high-density lipoprotein cholesterol
ratio, and systolic blood pressure. Additional outcomes were body mass index
categories and fasting serum insulin and glucose concentrations. Associations
were explored with generalized estimating equations and reported with beta
coefficients (beta) and percent difference per weekly sports session or incidence
rate ratios. All models were adjusted for baseline values and other potential
confounders. RESULTS: In total, 1197 children (53% female) with a mean age of
8.4+/-1.4 years were included. Participating in sports for 53 weeks was
associated with lower clustered cardiovascular risk (beta, -0.25; 95% CI, -0.41
to -0.10; percent difference, 3.2%; 95% CI, 5.2%-1.3%). Similar outcomes were
observed for log homeostasis assessment model-estimated insulin resistance (beta,
-0.08; 95% CI, -0.12 to -0.04; percent difference, 3.4%; 5.1%-1.7%) and log
insulin (beta, -0.07; 95% CI, -0.11 to -0.04; percent difference, 2.6%; 95% CI,
4.0%-1.5%). Sports participation was associated with a 20% decreased risk of
overweight/obesity (incidence rate ratio, 0.78; 95% CI, 0.64-0.96). CONCLUSION:
Participating in organized leisure-time sports for approximately 1 year is
associated with decreased clustered cardiovascular risk in children. These
findings show that participating in youth sports may be an effective strategy to
reduce cardiovascular risk in children.
PMID- 27865446
TI - Use of Utrogestan during controlled ovarian hyperstimulation in normally
ovulating women undergoing in vitro fertilization or intracytoplasmic sperm
injection treatments in combination with a "freeze all" strategy: a randomized
controlled dose-finding study of 100 mg versus 200 mg.
AB - OBJECTIVE: To compare the clinical characteristics in a Utrogestan and hMG
protocol with the use of different doses of Utrogestan in normally ovulating
women undergoing in vitro fertilization (IVF) or intracytoplasmic sperm injection
(ICSI) treatments. DESIGN: Prospective controlled study. SETTING: Tertiary-care
academic medical center. PATIENT(S): A total of 150 infertile patients undergoing
IVF/ICSI treatments. INTERVENTION(S): Utrogestan and hMG were administered
simultaneously beginning on cycle day 3. The dose of Utrogestan was 100 mg/d in
the study group and 200 mg/d in the control group. When the dominant follicles
reached mature, 0.1 mg GnRH agonist was used for trigger. Viable embryos were
cryopreserved in both protocols for later transfer. MAIN OUTCOME MEASURE(S): The
primary outcome measure was the incidence of premature LH surge. Secondary
outcomes included the embryo results and clinical pregnancy outcomes. RESULT(S):
Consistent LH suppression was achieved during controlled ovarian hyperstimulation
with Utrogestan at 100 mg, and the number of patients with profound LH
suppression (LH <1.2 IU/L) in the low-dose group was significantly less than that
in the high-dose group. The number of oocytes retrieved in the low-dose group was
similar to that in the high-dose group (9.87 +/- 5.77 vs. 10.25 +/- 5.43). No
significant differences were observed in the number of mature oocytes, viable
embryos, clinical pregnancy rate, or implantation rate. CONCLUSION(S): Utrogestan
at 100 mg is as effective as Utrogestan at 200 mg in reducing premature LH surge
during controlled ovarian hyperstimulation. CLINICAL TRIAL REGISTRATION NUMBER:
ChiCTR-OOC-14005277.
PMID- 27865447
TI - Surgical management of niche, isthmocele, uteroperitoneal fistula, or cesarean
scar defect: a critical rebirth in the medical literature.
PMID- 27865448
TI - Debris makes "MR DC" hustle on endometriosis.
PMID- 27865449
TI - Characterizing nuclear and mitochondrial DNA in spent embryo culture media:
genetic contamination identified.
AB - OBJECTIVE: To characterize nuclear and mitochondrial DNA (mtDNA) in spent culture
media from normally developing blastocysts to determine whether it could be used
for noninvasive genetic assessment. DESIGN: Prospective embryo cohort study.
SETTING: Academic center and private in vitro fertilization (IVF) clinic.
PATIENT(S): Seventy patients undergoing intracytoplasmic sperm injection (ICSI)
and 227 blastocysts. INTERVENTION(S): Culture media assessment, artificial
blastocoele fluid collapse and DNA analysis using digital polymerase chain
reaction (dPCR), long-range PCR, quantitative PCR (qPCR), and DNA fingerprinting.
MAIN OUTCOME MEASURE(S): Presence of nuclear and mtDNA in three different
commercial culture media from Vitrolife and Irvine Scientific, spent embryo media
assessment at the cleavage and blastocyst stages of development, and analysis of
the internal media controls for each patient that had been exposed to identical
conditions as embryo media but did not come into contact with embryos. RESULT(S):
Higher levels of nuclear and mtDNA were observed in the culture media that had
been exposed to embryos compared with the internal media controls. Nuclear DNA
(~4 copies) and mtDNA (~600 copies) could be detected in spent media, and the
levels increased at the blastocyst stage. No increase in DNA was detected after
artificial blastocoele fluid collapse. Mixed sex chromosome DNA was detected.
This originated from contamination in the culture media and from maternal
(cumulus) cells. Due to the limited amount of template, the presence of embryonic
nuclear DNA could not be confirmed by DNA fingerprinting analysis. CONCLUSION(S):
Currently DNA from culture media cannot be used for genetic assessment because
embryo-associated structures release DNA into the culture medium and the DNA is
of mixed origin.
PMID- 27865450
TI - Reproductive function in the sons of women who experienced stress due to
bereavement before and during pregnancy: a nationwide population-based cohort
study.
AB - OBJECTIVE: To estimate the association between prenatal exposure to maternal
stress and reproductive disorders in Danish men, where prenatal stress exposure
was defined as the mother's loss of a close relative during pregnancy or in the
12 months before conception. DESIGN: Population-based cohort study. SETTING: Not
applicable. PATIENT(S): All males born in Denmark between 1973 and 2008 (n =
1,217,576) and observed for up to 39 years. INTERVENTION(S): None. MAIN OUTCOME
MEASURE(S): Male reproductive function, defined using a composite outcome
including congenital malformations of genital organs, testicular cancer,
diagnosis of male infertility, or assisted conception use due to male factor
infertility. RESULT(S): In total, 28,986 men (2.4%) had been exposed to prenatal
stress, and 62,929 (5.2%) experienced the composite outcome during the follow-up
period. Prenatal exposure to stress was associated with an elevated risk of
reproductive problems (hazard ratio [HR] 1.09; 95% CI, 1.04-1.15). The
association was stronger when the exposure occurred during the first trimester of
pregnancy, and for congenital malformations of genital organs. When focusing on
infertility alone, we saw no evidence of increased risk (HR 0.90; 95% CI, 0.77
1.06). In addition, the probability of marrying a woman was lower for exposed men
(HR 0.93; 95% CI, 0.89-0.98). CONCLUSION(S): Prenatal stress in the form of the
mother's bereavement during the first trimester of pregnancy is associated with a
higher risk of reproductive disorders from congenital malformations of the
genital organs in the male offspring. The lack of an association between maternal
bereavement and later infertility in the exposed male offspring may be due in
part to the men's lower probability of attempting to have children.
PMID- 27865451
TI - Astrocytic Contributions to Synaptic and Learning Abnormalities in a Mouse Model
of Fragile X Syndrome.
AB - BACKGROUND: Fragile X syndrome (FXS) is the most common type of mental
retardation attributable to a single-gene mutation. It is caused by FMR1 gene
silencing and the consequent loss of its protein product, fragile X mental
retardation protein. Fmr1 global knockout (KO) mice recapitulate many behavioral
and synaptic phenotypes associated with FXS. Abundant evidence suggests that
astrocytes are important contributors to neurological diseases. This study
investigates astrocytic contributions to the progression of synaptic
abnormalities and learning impairments associated with FXS. METHODS: Taking
advantage of the Cre-lox system, we generated and characterized mice in which
fragile X mental retardation protein is selectively deleted or exclusively
expressed in astrocytes. We performed in vivo two-photon imaging to track spine
dynamics/morphology along dendrites of neurons in the motor cortex and examined
associated behavioral defects. RESULTS: We found that adult astrocyte-specific
Fmr1 KO mice displayed increased spine density in the motor cortex and impaired
motor-skill learning. The learning defect coincided with a lack of enhanced spine
dynamics in the motor cortex that normally occurs in response to motor skill
acquisition. Although spine density was normal at 1 month of age in astrocyte
specific Fmr1 KO mice, new spines formed at an elevated rate. Furthermore,
fragile X mental retardation protein expression in only astrocytes was
insufficient to rescue most spine or behavioral defects. CONCLUSIONS: Our work
suggests a joint astrocytic-neuronal contribution to FXS pathogenesis and reveals
that heightened spine formation during adolescence precedes the overabundance of
spines and behavioral defects found in adult Fmr1 KO mice.
PMID- 27865452
TI - CYP2A6 Genetic Variation Alters Striatal-Cingulate Circuits, Network Hubs, and
Executive Processing in Smokers.
AB - BACKGROUND: Variation in the CYP2A6 gene alters the rate of nicotine metabolic
inactivation and is associated with smoking behaviors and cessation success
rates. The underlying neurobiological mechanisms of this genetic influence are
unknown. METHODS: Intrinsic functional connectivity strength, a whole-brain, data
driven, graph theory-based method, was applied to resting-state functional
magnetic resonance imaging data in 66 smokers and 92 nonsmokers. A subset of
subjects (n = 23/20; smokers/nonsmokers) performed the monetary incentive delay
task, probing reward anticipation, and a go/no-go task, probing response
inhibition, on two occasions, in the presence and absence of a nicotine patch.
RESULTS: A significant CYP2A6 genotype * smoking effect was found in the dorsal
anterior cingulate cortex and ventral striatum, such that the normal (vs. slow)
genotype individuals showed greater functional connectivity strength among
smokers but not nonsmokers. Functional connectivity strength was negatively
associated with severity of nicotine dependence in slow metabolizers. Both hubs
were biased by inputs from the insula identified from seed-based connectivity.
Similar gene * environment interactions were seen in ventral striatum during
smoking abstinence when subjects performed the monetary incentive delay task and
in dorsal anterior cingulate cortex when they performed the go/no-go task; both
reductions were "normalized" in smokers (and increased in nonsmokers) after acute
nicotine administration. CONCLUSIONS: Because the CYP2A6 effect was seen only in
smokers, these data suggest that the rate of nicotine metabolism-and thus the
concentration of nicotine presented to the brain over the course of nicotine
addiction-shapes brain circuits that, among other functions, compute reward and
impulsivity processes.
PMID- 27865454
TI - Does a groin node dissection in vulvar cancer affect groin recurrence and overall
survival?: Results from a population-based cohort study.
AB - BACKGROUND: To determine, in a population-based cohort of vulvar cancer patients,
if groin node dissection (GND) decreases the risk of groin recurrence and
increases overall survival. METHODS: This population-based retrospective cohort
study includes all cases of invasive squamous cell carcinoma identified in a
provincial cancer registry from 1998 to 2007. Data collection was completed for
all clinical and pathologic factors by chart abstraction. Cumulative incidence
functions for recurrence were estimated, accounting for death before recurrence
as a competing risk. Multivariable Cox regression models examined the
associations between GND and groin recurrence, and overall survival. RESULTS:
Clinical and pathologic data were collected for 1109 patients, of which 1038
patients were eligible for GND. 647 patients (62%) had a GND, while 391 patients
(38%) did not. Median follow-up was 2.8years. Cumulative incidence plots
demonstrate that the risk of death without recurrence was consistently higher
than groin recurrence in each year after diagnosis. On multivariate analysis, GND
was not significantly associated with decreased groin recurrence (HR 0.91, 95% CI
0.58-1.44, p=0.70). The hazard of death was 15% lower for women who received GND
(HR 0.85, 95% CI 0.63-1.16, p=0.32), but this difference was not statistically
significant. CONCLUSIONS: There was no significant difference in groin recurrence
or overall survival in those with or without GND in this population-based cohort,
raising questions whether a subgroup of patients may not benefit from GND.
Patients had a higher probability of dying before groin recurrence could occur.
Future trial design should consider death as a competing risk.
PMID- 27865455
TI - Analysis of ustiloxins in rice using polymer cation exchange cleanup followed by
liquid chromatography-tandem mass spectrometry.
AB - Ustiloxins are cyclopeptide mycotoxins produced by the pathogenic fungus
Ustilaginoidea virens of rice false smut. Quantification of ustiloxins is
essential to assess the food safety of rice infected by rice false smut disease.
This paper describes a sensitive method for the simultaneous quantification of
ustiloxins A, B, C, D and F in rice grains using liquid chromatography-tandem
mass spectrometry (LC-MS/MS). Since notable matrix enhancement effects (21%-78%)
occurred for all of the target analytes (except for ustiloxin A), several solid
phase extraction materials were tested for their ability to retain ustiloxins
from aqueous solutions prior to the LC-MS/MS analysis, including C18 sorbents,
polymer anion exchange sorbents resin (PAX), and polymer cation exchange resin
(PCX). The PCX resin was adopted due to its higher extraction capability and
selectivity for all targets compared to others, and in this case, almost no
matrix effects (-5% to 8%) were observed for all of the ustiloxins monitored. The
developed method reached limits of quantification of 0.2-2ngg-1, and linearity
was statistically verified over two orders of magnitude with regression
coefficients (R2)>0.991. The mean recoveries were from 85% to 109%, and the inter
day precisions (n=11) were less than 16%, with intra-day precisions (n=6) within
12%. Analysis of samples showed that ustiloxin A was the dominant species, with
the content ranging from 5.5 to 273.8ngg-1, followed by ustiloxin B (<=88.7ngg
1), while concentrations of ustiloxins C, D and F were slightly lower (<=43.2ngg
1). To our knowledge, this is the first report on the determination and analysis
of five ustiloxins simultaneously in a single analysis.
PMID- 27865453
TI - Neurophysiology and Regulation of the Balance Between Excitation and Inhibition
in Neocortical Circuits.
AB - Brain function relies on the ability of neural networks to maintain stable levels
of activity, while experiences sculpt them. In the neocortex, the balance between
activity and stability relies on the coregulation of excitatory and inhibitory
inputs onto principal neurons. Shifts of excitation or inhibition result in
altered excitability impaired processing of incoming information. In many
neurodevelopmental and neuropsychiatric disorders, the excitability of local
circuits is altered, suggesting that their pathophysiology may involve shifts in
synaptic excitation, inhibition, or both. Most studies focused on identifying the
cellular and molecular mechanisms controlling network excitability to assess
whether they may be altered in animal models of disease. The impact of changes in
excitation/inhibition balance on local circuit and network computations is not
clear. Here we report findings on the integration of excitatory and inhibitory
inputs in healthy cortical circuits and discuss how shifts in
excitation/inhibition balance may relate to pathological phenotypes.
PMID- 27865456
TI - Selective adsorption of flavor-active components on hydrophobic resins.
AB - This work aims to propose an optimum resin that can be used in industrial
adsorption process for tuning flavor-active components or removal of ethanol for
producing an alcohol-free beer. A procedure is reported for selective adsorption
of volatile aroma components from water/ethanol mixtures on synthetic hydrophobic
resins. High throughput 96-well microtiter-plates batch uptake experimentation is
applied for screening resins for adsorption of esters (i.e. isoamyl acetate, and
ethyl acetate), higher alcohols (i.e. isoamyl alcohol and isobutyl alcohol), a
diketone (diacetyl) and ethanol. The miniaturized batch uptake method is adapted
for adsorption of volatile components, and validated with column breakthrough
analysis. The results of single-component adsorption tests on Sepabeads SP20-SS
are expressed in single-component Langmuir, Freundlich, and Sips isotherm models
and multi-component versions of Langmuir and Sips models are applied for
expressing multi-component adsorption results obtained on several tested resins.
The adsorption parameters are regressed and the selectivity over ethanol is
calculated for each tested component and tested resin. Resin scores for four
different scenarios of selective adsorption of esters, higher alcohols, diacetyl,
and ethanol are obtained. The optimal resin for adsorption of esters is Sepabeads
SP20-SS with resin score of 87% and for selective removal of higher alcohols,
XAD16N, and XAD4 from Amberlite resin series are proposed with scores of 80 and
74% respectively. For adsorption of diacetyl, XAD16N and XAD4 resins with score
of 86% are the optimum choice and Sepabeads SP2MGS and XAD761 resins showed the
highest affinity towards ethanol.
PMID- 27865457
TI - Viral Carcinogenesis.
AB - Cancer has been recognized for thousands of years. Egyptians believed that cancer
occurred at the will of the gods. Hippocrates believed human disease resulted
from an imbalance of the four humors: blood, phlegm, yellow bile, and black bile
with cancer being caused by excess black bile. The lymph theory of cancer
replaced the humoral theory and the blastema theory replaced the lymph theory.
Rudolph Virchow was the first to recognize that cancer cells like all cells came
from other cells and believed chronic irritation caused cancer. At the same time
there was a belief that trauma caused cancer, though it never evolved after many
experiments inducing trauma. The birth of virology occurred in 1892 when Dimitri
Ivanofsky demonstrated that diseased tobacco plants remained infective after
filtering their sap through a filter that trapped bacteria. Martinus Beijerinck
would call the tiny infective agent a virus and both Dimitri Ivanofsky and
Marinus Beijerinck would become the fathers of virology. Not to long thereafter,
Payton Rous founded the field of tumor virology in 1911 with his discovery of a
transmittable sarcoma of chickens by what would come to be called Rous sarcoma
virus or RSV for short. The first identified human tumor virus was the Epstein
Barr virus (EBV), named after Tony Epstein and Yvonne Barr who visualized the
virus particles in Burkitt's lymphoma cells by electron microscopy in 1965. Since
that time, many viruses have been associated with carcinogenesis including the
most studied, human papilloma virus associated with cervical carcinoma, many
other anogenital carcinomas, and oropharyngeal carcinoma. The World Health
Organization currently estimates that approximately 22% of worldwide cancers are
attributable to infectious etiologies, of which viral etiologies is estimated at
15-20%. The field of tumor virology/viral carcinogenesis has not only identified
viruses as etiologic agents of human cancers, but has also given molecular
insights to all human cancers including the oncogene activation and tumor
suppressor gene inactivation.
PMID- 27865460
TI - Current and Emerging Targeting Strategies for Treatment of Pancreatic Cancer.
AB - With a dismal 5-year survival rate of only 8%, pancreatic cancer still remains a
very lethal disease. As with most cancers, pancreatic cancer is treated with
different combinations of chemotherapeutic drugs which result in side effects and
potential drug resistance leading in many cases to the unfortunate demise of the
patient. Over recent years, a number of therapies have been developed against
numerous molecular targets in cancers. Kinase inhibitors and monoclonal
antibodies have been shown to target numerous kinases, growth factor receptors,
and cell signaling pathways. This can lead to effects on tumor cell growth,
angiogenesis, apoptosis, and the microenvironment. Most recent findings are very
promising as they relate to the use of immunotherapy to treat certain cancers.
Immune checkpoint inhibitors and cancer vaccines are currently being
investigated. In this review, we will highlight some novel molecular targeted
strategies that are being used or considered as potential therapeutics to treat
patients with pancreatic cancer.
PMID- 27865461
TI - Molecular and Cellular Changes During Cancer Progression Resulting From Genetic
and Epigenetic Alterations.
AB - Tumorigenesis is a complex process that involves a persistent dismantling of
cellular safeguards and checkpoints. These molecular and cellular changes that
accumulate over months or decades lead to a change in the fundamental identity of
a cell as it transitions from normal to malignant. In this chapter, we will
examine some of the molecular changes in the evolving relationship between the
genome and epigenome and highlight some of the key changes that occur as normal
cells progress to tumor cells. For many years tumorigenesis was almost
exclusively attributed to mutations in protein-coding genes. This notion that
mutations in protein-coding genes were a fundamental driver of tumorigenesis
enabled the development of several novel therapeutics that targeted the mutant
protein or overactive pathway responsible for driving a significant portion of
the tumor growth. However, because many therapeutic challenges remained in the
face of these advances, it was clear that other pieces to the puzzle had yet to
be discovered. Advances in molecular and genomics techniques continued and the
study of epigenetics began to expand and helped reshape the view that drivers of
tumorigenesis extended beyond mutations in protein-coding genes. Studies in the
field of epigenetics began to identify aberrant epigenetic marks which created
altered chromatin structures and enabled protein expression in tissues that
defied rules governing tissue-specificity. Not only were epigenetic alterations
found to enable overexpression of proto-oncogenes, they also led to the silencing
of tumor suppressor genes. With these discoveries, it became clear that tumor
growth could be stimulated by much more than mutations in protein-coding genes.
In fact, it became increasingly clear that much of the human genome, while
transcribed, did not lead to proteins. This discovery further led to studies that
began to uncover the role of noncoding RNAs in regulating chromatin structure,
gene transcription, and tumor biology. In this chapter, some of the key
alterations in the genome and epigenome will be explored, and some of the cancer
therapies that were developed as a result of these discoveries will be discussed.
PMID- 27865462
TI - Molecular Changes Associated With Tumor Initiation and Progression of Soft Tissue
Sarcomas: Targeting the Genome and Epigenome.
AB - Soft tissue sarcomas are rare, but generally aggressive tumors which
disproportionately affect children and young adults. They represent less than 10%
of all cancers, but are one of the most frequently diagnosed cancers in pediatric
patients. These cancers have a high rate of morbidity and mortality, and their
overall incidence has been increasing at an estimated rate of 26% over the last 2
decades. The cause of this increased incidence is unknown but various
environmental factors have been implicated. Establishing standard therapeutic
strategies is challenging for soft tissue sarcomas as more than 50 different
histological subtypes exist, each with their own molecular alterations and
clinical characteristics, and this combination of tumor heterogeneity and a
limited number of clinical cases make detailed omics level molecular studies
particularly challenging. This chapter will focus on the unique genetic and
epigenetic changes which characterize these cancers, with an emphasis on
translocation-associated sarcomas involving primary gene fusions with the RNA
chaperone protein EWSR1. We will highlight current therapeutic approaches and
discuss opportunities for targeted molecular therapeutics.
PMID- 27865463
TI - Molecular Changes During Acute Myeloid Leukemia (AML) Evolution and
Identification of Novel Treatment Strategies Through Molecular Stratification.
AB - Acute myeloid leukemia (AML) is a hematopoietic malignancy characterized by
impaired differentiation and uncontrollable proliferation of myeloid progenitor
cells. Due to high relapse rates, overall survival for this rapidly progressing
disease is poor. The significant challenge in AML treatment is disease
heterogeneity stemming from variability in maturation state of leukemic cells of
origin, genetic aberrations among patients, and existence of multiple disease
clones within a single patient. Disease heterogeneity and the lack of biomarkers
for drug sensitivity lie at the root of treatment failure as well as selective
efficacy of AML chemotherapies and the emergence of drug resistance. Furthermore,
standard-of-care treatment is aggressive, presenting significant tolerability
concerns to the commonly advanced-age AML patient. In this review, we examine the
concept and potential of molecular stratification, particularly with biologically
relevant drug responses, in identifying low-toxicity precision therapeutic
combinations and clinically relevant biomarkers for AML patient care as a way to
overcome these challenges in AML treatment.
PMID- 27865459
TI - Molecular Pathogenesis of Pancreatic Cancer.
AB - Pancreatic cancers arise predominantly from ductal epithelial cells of the
exocrine pancreas and are of the ductal adenocarcinoma histological subtype
(PDAC). PDAC is an aggressive disease associated with a poor clinical prognosis,
weakly effective therapeutic options, and a lack of early detection methods.
Furthermore, the genetic and phenotypic heterogeneity of PDAC complicates efforts
to identify universally efficacious therapies. PDACs commonly harbor activating
mutations in the KRAS oncogene, which is a potent driver of tumor initiation and
maintenance. Inactivating mutations in tumor suppressor genes such as CDKN2A/p16,
TP53, and SMAD4 cooperate with KRAS mutations to cause aggressive PDAC tumor
growth. PDAC can be classified into 3-4 molecular subtypes by global gene
expression profiling. These subtypes can be distinguished by distinct molecular
and phenotypic characteristics. This chapter will provide an overview of the
current knowledge of PDAC pathogenesis at the genetic and molecular level as well
as novel therapeutic opportunities to treat this highly aggressive disease.
PMID- 27865464
TI - Myeloproliferative Neoplasms: Molecular Drivers and Therapeutics.
AB - Activating mutations in genes that drive neoplastic cell growth are numerous and
widespread in cancer, and specific genetic alterations are associated with
certain types of cancer. For example, classic myeloproliferative neoplasms (MPNs)
are hematopoietic stem cell disorders that affect cells of the myeloid lineage,
including erythrocytes, platelets, and granulocytes. An activating mutation in
the JAK2 tyrosine kinase is prevalent in these diseases. In MPN patients that
lack such a mutation, other genetic changes that lead to activation of the JAK2
signaling pathway are present, indicating deregulation of JAK2 signaling plays an
etiological driving role in MPNs, a concept supported by significant evidence
from in vivo experimental MPN systems. Thus, small molecules that inhibit JAK2
activity are ideal drugs to impede the progression of disease in MPN patients.
However, even though JAK inhibitors provide significant symptomatic relief, they
have failed as a remission-inducing therapy. Nonetheless, the progress made
understanding the molecular etiology of MPNs since 2005 is significant and has
provided insight for the development and testing of novel molecular targeted
therapeutic approaches. The current understanding of driver mutations in MPNs and
an overview of current and potential therapeutic strategies for MPN patients will
be discussed.
PMID- 27865465
TI - Dysregulation of Aromatase in Breast, Endometrial, and Ovarian Cancers: An
Overview of Therapeutic Strategies.
AB - Aromatase is the rate-limiting enzyme in the biosynthesis of estrogens, which
play crucial roles on a spectrum of developmental and physiological processes.
The biological actions of estrogens are classically mediated by binding to two
estrogen receptors (ERs), ERalpha and ERbeta. Encoded by the cytochrome P450,
family 19, subfamily A, polypeptide 1 (CYP19A1) gene, aromatase is expressed in a
wide variety of tissues, as well as benign and malignant tumors, and is regulated
in a pathway- and tissue-specific manner. Overexpression of aromatase, leading to
elevated systemic levels of estrogen, is unequivocally linked to the pathogenesis
and growth of a number malignancies, including breast, endometrium, and ovarian
cancers. Aromatase inhibitors (AIs) are routinely used to treat estrogen
dependent breast cancers in postmenopausal women; however, their roles in
endometrial and ovarian cancers remain obscure. While AI therapy is effective in
hormone sensitive cancers, they diminish estrogen production throughout the body
and, thus, generate undesirable side effects. Despite the effectiveness of AI
therapy, resistance to endocrine therapy remains a major concern and is the
leading cause of cancer death. Considerable advances, toward mitigating these
issues, have evolved in conjunction with a number of histone deacetylase (HDAC)
inhibitors for countering an assortment of diseases and cancers, including the
aforesaid malignancies. HDACs are a family of enzymes that are frequently
dysregulated in human tumors. This chapter will discuss the current understanding
of aberrant regulation and expression of aromatase in breast, endometrial, and
ovarian cancers, and potential therapeutic strategies for prevention and
treatment of these life-threatening diseases.
PMID- 27865466
TI - Wnt/beta Catenin-Mediated Signaling Commonly Altered in Colorectal Cancer.
AB - Colorectal cancer is the second most common cancer in females and the third most
common cancer diagnosed in males (Torre et al.1). In 2012, there were about 1.4
million cases and 693,900 deaths due to colorectal cancer worldwide. It is more
common in developed countries, and North America, Europe, and Australia have the
highest incidence rates. In the United States, adults have a 5% chance of
developing colorectal cancer (Cancer of the colon and rectum-SEER stat fact
sheets2). Due to the high prevalence of colorectal cancer, understanding the
mechanism underlying its initiation and progression in order to find better
therapeutic agents will have a high impact in the field of oncology and may
improve the treatment of other cancers with shared mechanistic properties.
Aberrant Wnt/beta-catenin signaling is a characteristic feature of colorectal
cancer development and is the focus of this review.
PMID- 27865467
TI - Molecular Changes During Breast Cancer and Mechanisms of Endocrine Therapy
Resistance.
AB - Estrogen receptors (ERs) are expressed in 75% of breast cancers. ERs and their
estrogen ligands play a key role in the development and progression of breast
cancer. ERs have a genomic activity involving direct modulation of expression of
genes vital to cell growth and survival by their classic nuclear receptors. The
nongenomic activity is mediated by membrane receptor tyrosine kinases that
activate signaling pathways resulting in activation of ER pathway modulators.
Endocrine therapies inhibit the growth promoting activity of estrogen. ERs
positive breast cancers can exhibit de novo or acquired endocrine resistance. The
mechanisms of endocrine therapy resistance are complex include deregulation of ER
pathway, growth factor receptor signaling, cell cycle machinery, and tumor
microenvironment. In this chapter, we will review the literature on the biology
of ERs, the postulated mechanisms of endocrine therapy resistance, and their
clinical implications.
PMID- 27865468
TI - Molecular and Cellular Changes in Breast Cancer and New Roles of lncRNAs in
Breast Cancer Initiation and Progression.
AB - Breast cancer is not just one disease but many variations on a theme, comprising
a variety of molecular subtypes with distinct etiologies, cellular origins,
treatment strategies, and prognoses. Like mRNAs and microRNAs (miRNAs), long
noncoding RNAs (lncRNAs) differ dramatically in expression across breast cancer
subtypes and can be used for classification. While there has been considerable
emphasis on miRNAs, our knowledge is still in its infancy about the role of
lncRNAs that comprise the majority of the mammalian transcriptome. In this
chapter, we will review the critical functions that lncRNAs play in breast cancer
development and metastatic progression. We will conclude with a discussion of
current and future approaches for RNA-targeted therapeutic intervention.
PMID- 27865469
TI - Interplay Between Inflammation and Epigenetic Changes in Cancer.
AB - Immune responses can suppress tumorigenesis, but also contribute to cancer
initiation and progression suggesting a complex interaction between the immune
system and cancer. Epigenetic alterations, which are heritable changes in gene
expression without changes to the DNA sequence, also play a role in
carcinogenesis through silencing expression of tumor suppressor genes and
activating oncogenic signaling. Interestingly, epithelial cells at sites of
chronic inflammation undergo DNA methylation alterations that are similar to
those present in cancer cells, suggesting that inflammation may initiate cancer
specific epigenetic changes in epithelial cells. Furthermore, epigenetic changes
occur during immune cell differentiation and participate in regulating the immune
response, including the regulation of inflammatory cytokines. Cancer cells
utilize epigenetic silencing of immune-related genes to evade the immune
response. This chapter will detail the interactions between inflammation and
epigenetics in tumor initiation, promotion, and immune evasion and how these
connections are being leveraged in cancer prevention and treatment.
PMID- 27865470
TI - Preface.
PMID- 27865471
TI - Satisfaction and treatment adherence in erectile dysfunction in the medium and
long term.
AB - INTRODUCTION: The aim of this study was to show the satisfaction and treatment
adherence in erectile dysfunction (ED) in the medium and long term. MATERIAL AND
METHODS: A descriptive, comparative study was conducted in 2 centres through
telephone interviews with patients who came for an initial visit between 2012 and
2014 for ED. A complete case history review was conducted on the use of and
withdrawal from treatment. For current use, the Erectile Dysfunction Inventory of
Treatment Satisfaction (EDITS) and the Global Assessment Questionnaire (GAQ) were
filled out; for past use, only the GAQ was filled out. For the statistical
analysis, we employed Fisher's exact test for comparisons of percentages and the
Kruskal-Wallis test to compare means. RESULTS: The study included 250 patients;
20.8% were prescribed intraurethral alprostadil (ALP-IU), 17.2% were prescribed
intracavernous alprostadil (ALP-IC), 92.8% were prescribed a first IPD5, and
24.8% were prescribed at least a second IPD5. The treatment withdrawal rate was
62.07% for the first IPD5, 41.94% for the last IPD5, 69.23% for the ALP-IU and
65.11% for the ALP-IC (P=.007). The main reason for withdrawal for the IPD5 was a
lack of response (32.76% of those who took IPD5). In addition to withdrawal,
there were adverse reactions for ALP-IU and ALP-IC (28.85% and 11.63%,
respectively). The mean duration of use until withdrawal was 4.3 months for IPD5,
2.2 months for ALP-IU and 5.5 months for ALP-IC (P=.064). The most favourable GAQ
and EDITS scores were observed for IPD5 (EDITS score of 74). Sildenafil and
tadalafil had the longest usage times (mean >5 months). CONCLUSIONS: The
withdrawal rate for treating ED is high, with short usage times of a few months.
A lack of response and adverse reactions were the main causes for withdrawal. The
drugs that provide greater satisfaction are the IPD5, although there are no
significant differences in the mean usage time between the different types and in
aspects such as the mean usage time to withdrawal or the withdrawal rates.
PMID- 27865472
TI - Taking a STEP back: Assessing the outcomes of multiple STEP procedures.
AB - PURPOSE: Short bowel syndrome (SBS) is a highly morbid condition primarily
because of parenteral nutrition (PN)-associated complications. Bowel lengthening
via serial transverse enteroplasty (STEP) has become standard of care. While
initial STEPs have resulted in weaning from PN, outcomes of repeated STEPs
(ReSTEPs) are not well described. We investigated outcomes of initial STEP
compared to ReSTEP procedures. METHODS: This retrospective review of STEPs
included 17 children and a total of 24 procedures. Demographics, complications,
hospital readmission rates, postoperative costs, and PN weaning were analyzed.
RESULTS: Neither patient-specific data nor the etiology of SBS was predictive of
requiring a ReSTEP. PN weaning was more likely in the year following a first STEP
(18% wean rate vs. 0% for ReSTEP, p>.05). No ReSTEP patients reached enteral
autonomy. Enteral nutrition (%EN) increases were greater after first STEP
compared to ReSTEP (26.0% vs. 4.7%, p=0.03). This trend was true for bowel length
as well, where first STEPs resulted in a 51% increase in bowel length compared to
a 20% increase after in ReSTEP (p=0.02). CONCLUSIONS: ReSTEPs failed to result in
significant PN weaning, with no ReSTEP patients achieving enteral autonomy during
follow-up. Given its higher costs, smaller bowel length gains, and limited
ability to produce enteral autonomy, surgeons should carefully consider
performing ReSTEP procedures. LEVEL OF EVIDENCE: Level III.
PMID- 27865473
TI - Automated data extraction: merging clinical care with real-time cohort-specific
research and quality improvement data.
AB - BACKGROUND/PURPOSE: Although prohibitively labor intensive, manual data
extraction (MDE) is the prevailing method used to obtain clinical research and
quality improvement (QI) data. Automated data extraction (ADE) offers a powerful
alternative. The purposes of this study were to 1) assess the feasibility of ADE
from provider-authored outpatient documentation, and 2) evaluate the
effectiveness of ADE compared to MDE. METHODS: A prospective collection of data
was performed on 90 ADE-templated notes (N=71 patients) evaluated in our bowel
management clinic. ADE captured data were compared to 59 MDE notes (N=51)
collected under an IRB-exempt review. Sixteen variables were directly comparable
between ADE and MDE. RESULTS: MDE for 59 clinic notes (27 unique variables) took
6months to complete. ADE-templated notes for 90 clinic notes (154 unique
variables) took 5min to run a research/QI report. Implementation of ADE included
eight weeks of development and testing. Pre-implementation clinical documentation
was similar to post-implementation documentation (5-10min). CONCLUSIONS: ADE
templated notes allow for a 5-fold increase in clinically relevant data that can
be captured with each encounter. ADE also results in real-time data extraction to
a research/QI database that is easily queried. The immediate availability of
these data, in a research-formatted spreadsheet, allows for rapid collection,
analyses, and interpretation of the data. LEVEL OF EVIDENCE: IV. TYPE OF STUDY:
Retrospective Study.
PMID- 27865474
TI - Tubeless Uniportal Thoracoscopic Wedge Resection for Peripheral Lung Nodules.
AB - BACKGROUND: Endotracheal intubation and chest tube drainage are considered
mandatory for thoracoscopic pulmonary resection. The management of peripheral
lung nodules by tubeless uniportal thoracoscopic surgical repair has not been
reported previously. METHODS: From October 2015 through January 2016, 30
consecutive patients with peripheral lung nodules underwent uniportal
thoracoscopic wedge resection without endotracheal intubation and chest tube
drainage (tubeless group). The clinical outcomes were compared with the outcomes
in 30 consecutive patients with peripheral lung nodules who underwent uniportal
thoracoscopic wedge resection with chest tube drainage from April through October
2015 (chest tube group). RESULTS: The demographic, anesthetic, and operative
characteristics of the patients were comparable in both groups. No major adverse
events occurred after operation. A small residual pneumothorax was noted in 12
(40.0%) patients at 6 hours and day 1 and in 2 (6.6%) patients on day 14 in the
tubeless group. No patient required reintervention or readmission to the
hospital. Patients in the tubeless group had lower pain scale scores on
postoperative day 1 (mean, 1.0 vs 1.5, p = 0.012) and shorter postoperative
hospital stays (mean, 3.1 days vs 4.4 days, p = 0.011) than did those in the
chest tube group. CONCLUSIONS: Tubeless uniportal thoracoscopic wedge resection
is feasible and safe and may be a less invasive alternative for treating selected
patients with peripheral lung nodules.
PMID- 27865476
TI - Endobronchial and Endoscopic Ultrasound-Guided Transvascular Biopsy of
Mediastinal, Hilar, and Lung Lesions.
AB - BACKGROUND: Endoscopic techniques, including endobronchial ultrasound (EBUS) and
endoscopic ultrasound (EUS), are the initial approach for the diagnosis and
staging of lung cancer and the diagnosis of mediastinal and hilar lesions.
Historically, the transvascular approach has been avoided because of concerns of
bleeding. Here we review our experience with EBUS and EUS transvascular biopsy of
mediastinal, hilar, and lung lesions. METHODS: A prospective research database
was used to retrospectively identify and review the records 33 consecutive
patients who underwent EBUS and EUS transvascular biopsy in an outpatient setting
over 4 years. Complications were identified as significant hematoma seen with
endoscopic ultrasound, hemothorax, hemoptysis other than minor, hemodynamic
instability, hospital admission, and death. RESULTS: The biopsies in 14 patients
were performed through branches of the pulmonary artery, and 19 were done through
the aorta. All EUS biopsies were performed with a 22-gauge needle, and all EBUS
biopsies were performed with a 21-gauge needle. Malignancy was diagnosed with
specimens from a transvascular biopsy in 16 patients (48.5%). Samples from 8
biopsies (24%) were described as negative for malignancy, and 9 specimens (27%)
were described as insufficient. No complications were seen in the immediate
postprocedural period, and all 33 patients were discharged home the same day. The
median follow-up after the procedure was 12 months, with no complications
described. The overall yield was 73%. CONCLUSIONS: In this series, EBUS- and EUS
guided transvascular approach for biopsy of mediastinal, hilar, and lung lesions
was not associated with significant complications. However, careful selection of
potential candidates and close periprocedural observation are mandatory.
PMID- 27865475
TI - Soluble Receptor for Advanced Glycation End Products Quantifies Lung Injury in
Polytraumatized Patients.
AB - BACKGROUND: Biomarkers caused by blunt chest trauma might leak into the vascular
compartment and therefore reflect the severity of parenchymal lung injury (PLI).
Five promising proteins were preselected after a literature scan. The objective
of our study was to identify a biomarker that is released abundantly into the
serum shortly after trauma and reliably quantifies the loss of functional lung
tissue. METHODS: Polytraumatized patients (aged >=18 years, Injury Severity Score
[ISS] >=16) were included in our prospective observational study if they were
admitted directly to our level I trauma center during the first hour after trauma
occurred. Immediately after stabilizing the patient's condition, blood samples
were taken and a whole-body computed tomographic (CT) scan was obtained.
Biomarker levels were measured directly after admission and on day 2. PLI volume
was calculated using volumetric analysis. RESULTS: One hundred thirty patients
met the inclusion criteria. Compared with a matched healthy control population,
median levels of the soluble receptor for advanced glycation end products (sRAGE)
was almost 3 times higher and decreased by 41% on day 2. Higher initial median
sRAGE levels were detected in patients with PLI compared with patients without
PLI and in individuals with severe PLI compared with those with mild PLI.
Spearman correlation analysis and a univariate linear log regression model
revealed a significant correlation/equation between initial sRAGE levels and
relative PLI volume. Receiver operating characteristic (ROC) statistics
identified the initial sRAGE level as an indicator of severe PLI. CONCLUSIONS:
sRAGE levels measured shortly after trauma seem to be a promising diagnostic tool
to assess the severity of PLI in polytraumatized patients.
PMID- 27865458
TI - The Interaction Between Human Papillomaviruses and the Stromal Microenvironment.
AB - Human papillomaviruses (HPVs) are small, double-stranded DNA viruses that
replicate in stratified squamous epithelia and cause a variety of malignancies.
Current efforts in HPV biology are focused on understanding the virus-host
interactions that enable HPV to persist for years or decades in the tissue. The
importance of interactions between tumor cells and the stromal microenvironment
has become increasingly apparent in recent years, but how stromal interactions
impact the normal, benign life cycle of HPVs, or progression of lesions to cancer
is less understood. Furthermore, how productively replicating HPV impacts cells
in the stromal environment is also unclear. Here we bring together some of the
relevant literature on keratinocyte-stromal interactions and their impacts on HPV
biology, focusing on stromal fibroblasts, immune cells, and endothelial cells. We
discuss how HPV oncogenes in infected cells manipulate other cells in their
environment, and, conversely, how neighboring cells may impact the efficiency or
course of HPV infection.
PMID- 27865477
TI - Tracheostomy Among Infants With Hypoplastic Left Heart Syndrome Undergoing
Cardiac Operations: A Multicenter Analysis.
AB - BACKGROUND: Less than 2.7% of infants undergoing congenital heart disease
operations have difficulty weaning from invasive mechanical ventilation. In such
instances, clinicians may choose to perform tracheostomy. Limited literature has
examined tracheostomy placement specifically in infants with hypoplastic left
heart syndrome (HLHS). This study evaluated the risk factors for tracheostomy
placement in infants with HLHS and examined the outcomes of these infants before
their first hospital discharge. METHODS: This retrospective analysis of the
Pediatric Heath Information System data set included infants with HLHS who
underwent stage 1 Norwood operation, a hybrid procedure, or heart transplant from
2004 through 2013. RESULTS: We identified 5721 infants with HLHS, and 126
underwent tracheostomy placement. Infants in the tracheostomy group had more
morbidities and a higher mortality rate across the study period. Diagnosis of
chromosomal abnormalities, anomalies of the trachea and esophagus, larynx,
diaphragm and nervous system, bilateral vocal cord paralysis, and necrotizing
enterocolitis, and procedures including extracorporeal membrane oxygenation
support, cardiac catheterization, and gastrostomy tube were independently
associated with tracheostomy placement in the study population. Despite an
overall increase in rates of tracheostomy performed in infants with HLHS during
the study period, the mortality rate did not improve among tracheostomy patients.
CONCLUSIONS: Several risk factors were identified in infants with HLHS in whom a
tracheostomy was placed during their first hospitalization. Despite an overall
increase in rates of tracheostomies during the study period, the mortality rate
did not improve among these patients. Appropriate family counseling and thorough
preoperative case selection is suggested when discussing possible tracheostomy
placement in infants with HLHS.
PMID- 27865478
TI - The limits of stability and muscle activity in middle-aged adults during static
and dynamic stance.
AB - Balance control plays an important role in maintaining daily activity. However,
studies on postural control among middle-aged adults are scarce. This study aims
(i) to examine directional control (DCL) and electromyography activity (EMG) for
different stability levels, and (ii) to determine left-right asymmetry for DCL
and muscle activity among sedentary middle-aged adults. Twenty healthy, middle
aged adults (10 males, 10 females; age=50.0+/-7.5yrs; body height: 1.61+/-0.10m;
body mass: 70.0+/-14.5kg) participated in the study. EMG for left and right side
of rectus femoris (RF), biceps femoris (BF), and medial gastrocnemius (MG) were
recorded. Two-way repeated measures analysis of variance was used to assess the
effect of dynamic level on DCL and EMG, whereas independent sample t-test was
conducted to analyse the asymmetries of DCL and EMG for the left and right leg.
When the dynamic tilt surface increased, DCL scores significantly decreased
(except forward, forward-rightward, and backward-leftward direction) and only RF
muscle indicated significant differences. Left-right asymmetry was found in BF
and MG muscles. No significant gender difference was observed in DCL and EMG.
These data demonstrated that increased dynamic tilt surface may increase the
displacement of center of pressure of certain directions, and stimulate RF
activity in dynamic stance among sedentary middle-aged adults. Further studies
should be conducted to examine the dynamic stance and muscle activity of the
lower limb in age-matched patient groups with balance abnormalities.
PMID- 27865479
TI - Evaluation of knee functional calibration with and without the effect of soft
tissue artefact.
AB - Functional calibration methods were devised to improve repeatability and accuracy
of the knee flexion-extension axis, which is used to define the medio-lateral
axis of the femur coordinate system in gait analysis. Repeatability of functional
calibration methods has been studied extensively in healthy individuals, but not
accuracy in the absence of a benchmark knee axis. We captured bi-plane
fluoroscopy data of the knee joint in 17 subjects with unilateral total knee
arthroplasty during treadmill walking. The prosthesis provided a benchmark knee
axis to evaluate the functional calibration methods. Stereo-photogrammetry data
of thigh and shank marker clusters were captured simultaneously to investigate
the effect of soft tissue artefact (STA). Three methods were tested, the Axis
Transformation Technique (ATT) finds the best single fixed axis of rotation,
2DofKnee finds the axis that minimises knee varus-valgus and trajAJC finds the
axis perpendicular to the trajectory, in the transverse plane of the femur, of a
point located on the longitudinal axis of the tibia. Using fluoroscopy data,
functional axes formed an angle of less than 2 degrees in the transverse plane
with the benchmark axis. True internal-external range of movement was correlated
with decreased accuracy for ATT, while varus-valgus range of movement was
correlated with decreased accuracy for 2DofKnee and trajAJC. STA had negative
impact on accuracy and variability. Using stereo-photogrammetry data, the
accuracy of 2DofKnee was 1.7 degrees (SD: 5.1 degrees ), smaller than ATT 2.9
degrees (SD: 5.1 degrees ) but not to trajAJC 1.7 degrees (SD: 5.2 degrees ). Our
results confirm that of previous studies, which utilised the femur condylar axis
as reference.
PMID- 27865481
TI - The influence of tethering and gravity on the stability of compliant liquid-lined
airways.
AB - This study revolves around two simple questions: 1) how does pulmonary airway
recruitment/de-recruitment (RecDer) depend on the tethering support provided by
surrounding airways and alveoli, and 2) does airway angle of inclination (theta)
influence airway stability? These two questions are critical to understanding the
existence and prevention of atelectrauma, which may contribute to ventilator
induced lung injury (VILI). To address these questions, we develop PDMS 2mm ID
compliant tubes that mimic pulmonary airways. Airway obstruction is modeled using
silicone oil, and recruitment occurs through insufflation with a constant flow of
air at Q=0.25ml/s. Parenchymal tethering is modeled through the use of a pressure
chamber through which we independently establish the external pressure (Pext).
Repetitive RecDer oscillation is observed as a function of Pext and theta. We
find that airway collapse significantly increases the rate of instability, and
this rate correlates strongly with the dimensionless film thickness
(epsilon=h/R), where h is the film thickness and R is the transumural pressure
dependent vessel radius. Furthermore, the angle of orientation influences RecDer
oscillation, with stability decreased when airflow is directed in the upward
direction. These results may provide insight into protective mechanical
ventilation processes that can reduce the existence or severity of VILI.
PMID- 27865480
TI - Biofluids, cell mechanics and epigenetics: Flow-induced epigenetic mechanisms of
endothelial gene expression.
AB - Epigenetics is the regulation of gene expression (transcription) in response to
changes in the cell environment through genomic modifications that largely
involve the non-coding fraction of the human genome and that cannot be attributed
to modification of the primary DNA sequence. Epigenetics is dominant in
establishing cell fate and positioning during programmed embryonic development.
However the same pathways are used by mature postnatal and adult mammalian cells
during normal physiology and are implicated in disease mechanisms. Recent
research demonstrates that blood flow and pressure are cell environments that can
influence transcription via epigenetic pathways. The principal epigenetic
pathways are chemical modification of cytosine residues of DNA (DNA methylation)
and of the amino tails of histone proteins associated with DNA in nucleosomes.
They also encompass the post-transcriptional degradation of mRNA transcripts by
non-coding RNAs (ncRNA). In vascular endothelium, epigenetic pathways respond to
temporal and spatial variations of flow and pressure, particularly hemodynamic
disturbed blood flow, with important consequences for gene expression. The
biofluid environment is linked by mechanotransduction and solute transport to
cardiovascular cell phenotypes via signaling pathways and epigenetic regulation
for which there is an adequate interdisciplinary infrastructure with robust tools
and methods available. Epigenetic mechanisms may be less familiar than acute
genomic signaling to Investigators at the interface of biofluids, biomechanics
and cardiovascular biology. Here we introduce a biofluids / cellular biomechanics
readership to the principal epigenetic pathways and provide a contextual overview
of endothelial epigenetic plasticity in the regulation of flow-responsive
transcription.
PMID- 27865484
TI - A Possible Amphidromic Relation Between Spontaneous Coronary Artery Dissection
and Takotsubo Syndrome.
PMID- 27865482
TI - Predictors of Suboptimal Gain in Exercise Capacity After Cardiac Rehabilitation.
AB - Cardiac rehabilitation (CR) improves exercise capacity (EC), but not all CR
participants achieve such improvements. Our primary aim was to develop a tool to
identify those with suboptimal improvement in EC after CR. We retrospectively
analyzed 541 patients enrolled in a phase-II CR program after a cardiac event or
intervention from 2003 to 2014. EC was assessed with the 6-minute walk test. We
developed a multivariate linear regression model and corresponding nomogram to
predict EC after CR. The predictors included in the final model were age, gender,
baseline EC, primary referral diagnosis, body mass index, systolic blood pressure
at rest, triglycerides, low-density lipoprotein cholesterol, lipid-lowering
medication use, and an interaction term of low-density lipoprotein cholesterol
with lipid-lowering therapy. The prediction model was internally validated using
bootstrap methods, and a nomogram was created for ease of use. In conclusion,
this tool helps to identify those patients with suboptimal improvement in EC who
could be targeted for individualized interventions to increase their performance.
PMID- 27865483
TI - Acute Aortic Dissection With Intussusception of the Partition Between the True
and False Channels Leading to Near Total Aortic Occlusion (True Aortic Stenosis).
AB - Described herein are 2 patients with fatal acute aortic dissection resulting in a
circumferential intimal-medial tear causing the partition between the true and
false channels to roll up and propagate forward as an intra-aortic
intussusception (a receiving within).
PMID- 27865485
TI - Comparison of the Effectiveness of Stress Echocardiography Versus Myocardial
Perfusion Imaging in Patients Presenting to the Emergency Department With Low
Risk Chest Pain.
AB - The aim of this study was to compare clinically relevant cardiovascular outcomes
and downstream resource utilization associated with stress echocardiography (SE)
and myocardial perfusion imaging (MPI) in emergency department patients with low
risk chest pain. This was a retrospective analysis of health insurance claims
data for a national sample of privately insured patients over the period January
1 to December 31, 2011. Subjects were selected who presented to the emergency
department with a primary or secondary diagnosis of chest pain and underwent
either SE or MPI. The primary end points were the percentage of patients in each
group who underwent downstream cardiac catheterization, revascularization, repeat
noninvasive testing, return emergency department visit with chest pain, and
hospitalization for myocardial infarction. The mean length of follow-up was 190
days in both groups. Overall, 48,202 patients or 24,101 propensity-matched pairs
were included in the final analysis. Compared with SE, MPI was associated with
significantly higher odds of subsequent cardiac catheterization (adjusted odds
ratio [AOR] 2.15; 95% confidence interval [CI] 1.99 to 2.33) and
revascularization procedures (AOR 1.58; 95% CI 1.36 to 1.85) and repeat emergency
department visits (AOR 1.14; 95% CI 1.11 to 1.19). The odds of repeat testing and
myocardial infarction did not differ between groups. The average cost of
downstream care was significantly higher in the MPI group ($2,193.80 vs
$1,631.10, p <0.0001). According to the a priori rules specified for this
comparative analysis, SE is more effective than MPI for privately insured
patients who present to the emergency department with chest pain. In conclusion,
these findings demonstrate the importance of assessing diagnostic tests based on
how they affect hard end points because identification of disease, in and of
itself, may not confer any clinical advantage.
PMID- 27865486
TI - National single-step genomic method that integrates multi-national genomic
information.
AB - The aim of this paper was to develop a national single-step genomic BLUP that
integrates multi-national genomic estimated breeding values (EBV) and associated
reliabilities without double counting dependent data contributions from the
different evaluations. Simultaneous use of all data, including phenotypes,
pedigree, and genotypes, is a condition to obtain unbiased EBV. However, this
condition is not always fully met, mainly due to unavailability of foreign raw
data for imported animals. In dairy cattle genetic evaluations, this issue is
traditionally tackled through the multiple across-country evaluation (MACE) of
sires, performed by Interbull Centre (Uppsala, Sweden). Multiple across-country
evaluation regresses all the available national information onto a joint pedigree
to obtain country-specific rankings of all sires without sharing the raw data. In
the context of genomic selection, the issue is handled by exchanging sire
genotypes and by using MACE information (i.e., MACE EBV and reliabilities), as a
valuable source of "phenotypic" data. Although all the available data are
considered, these "multi-national" genomic evaluations use multi-step methods
assuming independence of various sources of information, which is not met in all
situations. We developed a method that handles this by single-step genomic
evaluation that jointly (1) uses national phenotypic, genomic, and pedigree data;
(2) uses multi-national genomic information; and (3) avoids double counting
dependent data contributions from an animal's own records and relatives' records.
The method was demonstrated by integrating multi-national genomic EBV and
reliabilities of Brown Swiss sires, included in the InterGenomics consortium at
Interbull Centre, into the national evaluation in Slovenia. The results showed
that the method could (1) increase reliability of a national (genomic)
evaluation; (2) provide consistent ranking of all animals: bulls, cows, and young
animals; and (3) increase the size of a genomic training population. These
features provide more efficient and transparent selection throughout a breeding
program.
PMID- 27865487
TI - Prediction and validation of residual feed intake and dry matter intake in Danish
lactating dairy cows using mid-infrared spectroscopy of milk.
AB - The present study explored the effectiveness of Fourier transform mid-infrared
(FT-IR) spectral profiles as a predictor for dry matter intake (DMI) and residual
feed intake (RFI). The partial least squares regression method was used to
develop the prediction models. The models were validated using different external
test sets, one randomly leaving out 20% of the records (validation A), the second
randomly leaving out 20% of cows (validation B), and a third (for DMI prediction
models) randomly leaving out one cow (validation C). The data included 1,044
records from 140 cows; 97 were Danish Holstein and 43 Danish Jersey. Results
showed better accuracies for validation A compared with other validation methods.
Milk yield (MY) contributed largely to DMI prediction; MY explained 59% of the
variation and the validated model error root mean square error of prediction
(RMSEP) was 2.24kg. The model was improved by adding live weight (LW) as an
additional predictor trait, where the accuracy R2 increased from 0.59 to 0.72 and
error RMSEP decreased from 2.24 to 1.83kg. When only the milk FT-IR spectral
profile was used in DMI prediction, a lower prediction ability was obtained, with
R2=0.30 and RMSEP=2.91kg. However, once the spectral information was added, along
with MY and LW as predictors, model accuracy improved and R2 increased to 0.81
and RMSEP decreased to 1.49kg. Prediction accuracies of RFI changed throughout
lactation. The RFI prediction model for the early-lactation stage was better
compared with across lactation or mid- and late-lactation stages, with R2=0.46
and RMSEP=1.70. The most important spectral wavenumbers that contributed to DMI
and RFI prediction models included fat, protein, and lactose peaks. Comparable
prediction results were obtained when using infrared-predicted fat, protein, and
lactose instead of full spectra, indicating that FT-IR spectral data do not add
significant new information to improve DMI and RFI prediction models. Therefore,
in practice, if full FT-IR spectral data are not stored, it is possible to
achieve similar DMI or RFI prediction results based on standard milk control
data. For DMI, the milk fat region was responsible for the major variation in
milk spectra; for RFI, the major variation in milk spectra was within the milk
protein region.
PMID- 27865488
TI - The influence of 3 different navel dips on calf health, growth performance, and
umbilical infection assessed by clinical and ultrasonographic examination.
AB - The objectives were to investigate the effect of 3 navel dips on (1) umbilical
infection, (2) health events (pneumonia, diarrhea, and arthritis), and (3)
average daily gain (ADG) in newborn dairy calves. A secondary aim was to compare
the agreement of standardized ultrasonographic examination with clinical
examination for the diagnosis of umbilical infection. In a randomized block
design, newborn calves were assigned by birth order to 3 treatment groups: Navel
Guard (NG; SCG-Solutions Inc., McDonough, GA), 7% iodine tincture (SI), and 2%
chlorhexidine gluconate (CH). Treatment consisted of a single dip administration
of the umbilicus immediately after removal of the newborn from the calving pen
with 1 of the 3 navel dips. Weekly clinical examinations were carried out during
the first 4wk of life with special attention being paid to the umbilicus, joints,
respiratory tract, and fecal consistency, and included ultrasonographic
evaluation of the umbilical structures. Body weight was assessed by using a girth
tape at first and last evaluation. Multivariable logistic regression demonstrated
no statistical differences in umbilical infection or health events. Multivariable
linear regression analysis showed statistical differences in ADG (least squares
means +/- standard errors) between groups, with 494+/-29, 571+/-29, and 516+/
29g/d in groups NG, SI, and CH, respectively. Overall mortality during the study
period was 9.5% (n=40). Postmortem examination identified diarrhea (80%) as the
main disorder with 19, 4, and 9 calves in groups NG, SI, and CH, respectively.
Kappa values yielded fair [0.30 (95% CI: -0.03-0.63)], good [0.61 (95% CI 0.46
0.75)], moderate [0.53 (95% CI 0.31-0.74)], and moderate [0.49 (95% CI 0.19
0.79)] agreement for detection of omphalitis between clinical and
ultrasonographic evaluation in wk 1, 2, 3, and 4, respectively. Agreement was
very good for detection of omphaloplebitis, with kappa values (95% CI) of 0.91
(0.80-1.00), 0.87 (0.75-0.98), and 0.90 (0.76-1.00) in wk 2, 3, and 4,
respectively, when omphalophlebitis was diagnosed. We detected no difference in
the effectiveness of the 3 treatments in the prevention of umbilical infection,
pneumonia, diarrhea, or arthritis.
PMID- 27865489
TI - Effects of heating on the secondary structure of proteins in milk powders using
mid-infrared spectroscopy.
AB - Milk powder is an important source of protein for adults and children. Protein is
very sensitive to heat, which may influence people's usage of nutrients in milk
powder. In this study, we describe the temperature-induced secondary structure of
protein in milk powders. In this study, whole milk powder containing 24% protein
and infant formula containing 11% protein were heated from 25 to 100 degrees C.
Attenuated total reflectance (ATR) spectra in the mid-infrared range 400-4,000cm
1 were used to evaluate the heat effect on the secondary structure of protein in
these 2 milk powders. The spectral changes as a function of temperature were
maintained by difference spectra, second-derivative spectra and Gauss curve
fitted spectra. The secondary structures of protein in the whole milk powder
began to change at 70 degrees C and in the infant formula at 50 degrees C. The
beta-sheet and beta-turn structures in the whole milk powder both decreased in
the range of 70 to 85 degrees C, whereas alpha-helix structures increased. The
loss of beta-sheet and beta-turn may contribute to the formation of alpha-helix
in the whole milk powder. In infant formula powder, the beta-sheet structure
showed a decrease and then increase, whereas the beta-turn structure showed an
increase and then decrease in the range of 50 to 75 degrees C, and no change was
found for alpha-helix structures. This implies that heating may induce the
transformation from beta-sheet to beta-turn. Overall, whole milk powder had
better temperature stability than infant formula powder, probably because of the
lower content of lipid in the former than in the latter. These results help us
understand the thermal stability of protein in milk powder.
PMID- 27865490
TI - Effect of concentrate supplementation during the dry period on colostrum quality
and effect of colostrum feeding regimen on passive transfer of immunity, calf
health, and performance.
AB - The objectives were to evaluate the effect of (1) supplementing concentrates to
multiparous Holstein cows during the dry period on colostral and milk
immunoglobulin G (IgG) concentration; and (2) feeding calves colostrum at either
5 or 10% of their body weight (BW) on passive transfer of immunity, health, and
performance. Holstein multiparous cows (n=37) were assigned to 1 of 2 nutritional
treatments during an 8-wk dry period: (1) offered ad libitum grass silage only
(GS) or (2) offered ad libitum access to the same grass silage plus concentrate
[total mixed ration in a 75:25 dry matter (DM) ratio], providing a mean
concentrate DM intake of 3.0kg/cow per day (GSC). Both treatment groups were
offered identical levels of mineral and vitamin supplementation. Calves from
these cows were weighed immediately after birth and fed either 5% (5BW) or 10%
(10BW) of their BW in colostrum from their own dams within 2.5h of birth. Calves
in the 10BW group received their second feed of colostrum from first-milking
colostrum. Concentrate supplementation during the dry period had no effect on
colostral IgG concentration, first-milking IgG yield, or fat, protein, and
lactose contents. However, cows in GSC produced a greater mean milk yield over
the first 8 milkings compared with cows in the GS group. Concentrate
supplementation had no effect on calf BW or BW gain, serum IgG, or apparent
efficiency of absorption (AEA) at 24h after birth. However, offspring from the
GSC group had fewer cases of enteritis during the first 56d of life compared with
offspring from the GS group. Calves in the 10BW group had greater mean serum IgG
concentration for the first 3d following birth; however, at 24h after birth, we
observed no treatment effect on AEA. The rate of enteritis was greater for calves
in the 5BW treatment compared with 10BW. The colostrum-feeding regimen had no
effect on BW gain or on the incidence of pneumonia among calf treatment groups.
In conclusion, concentrate supplementation regimens offered during the dry period
had a positive effect on colostrum yield, and offspring from the GSC group had a
reduced rate of enteritis. Feeding 10% of BW of colostrum versus 5% of BW
resulted in a greater serum IgG concentration for the first 3d postpartum, and
10BW calves had a reduced rate of enteritis. Overall, to achieve successful
passive transfer, decrease the rate of enteritis, and increase efficiency in the
dairy calf, we recommend that dairy calves be fed 10% of their BW in colostrum as
soon as possible after birth.
PMID- 27865491
TI - Prevalence and risk factors for extended-spectrum beta-lactamase or AmpC
producing Escherichia coli in organic dairy herds in the Netherlands.
AB - Extended-spectrum beta-lactamase and AmpC-producing Escherichia coli (ESBL/AmpC)
are an emerging problem and are hypothesized to be associated with antimicrobial
use (AMU), and more specifically with the use of third- and fourth-generation
cephalosporins. Whether ESBL/AmpC also occur in organic dairy herds, which have
restricted AMU, is not known. Additionally, it is unknown whether, in addition to
restricted AMU, other factors in organic herd management are associated with
ESBL/AmpC herd status. The aim of this study was to estimate the prevalence of
ESBL/AmpC in organic dairy herds in the Netherlands. Subsequently, the
relationships between the ESBL/AmpC herd status and AMU and between ESBL/AmpC
herd status and farmers' management were assessed in organic dairy herds. For
this study, 90 randomly selected, officially registered organic dairy herds were
included. The ESBL/AmpC herd status was determined based on the bacteriological
culture result of a slurry sample. The sensitivity of testing slurry samples for
ESBL/AmpC herd status is less than 100% for detecting herds with a low ESBL/AmpC
prevalence. For that reason, herds that tested positive for ESBL/AmpC in slurry
were defined as positive and herds with negative slurry samples were defined as
unsuspected. A comprehensive questionnaire on management practices was conducted
and records on specified antimicrobials that were provided to these herds by the
veterinary service providers were obtained. From the data on antimicrobial
supplies by the veterinarian, the animal daily defined dose of antimicrobials per
farm per year (DDDAF) was calculated. Descriptive statistics were used to
describe the relation between the ESBL/AmpC herd status and DDDAF. Multivariable
logistic regression models were used to evaluate management factors associated
with the ESBL/AmpC herd status. We found ESBL/AmpC in 12 of the 90 (13%; 95%
confidence interval=7-22%) slurry samples from organic dairy herds. The median
DDDAF in organic dairy herds was 0.5, which was not significantly different
between ESBL/AmpC-positive and unsuspected dairy herds. No association could be
found between the use of different types of antimicrobials, such as third- and
fourth-generation cephalosporins, and ESBL/AmpC herd status. Factors that were
associated with higher odds of being ESBL/AmpC-positive were pig farms located
within a 2-km radius of the barn, applying parental treatment for clinical
mastitis, and providing milk replacer to the female calves after colostrum
intake. The prevalence of ESBL/AmpC in organic dairy herds appeared lower than
the prevalence in previous studies conducted in conventional dairy herds.
Apparently, ESBL/AmpC are also present in herds with low AMU; this indicates that
other factors than AMU are also associated with ESBL/AmpC herd status.
PMID- 27865492
TI - Peripheral blood mononuclear cell proliferation and cytokine production in sheep
as affected by cortisol level and duration of stress.
AB - A large number of studies recognize glucocorticoids (Gc) as suppressors of
inflammation; Gc exert an important role in coordinating the magnitude and
duration of host immune responses. In the present in vitro investigation, we
tested incremental levels of cortisol to verify the immunosuppressive or
immunopermissive role of cortisol in sheep peripheral blood mononuclear cells
(PBMC) after acute and chronic stress. Phytohemagglutinin (PHA)-stimulated PBMC
were cultured for 24h and 96h at 37 degrees C with 5% of CO2 and varying cortisol
levels: 10 ng/mL (baseline), 100 ng/mL (physiological poststressor), and 1,000
ng/mL [hyperactivated hypothalamic-pituitary-adrenal (HPA) axis]. The cell-free
supernatants were collected for determination of IL-6, IL-1beta, and IL-10 by
ELISA, and the bromodeoxyuridine assay was performed on cells. Physiological
cortisol concentration negatively affected the levels of IL-6 secreted by PBMC,
resulting in increased cell proliferation after acute stress (24h of incubation).
However, physiological cortisol concentration exhibited a reduction in cell
proliferation induced by increased levels of IL-6 secreted by PBMC during chronic
stress (96h of incubation). The cortisol concentration representing a
hyperactivated HPA axis led to a reduction in cell proliferation after acute
stress, which was probably induced by the elevated IL-10 production. Our results
demonstrate that in sheep the effect of Gc on the immune system was related to
the magnitude and the duration of stress. In particular, cortisol levels higher
than physiological concentrations suppressed cell proliferation soon after acute
stress. Instead, the physiological poststressor concentration of cortisol
affected the immune responses in a bidirectional manner depending on the duration
of the stressor.
PMID- 27865493
TI - Genetic and genomic analysis of hyperthelia in Brown Swiss cattle.
AB - Supernumerary teats (SNT) are any abnormal teats found on a calf in addition to
the usual and functional 4 teats. The presence of SNT has also been termed
"hyperthelia" since the end of the 19th century. Supernumerary teats can act as
an incubator for bacteria, infecting the whole udder, and can interfere with the
positioning of the milking machine, and consequently, have economic relevance.
Different types of SNT are observed at different positions on the udder. Caudal
teats are in the rear, ramal teats are attached to another teat, and intercalary
teats are found between 2 regular teats. Not all teats are equally developed;
some are completely functional but most are rudimentary and not attached to any
mammary gland tissue. Recently, different studies showed the poly/oligogenic
character of these malformations in cattle as well as in other mammalian species.
The objective of this study was to analyze the genetic architecture and incidence
of hyperthelia in Swiss Brown Swiss cattle using both traditional genetic
evaluation as well as imputed whole genome sequence variant information. First,
phenotypes collected over the last 20 yr were used together with pedigree
information for estimation of genetic variance. Second, breeding values of Brown
Swiss bulls were estimated applying the BLUP algorithm. The BLUP-EBV were
deregressed and used as phenotypes in genome-wide association studies. The gene
LGR5 on chromosome 5 was identified as a candidate for the presence of SNT. Using
alternative trait coding, genomic regions on chromosome 17 and 20 were also
identified as being involved in the development of SNT with their own
supernumerary mammary gland tissue. Implementing knowledge gained in this study
as a routine application allows a more accurate evaluation of the trait and
reduction of SNT prevalence in the Swiss Brown Swiss cattle population.
PMID- 27865494
TI - Changes in terpenoid composition of milk and cheese from commercial sheep flocks
associated with seasonal feeding regimens throughout lactation.
AB - Changes in the terpenoid content of milk and cheese from commercial sheep flocks
monitored throughout lactation in the Cantabrian area of northern Spain were
investigated. The flocks followed the same seasonal feeding strategy during
lactation: indoor feeding in winter (early lactation) based on concentrate and
forage; part-time grazing in the valley in early spring (mid lactation); and from
mid spring on (late lactation), flocks were managed under extensive mountain
grazing. In the present study design, seasonal feeding and lactation stage were
intrinsically linked and could not be considered in isolation, and a holistic
approach was necessary to consider the whole production management of the
commercial flocks studied. Furthermore, the study focused on the identification
of sesquiterpenoid ratios to differentiate milks and cheeses produced under
extensive mountain grazing from those produced under other seasonal feeding
regimens. Total abundance of mono- and sesquiterpenoids and that of individual
compounds such as alpha-pinene, beta-caryophyllene, alpha-humulene, alpha
amorphene, and gamma-cadinene significantly increased in milk and cheese from
indoor feeding to mountain extensive grazing. Sesquiterpenoid ratios such as
gamma-cadinene/alpha-muurolene, gamma-cadinene/delta-cadinene, beta
caryophyllene/alpha-muurolene, and (beta-caryophyllene + gamma-cadinene)/alpha
muurolene were used to differentiate mountain milks and cheeses from those from
indoor feeding and part-time grazing in the valley. Multivariate discriminant
analysis applied to individual terpenoids and sesquiterpenoid ratios showed milk
and cheese samples classified into 2 groups: samples from indoor feeding and part
time grazing in the valley were classified together, and clearly separated from
mountain milks and cheeses. The results of the present study showed that the
sesquiterpenoid ratios approach could help to differentiate mountain dairy
products from others obtained under other specific feeding regimens in a local
environment.
PMID- 27865495
TI - Assessment of multifunctional activity of bioactive peptides derived from
fermented milk by specific Lactobacillus plantarum strains.
AB - Milk-derived bioactive peptides with a single activity (e.g., antioxidant,
immunomodulatory, or antimicrobial) have been previously well documented;
however, few studies describe multifunctional bioactive peptides, which may be
preferred over single-activity peptides, as they can simultaneously trigger,
modulate, or inhibit multiple physiological pathways. Hence, the aim of this
study was to assess the anti-inflammatory, antihemolytic, antioxidant,
antimutagenic, and antimicrobial activities of crude extracts (CE) and peptide
fractions (<3 and 3-10 kDa) obtained from fermented milks with specific
Lactobacillus plantarum strains. Overall, CE showed higher activity than both
peptide fractions (<3 and 3-10 kDa) in most of the activities assessed.
Furthermore, activity of <3 kDa was generally higher, or at least equal, to the 3
to 10 kDa peptide fractions. In particular, L. plantarum 55 crude extract or
their fractions showed the higher anti-inflammatory (723.68-1,759.43MUg/mL of
diclofenac sodium equivalents), antihemolytic (36.65-74.45% of inhibition), and
antioxidant activity [282.8-362.3umol of Trolox (Sigma-Aldrich, St. Louis, MO)
equivalents]. These results provide valuable evidence of multifunctional role of
peptides derived of fermented milk by the action of specific L. plantarum
strains. Thus, they may be considered for the development of biotechnological
products to be used to reduce the risk of disease or to enhance a certain
physiological function.
PMID- 27865496
TI - Peroxisome proliferator-activated receptor delta facilitates lipid secretion and
catabolism of fatty acids in dairy goat mammary epithelial cells.
AB - In rodents, peroxisome proliferator-activated receptor delta (PPARD) is
associated primarily with catabolism of fatty acids. However, the role of PPARD
in regulating lipid metabolism in ruminant mammary gland remains unknown. In the
present study, we assessed the mRNA abundance of PPARD at 3 stages of lactation
in goat mammary tissue. Results revealed that PPARD had lower expression at peak
lactation than in the nonlactating period. Luciferase assays revealed that GW0742
(GW), a specific PPARD ligand, enhanced the activity of the PPARD response
element in goat mammary epithelial cells. Activation of PPARD by GW selectively
upregulated the expression of genes related to fatty acid activation (ACSL1),
lipid droplet formation (PLIN2), and transport (FABP4), and had no effect on
genes involved in de novo fatty acid synthesis (ACACA and FASN), desaturation
(SCD), hydrolysis and oxidation (PNPLA2 and CPT1A), transport and uptake (FABP3
and CD36), or triacylglycerol synthesis (DGAT1 and AGPAT6) in goat mammary
epithelial cells. In contrast, knockdown of PPARD using small interfering RNA
dramatically decreased the expression of genes related to fatty acid activation
(ACSL1) and lipid formation (PLIN2) and increased the expression of genes related
to fatty acid transport (FABP3) and triacylglycerol synthesis (AGPAT6 and DGAT1).
The expression of genes related to fatty acid synthesis (FASN), hydrolysis
(PNPLA2), and fatty acid oxidation (CPT1A) was downregulated significantly only
after knockdown of PPARD in cells incubated with GW. We observed no significant
change in fatty acid profiles. However, the total cellular triacylglycerol
increased after knockdown of PPARD in goat mammary epithelial cells plus GW.
Collectively, these results highlight an important role for PPARD in the
homeostasis of ruminant mammary cells by facilitating fatty acid activation and
lipid droplet formation and secretion.
PMID- 27865497
TI - Invited review: Abomasal emptying in calves and its potential influence on
gastrointestinal disease.
AB - Creating the ideal nutrition program for calves is a demanding task that has
undergone tremendous change in recent years. Products and technologies including
novel milk replacers and automated calf feeding systems have been developed to
facilitate the ability of dairy producers to feed for higher growth rates before
weaning. The creation of new feeding programs and milk replacers has to be looked
at carefully, not only from a nutrition point of view but also from the
perspective of a potential effect on physiologic digestion and calf health.
Abomasal emptying is a critical factor that may link nutrition and disease. The
purpose of this article is to review both intrinsic and extrinsic factors that
are responsible for abomasal emptying. Predominant extrinsic factors controlling
abomasal emptying include meal volume, energy density, and osmolality along with
the content and source of protein. This article also reviews experimental methods
used to measure abomasal emptying in the calf including those that would be
appropriate for use under field conditions. Among these methods, the use of
ultrasonography and different absorption tests (d-xylose, acetaminophen) as tools
to measure abomasal emptying are discussed. The relationship between abomasal
emptying and disease is explored, particularly as it relates to abomasal bloat.
Abomasal bloat is a complex syndrome that seems to be increasing in frequency and
whose etiology likely at least partially involves slowing of abomasal emptying.
Suggestions for minimizing the effect of feeding programs on abomasal emptying
are explored as well as needs for future research.
PMID- 27865499
TI - Optimally achieving milk bulk tank somatic cell count thresholds.
AB - High somatic cell count in milk leads to reduced shelf life in fluid milk and
lower processed yields in manufactured dairy products. As a result, farmers are
often penalized for high bulk tank somatic cell count or paid a premium for low
bulk tank somatic cell count. Many countries also require all milk from a farm to
be lower than a specified regulated somatic cell count. Thus, farms often cull
cows that have high somatic cell count to meet somatic cell count thresholds.
Rather than naively cull the highest somatic cell count cows, a mathematical
programming model was developed that determines the cows to be culled from the
herd by maximizing the net present value of the herd, subject to meeting any
specified bulk tank somatic cell count level. The model was applied to test-day
cows on 2 New York State dairy farms. Results showed that the net present value
of the herd was increased by using the model to meet the somatic cell count
restriction compared with naively culling the highest somatic cell count cows.
Implementation of the model would be straightforward in dairy management decision
software.
PMID- 27865498
TI - The effects of periparturient administration of flunixin meglumine on the health
and production of dairy cattle.
AB - Research on the assessment and management of pain in cows following difficult or
assisted calving is still limited, especially on the effects of analgesics
intended to mitigate this pain. The purpose of this study was to assess the
effects of flunixin meglumine on the health and production of Holstein cows after
calving. In total, 34 flunixin-treated and 38 placebo-treated animals were
enrolled in a precalving treatment trial. A total of 633 animals given flunixin
and 632 animals administered a placebo were enrolled in a postcalving treatment
trial. In both cases, animals were randomly assigned to treatment, and
researchers were blind to treatment condition until after analysis. A total of
1,265 animal records were analyzed for milk production for the first 14d in milk
and health outcomes for the first 30d in milk. Animals treated with flunixin
meglumine before calving had a significantly increased risk of stillbirth.
Animals treated immediately after calving had increased odds of having a retained
placenta and, in turn, increased risk of a high temperature, decreased milk
production, and an increased risk of developing metritis. The administration of
flunixin meglumine within 24h of parturition is not recommended in dairy cattle.
PMID- 27865500
TI - Lipopolysaccharide derived from the digestive tract provokes oxidative stress in
the liver of dairy cows fed a high-grain diet.
AB - The aims of this study were to measure oxidative stress parameters and to
investigate the molecular mechanism triggered by grain-induced subacute ruminal
acidosis in mid-lactation cows. Twelve Holstein-Friesian cows with an average
weight of 455+/-28kg were divided into 2 groups and subjected to 2 diets over
18wk: either a low-grain (forage-to-concentrate ratio=6:4) or a high-grain
(forage-to-concentrate ratio=4:6) diet based on dry matter. Being fed a long-term
high-grain diet resulted in a significant decrease in rumen pH and a significant
increase in ruminal lipopolysaccharide (LPS) at 4 h postfeeding in the morning.
The increase was also observed in LPS concentrations in the portal vein, hepatic
vein, and jugular vein blood plasma as well as reduced milk yield in a high-grain
diet. Cows fed a high-grain diet had lower levels of catalase and glutathione
peroxidase (GPx) activity and total antioxidant capacity than cows fed a low
grain diet; however, super oxide dismutase (SOD) activity and malondialdehyde
(MDA) levels were higher in both the liver and the plasma of high-grain than in
low-grain cows. Positive correlations were observed between plasma LPS versus
hepatic MDA, plasma MDA, and hepatic SOD activity, whereas hepatic GPx and plasma
GPx were negatively correlated with plasma LPS. The relative mRNA abundances of
GPX1 and CAT were significantly lower in the liver of cows fed a high-grain diet
than those fed a low-grain diet, whereas SOD1 was significantly higher in cows
fed a high-grain diet than cows fed a low-grain diet. The expression levels of
Nrf2, NQO1, MT1E, UGT1A1, MGST3, and MT1A were downregulated, whereas NF-kB was
upregulated, in cows fed a high-grain diet. Furthermore, nuclear factor E2
related factor 2 (Nrf2) total protein and mRNA levels were significantly lower
than in low-grains. Our results demonstrate the relationship between the
translocated LPS and the suppression of cellular antioxidant defense capacity,
which lead to increased oxidative stress and suggests that the Nrf2-dependent
antioxidant response may be affected by higher levels of LPS translocated to the
bloodstream.
PMID- 27865501
TI - Cytological endometritis at artificial insemination in dairy cows: Prevalence and
effect on pregnancy outcome.
AB - The aims of our field study in dairy cows were (1) to consolidate cytotape (CT)
as a valid technique to diagnose cytological endometritis (CYTO) during
artificial insemination (AI); (2) to establish a cutoff point concerning the
polymorphonuclear cells (PMN) proportion to diagnose CYTO at AI; (3) to assess
the prevalence of CYTO at AI; and (4) to evaluate the effect of CYTO on the
pregnancy outcome of that AI. The investigation was performed using 1,625 AI-CT
samples harvested from 873 Holstein-Friesian cows from 18 dairy farms in the
Flemish region of Belgium. The CT device consisted of adapting a 1.5-cm piece of
paper tape on the top of a conventional AI catheter covered with a double guard
sheet, allowing an endometrial cytology sample to be taken when performing an AI.
A receiving operator characteristic curve was built to assess the threshold level
above which the PMN proportion significantly affected the AI success. Multilevel
generalized mixed-effect models were built to identify factors affecting the
pregnancy outcome of the AI under investigation. Only 7 samples (0.4%) harvested
in 5 cows were discarded because of low-quality parameters. The cutoff point for
CYTO at AI was set at >=1% PMN (sensitivity=33.8%, specificity=88.6%). Prevalence
of CYTO at AI was 27.8%. The conception rate for CYTO-positive samples was 32.7%,
whereas it was 47% for CYTO-negative samples. A CYTO-negative AI had 1.8 [odds
ratio (OR)] more chances to become pregnant than a CYTO-positive one. Other
factors identified as detrimental for the pregnancy outcome were body condition
score <=1.5 (OR=0.6), relative 305-d milk yield (OR=0.9), dystocia (OR=0.3),
parity >=2 (OR=0.7), and warm months of the year. In conclusion, CT is a
consolidated technique to diagnose CYTO at AI, PMN 1% is the threshold level to
diagnose CYTO at AI, around one-quarter of inseminated uteri suffer from CYTO,
and affected uteri having a significantly lower chance to become pregnant from
that insemination.
PMID- 27865503
TI - Effect of claw disorders on milk production, fertility, and longevity, and their
economic impact in Spanish Holstein cows.
AB - The aims of this study were (1) to estimate the phenotypic association between
different degrees of severity of claw disorders and production, fertility
performance, and longevity in Spanish dairy cattle, and (2) to quantify its
economic impact at the animal and herd level. In this study, claw data comprised
108,468 trimmings collected between 2012 and 2014 by 25 trimmers from 804
Holstein dairy herds. The claw disorders considered were the 3 most frequent
disorders in Spanish dairy herds: dermatitis (DE), sole ulcer (SU), and white
line disease (WL). The presence of SU or WL was associated with a significant
decrease in milk production and was more important in cows in second or later
lactations. A severe lesion of SU or WL lead to twice the milk losses associated
with a mild lesion, ranging from 1.47 to 2.66kg/d of energy-corrected milk. The
presence of SU or WL during the early lactation period was associated with more
days open, fewer inseminations to get pregnant, and longer calving to first
service interval (4.83 and 8.0 d longer due to mild and severe lesions of SU,
respectively, and 4.94 and 17.43 d longer due to mild and severe lesions of WL,
respectively). The occurrence of a case of SU or WL in first lactation had a
significant effect on longevity, with severe lesions reducing up to 71 d of
productive life. The cost of a mild lesion ranged from $53 to $232 per affected
cow and year, whereas the cost of a severe lesion ranged from $402 to $622 per
affected cow and year. The annual costs per cow for DE, SU, and WL were $10.80,
$50.9, and $43.2, respectively. An average herd with 64 cows had an extra
expenditure of $691/yr due to DE, $3,256/yr due to SU, and $2,765/year due to WL.
Milk losses, longer calving intervals, and premature culling contributed to more
than half of the costs. Therefore, providing this information to farmers could
help decide on strategies to reduce the incidence of claw disorders on the farm.
PMID- 27865502
TI - Retail lighting and packaging influence consumer acceptance of fluid milk.
AB - Little is known about the effect of retail light-emitting diode (LED) exposure on
consumer acceptance of milk. The study objective was to determine effects of
fluorescent and LED lighting under retail storage conditions on consumer
acceptance of milk. Consumer acceptance of milk stored under retail conditions
was determined through sensory evaluation (2 studies; n=150+ each) and analytical
measures (dissolved oxygen, secondary oxidation products, riboflavin retention).
Study 1 evaluated milk stored in high-density polyethylene (HDPE) packages for 4h
under LED light (960 lx). Commercially available HDPE package treatments included
translucent HDPE (most commonly used), white HDPE [low concentration (1.3%)
TiO2], and yellow HDPE; in addition, HDPE with a higher TiO2 concentration (high
white; 4.9% TiO2) and a foil-wrapped translucent HDPE (control) were tested.
Translucent and control packages also were tested under fluorescent light. Study
2 evaluated polyethylene terephthalate (PET) packages for 4h under fluorescent
and LED light (1,460 lx). The PET packaging included 2 treatments (medium, 4.0%
TiO2; high, 6.6% TiO2) as well as translucent HDPE (exposed to fluorescent),
clear PET (fluorescent and LED), and light-protected control. Overall mean
acceptability of milk ranged from "like slightly" to "like moderately" with
significantly lower acceptability for milk exposed to fluorescent light. Milk in
HDPE and PET packages had comparable overall acceptability scores when exposed to
LED light. Only the fluorescent light condition (both PET and HDPE) diminished
overall acceptability. Fluorescent light exposure negatively influenced flavor
with significant penalty (2.0-2.5 integers) to overall acceptability of milk in
translucent HDPE and clear PET. The LED also diminished aftertaste of milk
packaged in translucent HDPE. Changes in dissolved oxygen content, as an
indication of oxidation, supported the observed differences in consumer
acceptance of milk stored under fluorescent and LED light. Consumers like the
flavor of fresh milk, which can be protected by selecting appropriate packaging
that blocks detrimental light wavelengths.
PMID- 27865504
TI - Validation of a fast real-time PCR method to detect fraud and mislabeling in milk
and dairy products.
AB - Fast real-time PCR TaqMan assays were developed and validated for species
identification in dairy products. Based on the amplification of 12S rRNA and cytB
partial genes of mitochondrial DNA, the methods were demonstrated to be
sensitive, fast, and species-specific for Bos taurus, Ovis aries, Bubalus
bubalis, and Capra hircus. The limit of detection calculated was lower than 1%,
and the efficiency was reported to be higher than 96% in every assay. An internal
amplification control was used to detect possible false negatives. The method was
validated by means of laboratory-prepared samples mixing different species.
Moreover, 18 commercial dairy samples were analyzed by both real-time PCR and
isoelectric focusing, the official European Union reference method. The 4 TaqMan
assays were confirmed to be a useful tool for milk and dairy product
authentication.
PMID- 27865505
TI - Nitrogen utilization, preweaning nutrient digestibility, and growth effects of
Holstein dairy calves fed 2 amounts of a moderately high protein or conventional
milk replacer.
AB - Studies have shown that calves fed milk replacers (MR) with crude protein (CP)
concentrations greater than 20%, as typically found in conventional MR, have
higher dry matter intakes (DMI) and greater average daily gains (ADG) but consume
less starter, which can lead to stress during weaning and reduced rumen
development. The greater amount of CP being fed to preweaned calves may alter
their nitrogen (N) balance, and excess N may be excreted in the urine. The
objective of this study was to determine N utilization in preweaned calves fed
diets varying in the amount of CP and MR fed. This study used 24 newborn dairy
heifer calves blocked by birth and randomly assigned to 1 of 3 treatments: (1)
446g dry matter (DM) of a conventional MR (CON; 20% CP, 20% fat), (2) 669g DM of
a moderately high protein MR (moderate; MOD; 26% CP, 18% fat), or (3) 892g DM of
a moderately high protein MR (aggressive; AGG; 26% CP, 18% fat). All calves had
ad libitum access to starter and water. Both MR and starter were medicated with
decoquinate. During weaning (d 43-49), the morning MR feeding ceased. On d 50,
all MR feedings ended; however, starter and water intakes were continuously
recorded until d 56. At 5wk of age, urine was collected using urinary catheters
for 3d and chromium oxide was administered by bolus at 2g/d for 7d to estimate N
efficiency. Calves fed MOD and AGG had similar starter intakes, feed
efficiencies, and ADG, with the combined treatments having reduced starter
intakes (258 vs. 537g/d), greater ADG (674 vs. 422g/d), and improved feed
efficiency (0.57 vs. 0.45 gain:feed) compared with CON calves preweaning.
However, DMI and water intake were similar across all treatments. Results from
the N utilization phase showed that MOD and AGG treatments had similar but lower
N efficiency compared with CON calves (45.5 vs. 52.7%). This could be due to MOD-
and AGG-fed calves having greater urine volume and thereby, greater combined
urine N output compared with CON calves (17.6 vs. 12.1 g/d). In summary, feeding
>0.66kg (DM) from a 26% CP MR increased ADG and improved feed efficiency during
the preweaning period but reduced starter intake and lowered N efficiency.
PMID- 27865506
TI - Synthesis of the suspected trans-11,cis-13 conjugated linoleic acid isomer in
ruminant mammary tissue by FADS3-catalyzed Delta13-desaturation of vaccenic acid.
AB - The octadecadienoic conjugated linoleic acid (CLA) isomer with trans-11 and cis
13 double bonds (trans-11,cis-13 CLA) has been described in ruminant milk. For
now, this specific CLA is suspected to derive exclusively from ruminal
biohydrogenation of dietary alpha-linolenic acid. However, in rodents, the fatty
acid desaturase 3 (FADS3) gene was recently shown to code for an enzyme able to
catalyze the unexpected Delta13-desaturation of vaccenic acid, producing a
Delta11,13-CLA with all the structural characteristics of the trans-11,cis-13
isomer, although no commercial standard exists for complete conclusive
identification. Because the FADS3 gene has already been reported in bovine
animals, we hypothesized in the present study that an alternative direct FADS3
catalyzed Delta13-desaturation of vaccenic acid in mammary tissue may therefore
co-exist with alpha-linolenic acid biohydrogenation to explain the final ruminant
milk trans-11,cis-13 CLA presence. Here, we first confirm that the FADS3 gene is
present in ruminant mammal genomic sequence databases. Second, we demonstrate
that the Delta11,13-CLA found in milk fat and the highly probable trans-11,cis-13
CLA isomer produced by rodent FADS3 possess exactly the same structural
characteristics. Then, we show that bovine mammary MAC-T and BME-UV epithelial
cells express both FADS3 and stearoyl-CoA desaturase 1 (SCD1) mRNA and are able
to synthesize both the suspected trans-11,cis-13 CLA and cis-9,trans-11CLA
(rumenic acid) isomers when incubated with vaccenic acid. Finally, the
concomitant presence of the suspected trans-11,cis-13 CLA isomer with FADS3 mRNA
was shown in goat mammary tissue, whereas both were conversely very low or even
absent in goat liver. Therefore, this study provides several lines of evidence
that, by analogy with rumenic acid, trans-11,cis-13 CLA may originate both from
ruminal biohydrogenation and from direct FADS3-catalyzed Delta13-desaturation of
vaccenic acid in mammary tissue.
PMID- 27865507
TI - Plasma protein and supplemental isoleucine in milk replacers for dairy calves.
AB - We measured the effects of milk replacers containing 0, 33, 66, or 100% of the
total replaceable whey protein as bovine plasma protein (PP), without or with Ile
supplementation, on the intake, growth, and health of 124 male Holstein calves
for 35d. Milk replacers were formulated to contain 18% crude protein and 20% fat,
with contents of Lys and Met equalized. When fed to calves at 1.5% of body weight
(dry matter basis) under thermoneutral conditions, diets were predicted to allow
average daily gains of 0.55kg/d based on metabolizable energy or 0.40kg/d based
on apparent digestible protein. Protein supply was more limiting than energy so
that differences in protein use could be detected. Dry matter intakes decreased
with increased PP, irrespective of Ile supplementation. Final body weights
decreased linearly with increasing PP, regardless of Ile supplementation. Average
daily gain tended to be affected in a quadratic manner as PP increased, either
with or without Ile supplementation; average daily gain and gain-feed ratio were
greatest for calves fed diets containing 33% PP and lowest for calves fed 100%
PP. The analyzed Lys content in the milk replacers was variable compared with
formulated values, and this may have affected growth results. However, the gain
Lys ratio was affected by an interaction of the linear effect of increasing PP
with Ile supplementation: it decreased with increasing PP but was improved by
supplementation with Ile for calves fed 100% PP. Body measurements decreased with
increasing PP inclusion; only decreased heart girth was reversed with Ile
supplementation. The lowest and highest inclusion of PP, regardless of Ile
supplementation, decreased the occurrence of scours compared with the control
diet (all whey protein). Calves fed the lowest and highest PP without Ile
supplementation also had fewer total days of scours in the first 21d. In
addition, calves fed 100% PP without supplementation of Ile had fewer days of
medication compared with the control diet. Even at the highest PP inclusion,
average daily gain was minimally affected if Ile was supplemented. Growth rates,
gain-feed ratio, and gain-Lys ratio were decreased at higher PP inclusion, but
Ile overcame part of the reduction in gain-Lys ratio for 100% PP. Additional
titration studies will have to be conducted to determine optimal PP inclusion
rates, with a focus on supplementation of potentially limiting essential AA, as
well as effects at higher growth rates.
PMID- 27865508
TI - Occurrence of methicillin-resistant Staphylococcus aureus in dairy cattle herds,
related swine farms, and humans in contact with herds.
AB - In this study we investigated the circulation of methicillin-resistant
Staphylococcus aureus (MRSA) in 2 dairy cattle farms (farm A and B), previously
identified as MRSA-positive in bulk tank milk samples, and epidemiologically
related to swine farms. Collected specimens included quarter milk samples and
nasal swabs from dairy cows, pig nasal swabs collected at both the farm and
slaughterhouse level, environmental dust samples, and human nasal swabs from the
farms' owners and workers. The prevalence of MRSA was estimated at the herd level
by testing quarter milk samples. The prevalence of MRSA was 4.8% (3/63; 95%
confidence interval=0-10.2%) and 60% (33/55; 95% confidence interval=47.05-72.95)
in farm A and B, respectively. In farm A, MRSA was also isolated from humans,
pigs sampled at both farm and slaughterhouse level, and from environmental
samples collected at the pig facilities. The dairy cattle facilities of farm A
tested negative for MRSA. In farm B, MRSA was isolated from environmental dust
samples in both the cattle and pig facilities, whereas nasal swabs collected from
cows and from humans tested negative. Sixty-three selected MRSA isolates obtained
from different sources in farm A and B were genetically characterized by
multilocus sequence typing, spa-typing, ribosomal spacer-PCR, and also tested for
the presence of specific virulence genes and for their phenotypical antimicrobial
susceptibility by broth microdilution method. Different clonal complex (CC) and
spa-types were identified, including CC398, CC97, and CC1, CC already reported in
livestock animals in Italy. The MRSA isolates from quarter milk of farm A and B
mostly belonged to CC97 and CC398, respectively. Both lineages were also
identified in humans in farm A. The CC97 and CC398 quarter milk isolates were
also identified as genotype GTBE and GTAF by ribosomal spacer-PCR respectively,
belonging to distinct clusters with specific virulence and resistance patterns.
The GTBE and GTAF clusters also included swine, environmental, and human isolates
from both farms. A high heterogeneity in the genetic and phenotypic profiles was
observed in environmental isolates, in particular from farm B. These results
demonstrate the possibility of a dynamic sharing and exchange of MRSA lineages or
genotypes between different species and farm compartments in mixed-species farms.
The risk of transmission between swine and related dairy cattle herds should be
considered. Our findings also confirm the zoonotic potential of livestock
associated MRSA and underline the importance of applying biosecurity measures and
good hygiene practices to prevent MRSA spread at the farm level and throughout
the food production chain.
PMID- 27865509
TI - Effect of incremental amounts of camelina oil on milk fatty acid composition in
lactating cows fed diets based on a mixture of grass and red clover silage and
concentrates containing camelina expeller.
AB - Camelina is an ancient oilseed crop that produces an oil rich in cis-9,cis-12
18:2 (linoleic acid, LA) and cis-9,cis-12,cis-15 18:3 (alpha-linolenic acid,
ALA); however, reports on the use of camelina oil (CO) for ruminants are limited.
The present study investigated the effects of incremental CO supplementation on
animal performance, milk fatty acid (FA) composition, and milk sensory quality.
Eight Finnish Ayrshire cows (91d in milk) were used in replicated 4*4 Latin
squares with 21-d periods. Treatments comprised 4 concentrates (12kg/d on an air
dry basis) based on cereals and camelina expeller containing 0 (control), 2, 4,
or 6% CO on an air-dry basis. Cows were offered a mixture of grass and red clover
silage (RCS; 1:1 on a dry matter basis) ad libitum. Incremental CO
supplementation linearly decreased silage and total dry matter intake, and
linearly increased LA, ALA, and total FA intake. Treatments had no effect on
whole-tract apparent organic matter or fiber digestibility and did not have a
major influence on rumen fermentation. Supplements of CO quadratically decreased
daily milk and lactose yields and linearly decreased milk protein yield and milk
taste panel score from 4.2 to 3.6 [on a scale of 1 (poor) to 5 (excellent)],
without altering milk fat yield. Inclusion of CO linearly decreased the
proportions of saturated FA synthesized de novo (4:0 to 16:0), without altering
milk fat 18:0, cis-9 18:1, LA, and ALA concentrations. Milk fat 18:0 was low
(<5g/100g of FA) across all treatments. Increases in CO linearly decreased the
proportions of total saturates from 58 to 45g/100g of FA and linearly enriched
trans-11 18:1, cis-9,trans-11 18:2, and trans-11,cis-15 18:2 from 5.2, 2.6, and
1.7 to 11, 4.3, and 5.8g/100g of FA, respectively. Furthermore, CO quadratically
decreased milk fat trans-10 18:1 and linearly decreased trans-10,cis-12 18:2
concentration. Overall, milk FA composition on all treatments suggested that one
or more components in camelina seeds may inhibit the complete reduction of 18
carbon unsaturates in the rumen. In conclusion, CO decreased the secretion of
saturated FA in milk and increased those of the trans-11 biohydrogenation pathway
or their desaturation products. Despite increasing the intake of 18-carbon
unsaturated FA, CO had no effect on the secretions of 18:0, cis-9 18:1, LA, or
ALA in milk. Concentrates containing camelina expeller and 2% CO could be used
for the commercial production of low-saturated milk from grass- and RCS-based
diets without major adverse effects on animal performance.
PMID- 27865510
TI - Electrophoretic characterization of protein interactions suggesting limited
feasibility of accelerated shelf-life testing of ultra-high temperature milk.
AB - Accelerated shelf-life testing is applied to a variety of products to estimate
keeping quality over a short period of time. The industry has not been successful
in applying this approach to ultra-high temperature (UHT) milk because of
chemical and physical changes in the milk proteins that take place during
processing and storage. We investigated these protein changes, applying
accelerated shelf-life principles to UHT milk samples with different fat levels
and using native- and sodium dodecyl sulfate-PAGE. Samples of UHT skim and whole
milk were stored at 20, 30, 40, and 50 degrees C for 28d. Irrespective of fat
content, UHT treatment had a similar effect on the electrophoretic patterns of
milk proteins. At the start of testing, proteins were bonded mainly through
disulfide and noncovalent interactions. However, storage at and above 30 degrees
C enhanced protein aggregation via covalent interactions. The extent of
aggregation appeared to be influenced by fat content; whole milk contained more
fat than skim milk, implying aggregation via melted or oxidized fat, or both.
Based on reduction in loss in absolute quantity of individual proteins, covalent
crosslinking in whole milk was facilitated mainly by products of lipid oxidation
and increased access to caseins for crosslinking reactions. Maillard and
dehydroalanine products were the main contributors involved in protein changes in
skim milk. Protein crosslinking appeared to follow a different pathway at higher
temperatures (>=40 degrees C) than at lower temperatures, making it very
difficult to extrapolate these changes to protein interactions at lower
temperatures.
PMID- 27865511
TI - Modeling genetic and nongenetic variation of feed efficiency and its partial
relationships between component traits as a function of management and
environmental factors.
AB - Feed efficiency (FE), characterized as the fraction of feed nutrients converted
into salable milk or meat, is of increasing economic importance in the dairy
industry. We conjecture that FE is a complex trait whose variation and
relationships or partial efficiencies (PE) involving the conversion of dry matter
intake to milk energy and metabolic body weight may be highly heterogeneous
across environments or management scenarios. In this study, a hierarchical
Bayesian multivariate mixed model was proposed to jointly infer upon such
heterogeneity at both genetic and nongenetic levels on PE and variance components
(VC). The heterogeneity was modeled by embedding mixed effects specifications on
PE and VC in addition to those directly specified on the component traits. We
validated the model by simulation and applied it to a joint analysis of a dairy
FE consortium data set with 5,088 Holstein cows from 13 research stations in
Canada, the Netherlands, the United Kingdom, and the United States. Although no
differences were detected among research stations for PE at the genetic level,
some evidence was found of heterogeneity in residual PE. Furthermore, substantial
heterogeneity in VC across stations, parities, and ration was observed with
heritability estimates of FE ranging from 0.16 to 0.46 across stations.
PMID- 27865512
TI - The effect of supplemental concentrate fed during the dry period on morphological
and functional aspects of rumen adaptation in dairy cattle during the dry period
and early lactation.
AB - Ten rumen-cannulated Holstein-Friesian cows were used to examine the effect of
feeding supplemental concentrate during the dry period on rumen papillae
morphology and fractional absorption rate (ka) of volatile fatty acids (VFA)
during the dry period and subsequent lactation. Treatment consisted of
supplemental concentrate [3.0kg of dry matter (DM)/d] from 28d antepartum (ap)
until the day of calving, whereas control did not receive supplemental
concentrate. Cows were fed for ad libitum intake and had free access to the dry
period ration (27% grass silage, 28% corn silage, 35% wheat straw, and 11%
soybean meal on a DM basis) and, from calving onward, to a basal lactation ration
(42% grass silage, 42% corn silage, and 16% soybean meal on a DM basis). From 1
to 3d postpartum (pp), all cows were fed 0.9kg DM/d of concentrate, which
increased linearly thereafter to 8.9kg of DM/d on d 11 pp. At 28, 18, and 8d ap,
and 3, 17, 31, and 45d pp, rumen papillae were collected and kaVFA was measured
in all cows. On average, 13.8 (standard deviation: 3.8) papillae were collected
each from the ventral, caudodorsal, and caudoventral rumen sacs per cow per day.
The kaVFA was measured by incubating a standardized buffer fluid (45 L),
containing 120mM VFA (60% acetic, 25% propionic, and 15% butyric acid) and Co
EDTA as fluid passage marker, in the evacuated and washed rumen. Treatment did
not affect ap or pp DM and energy intakes or milk yield and composition.
Treatment increased papillae surface area, which was 19 and 29% larger at 18 and
8d ap compared with 28d ap, respectively. Surface area increased, mainly due to
an increase in papillae width. However, treatment did not increase kaVFA at 18
and 8d ap compared with 28d ap. In the control group, no changes in papillae
surface area or kaVFA were observed during the dry period. In the treatment
group, papillae surface area decreased between 8d ap and 3d pp, whereas no
decrease was observed for control. From 3 to 45d pp, papillae surface area and
kaVFA increased for all cows by approximately 50%, but the ap concentrate
treatment did not affect kaVFA pp. In conclusion, the efficacy of supplemental
concentrate during the dry period to increase papillae surface area and kaVFA in
preparation for subsequent lactation is not supported by the present study.
Current observations underline the importance of functional measurements in lieu
of morphological measurements to assess changes in the adapting rumen wall.
PMID- 27865513
TI - The effect of milk allowance on behavior and weight gains in dairy calves.
AB - The objective of this study was to investigate how milk allowance affects body
weight gains and behavior before, during, and after weaning in dairy calves.
Fifty-six Holstein calves were randomly assigned at 1wk of age to 1 of 4 feeding
treatments of whole pasteurized milk: 6, 8, 10, or 12 L/d. Milk allowance was
reduced by 50% at d 42, and then reduced by 20% per day starting at d 50, so that
calves were completely weaned at d 55. Calves were provided ad libitum access to
calf starter and hay. Body weight was measured weekly from 2 to 10wk of age. Milk
intake increased with milk allowance as intended, although actual intakes were
lower than the treatment allowance (e.g., averaging 5.66+/-0.19 L on the 6-L
treatment and 9.41+/-0.21 L on the 12-L treatment before weaning). Intake of calf
starter was higher for calves that were fed less milk before weaning (averaging
0.25+/-0.03kg/d and 0.05+/-0.03kg/d on the 6-L and 12-L treatments, respectively)
and also during the weaning period (averaging 1.15+/-0.13kg/d and 0.54+/-0.14kg/d
on these same treatments), but we observed no effect after weaning. Time spent at
the hay feeder did not differ with treatment. Average daily gain was higher for
calves that were fed more milk, increasing from 0.77+/-0.04kg/d with the 6-L
treatment to 0.90+/-0.03kg/d with the 12-L treatment. Before weaning, calves fed
less milk engaged in more unrewarded visits to the milk feeder, averaging 11.1+/
0.73 visits/d with the 6-L treatment and 0.4+/-0.78 visits/d with the 12-L
treatment. During weaning, calves fed less milk still had more unrewarded visits
to the milk feeder than calves fed more milk (19.7+/-1.64 visits/d with the 6-L
treatment versus 10.1+/-1.74 visits/d with the 12-L treatment), but treatments
did not differ after weaning. We conclude that higher milk allowances result in
weight gain advantages before weaning that can persist beyond weaning, and that
the high number of unrewarded visits to the milk feeder by calves that were fed
less milk are indicative of persistent hunger.
PMID- 27865514
TI - Coagulase-negative Staphylococcus species in bulk milk: Prevalence, distribution,
and associated subgroup- and species-specific risk factors.
AB - Coagulase-negative staphylococci (CNS) have become the main pathogens causing
bovine mastitis in recent years. A huge variation in species distribution among
herds has been observed in several studies, emphasizing the need to identify
subgroup- and species-specific herd-level factors to improve our understanding of
the differences in ecological and epidemiological nature between species. The use
of bulk milk samples enables the inclusion of a large(r) number of herds needed
to identify herd-level risk factors and increases the likelihood of recovering
enough isolates per species needed for conducting subgroup- and, eventually,
species-specific analyses at the same time. This study aimed to describe the
prevalence and distribution of CNS species in bulk milk samples and to identify
associated subgroup- and species-specific herd-level factors. Ninety percent of
all bulk milk samples yielded CNS. Staphylococcus equorum was the predominant
species, followed by Staphylococcus haemolyticus and Staphylococcus epidermidis.
A seasonal effect was observed for several CNS species. Bulk milk samples from
herds with a loose-pack or a tiestall housing system were more likely to yield
CNS species compared with herds with a freestall barn, except for S. epidermidis,
Staphylococcus simulans, and Staphylococcus cohnii. In September, herds in which
udders were clipped had lower odds of yielding Staphylococcus chromogenes, S.
simulans, and Staphylococcus xylosus, the CNS species assumed to be most relevant
for udder health, in their bulk milk than herds in which udder clipping was not
practiced. Bulk milk of herds participating in a monthly veterinary udder health
monitoring program was more likely to yield these 3 CNS species. Herds always
receiving their milk quality premium or predisinfecting teats before attachment
of the milking cluster had lower odds of having S. equorum in their bulk milk.
Herds not using a single dry cotton or paper towel for each cow during premilking
udder preparation were more likely to have S. cohnii-positive bulk milk. Herds in
which flushing with hot water or steam of the milking cluster after having milked
a cow with a (sub)clinical mastitis was applied, were less likely to yield S.
simulans, S. haemolyticus, and S. cohnii in their bulk milk. Always wearing
gloves during milking decreased the odds of having Staphylococcus devriesei
positive bulk milk. Tap water from the public drinking system used as drinking
water increased the odds of yielding S. simulans in the bulk milk. In conclusion,
CNS are highly prevalent in bulk milk and might originate from the environment
for some species (we hypothesize this is true for S. equorum or S. cohnii), or
from within the udder (e.g., for S. simulans). Studies collecting bulk milk and
quarter milk samples at the same time along with environmental samples are needed
to determine the exact origin of the different (subgroups of) CNS species present
in bulk milk using strain-typing techniques.
PMID- 27865515
TI - [Bladder tumor histoseminar - Case 7: Primary urothelial adenocarcinoma].
PMID- 27865516
TI - Headholders' - complications in neurosurgery: A review of the literature and
recommendations for its use.
AB - Several types of headholders are routinely used in neurosurgical practice to
secure the head in a precise position, providing better security during surgical
dissection as well as an absence of eye compression during prone positions.
Nevertheless, potentially lethal complications might occur. We performed a review
of the literature via PubMed and Google Scholar using the terms "Mayfield skull
clamp", "Sugita headholders", "headholder complications" and "skull clamp
complications". Twenty-six complications directly related to the use of
headholders were identified through 19 papers published from 1981 to 2014: mainly
skull fractures with or without a dural laceration (50%), epidural hematomas
(23.8%), skull fractures with or without a dural laceration (50%), and air
embolism (9.5%). The authors propose recommendations for the safe use of
headholders.
PMID- 27865517
TI - Effects of melatonin in the treatment of asthenia in aneurysmal subarachnoid
hemorrhage.
AB - BACKGROUND AND OBJECTIVES: Survivors of aneurysmal subarachnoid hemorrhage (aSAH)
commonly experience sleep disorders resulting in asthenia. The objective of this
prospective study was to determine, in a cohort of patients with treated ruptured
intracranial aneurysm (IA), the proportion of asthenia at 2months, in a cohort of
patients treated with melatonin and in a control cohort. PATIENTS AND METHODS:
Twenty consecutive patients admitted for the treatment of ruptured IA and able to
answer a standardized questionnaire were included in the study. After evaluation
for fatigue at discharge, we divided our population into 2 cohorts of 10
patients: the first cohort was treated with melatonin for a period of 2months;
the second cohort had no specific treatment for fatigue. The primary endpoint was
the proportion of asthenia at 2months in both groups. Confounding factors, such
as depression, autonomy and apathy were evaluated at the same time. RESULTS: At
discharge, there was no significant difference observed between both groups in
terms of mean age and initial clinical status (WFNS, Rankin Scale and Fatigue
Severity Scale). At 2months, the mean FSS score in the control group was of 4.7+/
1.0 versus 3.8+/-0.9 in the melatonin group (P=0.03). The mean MADRS score in the
control group was of 1.1+/-1.45 versus 2.7+/-2.5 in the melatonin group (P=0.10).
The mean LARS score in the control group was of -32.5+/-1.7 versus -31.7+/-1.9 in
the melatonin group (P=0.24). DISCUSSION: In a prospective evaluation of post
aSAH fatigue, we suggest that melatonin could decrease fatigue. There is no
significant impact on depression and apathy. Further studies would be necessary
to improve our comprehension of fatigue physiopathology in a context of aSAH.
PMID- 27865518
TI - Impact of surgical correction of a U-shaped sacral fracture on sagittal spino
pelvic alignment: Regarding one case.
AB - U-shaped sacral fractures are uncommon and are mostly the consequence of a high
energy kinetic trauma. The sacrum is a crucial element for sagittal alignment in
a standing position as it determines the value of the pelvic incidence, which is
a fixed and unchanging parameter for a given individual. We report the case of a
21-year-old man who underwent corrective surgery for a type II U-shaped fracture
of the sacrum (according to the Roy-Camille classification), associated with a S1
S2 dislocation and sacral kyphosis that modified the patient's pelvic incidence.
At one-year follow-up, radiographic examinations revealed solid bony fusion and
stable results after removal of the implants. The surgery was managed for
neurological decompression, stabilization of the fracture and correction of
sacral kyphosis. The restoration of the theoretical pelvic incidence depended on
the estimated lumbar lordosis. The aim of this study was to highlight the
particularities in the management of a sacral U-shaped fracture and their
relationship with the sagittal alignment.
PMID- 27865519
TI - Fine sediments suppress detritivory on coral reefs.
AB - Increasing sediment inputs are recognised as an important factor leading to coral
reef degradation. However, the role of sediments in ecological processes is
poorly understood. This study used paired-choice trials to quantify the effects
of sediment grain size and chemical composition on feeding by the abundant
detritivorous reef fish, Ctenochaetus striatus. The size of sediments from algal
turfs were also compared to those ingested by reef-dwelling C. striatus. Algal
turfs containing coarser sediments were preferred by C. striatus, while sediment
composition (reefal carbonates vs. riverine silicates) had little effect. On the
reef, C. striatus ingested finer sediments than those present in algal turfs. C.
striatus appears to prefer algal turfs with coarser sediments as this facilitates
ingestion of fine detrital particles, while finer sediments prevent selective
feeding on detritus. These findings suggest that fine sediments from terrestrial
runoff or dredging may be detrimental to feeding by detritivorous species.
PMID- 27865520
TI - Are environmental risk estimations linked to the actual environmental impact?
Application to an oil handling facility (NE Spain).
AB - The environmental risk analysis of aquatic systems includes the evaluation of the
likelihood that adverse ecological effects may occur as a result of exposure to
one or more stressors. In harbor areas, pollution is provided by a complex
mixture of substances with different levels of toxicity, persistence and
bioaccumulation, which complicates the hazards characterization and their
multiple effects. A study of the relationship between the environmental impact
and the environmental risk assessment at a specific isolated oil handling
facility was undertaken. The environmental risk of the oil handling facility,
considering the consequences of specific pollutants, was estimated and the
associated environmental impact was quantified based on a 'weights of evidence'
approach. The contamination quantified at the potentially affected area around
the monobuoy of Tarragona has proved to be related with environmental risk
estimations but the lines of evidence obtained do not allow us to assert that the
activity developed at this facility has an associated environmental impact.
PMID- 27865521
TI - Degradation pathways of 1-methylphenanthrene in bacterial Sphingobium sp. MP9-4
isolated from petroleum-contaminated soil.
AB - Alkylated polycyclic aromatic hydrocarbons (PAHs) are abundant in petroleum, and
alkylated phenanthrenes are considered as the primary PAHs during some oil spill
events. Bacterial strain of Sphingobium sp. MP9-4, isolated from petroleum
contaminated soil, was efficient to degrade 1-methylphenanthrene (1-MP). A
detailed metabolism map of 1-MP in this strain was delineated based on analysis
of metabolites with gas chromatograph-mass spectrometer (GC-MS). 1-MP was
initially oxidized via two different biochemical strategies, including benzene
ring and methyl-group attacks. Benzene ring attack was initiated with
dioxygenation of the non-methylated aromatic ring via similar degradation
pathways of phenanthrene (PHE) by bacteria. For methyl-group attack, mono
oxygenase system was involved and more diverse enzymes were needed than that of
PHE degradation. This study enhances the understanding of the metabolic pathways
of alkylated PAHs and shows the significant potential of Sphingobium sp. MP9-4
for the bioremediation of alkylated PAHs contaminated environments.
PMID- 27865522
TI - Pollution levels and risks of polycyclic aromatic hydrocarbons in surface
sediments from two typical estuaries in China.
AB - To assess the environmental risks of polycyclic aromatic hydrocarbons (PAHs), 48
and 45 sediments were collected from the Yangtze River Estuary (YRE) and Pearl
River Estuary (PRE), respectively. The toxicity equivalency concentration (TEQ)
in the YRE and PRE were ranged from 1.68 to 76.13 and 9.28 to 129.24ngTEQg-1,
respectively. Results of risk quotient suggest that ecological risks of two
estuaries are at a moderate level, but are higher in the PRE than YRE. The
increment lifetime cancer risks (ILCR) from the YRE via ingestion and dermal
contact were 1*10-6 to 5.6*10-5 and 4*10-6 to 1.6*10-4, and ranged from 7*10-6 to
9.4*10-5 and 2*10-5 to 2.8*10-4 in the PRE. ILCR results suggest that some low
and moderate cancer risk exists in the YRE and PRE. Therefore, monitoring and
control measures should be carried out immediately to reduce or eliminate the
risks to human health from environmental exposure.
PMID- 27865524
TI - Exposure to UV filters during summer and winter in Danish kindergarten children.
AB - BACKGROUND: Ultra violet (UV) filters with known or suspected endocrine
disrupting properties are widely used in sunscreens and other personal care
products, clothing, food packaging and many other consumer products. Danish
kindergarten children have sunscreens applied daily during summer to prevent skin
burns. OBJECTIVES: To estimate the assumed contribution of sunscreens to the
total exposure to UV filters, we measured the urinary excretion of UV filters
during summer and winter in kindergarten children. METHODS: Spot- and first
morning urines were collected during a summer and a winter day in 2013. A total
of 266 urine samples were collected from 55 children and were analysed for
content of benzophenone (BP), benzophenone-1 (BP-1), benzophenone-2 (BP-2),
benzophenone-3 (BP-3), 5-chloro-2-hydroxybenzophenone (BP-7), 4-methyl
benzophenone (4-MBP), 4-hydroxybenzophenone (4-HBP), 3-(4-methylbenzylidene)
camphor (4-MBC), and 3-benzylidene camphor (3-BC) by LC-MS/MS. RESULTS: Of the
analysed UV filters, the children excreted predominantly BP-1, BP-3 and 4-HBP.
The urine levels were significantly higher in summer samples compared to winter
samples, however exposure during winter was still evident. Furthermore, children
with the highest concentrations of UV filters in summer urines also tended to be
among those with the highest winter levels. CONCLUSION: Exposures to UV filters
during summertime can partly be explained by the intended use of UV filters in
sunscreens, which is considered to be beneficial for children during outdoor
activities. However, exposure to UV filters all year round together with large
inter-individual variation indicate that children's exposure to UV filters also
comes from other consumer items, presumably highly influenced by the general
lifestyle of an individual child: this is completely unintended, without benefit,
and potentially harmful.
PMID- 27865523
TI - Alterations in high-density lipoprotein proteome and function associated with
persistent organic pollutants.
AB - There is a growing body of evidence that persistent organic pollutants (POPs) may
increase the risk for cardiovascular disease (CVD), but the mechanisms remain
unclear. High-density lipoprotein (HDL) acts protective against CVD by different
processes, and we have earlier found that HDL from subjects with CVD contains
higher levels of POPs than healthy controls. In the present study, we have
expanded analyses on the same individuals living in a contaminated community and
investigated the relationship between the HDL POP levels and protein
composition/function. HDL from 17 subjects was isolated by ultracentrifugation.
HDL protein composition, using nanoliquid chromatography tandem mass
spectrometry, and antioxidant activity were analyzed. The associations of 16
POPs, including polychlorinated biphenyls (PCBs) and organochlorine pesticides,
with HDL proteins/functions were investigated by partial least square and
multiple linear regression analysis. Proteomic analyses identified 118 HDL
proteins, of which ten were significantly (p<0.05) and positively associated with
the combined level of POPs or with highly chlorinated PCB congeners. Among these,
cholesteryl ester transfer protein and phospholipid transfer protein, as well as
the inflammatory marker serum amyloid A, were found. The serum
paraoxonase/arylesterase 1 activity was inversely associated with POPs. Pathway
analysis demonstrated that up-regulated proteins were associated with biological
processes involving lipoprotein metabolism, while down-regulated proteins were
associated with processes such as negative regulation of proteinases, acute phase
response, platelet degranulation, and complement activation. These results
indicate an association between POP levels, especially highly chlorinated PCBs,
and HDL protein alterations that may result in a less functional particle.
Further studies are needed to determine causality and the importance of other
environmental factors. Nevertheless, this study provides a first insight into a
possible link between exposure to POPs and risk of CVD.
PMID- 27865526
TI - Emerging usage of electrocoagulation technology for oil removal from wastewater:
A review.
AB - Electrocoagulation is a simple and efficient treatment method involving the
electrodissolution of sacrificial anodes and formation of hydroxo-metal products
as coagulants, while the simultaneous production of hydrogen at the cathode
facilitates the pollutant removal by flotation. Oil is one of the most important
hydrocarbon products in the modern world. It can cause environmental pollution
during various stages of production, transportation, refining and use.
Electrocoagulation treatment is particularly effective for destabilization of oil
in-water emulsions by neutralizing charges and bonding oil pollutants to
generated flocs and hydrogen bubbles. The development of electrocoagulation
technologies provided a promising alternative for oil removal from wastewater.
This paper presents a review of emerging electrochemical technologies used for
treating oil-containing wastewater. It includes a brief description of the oily
wastewater origin and characteristics. The treatment processes developed so far
for oily wastewater and the electrocoagulation mechanisms are also introduced.
This paper summarizes the current applications of electrocoagulation for oil
removal from wastewater. The factors that influence the electrocoagulation
treatment efficiencies as well as the process optimization and modeling studies
are discussed. The state-of-the-art and development trends of electrocoagulation
process for oil removal are further introduced.
PMID- 27865525
TI - Maternal stress modifies the effect of exposure to lead during pregnancy and 24
month old children's neurodevelopment.
AB - BACKGROUND: Lead and psychosocial stress disrupt similar but not completely
overlapping mechanisms. Exposure during the prenatal period to each of these
insults singularly has been found to alter normal neurodevelopment; however,
longitudinal associations with stress modifying the effect of lead have not been
sufficiently analyzed in epidemiologic studies. OBJECTIVE: To evaluate prenatal
stress as an effect modifier of gestational lead neurotoxicity. METHODS: We used
a structural equations modeling approach with a trivariate response to evaluate
cognitive, language and motor scores of the Bayley Scales of Infant Development
III in 24month-old children (n=360). Maternal blood lead levels were measured at
the 2nd and 3rd trimester and psychosocial stress during pregnancy was assessed
using a negative life events (NLE) scale derived from the CRYSIS questionnaire.
RESULTS: 3rd trimester lead (mean 3.9+/-3.0 SDMUg/dL) and stress (median=3 NLE)
were negatively associated with Bayley III scores. Using the model's results we
generated profiles for 0, 2, 4 and 6 NLE across lead levels (up to 10MUg/dL) and
observed a dose-response for the developmental scores when lead levels were below
2MUg/dL. Each NLE curve had a different shape across increasing lead levels.
Higher stress (NLE=6) resulted in lower cognitive scores for both sexes, in lower
language scores in girls but not boys. In the absence of stress we saw a negative
association with lead for all scores, however for language and motor scores,
higher stress seemed to mask this association. CONCLUSIONS: Our work examined and
confirmed prenatal stress exposure as a modifier of the well-known neurotoxic
effects of prenatal lead. It adds to the existing evidence pointing at the
importance of studying the co-exposure of chemical and non-chemical exposures,
specifically of considering the emotional environment of children at early
developmental stages of life.
PMID- 27865527
TI - Pathways of inhalation exposure to manganese in children living near a
ferromanganese refinery: A structural equation modeling approach.
AB - Manganese (Mn) is both essential element and neurotoxicant. Exposure to Mn can
occur from various sources and routes. Structural equation modeling was used to
examine routes of exposure to Mn among children residing near a ferromanganese
refinery in Marietta, Ohio. An inhalation pathway model to ambient air Mn was
hypothesized. Data for model evaluation were obtained from participants in the
Communities Actively Researching Exposure Study (CARES). These data were
collected in 2009 and included levels of Mn in residential soil and dust, levels
of Mn in children's hair, information on the amount of time the child spent
outside, heat and air conditioning in the home and level of parent education.
Hair Mn concentration was the primary endogenous variable used to assess the
theoretical inhalation exposure pathways. The model indicated that household dust
Mn was a significant contributor to child hair Mn (0.37). Annual ambient air Mn
concentration (0.26), time children spent outside (0.24) and soil Mn (0.24)
significantly contributed to the amount of Mn in household dust. These results
provide a potential framework for understanding the inhalation exposure pathway
for children exposed to ambient air Mn who live in proximity to an industrial
emission source.
PMID- 27865528
TI - Integrating new indicators of predictors that shape the public's perception of
local extreme temperature in China.
AB - The public's risk perception of local extreme heat or cold plays a critical role
in community health and prevention under climate change. However, there is
limited evidence on such issues in China where extreme weather is occurring more
frequently due to climate change. Here, a total of 2500 residents were selected
using a three-step sampling method and investigated by a questionnaire in two
representative cities. We investigated risk perception of extreme heat in Beijing
and extreme cold in Harbin in 2013, aiming to examine their possible correlations
with multiple epidemiological factors. We found that exposure, vulnerability, and
adaptive ability were significant predictors in shaping public risk perceptions
of local extreme temperature. In particular, a 1 degrees C increase in daily
temperature resulted in an increased odds of perceiving serious extreme heat in
Beijing (OR=1.091; 95% CI: 1.032, 1.153), while a 1 degrees C increase in daily
temperature resulted in a decreased odds of perceiving serious extreme cold in
Harbin (OR=0.965; 95% CI: 0.939, 0.992). Therefore for both extreme heat and
cold, frequent local extreme temperature exposure may amplify a stronger
communication. Health interventions for extreme temperature should consider
exposure, vulnerability, and adaptive ability factors. This will help improve the
public's perception of climatic changes and their willingness to balance adaption
and mitigation appropriately.
PMID- 27865530
TI - Cardiac function and survival are affected by crude oil in larval red drum,
Sciaenops ocellatus.
AB - Following exposure to weathered and non-weathered oil, lethal and sub-lethal
impacts on red drum larvae were assessed using survival, morphological, and
cardiotoxicity assays. The LC50 for red drum ranged from 14.6 (10.3-20.9) to 21.3
(19.1-23.8) MUgl-1 SigmaPAH with no effect of exposure timing during the pre
hatch window or oil weathering. Similarly, morphological deformities showed dose
responses in the low ppb range. Cardiac output showed similar sensitivity
resulting in a major 70% reduction after exposure to 2.6MUgl-1 SigmaPAH. This
cardiac failure was driven by reduced stroke volume rather than bradycardia,
meaning that in some species, cardiac function is more sensitive than previously
thought. After the Deepwater Horizon oil spill, much of this type of work has
primarily focused on pelagic species with little known about fast developing
estuarine species. These results demonstrate similarity sensitivity of the red
drum as their pelagic counter parts, and more importantly, that cardiac function
is dramatically reduced in concert with pericardial edema.
PMID- 27865529
TI - Application of acclimated sewage sludge as a bio-augmentation/bio-stimulation
strategy for remediating chlorpyrifos contamination in soil with/without cadmium.
AB - This experiment was performed to investigate the effects of acclimated sewage
sludge (ASS) and sterilized ASS on the fates of chlorpyrifos (CP) in soil with or
without cadmium (Cd), as well as the improvement of soil biochemical properties.
Results showed that both ASS and sterilized ASS could significantly promote CP
dissipation, and the groups with ASS had the highest efficiency on CP removal,
whose degradation rates reached 71.3%-85.9% at the 30th day (40.4%-50.2% higher
than non-sludge groups). Besides, the degradation rate of CP was not severely
influenced by the existence of Cd, and the population of soil microorganism
dramatically increased after adding sludge. The soil enzyme activities
(dehydrogenase, acid phosphatase and FDA hydrolase activities) ranked from high
to low were as follows: groups with sterilized ASS>groups with ASS>groups without
sludge. Simultaneously, 16S rRNA gene sequencing revealed that ASS changed
bacterial community structure and diversity in soil. In addition, alkali
hydrolyzable nitrogen and Olsen- phosphorus increased after application of
sludge, indicating that the addition of ASS (or sterilized ASS) could effectively
improve soil fertility.
PMID- 27865531
TI - Meeting Our Patients Where They Are.
PMID- 27865533
TI - Prince Mahidol Awards for 2016 announced.
PMID- 27865532
TI - Tarp-Assisted Cooling as a Method of Whole-Body Cooling in Hyperthermic
Individuals.
AB - STUDY OBJECTIVE: We investigated the efficacy of tarp-assisted cooling as a body
cooling modality. METHODS: Participants exercised on a motorized treadmill in hot
conditions (ambient temperature 39.5 degrees C [103.1 degrees F], SD 3.1 degrees
C [5.58 degrees F]; relative humidity 38.1% [SD 6.7%]) until they reached
exercise-induced hyperthermia. After exercise, participants were cooled with
either partial immersion using a tarp-assisted cooling method (water temperature
9.20 degrees C [48.56 degrees F], SD 2.81 degrees C [5.06 degrees F]) or passive
cooling in a climatic chamber. RESULTS: There were no differences in exercise
duration (mean difference=0.10 minutes; 95% CI -5.98 to 6.17 minutes or end
exercise rectal temperature (mean difference=0.10 degrees C [0.18 degrees F]; 95%
CI -0.05 degrees C to 0.25 degrees C [-0.09 degrees F to 0.45 degrees F] between
tarp-assisted cooling (48.47 minutes [SD 8.27 minutes]; rectal temperature 39.73
degrees C [103.51 degrees F], SD 0.27 degrees C [0.49 degrees F]) and passive
cooling (48.37 minutes [SD 7.10 minutes]; 39.63 degrees C [103.33 degrees F], SD
0.40 degrees C [0.72 degrees F]). Cooling time to rectal temperature 38.25
degrees C (100.85 degrees F) was significantly faster in tarp-assisted cooling
(10.30 minutes [SD 1.33 minutes]) than passive cooling (42.78 [SD 5.87 minutes]).
Cooling rates for tarp-assisted cooling and passive cooling were 0.17 degrees
C/min (0.31 degrees F/min), SD 0.07 degrees C/min (0.13 degrees F/min) and 0.04
degrees C/min (0.07 degrees F/min), SD 0.01 degrees C/min (0.02 degrees F/min),
respectively (mean difference=0.13 degrees C [0.23 degrees F]; 95% CI 0.09
degrees C to 0.17 degrees C [0.16 degrees F to 0.31 degrees F]. No sex
differences were observed in tarp-assisted cooling rates (men 0.17 degrees C/min
[0.31 degrees F/min], SD 0.07 degrees C/min [0.13 degrees F/min]; women 0.16
degrees C/min [0.29 degrees F/min], SD 0.07 degrees C/min [0.13 degrees F/min];
mean difference=0.02 degrees C/min [0.04 degrees F/min]; 95% CI -0.06 degrees
C/min to 0.10 degrees C/min [-0.11 degrees F/min to 0.18 degrees F/min]). Women
(0.04 degrees C/min [0.07 degrees F/min], SD 0.01 degrees C/min [0.02 degrees
F/min]) had greater cooling rates than men (0.03 degrees C/min [0.05 degrees
F/min], SD 0.01 degrees C/min [0.02 degrees F/min]) in passive cooling, with
negligible clinical effect (mean difference=0.01 degrees C/min [0.02 degrees
F/min]; 95% CI 0.001 degrees C/min to 0.024 degrees C/min [0.002 degrees F/min to
0.04 degrees F/min]). Body mass was moderately negatively correlated with the
cooling rate in passive cooling (r=-0.580) but not in tarp-assisted cooling (r=
0.206). CONCLUSION: In the absence of a stationary cooling method such as cold
water immersion, tarp-assisted cooling can serve as an alternative, field
expedient method to provide on-site cooling with a satisfactory cooling rate.
PMID- 27865534
TI - Meniere's disease: damaged hearing but reduced vertigo.
PMID- 27865535
TI - Intratympanic methylprednisolone versus gentamicin in patients with unilateral
Meniere's disease: a randomised, double-blind, comparative effectiveness trial.
AB - BACKGROUND: Meniere's disease is characterised by severe vertigo attacks and
hearing loss. Intratympanic gentamicin, the standard treatment for refractory
Meniere's disease, reduces vertigo, but damages vestibular function and can
worsen hearing. We aimed to assess whether intratympanic administration of the
corticosteroid methylprednisolone reduces vertigo compared with gentamicin.
METHODS: In this double-blind comparative effectiveness trial, patients aged 18
70 years with refractory unilateral Meniere's disease were enrolled at Charing
Cross Hospital (London, UK) and Leicester Royal Infirmary (Leicester, UK).
Patients were randomly assigned (1:1) by a block design to two intratympanic
methylprednisolone (62.5 mg/mL) or gentamicin (40 mg/mL) injections given 2 weeks
apart, and were followed up for 2 years. All investigators and patients were
masked to treatment allocation. The primary outcome was vertigo frequency over
the final 6 months (18-24 months after injection) compared with the 6 months
before the first injection. Analyses were done in the intention-to-treat
population, and then per protocol. This trial is registered with
ClinicalTrials.gov, number NCT00802529. FINDINGS: Between June 19, 2009, and
April 15, 2013, 256 patients with Meniere's disease were screened, 60 of whom
were enrolled and randomly assigned: 30 to gentamicin and 30 to
methylprednisolone. In the intention-to-treat analysis (ie, all 60 patients), the
mean number of vertigo attacks in the final 6 months compared with the 6 months
before the first injection (primary outcome) decreased from 19.9 (SD 16.7) to 2.5
(5.8) in the gentamicin group (87% reduction) and from 16.4 (12.5) to 1.6 (3.4)
in the methylprednisolone group (90% reduction; mean difference -0.9, 95% CI -3.4
to 1.6). Patients whose vertigo did not improve after injection (ie, non
responders) after being assessed by an unmasked clinician were eligible for
additional injections given by a masked clinician (eight patients in the
gentamicin group vs 15 in the methylprednisolone group). Two non-responders
switched from methylprednisolone to gentamicin. Both drugs were well tolerated
with no safety concerns. Six patients reported one adverse event each: three in
the gentamicin group and three in the methylprednisolone group. The most common
adverse event was minor ear infections, which was experienced by one patient in
the gentamicin group and two in the methylprednisolone group. INTERPRETATION:
Methylprednisolone injections are a non-ablative, effective treatment for
refractory Meniere's disease. The choice between methylprednisolone and
gentamicin should be made based on clinical knowledge and patient circumstances.
FUNDING: Meniere's Society and National Institute for Health Research Imperial
Biomedical Research Centre.
PMID- 27865536
TI - Breast cancer.
AB - Breast cancer is one of the three most common cancers worldwide. Early breast
cancer is considered potentially curable. Therapy has progressed substantially
over the past years with a reduction in therapy intensity, both for locoregional
and systemic therapy; avoiding overtreatment but also undertreatment has become a
major focus. Therapy concepts follow a curative intent and need to be decided in
a multidisciplinary setting, taking molecular subtype and locoregional tumour
load into account. Primary conventional surgery is not the optimal choice for all
patients any more. In triple-negative and HER2-positive early breast cancer,
neoadjuvant therapy has become a commonly used option. Depending on clinical
tumour subtype, therapeutic backbones include endocrine therapy, anti-HER2
targeting, and chemotherapy. In metastatic breast cancer, therapy goals are
prolongation of survival and maintaining quality of life. Advances in endocrine
therapies and combinations, as well as targeting of HER2, and the promise of
newer targeted therapies make the prospect of long-term disease control in
metastatic breast cancer an increasing reality.
PMID- 27865537
TI - Metastases in the cervical spine from primary head and neck cancers: current
concepts of diagnosis and management.
AB - Vertebral metastases from primary head and neck cancers are uncommon, and so
there are no clear guidelines about management. The spinal cord can be compressed
by a vertebral fracture or invasion of a tumour, and may present as an
oncological and spinal emergency. The goals of treatment are to relieve pain and
maintain neurological function. However, surgical treatments in this group of
patients have not been defined, and primary operative treatment of spinal
metastases remains controversial. Here we discuss their contemporary management.
Surgical options should be considered for treatment to achieve stability of the
spine, relieve pain, and preserve neurological function in certain cases.
PMID- 27865538
TI - Micafungin in the treatment of invasive fungal infection in an infant with
extracorporeal.
PMID- 27865539
TI - Meta-analysis of prevalence of wheezing and recurrent wheezing in infants.
AB - BACKGROUND: Wheezing affects children's quality of life, and is related with
asthma in childhood. Although prevalence of wheezing has been previously studied
in several countries, there is no reference of worldwide prevalence in infants.
The aim of this meta-analysis is to estimate the prevalence of wheezing and
recurrent wheezing in infants aged up to two years, and compare the prevalence
across world regions. METHODS: Literature search was conducted in MEDLINE and
SCOPUS databases, looking for observational studies published up to June 2016,
including as keywords "prevalence" or "epidemiology" combined with "wheeze",
"wheezing" or "asthma symptoms" and "infant" or "preschool". Fast*Pro software
and random effects Bayesian model were used. Heterogeneity was estimated using I2
statistic, and sensitivity analyses were performed. RESULTS: We identified 109
studies after duplicates were removed. After exclusions, 14 studies were included
in the meta-analysis. Prevalence of wheezing and recurrent wheezing were 36.06%
(95% CI 35.17-36.96), and 17.41% (95% CI 16.74-18.09), respectively. In European
countries, prevalence of wheezing was 30.68% (95% CI 28.97-32.45), and 12.35%
(95% CI 11.27-13.47) for recurrent wheezing. Prevalence of wheezing and recurrent
wheezing in Latin America were higher, 40.55% (95% CI 39.40-41.71), and 19.27%
(95% CI 18.44-20.11), respectively. In Africa, prevalence of wheezing was 15.97%
(95% CI 14.05-18.00). Low or no heterogeneity was found in all cases.
CONCLUSIONS: More than one third of infants suffer from wheezing and almost one
fifth from recurrent wheezing, being these illnesses especially prevalent in
Latin American countries, pointing out an important public health problem.
PMID- 27865540
TI - Cofactors and comorbidities in patients with aspirin/NSAID hypersensitivity.
AB - Hypersensitivity reactions to aspirin and other NSAIDs occur in individuals
genetically predisposed and exhibit different clinical manifestations, especially
respiratory, cutaneous, and generalised. Five different phenotypes define
distinct clinical pictures: aspirin-exacerbated respiratory disease,
aspirin/NSAID cutaneous disease, NSAID-induced urticaria, angio-oedema and
anaphylaxis, single NSAID reactions, and delayed reactions. They are observed
more frequently in middle-aged women, and in atopic individuals. While ASA/NSAID
hypersensitivity shares comorbidities with asthma, chronic rhinosinusitis, nasal
polyposis, chronic urticaria and angio-oedema, ASA and other NSAIDs can also be
cofactors for other clinically relevant conditions, especially food-dependent
exercise-induced anaphylaxis, angio-oedema induced by angiotensin-converting
enzyme inhibitors, and oral mite anaphylaxis. Awareness on these relationships is
required for the correct diagnosis, classification, and treatment of affected
patients.
PMID- 27865541
TI - Editorial Comment.
PMID- 27865542
TI - High-capacity hollow porous dummy molecular imprinted polymers using ionic liquid
as functional monomer for selective recognition of salicylic acid.
AB - The existence of strong intramolecular hydrogen bond in salicylic acid (SA)
weakens its intermolecular hydrogen bonding with functional monomer, then it is a
challenge work to fabricate molecularly imprinted polymers (MIPs) for SA
recognition with high capacity and good selectivity. Here, hollow porous dummy
MIPs (HPDMIPs) were prepared using benzoic acid (BA) as dummy template, ionic
liquid (i.e. 1-vinyl-3-methylimidazolium chloride) as functional monomer, and MCM
48 as sacrificial support. Factors that affected adsorption, such as type of
template and porogen, mole ratio of template-functional monomer-cross-linker and
type of binding solvent, were optimized in detail. Multiple strong interactions
between SA and ionic liquid in HPDMIPs deduced higher binding capacity
(29.75mg/g), imprinting factor (5.61) and selectivity than any previously
reported MIPs by traditional or surface imprinting technology. The large surface
area (543.9m2/g) with hollow porous structure resulted in faster kinetic binding
(25min). The equilibrium data fitted well to Freundlich equation and the
adsorption process could be described by pseudo-second order model. Finally,
HPDMIPs were successfully applied to selectively extract and enrich SA from
Actinidia chinensis with a relatively high recovery (84.6-94.5%).
PMID- 27865543
TI - Patterns of care and survival outcomes in patients with pineal parenchymal tumor
of intermediate differentiation: An individual patient data analysis.
AB - BACKGROUND/PURPOSE: Pineal parenchymal tumor constitutes less than 1% of all CNS
tumors. Pineal parenchymal tumor of intermediate differentiation is a rare tumor
arising from the pineal parenchyma lying between the spectrum of Pineocytoma and
Pineoblastoma. METHODS AND MATERIALS: We performed PubMed search with the
following MesH terms: "pineal parenchymal tumor, pineal parenchymal tumor of
intermediate differentiation, pineal parenchymal tumor of intermediate
differentiation AND treatment, and pineal parenchymal tumor of intermediate
differentiation AND survival" to find all possible publications pertaining to
PPTID. Individual patient data on "age, gender, surgery, type of surgery,
radiation and type of radiation, chemotherapy, recurrence, and survival" were
tabulated. RESULTS: A total of 29 studies were found eligible with 127 patients.
Median age was 33years (range: 4.5-75years). The male: female ratio was 1:1.6.
Median MIB labeling index was 7 (range: 1-30). Adjuvant radiation was used in 46
(36.2%) of the patients and chemotherapy was used in 29 (22.8%) patients. Of the
patients who had recurrence 62.5% experienced spinal or leptomeningeal recurrence
while 37.5% had local recurrence. The median progression free survival and
overall survival were 5.17 and 14years respectively. Univariate analysis revealed
female sex and the use of adjuvant radiation to be associated with better overall
survival. CONCLUSION: PPTIDs are associated with a moderate outcome with a median
progression free survival of 5.17years and median overall survival of 14years.
Patients with a sub total resection should be treated with adjuvant radiotherapy
as addition of radiation is associated with better survival outcomes.
PMID- 27865544
TI - Central liver toxicity after SBRT: An expanded analysis and predictive nomogram.
AB - PURPOSE: To further explore the correlation of central biliary tract (cHBT)
radiation doses with hepatobiliary toxicity (HBT) after stereotactic body
radiation therapy (SBRT) in a larger patient dataset. METHODS: We reviewed the
treatment and outcomes of all patients who received SBRT for primary liver cancer
(PLC) and metastatic liver tumors between July 2004 and November 2015 at our
institution. The cHBT was defined as isotropic expansions (5, 10, 15, 20 and
25mm) from the portal vein (PV). Doses were converted to biologically effective
doses by using the standard linear quadratic model with alpha/beta of 10 (BED10).
HBT was graded according to the Common Terminology Criteria for Adverse Events
v4.03. RESULTS: Median follow-up was 13months. Out of the 130 patients with
complete follow-up records analyzed, 60 (46.1%) had liver metastases, 40 (30.8%)
had hepatocellular carcinoma (HCC), 26 (20%) had cholangiocarcinoma (CCA) and 4
(3.1%) patients other PLC histologies. Thirty-three (25.4%) grade 2+ and 28
(21.5%) grade 3+ HBT were observed. Grade 3+ HBT was seen in 13 patients (50%)
with CCA, 7 patients (17.5%) with HCC and 7 (11.7%) patients with liver
metastases. SBRT doses to the cHBT were highly associated with HBT, but only for
PLC patients when analyzed by histological subtype. The 15mm expansion from the
PV (cHBT15) proved to be an appropriate surrogate for the cHBT. The strongest
cHBT15 dose predictors for G3+ HBT for PLC were the VBED1040?37cc (p<0.0001) and
the VBED1030?45cc (p<0.0001). CONCLUSION: SBRT doses to the cHBT are associated
with occurrence of HBT only in PLC patients. Limiting the dose to the cHBT to
VBED1040<37cc and VBED1030<45cc when treating PLC patients with SBRT may reduce
the risk of HBT.
PMID- 27865546
TI - French consensus. Management of patients with hypersomnia: Which strategy?
AB - Central hypersomnias principally involves type 1 narcolepsy (NT1), type 2
narcolepsy (NT2) and idiopathic hypersomnia (IH). Despite great progress made in
understanding the physiopathology of NT1 with low cerebrospinal fluid hypocretin
1 levels, current treatment remains symptomatic. The same applies to NT2 and IH,
for which the physiopathology is still largely unknown. Controlling excessive
daytime sleepiness (EDS), cataplexy, hypnagogic hallucinations, sleep paralysis
and disturbed night-time sleep are key therapeutic targets in NT1. For IH and
NT2, reducing EDS is the main objective. Based on European and American
directives for the treatment of narcolepsy, we propose French recommendations for
managing central hypersomnias as well as strategies in the case of drug
resistance. Stimulating treatments target EDS, and Modafinil is the first-line
treatment. Other stimulants such as methylphenidate, pitolisant, and
exceptionally dextro-amphetamine can be prescribed. Selective serotonin and
noradrenaline reuptake inhibitor antidepressants are effective for the management
of cataplexy in NT1. Sodium oxybate is an effective treatment for several
symptoms, including EDS, cataplexy and disturbed night-time sleep. Treatment of
central hypersomnia must also take into consideration frequent cardiovascular,
metabolic and psychiatric comorbidities, particularly in NT1. New therapies are
currently under study with the development of new stimulants and anti
cataplectics. The next few years will see innovative emerging therapies, based on
a physiopathological approach, aiming to restore hypocretinergic transmission or
to interrupt the autoimmune processes causing the loss of hypocretin neurons.
PMID- 27865545
TI - Anti-CGRP antibodies block CGRP-induced diarrhea in mice.
AB - The multifunctional neuropeptide calcitonin gene-related peptide (CGRP) and its
receptor are expressed throughout the gastrointestinal tract. Previous studies
have shown that CGRP has roles in intestinal motility, water secretion, and
inflammation. Furthermore, animal studies have demonstrated CGRP involvement in
diarrhea secondary to C. difficile and food allergies. Diarrhea thus provides a
convenient bioassay of CGRP activity in the GI system. In this proof of principle
study, we report that prophylactic administration of an anti-CGRP antibody is
able to block CGRP-induced diarrhea in mice. As a control, the CGRP-receptor
antagonist olcegepant also attenuated the diarrhea response to CGRP. This
preclinical study indicates that anti-CGRP antibodies may provide a new
preventative therapy for gastrointestinal disorders involving CGRP.
PMID- 27865547
TI - Isolated cortical vein thrombosis associated with clinically amyopathic
dermatomyositis.
PMID- 27865549
TI - Anaemia and fever in Kidney transplant. The role of human parvovirus B19.
AB - Infections remain an issue of particular relevance in renal transplant patients,
particularly viral infections. Human parvovirus B19 infection causes severe
refractory anaemia, pancytopenia and thrombotic microangiopathy. Its presence is
recognized by analysing blood polymerase chain reaction (PCR) and by the
discovery of typical giant proerythroblasts in the bone marrow. We report the
case of a 65 year-old man with a history of deceased donor renal transplant in
September 2014. At 38 days after the transplant, the patient presented
progressive anaemia that was resistant to erythropoiesis-stimulating agents. At
64 days after transplant, hyperthermia occurred with progressive deterioration of
the patient's general condition. The viral serology and the first blood PCR for
human parvovirus B19 were both negative. At 4 months and 19 days after, a bone
marrow biopsy was conducted, showing giant erythroblasts with nuclear viral
inclusions that were compatible with parvovirus; a PCR in the tissue confirmed
the diagnosis. A second blood PCR was positive for parvovirus. After treatment
with intravenous immunoglobulin and the temporary discontinuation of
mycophenolate mofetil, a complete remission of the disease occurred, although the
blood PCR for parvovirus B19 remained positive, so monitoring is necessary for
future likely recurrence.
PMID- 27865548
TI - Household and caregiver characteristics and behaviours as predictors of unsafe
exposure of children to paraffin appliances.
AB - This study examines adult safety knowledge and practices regarding the use of
paraffin cooking appliances. The use of these is common in South Africa with
injury risks that are poorly understood. This cross-sectional study was in an
informal settlement in Johannesburg, South Africa, where children were reportedly
at high risk for burns. This study sought to clarify relationships between key
risks and developed individual and composite variables from theoretical
constructs and operational definitions of risks for burns. Risks included Child
Use of Paraffin Appliances, Child Proximity to Cooking, Risky Stove Use,
Caregiver's Burn Treatment Knowledge, Children Locked in House, Children Alone in
House. Number of children remains as in proof as this was not a composite scale.
Child Proximity to Cooking was associated with more children in the home.
Households where children were in greater proximity to cooking were 6 times more
likely to be left alone at home, with caregivers with no education over 100 times
more likely to lock their children at home. Children locked in were often from
homes where caregivers used appliances unsafely. In settings with hazardous
energy use, compressed household configurations, and families with multiple
children, Risky Stove Use and the practice of locking children in the home may be
catastrophic.
PMID- 27865550
TI - The star fruit as a cause of acute kidney injury.
PMID- 27865551
TI - Objective Voice Analysis of Pediatric Cochlear Implant Recipients and Comparison
With Hearing Aids Users and Hearing Controls.
AB - OBJECTIVES: Phonation is influenced by hearing as a feedback mechanism. The
purpose of the present study was to compare selected acoustic parameters in
children using cochlear implants (CIs), those using hearing aids (HA), and their
normal-hearing (NH) peers. METHODS: The participants were 15 children using CI
(mean age: 72 months), 15 children using HA (mean age: 74 months), and 15 NH
children (mean age: 77 months). The vowel /a/ was produced to measure
perturbation and mean fundamental frequency. The six Persian vowels in /CbVCd/
were obtained to extract vowel duration. Data were analyzed by one-way analysis
of variance. RESULTS: Results revealed a statistically significant difference
between the NH group and the HA group regarding fundamental frequency (F2,51 =
3.443, P < 0.05), jitter local (F2,51 = 1.629, P < 0.05), jitter local absolute
(F2,51 = 6.519, P < 0.001), jitter rap (F2,51 = 7.151, P < 0.001), jitter ppq5
(F2,51 = 5.894, P < 0.001), shimmer local (%) (F2,51 = 8.070, P < 0.001), shimmer
local (dB) (F2,51 = 3.884, P < 0.05), shimmer apq3 (F2,51 = 4.926, P < 0.05),
shimmer apq5 (F2,51 = 8.442, P < 0.001), and harmonic-to-noise ratio (F2,51 =
4.117, P < 0.001). The mean values of the duration of all six vowels were
significantly greater in children with CI and HA than in NH children (P < 0.001).
CONCLUSION: It seems that after 8 months of using CI, auditory control of voice
production would be enabled. Furthermore, children with hearing impairment
potentially regard vowel sound duration as a distinguishing feature, whereas in
NH speakers, the duration has the least effect in vowel identification.
PMID- 27865553
TI - The need for personalized resuscitation guidelines in children with congenital
heart disease.
PMID- 27865552
TI - Relationship between Voice Complaints and Subjective and Objective Measures of
Vocal Function in Iranian Female Teachers.
AB - OBJECTIVES: Teachers are at high risk of developing voice problems because of the
excessive vocal demands necessitated by their profession. Teachers' self
assessment of vocal complaints, combined with subjective and objective measures
of voice, may enable better therapeutic decision-making. This investigation
compared audio-perceptual assessment and acoustic variables in teachers with and
without voice complaints. METHODS: Ninety-nine teachers completed this cross
sectional study and were assigned to one of two groups: those "with voice
complaint (VC)" and those "without voice complaint (W-VC)." Voice samples were
collected during reading, counting, and vowel prolongation tasks. Teachers were
also asked to document any voice symptoms they experienced. Voice samples were
analyzed using Dr. Speech program (4th version; Tiger Ltd., USA), and labeled
"normal" or "abnormal" according to the "grade" dimension "G" from GRBAS scale.
RESULTS: Twenty-one teachers were assigned to the VC group based on self
assessment data. There were statistically significant differences between the two
groups with regard to self-reported voice symptoms of hoarseness, breathiness,
pitch breaks, and vocal fatigue (P < 0.05). Fourteen participants in the VC group
and 40 from the W-VC group were determined to demonstrate "abnormal" vocal
quality on perceptual assessment. Only harmonic-to-noise ratio was significantly
higher for the W-VC group (ES = 0.55). CONCLUSION: Teachers with and without
voice complaints differed in the incidence, but not type of voice symptoms.
Teachers' voice complaints did not correspond to perceptual and acoustic
measures. This suggests a potential unmet need for teachers to receive further
education on voice disorders.
PMID- 27865554
TI - Just because you can, doesn't mean you should: The flipside of technical
feasibility.
PMID- 27865555
TI - Calpain inhibitors: The aspirin of the 21st century?
PMID- 27865557
TI - Hypoperfusion of the thalamus is associated with disability in relapsing
remitting multiple sclerosis.
AB - BACKGROUND: While gray matter (GM) perfusion abnormalities have been evidenced in
multiple sclerosis (MS) patients, the relationships with disability still remain
unclear. Considering that atrophy is known to impact on perfusion, we aimed to
assess perfusion abnormalities in GM of MS patients, outside atrophic regions and
investigate relationships with disability. METHODS: Brain perfusion of 23
relapsing remitting MS patients and 16 matched healthy subjects were assessed at
3T using the pseudo-continuous arterial spin labeling magnetic resonance imaging
technique. In order to locate potential GM perfusion abnormalities in regions
spared by atrophy, we combined voxelwise comparisons of GM cerebral blood flow
(CBF) maps (cortex and deep GM) (P<0.005, FWE-corrected) and voxel-based
morphometry analysis (P<0.005, FDR-corrected) to exclude atrophic regions.
Disability was assessed using the Expanded Disability Status Scale (EDSS) and the
Multiple Sclerosis Functional Composite score (MSFC). RESULTS: In patients,
significant GM hypoperfusion outside atrophic regions was depicted only in
bilateral thalami. No other cluster was found to be hypoperfused compared to
controls. Perfusion of thalami was correlated to MSFC (P=0.011, rho=0.523). A
trend of correlation was found between perfusion of thalami and EDSS (P=0.061,
rho=-0.396). CONCLUSION: In relapsing remitting MS, perfusion abnormalities in
thalamic regions contribute to disability. These findings suggest that functional
impairments of thalami, representing a major brain hub, may disturb various
cerebral functions even before structural damage.
PMID- 27865556
TI - Trans-crocetin improves amyloid-beta degradation in monocytes from Alzheimer's
Disease patients.
AB - Herbal medicines have been recently employed in research and clinical studies for
the potential treatment of behavioral and psychological symptoms associated with
Alzheimer's Disease (AD) and other types of dementia. The present study
investigates the effect of trans-crocetin, an active constituent of Crocus
sativus L., to restore in vitro the reduced ability of AD patients' monocytes to
degrade amyloid-beta(1-42) (Abeta42). CD14+ monocytes from 22 sporadic AD
patients with moderate cognitive impairment were isolated; then, the role of
trans-crocetin, purified from saffron extracts, was evaluated in terms of Abeta42
degradation rate through flow cytometry, as well as expression of cathepsin B by
Western blotting. We observed that low micromolar doses of trans-crocetin
enhanced Abeta42 degradation in AD monocytes through the upregulation of the
lysosomal protease cathepsin B. CA074Me, a potent and selective cathepsin B
inhibitor, counteracted such trans-crocetin-induced effect. These data suggest
that the carotenoid trans-crocetin improves in vitro the clearance of Abeta42
through the involvement of cathepsin B, and this could be of value in developing
a new anti-amyloid strategy in AD.
PMID- 27865558
TI - Ligand binding to Ryanodine Receptors revealed through cryo-electron microscopy.
PMID- 27865560
TI - Reply to "statins and non-alcoholic steatohepatitis".
PMID- 27865561
TI - The cost effectiveness of vacuum-assisted versus core-needle versus surgical
biopsy of breast lesions.
AB - OBJECTIVES: To determine the cost effectiveness of breast biopsy by 9G vacuum
assisted guided by vertical stereotaxy or ultrasonography in comparison with
breast biopsy by 14G core-needle biopsy and surgical biopsy. MATERIAL AND
METHODS: We analyzed a total of 997 biopsies (181 vacuum-assisted, 626 core, and
190 surgical biopsies). We calculated the total costs (indirect and direct) of
the three types of biopsy. We did not calculate intangible costs. We measured the
percentage of correct diagnoses obtained with each technique. To identify the
most cost-effective option, we calculated the mean ratios for the three types of
biopsies. RESULTS: Total costs were ?225.09 for core biopsy, ?638.90 for vacuum
assisted biopsy, and ?1780.01 for surgical biopsy. The overall percentage of
correct diagnoses was 91.81% for core biopsy, 94.03% for vacuum-assisted biopsy,
and 100% for surgical biopsy; however, these differences did not reach
statistical significance (p=0.3485). For microcalcifications, the percentage of
correct diagnoses was 50% for core biopsy and 96.77% for vacuum-assisted biopsy
(p<0.0001). For nodules, there were no significant differences among techniques.
The mean cost-effectiveness ratio considering all lesions was 2.45 for core
biopsy, 6.79 for vacuum-assisted biopsy, and 17.80 for surgical biopsy.
CONCLUSION: Core biopsy was the dominant option for the diagnosis of suspicious
breast lesions in general. However, in cases with microcalcifications, the low
percentage of correct diagnoses achieved by core biopsy (50%) advises against its
use in this context, where vacuum-assisted biopsy would be the technique of
choice because it is more cost-effective than surgical biopsy, the other
technique indicated for biopsying microcalcifications.
PMID- 27865562
TI - Photo- and thermo-responsive multicompartment hydrogels for synergistic delivery
of gemcitabine and doxorubicin.
AB - Hydrogels have found promising applications in drug delivery due to their
biocompatibility, high drug loading capability, and tunable release profiles.
However, hydrogel-based carriers are primarily employed for delivering
hydrophilic payloads while hydrophobic drugs cannot be efficiently delivered due
to the lack of hydrophobic domains within conventional hydrogel matrices. Herein,
we report that thermo- and photo-responsive hydrogels could be constructed from
amphiphilic triblock copolymers, poly(N-isopropylacrylamide)-b-poly(4
acryloylmorpholine)-b-poly(2-((((2-nitrobenzyl)oxy)carbonyl) amino)ethyl
methacrylate) (PNIPAM-b-PNAM-b-PNBOC), and the resulting hydrogels could be
further engineered a new carrier for both hydrophilic gemcitabine (GCT) and
hydrophobic doxorubicin (DOX). PNIPAM-b-PNAM-b-PNBOC triblock copolymers were
first self-assembled into micelles with hydrophobic photosensitive PNBOC cores,
hydrophilic PNAM inner shells, and thermoresponsive PNIPAM coronas below the
lower critical solution temperature (LCST), while hydrogels of physically cross
linked micellar nanoparticles were achieved at elevated polymer concentrations
and high temperatures above the critical gelation temperature (CGT). Rheological
experiments revealed that the CGT was highly dependent on polymer compositions
and concentrations, that is, a longer hydrophobic PNBOC block or a higher polymer
concentration led to a decreased CGT. However, the CGT prior to UV irradiation
(CGT0) could be drastically elevated after UV irradiation (CGTUV) as a result of
UV irradiation-induced concurrently cross-linking and hydrophobic-to-hydrophilic
transition within PNBOC cores. As such, gel-to-sol transition could be
accomplished by either temperature decrease or exposure to UV irradiation at a
fixed temperature lower than the CGTUV. Note that both GCT and DOX could be
simultaneously encapsulated into the hydrogels due to the coexistence of
extramicellar aqueous phase and hydrophobic micellar cores. Intriguingly, the
subsequent co-release of GCT and DOX could be regulated by taking advantage of
either temperature or UV irradiation-mediated gel-to-sol transitions.
PMID- 27865564
TI - Pneumococcal pep27 mutant immunization stimulates cytokine secretion and confers
long-term immunity with a wide range of protection, including against non
typeable strains.
AB - Streptococcus pneumoniae is comprised of more than 90 serotypes and is the major
causative agent of pneumonia, which results in over 1million deaths worldwide
every year. Currently available injectable vaccines can protect against only 13
23 serotypes, and result in decrease of colonization against vaccine serotypes.
However, they are neither effective for inhibition of non-vaccine serotypes
colonization nor inhibition against initial colonization in the nasopharynx
against various serotypes. Thus, development of a vaccine conveying broader
protection at the colonization stage is required. This study examined whether the
Deltapep27 mutant could provide protection at the nasopharynx against a broad
range of serotypes. Deltapep27 immunization stimulated secretion of IL-4, IL-10,
TNF-alpha, INF-gamma and IL-17, and significantly increased secretory-IgA levels
in bronchoalveolar lavage fluid. Colonization and opsonophagocytosis assays
demonstrated that Deltapep27 immunization could protect against many heterologous
infections, including non-typeable strains, at the nasopharynx, and prompted
efficient killing of heterologous strains, suggesting that Deltapep27
immunization provides a wide range of cross-protection. Furthermore, Deltapep27
immunization significantly increased both the survival rate and the level of IgG
3months post-immunization, demonstrating long-lasting immunity. Thus, Deltapep27
could serve as a highly feasible mucosal vaccine once it is further developed
into a non-transformable strain.
PMID- 27865563
TI - Sonic Hedgehog Signaling and Hippocampal Neuroplasticity.
AB - Sonic hedgehog (Shh) is a secreted protein that controls the patterning of neural
progenitor cells, and their neuronal and glial progeny, during development.
Emerging findings suggest that Shh also has important roles in the formation and
plasticity of neuronal circuits in the hippocampus, a brain region of fundamental
importance in learning and memory. Shh mediates activity-dependent and injury
induced hippocampal neurogenesis. Activation of Shh receptors in the dendrites of
hippocampal neurons engages a trans-neuronal signaling pathway that accelerates
axon outgrowth and enhances glutamate release from presynaptic terminals.
Impaired Shh signaling may contribute to the pathogenesis of several
developmental and adult-onset neurological disorders that affect the hippocampus,
suggesting a potential for therapeutic interventions that target Shh pathways.
PMID- 27865565
TI - [Ankle-brachial index screening for peripheral artery disease in high
cardiovascular risk patients. Prospective observational study of 370 asymptomatic
patients at high cardiovascular risk].
AB - INTRODUCTION: Peripheral arterial disease is a marker of systemic
atherosclerosis; it is associated with a high risk of cardiovascular disease. The
aim of our study was to assess the prevalence of peripheral arterial disease by
measuring the ankle-brachial pressure index in patients at high cardiovascular
risk and to study the risk factors associated with this disease. METHODOLOGY:
This was a descriptive and analytic cross-sectional study which focused on 370
patients seen at the medical consultation for atherosclerosis prevention. The
ankle-brachial index was measured with a portable Doppler (BIDOP 3) using 4 and
8Hz dual frequency probes. The standards were: normal ankle-brachial index 0.9 to
1.3; peripheral artery obstructive disease ankle-brachial index less than 0.9;
poorly compressible artery (medial arterial calcification) ankle-brachial index
greater than 1.3. Cardiovascular risk factors were also studied. RESULTS: Three
hundred and seventy subjects (mean age 65.5+/-8.7years) were screened
Cardiovascular risk factors were: sedentary lifestyle (91.5 %), hypertension
(68.1 %), elevated LDL-cholesterolemia (36.3 %), diabetes (48.3 %) and tobacco
smoking (33.8 %). The prevalence of peripheral artery disease was 32.4 % of which
77.5 % were asymptomatic. We found a significant correlation with smoking,
diabetes, dyslipidemia and the presence of coronary artery disease or vascular
cerebral disease. Screening for peripheral arterial disease (PAD) with the ankle
brachial index has increased the percentage of polyvascular patients from 6.2 to
29 %. Factors independently associated with PAD were advanced age, presence of
cardiovascular disease, smoking and glycated hemoglobin. CONCLUSION: PAD is a
common condition in people at high cardiovascular risk, the frequency of
asymptomatic forms justifies the screening with pocket Doppler which is a simple,
inexpensive and effective test to assess the overall cardiovascular risk.
PMID- 27865567
TI - Preconceptional and perinatal exposure to traffic-related air pollution and
eczema in preschool children.
AB - BACKGROUND: Evidence linking prenatal exposure to outdoor air pollution with
eczema in early childhood is scare, and the role of components of air pollution
and exposure timing remains unclear. OBJECTIVES: We investigated the association
between exposure to air pollution during preconceptional and perinatal period and
the risk of eczema in preschool children. METHODS: We conducted a prospective
cohort study of 2598 children aged 3-6 years in Changsha, China. The prevalence
of eczema was assessed by a standardized health questionnaire administered by the
parents. Individual exposures to nitrogen dioxide (NO2), sulfur dioxide (SO2) and
particulate matter with an aerodynamic diameter<=10MUm (PM10) during the 4th-6th
and 1st-3rd month before pregnancy, entire pregnancy, and three trimesters were
estimated by an inverse distance weighted (IDW) method based on concentrations
measured at monitoring stations. Association between childhood eczema and
exposure to air pollution was examined by logistic regression models in terms of
odds ratio (OR) and 95% confidence interval (CI) for an interquartile range (IQR)
increase in exposure. RESULTS: Life-time prevalence of eczema in preschool
children in Changsha was 28.6%. Childhood eczema was associated with traffic
related air pollutant NO2 during 3 months before pregnancy and entire pregnancy
with adjusted ORs=1.19 (95% CI: 1.04-1.37) and 1.21 (95% CI: 1.03-1.42)
respectively. The highest risk of eczema was observed for the 1st trimester
exposure to NO2 [OR=1.26 (95% CI: 1.09-1.46)]. However, no association was
detected for SO2 and PM10 exposure during any window. High-level exposure to NO2
during the whole time period significantly increased the effect of NO2 in all
windows on eczema risk as compared with low-level exposure. Sensitivity analysis
indicated that the association between both preconceptional and perinatal
exposure to NO2 and childhood eczema was consistent and robust, and this
association was modified by some personal, parental hereditary and indoor
environmental factors. CONCLUSION: Our findings support the hypothesis that early
childhood eczema is associated with exposure to traffic-related air pollutant
during both preconceptional and perinatal period, especially at a high level of
exposure.
PMID- 27865566
TI - Phosphorus Additives and Albuminuria in Early Stages of CKD: A Randomized
Controlled Trial.
AB - BACKGROUND: Little is known about the effects of phosphorus additives on patients
with kidney disease. STUDY DESIGN: Randomized, double-blind, crossover trial.
SETTING & PARTICIPANTS: 31 adults with early stages of presumed chronic kidney
disease (estimated glomerular filtration rate >= 45mL/min/1.73m2; urine albumin
creatinine ratio sex-specific cutoff points: men >= 17mg/g, women >= 25mg/g).
INTERVENTION: Higher versus lower phosphorus intake for 3 weeks. Higher
phosphorus intake was achieved by the addition of commercially available diet
beverages and breakfast bars to diet. OUTCOMES: Change in 24-hour urine albumin
excretion and plasma fibroblast growth factor 23 level. MEASUREMENTS: Two 24-hour
urine collections and a single fasting blood draw at the end of each period.
RESULTS: Mean baseline values for phosphorus intake, 24-hour urine phosphorus
excretion, and estimated glomerular filtration rate were 1,113+/-549 (SD) mg/d,
688+/-300mg/d, and 74.6+/-22.0mL/min/1.73m2. Median urine albumin excretion of
82.7 (IQR, 39.6-174.1) mg/d. Although phosphorus intake from study products
increased by 993mg/d (P<0.001) during the higher compared to lower phosphorus
additive period, background phosphorus intake decreased by 151mg/d (P=0.004).
Higher phosphorus additive consumption increased 24-hour urine phosphorus
excretion by 505 (95% CI, 381 to 629) mg/d (P<0.001), but did not significantly
increase albuminuria (higher vs lower: 14.3%; 95% CI, -2.5% to 34.0%; P=0.1) or
fibroblast growth factor 23 level (higher vs lower: 3.4%; 95% CI, -5.9% to 13.6%;
P=0.4). LIMITATIONS: Small sample size, short duration of intervention, changes
in background diet during the intervention. CONCLUSIONS: A 3-week consumption of
higher phosphorus food additives did not significantly increase albuminuria.
Further studies are needed to confirm these results.
PMID- 27865568
TI - Home Discharge After Primary Elective Total Joint Arthroplasty: Postdischarge
Complication Timing and Risk Factor Analysis.
AB - BACKGROUND: Bundled payment programs for primary total joint arthroplasty (TJA)
have identified reducing nonhome discharge as a major area of cost savings.
Health care providers must therefore identify, risk stratify, and appropriately
care for home-discharged TJA patients. This study aimed to analyze risk factors
and timing of postdischarge complications among home-discharged primary total hip
arthroplasty (THA) and total knee arthroplasty (TKA) patients and risk stratify
them to identify those who would benefit from higher level care. METHODS:
Patients discharged home after elective primary THA/TKA from 2011 to 2014 were
identified in the National Surgical Quality Improvement Program database.
Bivariate and multivariate analyses were performed using perioperative variables.
RESULTS: A total of 50,376 and 71,293 home-discharged THA and TKA patients were
included for analysis, of which, 1575 THA (3.1%) and 2490 TKA (3.5%) patients
suffered postdischarge severe complications or unplanned readmissions. These
patients were older, smokers, obese, and functionally dependent (P < .001 for
all). In multivariate analysis, severe adverse event predischarge, age, male
gender, functional status, and 10 other variables were all associated with >=1.22
odds of postdischarge severe adverse event or readmission (P < .05). THA and TKA
patients with 2, 3, or >=4 risk factors had 1.43-5.06 times odds of complications
within 14 days post discharge and 1.41-3.68 times odds of complications beyond 14
days compared to those with 0 risk factors (P < .001 for all). CONCLUSION: Risk
factors can be used to predict which home-discharged TJA patients are at greatest
risk of postdischarge complications. Given that this is a growing population, we
recommend the development of formal risk-stratification protocols for home
discharged TJA patients.
PMID- 27865569
TI - Response to Letter to the Editor on 'Tibiofemoral Dislocation After Total Knee
Arthroplasty'.
PMID- 27865570
TI - Letter to the Editor on 'Tibiofemoral Dislocation After Total Knee Arthroplasty'.
PMID- 27865571
TI - The authors respond: methodological issues in studying shock indices.
PMID- 27865572
TI - Salmonella colitis as an unusual cause of elevated serum lipase.
AB - Authors and clinicians advocate lipase as the preferred serological test for the
diagnosis for acute pancreatitis. While acute pancreatitis is among the
differential diagnosis for elevated lipase levels, several other causes of
elevated lipase levels have been identified including several reports Salmonella
species as a causative agent. There also have been retrospective studies that
have reported clinical pancreatitis associated with Salmonella infection. These
studies concluded that clinical pancreatitis should be considered as a
complication of Salmonella infections. However, Salmonella infections may induce
elevated pancreatic enzyme levels without clinical pancreatitis or morphological
pancreatic abnormalities through a variety of proposed mechanisms. The following
is a case that describes a patient who developed Salmonella colitis and
demonstrated elevated serum lipase levels without clinical pancreatitis.
PMID- 27865573
TI - Polyarteritis nodosa presenting as bilateral Wunderlich syndrome: Rare cause of
flank pain in a young woman.
PMID- 27865574
TI - Comparison of blind intubation through the I-gel and the Air-QTM by novice
physicians during cardiopulmonary resuscitation: A randomized, crossover, manikin
trial.
PMID- 27865575
TI - Pathophysiology of Severe diabetic ketoacidosis presenting with negative serum
ketones.
PMID- 27865576
TI - Embolization of Congenital Renal Arteriovenous Malformations Using Ethanol and
Coil Depending on Angiographic Types.
AB - PURPOSE: To evaluate effectiveness and safety of embolization of congenital renal
arteriovenous malformations (AVMs) using ethanol and/or coil according to
angiographic type. MATERIALS AND METHODS: Between August 2010 and October 2015,
11 patients (13 sessions; 10 women and 1 man; mean age, 50.8 y) with congenital
renal AVMs were treated using ethanol and/or coils via 2 approaches
(transarterial access or direct puncture). Demographics, clinical findings,
diagnostic modalities, angiographic types, technical and clinical success rates,
and complications were reviewed. Renal AVMs were classified into 3 angiographic
types, and treatment was based on this classification. RESULTS: Technical success
rate was 91% (10/11), and clinical success rate was 100% (11/11). Of patients, 7
had type III AVMs, 3 had type I AVMs, and 1 had type II AVM. Embolic agents were
ethanol in 5 patients, coils with ethanol in 3 patients, and coils in 3 patients.
Of the 8 patients treated with ethanol, 6 had infarcted renal areas of 3.5%-30%
(mean, 14.6%). After a mean follow-up period of 16.3 months, there was no
evidence of recurrent AVMs on imaging or laboratory studies. CONCLUSIONS:
Embolization of congenital renal AVMs via transarterial or direct percutaneous
approaches using ethanol and/or coils based on a simple angiographic
classification was safe and effective and elicited good outcomes. Most of the
patients with congenital renal AVMs were women.
PMID- 27865577
TI - Influence of Statin Therapy on Aneurysm Sac Regression after Endovascular Aortic
Repair.
AB - PURPOSE: To determine whether statin therapy is associated with abdominal aortic
aneurysm (AAA) sac regression after endovascular aneurysm repair (EVAR).
MATERIALS AND METHODS: A total of 109 patients treated with EVAR were
retrospectively analyzed (no-statin group, n = 45; statin group, n = 64). The
primary endpoint was the incidence of AAA sac regression. To investigate
independent predictors of AAA sac regression, regression analysis was performed.
The mean age was 74 years (range, 55-90 y), and 87.2% of patients were men.
RESULTS: The no-statin group had higher rates of AAA sac regression than the
statin group at 1 year (no-statin group, 66.7%; statin group, 45.3%; P = .028).
The incidence of AAA sac regression increased over time in the statin group, and
no statistical difference was seen between the two groups at 2 years (no-statin
group, 66.7%; statin group, 57.8%; P = .350). The difference between the changes
in maximum AAA diameter was significant between groups at 1 year (no-statin group
vs statin group, -4.9 mm +/- 5.9; P = .041), but the difference did not reach
statistical significance at 2 years (no-statin group, -10.0 mm +/- 10.1; statin
group, -8.0 mm +/- 9.6; P = .306). Statin therapy was not associated with AAA sac
regression on univariate (odds ratio [OR], 0.685; 95% confidence interval [CI],
0.310-1.516; P = .351) and multivariate analyses (OR, 0.617; 95% CI, 0.215-1.772;
P = .369). CONCLUSIONS: Statin therapy had no effect on AAA sac regression at 2
years. There is insufficient evidence to recommend statin therapy for AAA sac
regression.
PMID- 27865578
TI - Comparison of the Carlsson-Dent and GERD-Q questionnaires for gastroesophageal
reflux disease symptom detection in a general population.
AB - INTRODUCTION: Gastroesophageal reflux disease (GERD) is an extremely common
pathology in the general population and one of the main reasons for consultation
in gastroenterology. There are different instruments for detecting its symptoms,
but few studies comparing one tool with another have been conducted in Mexico.
AIMS: To compare the effectiveness of the Carlsson-Dent questionnaire (CDQ) and
the GERD-Q questionnaire (GQQ) in detecting GERD symptoms in a general
population. MATERIALS AND METHODS: A prospective, descriptive, cross-sectional
study was conducted on 220 individuals in an open population within the time
frame of May-June 2015. The subjects were evaluated through the self-assessment
CDQ and GQQ. The positive scores from the CDQ (>= 4) were compared with those of
the GQQ (>= 8), to determine which of the two instruments more easily detected
patients with GERD symptoms. RESULTS: Fifty-seven percent of the patients were
men and the mean patient age was 38.1 years. Fifty percent of the subjects
presented with GERD symptoms with a positive score in at least one questionnaire;
45% had positive CDQ results and 23% had positive GQQ results. Fifty-seven
percent of the patients with a positive CDQ score presented with
overweight/obesity, as did 72% of the patients with a positive GQQ result.
Finally, 20% of the individuals had positive results for reflux symptoms in both
questionnaires. CONCLUSIONS: There was a prevalence of GERD symptoms in 50% of
the individuals studied from a general population. The GQQ detected a greater
number of GERD symptoms in patients that presented with overweight/obesity and
the CDQ was considered easier for patients to understand and answer. It is
striking that there was only 20% agreement between the two questionnaires,
suggesting that they may be useful for identifying GERD symptoms in different
populations.
PMID- 27865579
TI - Impact of childhood psoriasis on parents of affected children.
AB - BACKGROUND: Childhood diseases, such as atopic dermatitis, have a negative impact
on quality of life (QoL) of parents. How pediatric psoriasis affects a parent's
QoL is unknown. OBJECTIVE: To explore the impact of childhood psoriasis on the
lives of the parents. METHODS: Semistructured interviews were conducted with 31
parents of children with psoriasis. Narrative data were analyzed and a conceptual
framework of the effects of childhood psoriasis on parents was developed.
RESULTS: All parents reported that their child's psoriasis caused a substantial,
negative impact on their own QoL. A conceptual framework showed a negative impact
on health and self-care, emotional well-being, family and social function,
personal well-being, and life pursuits. Emotional well-being was the most
affected domain. LIMITATIONS: It was not possible to correlate psoriasis severity
with parental QoL. CONCLUSION: Childhood psoriasis alters the QoL of parents in
multiple ways. Information from this study can be used to develop a QoL
instrument to explore treatment and support strategies for families affected by
pediatric psoriasis.
PMID- 27865580
TI - The role of dual-energy computed tomography in the assessment of pulmonary
function.
AB - The assessment of pulmonary function, including ventilation and perfusion status,
is important in addition to the evaluation of structural changes of the lung
parenchyma in various pulmonary diseases. The dual-energy computed tomography
(DECT) technique can provide the pulmonary functional information and high
resolution anatomic information simultaneously. The application of DECT for the
evaluation of pulmonary function has been investigated in various pulmonary
diseases, such as pulmonary embolism, asthma and chronic obstructive lung disease
and so on. In this review article, we will present principles and technical
aspects of DECT, along with clinical applications for the assessment pulmonary
function in various lung diseases.
PMID- 27865582
TI - Orientation of the electrical heart axis in mid-term pregnancy.
PMID- 27865583
TI - Huge Nabothian cyst causing Hematometra (case report).
PMID- 27865584
TI - Cooperation and deception in primates.
AB - Though competition and cooperation are often considered opposing forces in an
arms race driving natural selection, many animals, including humans, cooperate in
order to mitigate competition with others. Understanding others' psychological
states, such as seeing and knowing, others' goals and intentions, and
coordinating actions are all important for complex cooperation-as well as for
predicting behavior in order to take advantage of others through tactical
deception, a form of competition. We outline evidence of primates' understanding
of how others perceive the world, and then consider how the evidence from both
deception and cooperation fits this framework to give us a more complete
understanding of the evolution of complex social cognition in primates. In
experimental food competitions, primates flexibly manipulate group-mates'
behavior to tactically deceive them. Deception can infiltrate cooperative
interactions, such as when one takes an unfair share of meat after a coordinated
hunt. In order to counter competition of this sort, primates maintain cooperation
through partner choice, partner control, and third party punishment. Yet humans
appear to stand alone in their ability to understand others' beliefs, which
allows us not only to deceive others with the explicit intent to create a false
belief, but it also allows us to put ourselves in others' shoes to determine when
cheaters need to be punished, even if we are not directly disadvantaged by the
cheater.
PMID- 27865581
TI - [Orthostatic hypotension; that great unknown].
AB - Orthostatic hypotension is an anomaly of growing interest in scientific research.
Although certain neurogenic diseases are associated with this phenomenon, it can
also be associated with non-neurological causes. Although orthostatic hypotension
is defined by consensus as a decrease in the systolic blood pressure of at least
20mmHg, or a decrease in diastolic blood pressure of at least 10mmHg, within 3min
of standing, the studies differ on how to diagnose it. Orthostatic hypotension is
associated with certain cardiovascular risk factors and with drug treatment, but
the results are contradictory. The purpose of this review is to update the
knowledge about orthostatic hypotension and its treatment, as well as to propose
a method to standardise its diagnosis.
PMID- 27865585
TI - The prevalence of posttraumatic stress disorder in pregnancy and after birth: A
systematic review and meta-analysis.
AB - BACKGROUND: Previous reviews have provided preliminary insights into risk factors
and possible prevalence of Post-traumatic Stress Disorder (PTSD) postpartum with
no attempt to examine prenatal PTSD. This study aimed to assess the prevalence of
PTSD during pregnancy and after birth, and the course of PTSD over this time.
METHODS: PsychINFO, PubMed, Scopus and Web of Science were searched using PTSD
terms crossed with perinatal terms. Studies were included if they reported the
prevalence of PTSD during pregnancy or after birth using a diagnostic measure.
RESULTS: 59 studies (N =24267) met inclusion criteria: 35 studies of prenatal
PTSD and 28 studies of postpartum PTSD (where 4 studies provided prevalence of
PTSD in pregnancy and postpartum). In community samples the mean prevalence of
prenatal PTSD was 3.3% (95%, CI 2.44-4.54). The majority of postpartum studies
measured PTSD in relation to childbirth with a mean prevalence of 4.0% (95%, CI
2.77-5.71) in community samples. Women in high-risk groups were at more risk of
PTSD with a mean prevalence of 18.95% (95%, CI 10.62-31.43) in pregnancy and
18.5% (95%, CI 10.6-30.38) after birth. Using clinical interviews was associated
with lower prevalence rates in pregnancy and higher prevalence rates postpartum.
LIMITATIONS: Limitations include use of stringent diagnostic criteria, wide
variability of PTSD rates, and inadequacy of studies on prenatal PTSD measured in
three trimesters. CONCLUSIONS: PTSD is prevalent during pregnancy and after birth
and may increase postpartum if not identified and treated. Assessment and
treatment in maternity services is recommended.
PMID- 27865586
TI - Erythropoietin induces production of hepatocyte growth factor from bone marrow
mesenchymal stem cells in vitro.
AB - BACKGROUND: Hepatocyte Growth Factor (HGF) plays a pivotal role in hematopoiesis,
motility, growth and mobilization of hematopoietic stem/progenitor cells (HSPCs).
HGF mainly is produced by bone marrow mesenchymal stem cells (BM-MSCs). MSCs
express erythropoietin (EPO) receptor. In this study, we aimed to assess the
effect of EPO on HGF secretion in BM-MSCs. METHODS: The BM-MSCs treated with EPO
(4 IU/ml) for 6, 24 and 48 h. HGF gene expression and protein level were assessed
using quantitative real time PCR (qRT-PCR) and Enzyme-linked immunosorbant Assay.
In order to show the effect of secreted HGF on migration of HSPCs, hematopoietic
stem cells (HSCs) were isolated from cord blood and evaluated using transwell
migration assay. RESULTS: We observed a significant increase in level of HGF in
cell supernatant after 48 h compared to control group (P < 0.05). Also, qRT-PCR
results demonstrated a significant elevation in HGF expression level after 24 and
48 h treatment with EPO compared to control group (P < 0.05). Finally, migration
assay results showed a significant increase in migration of HSCs in treated group
after 48 h. CONCLUSION: Our data indicated that EPO may play an important role in
stem cell mobilization through up regulating HGF in MSCs and inducing migration
of HSCs.
PMID- 27865587
TI - [A new history...].
PMID- 27865589
TI - Discussion.
PMID- 27865588
TI - Pediatric patients with pheochromocytoma and paraganglioma should have routine
preoperative genetic testing for common susceptibility genes in addition to
imaging to detect extra-adrenal and metastatic tumors.
AB - BACKGROUND: Pediatric pheochromocytomas and paragangliomas are rare with limited
data on the optimal management approach. The aim of this study was to determine
the role of genetic testing and imaging to detect extra-adrenal and/or metastatic
tumors in pediatric pheochromocytomas and paragangliomas. METHODS: We performed a
retrospective study of 55 patients diagnosed at <=21 years of age with
pheochromocytomas and paragangliomas with analysis of data on genetic testing and
multimodal imaging. RESULTS: Eighty percent of patients (n = 44/55) had a
germline mutation. The majority were found to have either VHL (38%) or SDHB (25%)
mutation. Pheochromocytoma was present in 67% (n = 37/55) of patients and was
bilateral in 51% (n = 19/37). The majority of patients with bilateral
pheochromocytomas had VHL (79%). Abdominal paragangliomas was present in 22% (n =
12/55), head and neck paragangliomas in 11% (n = 6/55), and thoracic
paragangliomas in 2 of 55 patients. For paragangliomas, SDHx accounted for 72% (n
= 13/18) of mutations. The rate of malignancy was 16% (n = 9/55), 56% of whom had
SDHB mutations. In two-thirds of patients, functional imaging identified either
extra-adrenal paragangliomas and/or metastatic disease. CONCLUSION: The majority
of pediatric patients with pheochromocytomas and paragangliomas have detectable
germline mutations. Therefore, we suggest strongly that all pediatric patients
with pheochromocytomas and paragangliomas undergo genetic testing and imaging to
detect extra-adrenal paragangliomas and metastatic disease to guide treatment and
follow-up.
PMID- 27865590
TI - Sphincter of Oddi botulinum toxin injection to prevent pancreatic fistula after
distal pancreatectomy.
AB - BACKGROUND: Postoperative pancreatic fistula represents the most important
complication after distal pancreatectomy. The aim of this study was to evaluate
the use of a preoperative endoscopic injection of botulinum toxin into the
sphincter of Oddi to prevent postoperative pancreatic fistula (German Clinical
Trials Register number: DRKS00007885). METHODS: This was an investigator
initiated, prospective clinical phase I/II trial with an exploratory study
design. We included patients who underwent preoperative endoscopic sphincter
botulinum toxin injection (100 units of Botox). End points were the feasibility,
safety, and postoperative outcomes, including postoperative pancreatic fistula
within 30 days after distal pancreatectomy. Botulinum toxin patients were
compared with a control collective of patients undergoing distal pancreatectomy
without botulinum toxin injection by case-control matching in a 1:1 ratio.
RESULTS: Between February 2015 and February 2016, 29 patients were included. All
patients underwent successful sphincter of Oddi botulinum toxin injection within
a median of 6 (range 0-10) days before operation. One patient had an
asymptomatic, self-limiting (48 hours) increase in serum amylase and lipase after
injection. Distal pancreatectomy was performed in 24/29 patients; 5 patients were
not resectable. Of the patients receiving botulinum toxin, 7 (29%) had increased
amylase levels in drainage fluid on postoperative day 3 (the International Study
Group of Pancreatic Surgery definition of postoperative pancreatic fistula grade
A) without symptoms or need for reintervention. Importantly, no clinically
relevant fistulas (International Study Group of Pancreatic Surgery grades B/C)
were observed in botulinum toxin patients compared to 33% postoperative
pancreatic fistula grade B/C in case-control patients (P < .004). CONCLUSION:
Preoperative sphincter of Oddi botulinum toxin injection is a novel and safe
approach to decrease the incidence of clinically relevant postoperative
pancreatic fistula after distal pancreatectomy. The results of the present trial
suggest its efficacy in the prevention of clinically relevant postoperative
pancreatic fistula and are validated currently in the German Federal Government
sponsored, multicenter, randomized controlled PREBOT trial.
PMID- 27865591
TI - Outcome of adrenalectomy for subclinical hypercortisolism and Cushing syndrome.
AB - BACKGROUND: We compared operative and metabolic outcomes in patients with
subclinical Cushing syndrome and Cushing syndrome caused by unilateral adrenal
lesion, aiming to clarify the role of glucocorticoid replacement treatment in
patients with subclinical Cushing syndrome after adrenalectomy. METHODS: The
medical records of all the patients who underwent unilateral adrenalectomy for
subclinical Cushing syndrome or Cushing syndrome were reviewed. Diagnostic
criteria for subclinical Cushing syndrome were a pathologic dexamethasone
suppression test plus 2 additional criteria. RESULTS: Twenty-nine patients with
subclinical Cushing syndrome and 50 with Cushing syndrome were identified. No
significant difference was found between patients with subclinical Cushing
syndrome and Cushing syndrome regarding lesion size, operative time, and hospital
stay. Two patients out of 29 with subclinical Cushing syndrome and 3 out of 50
patients with Cushing syndrome experienced Clavien-Dindo grade II complications
(P = .87). All the patients required postoperative glucocorticoid replacement
that was discontinued within 6 months in 28 of the 29 patients with subclinical
Cushing syndrome and in 3 out of 50 Cushing syndrome patients (P < .005). At long
term follow-up, adrenalectomy significantly improved hypertension and diabetes in
affected patients, with no differences between subclinical Cushing syndrome and
Cushing syndrome. Hypercortisolism was resolved in all the cases. CONCLUSION:
Operative and metabolic outcomes of adrenalectomy are similar in subclinical
Cushing syndrome and Cushing syndrome. Postoperative glucocorticoid replacement
treatment is advisable in all patients with subclinical Cushing syndrome.
Prolonged adrenal insufficiency is more frequent in Cushing syndrome patients.
PMID- 27865594
TI - Editorial: The role of intraoperative physiologic data in contemporary thyroid
surgery.
PMID- 27865593
TI - Identification of novel therapeutic targets in anaplastic thyroid carcinoma using
functional genomic mRNA-profiling: Paving the way for new avenues?
AB - BACKGROUND: Currently, anaplastic thyroid carcinoma has a very poor prognosis and
there is an unmet need for new therapeutic options. Therefore, this study aims to
identify upregulated genes in anaplastic thyroid carcinoma with known drug
interactions that could serve as new therapeutic targets. METHODS: Publicly
available microarray expression profiles of anaplastic thyroid carcinoma and
normal thyroid tissue were collected. FGmRNA-profiling was applied, which is a
recently developed method that enhances the ability to capture the downstream
effects of genomic alterations on gene expression levels. Next, a comparison
between FGmRNA-profiles of anaplastic thyroid carcinoma and normal thyroid
samples was performed. Significantly upregulated genes in ATC were prioritized
based on: 1) known interaction with antineoplastic drugs, 2) current drug
development status in human, and 3) association with biologic pathways known to
be involved in anaplastic thyroid carcinoma carcinogenesis. RESULTS: In the
study, 25 anaplastic thyroid carcinoma and 80 normal thyroid samples were
included for FGmRNA-profiling. Class comparison identified 301 significantly
upregulated genes. Following prioritization, MTOR, MET, WEE1, PSMD1, MERTK,
FGFR3, RARG, and ESR2 were identified as potential therapeutic targets.
CONCLUSION: We prioritized 8 potential therapeutic druggable targets in
anaplastic thyroid carcinoma. Ultimately, inhibition of these therapeutic targets
might improve patient outcome in anaplastic thyroid carcinoma by reducing
locoregional disease and distant metastases.
PMID- 27865592
TI - Parathyroidectomy for primary hyperparathyroidism improves sleep quality: A
prospective study.
AB - BACKGROUND: This prospective survey study assessed changes in sleep quality in
patients with primary hyperparathyroidism after parathyroidectomy. METHODS:
Patients undergoing parathyroidectomy for primary hyperparathyroidism (n = 110)
or thyroidectomy for benign euthyroid disease (control group; n = 45) were
recruited between June 2013 and June 2015 and completed the Pittsburgh Sleep
Quality Index preoperatively and at 1- and 6 months postoperatively. "Poor" sleep
quality was defined as a score >5; a clinically important and relevant
improvement was a >=3-point decrease. RESULTS: Preoperatively, parathyroid
patients had worse sleep quality than thyroid patients (mean 8.1 vs 5.3; P <
.001); 76 (69%) parathyroid and 23 (51%) thyroid patients reported poor sleep
quality (P = .03). Postoperatively, only parathyroid patients demonstrated
improvement in sleep quality; mean scores did not differ between the parathyroid
and thyroid groups at 1 month (6.3 vs 5.3; P = .12) or 6 months (5.8 vs 4.6; P =
.11). The proportion of patients with a clinically important improvement in sleep
quality was greater in the parathyroid group at 1 month (37% vs 10%; P < .001)
and 6 months (40% vs 17%; P = .01). Importantly, there was no difference in the
proportion of patients with poor sleep quality between the 2 groups at 1 month
(50% vs 40%; P = .32) and 6 months (40% vs 29%; P = .22). CONCLUSION: More than
two-thirds of patients with primary hyperparathyroidism report poor sleep
quality. After parathyroidectomy, over one-third experienced improvement,
typically within the first month postoperatively.
PMID- 27865595
TI - Of mice and men(in) and what I have learned from both.
PMID- 27865596
TI - Discussion.
PMID- 27865597
TI - Expert consensus of general surgery residents' proficiency with common endocrine
operations.
AB - BACKGROUND: Proficiency with common endocrine operations is expected of
graduating, general surgery residents. However, no expert consensus guidelines
exist about these expectations. METHODS: Members of the American Association of
Endocrine Surgeons were surveyed about their opinions on resident proficiency
with common endocrine operations. RESULTS: Overall response rate was 38%. A total
of 92% of the respondents operate with residents. On average, they believed that
the steps of a total thyroidectomy for benign disease and a well-localized
parathyroidectomy could be performed by a postgraduate year 4 surgery resident.
Specific steps that they thought might require more training included decisions
to divide the strap muscles or leaving a drain. Approximately 66% of respondents
thought that a postgraduate year 5 surgery resident could independently perform a
total thyroidectomy for benign disease, but only 45% felt similarly for malignant
thyroid disease; 79% thought that a postgraduate year 5 surgery resident could
independently perform a parathyroidectomy. Respondents' years of experience
correlated with their opinions about resident autonomy for total thyroidectomy
(benign r = 0.38, P < .001; malignant r = 0.29, P = .001) but not
parathyroidectomy. On multivariate analysis, sex and years of experience of the
respondents were independently associated with opinions on autonomy but only for
total thyroidectomy for benign disease (P = .001). Annual endocrine volume of the
respondents did not correlate with beliefs in autonomy. CONCLUSION: There was
general agreement among responding members of the AAES about resident proficiency
and autonomy with common endocrine operations. As postgraduate year 5 residents
may not be proficient in advanced endocrine operations, opportunities exist to
improve training prior to the transition to independent practice for graduates
that anticipate performing endocrine operations routinely.
PMID- 27865598
TI - Suppression of cytochrome P450 4B1: An early event in adrenocortical
tumorigenesis.
AB - BACKGROUND: Adrenocortical carcinoma is a rare neoplasm with a poor prognosis.
Conversely, adrenocortical adenomas are common and benign. Despite their shared
histologic origin, little evidence exists to suggest that adrenocortical adenoma
arises from adrenocortical carcinoma. Recent genetic analyses of adrenocortical
carcinoma have shown recurrent gene copy deletion of CYP4B1, a cytochrome P450
isozyme. This study investigates a potential role for CYP4B1 in modulating
adrenocortical tumorigenesis and/or conferring chemoresistance to adrenocortical
carcinomas. METHODS: Using TaqMan, real-time quantitative polymerase chain
reaction techniques, we investigated CYP4B1 expression in normal adrenal cortex
(n = 10), histologically confirmed adrenocortical adenomas (n = 10), and
adrenocortical carcinomas (n = 10). Adrenocortical carcinoma cell lines were
enforced to express CYP4B1, and effects on cell death and enhanced mitotane and
cisplatin sensitivity were tested. RESULTS: Gene expression analyses demonstrated
suppression of CYP4B1 in 100% of both the adrenocortical adenomas (10/10) and
adrenocortical carcinomas (10/10) tested. Average relative expression of CYP4B1
was decreased at 0.19 (0.01-0.50; P < .01) in adrenocortical adenomas and nearly
absent in adrenocortical carcinomas (0.01; 0.00-0.05; P < .01). Protein
expression correlated with mRNA expression. Ectopic expression of CYP4B1 promoted
cytotoxicity and increased chemosensitivity in adrenocortical carcinoma cell
lines. CONCLUSION: CYP4B1 is silenced in both benign and malignant adrenocortical
tumors and may contribute to tumorigenesis and chemoresistance. Sensitization of
adrenocortical carcinoma cells engineered to overexpress CYP4B1 further supports
this notion.
PMID- 27865600
TI - Discussion.
PMID- 27865599
TI - Discussion.
PMID- 27865601
TI - Discussion.
PMID- 27865603
TI - Discussion.
PMID- 27865602
TI - Evolution and impact of lymph node dissection during pancreaticoduodenectomy for
pancreatic cancer.
AB - BACKGROUND: Insufficient examination of lymph nodes after pancreaticoduodenectomy
can lead some pancreatic cancer patients with N1 disease to be misclassified as
N0. We examined trends in lymph node dissection throughout time and investigated
how these changes affect lymph node status and its prognostic value. METHODS: The
National Cancer Data Base was queried for patients with nonmetastatic pancreatic
adenocarcinoma (2004-2013) who underwent classic pancreaticoduodenectomy with
antrectomy. Logistic regression was performed for odds of node positivity. Kaplan
Meier curves and Cox proportional hazards models were used to assess the impact
of lymph node status on overall survival for patients diagnosed during 2-year
intervals from 2004-2012. RESULTS: Median number of examined lymph nodes was 10
(interquartile range 6-15) in 2004 vs 17 (interquartile range 12-24) in 2013.
Number of lymph nodes examined was a significant predictor of N1 disease (P <
.0001), with a plateau at 30 nodes. N1 disease increased from 64.4% to 68.0% (P <
.0001). Survival for both N1 and N0 subgroups improved. In successive
multivariate models, N0 versus N1 status was consistently protective for overall
survival (P < .0001), but there was no change in the magnitude of its hazard
ratio over time (overall hazard ratio 0.691; 95% confidence interval 0.660
0.723). CONCLUSION: Contemporary patients have an adequate number of nodes
examined during standard pancreaticoduodenectomy. This, along with rising rates
of N1 cancer detection and improved survival for both node-positive and node
negative patients, suggest more accurate classification of lymph node status.
However, no increased benefit is achieved beyond 30 nodes. Overall, lymph node
status remains a strong prognosticator for overall survival.
PMID- 27865604
TI - Shorter lives in stingier states: Social policy shortcomings help explain the US
mortality disadvantage.
AB - The United States has a mortality disadvantage relative to its political and
economic peer group of other rich democracies. Recently it has been suggested
that there could be a role for social policy in explaining this disadvantage. In
this paper, we test this "social policy hypothesis" by presenting a time-series
cross-section analysis from 1970 to 2010 of the association between welfare state
generosity (for unemployment insurance, sickness benefits, and pensions) and life
expectancy, for the US and 17 other high-income countries. Fixed-effects
estimation with autocorrelation-corrected standard errors (robust to unmeasured
between-country differences and serial autocorrelation of repeated measures)
found strong associations between welfare generosity and life expectancy. A unit
increase in overall welfare generosity yields a 0.17 year increase in life
expectancy at birth (p < 0.001), and a 0.07 year increase in life expectancy at
age 65 (p < 0.001). The strongest effects of the welfare state are in the domain
of pension benefits (b = 0.439 for life expectancy at birth, p < 0.001; b = 0.199
for life expectancy at age 65, p < 0.001). Models that lag the measures of social
policy by ten years produce similar results, suggesting that the results are not
driven by endogeneity bias. There is evidence that the US mortality disadvantage
is, in part, a welfare-state disadvantage. We estimate that life expectancy in
the US would be approximately 3.77 years longer, if it had just the average
social policy generosity of the other 17 OECD nations.
PMID- 27865605
TI - Population pharmacokinetic model of lithium and drug compliance assessment.
AB - Population pharmacokinetic analysis of lithium during therapeutic drug monitoring
and drug compliance assessment was performed in 54 patients and 246 plasma
concentrations levels were included in this study. Patients received several
treatment cycles (1-9) and one plasma concentration measurement for each patient
was obtained always before starting next cycle (pre-dose) at steady state. Data
were analysed using the population approach with NONMEM version 7.2. Lithium
measurements were described using a two-compartment model (CL/F=0.41Lh-1,
V1/F=15.3L, Q/F=0.61Lh-1, and V2/F = 15.8L) and the most significant covariate on
lithium CL was found to be creatinine clearance (reference model). Lithium
compliance was analysed using inter-occasion variability or Markovian features
(previous lithium measurement as ordered categorical covariate) on
bioavailability parameter. Markov-type model predicted the lithium compliance in
the next cycle with higher success rate (79.8%) compared to IOV model (65.2%) and
reference model (43.2%). This model becomes an efficient tool, not only being
able to adequately describe the observed outcome, but also to predict the
individual drug compliance in the next cycle. Therefore, Bipolar disorder
patients can be classified regarding their probability to become extensive or
poor compliers in the next cycle and then, individual probabilities lower than
0.5 highlight the need of intensive monitoring, as well as other pharmaceutical
care measurements that might be applied to enhance drug compliance for a better
and safer lithium treatment.
PMID- 27865606
TI - Arsenic contamination in agricultural soils of Bengal deltaic region of West
Bengal and its higher assimilation in monsoon rice.
AB - In the Bengal deltaic region, the shallow groundwater laced with arsenic is used
for irrigation frequently and has elevated the soil arsenic in agricultural soil.
However, the areas with seasonal flooding reduce arsenic in top layers of the
soils. Study shows arsenic accumulation in the deeper soil layers with time in
the contaminated agricultural soil (19.40+/-0.38mg/kg in 0-5cm, 27.17+/-0.44mg/kg
in 5-10cm and 41.24+/-0.48mg/kg in 10-15cm) in 2013 whereas depletion in 2014 and
its buildup in different parts of monsoon rice plant in Nadia, India. Principal
Component Analysis and Cluster Analysis were performed, and Enrichment Factor was
calculated to identify the sources of arsenic in the soil. Potential Ecological
Risk was also calculated to estimate the extent of risk posed by arsenic in soil,
along with the potential risk of dietary arsenic exposure. Remarkably, the
concentration of arsenic detected in the rice grain showed average value of
1.4mg/kg in 2013 which has increased to 1.6 in 2014, both being above the
permissible limit (1mg/kg). These results indicate that monsoon flooding enhances
the infiltration of arsenic in the deeper soil layer, which lead to further
contamination of shallow groundwater.
PMID- 27865608
TI - Benefit in long-term response and mortality of treatment with intravenous
immunoglobulin prior to plasmapheresis in peripheral polyneuropathies.
AB - OBJECTIVES: The benefits of plasmapheresis (PA) for neurologic autoimmune
diseases have been widely demonstrated. Little is known about the long-term
neurologic prognosis and course after PA and immunosuppressive (IS) and/or
intravenous immunoglobulin (IVIG) treatment. We aimed to analyse features
associated with short-term response and long-term outcome and prognosis
(neurologic status and mortality) of peripheral polyneuropathy (PP) and central
nervous system acute inflammatory disease (CNSAID) treated with PA. PATIENTS AND
METHODS: A descriptive, retrospective single-centre study from January 2005 to
December 2012. RESULTS: There were 26 episodes, which included 16 CNSAID and 10
PP cases. First line therapy included PA (n=4), IS drugs (n=15), and IVIG (n=7).
Responses were achieved in 80% and 50% of PP and CNSAID cases, respectively. For
PP, first line treatment with IVIG and no IS treatment prior to or during PA were
variables associated with short-term response (P=0.067), good or stable
neurologic status at the end of follow-up (P=0.008), and lower mortality rate
(P=0.008). For CNSAID, initial EDSS score>=7 (P=0.019) was related to long-term
good or stable neurologic status. During the study period, 177 sessions were
conducted; 3.4% had technical complications and 8.5% clinical complications.
However, these incidents were all minor and no PA session had to be discontinued.
CONCLUSION: The response rates achieved in our patients were similar to those of
other research. PA has a safe profile but double-blind, controlled studies are
needed to evaluate the synergy of sequential treatment with IGIV followed by PA
and the possible benefit for long-term outcome.
PMID- 27865609
TI - Recent Advances in Lipid-Based Vesicles and Particulate Carriers for Topical and
Transdermal Application.
AB - In the recent decade, skin delivery (topical and transdermal) has gained an
unprecedented popularity, especially due to increased incidences of chronic skin
diseases, demand for targeted and patient compliant delivery, and interest in
life cycle management strategies among pharmaceutical companies. Literature
review of recent publications indicates that among various skin delivery systems,
lipid-based delivery systems (vesicular carriers and lipid particulate systems)
have been the most successful. Vesicular carriers consist of liposomes,
ultradeformable liposomes, and ethosomes, while lipid particulate systems consist
of lipospheres, solid lipid nanoparticles, and nanostructured lipid carriers.
These systems can increase the skin drug transport by improving drug
solubilization in the formulation, drug partitioning into the skin, and
fluidizing skin lipids. Considering that lipid-based delivery systems are
regarded as safe and efficient, they are proving to be an attractive delivery
strategy for the pharmaceutical as well as cosmeceutical drug substances.
However, development of these delivery systems requires comprehensive
understanding of physicochemical characteristics of drug and delivery carriers,
formulation and process variables, mechanism of skin delivery, recent
technological advancements, specific limitations, and regulatory considerations.
Therefore, this review article encompasses recent research advances addressing
the aforementioned issues.
PMID- 27865610
TI - Assessment of Bioequivalence of Weak Base Formulations Under Various Dosing
Conditions Using Physiologically Based Pharmacokinetic Simulations in Virtual
Populations. Case Examples: Ketoconazole and Posaconazole.
AB - Postabsorptive factors which can affect systemic drug exposure are assumed to be
dependent on the active pharmaceutical ingredient (API), and thus independent of
formulation. In contrast, preabsorptive factors, for example, hypochlorhydria,
might affect systemic exposure in both an API and a formulation-dependent way.
The aim of this study was to evaluate whether the oral absorption of 2 poorly
soluble, weakly basic APIs, ketoconazole (KETO) and posaconazole (POSA), would be
equally sensitive to changes in dissolution rate under the following dosing
conditions-coadministration with water, with food, with carbonated drinks, and in
drug-induced hypochlorhydria. The systems-components of validated absorption and
PBPK models for KETO and POSA were modified to simulate the above-mentioned
clinical scenarios. Virtual bioequivalence studies were then carried out to
investigate whether formulation effects on the plasma profile vary with the
dosing conditions. The slow precipitation of KETO upon reaching the upper part of
the small intestine renders its absorption more sensitive to the completeness of
gastric dissolution and thus to the gastric environment than POSA, which is
subject to extensive precipitation in response to a pH shift. The virtual
bioequivalence studies showed that hypothetical test and reference formulations
containing KETO would be bioequivalent only if the microenvironment in the
stomach enables complete gastric dissolution. We conclude that physiologically
based pharmacokinetic modeling and simulation has excellent potential to address
issues close to bedside such as optimizing dosing conditions. By studying virtual
populations adapted to various clinical situations, clinical strategies to reduce
therapeutic failures can be identified.
PMID- 27865607
TI - DNA modifications in models of alcohol use disorders.
AB - Chronic alcohol use and abuse result in widespread changes to gene expression,
some of which contribute to the development of alcohol-use disorders (AUD). Gene
expression is controlled, in part, by a group of regulatory systems often
referred to as epigenetic factors, which includes, among other mechanisms,
chemical marks made on the histone proteins around which genomic DNA is wound to
form chromatin, and on nucleotides of the DNA itself. In particular, alcohol has
been shown to perturb the epigenetic machinery, leading to changes in gene
expression and cellular functions characteristic of AUD and, ultimately, to
altered behavior. DNA modifications in particular are seeing increasing research
in the context of alcohol use and abuse. To date, studies of DNA modifications in
AUD have primarily looked at global methylation profiles in human brain and
blood, gene-specific methylation profiles in animal models, methylation changes
associated with prenatal ethanol exposure, and the potential therapeutic
abilities of DNA methyltransferase inhibitors. Future studies may be aimed at
identifying changes to more recently discovered DNA modifications, utilizing new
methods to discriminate methylation profiles between cell types, thus clarifying
how alcohol influences the methylomes of cell-type populations and how this may
affect downstream processes. These studies and more in-depth probing of DNA
methylation will be key to determining whether DNA-level epigenetic regulation
plays a causative role in AUD and can thus be targeted for treatment of the
disorder.
PMID- 27865612
TI - Changes in intestinal immunity, gut microbiota, and expression of energy
metabolism-related genes explain adenoma growth in bilberry and cloudberry-fed
ApcMin mice.
AB - We showed previously that ellagitannin-rich cloudberries and anthocyanin-rich
bilberries reduce the number of intestinal adenomas in multiple intestinal
neoplasia/+ (ApcMin) mice. We also found that cloudberries decreased the size of
adenomas, whereas bilberries increased it. Here we hypothesized that the
difference in adenoma growth could be explained by dissimilar effects of the
berries on intestinal immune responses and gut microbiota, potentially driven by
the distinct polyphenol compositions of the 2 berries. Our objectives were to
investigate lymphocyte subtypes and the predominant cecal bacterial diversity in
mice fed with bilberries and cloudberries, and to analyze global gene expression
profiles in the intestinal mucosa. Immunostainings of CD3+ T lymphocytes, FoxP3+
regulatory T lymphocytes, and CD45R+ B lymphocytes revealed a smaller ratio of
intraepithelial to all mucosal CD3+ T lymphocytes in the cloudberry-fed mice
compared with controls, suggesting an attenuation of inflammation. Bilberry
feeding induced no changes in the density of any of the lymphocyte subtypes. The
predominant bacterial diversity in cecal contents, analyzed using polymerase
chain reaction-denaturating gradient gel electrophoresis, was higher in the
bilberry group than in the control or cloudberry groups. The microbial profiles
of cloudberry-fed mice clustered together and were associated with small adenoma
size. Pathway analyses of gene expression data showed that cloudberry down
regulated and bilberry up-regulated the expression of energy metabolism-related
genes in the intestinal mucosa. In conclusion, attenuation of intestinal
inflammation, changes in microbial profiles, and down-regulation of mucosal
energy metabolism may account for the smaller adenoma size in cloudberry-fed mice
in comparison to bilberry-fed mice.
PMID- 27865611
TI - Treatment of osteonecrosis of the femoral head with free vascularized fibular
grafting: Results of 7.6-year follow-up.
AB - OBJECTIVES: The aim of this study was to determine long term follow up of the
patients who had femoral head osteonecrosis and had been treated with free
vascularized fibular grafting. PATIENTS AND METHODS: We retrospectively reviewed
28 hips of 21 patients who had undergone free vascularized fibular grafting for
the treatment of osteonecrosis of femoral head. There were 16 male and 5 female
patients. The mean age of the patients at the time of surgery was 30.7 years
(between 15 and 53 years). The mean follow-up time was 7.6 years (between 5 years
and 9.2 years). RESULTS: During follow-up, one patient died because of leukemia,
and one patient was lost. The remaining 26 hips of 19 patients were evaluated.
According to the Ficat classification, at the time of surgery, 17 hips were in
grade 2 and 9 hips were in grade 3. The post-operative Harris hip scores in grade
II disease were excellent in 12 patients, good in 3 patients, and fair in 1
patient. In grade III disease, 1 patient was excellent, 5 patients were good, and
1 patient was fair. There was a significant increase in HHS scores (61 +/- 9.7 vs
84 +/- 17.8, p < 0.001). CONCLUSION: Free vascularized fibular grafting yields
extremely good results, particularly in pre-collapse stages of disease in young
patients. The operation time does not mark increased if the surgical team is
"familiar" with the procedure, and the residual fibular defect of the donor site
does not impair the functions of daily living. LEVEL OF EVIDENCE: Level IV,
Therapeutic study.
PMID- 27865614
TI - Plasma eicosapentaenoic acid is negatively associated with all-cause mortality
among men and women in a population-based prospective study.
AB - Omega-3 polyunsaturated fatty acids (PUFAs) have anti-inflammatory properties,
whereas omega-6 PUFAs appear to have proinflammatory properties. We aimed to
assess plasma omega-3 and omega-6 PUFA status in relation to all-cause mortality
in an Australian community-based study. We hypothesized that omega-3 PUFA would
be inversely associated, and omega-6 PUFA positively associated with all-cause
mortality. Plasma phospholipid omega-3 (eicosapentaenoic acid [EPA],
docosapentaenoic acid [DPA], docosahexaenoic acid, alpha-linolenic acid, and
total) and omega-6 PUFAs (linoleic acid, arachidonic acid, and total) were
measured among 1008 adults (44% men) in 1996. Plasma PUFA composition was
quantified using gas chromatography. During 17-year follow-up, 98 men and 81
women died. After adjustment for potential confounding factors, plasma EPA was
inversely associated with all-cause mortality overall (adjusted hazard ratio [HR]
per 1-SD increase, 0.81; 95% confidence interval [CI], 0.68-0.95), in men (HR,
0.78; 95% CI, 0.62-0.98), and in women (HR, 0.78; 95% CI, 0.65-0.94), separately.
Inverse associations with mortality among men were also seen for DPA (HR, 0.76;
95% CI, 0.60-0.97) and alpha-linolenic acid (HR, 0.73; 95% CI, 0.57-0.94). No
omega-6 PUFAs were significantly associated with mortality. Our findings of
reduced all-cause mortality in men and women who have high EPA in plasma, and in
men with high plasma DPA and alpha-linolenic acid, partially support our
hypothesis that omega-3 PUFAs help reduce mortality but provide no evidence that
omega-6 PUFAs may increase mortality.
PMID- 27865613
TI - Hyperglycemia, oxidative stress, liver damage and dysfunction in alloxan-induced
diabetic rat are prevented by Spirulina supplementation.
AB - Medicinal plants have long been used against life-threatening diseases including
diabetes, with more or less success. Some of these plants have been shown to
possess antioxidant activities, which could help improving diabetes
inconveniences. In that context, we investigated the effects of spirulina
supplementation on alloxan-induced diabetic rats, hypothesizing that co
administration of spirulina with rat diet could ameliorate diabetes complications
and provide as benefits as the common antidiabetic insulin. Following alloxan
treatment, male Wistar rats were fed daily with 5% spirulina-enriched diet or
treated with insulin (0.5 IU/rat) for 21 days. Both spirulina and insulin
treatments of diabetic rats resulted in a significant reduction in fasting blood
glucose and an increase of glycogen level. Spirulina supplementation also impeded
loss of body weight and ameliorated hepatic toxicity indices, i.e. alkaline
phosphatases and transaminases activities, bilirubin levels and lipid
peroxidation. Besides, triglycerides, total cholesterol, and low-density
lipoprotein cholesterol levels decreased in the serum. Moreover, diabetic rats
fed with spirulina exhibited sig changes in antioxidant enzyme activities in the
liver (ie, decrease in superoxide dismutase and increase in catalase and
glutathione peroxidase activities). The beneficial effects of spirulina or
insulin were confirmed by histological study of the liver of diabetic rats.
Overall, this study indicates that treatment with spirulina decreased
hyperglycemia and oxidative stress in diabetic rats, this amelioration being even
more pronounced than that provided by insulin injection. Therefore,
administration of this alga would be very helpful in the prevention of diabetic
complications.
PMID- 27865616
TI - Phenylpropanoids from cinnamon bark reduced beta-amyloid production by the
inhibition of beta-secretase in Chinese hamster ovarian cells stably expressing
amyloid precursor protein.
AB - beta-Amyloid (Abeta) is a substance of Alzheimer disease (AD), which is generated
via the amyloidogenic pathway from amyloid precursor protein (APP) by beta
secretase and gamma-secretase. Inhibition of Abeta production is a potential
therapeutic approach to AD. Thus, we tested the hypothesis that cinnamon bark
(Cinnamomi Cortex Spissus), the dried bark of Cinnamomum cassia Blume
(Lauraceae), and its constituents are beneficial to AD. The methanol extract of
cinnamon bark efficiently reduced Abeta40 production in Chinese hamster ovarian
(CHO) cells stably expressing APP as determined by enzyme-linked immunosorbent
assay. Bioassay-guided isolation of cinnamon bark extract was carried out using
open column chromatography and high-performance liquid chromatography, and the
following 6 phenylpropanoids were isolated: syringaresinol (1); medioresinol (2);
coumarin (3); 2-hydroxycinnamaldehyde (4); cryptamygin A (5); and 3',5,7
trimethoxy epicatechin (6). Among these, 4 MUg/mL medioresinol and cryptamygin A
reduced Abeta40 production by 50% and 60%, respectively, compared with dimethyl
sulfoxide-treated control cells. The IC50 values of medioresinol and cryptamygin
A for the inhibition of Abeta40 production were 10.8 and 8.2 MUg/mL,
respectively. Furthermore, treatment of APP-CHO cells with either compound
decreased the amount of beta-secretase and sAPPbeta (the proteolytic fragment of
APP catalyzed by beta-secretase). These results suggest that the
antiamyloidogenic activity of cinnamon bark extract was exerted by medioresinol
and cryptamygin A via a reduction in the amount of beta-secretase. The extract of
cinnamon bark contains potentially valuable antiamyloidogenic agents for the
prevention and treatment of AD.
PMID- 27865617
TI - Panaxatriol derived from ginseng augments resistance exercised-induced protein
synthesis via mTORC1 signaling in rat skeletal muscle.
AB - Resistance exercise activates muscle protein synthesis via the mammalian target
of rapamycin complex 1 (mTORC1) pathway and subsequent muscle hypertrophy.
Upstream components of the mTORC1 pathway are widely known to be involved in Akt
and extracellular signal-regulated kinase 1/2 (ERK1/2) signaling. Previous
studies have shown that ginseng stimulated Akt and ERK1/2 signaling. Therefore,
we hypothesized that panaxatriol (PT) derived from ginseng triggers mTORC1
signaling and muscle protein synthesis by activating both the Akt and ERK1/2
signaling pathways, and that PT additively stimulates muscle protein synthesis
when combined with resistance exercise. The study included male Sprague-Dawley
rats. The legs of the rats were divided into control, PT-only, exercise-only, and
exercise + PT groups. The right legs were subjected to isometric resistance
exercise using percutaneous electrical stimulation, whereas the left legs were
used as controls. PT (0.2 g/kg) was administered immediately after exercise. The
Akt and ERK1/2 phosphorylation levels were significantly higher in the exercise +
PT group than in the exercise-only group 0.5 hour after exercise. The
phosphorylation of p70S6K was significantly increased at both 0.5 and 3 hours
after exercise, and it was higher in the exercise + PT group than in the exercise
only group at both 0.5 and 3 hours after exercise. Muscle protein synthesis was
significantly increased 3 hours after exercise, and it was higher in the exercise
+ PT group than in the exercise-only group 3 hours after exercise. Our results
suggest that PT derived from ginseng enhances resistance exercise-induced protein
synthesis via mTORC1 signaling in rat skeletal muscle.
PMID- 27865615
TI - Association between the dietary inflammatory index, waist-to-hip ratio and
metabolic syndrome.
AB - Inflammation due to poor diet may contribute to the development of metabolic
syndrome (MetSyn). The Dietary Inflammatory Index (DII) was created to
characterize diet on a scale from anti- to pro-inflammatory. Our hypothesis was
that higher (i.e., more pro-inflammatory) DII scores are associated with an
increased prevalence of MetSyn compared to those with lower (i.e., more anti
inflammatory) DII scores. Data from the Polish-Norwegian (PONS) Study were
analyzed using logistic and linear regression procedures in SAS (version 9.4).
Comparisons of interest were between the first and fourth DII quartiles; analyses
were stratified by sex. Mean waist-to-hip ratio (WHR) and diastolic blood
pressure were greater among those in DII quartile 4 compared to 1. No
statistically significantly increased MetSyn risks were observed for DII quartile
4 among men or women. Men in DII quartile 4 had elevated odds of fulfilling the
waist component of MetSyn (odds ratio=1.65, 95% confidence interval=1.01-2.69).
Although this study benefited from the DII and large sample sizes for both men
and women, its cross-sectional nature and use of self-reported data may limit
interpretation of results. Further work must be done in longitudinal studies to
understand whether pro-inflammatory diets are associated with an increased risk
of MetSyn, its components or other metabolic-related conditions. Additionally,
further examination of the DII in relation to body habitus will be needed to
understand the role of pro-inflammatory diets on anthropometrics, as observed in
this study.
PMID- 27865618
TI - Actinidia arguta supplementation protects aorta and liver in rats with induced
hypercholesterolemia.
AB - There are no published results focusing on the study of hardy kiwifruit as a
supplementation to the atherogenic diet. We hypothesized that hardy kiwifruit
(Actinidia arguta (A. arguta)) from Poland possess better pro-healthy action than
two Asian varieties (Hayward and Bidan). We tested this hypothesis by measuring
the metabolic reactions of rats loaded with 1% cholesterol and supplemented with
5% of hardy kiwifruit (A. arguta), Hayward, or Bidan in their diets. The
experiment was performed on 71 male Wistar rats. Cholesterol showed a significant
impact on the rise of liver somatic index, while lipid profile improved by
decreasing the levels of TC, LDL-C, TC/HDL-C, AI, TG, and increasing HDL-C in the
serum of rats (P<.05). Total plasma antioxidant capacity determined by ABTS,
FRAP, and DPPH assays was increased. ALP in rat serum was higher in groups
receiving cholesterol diets and kiwifruit. A decrease in fibrinogen as well as
prolonged prothrombin time and a reduction of the MPO in serum were estimated.
The smallest percentage of lesions in the aortic arch was in the ChGeneva,
ChWeiki, and ChAnna. Similarly, the smallest fatty liver disease was recorded in
the ChGeneva and ChAnna groups. The distribution of lipids in the liver from
these groups had a character of "mosaic," in hardy/mini kiwifruit (Jumbo),
Hayward, and Bidan was distributed uniformly. The longest villi were in ChWeiki,
and significantly lower in ChHayward and ChBidan. The present results support our
hypothesis that A. arguta showed better pro-health impacts in rats loaded with
cholesterol than Hayward and Bidan kiwifruit, and, for the first time, the
positive nutritional effects of supplemented A. arguta for hypercholesterolemia
are noted.
PMID- 27865619
TI - Inhibition on the growth of human MDA-MB-231 breast cancer cells in vitro and
tumor growth in a mouse xenograft model by Se-containing polysaccharides from
Pyracantha fortuneana.
AB - Breast cancer is the second cause of cancer-related death among Women. Current
therapies for breast cancer have adverse side-effects. Selenium (Se)-containing
polysaccharides have multiple health benefits to humans. Pyracantha fortuneana
(P. fortuneana) contains rich Se polysaccharides. We hypothesized that Se
containing polysaccharides from P. fortuneana possess anticancer activity on
breast cancer via inhibiting growth and inducing apoptosis. This study aimed to
assess the anticancer effect of Se-containing polysaccharides from P. fortuneana
and the underlying mechanisms. Se-containing polysaccharides were purified. Their
properties and monosaccharide compositions were analyzed. Their effects on cell
growth, expression of cycle proteins, apoptosis and apoptosis-related protein,
and tumor growth in mouse xenograft model were examined. This extract contained
93.7% (w/w) of carbohydrate, 2.1% (w/w) of uronic acid and 3.7MUg/g of Se, and
was considered as Se-conjugated polysaccharides (Se-PFPs). In vitro studies
showed that treatment of triple negative breast cancer (TNBC) MDA-MB-231 cells
with Se-PFPs (1) inhibited cell growth dose-dependently by arresting cells at G2
phase via inhibiting CDC25C-CyclinB1/CDC2 pathway; (2) caused apoptosis
associated with increased p53, Bax, Puma and Noxa, decreased Bcl2, increased
Bax/Bcl2 ratio and increased activities of caspases 3/9, suggesting its effect on
p53-mediated cytochrome c-caspase pathway. Treatment of nude mice bearing MDA-MB
231-derived xenograft tumors with Se-PFPs significantly reduced tumor growth
without altering body weight, confirming its antitumor activity without toxic
side effects. Se-PFPs enhanced doxorubicin cytotoxic effects. It is concluded
that Se-containing polysaccharides from P. fortuneana potently inhibit the growth
and induce apoptosis of TNBC cells and can be potential anticancer agent for
TNBC.
PMID- 27865621
TI - Menaquinone-4 (vitamin K2) up-regulates expression of human intestinal alkaline
phosphatase in Caco-2 cells.
AB - Alkaline phosphatase (ALP) hydrolyzes several monophosphate esters into inorganic
acid and alcohol. In humans, 4 kinds of ALP isozymes have been identified: tissue
nonspecific ALP, intestinal ALP, placental ALP, and germ cell ALP. Intestinal ALP
is expressed at a high concentration in the brush border membrane of intestinal
epithelial cells and is known to be affected by several kinds of nutrients, such
as lipids, but the physiological function of intestinal ALP has remained elusive.
Vitamin K is an essential cofactor for the posttranslational carboxylation of
glutamate residues into gamma-carboxy glutamate. Menaquinone-4 (MK-4) with 4
isoprene units, vitamin K2, has been shown to induce bone-type ALP activity and
osteoblastogenesis in human bone marrow cells. In this study, we investigated the
effects of MK-4 on the level of ALP activity and expression of ALP messenger RNA
in the human colon carcinoma cell line Caco-2, which is known to differentiate
into small intestinal epithelial cells in vitro. After treatment with MK-4, there
were significant increases in the ALP activities of Caco-2 cells. Inhibitor and
thermal inactivation experiments demonstrated that the increased ALP had
properties of intestinal-type ALP. Semiquantitative reverse transcription
polymerase chain reaction analysis revealed that expressions of human intestinal
ALP and sucrase-isomaltase, which are intestinal differentiation markers, were
highly enhanced in Caco-2 cells by MK-4. This is the first report concerning ALP
messenger RNA expression induced by vitamin K2 in Caco-2 cells. Further studies
on the physiological functions of human intestinal ALP will provide useful data
on the novel effects of vitamin K.
PMID- 27865620
TI - Consumption of chokeberry (Aronia mitschurinii) products modestly lowered blood
pressure and reduced low-grade inflammation in patients with mildly elevated
blood pressure.
AB - Previous studies suggest that consumption of chokeberries may improve
cardiovascular disease risk factor profiles. We hypothesized that chokeberries
(Aronia mitschurinii) have beneficial effects on blood pressure, low-grade
inflammation, serum lipids, serum glucose, and platelet aggregation in patients
with untreated mild hypertension. A total of 38 participants were enrolled into a
16-week single blinded crossover trial. The participants were randomized to use
cold-pressed 100% chokeberry juice (300 mL/d) and oven-dried chokeberry powder (3
g/d), or matched placebo products in random order for 8 weeks each with no
washout period. The daily portion of chokeberry products was prepared from
approximately 336 g of fresh chokeberries. Urinary excretion of various
polyphenols and their metabolites increased during the chokeberry period,
indicating good compliance. Chokeberries decreased daytime blood pressure and low
grade inflammation. The daytime ambulatory diastolic blood pressure decreased (
1.64 mm Hg, P = .02), and the true awake ambulatory systolic (-2.71 mm Hg, P =
.077) and diastolic (-1.62 mm Hg, P = .057) blood pressure tended to decrease.
The concentrations of interleukin (IL) 10 and tumor necrosis factor alpha
decreased (-1.9 pg/mL [P = .008] and -0.67 pg/mL [P = .007], respectively) and
tended to decrease for IL-4 and IL-5 (-4.5 pg/mL [P = .084] and -0.06 pg/mL [P =
.059], respectively). No changes in serum lipids, lipoproteins, glucose, and in
vitro platelet aggregation were noted with the chokeberry intervention. These
findings suggest that inclusion of chokeberry products in the diet of
participants with mildly elevated blood pressure has minor beneficial effects on
cardiovascular health.
PMID- 27865622
TI - Protecting from Envelope Stress: Variations on the Phage-Shock-Protein Theme.
AB - During envelope stress, critical inner-membrane functions are preserved by the
phage-shock-protein (Psp) system, a stress response that emerged from work with
Escherichia coli and other Gram-negative bacteria. Reciprocal regulatory
interactions and multiple effector functions are well documented in these
organisms. Searches for the Psp system across phyla reveal conservation of only
one protein, PspA. However, examination of Firmicutes and Actinobacteria reveals
that PspA orthologs associate with non-orthologous regulatory and effector
proteins retaining functions similar to those in Gram-negative counterparts.
Conservation across phyla emphasizes the long-standing importance of the Psp
system in prokaryotes, while inter- and intra-phyla variations within the system
indicate adaptation to different cell envelope structures, bacterial lifestyles,
and/or bacterial morphogenetic strategies.
PMID- 27865623
TI - Key role of an ADP - ribose - dependent transcriptional regulator of NAD
metabolism for fitness and virulence of Pseudomonas aeruginosa.
AB - NAD is an essential co-factor of redox reactions and metabolic conversions of NAD
dependent enzymes. NAD biosynthesis in the opportunistic pathogen Pseudomonas
aeruginosa has yet not been experimentally explored. The in silico search for
orthologs in the P. aeruginosa PAO1 genome identified the operon pncA - pncB1
nadE (PA4918-PA4920) to encode the nicotinamidase, nicotinate
phosporibosyltransferase and Nad synthase of salvage pathway I. The functional
role of the preceding genes PA4917 and PA4916 was resolved by the
characterization of recombinant protein. PA4917 turned out to encode the
nicotinate mononucleotide adenylyltransferase NadD2 and PA4916 was determined to
encode the transcriptional repressor NrtR that binds to an intergenic sequence
between nadD2 and pncA. Complex formation between the catalytically inactive
Nudix protein NrtR and its DNA binding site was suppressed by the antirepressor
ADP-ribose. NrtR plasposon mutagenesis abrogated virulence of P. aeruginosa
TBCF10839 in a murine acute airway infection model and constrained its metabolite
profile. When grown together with other isogenic plasposon mutants, the nrtR
knock-out was most compromised in competitive fitness to persist in nutrient-rich
medium in vitro or murine airways in vivo. This example demonstrates how tightly
metabolism and virulence can be intertwined by key elements of metabolic control.
PMID- 27865624
TI - W'ALK' Into the Next Stage.
AB - In 2007, the rearrangement of anaplastic lymphoma kinase (ALK) was identified to
be associated with the pathogenesis of a subset of patients with non-small-cell
lung cancer (NSCLC). Surprisingly, approximately 4 years after the discovery of
ALK rearrangement in lung cancer, the first-in-class ALK inhibitor (ALKi),
crizotinib, was approved for metastatic ALK-rearranged NSCLC by the US Food and
Drug Administration. Subsequently, next-generation ALKis, such as alectinib and
ceritinib, have been developed, and some of them have been applied in the
clinical setting. Furthermore, various resistance mechanisms against ALKis have
been gradually elucidated, and treatment strategies according to such resistance
have been proposed. In addition, novel ALKis exhibit good antitumor efficacy for
brain metastases. Thus, we now know much about ALK-rearranged NSCLC; however, is
it enough? Several concerns, such as the optimal sequence of ALKis, significance
of antiangiogenic therapy, immune checkpoint therapy, and cytotoxic chemotherapy
in ALK-rearranged NSCLC, should be clearly addressed, which would lead to the
establishment of optimal treatment strategies and a more prolonged survival in
patients with ALK rearrangement. Thus, we should w'ALK' into the next stage.
PMID- 27865625
TI - Second-Line Treatment Selection in Patients With Non-Small-Cell Lung Cancer of
Adenocarcinoma Histology: Findings From a European Survey of Treating Physicians.
AB - BACKGROUND: Guidelines provide treatment recommendations for advanced non-small
cell lung cancer (NSCLC), but physicians must also consider other factors. We
surveyed physicians treating NSCLC to determine their therapy goals, drivers of
treatment choice, current prescribing behavior, and therapy expectations.
MATERIALS AND METHODS: In 2015, an online survey was conducted of 500
pulmonologists/oncologists treating lung adenocarcinoma in Germany, France,
Italy, Spain, and the United Kingdom, comprising screening and therapy decision
questions. RESULTS: On average, physicians had 14.7 years of experience and
treated 79 patients/3 months with stage IIIb/IV NSCLC. In patients with Eastern
Cooperative Oncology Group (ECOG) 0-1, "prolonged survival/extending life" was
the main therapy goal of physicians for first- (63%) and second-line (40%)
patients; improvement in quality of life (QoL) was the main goal of 14% of
physicians for second-line patients. For patients with ECOG >=2, the main goal of
second-line therapy was improvement in QoL (26%) or tumor-related symptoms (23%).
Most (57%) physicians strongly agreed that they preferred a second-line treatment
that extends overall survival (OS) while maintaining QoL; their greatest
dissatisfaction with available second-line treatment options was the inability to
"stop tumor progression over the long term" (66%). Physicians expected new
therapies to become available within 12 months that would provide improvements in
progression-free survival (83%) or OS (69%). CONCLUSION: OS is important for
second-line treatments in patients with stage IIIb/IV NSCLC, although QoL
improvements should not be underestimated. This survey highlights the wait faced
by patients and physicians as treatments transition from clinical trials to
clinical practice.
PMID- 27865627
TI - Epidemiology of infections caused by polymyxin-resistant pathogens.
AB - Confronting the storm of carbapenemase-producing Gram-negative pathogens and thus
facing the threat of untreatable infections, the medical community revived
colistin. Not long since its re-introduction and despite the fact that resistance
to colistin at least in Escherichia coli is rare, chromosomally-mediated colistin
resistance in metallo-beta-lactamase-producing Klebsiella pneumoniae strains was
reported in 2004 from Greece. Subsequent studies revealed the highest
predominance in Italy (38%) and Greece (26%), with colistin-resistant (Col-R)
strains frequently carrying a carbapenemase. On the other hand, the international
prevalence of Col-R Acinetobacter baumannii varied, predominantly in Southern
Europe and Southeast Asia, with rates exceeding 80% in Italy and Greece. Risk
factors have mainly incriminated the selective pressure of excess consumption of
colistin both in animals and humans. In November 2015, emergence of plasmid
mediated colistin resistance due to the mcr-1 gene was reported from China,
mostly in community-derived E. coli strains. As of 1 September 2016, the mcr-1
gene was detected in 35 countries worldwide in livestock/retail meat and in human
sources from 29 and 22 countries, respectively. Heavy usage of polymyxins in
animals has been incriminated as the reservoir of the mcr-1 gene. Therefore, it
is imperative that: (i) polymyxins are banned as growth promoters and for
prophylaxis in animals; (ii) targeted surveillance plus molecular epidemiology is
performed in hospitals; (iii) carriers or patients infected with isolates
harbouring both mcr-1 and carbapenemase genes are strictly isolated; (iv)
susceptibilities are based on exact colistin minimum inhibitory concentration
(MIC) determination; and (v) rational use of colistin is audited in hospitals.
PMID- 27865628
TI - The genesis and presentation of anxiety in disorders of autonomic overexcitation.
AB - INTRODUCTION: We investigated the genesis and presentation of previously-reported
anxiety in disorders of autonomic overexcitation in relation to interoception,
body vigilance and trauma to test our hypothesis that patients with the postural
tachycardia syndrome (PoTS), vasovagal syncope (VVS) and essential hyperhidrosis
(EH) represent atypical anxiety phenotypes in whom affective symptoms are more
related to apprehension and vigilance of physiological (interoceptive) feedback
than neurotic or trauma-related factors. METHODS: The Anxiety Sensitivity Index,
Body Vigilance Scale, Self-consciousness Scale, Childhood Traumatic Events Scale
and heartbeat tracking tasks were completed by 23 healthy controls, 21 PoTS, 20
EH and 20 VVS patients. Interoceptive accuracy (IA) was assessed during supine
rest (9min), isometric exercise (3min), cold pressor (90s) and head up tilt (HUT)
(9min). RESULTS: In comparison to controls, PoTS, VVS and EH patients reported
increased symptoms of somatic anxiety but not of social anxiety/self
consciousness or trauma. Autonomic patients' IA was diminished and consistently
underestimated even during autonomic arousal compared to controls. Controls and
EH IA negatively correlated with somatic anxiety/hypervigilance, whereas PoTS and
VVS IA and somatic anxiety/vigilance positively correlated. CONCLUSIONS:
Affective symptoms in PoTS, VVS and EH appear to be driven by anxiety and
vigilance of physical sensations/symptoms, rather than trauma or neurosis.
Increased somatic vigilance/anxiety in PoTS and VVS may be due to interoception
being anxiogenic in these cohorts. Diminished interoception may be due to a
common central dysregulation, as both sudomotor and cardiovascular forms of
autonomic dysfunction had comparable IA deficits. These findings provide a
possible therapeutic pathway for psychological symptoms in PoTS, VVS and EH.
PMID- 27865626
TI - Synergistic combinations of polymyxins.
AB - The proliferation of extensively drug-resistant Gram-negative pathogens has
necessitated the therapeutic use of colistin and polymyxin B. However, treatment
failures with polymyxin monotherapies and the emergence of polymyxin resistance
have catalysed the search for polymyxin combinations that synergistically kill
polymyxin-susceptible and -resistant organisms. This mini-review examines recent
(2011-2016) in vitro and in vivo studies that have attempted to identify
synergistic polymyxin combinations against Pseudomonas aeruginosa, Klebsiella
pneumoniae and Acinetobacter baumannii. Clinical evidence for the use of
combination regimens is also discussed.
PMID- 27865629
TI - Re: Vasectomy and Prostate Cancer Incidence and Mortality in a Large US Cohort.
PMID- 27865630
TI - Effects of co-administered dexamethasone and nimesulide on pain, swelling, and
trismus following third molar surgery: a randomized, triple-blind, controlled
clinical trial.
AB - This study aimed to determine the effect of the co-administration of
dexamethasone 8mg and nimesulide 100mg given 1h before mandibular third molar
surgery. A prospective, randomized, triple-blind, split-mouth clinical trial was
developed at the study institution in Pernambuco, Brazil. A pilot study was first
performed (95% confidence interval, 80% test power, and 5% error), and a sample
of 40 patients aged between 18 and 40 years was selected. The patients were
randomized and divided into two groups: dexamethasone+placebo and
dexamethasone+nimesulide. The following parameters were evaluated: pain (visual
analogue scale), total number of rescue analgesics taken, time taken to first
rescue analgesic consumption, oedema, trismus, and patient satisfaction. The
paired t-test and the Wilcoxon test were used to compare means. Statistically
significant differences were found between the groups in pain values at 2, 4, and
12h postoperative, and in the total number of rescue analgesics and time taken to
first rescue analgesic ingestion (P<0.05), with results in favour of
dexamethasone+nimesulide administration. Oedema and trismus were similar in the
two treatment groups and decreased over time postoperatively. The co
administration of dexamethasone and nimesulide reduces pain intensity and the
need for rescue medication after third molar surgery.
PMID- 27865631
TI - The influence of cortical bone perforation on guided bone regeneration in humans.
AB - The purpose of this study was to evaluate the effect of cortical bone perforation
on angiogenesis and osteogenesis of the augmented ridge in guided bone
regeneration. Eighteen patients who had osseous defects in the mandible were
selected. In the test group (n=9), alveolar cortical bone in the area of
regeneration was perforated. No decortication was performed in the control group
(n=9). Subsequently, defects were augmented by guided bone regeneration using
resorbable membrane and bovine bone. After a healing period of 7 months, trephine
cores were harvested for histological and histomorphometric analysis of the
grafted areas. Histomorphometry demonstrated that the amount of newly formed bone
in the test group (27.8%) was greater than that in the control group (25.3%), but
the difference was not statistically significant (P=0.13). However, the mean
number of microvessels in the test group was significantly higher than that in
the control group (P=0.01). This study found that cortical bone perforation
favourably affects the amount of new bone formation in the grafted sites after 7
months of healing. Cortical bone perforation significantly increase number of new
vessels (angiogenesis) of the regenerated bone. Further randomized clinical
trials are required to confirm these results.
PMID- 27865632
TI - Detection of Mycobacterium avium subsp. paratuberculosis in bovine milk from the
state of Pernambuco, Brazil.
AB - The aim of this study was to detect the IS900 region of Mycobacterium avium
subsp. paratuberculosis (MAP) in bovine milk samples using real-time polymerase
chain reaction (qPCR) and conventional PCR, and to study the agreement between
these tests. A total of 121 bovine milk samples were collected from herds
considered positive for MAP, from the State of Pernambuco, Brazil. MAP DNA was
detected in 20 samples (16.5%) using conventional PCR and in 34 samples (28.1%)
using qPCR. MAP DNA was detected in all of the 6 animal farms studied. Moderate
agreement was found between qPCR and conventional PCR results, where the
sensitivity and specificity of conventional PCR in relation to qPCR were 50% and
96.6%, respectively. Thus, the IS900 region of MAP was found in bovine milk
samples from the State of Pernambuco. To the best of our knowledge, this is the
first report of MAP DNA found in bovine milk in Northeast Brazil. We also
demonstrated the qPCR technique is more sensitive than conventional PCR with
respect to detection of MAP in milk samples.
PMID- 27865633
TI - Celebration of 60th anniversary of Brazilian Society for Microbiology.
PMID- 27865634
TI - Molecular epidemiology and spatiotemporal analysis of hospital-acquired
Acinetobacter baumannii infection in a tertiary care hospital in southern
Thailand.
AB - BACKGROUND: Acinetobacter baumannii is a major hospital-acquired pathogen in
Thailand that has a negative effect on patient survival. The nature of its
transmission is poorly understood. AIM: To investigate the genotypic and
spatiotemporal pattern of A. baumannii infection at a hospital in Thailand.
METHODS: The medical records of patients infected with A. baumannii at an 800-bed
tertiary care hospital in southern Thailand between January 2010 and December
2011 were reviewed retrospectively. A. baumannii was identified at the
genomospecies level. Carbapenemase genes were identified among carbapenem
resistant isolates associated with A. baumannii infection. A spatiotemporal
analysis was performed by admission ward, time of infection and pulsed-field gel
electrophoresis (PFGE) groups of A. baumannii. RESULTS: Nine PFGE groups were
identified among the 197 A. baumannii infections. All A. baumannii isolates were
assigned to International Clonal Lineage II. blaOXA-23 was the most prevalent
carbapenemase gene. Outbreaks were observed mainly in respiratory and intensive
care units. The association between PFGE group and hospital unit was significant.
Spatiotemporal analysis identified 20 clusters of single PFGE group infections.
Approximately half of the clusters involved multiple hospital units
simultaneously. CONCLUSIONS: A. baumannii transmitted both within and between
hospital wards. Better understanding and control of the transmission of A.
baumannii are needed.
PMID- 27865635
TI - [How I do... to see the sliding sign by transvaginal ultrasonography?]
PMID- 27865636
TI - Transcaval embolization of a type I gutter endoleak after three-vessel chimney
endovascular aneurysm repair.
AB - Chimney endovascular aneurysm repair provides an endovascular treatment for
complex aortic aneurysms. However, type I gutter endoleaks can complicate this
approach and prevent full aneurysm exclusion. Treatment of these leaks can be
challenging. We report successful embolization of a type I gutter endoleak after
(chimney endovascular aneurysm repair) via a transcaval approach.
PMID- 27865637
TI - Increased risk of mortality after lower extremity bypass in individuals with
acute kidney injury in the Vascular Quality Initiative.
AB - OBJECTIVE: The development of acute kidney injury (AKI) and its effect on
prognosis after lower extremity bypass (LEB) surgery have not been well
described. We determined risk factors associated with AKI in patients undergoing
infrainguinal LEB surgery and whether individuals with AKI are at increased risk
for cardiovascular events and mortality. METHODS: Data for 12,907 operations
entered in the Vascular Quality Initiative (VQI) registry from January 2012
through April 2015 were retrospectively reviewed. Procedures performed on
patients not on dialysis before the surgery with perioperative assessments of
renal function were eligible for the study. AKI was defined as a postoperative
increase in serum creatinine >=0.5 mg/dL or new dialysis requirement. Logistic
regression was performed to determine the effect of AKI on the risk of in
hospital cardiovascular events, including myocardial infarction, stroke,
congestive heart failure, or arrhythmias, and mortality. Cox proportional hazards
regression was performed to determine the risk of long-term mortality (median
follow-up of 11.5 months). RESULTS: AKI developed after 507 (4%) of the 12,907
operations performed in 11,859 patients. After adjustment for demographic,
clinical, and perioperative variables, AKI was associated with an increased risk
of in-hospital cardiovascular events (odds ratio, 2.50; 95% confidence interval
[CI], 1.91-3.28) and in-hospital mortality (odds ratio, 6.96; 95% CI, 3.94
12.31). Risk of mortality persisted over the course of follow-up (hazard ratio,
1.98; 95% CI, 1.58-2.47). CONCLUSIONS: AKI after LEB is associated with an
increased risk of cardiovascular events and all-cause mortality. Further study
should evaluate whether preoperative interventions before LEB can be effectively
applied for at-risk patients to reduce the incidence of AKI and its associated
morbidity and mortality.
PMID- 27865638
TI - Incidence and clinical outcomes of the slow-flow phenomenon after infrapopliteal
balloon angioplasty.
AB - OBJECTIVE: This study investigated the incidence and clinical relevance of the
slow-flow phenomenon after infrapopliteal balloon angioplasty. METHODS: This
retrospective, single-center study included 161 consecutive patients with
critical limb ischemia (173 limbs) who underwent endovascular treatment for
infrapopliteal lesions between January 2012 and May 2015. The overall technical
success rate was 88%. Of these lesions, 30 limbs presented with slow flow after
angioplasty. RESULTS: Total occlusion (90% vs 63%; P < .01) and severe
calcification (43% vs 8%; P < .01) were more common in the slow-flow group.
Kaplan-Meier curve analysis revealed that freedom from major amputation (60% vs
86%; log-rank, P < .01) and wound healing at 2 years (77% vs 91%; log-rank, P =
.03) were significantly less common in the slow-flow group. Univariate Cox
proportional hazard analysis identified Rutherford class 6 (hazard ratio [HR],
6.4; 95% confidence interval [CI], 2.8-15.8; P < .01), the slow-flow phenomenon
(HR, 3.9; 95% CI, 1.6-8.9; P < .01), and hemodialysis (HR, 3.2; 95% CI, 1.2-11.1;
P = .02) as independent predictors of major amputation and Rutherford class 6
(HR, 0.3; 95% CI, 0.2-0.6; P < .01), the slow-flow phenomenon (HR, 0.5; 95% CI,
0.3-0.9; P = .02), and pedal arch (HR, 1.6; 95% CI, 1.0-2.5; P = .04) as
predictors of wound healing. CONCLUSIONS: The slow-flow phenomenon after
infrapopliteal balloon angioplasty occurred in 18.6% of limbs. This phenomenon
may result in poor outcomes.
PMID- 27865639
TI - A network meta-analysis of randomized controlled trials comparing treatment
modalities for de novo superficial femoral artery occlusive lesions.
AB - BACKGROUND: Treatment of superficial femoral artery (SFA) lesions remains
challenging. We conducted a network meta-analysis of randomized controlled trials
aiming to explore the efficacy of treatment modalities for SFA "de novo" lesions.
METHODS: Eleven treatments for SFA occlusive disease were recognized. We used
primary patency and binary restenosis at 12-month follow-up as proxies of
efficacy for the treatment of SFA lesions. RESULTS: A total of 33 studies (66
study arms; 4659 patients) were deemed eligible. In terms of primary patency,
odds ratios (ORs) with 95% confidence intervals (CIs) were statistically
significantly higher in drug-eluting stent (DES; OR, 10.05; 95% CI, 3.22-31.39),
femoropopliteal bypass surgery (BPS; OR, 7.15; 95% CI, 2.27-22.51), covered stent
(CS; OR, 3.56; 95% CI, 1.33-9.53), and nitinol stent (NS; OR, 2.83; 95% CI, 1.42
5.51) compared with balloon angioplasty (BA). The rank order from higher to lower
primary patency in the multidimensional scaling was DES, BPS, NS, CS, drug-coated
balloon, percutaneous transluminal angioplasty with brachytherapy, stainless
steel stent, cryoplasty (CR), and BA. Combination therapy of NS with CR and drug
coated balloon were the two most effective treatments, followed by NS, CS,
percutaneous transluminal angioplasty with brachytherapy, cutting balloon,
stainless steel stent, BA, and CR in terms of multidimensional scaling values for
binary restenosis. CONCLUSIONS: DES has shown encouraging results in terms of
primary patency for SFA lesions, whereas BPS still maintains its role as a
principal intervention. On the contrary, BA and CR appear to be less effective
treatment options.
PMID- 27865640
TI - Technicians and other pharmacy support workforce cadres working with pharmacists:
Singapore case study.
PMID- 27865641
TI - Canadian Cardiovascular Society Guidelines on Perioperative Cardiac Risk
Assessment and Management for Patients Who Undergo Noncardiac Surgery.
AB - The Canadian Cardiovascular Society Guidelines Committee and key Canadian opinion
leaders believed there was a need for up to date guidelines that used the Grading
of Recommendations Assessment, Development, and Evaluation (GRADE) system of
evidence assessment for patients who undergo noncardiac surgery. Strong
recommendations included: 1) measuring brain natriuretic peptide (BNP) or N
terminal fragment of proBNP (NT-proBNP) before surgery to enhance perioperative
cardiac risk estimation in patients who are 65 years of age or older, are 45-64
years of age with significant cardiovascular disease, or have a Revised Cardiac
Risk Index score >= 1; 2) against performing preoperative resting
echocardiography, coronary computed tomography angiography, exercise or
cardiopulmonary exercise testing, or pharmacological stress echocardiography or
radionuclide imaging to enhance perioperative cardiac risk estimation; 3) against
the initiation or continuation of acetylsalicylic acid for the prevention of
perioperative cardiac events, except in patients with a recent coronary artery
stent or who will undergo carotid endarterectomy; 4) against alpha2 agonist or
beta-blocker initiation within 24 hours before surgery; 5) withholding
angiotensin-converting enzyme inhibitor and angiotensin II receptor blocker
starting 24 hours before surgery; 6) facilitating smoking cessation before
surgery; 7) measuring daily troponin for 48 to 72 hours after surgery in patients
with an elevated NT-proBNP/BNP measurement before surgery or if there is no NT
proBNP/BNP measurement before surgery, in those who have a Revised Cardiac Risk
Index score >=1, age 45-64 years with significant cardiovascular disease, or age
65 years or older; and 8) initiating of long-term acetylsalicylic acid and statin
therapy in patients who suffer myocardial injury/infarction after surgery.
PMID- 27865642
TI - Attention to Physical Activity-Equivalent Calorie Information on Nutrition Facts
Labels: An Eye-Tracking Investigation.
AB - OBJECTIVE: Investigate attention to Nutrition Facts Labels (NFLs) with numeric
only vs both numeric and activity-equivalent calorie information, and attitudes
toward activity-equivalent calories. DESIGN: An eye-tracking camera monitored
participants' viewing of NFLs for 64 packaged foods with either standard NFLs or
modified NFLs. Participants self-reported demographic information and diet
related attitudes and behaviors. SETTING: Participants came to the Behavioral
Medicine Lab at Colorado State University in spring, 2015. PARTICIPANTS: The
researchers randomized 234 participants to view NFLs with numeric calorie
information only (n = 108) or numeric and activity-equivalent calorie information
(n = 126). MAIN OUTCOME MEASURE(S): Attention to and attitudes about activity
equivalent calorie information. ANALYSIS: Differences by experimental condition
and weight loss intention (overall and within experimental condition) were
assessed using t tests and Pearson's chi-square tests of independence. RESULTS:
Overall, participants viewed numeric calorie information on 20% of NFLs for 249
ms. Participants in the modified NFL condition viewed activity-equivalent
information on 17% of NFLs for 231 ms. Most participants indicated that activity
equivalent calorie information would help them decide whether to eat a food (69%)
and that they preferred both numeric and activity-equivalent calorie information
on NFLs (70%). CONCLUSIONS AND IMPLICATIONS: Participants used activity
equivalent calorie information on NFLs and found this information helpful for
making food decisions.
PMID- 27865643
TI - Identification and characterization of an enzyme involved in the biosynthesis of
the 4-hydroxy-2(or 5)-ethyl-5(or 2)-methyl-3(2H)-furanone in yeast.
AB - 4-Hydroxy-2(or 5)-ethyl-5(or 2)-methyl-3(2H)-furanone (HEMF) is considered a key
flavor compound in soy sauce. The compound has a caramel-like aroma and several
important physiological activities, such as strong antioxidant activity. Here, we
report the identification and characterization of an enzyme involved in the
biosynthesis of HEMF in yeast. We fractionated yeast cell-free extract from
Saccharomyces cerevisiae using column chromatography and partially purified a
fraction with HEMF-forming activity. Peptide mass fingerprinting analysis showed
that the partially purified fraction contains aldehyde reductase encoded by
YNL134C. This reductase shares low sequence identity with enone oxidoreductase,
which is responsible for the formation of 4-hydroxy-2,5-dimethyl-3(2H)-furanone
(HDMF) and HEMF in plants. YNL134C was expressed heterologously in Escherichia
coli, and the purified protein catalyzed the formation of HEMF from the mixture
of Maillard reaction products, acetaldehydes, and NADPH. Multicopy expression in
S. cerevisiae resulted in increased HEMF productivity, and gene knockout of
YNL134C in S. cerevisiae resulted in decreased HEMF productivity. These data
suggest that the translation product of YNL134C is the HEMF-producing enzyme in
yeast. Detailed analyses of an intermediate in the enzymatic reaction mixture
revealed that HEMF is synthesized from (2E)-2-ethylidene-4-hydroxy-5-methyl-3(2H)
furanone, which formed via Knoevenagel condensation between the acetaldehyde and
4-hydroxy-5-methyl-3(2H)-furanone derived from the Maillard reaction based on
ribose and glycine, by YNL134Cp in an NADPH dependent manner. Overall, this study
shed light on the molecular basis for the improvement of soy sauce flavor and the
biotechnological production of HEMF.
PMID- 27865646
TI - Long-term oxygen in COPD.
PMID- 27865644
TI - Bioactivity of topologically confined gramicidin A dimers.
AB - The d-/l-peptide gramicidin A (gA) is well known as a pivotal ion channel model
and shows a broad spectrum of bioactivities such as antibiosis, antimalarial
activity, as well as hemolysis. We applied inter-chain disulfide bonds to
constrain the conformational freedom of gA into parallel and antiparallel dimeric
topologies. Albeit the constructs were not found to be monoconformational, CD-
and IR-spectroscopic studies suggested that this strategy indeed restricted the
conformational space of the d-/l-peptide construct, and that beta-helical
secondary structures prevail. Correlative testing of gA dimers in antimicrobial,
antimalarial, and ion conduction assays suggested that the tail-to-tail
antiparallel single stranded beta6.3 helix dominantly mediates the bioactivity of
gA. Other conformers are unlikely to contribute to these activities. From these
investigations, only weakly ion conducting gA dimers were identified that
retained nM antimalarial activity.
PMID- 27865647
TI - UK Government chokes on air pollution crisis.
PMID- 27865645
TI - Discovery of SMP-304, a novel benzylpiperidine derivative with serotonin
transporter inhibitory activity and 5-HT1A weak partial agonistic activity
showing the antidepressant-like effect.
AB - We report the discovery of a novel benzylpiperidine derivative with serotonin
transporter (SERT) inhibitory activity and 5-HT1A receptor weak partial agonistic
activity showing the antidepressant-like effect. The 3-methoxyphenyl group and
the phenethyl group of compound 1, which has weak SERT binding activity, but
potent 5-HT1A binding activity, were optimized, leading to compound 35 with
potent and balanced dual SERT and 5-HT1A binding activity, but also potent CYP2D6
inhibitory activity. Replacement of the methoxy group in the left part of
compound 35 with a larger alkoxy group, such as ethoxy, isopropoxy or methoxy
ethoxy group ameliorated CYP2D6 inhibition, giving SMP-304 as a candidate. SMP
304 with serotonin uptake inhibitory activity and 5-HT1A weak partial agonistic
activity, which could work as a 5-HT1A antagonist, displayed faster onset of
antidepressant-like effect than a representative SSRI paroxetine in an animal
model.
PMID- 27865649
TI - Extracellular vesicles in transfusion-related immunomodulation and the role of
blood component manufacturing.
AB - There is an emerging interest in the risks posed by the ability of blood
transfusion to modulate the immune system of recipients. Observational trials
suggest that RBC transfusions may be associated with increased morbidity and
mortality, however studies demonstrating the deleterious consequences of
transfusion-related immunomodulation have had conflicting results. Efforts to
understand the biological mechanisms responsible for TRIM are under way, and are
focusing on the role that the extracellular vesicles (EVs) that accumulate in a
red cell concentrate (RCC) during storage may play. EVs are heterogeneous
submicron-sized vesicles that vary in size, composition and surface biomarkers.
The biophysical and biochemical parameters of EVs reflect their mechanism of
formation and cell sources. RCCs have been shown to contain a mixed population of
EVs and not all EVs in RCC are solely from the constituent RBCs. The
concentration of the different EVs (the RBC EVs and the non-RBC EVs), their
composition, as well as their effects on the quality of the blood product vary
depending on the manufacturing methods used to produce the RCC units. This
article will review current evidence of the role of extracellular vesicles in
transfusion-related immunomodulation and will discuss the impact that different
methods used to collect, manufacture and store blood have on the composition and
characteristics of EVs in RCCs.
PMID- 27865648
TI - Related factors with extravasation of non-cytostatic agents in peripheral vein
catheters.
AB - OBJECTIVE: To know the independent variables related to the occurrence of
extravasation in patients with peripheral vein catheters (PVC). MATERIAL AND
METHOD: Retrospective study carried out in 6 longitudinal cuts between July 2013
an January 2014. A total of 1,442 PVC were reviewed, of which 730 met the
inclusion criteria, and were divided into 2 groups: extravasation and not
extravasation, with 365 cases each. The variables of age, gender, admission unit,
catheter gauge, insertion site, previous insertion into the same limb, hospital
unit where the insertion took place, communication difficulties, personal health
history and analyzed parenterally drug administered were considered. RESULTS:
Risk factors to develop extravasation were: female gender, with previous
insertion in the same limb, <72h PVC of insertion, communication difficulties,
personal health history of neoplasia and KCl, gentamicin or beta lactam
treatment. CONCLUSIONS: Our study allows to know the variables that are related
to the emergence of extravasations in patients with non-cancer treatments
(gender, medical service of admission, catheter gauge, elapsed time since the
insertion, patient communication difficulties, personal health history, and
intravenous treatments), as well as the factors that may be considered
protective.
PMID- 27865650
TI - Economic assessment of integrated cancer and cardiovascular registries: The
Barbados experience.
AB - BACKGROUND: This report describes the resources required to support the
integrated approach of the Barbados National Registry for Chronic Non
communicable Diseases (BNR) to non-communicable disease (NCD) case registration,
and to identify differences in cost for collecting and maintaining information on
cancer and cardiovascular disease (CVD) case registration. METHODS: We used the
modified Centers for Disease Control and Prevention's International Registry
Costing Tool to collect data from the CVD and cancer registries. We used cancer
and CVD cost data for the annual period April 2014 through March 2015 to estimate
the total cost and cost per case. We used prospectively collected average annual
CVD cases, and for cancer cases we assumed 2 or 3 years are needed for
retrospective data collection. RESULTS: The Ministry of Health provided 56% of
the resources for the registries. Labor accounted for over 70% of both
registries' budgets, while management and administration, along with data
collection and analysis, incurred the highest costs per case. Total variable cost
activities related to data collection and analysis were higher for the CVD
component (US$131,297) than the cancer component (US$58,917). The CVD cost per
case (US$489) was in between the cancer 2-year (US$382) and the cancer 3-year
(US$573) cost-per-case estimates. CONCLUSION: These findings indicate that there
are substantial fixed costs related to management and administration of NCD
registries. All registries need management and administration support. When
registries are combined, management and administration costs can be shared. We
project that registries that can share fixed-cost infrastructure are likely to
incur a lower total cost per case.
PMID- 27865651
TI - Beyond Supermarkets: Food Outlet Location Selection in Four U.S. Cities Over
Time.
AB - INTRODUCTION: Understanding what influences where food outlets locate is
important for mitigating disparities in access to healthy food outlets. However,
few studies have examined how neighborhood characteristics influence the
neighborhood food environment over time, and whether these relationships differ
by neighborhood-level income. METHODS: Neighborhood-level data from four U.S.
cities (Birmingham, AL; Chicago, IL; Minneapolis, MN; Oakland, CA) from 1986,
1993, 1996, 2001, 2006, and 2011 were used with two-step econometric models to
estimate longitudinal associations between neighborhood-level characteristics (z
scores) and the log-transformed count/km2 (density) of food outlets within real
estate-derived neighborhoods. Associations were examined with lagged neighborhood
level sociodemographics and lagged density of food outlets, with interaction
terms for neighborhood-level income. Data were analyzed in 2016. RESULTS:
Neighborhood-level income at earlier years was negatively associated with the
current density of convenience stores (beta= -0.27, 95% CI= -0.16, -0.38,
p<0.001). The percentage of neighborhood white population was negatively
associated with fast food restaurant density in low-income neighborhoods (10th
percentile of income: beta= -0.17, 95% CI= -0.34, -0.002, p=0.05), and the
density of smaller grocery stores across all income levels (beta= -0.27, 95% CI=
0.45, -0.09, p=0.003). There was a lack of policy-relevant associations between
the pre-existing food environment and the current density of food outlet types,
including supermarkets. CONCLUSIONS: Socioeconomically disadvantaged and minority
populations may attract "unhealthy" food outlets over time. To support equal
access to healthy food outlets, the availability of "less healthy" food outlets
types may be relatively more important than the potential lack of supermarkets or
full-service restaurants.
PMID- 27865652
TI - Development and Evaluation of a Short Adverse Childhood Experiences Measure.
AB - INTRODUCTION: Clinicians require tools to rapidly identify individuals with
significant childhood adversity as part of routine primary care. The goal of this
study was to shorten the 11-item Behavioral Risk Factor Surveillance System
Adverse Childhood Experiences (ACEs) measure and evaluate the feasibility and
validity of this shortened measure as a screener to identify adults who have
experienced significant childhood adversity. METHODS: Statistical analysis was
conducted in 2015. ACE item responses obtained from 2011-2012 Behavioral Risk
Factor Surveillance System data were combined to form a sample of 71,413 adults
aged >=18 years. The 11-item Behavioral Risk Factor Surveillance System ACE
measure was subsequently reduced to a two-item screener by maintaining the two
dimensions of abuse and household stressors and selecting the most prevalent item
within each dimension. RESULTS: The screener included household alcohol and
childhood emotional abuse items. Overall, 42% of respondents and at least 75% of
the individuals with four or more ACEs endorsed one or both of these experiences.
Using the 11-item ACE measure as the standard, a cut off of one or more ACEs
yielded a sensitivity of 99%, but specificity was low (66%). Specificity improved
to 94% when using a cut off of two ACEs, but sensitivity diminished (70%). There
was no substantive difference between the 11-and two-item ACE measures in their
strength of association with an array of health outcomes. CONCLUSIONS: A two-item
ACE screener appropriate for rapid identification of adults who have experienced
significant childhood adversity was developed.
PMID- 27865654
TI - Surgery for recurrent ovarian cancer: Options and limits.
AB - Cytoreductive surgery is the backbone of the multimodal therapy in primary
ovarian cancer patients. Despite the effect of various tumor biological factors
such as grading and histological subtype, the surgical outcome is the most
important prognostic factor for both progression free- and overall survival. In
contrast, the management of recurrent situation has long remained a subject of an
emotional international discussion. To date, only few prospective studies have
focused on the effect of surgery in relapsed ovarian cancer. The available
retrospective data associate complete cytoreduction with prognosis improvement.
However, the selection of patients eligible for surgery in recurrent situation is
the essential issue. The establishment of predictive factors for complete tumor
resection and defining the patient group with recurrent disease who might profit
from this approach are crucial. The available predictors of complete resection
depend on the results of primary surgery and the current patient's situation.
Women who underwent primary complete cytoreduction are in good performance
status, and those who have only minimal ascites volume (less than 500 ml) in the
recurrent situation have 76% likelihood of undergoing complete resection and
survival prolongation. Moreover, the complete cytoreduction in the tertiary
cytoreductive approach has been evaluated and showed a potential positive
influence on patients' survival. This review concentrates on the recent data and
highlights the need of further randomized trials to develop and incorporate
operative standards in recurrent ovarian cancer.
PMID- 27865653
TI - Evaluation of School-Based Dental Sealant Programs: An Updated Community Guide
Systematic Economic Review.
AB - CONTEXT: A recently updated Community Guide systematic review of the
effectiveness of school sealant programs (SSPs) still found strong evidence that
SSPs reduced dental caries among schoolchildren. This follow-up systematic review
updates SSP cost and benefit information from the original 2002 review. EVIDENCE
ACQUISITION: Using Community Guide economic review methods, the authors searched
the literature from January 2000 to November 20, 2014. The final body of evidence
included 14 studies-ten from the current search and four with cost information
from the 2002 review. Nine studies had information on SSP costs; six on sealant
benefit (averted treatment costs and productivity losses); four on SSP net cost
(cost minus benefit); and three on net cost to Medicaid of clinically delivered
sealants. The authors imputed productivity losses and discounted costs/outcomes
when this information was missing. The analysis, conducted in 2015, reported all
values in 2014 U.S. dollars. EVIDENCE SYNTHESIS: The median one-time SSP cost per
tooth sealed was $11.64. Labor accounted for two thirds of costs, and time to
provide sealants was a major cost driver. The median annual economic benefit was
$6.29, suggesting that over 4 years the SSP benefit ($23.37 at a 3% discount
rate) would exceed costs by $11.73 per sealed tooth. In addition, two of four
economic models and all three analyses of Medicaid claims data found that SSP
benefit to society exceeded SSP cost. CONCLUSIONS: Recent evidence indicates the
benefits of SSPs exceed their costs when SSPs target schools attended by a large
number of high-risk children.
PMID- 27865655
TI - Corrigendum to "Ten-year improvement of insulin resistance and growth with
recombinant human insulin-like growth factor 1 in a patient with insulin receptor
mutations resulting in leprechaunism" [Diabetes Metab. 41 (2015) 331-337].
PMID- 27865657
TI - Cranial adaptations for feeding on snails in species of Sibynomorphus
(Dipsadidae: Dipsadinae).
AB - Neotropical "goo-eating" dipsadine snakes display a set of morphological and
histo-chemical adaptations linked to the capture of their soft-bodied, viscous
invertebrate prey. Within this group, species from the genus Sibynomorphus feed
chiefly on snails and slugs. Here, we analyzed a series of skull and mandible
characters in S. mikanii, S. neuwiedi and S. turgidus using geometric
morphometrics, with the aim of assessing morphological adaptations related to
slug- and snail-feeding in that genus. We further compared the results with
Leptodeira annulata, a species that feeds on vertebrates. To evaluate shape
differences of the skull and mandible between species we performed a multivariate
analysis of variance and a linear discriminant analysis. Our results show that
the narrow, elongate skull in S. mikanii may help with slug ingestion, while
asymmetry in teeth number and mandibular shape in S. neuwiedi and S. turgidus are
likely related to snail feeding.
PMID- 27865656
TI - Nut consumption is associated with lower incidence of type 2 diabetes: The Tehran
Lipid and Glucose Study.
AB - AIM: Nuts are rich in unsaturated fatty acids as well as other bioactive
constituents. The present study investigated the association between nut
consumption and the incidence of type 2 diabetes mellitus (T2DM) in a Middle
Eastern population. METHODS: The study was conducted within the framework of the
Tehran Lipid and Glucose Study (TLGS), in which 1984 participants (920 men and
1064 women) free of DM, aged>=20 years, were followed from phase III (2005-2008)
to phase V (2011-2014). Dietary data were obtained from valid and reliable food
frequency questionnaires at baseline. Using multiple logistic regression, odds
ratios (ORs) and 95% confidence intervals (CIs) were calculated, with adjustments
for age, gender, BMI, serum cholesterol and triglycerides, smoking and energy
intake. RESULTS: Study participants' means+/-SD of age and of BMI were 40.1+/
13.1 years and 27.0+/-4.8kg/m2, respectively. The median+/-SE of their total
daily consumption of nuts was 1.19+/-0.11 servings. After 6.2+/-0.7 years of
follow-up, 150 cases of T2DM were confirmed. On comparing those who consumed >=4
servings/week with those who consumed <1 serving/week, the age-/energy-adjusted
OR of incident T2DM for total nut consumption was 0.64 (95% CI: 0.36-1.12; P for
trend = 0.03). In a fully adjusted model, nut consumption was associated with a
lower risk of T2DM, and the ORs (95% CIs) of risk for those consuming 2-3.99 and
>=4 servings/week of nuts were 0.51 (0.26-0.97) and 0.47 (0.25-0.90),
respectively, compared with those consuming <1 serving/week (P<0.001 for trend).
CONCLUSION: Our findings suggest that consuming >=4 servings/week of nuts reduced
the risk of T2DM compared with <1 serving/week.
PMID- 27865658
TI - Characterization of particulate-phase polycyclic aromatic hydrocarbons emitted
from incense burning and their bioreactivity in RAW264.7 macrophage.
AB - This study investigated the effects of particle-bound polycyclic aromatic
hydrocarbons (PAHs) produced from burning three incense types on and their
bioreactivity in the RAW 264.7 murine macrophage cell line. Gas
chromatography/mass spectrometry was used to determine the levels of 16
identified PAHs. Macrophages were exposed to incense particle extracts at
concentrations of 0, 3.125, 6.25, 12.5, 25, 50, and 100 MUg/mL for 24 h. After
exposure, cell viability and nitric oxide (NO) and inflammatory mediator [tumor
necrosis factor (TNF)-alpha] production of the cells were examined. The mean
atomic hydrogen (H) to carbon (C) ratios in the environmentally friendly,
binchotan charcoal, and lao shan incenses were 0.69, 1.13, and 1.71,
respectively. PAH and total toxic equivalent (TEQ) mass fraction in the incenses
ranged from 137.84 to 231.00 and 6.73-26.30 pg/MUg, respectively. The exposure of
RAW 264.7 macrophages to incense particles significantly increased TNF-alpha and
NO production and reduced cell viability. The cells treated with particles
collected from smoldering the environmentally friendly incense produced more NO
and TNF-alpha compared to other incenses. Additionally, the TEQ of fluoranthene
(FL), pyrene (Pyr), benzo[a]anthracene (BaA), chrysene (Chr),
benzo[b]fluoranthene (BbF), benzo[k]fluoranthene (BkF), benzo[a]pyrene (BaP),
indeno[1,2,3-cd]pyrene (INP), dibenz[a,h]anthracene (DBA), and
benzo[g,h,i]perylene [B(ghi)P] had a significant correlation (R2 = 0.64-0.98, P <
0.05) with NO and TNF-alpha production. The current findings indicate that
incense particle-bound PAHs are biologically active and that burning an incense
with a lower H/C ratio caused higher bioreactivity. The stimulatory effect of PAH
containing particles on molecular mechanisms of inflammation are critical for
future study.
PMID- 27865659
TI - Using long-term air monitoring of semi-volatile organic compounds to evaluate the
uncertainty in polyurethane-disk passive sampler-derived air concentrations.
AB - Much effort has been made to standardise sampling procedures, laboratory
analysis, data analysis, etc. for semi volatile organic contaminants (SVOCs). Yet
there are some unresolved issues in regards to comparing measurements from one of
the most commonly used passive samplers (PAS), the polyurethane foam (PUF) disk
PAS (PUF-PAS), between monitoring networks or different studies. One such issue
is that there is no universal means to derive a sampling rate (Rs) or to
calculate air concentrations (Cair) from PUF-PAS measurements for SVOCs. Cair was
calculated from PUF-PAS measurements from a long-term monitoring program at a
site in central Europe applying current understanding of passive sampling theory
coupled with a consideration for the sampling of particle associated compounds.
Cair were assessed against concurrent active air sampler (AAS) measurements. Use
of "site-based/sampler-specific" variables: Rs, calculated using a site
calibration, provided similar results for most gas-phase SVOCs to air
concentrations derived using "default" values (commonly accepted Rs). Individual
monthly PUF-PAS-derived air concentrations for the majority of the target
compounds were significantly different (Wilcoxon signed-rank (WSR) test; p <
0.05) to AAS regardless of the input values (site/sampler based or default) used
to calculate them. However, annual average PUF-PAS-derived air concentrations
were within the same order of magnitude as AAS measurements except for the
particle-phase polycyclic aromatic hydrocarbons (PAHs). Underestimation of PUF
derived air concentrations for particle-phase PAHs was attributed to a potential
overestimation of the particle infiltration into the PUF-PAS chamber and
underestimation of the particle bound fraction of PAHs.
PMID- 27865661
TI - The association between subjective health status and 14-year mortality in post
PCI patients.
AB - BACKGROUND: Poor subjective health status significantly predicted short-term
mortality in patients with coronary artery disease (CAD). However, the relation
between subjective health status and long-term mortality remains limited in
patients treated with PCI. The aim of this study is to investigate the
association between subjective health status and 14-year mortality in patients
treated with percutaneous coronary intervention (PCI). METHODS: A consecutive
cohort with 1111 patients treated for CAD who completed the SF-36 questionnaire
was included between 2001 and 2002 as part of the RESEARCH registry. RESULTS:
After adjustment, physical functioning (HR: 1.96; 95% CI: 1.59-2.43), social
functioning (HR: 1.53; 95% CI: 1.24-1.88), role limitations due to physical
functioning (HR: 1.75; 95% CI: 1.41-2.16), role limitations due to emotional
functioning (HR: 1.34; 95%CI: 1.08-1.67), mental health (HR: 1.52; 95% CI: 1.24
1.88), vitality (HR: 1.66; 95% CI: 1.35-2.03), bodily pain (HR: 1.63; 95% CI:
1.32-2.02) and general health (HR: 1.82; 95% CI: 1.49-2.23) were all associated
with an increased risk of 14-year mortality. CONCLUSION: Physical and mental
subjective health status as measured with the SF-36 appeared to be a strong
predictor for 14-year mortality in post-PCI patients.
PMID- 27865660
TI - Vitamin D3 a new drug against Candida albicans.
AB - OBJECTIVE: In this study, we demonstrate that vitamin D3 had fungicidal activity
against Candida albicans. MATERIAL AND METHODS: The susceptibility of the yeast
strain to the vitamin D3 was investigated by the antimicrobial screening using
modified agar diffusion method, minimum fungistatic concentrations (MFCs) and
minimum fungicide concentrations (MFCC) of the vitamin D3 were determined by the
broth dilution method. RESULTS: The antifungal activity indicted that 100MUg/ml
of vitamin D3 had a power inhibition in the growth of C. albicans with zone of
inhibition 12.5mm and CMFC and CMFs were 1.58+/-0.0764MUg/ml. CONCLUSION: These
values indicate that vitamin D3 can be considered to have fungicide activity.
This antifungal effect may be due to the large lipsolubility of vitamin D3
changing the integrity of the cell membrane.
PMID- 27865663
TI - Hyposmolarity may be also associated with worse outcomes in patients with heart
failure.
PMID- 27865662
TI - Sex differences in acute myocardial infarction: Is it only the age?
AB - BACKGROUND: Several studies have shown that, after an acute myocardial
infarction, women have worse prognosis than males. However, it is not clear if
female sex is an independent predictor of mortality risk. Our aim was to analyse
sex influence on the prognosis of these patients. METHODS: Retrospective registry
of patients with ST segment elevation myocardial infarction (STEMI) from January
2010 to April 2015. RESULTS: From 1111 patients, 258 (23.2%) were women. Compared
with men, they presented higher risk profiles with older age (70.1+/-14.4years
vs. 62.3+/-13.4, P<0.001), more cardiovascular risk factors (except smoking),
longer time from symptoms onset to hospital arrival (5.2+/-4.1h vs. 4.2+/-3.7),
higher Killip classification (1.6+/-1.1 vs. 1.4+/-0.8), fewer complete
revascularizations (175 [67.8%] vs. 662 [77.9%] in men) and higher in-hospital
mortality (26 [10.1%] vs. 34 [4.0%]); all p values <0.003. At discharge, women
less frequently received ACE inhibitors (189 [81.1%] vs. 702 [85.8%], p=0.045)
and presented more major adverse events (death, bleeding, infection, myocardial
infarction, stent thrombosis or heart failure) during the first month after
discharge (10.5% vs. 4.5%, p<0.001) and higher long-term mortality (hazard ratio
[HR] 1.6, 95% CI 1.1-2.2). After adjusting by age, most of the differences
disappeared, and sex was not an independent factor of in-hospital (odds ratio
1.71, 95% CI 0.97-2.99) or long-term mortality (HR 1.0, 95% CI 0.7-1.5).
CONCLUSIONS: In patients with acute STEMI, the association of female sex with
poor prognosis is mainly explained by age. Sex does not seem to be an independent
prognostic factor.
PMID- 27865664
TI - Statin protects the heart against ischemia-reperfusion injury via inhibition of
the NLRP3 inflammasome.
PMID- 27865665
TI - Enhanced volatile fatty acid production from excess sludge by combined free
nitrous acid and rhamnolipid treatment.
AB - VFA production from excess sludge (ES) was greatly enhanced by a low-cost and
high-efficient treatment: 0.67mg/L free nitrous acid (FNA) pretreatment combined
with 0.04g/g TSS rhamnolipid (RL) addition (FNA+RL), which significantly
shortened fermentation time to 3days and increased VFA production to
352.26mgCOD/g VSS (5.42 times higher than raw ES). Propionic and acetic acids
were the two leading components (71.86% of the total VFA). Mechanism
investigation manifested FNA+RL improved the biodegradability of ES, achieved
positive synergetic effect on solubilization, hydrolysis and acidification
efficiencies, and inhibited methanation. Microbial community distribution further
explained the above phenomena. The bacteria related to polysaccharides/protein
utilization and VFA generation, including Clostridium, Megasphaera and
Proteiniborus, were mainly observed in FNA+RL, whereas gas-forming bacteria
Anaerolineae and acid-consuming bacteria Proteobacteria were assuredly
suppressed. Besides, Propionibacterineae associated with propionic acid
generation was exclusively enriched in sole RL and FNA+RL.
PMID- 27865666
TI - Reducing sugar loss in enzymatic hydrolysis of ethylenediamine pretreated corn
stover.
AB - In this study, the effect of ethylenediamine (EDA) on enzymatic hydrolysis with
different cellulosic substrates and the approaches to reduce sugar loss in
enzymatic hydrolysis were investigated. During enzymatic hydrolysis, xylose yield
reduced 21.2%, 18.1% and 13.0% with 7.5mL/L EDA for AFEX pretreated corn stover
(CS), washed EDA pretreated CS and CS cellulose. FTIR and GPC analysis
demonstrated EDA reacted with sugar and produced high molecular weight (MW)
compounds. EDA was prone to react with xylose other than glucose. H2O2 and Na2SO3
cannot prevent sugar loss in glucose/xylose-EDA mixture, although they inhibited
the browning and high MW compounds formation. By decreasing temperature to 30
degrees C, the loss of xylose yield reduced to only 3.8%, 3.6% and 4.2% with
7.5mL/L EDA in the enzymatic hydrolysis of AFEX pretreated CS, washed EDA
pretreated CS and CS cellulose.
PMID- 27865667
TI - Lower urinary tract symptoms in Parkinson's disease: Prevalence, aetiology and
management.
AB - Lower urinary tract symptoms (LUTS) are common in Parkinson's disease (PD),
effecting 27-85% of patients with PD. Irritative symptoms predominate and
urodynamic studies confirm high prevalence of detrusor overactivity in PD. LUTS
are present early in PD and are more common in PD than in age matched controls.
The assessment of LUTS in PD is complicated by coexisting bradykinesia and
cognitive impairment. Although LUTS become more troublesome as PD progresses it
remains unclear if LUTS severity correlates with motor symptoms and/or duration
of PD. The underlying cause of LUTS in PD remains to be fully elucidated. Animal
and human studies suggest the net effect of the basal ganglia is to supress
micturition. Although LUTS are a common in PD, few studies have examined the
assessment and management of LUTS specifically in patients with PD. Pilot studies
have suggested that bladder training, antimuscarinic drugs and intravesical
botulinum toxin maybe helpful but these trials have been small and frequently
lacked a suitable control group making them vulnerable to the placebo effect.
Furthermore the adverse effects of antimuscarinic drugs on cognitive and
gastrointestinal function may limit the use of these drugs in PD. In this review
we summarise the literature describing the prevalence of LUTS in PD, discuss the
emerging data delineating the underlying pathophysiology of LUTS and examine
interventions helpful in the management of LUTS in people with PD.
PMID- 27865668
TI - A small pons as a characteristic finding in Down syndrome: A quantitative MRI
study.
AB - BACKGROUND: Down syndrome (DS) is the most common chromosomal aberration, but the
characteristics of the brainstem component in this condition during childhood
(from newborn to preteen stages) have not been clarified. OBJECTIVE: To evaluate
the morphological features of the brainstem in DS on magnetic resonance imaging
(MRI). MATERIALS AND METHODS: MRIs for 32 children with DS (16 boys and girls
each; age range, 0-11years) without major brain insults, and 32 age-matched
controls (16 boys and girls each) were retrospectively analyzed. Height, width,
and area of the midbrain, pons, and medulla oblongata were measured on sagittal
T1-weighted images; these were compared in children with DS and age-matched
controls. The ratios of the brainstem to the size of the posterior fossa (BS/PF
index) were calculated; these were also compared in the children with DS and the
control group. RESULTS: The width and area of the midbrain; height, width, area
of the pons; and area of the medulla oblongata were significantly smaller in
children with DS than in control children (P<0.05); the area of the pons,
particularly for the ventral part, showed the largest differences in the mean
relative differences. The BS/PF indices of the height, width, and area of the
pons were significantly smaller in children with DS than in the control group
(P<0.01). However, the BS/PF indices for the midbrain and the medulla oblongata
did not differ between these two groups. CONCLUSIONS: Children with DS may have
small brainstems, particularly in the pons; this may be a characteristic
morphological feature of the brainstem on MRI in childhood including neonates.
PMID- 27865669
TI - PiN photodiode performance comparison for dosimetry in radiology applications.
AB - Performance comparison of selected photodiodes for usage as radiation detectors
for radio-protection is presented. In this study, based on the criteria of
minimum sensitive area of 5mm2, minimum half angle 60 degrees and low cost, four
commercial photodiodes are selected for evaluation: SFH205, SFH206, BPW34, and
BPX90F. Photodiodes are low cost, small volume and lightweight detectors. As an
electronic transducer, photodiode detector is an attractive approach for the
development of low power portable electronic dosimeter for direct-reading real
time radiation dose measurement. The devices have been studied with respect to
sensitivity (efficiency) in X-rays and gamma rays detection, repeatability and
linearity in air kerma.
PMID- 27865670
TI - Radiation damage to neuronal cells: Simulating the energy deposition and water
radiolysis in a small neural network.
AB - Radiation damage to the central nervous system (CNS) has been an on-going
challenge for the last decades primarily due to the issues of brain radiotherapy
and radiation protection for astronauts during space travel. Although recent
findings revealed a number of molecular mechanisms associated with radiation
induced impairments in behaviour and cognition, some uncertainties exist in the
initial neuronal cell injury leading to the further development of CNS
malfunction. The present study is focused on the investigation of early
biological damage induced by ionizing radiations in a sample neural network by
means of modelling physico-chemical processes occurring in the medium after
exposure. For this purpose, the stochastic simulation of incident particle tracks
and water radiation chemistry was performed in realistic neuron phantoms
constructed using experimental data on cell morphology. The applied simulation
technique is based on using Monte-Carlo processes of the Geant4-DNA toolkit. The
calculations were made for proton, 12C, and 56Fe particles of different energy
within a relatively wide range of linear energy transfer values from a few to
hundreds of keV/MUm. The results indicate that the neuron morphology is an
important factor determining the accumulation of microscopic radiation dose and
water radiolysis products in neurons. The estimation of the radiolytic yields in
neuronal cells suggests that the observed enhancement in the levels of reactive
oxygen species may potentially lead to oxidative damage to neuronal components
disrupting the normal communication between cells of the neural network.
PMID- 27865671
TI - Anomalous pulmonary venous connection: An underestimated entity.
AB - Anomalous pulmonary venous connection is an uncommon congenital anomaly in which
all (total form) or some (partial form) pulmonary veins drain into a systemic
vein or into the right atrium rather than into the left atrium. The authors
present one case of total anomalous pulmonary venous connection and two cases of
partial anomalous pulmonary venous connection, one of supracardiac drainage into
the brachiocephalic vein, and the other of infracardiac anomalous venous drainage
(scimitar syndrome). Through the presentation of these cases, this article aims
to review the main pulmonary venous developmental defects, highlighting the role
of imaging techniques in the assessment of these anomalies.
PMID- 27865672
TI - How should we interpret the athlete's electrocardiogram?
PMID- 27865673
TI - A rare cause of acute coronary syndrome: Kounis syndrome.
AB - Kounis syndrome is an acute coronary syndrome in the context of a
hypersensitivity reaction. The main pathophysiological mechanism appears to be
coronary vasospasm. We report the case of a patient with a history of allergy to
quinolones, who was given ciprofloxacin before an elective surgical procedure and
during drug administration developed symptoms and electrocardiographic changes
suggestive of ST-segment elevation acute coronary syndrome. The drug was
suspended and coronary angiography excluded epicardial coronary disease. Two
hours after withdrawal of the drug the symptoms and ST elevation had resolved
completely.
PMID- 27865674
TI - Does anemia affect the predictive ability of bleeding risk scores in patients
with acute coronary syndromes?
AB - INTRODUCTION AND OBJECTIVE: Anemia is a common comorbidity in patients with acute
coronary syndromes (ACS), and is associated with higher risk for both bleeding
and ischemic complications. We aimed to assess the predictive ability of bleeding
risk scores (Can Rapid risk stratification of Unstable angina patients Suppress
ADverse outcomes with Early implementation of the ACC/AHA guidelines [CRUSADE],
Mehran and Acute Coronary Treatment and Intervention Outcomes Network [ACTION])
in ACS patients with anemia. METHODS: All consecutive ACS patients were
prospectively included. The primary outcome was in-hospital major bleeding
according to the CRUSADE, Mehran and ACTION definitions. Anemia was defined as
hemoglobin <130 g/l in men and <120 g/l in women. The predictive ability of the
bleeding risk scores was assessed by binary logistic regression, calculating
receiver operating characteristic (ROC) curves and their corresponding area under
the curve (AUC). RESULTS: We included 2255 patients, mean age 62.4 years. Anemia
was present in 550 patients (24.4%). Patients with anemia had a significantly
higher prevalence of comorbidities. The three bleeding risk scores adequately
predicted major bleeding in the whole cohort. No significant differences were
observed regarding the predictive ability of each of the scores in patients with
and without anemia (CRUSADE: AUC 0.73 without anemia vs. 0.74 with anemia,
p=0.913; ACTION: AUC 0.68 without anemia vs. 0.73 with anemia, p=0.353; Mehran:
AUC 0.69 without anemia vs. 0.61 with anemia, p=0.210). Only the Mehran score
showed significantly lower predictive ability in patients with hemoglobin <11
g/dl (AUC 0.51, p=0.044). CONCLUSIONS: Anemia was a common comorbidity in
patients with ACS from our series. Currently available bleeding risk scores
showed an adequate predictive ability in patients with mild anemia.
PMID- 27865675
TI - Unexpected diagnosis in a liver donor candidate.
PMID- 27865677
TI - Segmental pulmonary stenosis: Importance of computed tomography angiography.
PMID- 27865676
TI - Bailout intravenous esmolol for heart rate control in cardiac computed tomography
angiography.
AB - OBJECTIVE: To evaluate the efficacy and safety of a heart rate (HR) reduction
protocol using intravenous esmolol as bailout for failed oral metoprolol regimens
in patients undergoing coronary computed tomography angiography (CCTA) with 64
slice multidetector computed tomography (64-MDCT). METHODS: Patients who
underwent cardiac 64-MDCT in a single institution between 2011 and 2014 were
analyzed. Those with HR above 60 beats per minute (bpm) on presentation received
oral metoprolol (50-200 mg) at least one hour before CCTA. Intravenous esmolol 1
2 mg/kg was administered as a bolus whenever HR remained over 65 bpm just before
imaging. The primary efficacy endpoint was HR <65 bpm during CCTA. The primary
safety endpoint was symptomatic hypotension or bradycardia up to hospital
discharge. RESULTS: During the study period CCTA was performed in 947 cases. In
86% of these, oral metoprolol was the only medication required to successfully
reduce HR <60 bpm. Esmolol was used in the remaining 130 patients (14%). For
esmolol-treated patients mean baseline and acquisition HR were 74+/-14 bpm and
63+/-9 bpm, respectively (p<0.001). The target HR of <65 bpm was achieved in 82
of the 130 esmolol-treated patients (63%). Considering the whole population,
esmolol use led to a significant increase in the primary efficacy endpoint from
86% to 95% (p<0.001). Esmolol also resulted in a statistically, but not
clinically, significant reduction in systolic blood pressure (144+/-22 to 115+/
17 mmHg; p<0.001). The combined primary safety endpoint was only observed in two
(1.5%) patients. CONCLUSION: Despite optimal use of oral beta-blockers, 14% of
patients needed intravenous esmolol for HR control. The pre-medication
combination of oral metoprolol and on-demand administration of intravenous
esmolol was safe and effective and enabled 95% of patients to be imaged with HR
below 65 bpm.
PMID- 27865678
TI - Amorphous tumor of the mitral valve.
PMID- 27865679
TI - Percutaneous closure of isolated ostium secundum-type atrial septal defect in a
patient with Mayer-Rokitansky-Kuster-Hauser syndrome.
AB - Mayer-Rokitansky-Kuster-Hauser (MRKH) syndrome is a rare congenital anomaly
characterized by complete or partial aplasia of the uterus and the upper part of
the vagina. It is reported to be associated with cardiovascular disorders
including atrial septal defect, anomalous pulmonary venous return, aortopulmonary
window, pulmonary valve stenosis, mitral valve prolapse, tetralogy of Fallot,
truncus arteriosus, and patent ductus arteriosus. Herein, for the first time in
the medical literature, we present percutaneous closure of an isolated ostium
secundum atrial septal defect in this syndrome.
PMID- 27865680
TI - Mortality benefit of long-term angiotensin-converting enzyme inhibitors or
angiotensin receptor blockers after successful percutaneous coronary intervention
in non-ST elevation acute myocardial infarction.
AB - INTRODUCTION AND OBJECTIVES: Angiotensin-converting enzyme inhibitors (ACEIs) and
angiotensin receptor blockers (ARBs) have been shown to reduce mortality after
myocardial infarction (MI). Current guidelines recommend their prescription in
all patients after MI. Limited data are available on whether ACEIs/ARBs still
improve prognosis in the contemporary era of non-ST elevation MI (NSTEMI)
management. We aimed to evaluate the mortality benefit of ACEIs/ARBs in NSTEMI
patients treated successfully with percutaneous coronary intervention (PCI).
METHODS: We analyzed 2784 patients with NSTEMI treated successfully with in
hospital PCI. Two groups were formed based on ACEI/ARB prescription at discharge.
Two propensity score (PS) analyses were performed to control for differences in
covariates: one with adjustment among the entire cohort, and the other with PS
matching (n=1626). The outcome variable was all-cause mortality at four-year
follow-up. RESULTS: There were 1902 (68.3%) patients prescribed ACEIs/ARBs at
discharge. When adjusted by PS, ACEI/ARB use was associated with a hazard ratio
(HR) for mortality of 0.75 (0.60-0.94; absolute risk reduction [ARR] 4.0%) in the
whole cohort (p=0.01). After one-to-one PS matching (n=813 in each group), the
mortality rate was significantly lower in patients prescribed ACEIs/ARBs, with HR
of 0.77 (0.63-0.94; ARR 3.8%) (p=0.03). CONCLUSIONS: In this observational study
of patients with NSTEMI, all of them treated successfully by PCI, the use of
ACEIs/ARBs was significantly associated with a lower risk of four-year all-cause
mortality.
PMID- 27865681
TI - Cardiac rehabilitation in Portugal: The situation in 2013-2014.
PMID- 27865682
TI - Cardiac rehabilitation in Portugal: Results from the 2013-14 national survey.
AB - INTRODUCTION: In recent years, cardiac rehabilitation (CR) programs have evolved
from being limited to exercise training to comprehensive secondary prevention
programs. Given the solid scientific evidence supporting them, they are given a
class I recommendation in the American and European guidelines for various
cardiovascular diseases, but they continue to be underused in Portugal.
OBJECTIVE: To analyze the situation of CR programs in Portugal in 2013-14 and to
assess developments in recent years. METHODS: In November 2014, a questionnaire
was sent to the centers offering CR programs that included the following items:
name of the center; composition of the team; phases and components; number of
participants and diagnoses; and funding bodies. The percentage of patients with
myocardial infarction admitted to phase II CR programs in 2013 was calculated
based on data from the Directorate-General of Health (DGS). RESULTS: Twenty-three
centers offering CR programs were identified, 12 public and 11 private. The
number of centers rose from 16 in 2007 to 23 in 2014. In 2013, 1927 patients
participated in phase II programs, nearly three times the number rehabilitated in
2007 (638 patients). Myocardial infarction was the referral diagnosis in 999
patients, accounting for 51.8% of admissions. On the basis of DGS data, 8% of
patients with myocardial infarction were admitted to phase II CRPs in 2013, as
opposed to 3% in 2007. CONCLUSION: The number of patients admitted to CR
programs, as well as the number of centers, increased considerably between 2007
and 2014 in Portugal. Despite these favorable developments, further improvements
are still needed.
PMID- 27865683
TI - Health-related quality of life in children, adolescents and adults with
hereditary and acquired bleeding disorders.
AB - BACKGROUND: To better understand self-reported health-related quality-of-life
(HrQoL) in children and adults with chronic hemostatic conditions compared with
healthy controls. METHODS/PATIENTS/RESULTS: Group 1 consisted of 74
children/adolescents aged 8-18years with hereditary bleeding disorders (H-BD), 12
siblings and 34 peers. Group 2 consisted of 82 adult patients with
hereditary/acquired bleeding disorders (H/A-BD), and group 3 of 198 patients with
deep venous thrombosis (DVT) on anticoagulant therapy. Adult patients were
compared to 1011 healthy blood donors. HrQoL was assessed with a 'revised KINDer
Lebensqualitaetsfragebogen' (KINDL-R)-questionnaire adapted to adolescents and
adults. No differences were found in multivariate analyses of self-reported HrQoL
in children with H-BD. In contrast, apart from family and school-/work-related
wellbeing in female patients with DVT the adult patients showed significantly
lower HrQoL sub-dimensions compared to heathy control subjects. Furthermore,
adults with H/A-BD disorders reported better friend-related HrQoL compared to
patients with DVT, mainly due to a decreased HrQoL subscale in women on
anticoagulation. CONCLUSION: In children with H-BD, HrQoL was comparable to
siblings and peers. In adults with H/A-BD HrQoL was comparable to patients with
DVT while healthy blood donors showed better HrQoL. The friend-related HrQoL
subscale was significantly reduced in female compared to male patients.
PMID- 27865686
TI - David Knopman: connecting the images in dementia research.
PMID- 27865684
TI - Clinical and molecular characteristics of patients with Gaucher disease in
Southern China.
AB - Gaucher disease (GD) is a common lysosomal storage disorder caused by the
deficiency of acid beta-glucosidase, due to mutations in the GBA gene. To explore
the clinical and molecular characteristics of GD patients from Southern China,
GBA gene were analyzed by nest PCR and direct Sanger-sequencing. Novel missense
mutations were transiently transfected in COS-7 cells by plasmid system for
functional verification. Among the 22 GD patients, 19 patients were classified as
type 1 and three as type 2. Over 60% of the type 1 patient had the onset before
two years of age and about 42% of them died before three years of age. Six type 1
patients with L444P homozygous genotype, presented with early onset and severe
hepatosplenomegaly. Four novel mutations Y22C, F109L, L149F and
c.983_990delCCCACTGG were identified. The GBA activities in vitro of novel
mutants Y22C, F109L and L149F were 20.2%, 6.9% and 6.5% of the wild-type,
respectively. L444P mutation accounted for 47.7% of the mutant alleles. Our
results revealed that type 1 GD tends to present with a severe phenotype among
southern Chinese. L444P was the most prevalent mutation and L444P homozygous
genotype was associated with severe type 1 GD. Three novel missense mutations
identified were pathogenic.
PMID- 27865685
TI - Mixed Epithelial and Stromal Tumor of the Kidney: Two Case Reports of a Rare
Benign Kidney Tumor.
PMID- 27865687
TI - Scoring system to predict hemorrhage in pelvic ring fracture.
AB - BACKGROUND: Risk factors for hemorrhage in patients with pelvic ring fracture
have been widely reported. Because there are many risk factors, it is thought
that prediction accuracy of hemorrhage in cases of pelvic ring fracture could be
improved by using a scoring system. HYPOTHESIS: We investigated the risk factors
for massive hemorrhage (MH) and created a novel predictive score of MH in pelvic
ring fractures. MATERIAL AND METHODS: We retrospectively reviewed patients with
pelvic ring fractures (Abbreviated Injury Score>=3 and age>=16 years) from
January 2007 to June 2015. We excluded the cases that might have hemorrhage from
other sites sufficient to require a blood transfusion. Massive hemorrhage was
defined as hemorrhage requiring transfusion of>=6 red cell concentrate units
within 24h of admission. RESULTS: The MH group included 27 patients and the non
MH group included 71 patients. Lactate level, AO/OTA classification and
extravasation of computed tomography (CT) contrast fluid had a significantly
higher risk as a result of multivariable analysis. The combined score using these
risk factors according to their odds-adjusted ratios was created to predict for
MH: lactate level>2.5-5.0 (mmol/L)=1 point,>5.0 (mmol/L)=2 points, partially
stable (OA/OTA classification B1/B2/B3)=1 point, unstable (C1/C2/C3)=2 points,
pelvic extravasation of contrast on CT=4 points. The AUC of the calculated score
was 0.93 (95% CI: 0.89-0.98). CONCLUSION: The combined score using these risk
factors according to their odds-adjusted ratios was created to predict MH and was
an effective prediction score. LEVEL OF EVIDENCE: IV, retrospective study.
PMID- 27865688
TI - [Cataract surgery with topical anesthesia in adults under 30 years of age:
Preliminary study].
PMID- 27865690
TI - [Waardenburg syndrome].
PMID- 27865689
TI - Retinal oximetry during treatment of retinal vein occlusion by ranibizumab in
patients with high blood pressure and dyslipidemia.
AB - INTRODUCTION: In the present study, we examined retinal vascular oxygen
saturation in patients with retinal vein occlusion (RVO), high blood pressure
(HBP) and dyslipidemia, before and during intravitreal vascular endothelial
growth factor (VEGF) injection (ranibizumab). METHODS: We retrospectively
reviewed the medical records of six patients with visual acuity (VA) reduced by
macular edema (ME) secondary to RVO with HBP and dyslipidemia, who underwent
intravitreal anti-VEGF injection between October 2014 and February 2015 in the
department of ophthalmology of Francois-Quesnay Hospital at Mantes-la-Jolie
(France). The main inclusion criterion was the presence of RVO with ME and
decreased VA. The primary endpoint was improvement of retinal venous oxygen
saturation in patients with RVO before and 3 months after intravitreal
ranibizumab injection. Secondary outcomes were improvement of retinal arterial
oxygen saturation, improvement of best-corrected visual acuity (BCVA) on the
Early Treatment Diabetic Retinopathy Study (ETDRS) scale, regression of ME
measured by the central macular thickness (CMT) in nm and studying the
correlation between blood pressure (BP) and retinal venous oxygen saturation
before and after ranibizumab. RESULTS: Six eyes of six patients were included.
Before treatment, the mean (standard deviation [SD]) of the retinal venous
saturation (%) was 38.1+/-14.2. Three months after the injections, the mean (SD)
of the retinal venous saturation (%) increased statistically significantly 49.2+/
11 (P=0.03). CONCLUSION: In this study, retinal venous oxygen saturation in
patients with RVO, HBP and dyslipidemia was partially normalized during
intravitreal ranibizumab treatment.
PMID- 27865691
TI - [Evaluation of vision quality after laser vision correction in healthcare
professionals].
AB - PURPOSE: Healthcare professionals require good quality of vision. The main
objective of this study is to evaluate the satisfaction and vision quality after
laser vision correction in healthcare professionals. METHODS: This is a
monocentric retrospective study. An online 25-question survey was sent to
ametropic physicians, surgeons and nurses who underwent corneal refractive
surgery with laser-assisted in situ keratomileusis (LASIK) or photorefractive
keratectomy (PRK) between July 2012 and February 2016. Questionnaire responses
were recorded anonymously by the internet survey site. These patients' pre- and
postoperative data were analyzed. RESULTS: In total, 2491 laser vision
corrections were performed during this time frame. One hundred and fifty-eight
patients were healthcare providers; 131 received the survey, and 111 responded.
Ninety-nine were surgeons, 60 were medical physicians, and 32 were nurses. Ninety
one percent reported that they were satisfied with their postoperative vision
quality, 63.9% even reported an improvement in their quality of vision compared
with their corrected preoperative vision, 92.8% reported that they would have the
procedure again, and 94.6% would recommend the procedure to a family member or a
patient. Visual outcomes showed high levels of efficacy and predictability.
CONCLUSION: While healthcare professionals require good quality of vision, those
who had undergone laser vision correction reported the same satisfaction as the
general population and would recommend the procedure to their family, friends and
patients.
PMID- 27865692
TI - Elimination of blinding trachoma in China.
AB - OBJECTIVE: To present the change in the prevalence of blindness caused by
trachoma between 1987 and 2006 by secondary data analysis based on two China
National Sample Surveys on Disability (CNSSD). METHODS: Secondary data analysis
was performed on two China National Sample Surveys on Disability (CNSSD), which
were national representative household surveys conducted in 1987 and 2006. The
prevalence of blindness caused by trachoma was estimated by 10-year age group. In
addition, the proportion of various causes of blindness was evaluated. The
geographical distribution of blindness caused by trachoma both in 1987 and 2006
was analyzed in order to visualize the hot spots of blinding trachoma in China.
RESULTS: The prevalence of blindness caused by trachoma in China decreased from
51.5/100,000 in 1987 to 17.6/100,000 in 2006. In addition, the proportion of
blindness attributed to trachoma also decreased from 10.1% (1987) to 0.9% (2006).
Moreover, the prevalence of blindness caused by trachoma was over 200/100,000 in
2.2% of sampled counties in 2006 as compared to 8.6% in 1987. The hot spots of
blinding trachoma were shown to be limited to underdeveloped mountain areas in
Hubei and Guizhou provinces. CONCLUSION: Although blinding trachoma is no longer
the leading cause of blindness in China since the 2000's, the prevalence of
trachoma should still be monitored in some underdeveloped mountain areas.
Therefore, health organization must continue to fight against blinding trachoma
in underdeveloped areas.
PMID- 27865694
TI - Ocular amyloidosis: A direct view of the amyloid plaques.
PMID- 27865693
TI - ["Point by point" approach to structure-function correlation of glaucoma on the
ganglion cell complex in the posterior pole].
AB - PURPOSE: To try to establish a "point by point" relationship between the local
thickness of the retinal ganglion cell complex and its sensitivity. MATERIALS AND
METHODS: In total, 104 glaucomatous eyes of 89 patients with a confirmed 24-2
visual field, were measured by superimposing the visual field, using imaging
software, with the Wide 40 degrees by 30 degrees measurements of retinal
ganglion cell complex obtained from the Topcon(c) 3D 2000 OCT, after upward
adjustment, inversion and scaling. Visual fields were classified into two groups
according to the extent of the disease: 58 mild to moderate (MD up to -12dB), and
46 severe (MD beyond -12dB). The 6mm by 6mm central region, equipped with a
normative database, was studied, corresponding to 16 points in the visual field.
These points were individually matched one by one to the local ganglion cell
complex, which was classified into 2 groups depending on whether it was greater
or less than 70 microns. The normative database confirmed the pathological nature
of the thin areas, with a significance of 95 to 99%. Displacement of central
retinal ganglion cells was compensated for. Of 1664 points (16 central points for
104 eyes), 283 points were found to be "borderline" and excluded. Of the 1381
analyzed points, 727 points were classified as "over 70 microns" and 654 points
"under 70 microns". RESULTS: (1) For all stages combined, 85.8% of the 727 points
which were greater than 70 microns had a deviation between -3 and +3dB: areas
above 70 microns had no observable loss of light sensitivity. (2) In total, 92.5%
of the 428 points having a gap ranging from -6 to -35dB were located on ganglion
cell complex areas below 70 microns: functional visual loss was identified in
thin areas, which were less than 70 microns. (3) Areas which were less than 70
microns, that is 654 points, had quite variable sensitivity and can be divided
into three groups: the first with preserved sensitivity, another with obliterated
sensitivity, and an intermediate group connecting the two previous ones.
DISCUSSION: In pathologically thin areas, the distribution of these three
functional groups seems to correspond to the progression of glaucomatous visual
degradation, including a period of resistance, a period of rapid decline, finally
leading to complete functional loss. CONCLUSION: In the studied area, the
analysis of retinal ganglion cell complex is relevant to identify areas which are
still functional when they exceed 70 microns. Scotomas correspond to the thin
areas less than 70 microns. The functionality of areas which are pathologically
thinned by glaucomatous degeneration is not correlated to their thickness. In the
future, the correlation between structure and function, currently "regional" may
be realized "point by point" once automation of the visual field superimposition
is made available for the ganglion cell complex.
PMID- 27865695
TI - Fusiform Left Carotid-Ophthalmic Artery Aneurysm Presenting with Central Retinal
Artery Occlusion.
AB - Central retinal artery occlusion (CRAO) is a devastating ocular emergency
characterized by acute painless visual loss in the ipsilateral eye. We describe
the case of acute non-arteritic CRAO associated fusiform internal carotid
ophthalmic artery aneurysm with intraluminal thrombus. Despite the rarity of this
condition, we suggest that carotid-ophthalmic artery aneurysm should be included
in the differential diagnosis of CRAO.
PMID- 27865696
TI - Strength Training for Skeletal Muscle Endurance after Stroke.
AB - BACKGROUND AND PURPOSE: Initial studies support the use of strength training (ST)
as a safe and effective intervention after stroke. Our previous work shows that
relatively aggressive, higher intensity ST translates into large effect sizes for
paretic and non-paretic leg muscle volume, myostatin expression, and maximum
strength post-stroke. An unanswered question pertains to how our unique ST model
for stroke impacts skeletal muscle endurance (SME). Thus, we now report on ST
induced adaptation in the ability to sustain isotonic muscle contraction.
METHODS: Following screening and baseline testing, hemiparetic stroke
participants were randomized to either ST or an attention-matched stretch control
group (SC). Those in the ST group trained each leg individually to muscle failure
(20 repetition sets, 3* per week for 3 months) on each of three pneumatic
resistance machines (leg press, leg extension, and leg curl). Our primary outcome
measure was SME, quantified as the number of submaximal weight leg press
repetitions possible at a specified cadence. The secondary measures included one
repetition maximum strength, 6-minute walk distance (6MWD), 10-meter walk speeds,
and peak aerobic capacity (VO2 peak). RESULTS: ST participants (N = 14) had
significantly greater SME gains compared with SC participants (N = 16) in both
the paretic (178% versus 12%, P < .01) and non-paretic legs (161% versus 12%, P <
.01). These gains were accompanied by group differences for 6MWD (P < .05) and
VO2 peak (P < .05). CONCLUSION: Our ST regimen had a large impact on the capacity
to sustain submaximal muscle contraction, a metric that may carry more practical
significance for stroke than the often reported measures of maximum strength.
PMID- 27865697
TI - Sulfonylurea Pretreatment and In-Hospital Use Does Not Impact Acute Ischemic
Strokes (AIS) Outcomes Following Intravenous Thrombolysis.
AB - BACKGROUND AND PURPOSE: Preliminary studies have indicated that sulfonylurea
drugs (SUD) may confer protection against cerebral swelling and hemorrhagic
transformation in severe acute ischemic stroke (AIS). We sought to determine
whether pretreatment and in-hospital use of SUD may be associated with better
outcomes in diabetic AIS patients treated with intravenous thrombolysis (IVT).
SUBJECTS AND METHODS: We analyzed consecutive diabetic AIS patients treated with
IVT during a 3-year period. Pretreatment with SUD, admission NIHSS (National
Institutes of Health Stroke Scale) score, NIHSS at 48 hours, and modified Rankin
Scale (mRS) at discharge were documented. Patients who discontinued SUD during
hospitalization were excluded. Symptomatic intracranial hemorrhage (sICH) was
defined as imaging evidence of ICH with NIHSS score increase of greater than or
equal to 4 points within 72 hours. Early neurological improvement was defined as
an NIHSS score decrease of greater than or equal to 4 points or NIHSS score of 0
at 48 hours. Cerebral edema was documented by neuroradiology reports. Favorable
functional outcome (FFO) was defined as discharge mRS of 0-1. RESULTS: A total of
148 diabetic AIS patients were evaluated (mean age 64 +/- 11 years, 49% men,
median admission NIHSS score: 8 points). We identified 42 (28%) cases pretreated
with SUD. The prevalence of complications and favorable outcomes did not differ
(P > .1) between patients pretreated and nonpretreated with SUD: sICH (2% versus
5%), cerebral edema (5% versus 4%), early neurological improvement (42% versus
43%), in-hospital mortality (12% versus 5%), and FFO (22% versus 32%).
CONCLUSIONS: Pretreatment and in-hospital use of SUD appears not to be associated
with early favorable outcomes and lower likelihood of potential complications in
diabetic AIS patients treated with IVT.
PMID- 27865698
TI - Immunohistochemical Analysis of Debris Captured by Filter-Type Distal Embolic
Protection Devices for Carotid Artery Stenting.
AB - BACKGROUND: Little is known about the micro-debris captured in filter-type distal
embolic protection devices (EPD) used for carotid stenting (CAS). This study
aimed to determine the histological and immunohistochemical characteristics of
such debris by using a new liquid-based cytology (LBC) technique. METHODS:
Fifteen patients who underwent CAS using a filter-type distal EPD (FilterWire EZ;
Boston Scientific, Marlborough, MA, USA) were included in the study. After gross
inspection of each recovered filter device, micro-debris were collected using a
new LBC technique (SurePath; TriPath Imaging, Inc., Burlington, NC). Histological
and immunohistochemical analysis of the recovered debris was performed. The pre-
and postoperative brain magnetic resonance imaging and neurological status of
each patient were also reviewed. RESULTS: No patient developed ipsilateral
symptomatic stroke due to a thromboembolic event. All 15 patients (100%) had
microscopically identifiable debris in the filters, whereas gross inspection
detected visible debris only in 5 patients (33.3%). Histological analysis
revealed various types of structural components in an advanced atheromatous
plaque, including fragments of fibrous cap, calcified plaque, smooth muscle
cells, and necrotic tissue fragment infiltrated with monocytes and macrophages.
CONCLUSIONS: Filter-type EPDs may contribute to reducing the risk of CAS-related
embolic events by capturing micro-debris even when gross inspection of the
recovered filter shows no visible debris in the device.
PMID- 27865699
TI - TNF-alpha inhibits the growth of Legionella pneumophila in airway epithelial
cells by inducing apoptosis.
AB - BACKGROUND: TNF-alpha plays an important role in the pathogenesis of Legionella
pneumophila (Lp)-induced pneumonia. Patients undergoing anti-TNF-alpha therapy
are at an increased risk of Lp infection. Lp infects both phagocytic and non
phagocytic cells such as airway epithelial cells; however, the role of TNF-alpha
in airway epithelial cells is unknown. METHODS: Human airway epithelial cell line
NCI-H292 was infected with Lp NUL1 strain. After infection, both intracellular
growth of Lp and cell death were evaluated after treating the cells with or
without TNF-alpha. Apoptosis was examined by performing activated caspase-3/7
staining and by using a pan-caspase inhibitor. RESULTS: Lp infected and
replicated in NCI-H292 cells in a time-dependent manner, and TNF-alpha treatment
of Lp-infected NCI-H292 cells inhibited Lp replication. Inhibitory effects of TNF
alpha on Lp replication were suppressed after treatment with a TNF-alpha
neutralizing antibody. Lp infection increased extracellular lactate dehydrogenase
levels and decreased the number of living cells. Increased number of Lp-infected
NCI-H292 cells showed caspase-3/7 activation, indicating they underwent
apoptosis. TNF-alpha treatment inhibited Lp replication by increasing the
apoptosis of NCI-H292 cells. CONCLUSIONS: Thus, our results suggested that airway
epithelial cells were involved in the pathogenesis of Lp infection and that TNF
alpha played a protective role by inhibiting the intracellular replication of Lp
and by increasing the apoptosis of Lp-infected airway epithelial cells. However,
Lp infection should be investigated further in patients undergoing anti-TNF-alpha
therapy who develop pneumonia.
PMID- 27865700
TI - Adhesion ability of angiotensin II with model membranes.
AB - The octa-peptide angiotensin II (Ang II, (H2NAspArgValTyrIleHisProPheCOOH)) is
one of the key player on blood pressure regulation in mammals. Predominantly
binding to the Angiotensin type 1 and 2 receptors, the hormone is one of several
peptide ligands binding to G protein coupled receptors (GPCR). The active hormone
derives from a high molecular weight precursor sequentially cleaved by the
proteases renin and the angiotensin converting enzyme (ACE). The chemical nature
of the amino acid sequence has an impact on the behavior in the proximity of
membranes, demonstrated using different membrane model systems and biophysical
methods. Applying electrochemical impedance spectroscopy and small angle X-ray
scattering a detailed view on the adhesion of the peptide with model membrane
surfaces was performed. The role of specific amino acids involved in the
interaction with the phospholipid head group were investigated and, studying a
truncated version of Ang II, Ang (1-7), the key role of the C-terminal
phenylalanine was proven. Truncation of the C-terminal amino acid abolishes the
binding of the peptide to the membrane surface. A shift in pH, altering the
protonation state of the central histidine residue impairs the adhesion of Ang
II.
PMID- 27865701
TI - Polymorphisms and haplotypes of the CYP2B6 detoxification gene in the
predisposition of Acute Myeloid Leukemia (AML) and induction of its cytogenetic
abnormalities.
AB - CYP2B6 is a polymorphic detoxification gene which plays a vital role in the
degradation of genotoxic compounds. In this study we hypothesized that inadequate
detoxification due to CYP2B6 polymorphisms may contribute to AML. To evaluate the
potential impact of CYP2B6 polymorphisms on AML development and induction of its
specific chromosomal abnormalities we studied C777A and A785G polymorphisms for
the first time in AML. Furthermore, we investigated the co-existence of the above
polymorphisms with G516T polymorphism to determine the CYP2B6 high-risk
haplotypes in AML susceptibility. Our study included 619 AML patients and 430
healthy donors. Concerning C777A CYP2B6 polymorphism, no significant difference
was found between patients and controls. However, A785G CYP2B6 polymorphism
showed a statistically higher frequency of the variant genotypes in patients
(48.2%), mainly in secondary AML patients (49.1%) than in controls (26.1%).
Moreover, an increased frequency of the variant genotypes was found in those with
abnormal karyotypes, especially with -7/del(7q), -5/del(5q), +8, inv(16) and
t(8;21). The combination of the three CYP2B6 polymorphisms (G516T, C777A & A785G)
revealed seven haplotypes. Four out of six haplotypes with at least one mutant
allele were significantly associated with an increased risk for AML.
Interestingly, T516A777G785 haplotype, where the three mutant alleles co-existed,
had ~3-fold increased risk to be found in patients than controls. The association
between haplotypes and cytogenetic aberrations revealed a positive correlation
between specific CYP2B6 haplotypes and AML cytogenetic abnormalities. Our data
suggest that A785G CYP2B6 gene polymorphism and specific CYP2B6 haplotypes may
contribute to AML and its specific chromosomal aberrations.
PMID- 27865702
TI - Biosynthetic approaches to creating bioactive fungal metabolites: Pathway
engineering and activation of secondary metabolism.
AB - The diversity of natural products is greater than that of combinatorial chemistry
compounds and is similar to that of drugs. Compounds rich in sp3 carbons, such as
natural products, typically exhibit high structural complexity and high
specificity to molecular targets. Microorganisms can synthesize such sp3 carbon
rich compounds and can be used as excellent factories for making bioactive
compounds. Here, we mainly focus on pathway engineering of two sp3 carbon-rich
bioactive indole alkaloids, fumitremorgin C and terpendole E. We also demonstrate
the importance of activation of secondary metabolism by focusing on tenuazonic
acid, a bioactive tetramic acid compound, as an example.
PMID- 27865703
TI - Discovery of 2-((2-chloro-6-fluorophenyl)amino)-N-(3-fluoro-5
(trifluoromethyl)phenyl)-1-methyl-7,8-dihydro-1H-[1,4]dioxino[2',3':3,4]benzo[1,2
d]imidazole-5-carboxamide as potent, selective and efficacious microsomal
prostaglandin E2 synthase-1 (mPGES-1) inhibitor.
AB - The discovery and SAR of potent, selective dioxane-fused tricyclic
benz[d]imidazole derivatives as mPGES-1 inhibitor are herein described. Various
amide modifications in this series afforded many potent mPGES-1 inhibitors, of
which 17d proved to be suitable for further profiling in vivo. Compound 17d {2
((2-chloro-6-fluorophenyl)amino)-N-(3-fluoro-5-(trifluoromethyl)phenyl)-1-methyl
7,8-dihydro-1H-[1,4]dioxino[2',3':3,4]benzo[1,2-d]imidazole-5-carboxamide}
exhibited excellent mPGES-1 enzyme (IC50: 8nM), cell (A549 IC50: 16.24nM) and
human whole blood potency (IC50: 249.9nM). In rodent species, 17d strongly
inhibited guinea pig mPGES-1 (IC50: 10.79nM), but not the rat and mouse enzyme.
Furthermore 17d displayed excellent in vitro selectivity over mPGES-2, cPGES, COX
enzymes (COX-1, 2), selectivity against other prostanoid synthases, favorable
hERG and CEREP panel profile. Likewise, our lead 17d demonstrated good oral
pharmacokinetic profiles and good CNS B/P ratio in rat and guinea pig. Lead 17d
also unveiled good efficacy in LPS-induced thermal hyperalgesia pain model with
ED50 of 36.7mg/kg, respectively.
PMID- 27865704
TI - Radical scavenging and antibacterial activity of caffemides against gram
positive, gram negative and clinical drug resistance bacteria.
AB - A new series of caffemide were synthesized and their antioxidant and
antibacterial activities were explored. Antioxidant and antibacterial activities
were measured of different structures of caffemide containing different
functional groups. Anti-oxidative caffemides 1b and 1g showed significantly
higher activity against different bacteria with MIC values less than 50MUg/ml.
These anti-oxidative and antibacterial properties of caffemides might be helpful
for the treatment of secondary infections and discovery of new antibiotics.
PMID- 27865705
TI - Dimeric sesquiterpene and thiophenes from the roots of Echinops latifolius.
AB - Phytochemical investigation of the roots of Echinops latifolius led to the
isolation of a new carbon skeleton dimeric sesquiterpene (1) and a new thiophene
(2), along with six known compounds (3-8). Their structures and relative
stereochemistry were elucidated by spectroscopic and spectrometric methods (1H
and 13C NMR, COSY, HSQC, HMBC, ROESY, and MS). All isolates were evaluated for
their inhibition of LPS-induced NO production in RAW 264.7 cells. Compounds 4 and
5 exhibited the most potent inhibitory effects on NO production.
PMID- 27865706
TI - Age-related length variability of polymorphic CAG repeats.
AB - Somatic instability of CAG repeats has been associated with the clinical
progression of CAG repeat diseases. Aging and DNA repair processes influence the
somatic stability of CAG repeat in disease and in mouse models. However, most of
the studies have focused on genetically engineered transgenic repeats and little
is known about the stability of naturally polymorphic CAG repeats. To study
whether age and/or DNA repair activity have an effect on the somatic stability of
CAG repeats, we analyzed variations of the length of naturally polymorphic CAG
repeats in the striatum of young and aged WT and ogg1 KO mice. Some multiple and
long polymorphic CAG repeats were observed to have variable length in the
striatum of aged mice. Interestingly, a low level of repeat variability was
detected in the CAG repeat located in tbp, the only mouse polymorphic CAG repeat
that is associated with a trinucleotide disease in humans, in the striatum of
aged mice and not in young mice. We propose that age may have an effect on the
somatic stability of polymorphic CAG repeats and that such an effect depends on
intrinsic CAG repeat characteristics.
PMID- 27865707
TI - A comprehensive database of published tDCS clinical trials (2005-2016).
AB - Transcranial direct current stimulation (tDCS) is a technique of noninvasive
cortical stimulation allowing significant modification of brain functions.
Clinical application of this technique was reported for the first time in March
2005. This paper presents a detailed list of the 340 articles (excluding single
case reports) which have assessed the clinical effect of tDCS in patients, at
least when delivered to cortical targets. The reviewed conditions were: pain
syndromes, Parkinson's disease, dystonia, cerebral palsy, post-stroke limb motor
impairment, post-stroke neglect, post-stroke dysphagia, post-stroke aphasia,
primary progressive aphasia, multiple sclerosis, epilepsy, consciousness
disorders, Alzheimer's disease and other types of dementia, tinnitus, depression,
auditory hallucinations and negative symptoms of schizophrenia, addiction and
craving, autism, and attention disorders. The following data were collected: (i)
clinical condition; (ii) study design; (iii) sample size; (iv) anode and cathode
locations; (v) stimulation intensity and electrode area; (vi) number and duration
of sessions; (vii) clinical outcome measures and results. This article does not
include any meta-analysis and aims simply at providing a comprehensive overview
of the raw data reported in this field to date, as an aid to researchers.
PMID- 27865708
TI - Effects of exposure to 2100MHz GSM-like radiofrequency electromagnetic field on
auditory system of rats.
AB - INTRODUCTION: The use of mobile phones has become widespread in recent years.
Although beneficial from the communication viewpoint, the electromagnetic fields
generated by mobile phones may cause unwanted biological changes in the human
body. OBJECTIVE: In this study, we aimed to evaluate the effects of 2100MHz
Global System for Mobile communication (GSM-like) electromagnetic field,
generated by an electromagnetic fields generator, on the auditory system of rats
by using electrophysiological, histopathologic and immunohistochemical methods.
METHODS: Fourteen adult Wistar albino rats were included in the study. The rats
were divided randomly into two groups of seven rats each. The study group was
exposed continuously for 30days to a 2100MHz electromagnetic fields with a signal
level (power) of 5.4dBm (3.47mW) to simulate the talk mode on a mobile phone. The
control group was not exposed to the aforementioned electromagnetic fields. After
30days, the Auditory Brainstem Responses of both groups were recorded and the
rats were sacrificed. The cochlear nuclei were evaluated by histopathologic and
immunohistochemical methods. RESULTS: The Auditory Brainstem Responses records of
the two groups did not differ significantly. The histopathologic analysis showed
increased degeneration signs in the study group (p=0.007). In addition,
immunohistochemical analysis revealed increased apoptotic index in the study
group compared to that in the control group (p=0.002). CONCLUSION: The results
support that long-term exposure to a GSM-like 2100MHz electromagnetic fields
causes an increase in neuronal degeneration and apoptosis in the auditory system.
PMID- 27865709
TI - Defective splicing of the background K+ channel K2P5.1 by the pre-mRNA splicing
inhibitor, pladienolide B in lectin-activated mouse splenic CD4+ T cells.
AB - The two-pore domain K+ channel K2P5.1 has been implicated in the pathogenesis of
autoimmune diseases. We investigated the changes in K2P5.1 activity caused by a
defect in normal pre-mRNA splicing in concanavalin A-activated mouse splenic CD4+
T cells. The pre-mRNA splicing inhibitor, pladienolide B (1 MUM) markedly
decreased full-length K2P5.1 transcription in activated CD4+ T cells, resulting
in the disappearance of K2P5.1 activity and an imbalance in Th17 and Treg
cytokines. These results suggest that the defect in K2P5.1 splicing by the pre
mRNA splicing inhibitor regulates pro- and/or anti-inflammatory cytokine
production in K2P5.1-associated autoimmune diseases.
PMID- 27865710
TI - New human biomonitoring methods for chemicals of concern-the German approach to
enhance relevance.
AB - In Germany strong efforts have been made within the last years to develop new
methods for human biomonitoring (HBM). The German Federal Ministry for the
Environment, Nature Conservation, Building and Nuclear Safety (BMUB) and the
German Chemical Industry Association e. V. (VCI) cooperate since 2010 to increase
the knowledge on the internal exposure of the general population to chemicals.
The projects aim is to promote human biomonitoring by developing new analytical
methods Key partner of the cooperation is the German Environment Agency (UBA)
which has been entrusted with the scientific coordination. Another key partner is
the "HBM Expert Panel" which each year puts together a list of chemicals of
interest to the project from which the Steering Committee of the project choses
up to five substances for which method development will be started. Emphasis is
placed on substances with either a potential health relevance or on substances to
which the general population is potentially exposed to a considerable extent. The
HBM Expert Panel also advises on method development. Once a method is developed,
it is usually first applied to about 40 non-occupationally exposed individuals. A
next step is applying the methods to different samples. Either, if the time trend
is of major interest, to samples from the German Environmental Specimen Bank, or,
in case exposure sources and distribution of exposure levels in the general
population are the focus, the new methods are applied to samples from children
and adolescents from the population representative 5th German Environmental
Survey (GerES V). Results are expected in late 2018. This article describes the
challenges faced during method development and solutions found. An overview
presents the 34 selected substances, the 14 methods developed and the 7 HBM-I
values derived in the period from 2010 to mid 2016.
PMID- 27865711
TI - Use of an ePTFE-covered nitinol self-expanding stent graft for the treatment off
pre-closure device failure during transcatheter aortic valve replacement.
AB - OBJECTIVES: Our aim was to describe our experience with the use of an ePTFE
covered nitinol self-expanding stent graft (GORE(r) VIABAHN(r) Endoprosthesis,
Gore Medical, USA) placed in the common femoral artery for the treatment of
suture-mediated pre-closure device failure following transcatheter aortic valve
replacement (TAVR). BACKGROUND: Access site-related vascular complications (VC)
following sheath removal related to pre-closure device failure during TAVR are
common and treatment options may vary. METHODS: We performed an observational
study on a series of consecutive patients who underwent TAVR between 2013 and
2015. RESULTS: Included were 25 patients at a mean (+/-SD) age of 82+/-9. Failure
of the closure device resulted in overt bleeding in 19 patients, dissection or no
flow in 5 patients, and angiographic pseudoaneurysm in 1. Overall 29 stents were
deployed with diameters ranging from 8 to 11mm and a length of 50mm (26, 90%).
All stent-graft deployments achieved complete hemostasis of the arteriotomy site
and resulted in normal flow to the distal vessels. None of the patients required
open surgical repair. The mean hemoglobin drop was 2.6+/-1.3g/dl. Blood
transfusions were used in 15 (60%) patients. Acute kidney injury occurred in 4
(16%) patients, none of whom was treated with dialysis. Length of hospital stay
was 9+/-5days. All patients survived during a 30-day follow-up period, and none
had VC related to the stented site. CONCLUSIONS: The use of an ePTFE-covered
Nitinol self-expanding stent graft is a feasible, safe, and effective treatment
modality for access site-related VC following TAVR. SUMMARY: The use of an ePTFE
covered nitinol self-expanding stent graft placed in the common femoral artery
for the treatment of suture-mediated pre-closure device failure following
transcatheter aortic valve replacement (TAVR) is described in 25 patients. Its
use was found to be feasible, safe, and an effective treatment modality for
access site-related vascular complications following TAVR.
PMID- 27865712
TI - Role for human arylamine N-acetyltransferase 1 in the methionine salvage pathway.
AB - The Phase II drug metabolizing enzyme arylamine N-acetyltransferase 1 (NAT1) has
been implicated in the growth and survival of cancer cells, although the
mechanisms that underlies these effects are unknown. Here, a focused metabolomics
approach was used to identify changes in folate catabolism as well as the S
adenosylmethionine (SAM) cycle following NAT1 knockdown with shRNA. Although
acetylation of the folate catabolite p-aminobenzoylglutamate (pABG) was
significantly decreased, there were no changes in intracellular pABG or the
various components of the SAM cycle. By contrast, the flux of homocysteine in the
medium was different following NAT1 knockdown after the methionine content was
exhausted suggesting a need for this metabolite in methionine synthesis. Analysis
of the growth of various cancer cells in methylthioadenosine-supplemented medium
showed that NAT1 knockdown inhibited the methionine salvage pathway in HT-29
cells but not in HeLa or MDA-MB-436 cells. The cause of this was a low level of
expression of the isomerase MRI-1 in the HT-29 cells. Knocking down both NAT1 and
MRI-1 in HeLa cells with siRNA further demonstrated a redundancy between these 2
enzymes, although direct isomerase activity by NAT1 could not be demonstrated.
The present study has identified a novel endogenous role for human NAT1 that
might explain some of its effects in cancer cell growth and survival.
PMID- 27865714
TI - Short-term prophylactic use of C1-inhibitor concentrate in hereditary angioedema:
Findings from an international patient registry.
PMID- 27865715
TI - Time-dependent severity change during treatment of pediatric patients
hospitalized for acute asthma exacerbations.
PMID- 27865716
TI - Common variable immune deficiency associated with pemphigoid.
PMID- 27865713
TI - Platensimycin and platencin: Inspirations for chemistry, biology, enzymology, and
medicine.
AB - Natural products have served as the main source of drugs and drug leads, and
natural products produced by microorganisms are one of the most prevalent sources
of clinical antibiotics. Their unparalleled structural and chemical diversities
provide a basis to investigate fundamental biological processes while providing
access to a tremendous amount of chemical space. There is a pressing need for
novel antibiotics with new mode of actions to combat the growing challenge of
multidrug resistant pathogens. This review begins with the pioneering discovery
and biological activities of platensimycin (PTM) and platencin (PTN), two
antibacterial natural products isolated from Streptomyces platensis. The
elucidation of their unique biochemical mode of action, structure-activity
relationships, and pharmacokinetics is presented to highlight key aspects of
their biological activities. It then presents an overview of how microbial
genomics has impacted the field of PTM and PTN and revealed paradigm-shifting
discoveries in terpenoid biosynthesis, fatty acid metabolism, and antibiotic and
antidiabetic therapies. It concludes with a discussion covering the future
perspectives of PTM and PTN in regard to natural products discovery, bacterial
diterpenoid biosynthesis, and the pharmaceutical promise of PTM and PTN as
antibiotics and for the treatment of metabolic disorders. PTM and PTN have
inspired new discoveries in chemistry, biology, enzymology, and medicine and will
undoubtedly continue to do so.
PMID- 27865717
TI - Eosinophilic esophagitis as possible complication of aspirin treatment in patient
with aspirin-exacerbated respiratory disease.
PMID- 27865718
TI - Are Echocardiography and CMR Really Discordant in Mitral Regurgitation?
PMID- 27865720
TI - The Female Side of the Heart: Sex Differences in Athlete's Heart.
PMID- 27865719
TI - Pre-Load-Induced Changes in Forward LV Stroke and Functional Mitral
Regurgitation: Echocardiographic Detection of the Descending Limb of Starling's
Curve.
AB - OBJECTIVES: The purpose of this study was to clarify the prognostic significance
of diagnosing whether the failing heart is functioning on the descending limb of
the Starling curve by using echocardiography with passive leg lifting (PLL).
BACKGROUND: Patients with advanced heart failure can shift to the descending limb
of the Starling curve, in which pre-load does not lead to an expected increase in
forward left ventricular stroke volume (LVSV). METHODS: Thirty-five consecutive
patients with left ventricular (LV) ejection fraction of <40% underwent
echocardiography at rest during baseline and during PLL to increase pre-load.
RESULTS: Despite PLL, a paradoxical decrease in forward LVSV was observed in 15
(43%) patients. Changes in forward LVSV inversely correlated with those in
functional mitral regurgitation (r = -0.56). The primary endpoint of cardiac
death or hospitalization due to worsening heart failure occurred in 15 (43%)
patients during follow-up (2.8 +/- 2.2 years). There were a number of significant
predictors of the primary endpoint in the univariate Cox analysis: baseline E/A
ratio (p = 0.0002), paradoxical decrease in LVSV despite PLL (hazard ratio: 4.44;
95% confidence interval: 1.41 to 14.0; p = 0.011), baseline LV end-systolic
volume (p = 0.023), and baseline LV ejection fraction (p = 0.034). In the
bivariate Cox analysis, an addition of the paradoxical decrease in LVSV
significantly enhanced the predictive power of all other univariate predictors.
CONCLUSIONS: Heart failure patients with LV systolic dysfunction on the
descending limb of the Starling curve can be recognized by the paradoxical
decrease in LVSV despite PLL, and the prognostic predicting power is additive to
the other traditional echocardiographic predictors. Also, our results suggest
that functional mitral regurgitation is an important reason for the descending
limb of the Starling curve, which is clinically recognized as the pre-load
induced decrease in forward LVSV.
PMID- 27865721
TI - New Concepts in an Old Disease: Exercise Intolerance in Moderate Mitral Stenosis.
PMID- 27865722
TI - Effect of Sex and Sporting Discipline on LV Adaptation to Exercise.
AB - OBJECTIVES: This study sought to investigate the effect of different types of
exercise on left ventricular (LV) geometry in a large group of female and male
athletes. BACKGROUND: Studies assessing cardiac adaptation in female and male
athletes indicate that female athletes reveal smaller increases in LV wall
thickness and cavity size compared with male athletes. However, data on sex
specific changes in LV geometry in athletes are scarce. METHODS: A total of 1,083
healthy, elite, white athletes (41% female; mean age 21.8 +/- 5.7 years) assessed
with electrocardiogram and echocardiogram were considered. LV geometry was
classified into 4 groups according to relative wall thickness (RWT) and left
ventricular mass (LVM) as per European and American Society of Echocardiography
guidelines: normal (normal LVM/normal RWT), concentric hypertrophy (increased
LVM/increased RWT), eccentric hypertrophy (increased LVM/normal RWT), and
concentric remodeling (normal LVM/increased RWT). RESULTS: Athletes were engaged
in 40 different sporting disciplines with similar participation rates with
respect to the type of exercise between females and males. Females exhibited
lower LVM (83 +/- 17 g/m2 vs. 101 +/- 21 g/m2; p < 0.001) and RWT (0.35 +/- 0.05
vs. 0.36 +/- 0.05; p < 0.001) compared with male athletes. Females also
demonstrated lower absolute LV dimensions (49 +/- 4 mm vs. 54 +/- 5 mm; p <
0.001) but following correction for body surface area, the indexed LV dimensions
were greater in females (28.6 +/- 2.7 mm/m2 vs. 27.2 +/- 2.7 mm/m2; p < 0.001).
Most athletes showed normal LV geometry. A greater proportion of females
competing in dynamic sport exhibited eccentric hypertrophy compared with males
(22% vs. 14%; p < 0.001). In this subgroup only 4% of females compared with 15%
of males demonstrated concentric hypertrophy/remodeling (p < 0.001). CONCLUSIONS:
Highly trained athletes generally show normal LV geometry; however, female
athletes participating in dynamic sport often exhibit eccentric hypertrophy.
Although concentric remodeling or hypertrophy in male athletes engaged in dynamic
sport is relatively common, it is rare in female athletes and may be a marker of
disease in a symptomatic athlete.
PMID- 27865724
TI - Leg Lifting in HFrEF, Frank-Starling, and Mitral Regurgitation.
PMID- 27865723
TI - Mechanisms of Effort Intolerance in Patients With Rheumatic Mitral Stenosis:
Combined Echocardiography and Cardiopulmonary Stress Protocol.
AB - OBJECTIVES: This study sought to evaluate mechanisms of effort intolerance in
patients with rheumatic mitral stenosis (MS). BACKGROUND: Combined stress
echocardiography and cardiopulmonary testing allows assessment of cardiac
function, hemodynamics, and oxygen extraction (A-Vo2 difference). METHODS: Using
semirecumbent bicycle exercise, 20 patients with rheumatic MS (valve area 1.36 +/
0.4 cm2) were compared to 20 control subjects at 4 pre-defined activity stages
(rest, unloaded, anaerobic threshold, and peak). Various echocardiographic
parameters (left ventricular volumes, ejection fraction, stroke volume, mitral
valve gradient, mitral valve area, tissue s' and e') and ventilatory parameters
(peak oxygen consumption [Vo2] and A-Vo2 difference) were measured during 8 to 12
min of graded exercise. RESULTS: Comparing patients with MS to control subjects,
significant differences (both between groups and for group by time interaction)
were seen in multiple parameters (heart rate, stroke volume, end-diastolic
volume, ejection fraction, s', e', Vo2, and tidal volume). Exercise responses
were all attenuated compared to control subjects. Comparing patients with MS and
poor exercise tolerance (<80% of expected) to other subjects with MS, we found
attenuated increases in tidal volume (p = 0.0003), heart rate (p = 0.0009), and
mitral area (p = 0.04) in the poor exercise tolerance group. These patients also
displayed different end-diastolic volume behavior over time (group by time
interaction p = 0.05). In multivariable analysis, peak heart rate response (p =
0.01), tidal volume response (p = 0.0001), and peak A-Vo2 difference (p = 0.03)
were the only independent predictors of exercise capacity in patients with MS;
systolic pulmonary pressure, mitral valve gradient, and mitral valve area were
not. CONCLUSIONS: In patients with rheumatic MS, exercise intolerance is
predominantly the result of restrictive lung function, chronotropic incompetence,
limited stroke volume reserve, and peripheral factors, and not simply impaired
valvular function. Combined stress echocardiography and cardiopulmonary testing
can be helpful in determining mechanisms of exercise intolerance in patients with
MS.
PMID- 27865726
TI - [Falls in less than one year-old infants: Management in the emergency
department].
AB - OBJECTIVES: A study was performed in order to describe injuries associated with
falls in children aged <1 year who attended the emergency department. The
approaches used were examined, as well as the factors associated with the greater
use of these approaches, and the management of the patient. PATIENTS AND METHODS:
This was a multicentre, descriptive and analytical study that included all
patients aged <1 year who had experienced a fall for which they attended the
emergency departments of one of 8 Spanish Hospitals belonging to the
"Unintentional Paediatric Injury Working Group" of the Spanish Paediatric
Society. A record was made of the data regarding the visit, circumstances before
arrival at the hospital, injuries observed, and the diagnostic and therapeutic
approaches used. RESULTS: A total of 1022 patients had experienced falls, that
is, 0.35% of the emergencies attended in the study hospitals (95% CI, 0,348
0,352). The most commonly affected part was the head (58%). Cranial radiography
was ordered in 31.8% of cases, and was associated with the presence of bruising
or signs of fracture on examination (P<.001), falls from heights >100cm (P<.001),
and age <3 months (P=.004). Minor head injury was the most common finding
(85.6%), followed by fractures, especially cranial fractures (7.1%), which were
associated with bruising or signs of fracture on examination (P<.001), and age <3
months (P<.001). Six percent of the patients required admission to hospital. The
risk factors for hospital admission in this group were falls from heights >50cm
and age <3 months. CONCLUSIONS: Injuries after falls in infants aged <1 year are
commonly due to head trauma and frequently require additional diagnostic tests.
PMID- 27865725
TI - Cardiac CTA for Evaluation of Prosthetic Valve Dysfunction.
PMID- 27865727
TI - [Epidemiology of patients hospitalised due to bronchiolitis in the south of
Europe: Analysis of the epidemics, 2010-2015].
AB - INTRODUCTION: The renewal of clinical practice guidelines on acute bronchiolitis
(AB) requires the re-assessment of the consequences of their implementation. An
update is presented on the main clinical and epidemiological variables in
patients hospitalised due to AB in Southern Europe and an analysis made of the
causes associated with longer hospital stay. PATIENTS AND METHOD: A retrospective
study was conducted on patients admitted to hospital due to AB during 5 epidemics
(2010-2015), with an analysis of the major clinical and epidemiological
variables. A logistic regression analysis was performed on the factors associated
with a longer hospital stay. RESULTS: The beginning of the epidemic occurred
between the 4th week of September and the 3rd week of October. Of those children
under 2 years (42,530), 15.21% (6,468 patients) attended paediatric emergency
department due to having AB, and 2.36% (1,006 patients) were admitted. Of these,
18.5% of were premature, 12.2% had a birth weight <2,300g, 21.1% were younger
than 1 month, 10.8% consulted for associated apnoea, 31.1% had an intake <50%,
and 13.1% had bacterial superinfection. These factors were independently
associated with prolonged stay. The median length of stay was 5 days, and 8.5% of
cases were admitted to a paediatric intensive care unit (PICU). CONCLUSIONS: The
beginning of the bronchiolitis epidemic showed a variability of up to 4 weeks in
this region. Five years after implementing the new guidelines, the incidence of
admissions was approximately 2.3%, and appeared stable compared to previous
studies. The mean age of the patients decreased to 2.4 months, although with a
similar proportion of PICU admissions of 8.5%. Independent factors associated
with prolonged stay were: low birth weight, age less than one month, apnoea prior
to-admission, intake of less than 50%, and severe bacterial superinfection.
Respiratory bacterial infection exceeded the prevalence of urinary tract
infection.
PMID- 27865728
TI - The Prevalence and Demographic Associations of Presenting Near-Vision Impairment
Among Adults Living in the United States.
AB - PURPOSE: To estimate prevalence of presenting near-vision impairment (PNVI) among
people aged >=50 years in the United States (US) and examine associations with
sociodemographic characteristics. DESIGN: Cross-sectional study. METHODS: A total
of 11 016 of 12 781 (88.5%) US adults aged >=50 years participated in the
National Health and Nutrition Examination Survey (NHANES) between 1999 and 2008
with recorded near visual acuity. PNVI was defined as presenting near vision
worse than 20/40; functional near-vision impairment (FNVI) was defined as at
least "moderate difficulty" with either reading newsprint or near work.
Prevalence of PNVI and FNVI were estimated accounting for National Health and
Nutrition Examination Survey multistage probability sampling design.
Multivariable regression models were used to determine sociodemographic
characteristics associated with PNVI. RESULTS: A total of 13.6% of participants
had PNVI, with 25.9% reporting concurrent FNVI. Higher odds of PNVI was
associated with nonwhite race, older age, male sex, less than high school
education, lack of private health insurance, income less than poverty level,
lacking/not using near-vision correction at time of examination, and impaired
distance vision. Although the majority of participants with PNVI (82.9%) had
normal distance vision or uncorrected refractive error, less than half (46.1%)
used near-vision correction. Not using near correction was associated with
nonwhite race, younger age, male sex, and lack of access to health care.
CONCLUSIONS: Approximately 1 in 8 Americans aged >=50 years have PNVI, with 1 in
4 reporting concurrent FNVI. Demographic factors shown to be important in access
to eye care likely influence PNVI and utilization of near-vision correction in
the US. As the majority of PNVI is likely correctable with spectacles, allocation
of resources to provide corrective lenses to those in need likely has great
public health implications.
PMID- 27865729
TI - Improving the efficiency of dissolved oxygen control using an on-line control
system based on a genetic algorithm evolving FWNN software sensor.
AB - This work proposes an on-line hybrid intelligent control system based on a
genetic algorithm (GA) evolving fuzzy wavelet neural network software sensor to
control dissolved oxygen (DO) in an anaerobic/anoxic/oxic process for treating
papermaking wastewater. With the self-learning and memory abilities of neural
network, handling the uncertainty capacity of fuzzy logic, analyzing local detail
superiority of wavelet transform and global search of GA, this proposed control
system can extract the dynamic behavior and complex interrelationships between
various operation variables. The results indicate that the reasonable forecasting
and control performances were achieved with optimal DO, and the effluent quality
was stable at and below the desired values in real time. Our proposed hybrid
approach proved to be a robust and effective DO control tool, attaining not only
adequate effluent quality but also minimizing the demand for energy, and is
easily integrated into a global monitoring system for purposes of cost
management.
PMID- 27865730
TI - Overview of the state of the art of constructed wetlands for decentralized
wastewater management in Brazil.
AB - Conventional wastewater treatment plants (WWTPs) commonly require large capital
investments as well as operation and maintenance costs. Constructed wetlands
(CWs) appear as a cost-effective treatment, since they can remove a broad range
of contaminants by a combination of physical, chemical and biological processes
with a low cost. Therefore, CWs can be successfully applied for decentralized
wastewater treatment in regions with low population density and/or with large
land availability as Brazil. The present work provides a review of thirty nine
studies developed on CWs implemented in Brazil to remove wastewater contaminants.
Brazil current sanitation data is also considered to evaluate the potential role
of CWs as decentralized wastewater treatment. Performance of CWs was evaluated
according to (i) type of wetland system, (ii) different support matrix (iii)
vegetation species and (iv) removal efficiency of chemical oxygen demand (COD),
biological oxygen demand (BOD5), nitrogen (N), and phosphorus (P). The reviewed
CWs in overall presented good efficiencies, whereas H-CWs achieved the highest
removals for P, while the higher results for N were attained on VF-CW and for COD
and BOD5 on HF-CW. Therefore, was concluded that CWs are an interesting solution
for decentralized wastewater treatment in Brazil since it has warm temperatures,
extensive radiation hours and available land. Additionally, the low percentage of
population with access to the sewage network in the North and Northeast regions
makes these systems especially suitable. Hence, the further implementation of CW
is encouraged by the authors in regions with similar characteristics as Brazil.
PMID- 27865731
TI - Physicochemical characterization, modelling and optimization of ultrasono
assisted acid pretreatment of two Pennisetum sp. using Taguchi and artificial
neural networking for enhanced delignification.
AB - Acid as well as ultrasono-assisted acid pretreatment of lignocellulosic biomass
of two Pennisetum sp.; Denanath grass (DG) and Hybrid Napier grass (HNG) have
been investigated for enhanced delignification and maximum exposure of cellulose
for production of bioethanol. Screening of pretreatment with different acids such
as H2SO4, HCl, H3PO4 and H2NO3 were optimized for different temperature, soaking
time and acid concentrations using Taguchi orthogonal array and the data obtained
were statistically validated using artificial neural networking. HCl was found to
be the most effective acid for pretreatment of both the Pennisetum sp. The
optimized conditions of HCl pretreatment were acid concentration of 1% and 1.5%,
soaking time 130 and 50 min and temperature 121 degrees C and 110 degrees C
which yielded maximum delignification of 33.0% and 33.8% for DG and HNG
respectively. Further ultrosono-assisted HCl pretreatment with a power supply of
100 W, temperature of 353 K, and duty cycle of 70% has resulted in significantly
higher delignification of 80.4% and 82.1% for both DG and HNG respectively than
that of acid pretreatment. Investigation using SEM, FTIR and autofloresence
microscopy for both acid and ultrasono-assisted acid pretreatment lignocellulosic
biomass revealed conformational changes of pretreated lignocellulosic biomass
with decreased lignin content and increased exposure of cellulose, with greater
effectiveness in case of ultrasono assisted acid pretreatment condition.
PMID- 27865733
TI - Systolic and diastolic unloading by mechanical support of the acute vs the
chronic pressure overloaded right ventricle.
AB - BACKGROUND: Right ventricular (RV) mechanical support is well described in cases
of sudden increase in RV afterload. In cases of chronic RV pressure overload
(e.g., pulmonary arterial hypertension), it has rarely been described. METHODS:
The pulmonary artery was banded in 18 sheep. In the acute group (n = 9), we
immediately implanted a Synergy Pocket Micro-Pump. Blood was withdrawn from the
right atrium to the pulmonary artery. In the chronic group (n = 9), this pump was
implanted 8 weeks after banding. Hemodynamics and pressure-volume loops were
recorded before and 15 minutes after pump activation. RESULTS: Low-flow RV
mechanical support significantly improved arterial blood pressure in both groups,
but cardiac output only in the acute group. Intrinsic RV contractility was not
affected. The RV contribution to the total right-sided cardiac output was 54% +/-
8 in the acute group vs 10% +/- 13 in the chronic group (p < 1.10-5), indicating
a more profound unloading in the latter. Diastolic unloading (reflected by
decreases in central venous pressure, end-diastolic pressure and volume, and
ventricular capacitance) was successful in both groups. Decreases in pressure
volume area and RV peak pressure reflected successful systolic unloading only in
the chronic group. CONCLUSIONS: Low-flow RV mechanical support improved arterial
blood pressure in both conditions but caused a more profound unloading in the
chronic group. Diastolic unloading was successful in both groups, but systolic
unloading was successful only in the chronic group. The potential use of low-flow
mechanical support for a chronic pressure overloaded right ventricle warrants
further research to assess its long-term effects.
PMID- 27865732
TI - PREVENtion of HeartMate II Pump Thrombosis Through Clinical Management: The
PREVENT multi-center study.
AB - BACKGROUND: Recommended structured clinical practices including implant
technique, anti-coagulation strategy, and pump speed management (PREVENT
[PREVENtion of HeartMate II Pump Thrombosis Through Clinical Management]
recommendations) were developed to address risk of early (<3 months) pump
thrombosis (PT) risk with HeartMate II (HMII; St. Jude Medical, Inc. [Thoratec
Corporation], Pleasanton, CA). We prospectively assessed the HMII PT rate in the
current era when participating centers adhered to the PREVENT recommendations.
METHODS: PREVENT was a prospective, multi-center, single-arm, non-randomized
study of 300 patients implanted with HMII at 24 participating sites. Confirmed PT
(any suspected PT confirmed visually and/or adjudicated by an independent
assessor) was evaluated at 3 months (primary end-point) and at 6 months after
implantation. RESULTS: The population included 83% men (age 57 years +/- 13), 78%
destination therapy, and 83% Interagency Registry for Mechanically Assisted
Circulatory Support (INTERMACS) Profile 1-3. Primary end-point analysis showed a
confirmed PT of 2.9% at 3 months and 4.8% at 6 months. Adherence to key
recommendations included 78% to surgical recommendations, 95% to heparin
bridging, and 79% to pump speeds >=9,000 RPMs (92% >8,600 RPMs). Full adherence
to implant techniques, heparin bridging, and pump speeds >=9,000 RPMs resulted in
a significantly lower risk of PT (1.9% vs 8.9%; p < 0.01) and lower composite
risk of suspected thrombosis, hemolysis, and ischemic stroke (5.7% vs 17.7%; p <
0.01) at 6 months. CONCLUSIONS: Adoption of all components of a structured
surgical implant technique and clinical management strategy (PREVENT
recommendations) is associated with low rates of confirmed PT.
PMID- 27865734
TI - Vascular endothelial growth factor A is associated with the subsequent
development of moderate or severe cardiac allograft vasculopathy in pediatric
heart transplant recipients.
AB - BACKGROUND: Cardiac allograft vasculopathy (CAV) is the leading cause of chronic
allograft loss after pediatric heart transplantation. We hypothesized that
biomarkers of endothelial injury and repair would predict CAV development in
pediatric heart transplant recipients. METHODS: Blood was collected from
pediatric heart transplant recipients at the time of routine annual coronary
angiography, and the concentrations of 13 angiogenesis-related molecules were
determined. The primary end point was the presence of moderate or severe CAV by
angiography during a 5-year follow-up period. RESULTS: The study enrolled 48
recipients (57% male) with a median age of 15.5 years (range, 2-22 years) and
median time post-transplant of 5.8 years (range, 2-15 years). Eight recipients
developed moderate/severe CAV at a median follow-up of 4.7 years, of whom 3 died,
3 underwent retransplantation, 1 had a myocardial infarction, and 1 was listed
for retransplantation. Clinical characteristics associated with the development
of moderate/severe CAV included prednisone use at enrollment (p = 0.03) and
positive recipient cytomegalovirus immunoglobulin G at the time of transplant (p
= < 0.01). Multivariable Cox proportional hazards regression identified plasma
vascular endothelial growth factor (VEGF)-A concentration greater than 90 pg/ml
at the time of blood draw as a significant predictor of time to moderate or
severe CAV (hazard ratio, 14.3; 95% confidence interval, 1.3-163). Receiver
operating characteristic curve analysis demonstrated that VEGF-A shows moderate
performance for association with the subsequent development of CAV (area under
the curve, 0.77; 95% confidence interval, 0.61-0.92). CONCLUSIONS: VEGF-A levels
in pediatric heart transplant recipients are associated with clinically important
CAV progression within the subsequent 5 years.
PMID- 27865735
TI - Impact of age on incidence and prevalence of moderate-to-severe cellular
rejection detected by routine surveillance biopsy in pediatric heart
transplantation.
AB - BACKGROUND: The effect of age at transplant on rejection detected by routine
surveillance biopsy (RSB) in pediatric heart transplant (HT) recipients is
unknown. We hypothesized there would be low diagnostic yield and decreased
prevalence of rejection detected on RSB in infants (age <1 year) when compared
with children (age 1 to 9 years) and adolescents (age 10 to 18 years). METHODS:
We utilized Pediatric Heart Transplant Study (PHTS) data from 2010 to 2013 to
analyze moderate-to-severe (ISHLT Grade 2R/3R) cellular rejection (MSR) detected
only on RSB (RSBMSR). RESULTS: RSB detected 280 of 343 (81.6%) episodes of MSR.
RSBMSR was detected in all age groups even >5 years after HT. Infant RSBMSR had a
greater proportion (p = 0.0025) occurring >5 years after HT (39.2 vs 18.4 vs
10.8%) and a lower proportion (p = 0.0009) occurring in the first year after HT
(25.5 vs 60.6 vs 51.7%) compared with children and adolescents, respectively.
Freedom from RSBMSR was 87 +/- 7% in infants, 76 +/- 6% in children and 73 +/- 7%
in adolescents 4 years after HT. In 1-year survivors who had RSBMSR in the first
year after HT, the risk of RSBMSR occurring in Years 2 to 4 was significantly (p
< 0.0001) greater than patients without RSBMSR in the first year (hazard ratio
21.28, 95% confidence interval 10.87 to 41.66), regardless of recipient age.
CONCLUSIONS: RSBMSR exists in all age groups after pediatric HT with long-term
follow-up. The prevalence in infant recipients is highest >5 years after HT.
Those with RSBMSR in the first year after HT are at a high risk for recurrent
rejection regardless of age at HT.
PMID- 27865737
TI - Echocardiographic assessment of feline false tendons and their relationship with
focal thickening of the left ventricle.
AB - BACKGROUND: False tendons (FTs) are string-like structures in the left ventricle.
A FT might produce focal thickening at its insertion region of the left
ventricle, which could be mistaken for focal hypertrophic cardiomyopathy.
OBJECTIVES: To perform a prospective, echocardiographic follow-up examination of
feline FTs and compare the wall thickness at the FT insertion region and a normal
region without FTs at both examinations. ANIMALS: One hundred twenty-eight cats
with one or multiple FTs without other cardiac abnormalities or systemic disease.
METHODS: Measurements of the interventricular septum at end-diastole at a region
with and without FT insertion were performed using two-dimensional
echocardiography at both examinations and compared statistically using a
Student's t-test. RESULTS: The follow-up interval ranged from 5 to 110 months
(mean, 33 months). Myocardial wall segments with FT insertions were significantly
thicker compared with neighboring wall regions in the long axis, but not in the
short-axis views obtained. Comparing the wall thickness of follow-up examinations
with the initial examination, revealed a significant growth of both FT and non-FT
segments. However, differences in growth between the FT region and region without
FTs were not statistically different. CONCLUSIONS AND CLINICAL IMPORTANCE: Many
normal cats have FTs, associated with focal thickening compared with neighboring
regions. This thickening can increase over time, proportionate to growth in other
(non-FT) segments. The association of such thickening with an FT and the absence
of disproportionate growth in this segment over time suggests that these segments
are simply thicker related to FT insertion.
PMID- 27865738
TI - Evaluation of the modified carbapenem inactivation method and sodium
mercaptoacetate-combination method for the detection of metallo-beta-lactamase
production by carbapenemase-producing Enterobacteriaceae.
AB - We evaluated the effectiveness of carbapenem inactivation method (CIM) and
modified CIM (mCIM). Our results indicated that mCIM with 4h incubation improved
sensitivity and specificity for detecting carbapenemase-producing
Enterobacteriaceae compared to CIM. Additionally, we developed a sodium
mercaptoacetate-combination method (SMA-mCIM) to detect metallo-beta-lactamase
(MBL) with high sensitivity and specificity.
PMID- 27865739
TI - Shaken or stirred?: Comparison of methods for dispersion of Mycoplasma pneumoniae
aggregates for persistence in vivo.
AB - BACKGROUND: Mycoplasma pneumoniae (Mpn), one of the smallest self-replicating
prokaryotes, is known to readily adhere to host cells and to form aggregates in
suspension. Having only one cell membrane and no cell wall, mycoplasmas present
questions as to optimal aggregate disruption method while minimizing cell death
in vitro. We compared conventional vortex mixing with other methods for
disruption of bacterial aggregates and for its effect on cell viability. METHODS:
Strain UAB PO1, a clinical Mpn isolate, was dispersed using a conventional vortex
mixer with or without nonionic detergent (0.1% and 0.01% Tween-20), a probe-type
ultrasonicator, or repeated passage through a 27-gauge needle. The resulting
suspensions were assayed for recoverable colony-forming units (CFU). Flow
cytometric assays were carried out to examine particle size and membrane
integrity with the transmembrane potential dye DiBAC4. Wet Scanning Transmission
Electron Microscopy (Wet-STEM) was performed for high resolution imaging of the
resultant cell suspensions. Additional Mpn strains and other human mollicute
species were assayed in a similar manner. Mice were infected with either vortexed
or sonicated UAB PO1 and bacterial persistence was examined via Mpn-specific 16S
qPCR. RESULTS: Comparison between dispersion methods showed a 10-fold enrichment
of recoverable Mpn CFU with sonication compared to other methods. Time-course
analysis showed significantly lower bacterial CFU with vortexing compared to
sonication at all time points. Flow cytometric analysis showed increased cellular
membrane damage via DiBAC4 staining in sonicated suspensions, but a decreased
particle size. Wet-STEM imaging showed markedly improved dispersion with
sonication compared to conventional vortex treatment, and surprisingly vortexing
for 30s produced up to a 100-fold drop in CFU. Results similar to UAB PO1 were
obtained with three additional Mpn strains and other Mollicutes species, although
they exhibited differential susceptibilities to disaggregation by sonication.
Finally, increased persistence of the organism in a mouse model of infection was
observed using sonicated suspensions for initial infection. CONCLUSIONS:
Sonication is superior to vortexing with or without nonionic detergent or
repeated 27-gauge needle passage for dispersion of Mpn aggregates while
preserving cell viability. Preparation of Mpn suspensions for in vivo experiments
is best accomplished using brief sonication due to the dramatic increase in CFU
produced by sonication. Dispersion methods may affect the final experimental
results and should be an important consideration for future research involving
mycoplasma species.
PMID- 27865736
TI - iPS-derived neural progenitor cells from PPMS patients reveal defect in myelin
injury response.
AB - Primary progressive multiple sclerosis (PPMS) is a chronic demyelinating disease
of the central nervous system (CNS) currently lacking any effective treatment.
Promoting endogenous brain repair offers a potential strategy to halt and
possibly restore neurologic function in PPMS. To understand how the
microenvironment within white matter lesions plays a role in repair we have
focused on neural progenitor cells (NPCs) since these are found in lesions in
PPMS and have been found to influence oligodendrocyte progenitor cell maturation
(OPCs). To better understand the cellular nature of NPCs in PPMS we developed iPS
cells from blood samples of PPMS patients and age matched non-disease spouse or
blood relative controls. Using these iPS cell lines we determined that the NPCs
from PPMS cases provided no neuroprotection against active CNS demyelination
compared to NPCs from control iPS lines which were capable of completely
preventing injury. Conditioned media (CM) from PPMS NPCs provides no protection
to OPCs and prevents maturation of OPCs into oligodendrocytes in vitro. We also
found that CM from PPMS iPS NPCs elicited patient-specific differences in the
response to compounds that should foster oligodendrocyte (OL) maturation.
Together, these data establish a new model for understanding the nature of
myelination defects in PPMS which may lead to novel targeted approaches for
preventing demyelination in these patients.
PMID- 27865742
TI - Internal rectal prolapse: Definition, assessment and management in 2016.
AB - Internal rectal prolapse (IRP) is a well-recognized pelvic floor disorder mainly
seen during defecatory straining. The symptomatic expression of IRP is complex,
encompassing fecal continence (56%) and/or evacuation disorders (85%). IRP cannot
be characterized easily by clinical examination alone and the emergence of
dynamic defecography (especially MRI) has allowed a better comprehension of its
pathophysiology and led to the proposition of a severity score (Oxford score)
that can guide management. Decision for surgical management should be
multidisciplinary, discussed after a complete work-up, and only after medical
treatment has failed. Information should be provided to the patient, outlining
the goals of treatment, the potential complications and results. Stapled trans
anal rectal resection (STARR) has been considered as the gold standard for IRP
treatment. However, inconsistent results (failure observed in up to 20% of cases,
and fecal incontinence occurring in up to 25% of patients at one year) have led
to a decrease in its indications. Laparoscopic ventral mesh rectopexy has
substantial advantages in solving the functional problems due to IRP (efficacy on
evacuation and resolution of continence symptoms in 65-92%, and 73-97% of
patients, respectively) and is currently considered as the gold standard therapy
for IRP once the decision to operate has been made.
PMID- 27865741
TI - Seasonal Population Movements and the Surveillance and Control of Infectious
Diseases.
AB - National policies designed to control infectious diseases should allocate
resources for interventions based on regional estimates of disease burden from
surveillance systems. For many infectious diseases, however, there is pronounced
seasonal variation in incidence. Policy-makers must routinely manage a public
health response to these seasonal fluctuations with limited understanding of
their underlying causes. Two complementary and poorly described drivers of
seasonal disease incidence are the mobility and aggregation of human populations,
which spark outbreaks and sustain transmission, respectively, and may both
exhibit distinct seasonal variations. Here we highlight the key challenges that
seasonal migration creates when monitoring and controlling infectious diseases.
We discuss the potential of new data sources in accounting for seasonal
population movements in dynamic risk mapping strategies.
PMID- 27865743
TI - A smart way to reconstruct the middle hepatic vein during major hepatectomy.
PMID- 27865740
TI - Sm-p80-Based Schistosomiasis Vaccine: Preparation for Human Clinical Trials.
AB - Mass antiparasitic drug administration programs and other control strategies have
made important contributions in reducing the global prevalence of helminths.
Schistosomiasis, however, continues to spread to new geographic areas. The advent
of a viable vaccine and its deployment, coupled with existing control efforts, is
expected to make significant headway towards sustained schistosomiasis control.
In 2016, Science ranked the schistosomiasis vaccine as one of the top 10 vaccines
that needs to be urgently developed. A vaccine that is effective against
geographically distinct forms of intestinal/hepatic and urinary disease is
essential to make a meaningful impact in global reduction of the disease burden.
In this opinion article, we focus on salient features of schistosomiasis vaccines
in different phases of the clinical development pipeline and highlight the Sm-p80
based vaccine which is now being prepared for human clinical trials.
PMID- 27865745
TI - Movement artifacts mimicking a normal EEG background activity in a patient with
anoxic brain injury.
PMID- 27865746
TI - Serious complication of applying a laryngeal mask after a foreign body aspiration
in an infant.
PMID- 27865744
TI - Outcomes of sudden cardiac arrest in a state-wide integrated resuscitation
program: Results from the Minnesota Resuscitation Consortium.
AB - BACKGROUND: Despite many advances in resuscitation science the outcomes of sudden
cardiac arrest (SCA) remain poor. The Minnesota Resuscitation Consortium (MRC) is
a statewide integrated resuscitation program, established in 2011, to provide
standardized, evidence-based resuscitation and post-resuscitation care. The
objective of this study is to assess the outcomes of a state-wide integrated
resuscitation program. METHODS: We examined the trends in resuscitation metrics
and outcomes in Minnesota since 2011 and compared these to the results from the
national Cardiac Arrest Registry to Enhance Survival (CARES) program. Since 2011
MRC has expanded significantly providing service to >75% of Minnesota's
population. RESULTS: A total of 5192 SCA occurred in counties covered by MRC from
2011 to 2014. In this period, bystander cardiopulmonary resuscitation (CPR) and
use of hypothermia, automatic CPR device and impedance threshold device increased
significantly (p<0.0001 for all). Compared to CARES, SCA cases in Minnesota were
more likely to be ventricular fibrillation (31% vs. 23%, p<0.0001) but less
likely to receive bystander CPR (33% vs. 39%, p<0.0001). Survival to hospital
discharge with good or moderate cerebral performance (12% vs. 8%, p<0.0001),
survival in SCA with a shockable rhythm (Utstein survival) (38% vs. 33%,
p=0.0003) and Utstein survival with bystander CPR (44% vs. 37%, p=0.003) were
greater in Minnesota than CARES. CONCLUSIONS: State-wide integration of
resuscitation services in Minnesota was feasible. Survival rate after cardiac
arrest is greater in Minnesota compared to the mean survival rate in CARES.
PMID- 27865747
TI - Impact of neighbourhood socio-economic status on bystander cardiopulmonary
resuscitation in Paris.
AB - BACKGROUND: No European data currently describe the relation between
neighbourhood socio-economic status (SES) and rates of out-of-hospital cardiac
arrest (OHCA) bystander cardiopulmonary resuscitation (CPR). This study aims to
analyse this effect with a robust deprivation index. METHODS: Data about all OHCA
in Paris were collected prospectively between 2000 and 2010. A geographical
neighbourhood unit was assigned to each case. Median household income, and rates
of blue-collar workers, unemployment, and adults without high school diplomas
were selected as SES characteristics and used to classify neighbourhoods as low
SES or higher SES. We analysed the relationship between neighbourhood SES
characteristics and the probability of receiving bystander CPR. RESULTS: Of the
4009 OHCA with mappable addresses recorded, 777 (19.4%) received bystander CPR.
Compared to OHCA who did not receive bystander CPR, those receiving CPR were
significantly more likely to have occurred in public locations, have had a
witness to their OHCA, and not to have collapsed in a low SES neighbourhood, or
in a neighbourhood with a median household income in the lowest quartile and with
rates of no high school diplomas and blue-collar workers in the highest quartile.
In the multilevel analyses, bystander CPR provision was significantly less
frequent in low than in higher SES neighbourhoods (OR 0.85; 95% confidence
interval [CI] 0.72-0.99). CONCLUSION: In the city of Paris, OHCA victims were
less likely to receive bystander CPR in low SES neighbourhoods. These first
European data are consistent with observations in North America and Asia.
PMID- 27865748
TI - A novel reverse genetics system for production of infectious West Nile virus
using homologous recombination in mammalian cells.
AB - Reverse genetics systems facilitate investigation of many aspects of the life
cycle and pathogenesis of viruses. However, genetic instability in Escherichia
coli has hampered development of a reverse genetics system for West Nile virus
(WNV). In this study, we developed a novel reverse genetics system for WNV based
on homologous recombination in mammalian cells. Introduction of the DNA fragment
coding for the WNV structural protein together with a DNA-based replicon resulted
in the release of infectious WNV. The growth rate and plaque size of the
recombinant virus were almost identical to those of the parent WNV. Furthermore,
chimeric WNV was produced by introducing the DNA fragment coding for the
structural protein and replicon plasmid derived from various strains. Here, we
report development of a novel system that will facilitate research into WNV
infection.
PMID- 27865750
TI - Outcomes Following Radical Cystectomy for Plasmacytoid Urothelial Carcinoma:
Defining the Need for Improved Local Cancer Control.
AB - OBJECTIVE: To evaluate oncological outcomes after radical cystectomy (RC) in
patients with plasmacytoid urothelial carcinoma (UC) and to compare survival to
that in patients with pure UC of the bladder. MATERIALS AND METHODS: We
identified 46 patients with plasmacytoid UC and 972 with pure UC who were treated
with RC between 1980 and 2009. All pathologic specimens were re-reviewed by a
single GU pathologist. Patients were matched 1:2 by age, gender, Eastern
Cooperative Oncology Group performance status, pathologic tumor stage, and nodal
status to patients with pure UC. Survival was estimated using the Kaplan-Meier
method and compared with the log rank test. RESULTS: Patients with plasmacytoid
UC were more likely to have extravesical disease (>=pT3) (83% vs 43%, P < .0001)
and positive margins (31% vs 2.1%, P < .0001) than patients with pure UC.
Plasmacytoid UC was associated with decreased overall survival (27% vs 45% at 5
years, relative risk [RR] 1.4, P = .04), cancer-specific survival (36% vs 57% at
5 years, RR 1.7, P = .01), and local recurrence-free survival (63% vs 81% at 5
years, RR 2, P = .01). When patients with plasmacytoid UC were matched to those
with pure UC, there were no significant differences in 5-year overall, cancer
specific, and local or distant recurrence-free survival. CONCLUSION: Plasmacytoid
UC is associated with a high rate of locally advanced disease and positive
margins at RC, as well as increased local recurrence rates. Further research is
necessary to delineate adjuvant or neoadjuvant treatment strategies to improve
local cancer control of this rare subtype of UC.
PMID- 27865751
TI - Feasibility of Long-term Tibial Nerve Stimulation Using a Multi-contact and
Wirelessly Powered Neurostimulation System Implanted in Rats.
AB - OBJECTIVE: Implant-driven tibial nerve stimulation therapy is an effective
technique for treating overactive bladder. However, the monopolar lead design in
the currently available implantable devices pose long-term therapeutic challenges
in terms of efficiently and selectively delivering electrical pulses to the
target. Hence, the purpose of this study was to (1) characterize the tibial nerve
(TN) activation properties using a multi-contact implantable system and (2)
evaluate the long-term stability of using such a neural interface in a
preclinical model. MATERIALS AND METHODS: Ten adult Sprague-Dawley rats were used
in this study. An implantable pulse generator was surgically inserted in the
lower back region. The lead wire with 4 active electrodes was placed in parallel
with the TN. The threshold for activating the TN was confirmed via movement of
the hallux or toes as well as the foot EMG. The TN activation threshold was
assessed biweekly, over a period of 12 weeks. RESULTS: Channel 1 exhibited the
lowest motor threshold at T0 (mean = 0.58 +/- 0.10 mA). A notable increase in
motor twitch intensity was observed during the first test session (2 weeks)
following surgical implantation (75.8 +/- 30.5%, channel 1). Among the 10 rats
tested, 8 rats successfully completed the 3-month study. CONCLUSION: Results from
this study demonstrate the long-term feasibility of achieving tibial nerve
stimulation with a multi-contact implantable device in a preclinical model.
Future studies are warranted to assess the effects of using such a wirelessly
powered system for treating lower urinary tract symptoms in patients.
PMID- 27865752
TI - Reconstruction of Massive Localized Lymphedema of the Scrotum: Results,
Complications, and Quality of Life Improvements.
AB - OBJECTIVE: To review the surgical technique, outcomes, and complications of
surgical excision of massive localized lymphedema (MLL) of the scrotum, and to
determine changes in weight and quality of life (QOL) after excision. METHODS: A
retrospective review was performed for all patients who have undergone excision
of MLL of the scrotum at our institution between 2008 and 2014. Standard baseline
characteristics, complications, pre- and postoperative weight, and QOL data were
recorded. RESULTS: Eleven patients were included, with a mean follow-up of 26
months after surgery. The mean preoperative body mass index was 60, and the mean
weight of resected tissue was 21 kg. No patient required an orchiectomy for
completion of the resection. Skin grafting was performed in 1 patient, and the
rest were closed primarily. Wound complications were common but generally managed
successfully with local wound care. At the time of most recent follow-up, most
patients had actually gained weight since surgery (mean weight change of +5.2
kg). However, QOL scores improved across all domains, and overall QOL improved
from a mean of 1.3 preoperatively to 7.7 postoperatively (where 1 is poor, and 10
is excellent). CONCLUSION: Surgical treatment of MLL of the scrotum can be
performed successfully for masses even up to 61 kg (134 lbs). Short-term wound
complications are common, but subjective QOL scores improve dramatically. Despite
expectations, most patients gained weight after mass removal, which indicates
that they would benefit from a comprehensive weight loss plan that includes, but
is not limited to, scrotal surgery.
PMID- 27865749
TI - In vitro functional assessment of natural HIV-1 group M Vpu sequences using a
universal priming approach.
AB - The HIV-1 accessory protein Vpu exhibits high inter- and intra- subtype genetic
diversity that may influence Vpu function and possibly contribute to HIV-1
pathogenesis. However, scalable methods to evaluate genotype/phenotype
relationships in natural Vpu sequences are limited, particularly those expressing
the protein in CD4+ T-cells, the natural target of HIV-1 infection. A major
impediment to assay scalability is the extensive genetic diversity within, and
immediately upstream of, Vpu's initial 5' coding region, which has necessitated
the design of oligonucleotide primers specific for each individual HIV-1 isolate
(or subtype). To address this, we developed two universal forward primers,
located in relatively conserved regions 38 and 90 bases upstream of Vpu, and a
single universal reverse primer downstream of Vpu, which are predicted to cover
the vast majority of global HIV-1 group M sequence diversity. We show that
inclusion of up to 90 upstream bases of HIV-1 genomic sequence does not
significantly influence in vitro Vpu expression or function when a Rev/Rev
Response Element (RRE)-dependent expression system is used. We further assess the
function of four diverse HIV-1 Vpu sequences, revealing reproducible and
significant differences between them. Our approach represents a scalable option
to measure the in vitro function of genetically diverse natural Vpu isolates in a
CD4+ T-cell line.
PMID- 27865753
TI - Development and Validation of the Self-Efficacy Regarding Vaginal Birth Scale.
AB - OBJECTIVES: The purpose of this study was to develop a scale to measure self
efficacy regarding a vaginal birth (SEVB) during pregnancy and to assess its
reliability and validity among nulliparous Chinese women. DESIGN: A panel study.
SETTING: Five hospitals in Northern Taiwan. PARTICIPANTS: The analysis included
700 (second trimester), 637 (third trimester), and 585 (before birth) women who
did not have medical indications for cesarean births at the indicated time
points. METHODS: The SEVB scale was used to measure the level of confidence in
ability to carry the pregnancy to term and give birth vaginally. The scale
included nine items, and each was scored on a numeric rating scale from 0 to 10.
A higher score indicated a higher level of self-efficacy. RESULTS: Factor
analysis confirmed the one-factor structure, which explained 62.77% and 67.08% of
the variance, with Cronbach's alphas for the scale of 0.93 and 0.94 during the
second and third trimesters, respectively. The test-retest reliability was 0.73
as determined by the intraclass correlation coefficient. Contrasted group
validity supported that those women who preferred cesarean births had
significantly lower SEVB scores than women who preferred vaginal births during
the second and third trimesters. Those women who tried vaginal births had higher
SEVB scores than women who had cesareans without trying vaginal births.
CONCLUSION: The scale showed acceptable reliability and validity. Health
professionals could use the scale to screen women with low self-efficacy during
pregnancy and provide appropriate intervention to increase their willingness to
try vaginal births.
PMID- 27865754
TI - Perinatal Nurse Home Visiting Referral Patterns Among Women With Diabetes and
Hypertension in Philadelphia.
AB - OBJECTIVE: To examine access to perinatal nurse home visiting services for high
risk pregnant women who have diabetes or hypertension. DESIGN: Secondary data
analysis. SETTING: Philadelphia, PA. PARTICIPANTS: Pregnant women who had a live
birth during 2012 and those referred to a community-based agency for perinatal
nurse home visiting because of their diagnosis of diabetes or hypertension.
METHODS: Access to services was measured by examining referral information
(dosage, diagnosis, gestational age at time of referral, and insurance type)
retrieved from administrative logs of the community-based organization that
provides perinatal home visiting to high-risk pregnant women. The population
based prevalence rates of hypertension and diabetes were calculated from birth
record data provided by the Philadelphia Department of Public Health. RESULTS:
During 2012, 595 pregnant women were referred for perinatal nurse home visiting
services. The mean gestational age when referred for services was 24.9 weeks
(standard deviation = 8.5) with a mean number of 8.8 authorized visits (standard
deviation = 8). Associated with more authorized visits was having Medicaid as the
insurance type and medical diagnoses that included hypertension (p < .01).
Philadelphia prevalence rates for diabetes and hypertension varied by race and
ethnicity (p < .001); Asian mothers had the greatest rates for diabetes and Black
mothers the greatest rates for hypertension. CONCLUSION: Various models of home
visiting programs exist to improve maternal and child health outcomes. Because
maternal morbidity and mortality rates are rising in the United States, further
research about perinatal nurse home visiting programs for pregnant women with
diabetes and hypertension is warranted.
PMID- 27865755
TI - Corrigendum to "Fc-gamma receptors are not involved in cartilage damage during
experimental osteoarthritis" [Osteoarthritis Cartilage 23 (2015) 1221-1225].
PMID- 27865757
TI - Guilt, or guilt by association? Insulin therapy in type 2 diabetes and death.
PMID- 27865756
TI - Association of insulin dosage with mortality or major adverse cardiovascular
events: a retrospective cohort study.
AB - BACKGROUND: Existing studies have shown conflicting evidence regarding the safety
of exogenous insulin therapy in patients with type 2 diabetes. In particular,
observational studies have reported an increased risk of death and cardiovascular
disease among users of higher versus lower doses of insulin. We aimed to quantify
the association between increasing dosage of insulin exposure and death and
cardiovascular events, while taking into account time-dependent confounding and
mediation that might have biased previous studies. METHODS: We did a cohort study
using primary care records from the UK-based Clinical Practice Research Datalink
(CPRD). New users of metformin monotherapy were identified in the period between
Jan 1, 2001, and Dec 31, 2012. We then identified those in this group with a new
prescription for insulin. Insulin exposure was categorised into groups according
to the mean dose (units) per day within 180-day time segments throughout each
patient's follow-up. Relative differences in mortality and major adverse
cardiovascular events (non-fatal myocardial infarction, non-fatal stroke,
cardiovascular-related mortality) were assessed using conventional multivariable
Cox proportional hazards models. Marginal structural models were then applied to
reduce bias introduced by the time-dependent confounders affected by previous
treatment. FINDINGS: We identified 165 308 adults with type 2 diabetes in the
CPRD database. After applying our exclusion criteria, 6072 (mean age 60 years [SD
12.5], 3281 [54%] men, mean HbA1c 8.5% [SD 1.75], and median follow-up 3.1 years
[IQR 1.7-5.3) were new add-on insulin users and were included in the study
cohort; 3599 were new add-on insulin users and were included in the subcohort
linked to hospital records and death certificate information. Crude mortality
rates were comparable between insulin dose groups; <25 units per day (46 per 1000
person-years), 25 to <50 units per day (39 per 1000 person-years), 50 to <75
units per day (27 per 1000 person-years), 75 to <100 units per day (34 per 1000
person-years), and at least 100 units per day (32 per 1000 person-years; p>0.05
for all; mean rate of 31 deaths per 1000 person-years [95% CI 29-33]). With
adjustment for baseline covariates, mortality rates were higher for increasing
insulin doses: less than 25 units per day [reference group]; 25 to <50 units per
day, hazard ratio (HR) 1.41 [95% CI 1.12-1.78]; 50 to <75 units per day, 1.37
[1.04-1.80]; 75 to <100 units per day, 1.85 [1.35-2.53]; and at least 100 units
per day, 2.16 [1.58-2.93]. After applying marginal structural models, insulin
dose was not associated with mortality in any group (p>0.1 for all).
INTERPRETATION: In conventional multivariable regression analysis, higher insulin
doses are associated with increased mortality after adjustment for baseline
covariates. However, this effect seems to be confounded by time-dependent factors
such as insulin exposure, glycaemic control, bodyweight gain, and the occurrence
of cardiovascular and hypoglycaemic events. This study provides reassurance of
the overall safety of insulin use in the treatment of type 2 diabetes and
contributes to our understanding of the contrasting conclusions from non
randomised and randomised studies regarding dose-dependent effects of insulin on
cardiovascular events and mortality. FUNDING: Canadian Institutes of Health
Research, Heart and Stroke Foundation of Canada, and the Newfoundland and
Labrador Research and Development Corporation.
PMID- 27865759
TI - miRNA-Coordinated Networks as Promising Therapeutic Targets for Acute Kidney
Injury.
AB - This commentary highlights the article by Wilflingseder et al that investigates
the relationship between in vivo inhibition of miR-182 by antisense
oligonucleotides and improved post-injury kidney function.
PMID- 27865758
TI - Bone Morphogenetic Protein 2 and Transforming Growth Factor beta1 Inhibit the
Expression of the Proinflammatory Cytokine IL-34 in Rheumatoid Arthritis Synovial
Fibroblasts.
AB - IL-34 is a proinflammatory cytokine implicated in rheumatoid arthritis (RA). The
current study aimed to assess the IL-34 expression in response to two members of
the transforming growth factor (TGF)-beta family, TGF-beta1 and bone
morphogenetic protein (BMP)-2, in synovial fibroblasts from RA patients. IL-34,
TGF-beta1, and BMP-2 productions were measured in patient synovial fluids by
enzyme-linked immunosorbent assay. IL-34 mRNA levels were quantified by real-time
quantitative PCR in human synovial fibroblasts and murine mesenchymal stem cells.
Pharmacologic inhibitions were used to determine the involvement of activin
receptor-like kinase 1 (ALK1) and ALK5 downstream TGF-beta1 and BMP-2. IL-34, TGF
beta1, and BMP-2 were expressed in synovial fluids from RA patients. We found a
significant correlation between IL-34 and TGF-beta1 expressions. Levels of both
IL-34 and TGF-beta1 were thus correlated with the total leukocyte counts in the
synovial fluids. TGF-beta1 and BMP-2 decreased IL-34 expression in the synovial
fibroblasts or in murine mesenchymal stem cells in a dose- and time-dependent
manner through ALK5 and ALK1 pathways, respectively. In addition, TGF-beta1 and
BMP-2 antagonized tumor necrosis factor alpha-induced IL-34 gene expression. This
work identifies TGF-beta1 and BMP-2 as potent inhibitors of IL-34 expression in
RA synovial fibroblasts. These cytokines, as upstream inhibitors of IL-34, may
thus contribute to antagonize inflammation and bone erosions in RA.
PMID- 27865760
TI - This Month in AJP.
AB - The following highlights summarize research articles that are published in the
current issue of The American Journal of Pathology.
PMID- 27865761
TI - Pharmacist-led health-system approaches to reduce opioid overdose and death.
PMID- 27865763
TI - Screening biological methods for laboratory scale stabilization of fine fraction
from landfill mining.
AB - Increasing interest for the landfill mining and the amount of fine fraction (FF)
in landfills (40-70% (w/w) of landfill content) mean that sustainable treatment
and utilization methods for FF are needed. For this study FF (<20mm) was mined
from a municipal solid waste (MSW) landfill operated from 1967 to 1989. FF, which
resembles soil, was stabilized in laboratory scale reactors in two phases: first,
anaerobically for 101days and second, for 72days using four different methods:
anaerobic with the addition of moisture (water) or inoculum (sewage sludge) and
aerobic with continuous water washing, with, or without, bulking material. The
aim was to evaluate the effect on the stability of mined FF, which has been
rarely reported, and to study the quality and quantity of gas and leachate
produced during the stabilization experiment. The study showed that aerobic
treatment reduced respiration activity (final values 0.9-1.1mgO2/gTS) and
residual methane potential (1.1LCH4/kgTS) better than anaerobic methods (1.8
2.3mg O2/g TS and 1.3-2.4L CH4/kg TS, respectively). Bulking material mixed in FF
in one aerobic reactor had no effect on the stability of FF. The benefit of
anaerobic treatment was the production of methane, which could be utilized as
energy. Even though the inoculum addition increased methane production from FF
about 30%, but the methane production was still relatively low (in total 1.5-1.7L
CH4/kg TS). Continuous water washing was essential to remove leachable organic
matter and soluble nutrients from FF, while increasing the volume of leachate
collected. In the aerobic treatment, nitrogen was oxidized into nitrite and
nitrate and then washed out in the leachate. Both anaerobic and aerobic methods
could be used for FF stabilization. The use of FF, in landscaping for example, is
possible because its nutrient content (4gN/kg TS and 1g P/kg TS) can increase the
nutrient content of soil, but this may have limitations due to the possible
presence of heavy metal and other contaminants.
PMID- 27865765
TI - Assessing Gametocyte Carriage in Treated Asymptomatic Falciparum Carriers in
Africa.
PMID- 27865766
TI - Inulin: A New Adjuvant With Unknown Mode of Action.
PMID- 27865762
TI - Tumor-targeted nanomedicines for cancer theranostics.
AB - Chemotherapeutic drugs have multiple drawbacks, including severe side effects and
suboptimal therapeutic efficacy. Nanomedicines assist in improving the
biodistribution and target accumulation of chemotherapeutic drugs, and are
therefore able to enhance the balance between efficacy and toxicity. Multiple
types of nanomedicines have been evaluated over the years, including liposomes,
polymer-drug conjugates and polymeric micelles, which rely on strategies such as
passive targeting, active targeting and triggered release for improved tumor
directed drug delivery. Based on the notion that tumors and metastases are highly
heterogeneous, it is important to integrate imaging properties in nanomedicine
formulations in order to enable non-invasive and quantitative assessment of
targeting efficiency. By allowing for patient pre-selection, such next generation
nanotheranostics are useful for facilitating clinical translation and
personalizing nanomedicine treatments.
PMID- 27865764
TI - Phase 2a Randomized Clinical Trial: Safety and Post Hoc Analysis of Subretinal
rAAV.sFLT-1 for Wet Age-related Macular Degeneration.
AB - BACKGROUND: We present the results of a Phase 2a randomized controlled trial
investigating the safety, and secondary endpoints of subretinal rAAV.sFLT-1 gene
therapy in patients with active wet age-related macular degeneration (wAMD).
METHODS: All patients (n=32), (ClinicalTrials.gov; NCT01494805), received
ranibizumab injections at baseline and week 4, and thereafter according to
prespecified criteria. Patients in the gene therapy group (n=21) received
rAAV.sFLT-1 (1*1011vg). All patients were assessed every 4weeks to the week 52
primary endpoint. FINDINGS: Ocular adverse events (AEs) in the rAAV.sFLT-1 group
were mainly procedure related and self-resolved. All 11 phakic patients in the
rAAV.sFLT-1 group showed progression of cataract following vitrectomy. No
systemic safety signals were observed and none of the serious AEs were associated
with rAAV.sFLT-1. AAV2 capsid was not detected and rAAV.sFLT-1 DNA was detected
transiently in the tears of 13 patients. ELISPOT analysis did not identify any
notable changes in T-cell response. In the rAAV.sFLT-1 group 12 patients had
neutralizing antibodies (nAb) to AAV2. There was no change in sFLT-1 levels in
bodily fluids. In the rAAV.sFLT-1 group, Best Corrected Visual Acuity (BCVA)
improved by a median of 1.0 (IQR: -3.0 to 9.0) Early Treatment Diabetic
Retinopathy Study (ETDRS) letters from baseline compared to a median of -5.0
(IQR: -17.5 to 1.0) ETDRS letters change in the control group. Twelve (57%)
patients in the rAAV.sFLT-1 group maintained or improved vision compared to 4
(36%) in the control group. The median number of ranibizumab retreatments was 2.0
(IQR: 1.0 to 6.0) for the gene therapy group compared to 4.0 (IQR: 3.5 to 4.0)
for the control group. Interpretation rAAV.sFLT-1 combined with the option for co
treatment appears to be a safe and promising approach to the treatment of wAMD.
FUNDING: National Health and Medical Research Council of Australia (AP1010405),
Lions Eye Institute, Perth Australia, Avalanche Biotechnologies, Menlo Pk, CA,
USA.
PMID- 27865767
TI - Neural stem cells promote nerve regeneration through IL12-induced Schwann cell
differentiation.
AB - Regeneration of injured peripheral nerves is a slow, complicated process that
could be improved by implantation of neural stem cells (NSCs) or nerve conduit.
Implantation of NSCs along with conduits promotes the regeneration of damaged
nerve, likely because (i) conduit supports and guides axonal growth from one
nerve stump to the other, while preventing fibrous tissue ingrowth and retaining
neurotrophic factors; and (ii) implanted NSCs differentiate into Schwann cells
and maintain a growth factor enriched microenvironment, which promotes nerve
regeneration. In this study, we identified IL12p80 (homodimer of IL12p40) in the
cell extracts of implanted nerve conduit combined with NSCs by using protein
antibody array and Western blotting. Levels of IL12p80 in these conduits are 1.6
fold higher than those in conduits without NSCs. In the sciatic nerve injury
mouse model, implantation of NSCs combined with nerve conduit and IL12p80
improves motor recovery and increases the diameter up to 4.5-fold, at the medial
site of the regenerated nerve. In vitro study further revealed that IL12p80
stimulates the Schwann cell differentiation of mouse NSCs through the
phosphorylation of signal transducer and activator of transcription 3 (Stat3).
These results suggest that IL12p80 can trigger Schwann cell differentiation of
mouse NSCs through Stat3 phosphorylation and enhance the functional recovery and
the diameter of regenerated nerves in a mouse sciatic nerve injury model.
PMID- 27865768
TI - Regulation of extrasynaptic signaling by polysialylated NCAM: Impact for synaptic
plasticity and cognitive functions.
AB - The activation of synaptic N-methyl-d-aspartate-receptors (NMDARs) is crucial for
induction of synaptic plasticity and supports cell survival, whereas activation
of extrasynaptic NMDARs inhibits long-term potentiation and triggers
neurodegeneration. A soluble polysialylated form of the neural cell adhesion
molecule (polySia-NCAM) suppresses signaling through peri-/extrasynaptic GluN2B
containing NMDARs. Genetic or enzymatic manipulations blocking this mechanism
result in impaired synaptic plasticity and learning, which could be repaired by
reintroduction of polySia, or inhibition of either GluN1/GluN2B receptors or
downstream signaling through RasGRF1 and p38 MAP kinase. Ectodomain shedding of
NCAM, and hence generation of soluble NCAM, is controlled by metalloproteases of
a disintegrin and metalloprotease (ADAM) family. As polySia-NCAM is predominantly
associated with GABAergic interneurons in the prefrontal cortex, it is noteworthy
that EphrinA5/EphA3-induced ADAM10 activity promotes polySia-NCAM shedding in
these neurons. Thus, in addition to the well-known regulation of synaptic NMDARs
by the secreted molecule Reelin, shed polySia-NCAM may restrain activation of
extrasynaptic NMDARs. These data support a concept that GABAergic interneuron
derived extracellular proteins control the balance in synaptic/extrasynaptic
NMDAR-mediated signaling in principal cells. Strikingly, dysregulation of Reelin
or polySia expression is linked to schizophrenia. Thus, targeting of the
GABAergic interneuron-principle cell communication and restoring the balance in
synaptic/extrasynaptic NMDARs represent promising strategies for treatment of
psychiatric diseases.
PMID- 27865769
TI - Discrepancies in the diagnosis and classification of nonsteroidal anti
inflammatory drug hypersensitivity reactions in children.
AB - BACKGROUND: Hypersensitivity to nonsteroidal anti-inflammatory drugs (NSAIDs) are
frequently encountered in daily clinical practice. The aim of this study was to
determine the confirmation rates, risk factors of NSAID hypersensitivity in
children and to try to classify them with a standardized diagnostic protocol.
METHODS: All patients with a suspicion of NSAID-induced hypersensitivity were
evaluated with European Network for drug Allergy (ENDA) recommendations. The
children were classified as selective responders (SRs) or cross-intolerant (CI)
depending on the drug provocation test (DPT) results. RESULTS: We evaluated 106
children with a suspicion of NSAID hypersensitivity. NSAID hypersensitivity was
confirmed with tests in 31 patients; 4 (12.9%) were diagnosed by skin tests and
27 (87.1%) by DPTs and two patients with a history of anaphylaxis by medical
records. Eleven patients (33.3%) were classified as SRs, whereas twenty-two
(66.6%) children as CIs. SRs and CIs were further classified as NSAID-induced
urticaria/angioedema (n = 8), NSAID-exacerbated cutaneous disease (n = 6) and
NSAID-exacerbated respiratory disease (n = 1) and single NSAID-induced
urticaria/angioedema and/or anaphylaxis (n = 11). Eight (24.2%) patients could
not be categorized according to ENDA/GA2LEN classification; one CI patient could
not be classified based on pathomechanisms, seven CIs could not be categorized
based on the underlying disease and clinical manifestations. A reaction within an
hour of drug intake (aOR:3.0, 95% confidence interval: 1.18-7.67, p = 0.021), a
history with multiple NSAIDs hypersensitivity (aOR:2.9, 95% confidence interval:
1.16-7.60, p = 0.022), and family history of atopy (aOR:4.0, 95% confidence
interval: 1.50-10.82, p = 0.006) were found as the independent risk factors
related to confirmed NSAID hypersensitivity. CONCLUSIONS: This study suggests the
presence of different phenotypes which do not fit into the current
classifications in children with NSAID hypersensitivity.
PMID- 27865770
TI - Effect of lipid environment on amyloid fibril formation of human serum amyloid A.
AB - Human serum amyloid A (SAA) is a precursor protein of AA amyloidosis and a
component of high-density lipoproteins (HDLs), thus it is essential to
investigate the amyloid fibril formation of SAA under a lipid environment. We
used synthetic fragment peptides corresponding to the N-terminal (residues 1-27)
and central (residues 43-63) regions of the SAA molecule, which are known to have
amyloidogenic properties. Measurements of tryptophan fluorescence in conjunction
with circular dichroism showed that SAA (1-27) peptide binds to neutral and
acidic lysophospholipids, whereas SAA (43-63) peptide binds only to acidic
lysophospholipids. For both these SAA peptides, binding to lysophospholipids
inhibited heparin-induced amyloid-like fibril formation by stabilizing the alpha
helical structure. However, acidic lysophospholipids implied a possibility to
promote fibril formation of SAA (1-27) peptide by themselves. These results
suggest that the amyloid fibril formation of SAA may be modulated by altering the
lipid head group composition of HDLs during metabolism.
PMID- 27865771
TI - Cholinergic activation affects the acute and chronic antinociceptive effects of
morphine.
AB - Current studies indicate that the cholinergic and opioid systems interact to
modulate pain. In the present work, we investigated the influence of the
cholinesterase inhibitors, donepezil (0.5; 1 or 3mg/kg, i.p.) and rivastigmine
(0.03; 0.5 or 1mg/kg, i.p.), on the acute antinociceptive effects of morphine
(5mg/kg, i.p.) in the hot plate test in mice. Herein, both inhibitors were found
to enhance and prolong the analgesic effects of morphine without affecting
latencies themselves. In an extension of this work, we determined which
cholinergic receptors subtype mediates the enhancement of analgesic effects of
morphine, following inhibition of cholinesterases. In this part of the study,
scopolamine (0.5mg/kg, i.p.), a muscarinic cholinergic receptors antagonist, but
not mecamylamine (3mg/kg, i.p.), a nicotinic cholinergic receptors antagonist,
reversed the enhancing effects of donepezil (3mg/kg, i.p.) and rivastigmine
(1mg/kg, i.p.) on the morphine antinociception. Moreover, both cholinesterase
inhibitors attenuated the development of tolerance to the antinociceptive effects
of morphine. In contrast, acute administration of donepezil (3mg/kg, i.p.) or
rivastigmine (1mg/kg, i.p.) on the day of expression of tolerance, had no effect
on the already developed morphine tolerance. What is more, in both set of
experiments, rivastigmine was slightly more potent than donepezil due to the
broader inhibitory spectrum of this drug on acetylcholine degradation. Thus, our
results suggest that the cholinesterase inhibitors, donepezil and rivastigmine,
may be administered with morphine in order to enhance the latter's analgesic
effects for the treatment of acute and chronic pain.
PMID- 27865772
TI - Kissing bugs can generalize and discriminate between different bitter compounds.
AB - Animals make use of contact chemoreception structures to examine the quality of
potential food sources. During this evaluation they can detect nutritious
compounds that promote feeding and recognize toxins that trigger evasive
behaviors. Although animals can easily distinguish between stimuli of different
gustatory qualities (bitter, salty, sweet, etc.), their ability to discriminate
between compounds of the same quality may be limited. Numerous plants produce
alkaloids, compounds that elicit aversive behaviors in phytophagous insects and
almost uniformly evoke a bitter taste for man. In hematophagous insects, however,
the effect of feeding deterrent molecules has been barely studied. Recent studies
showed that feeding in Rhodnius prolixus can be negatively modulated by the
presence of alkaloids such as quinine (QUI) and caffeine (CAF), compounds that
elicit similar aversive responses. Here, we applied associative and non
associative learning paradigms to examine under two behavioral contexts the
ability of R. prolixus to distinguish, discriminate and/or generalize between
these two bitter compounds, QUI and CAF. Our results show that bugs innately
repelled by bitter compounds can change their behavior from avoidance to
indifference or even to preference according to their previous experiences. After
an aversive operant conditioning with QUI or CAF, R. prolixus modified its
behavior in a direct but also in a cross-compound manner, suggesting the
occurrence of a generalization process between these two alkaloids. Conversely,
after a long pre-exposure to each alkaloid, bugs decreased their avoidance to the
compound used during pre-exposure but still expressed an avoidance of the novel
compound, proving that QUI and CAF are detected separately. Our results suggest
that R. prolixus is able to discriminate between QUI and CAF, although after an
associative conditioning they express a symmetrical cross-generalization. This
kind of studies adds insight into the gustatory sense of a blood-sucking model
but also into the learning abilities of hematophagous insects.
PMID- 27865773
TI - Particulate suspension effect on peristaltically induced unsteady pulsatile flow
in a narrow artery: Blood flow model.
AB - This work is concerned with theoretically investigating the pulsatile flow of a
fluid with suspended particles in a flow driven by peristaltic waves that deform
the wall of a small blood artery in the shape of traveling sinusoidal waves with
constant velocity. The problem formulation in the wave frame of reference is
presented and the governing equations are developed up to the second-order in
terms of the asymptotic expansion of Womersley number which characterizes the
unsteady effect in the wave frame. We suppose that the flow rate imposed, in this
frame, is a function versus time. The analytical solution of the problem is
achieved using the long wavelength approximation where Reynolds number is
considered small with reference to the blood flow in the circulatory system. The
present study inspects novelties brought about into the classic peristaltic
mechanism by the inclusion of Womersley number, and the critical values of
concentration and occlusion on the flow characteristics in a small artery with
flexible walls. Momentum and mass equations for the fluid and particle phases are
solved by means of a perturbation analysis in which the occlusion is a small
parameter. Closed form solutions are obtained for the fluid/particle velocity
distributions, stream function, pressure rise, friction force, wall shear stress,
instantaneous mechanical efficiency, and time-averaged mechanical efficiency. The
physical explanation of the Segre-Silberberg effect is introduced and the
trapping phenomenon of plasma for haemodilution and haemoconcentration cases is
discussed. It has been deduced that the width of the closed plasma streamlines is
increased while their number is minimally reduced in case of haemoconcentration.
This mathematical problem has numerous applications in various branches in
science including blood flow in small blood vessels. Several results of other
models can be deduced as limiting cases of our situation.
PMID- 27865774
TI - A systems toxicology approach for comparative assessment: Biological impact of an
aerosol from a candidate modified-risk tobacco product and cigarette smoke on
human organotypic bronchial epithelial cultures.
AB - This study reports a comparative assessment of the biological impact of a heated
tobacco aerosol from the tobacco heating system (THS) 2.2 and smoke from a
combustible 3R4F cigarette. Human organotypic bronchial epithelial cultures were
exposed to an aerosol from THS2.2 (a candidate modified-risk tobacco product) or
3R4F smoke at similar nicotine concentrations. A systems toxicology approach was
applied to enable a comprehensive exposure impact assessment. Culture histology,
cytotoxicity, secreted pro-inflammatory mediators, ciliary beating, and genome
wide mRNA/miRNA profiles were assessed at various time points post-exposure.
Series of experimental repetitions were conducted to increase the robustness of
the assessment. At similar nicotine concentrations, THS2.2 aerosol elicited lower
cytotoxicity compared with 3R4F smoke. No morphological change was observed
following exposure to THS2.2 aerosol, even at nicotine concentration three times
that of 3R4F smoke. Lower levels of secreted mediators and fewer miRNA
alterations were observed following exposure to THS2.2 aerosol than following
3R4F smoke. Based on the computational analysis of the gene expression changes,
3R4F (0.13 mg nicotine/L) elicited the highest biological impact (100%) in the
context of Cell Fate, Cell Proliferation, Cell Stress, and Inflammatory Network
Models at 4 h post-exposure. Whereas, the corresponding impact of THS2.2 (0.14 mg
nicotine/L) was 7.6%.
PMID- 27865775
TI - Epidemiology and outcomes from out-of-hospital cardiac arrests in England.
AB - INTRODUCTION: This study reports the epidemiology and outcomes from out-of
hospital cardiac arrest (OHCA) in England during 2014. METHODS: Prospective
observational study from the national OHCA registry. The incidence, demographic
and outcomes of patients who were treated for an OHCA between 1st January 2014
and 31st December 2014 in 10 English ambulance service (EMS) regions, serving a
population of almost 54 million, are reported in accordance with Utstein
recommendations. RESULTS: 28,729 OHCA cases of EMS treated cardiac arrests were
reported (53 per 100,000 of resident population). The mean age was 68.6 (SD=19.6)
years and 41.3% were female. Most (83%) occurred in a place of residence, 52.7%
were witnessed by either the EMS or a bystander. In non-EMS witnessed cases,
55.2% received bystander CPR whilst public access defibrillation was used rarely
(2.3%). Cardiac aetiology was the leading cause of cardiac arrest (60.9%). The
initial rhythm was asystole in 42.4% of all cases and was shockable (VF or pVT)
in 20.6%. Return of spontaneous circulation at hospital transfer was evident in
25.8% (n=6302) and survival to hospital discharge was 7.9%. CONCLUSION: Cardiac
arrest is an important cause of death in England. With less than one in ten
patients surviving, there is scope to improve outcomes. Survival rates were
highest amongst those who received bystander CPR and public access
defibrillation.
PMID- 27865776
TI - Post-cardiac arrest shock treated with veno-arterial extracorporeal membrane
oxygenation: An observational study and propensity-score analysis.
AB - PURPOSE: Cardiogenic shock due to post-resuscitation myocardial dysfunction is a
major cause of mortality among patients hospitalized after cardiac arrest (CA).
Veno-arterial extracorporeal membrane oxygenation (VA-ECMO) has been proposed in
the most severe cases but the level of evidence is very low. We assessed
characteristics, outcome and prognostic factors of patients treated with VA-ECMO
for post-CA shock. METHODS: Using a large regional registry, we focused on all CA
admitted in ICU. Among those who developed a post-CA shock, prognostic was
compared according to VA-ECMO use, using logistic regression and propensity
score. Specific prognostic factors were identified among VA-ECMO patients.
RESULTS: Among 2988 patients admitted after CA, 1489 developed a post-CA shock,
and were included. They were mostly male (68%), with mean age 63 years (SD=15).
Fiflty-two patients (3.5%) were treated with VA-ECMO, mostly patients with
ischemic cause of CA (67%). Among patients with post-CA shock, 312 (21%) were
discharged alive (25% in VA-ECMO group, 21% in control group, P=0.45). After
adjustment for pre-hospital and in-hospital factors, survival did not differ
among patients treated with VA-ECMO (OR for survival=0.9, 95%CI 0.4-2.3, P=0.84).
After propensity-score matching, results were consistent. Among patients treated
with VA-ECMO, initial arterial pH (OR=1.7 per 0.1 increase, 95%CI 1.0-2.8,
P=0.04) and implantation of VA-ECMO over 24h after ROSC (OR=20.0, 95%CI 1.4
277.3, P=0.03) were associated with survival. CONCLUSIONS: Post-CA shock is
frequent and is associated with a high mortality rate. When used in selected
patients, we observed that VA-ECMO could be an appropriate treatment.
PMID- 27865777
TI - Making health systems research work: time to shift funding to locally-led
research in the South.
PMID- 27865778
TI - Curcumin inhibits glial scar formation by suppressing astrocyte-induced
inflammation and fibrosis in vitro and in vivo.
AB - Spinal cord injury (SCI) leads to glial scar formation by astrocytes, which
severely hinders neural regeneration. Curcumin (cur) can inhibit glial scar
formation, but the underlying mechanism is not fully understood. Using both in
vivo and in vitro experiments, the current study investigated the phenotypic
transformation of astrocytes following cur and siRNA intervention during the
processes of inflammation and fibrosis and determined details of the relationship
between cur treatment and the glial scar components GFAP and CSPG. We found that
cur and NF-kappab p65 siRNA could inhibit astrocyte activation through
suppressing NF-kappab signaling pathway, which led to down-regulate the
expression of chemokines MCP-1, RANTES and CXCL10 released by astrocytes and
decreased macrophage and T-cell infiltration, thus reducing the inflammation in
the glial scar. In addition, silencing SOX-9 may reduce the deposition of
extracellular matrix CSPG; whereas its over-expression could increase the CSPG
expression. Cur suppressedSOX-9-inducedCSPG deposition, reduced alpha-SMA (an
important symbol of fibrosis) expression in astrocytes, altered astrocyte
phenotype, and inhibited glial scar formation by regulating fibrosis. This study
confirmed that cur could regulate both the NF-kappab and SOX9 signaling pathways
and reduce the expression of intracellular and extracellular glial scar
components through dual-target regulating both inflammation and fibrosis after
SCI in the rat. This study provides an important hypothesis centered on the dual
inhibition of intracellular and extracellular glial scar components as a
treatment strategy for SCI.
PMID- 27865781
TI - Imaging Transcriptional Regulation of Eukaryotic mRNA Genes: Advances and
Outlook.
AB - Regulation of eukaryotic transcription in vivo occurs at distinct stages.
Previous research has identified many active or repressive transcription factors
(TFs) and core transcription components and studied their functions in vitro and
in vivo. Nonetheless, how individual TFs act in concert to regulate mRNA gene
expression in a single cell remains poorly understood. Direct observation of TF
assembly and disassembly and various biochemical reactions during transcription
of a single-copy gene in vivo is the ideal approach to study this problem.
Research in this area requires developing novel techniques for single-cell
transcription imaging and integrating imaging studies into understanding the
molecular biology of transcription. In the past decade, advanced cell imaging has
enabled unprecedented capabilities to visualize individual TF molecules, to track
single transcription sites, and to detect individual mRNA in fixed and living
cells. These studies have raised several novel insights on transcriptional
regulation such as the "hit-and-run" model and transcription bursting that could
not be obtained by in vitro biochemistry analysis. At this point, the key
question is how to achieve deeper understandings or discover novel mechanisms of
eukaryotic transcriptional regulation by imaging transcription in single cells.
Meanwhile, further technical advancements are likely required for visualizing
distinct kinetic steps of transcription on a single-copy gene in vivo. This
review article summarizes recent progress in the field and describes the
challenges and opportunities ahead.
PMID- 27865782
TI - Application of nano-LC-MALDI-TOF/TOF-MS for proteomic analysis of microvesicles.
AB - Activated platelets and platelet derived microvesicles (PMVs) emerged recently to
be promising biomarkers. There is no universal procedure to carry out the
proteomic analysis on microvesicles. In this study we proposed a nano-liquid
chromatography (nano-LC) technique coupled off-line with a spectrometric
measurement MALDI-TOF-MS/MS as a throughput and time-saving procedure. In this
study we developed a simplified method to evaluate the protein composition of
platelet organelles and PMVs. Platelet-rich plasma (PRP) was collected from
healthy donors. PMVs were generated from washed and thrombin activated platelets.
Activated platelets from every donor were used to compare the PMV proteome.
Enzymatic digestion of protein lysate was carried out using Filter Aided Sample
Preparation (FASP) method with trypsin as a proteolytic enzyme. Tryptic peptides
derived from PMVs and activated platelets were analysed using nano-LC coupled off
line mode with a MALDI-TOF/TOF-MS. PMV and platelet protein identification was
performed using the Mascot engine for searching against the Swiss-Prot human
database. The precision tolerance was 100ppm for peptide masses and 0.7Da for
fragment ion masses. Individual peptide matches with a score above 28 were
considered statistically significant. In total, 446 proteins were identified in
PMVs and 513 proteins in activated platelets. Among them 190 were specific for
activated platelets and 123 were PMV specific. Cellular component analysis of
identified proteins revealed that PMVs contained relatively more extracellular
proteins than activated platelets (9.6 vs. 6.0 %) and unique synaptic proteins
(0.3%). A new simplified bottom-up method for PMV proteome analysis allowed
eliminating the drawbacks of the previously used protocols. This approach can be
used in PMV proteome identification.
PMID- 27865783
TI - The modulation of inflammatory parameters, Brain-derived neurotrophic factor
levels and global histone H4 acetylation status in peripheral blood of patients
with Gaucher disease type 1.
AB - OBJECTIVES: Gaucher's disease type 1 (GD1) pathophysiology includes an imbalance
on brain-derived neurotrophic factor (BDNF) levels and in the inflammatory
system. However, the pathways involved remain poorly understood. The hypothesis
of this study is that epigenetic mechanisms might be involved, at least
partially, in this phenomenon. DESIGN AND METHODS: This study investigated the
BDNF modulation, global histone H4 acetylation and pro- and anti-inflammatory
cytokines levels in the peripheral blood of GD1 patients (n=10) when compared
with control samples (CS) (n=11). RESULTS: The results showed a significant
increase in Chitotriosidase (CT) (p=0.019) and decreased beta-glucosidase (GBA)
activities (p=0.001) in GD1 samples when compared to CS, for GD1 diagnostic
confirmation. Reduced levels of BDNF (p=0.004) and elevated levels of TNF-alpha
(p=0.017) and IL-4 (p=0.035) were also found in the GD group. No significant
differences were observed in IL-6 or IL-17a levels between groups (p>0.05).
Finally, a trend on higher global histone H4 acetylation levels (p=0.054) was
observed in the control group when compared to GD1 individuals. CONCLUSIONS:
Combined, these results suggest inflammatory cytokines imbalance, reduced BDNF
levels and global histone H4 hypoacetylation status in GD type 1 physiopathology.
These preliminary findings may open new avenues to introduce therapies and
strategies in the preventive management and treatment of this population.
PMID- 27865780
TI - RasIns: Genetically Encoded Intrabodies of Activated Ras Proteins.
AB - K- and H-Ras are the most commonly mutated genes in human tumors and are critical
for conferring and maintaining the oncogenic phenotype in tumors with poor
prognoses. Here, we design genetically encoded antibody-like ligands
(intrabodies) that recognize active, GTP-bound K- and H-Ras. These ligands, which
use the 10th domain of human fibronectin as their scaffold, are stable inside the
cells and when fused with a fluorescent protein label, the constitutively active
G12V mutant H-Ras. Primary selection of ligands against Ras with mRNA display
resulted in an intrabody (termed RasIn1) that binds with a KD of 2.1MUM to H
Ras(G12V) (GTP), excellent state selectivity, and remarkable specificity for K-
and H-Ras. RasIn1 recognizes residues in the Switch I region of Ras, similar to
Raf-RBD, and competes with Raf-RBD for binding. An affinity maturation selection
based on RasIn1 resulted in RasIn2, which binds with a KD of 120nM and also
retains excellent state selectivity. Both of these intrabodies colocalize with H
Ras, K-Ras, and G12V mutants inside the cells, providing new potential tools to
monitor and modulate Ras-mediated signaling. Finally, RasIn1 and Rasin2 both
display selectivity for the G12V mutants as compared with wild-type Ras providing
a potential route for mutant selective recognition of Ras.
PMID- 27865779
TI - Impairment of neurovascular coupling in Type 1 Diabetes Mellitus in rats is
prevented by pancreatic islet transplantation and reversed by a semi-selective
PKC inhibitor.
AB - Streptozotocin (STZ)-induced chronic hyperglycemia has a detrimental effect on
neurovascular coupling, linked to increased PKC-mediated phosphorylation and PKC
isoform expression changes. Here, we sought to determine whether: 1) selective
PKC-alpha/beta/gamma inhibitor, GF109203X, could reverse the effects of chronic
hyperglycemia on cerebrovascular reactivity; 2) pancreatic islet transplantation
could prevent the development of cerebrovascular impairment seen in a rat model
of Type 1 Diabetes. We studied the effect of GF109203X in diabetic (DM), non
diabetic (ND), and transplanted (TR) Lewis rats during either sciatic nerve
stimulation (SNS) or the topical applications of the large-conductance Ca2+
operated K+(BKCa) channel opener, NS1619, or the K+ inward rectifier (Kir)
channel agonist, KCl. Pial arteriole diameter changes were monitored using a
closed cranial window in vivo microscopy technique. The pial arteriole dilatory
response associated with SNS was decreased by ~45%, when comparing DM vs either
ND or TR rats. Also, pial arteriolar dilations to topical KCl and NS1619 were
largely attenuated in DM rats, but not in ND or TR animals. These responses were
completely restored by the acute application of GF109203X to the brain surface.
The PKC inhibitor had no effect on vascular responses in normoglycemic and TR
animals. In conclusion, DM-associated chronic impairment of neurovascular
coupling may be readily reversed by a PKC-alpha/beta/gamma inhibitor or prevented
via pancreatic islet transplantation. We believe that specific PCK isoforms
(alpha/beta/gamma) are mechanistically linked to the neurovascular uncoupling
seen with hyperglycemia.
PMID- 27865784
TI - A Comparison of Cell-Free DNA Isolation Kits: Isolation and Quantification of
Cell-Free DNA in Plasma.
AB - The analysis of cell-free DNA (cfDNA) as a sensitive biomarker for cancer
diagnosis and monitoring has resulted in a need for efficient and standardized
cfDNA isolation. In this study, we compared the isolation efficiency of the
QIAamp circulating nucleic acid kit (QIA) with four other cfDNA isolation kits:
the PME free-circulating DNA Extraction Kit (PME), the Maxwell RSC ccfDNA Plasma
Kit (RSC), the EpiQuick Circulating Cell-Free DNA Isolation Kit (EQ), and two
consecutive versions of the NEXTprep-Mag cfDNA Isolation Kit (NpMV1/2). cfDNA was
isolated from 10 plasma samples, of which five contained KRAS mutated cell-free
tumor DNA (ctDNA). Digital droplet PCR was used to quantify the total cfDNA
concentration as well as the KRAS mutated ctDNA fraction. cfDNA integrity was
assessed with real-time quantitative PCR. The QIA and the RSC kits displayed
similar isolation efficiencies of both KRAS mutated ctDNA and nonmutated cfDNA,
whereas the yield generated by the PME and NpMV2 kits was significantly lower.
Real-time quantitative PCR indicated the presence of digital droplet PCR
inhibiting agents in the eluates of the NpMV1 and EQ kits. To conclude, this
study presents two highly efficient isolation kits for cfDNA isolation, of which
the RSC kit has the advantage of a fully automated protocol over the labor
intensive QIA kit.
PMID- 27865785
TI - Dnmt1, Dnmt3a and Dnmt3b cooperate in photoreceptor and outer plexiform layer
development in the mammalian retina.
AB - Characterizing the role of epigenetic regulation in the mammalian retina is
critical for understanding fundamental mechanisms of retinal development and
disease. DNA methylation, an epigenetic modifier of genomic DNA, plays an
important role in modulating networks of tissue and cell-specific gene
expression. However, the impact of DNA methylation on retinal development and
homeostasis of retinal neurons remains unclear. Here, we have created a tissue
specific DNA methyltransferase (Dnmt) triple mutant mouse in an effort to
characterize the impact of DNA methylation on retinal development and
homeostasis. An Rx-Cre transgene was used to drive targeted mutation of all three
murine Dnmt genes in the mouse retina encoding major DNA methylation enzymes
DNMT1, DNMT3A and DNMT3B. The triple mutant mice represent a hypomorph model
since Dnmt1 catalytic activity was still present and excision of Dnmt3a and
Dnmt3b had only about 90% efficiency. Mutation of all three Dnmts resulted in
global genomic hypomethylation and dramatic reorganization of the photoreceptor
and synaptic layers within retina. Transcriptome and proteomic analyses
demonstrated enrichment of dysregulated phototransduction and synaptic genes. The
5 mC signal in triple mutant retina was confined to the central heterochromatin
but reduced in the peripheral heterochromatin region of photoreceptor nuclei. In
addition, we found a reduction of the 5 mC signal in ganglion cell nuclei.
Collectively, this data suggests cooperation of all three Dnmts in the formation
and homeostasis of photoreceptors and other retinal neurons within the mammalian
retina, and highlight the relevance of epigenetic regulation to sensory retinal
disorders and vision loss.
PMID- 27865786
TI - Human Connectomics across the Life Span.
AB - Connectomics has enhanced our understanding of neurocognitive development and
decline by the integration of network sciences into studies across different
stages of the human life span. However, these studies commonly occurred
independently, missing the opportunity to test integrated models of the dynamical
brain organization across the entire life span. In this review article, we survey
empirical findings in life-span connectomics and propose a generative framework
for computationally modeling the connectome over the human life span. This
framework highlights initial findings that across the life span, the human
connectome gradually shifts from an 'anatomically driven' organization to one
that is more 'topological'. Finally, we consider recent advances that are
promising to provide an integrative and systems perspective of human brain
plasticity as well as underscore the pitfalls and challenges.
PMID- 27865787
TI - The Emerging Social Neuroscience of Justice Motivation.
AB - Humans from a very early age are deeply sensitive to issues of justice and
fairness, both in their own lives and in the lives of others. Most people are
highly motivated to pursue justice and condemn injustice. Where does this concern
for justice come from? Here we integrate findings in evolution, development,
psychology, behavioral economics, and social neuroscience to highlight multiple
potential drivers of justice motivation. We argue that justice motivation arises
from complementary rapid heuristics and deliberation, each utilizing distinct and
interacting neural circuitry. This framework is useful for explaining observed
symmetries and asymmetries in responses to experiencing or observing injustice
and may help to explain why individuals vary in their responses to injustice.
PMID- 27865790
TI - Maxillomandibular Advancement Surgery for Patients Who Are Refractory to
Continuous Positive Airway Pressure: Are There Predictors of Success?
AB - PURPOSE: This pilot study was conducted to determine the effectiveness of
maxillomandibular advancement (MMA) in the treatment of patients with moderate to
severe obstructive sleep apnea (OSA). The predictive value of clinical,
radiographic, and treatment-related variables also was investigated in relation
to the success or failure of MMA as treatment for OSA. MATERIALS AND METHODS: A
retrospective study design was used to assess the outcomes of MMA in patients
with moderate to severe OSA (apnea hypopnea index [AHI] >15 events per hour) at
the University of Michigan (Ann Arbor, MI). Data collected included clinical,
radiographic, and polysomnographic findings. Primary outcomes of interest
included the AHI, minimal oxygen saturation, and percentage of time spent with
oxygen saturation lower than 88% as measured by polysomnography. RESULTS: Twenty
patients met the inclusion criteria for the study (mean age, 48.8 +/- 12.3 yr).
Mean body mass index decreased from 32.03 +/- 5.13 kg/m2 at baseline to 29.75 +/-
5.23 kg/m2 at follow-up (P = .001). Mean advancements were 13.5 +/- 2.7 mm at
point B and 16.1 +/- 4.5 mm at the pogonion. A 4.5-fold increase in minimal cross
sectional area and a 2.2-fold increase in airway volume were achieved on average.
Patients showed a 68.5% decrease in mean AHI from 49.4 to 15.6 events per hour (P
< .001). The percentage of time with oxygen saturation lower than 88% was
significantly decreased from 15.4% at baseline to 1.4% after surgery (P = .014).
The overall surgical success rate was 55% (11 of 20) based on an AHI of fewer
than 15 events per hour. CONCLUSIONS: These preliminary results indicate that MMA
surgery might be highly effective for select patients with moderate to severe
OSA. Despite large increases in airway dimensions, a surgical success rate of 55%
was achieved in the overall sample. Assessment of predictive variables for
success and failure are discussed.
PMID- 27865788
TI - Testosterone and immune-reproductive tradeoffs in healthy women.
AB - Although testosterone (T) has been characterized as universally immunosuppressive
across species and sexes, recent ecoimmunology research suggests that T's
immunomodulatory effects (enhancing/suppressing) depend on the organism's
reproductive context. Very little is known about the immune effects of T in
healthy females, and even less about how reproductive effort modulates the immune
effects of T in humans. We investigated how the interaction between endogenous T
and sexual activity predicted menstrual cycle-related changes in several measures
of immunity: inflammation (indexed by interleukin-6, IL-6), adaptive immunity
(indexed by immunoglobulin A, IgA), and functional immunity (indexed by
bactericidal assay). Thirty-two healthy women (sexually abstinent, N=17; sexually
active with one male partner, N=15) provided saliva samples at four points in the
menstrual cycle: menses, follicular, ovulation, and luteal phases. Among sexually
abstinent women, T was positively associated with IL-6 across the cycle; for
sexually active women, however, T was positively associated with IL-6 in the
luteal phase only, and negatively associated with IL-6 at ovulation. High T
predicted higher IgA among women who reported infrequent intercourse, but lower
IgA among women who reported very frequent intercourse. Finally, across groups, T
was positively associated with greater bacterial killing at menses, but
negatively associated in the luteal phase. Overall, rather than being universally
immunosuppressive, T appeared to signal immunomodulation relevant to reproduction
(e.g., lowering inflammation at ovulation, potentially preventing immune
interference with conception). Our findings support the hypothesis that the
immunomodulatory effects of endogenous T in healthy females depend on sexual and
reproductive context.
PMID- 27865789
TI - Anoxia ameliorates the dexamethasone-induced neurobehavioral alterations in the
neonatal male rat pups.
AB - Glucocorticoids and hypoxia are two essential factors affecting the brain
development during labor and delivery. In addition to the neurobehavioral
alterations induced separately by these factors, glucocorticoids can attenuate
the deleterious consequences of severe hypoxia-ischemia on the brain development,
acting as a neuroprotective agent in combination with hypoxia. The role of
hypoxia in the combined action with corticosteroids is less clear. Severe hypoxia
ischemia results in the massive activation of caspase-3, masking any other
effects of hypoxia on the neonatal brain exposed to glucocorticoids. As a result,
the effects of mild hypoxia on the developing brain pretreated with
glucocorticoids remain unclear. To analyze this problem, 2-day-old male rats were
treated with dexamethasone (DEX) before the subsequent exposure to mild 10-min
anoxia or normoxia. The treatment with only DEX resulted in the delay in the
development of the negative geotaxis reaction and in the decrease in locomotor
activity of the neonatal male pups. The mild anoxic event attenuated these DEX
induced neurobehavioral alterations. The treatment with DEX, but not the mild
anoxic exposure alone, resulted in the delayed upregulation of active caspase-3
in the prefrontal cortex and in the brainstem of the male pups. This
glucocorticoid-induced upregulation of active caspase-3 was prevented by the
anoxic event. The present findings evidence that mild anoxia is capable of
ameliorating the glucocorticoid-induced neurodevelopmental alterations in the
neonatal rats if the artificial or the naturally occurring increase in the levels
of glucocorticoids occurred just before the episode of hypoxia.
PMID- 27865791
TI - Efficacy of 2 Representative Topical Agents to Prevent Keloid Recurrence After
Surgical Excision.
AB - PURPOSE: Keloids are difficult to remove successfully and there is no universally
accepted treatment. After surgical excision of the keloid, there are various
management methods for prevention of keloid recurrence, such as intralesional
injection, radiation, and topical agents. A few studies have compared topical
agents with other treatments. The aim of this study was to investigate effective
topical agents for the prevention of recurrent keloid after surgical excision.
MATERIALS AND METHODS: Eligible articles were sought using core databases,
including Medline, Embase, and Cochrane databases, up to April 2016. The
predictor variables were mitomycin C (MC) and imiquimod cream treatment after
keloid excision. The outcome variable was keloid recurrence rate. RESULTS: The
search strategy identified 120 publications. After screening, 9 articles were
selected for review. Articles were divided into 2 groups: MC and imiquimod cream.
The recurrence rate after surgical excision in the MC group was estimated to be
16.5%, and that in the imiquimod cream group was estimated to be 24.7%.
CONCLUSION: If intralesional injection or radiation is not available, then MC or
imiquimod 5% cream could be an effective alternative in preventing keloid
recurrence.
PMID- 27865792
TI - A quantitative proteomic screen of the Campylobacter jejuni flagellar-dependent
secretome.
AB - : Campylobacter jejuni is the leading cause of bacterial gastroenteritis in the
world. A number of factors are believed to contribute to the ability of C. jejuni
to cause disease within the human host including the secretion of non-flagellar
proteins via the flagellar type III secretion system (FT3SS). Here for the first
time we have utilised quantitative proteomics using stable isotope labelling by
amino acids in cell culture (SILAC), and label-free liquid chromatography-mass
spectrometry (LC/MS), to compare supernatant samples from C. jejuni M1 wild type
and flagella-deficient (flgG mutant) strains to identify putative novel proteins
secreted via the FT3SS. Genes encoding proteins that were candidates for
flagellar secretion, derived from the LC/MS and SILAC datasets, were deleted.
Infection of human CACO-2 tissue culture cells using these mutants resulted in
the identification of novel genes required for interactions with these cells.
This work has shown for the first time that both CJM1_0791 and CJM1_0395 are
dependent on the flagellum for their presence in supernatants from C. jejuni
stains M1 and 81-176. BIOLOGICAL SIGNIFICANCE: This study provides the most
complete description of the Campylobac er jejuni secretome to date. SILAC and
label-free proteomics comparing mutants with or without flagella have resulted in
the identification of two C. jejuni proteins that are dependent on flagella for
their export from the bacterial cell.
PMID- 27865793
TI - Multiplexed mass spectrometry monitoring of biomarker candidates for
osteoarthritis.
AB - : The methods currently available for the diagnosis and monitoring of
osteoarthritis (OA) are very limited and lack sensitivity. Being the most
prevalent rheumatic disease, one of the most disabling pathologies worldwide and
currently untreatable, there is a considerable interest pointed in the
verification of specific biological markers for improving its diagnosis and
disease progression studies. Considering the remarkable development of targeted
proteomics methodologies in the frame of the Human Proteome Project, the aim of
this work was to develop and apply a MRM-based method for the multiplexed
analysis of a panel of 6 biomarker candidates for OA encoded by the Chromosome
16, and another 8 proteins identified in previous shotgun studies as related with
this pathology, in specimens derived from the human joint and serum. The method,
targeting 35 different peptides, was applied to samples from human articular
chondrocytes, healthy and osteoarthritic cartilage, synovial fluid and serum.
Subsequently, a verification analysis of the biomarker value of these proteins
was performed by single point measurements on a set of 116 serum samples, leading
to the identification of increased amounts of Haptoglobin and von Willebrand
Factor in OA patients. Altogether, the present work provides a tool for the
multiplexed monitoring of 14 biomarker candidates for OA, and verifies for the
first time the increased amount of two of these circulating markers in patients
diagnosed with this disease. SIGNIFICANCE: We have developed an MRM method for
the identification and relative quantification of a panel of 14 protein biomarker
candidates for osteoarthritis. This method has been applied to analyze human
articular chondrocytes, articular cartilage, synovial fluid, and finally a
collection of 116 serum samples from healthy controls and patients suffering
different degrees of osteoarthritis, in order to verify the biomarker usefulness
of the candidates. HPT and VWF were validated as increased in OA patients.
PMID- 27865794
TI - Mutations of cancer-related genes in benign tumors: the example of hidradenoma
papilliferum.
PMID- 27865795
TI - Hepatic angiomyolipomas may overexpress TFE3, but have no relevant genetic
alterations.
AB - The fusion or amplification of TFE3 has been identified as one of the molecular
events underlying tumorigenesis in perivascular epithelioid cell tumors
(PEComas). TFE3 rearrangements in PEComas are related to the morphological
features of the epithelioid appearance and weaker expression of
immunohistochemical muscular markers. This study aimed to clarify whether these
genetic alterations are involved in hepatic angiomyolipomas (AMLs), which are a
member of the PEComa tumor family. We examined 28 liver specimens (15 biopsies
and 13 surgical specimens) of hepatic AMLs obtained from 26 patients. Renal AMLs
(n=20), extrahepatorenal PEComas (n=3), lymphangiomyomatosis (n=8), and
hepatocellular carcinomas (n=40) were used as a control. A histologic comparison
between hepatic and renal AMLs revealed that the epithelioid appearance was more
common in hepatic tumors (38% versus 0%, P=.006). In immunohistochemistry, the
expression of HMB45 and Melan-A appeared to be more widespread in hepatic AMLs
than in renal AMLs, whereas smooth muscle actin and desmin were less broadly
expressed in hepatic tumors (all P<.001). TFE3 also appeared to be overexpressed
in 6 (21%) of 26 hepatic AMLs and 3 (100%) of 3 PEComas, but in none of the renal
AMLs. In fluorescence in situ hybridization, although all PEComas harbored a TFE3
rearrangement or amplification, no genetic alterations were found in any hepatic
AMLs. In conclusion, although hepatic AMLs and TFE3-rearranged PEComas share
pathological features such as the epithelioid appearance and immunoreactivity to
TFE3, TFE3 alterations are less likely to be a major molecular event driving
tumorigenesis in hepatic AMLs.
PMID- 27865796
TI - Bletilla striata: Medicinal uses, phytochemistry and pharmacological activities.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Bletilla striata (Thunb.) Reichb. f.
(Orchidaceae), also known as Hyacinth Orchid and Baiji (Simplified Chinese: ),
not only has been widely used for the treatment of hematemesis, hemoptysis, and
traumatic bleeding due to the efficacy of arresting bleeding with astringent
action, but also has been applied topically to overcome ulcers, sores, swellings,
and chapped skin due to the efficacy of dispersing swelling and promoting tissue
regeneration. Additional medical applications include the treatment of
tuberculosis, malignant ulcers, hemorrhoids, anthrax, eye diseases, and
silicosis. AIM OF THIS REVIEW: This review aims to provide up-to-date information
on the botanical characterization, medicinal uses, chemical constituents,
pharmacological activities, and toxicity of B. striata. In addition, this paper
also focuses on the possible exploitation of this plant for the treatment of
different diseases, and uncovers opportunities for future research. MATERIALS AND
METHODS: The relevant information on B. striata was gathered from worldwide
accepted scientific databases via an electronic search (Google Scholar, Web of
Science, ScienceDirect, ACS Publications, PubMed, Wiley Online Library,
SciFinder, CNKI). Information was also obtained from The Plant List, Chinese
pharmacopoeia, Chinese herbal classics books, PhD and MSc dissertations, etc.
RESULTS: A comprehensive analysis of the literature obtained through the above
mentioned sources confirmed that the ethnomedical usages of B. striata have been
recorded in Mongolia, Korea, Japan, and China. Phytochemical investigations
revealed that the major chemical constituents of B. striata are polysaccharides,
bibenzyls, phenanthrenes, triterpenoids and its saponins, steroids and its
saponins, which also have been proven to be the main bioactive substances capable
of exhibiting numerous pharmacological activities including wound healing,
antiulcer, hemostasis, cytotoxicity, antimicrobial, anti-inflammation, anti
oxidation, immunomodulation, anti-fibrosis, antiaging, anti-allergy, and anti
itch. CONCLUSIONS: Preliminary investigations on pharmacological properties of B.
striata have shown that B. striata is an outstanding astringent hemostatic
medicinal, B. striata polysaccharides (BSP) as the major bioactive components not
only capable of promoting wound healing, but also show good performance as a kind
of promising natural biomaterial. More importantly, BSP are also reported to be
excellent embolic material. However, further investigations need to be carried
out to fully clarify its efficacy of dispersing swelling and promoting tissue
regeneration. Moreover, this plant also needs a lot more investigations to
clarify the pathways of absorption, distribution, metabolism and excretion, and
to evaluate its long-term in vivo chronic toxicity before proceeding to the
development of pharmaceutical formulation.
PMID- 27865797
TI - Radboud Centre for Mitochondrial Medicine Pediatric MRI score.
AB - We developed the first user-friendly, semi-quantitative, and quick-to-perform
Radboud Centre for Mitochondrial Medicine Pediatric MRI score (RCMM-PMRIS),
focusing on the six most commonly described neuroimaging abnormalities in the
literature. The RCMM-PMRIS was validated through individual review of 30 sets of
brain MRI studies in 24 patients with genetically confirmed mitochondrial
disorders by six raters. The application of RCMM-PMRIS can help to define the
extent of the brain involvement and therefore to assess the radiological
mitochondrial disease severity, to monitor disease progression and consequently
to act as an outcome measure for treatment effects in patients with mitochondrial
disease.
PMID- 27865800
TI - Action observation and motor imagery for rehabilitation in Parkinson's disease: A
systematic review and an integrative hypothesis.
AB - This article discusses recent evidence supporting the use of action observation
therapy and motor imagery practice for rehabilitation of Parkinson's disease. A
main question that emerges from the review regards the different effectiveness of
these approaches and the possibility of integrating them into a single method to
enhance motor behaviour in subjects with Parkinson's disease. In particular, the
reviewed studies suggest that action observation therapy can have a positive
effect on motor facilitation of patients and that a long-term rehabilitation
program based on action observation therapy or motor imagery practice can bring
some benefit on their motor recovery. Moreover, the paper discusses how the
research on the combined use of action observation and motor imagery for motor
improvements in healthy subjects may encourage the combined use of action
observation therapy and motor imagery practice for therapeutic aims in
Parkinson's disease. To date, this hypothesis has never been experimented.
PMID- 27865798
TI - Adoptive transfer of ex vivo expanded Vgamma9Vdelta2 T cells in combination with
zoledronic acid inhibits cancer growth and limits osteolysis in a murine model of
osteolytic breast cancer.
AB - Bone metastases occur in over 75% of patients with advanced breast cancer and are
responsible for high levels of morbidity and mortality. In this study, ex vivo
expanded cytotoxic Vgamma9Vdelta2 T cells isolated from human peripheral blood
were tested for their anti-cancer efficacy in combination with zoledronic acid
(ZOL), using a mouse model of osteolytic breast cancer. In vitro, expanded
Vgamma9Vdelta2 T cells were cytotoxic against a panel of human breast cancer cell
lines, and ZOL pre-treatment further sensitised breast cancer cells to killing by
Vgamma9Vdelta2 T cells. Vgamma9Vdelta2 T cells adoptively transferred into
NOD/SCID mice localised to osteolytic breast cancer lesions in the bone, and
multiple infusions of Vgamma9Vdelta2 T cells reduced tumour growth in the bone.
ZOL pre-treatment potentiated the anti-cancer efficacy of Vgamma9Vdelta2 T cells,
with mice showing further reductions in tumour burden. Mice treated with the
combination also had reduced tumour burden of secondary pulmonary metastases, and
decreased bone degradation. Our data suggests that adoptive transfer of
Vgamma9Vdelta2 T cell in combination with ZOL may prove an effective
immunotherapeutic approach for the treatment of breast cancer bone metastases.
PMID- 27865799
TI - Oleic acid-induced ANGPTL4 enhances head and neck squamous cell carcinoma anoikis
resistance and metastasis via up-regulation of fibronectin.
AB - Obese patients have higher levels of free fatty acids (FFAs) in their plasma and
a higher risk of cancer than their non-obese counterparts. However, the
mechanisms involved in the regulation of cancer metastasis by FFAs remain
unclear. In this study, we found that oleic acid (OA) induced angiopoietin-like 4
(ANGPTL4) protein expression and secretion and conferred anoikis resistance to
head and neck squamous cell carcinomas (HNSCCs). The autocrine production of OA
induced ANGPTL4 further promoted HNSCC migration and invasion. In addition, the
expression of peroxisome proliferator-activated receptor (PPAR) was essential for
the OA-induced ANGPTL4 expression and invasion. The levels of OA-induced
epithelial-mesenchymal transition markers, such as vimentin, MMP-9, and
fibronectin and its downstream effectors Rac1/Cdc42, were significantly reduced
in ANGPTL4-depleted cells. Knocking down fibronectin inhibited the expression of
MMP-9 and repressed OA- and recombinant ANGPTL4-induced HNSCC invasion. On the
other hand, ANGPTL4 siRNA inhibited OA-induced MMP-9 expression, which was
reversed in fibronectin-overexpressing cells. Furthermore, the depletion of
ANGPTL4 impeded the OA-primed metastatic seeding of tumor cells in the lungs.
These results demonstrate that OA enhances HNSCC metastasis through the
ANGPTL4/fibronectin/Rac1/Cdc42 and ANGPTL4/fibronectin/MMP-9 signaling axes.
PMID- 27865802
TI - Improving the Medical Curriculum in Predoctoral Dental Education: Recommendations
From the American Association of Oral and Maxillofacial Surgeons Committee on
Predoctoral Education and Training.
AB - Dental procedures are often performed on patients who present with some level of
medical fragility. In many dental schools, the exercise of taking a medical
history is all too often a transcription of information to the dental chart, with
little emphasis on the presurgical risk assessment and the development of a
treatment plan appropriate to the medical status of the dental patient. Changes
in dentistry, driven by an increasingly medically complex population of dental
patients, combined with treatment advances rooted in the biomedical sciences
necessitate the adaptation of our dental education to include a stronger
background in systemic health. Many predoctoral educators in the American
Association of Oral and Maxillofacial Surgeons (AAOMS) have expressed concern
about the medical preparedness of our dental students; therefore, the AAOMS and
its Committee on Predoctoral Education and Training have provided recommendations
for improving the medical curriculum in predoctoral dental education, including a
strengthening of training in clinical medicine and biomedical sciences, with
specific recommendations for improved training of our dental students and dental
faculty.
PMID- 27865801
TI - Long-term cognitive, emotional and neurogenic alterations induced by alcohol and
methamphetamine exposure in adolescent rats.
AB - A high proportion of young methamphetamine (MA) users simultaneously consume
alcohol. However, the potential neurological and behavioural alterations induced
by such a drug combination have not been systematically examined. We studied in
adolescent rats the long-term effects of alcohol, MA, and alcohol and MA combined
on anxiety-like behaviour, memory, and neurogenesis in the adult hippocampus.
Rats received saline, ethanol (ETOH, 1.5g/kg), MA (MA, 2mg/kg), or ethanol and MA
combined (ETHOH-MA, 1.5g/kg ethanol plus 2mg/kg MA) via oral gavage, once daily
for 5 consecutive days. Open field (OF), elevated plus maze (EPM) and radial arm
maze (RAM) tests were conducted following a 15-day withdrawal period. The results
showed alterations in exploratory behaviour in the OF in the MA and ETOH-MA
groups, and anxiety-like effects in the EPM in all three drug treatment groups.
All three drug groups exhibited reference memory deficits in the RAM, but only
the combination treatment group displayed alterations in working memory. Both MA
and ETOH-MA treatments increased the length of doublecortin (DCX)-void gaps in
the dentate gyrus but only ETOH-MA treatment increased the number of such gaps.
An increased number and length of DCX-void gaps correlated with decreased
exploratory activity in the OF, and impaired working memory in the RAM was
associated with an augmented number of gaps. These findings suggest that
alterations in adult hippocampal neurogenesis are linked to the persistent
cognitive and behavioural deficits produced by alcohol and MA exposure.
PMID- 27865804
TI - Ghost Cell Tumors.
AB - Ghost cell tumors are a family of lesions that range in presentation from cyst to
solid neoplasm and in behavior from benign to locally aggressive or metastatic.
All are characterized by the presence of ameloblastic epithelium, ghost cells,
and calcifications. This report presents the cases of a 14-year-old girl with a
calcifying cystic odontogenic tumor (CCOT) and a 65-year-old woman with a
peripheral dentinogenic ghost cell tumor (DGCT) with dysplastic changes, a rare
locally invasive tumor of odontogenic epithelium. The first patient presented
with a 1-year history of slowly progressing pain and swelling at the left body of
the mandible. Initial panoramic radiograph displayed a mixed radiolucent and
radiopaque lesion. An incisional biopsy yielded a diagnosis of CCOT.
Decompression of the mass was completed; after 3 months, it was enucleated and
immediately grafted with bone harvested from the anterior iliac crest. The second
patient presented with a 3-month history of slowly progressing pain and swelling
at the left body of the mandible. Initial panoramic radiograph depicted a mixed
radiolucent and radiopaque lesion with saucerization of the buccal mandibular
cortex. An incisional biopsy examination suggested a diagnosis of DGCT because of
the presence of ghost cells, dentinoid, and islands of ameloblastic epithelium.
Excision of the mass with peripheral ostectomy was completed. At 6 and 12 months
of follow-up, no evidence of recurrence was noted.
PMID- 27865803
TI - Leukoplakia-A Diagnostic and Management Algorithm.
AB - Oral white lesions are frequently encountered in daily practice. Most white
lesions are benign (eg, reactive keratoses or keratoses from inflammatory
conditions) and the diagnosis is usually evident from the clinical presentation
and histopathology. Leukoplakia is a common condition characterized by an
increased risk for malignant transformation. Histopathology of leukoplakia can
disclose hyperkeratosis with dysplasia or carcinoma or hyperkeratosis or
parakeratosis without dysplasia. Treatment depends on demographic, social,
clinical, and histopathologic factors. This review focuses on the diagnosis and
management of oral leukoplakia.
PMID- 27865805
TI - Transcriptional activation by MLL fusion proteins in leukemogenesis.
AB - Chromosomal translocations involving the mixed lineage leukemia (MLL) gene cause
aggressive leukemia. Fusion proteins of MLL and a component of the AF4 family/ENL
family/P-TEFb complex (AEP) are responsible for two-thirds of MLL-associated
leukemia cases. MLL-AEP fusion proteins trigger aberrant self-renewal of
hematopoietic progenitors by constitutively activating self-renewal-related
genes. MLL-AEP fusion proteins activate transcription initiation by loading the
TATA-binding protein (TBP) to the TATA element via selectivity factor 1. Although
AEP retains transcription elongation and mediator recruiting activities, the rate
limiting step activated by MLL-AEP fusion proteins appears to be the TBP-loading
step. This is contrary to prevailing views, in which the recruitment of
transcription elongation activities are emphasized. Here, I review recent
advances towards elucidating the mechanisms underlying gene activation by MLL-AEP
fusion proteins in leukemogenesis.
PMID- 27865807
TI - Response to the letter to editor on innovative metabolic operations by El Kadre
and Tinoco.
PMID- 27865808
TI - Postprandial hyperinsulinemic hypoglycemia after Roux-en-Y gastric bypass: an
update.
AB - Roux-en-Y gastric bypass (RYGB) is an efficient treatment for morbid obesity and
reduces obesity-related co-morbidities. With the growing number of patients
undergoing gastric bypass, complications now demand further attention.
Postprandial hyperinsulinemic hypoglycemia (PHH) after Roux-en-Y gastric bypass
is a complex condition, characterized by increased glucose variability including
both hyperglycemic and hypoglycemic values. PHH seems to be more prevalent than
previously suggested and is highly dependent on the choice of diagnostic tool,
which has not yet been standardized. Questionnaires, an oral glucose tolerance
test, a mixed meal tolerance test, and continuous glucose monitoring have been
used, each with their own advantages. The condition is further complicated by a
large group of asymptomatic cases. Patients with symptoms of PHH after gastric
bypass are characterized by exaggerated insulin and glucagon-like peptide-1
responses compared to asymptomatic operated patients. The counter-regulatory
mechanisms responsible for preventing hypoglycemia appear to be altered. The
cause of these changes is not entirely understood, and it remains difficult to
identify patients at risk of developing hypoglycemia. Known risk factors are
female sex, longer time since surgery, and lack of prior diabetes. Management of
the hypoglycemic episodes is difficult, and only dietary modifications consisting
of frequent and less carbohydrate-rich meals seem to be efficient. Medical
treatments and surgical procedures have been attempted in few studies and still
warrant further examination.
PMID- 27865806
TI - Ikaros: Exploiting and targeting the hematopoietic stem cell niche in B
progenitor acute lymphoblastic leukemia.
AB - Genetic alterations of IKZF1 encoding the lymphoid transcription factor IKAROS
are a hallmark of high-risk B-progenitor acute lymphoblastic leukemia (ALL), such
as BCR-ABL1-positive (Ph+) and Ph-like ALL, and are associated with poor outcome
even in the era of contemporary chemotherapy incorporating tyrosine kinase
inhibitors. Recent experimental mouse modeling of B-progenitor ALL has shown that
IKZF1 alterations have multiple effects, including arresting differentiation,
skewing lineage of leukemia from myeloid to lymphoid, and, in Ph+ leukemia,
conferring resistance to tyrosine kinase inhibitor (TKI) therapy without
abrogating ABL1 inhibition. These effects are in part mediated by acquisition of
an aberrant hematopoietic stem cell-like program accompanied by induction of cell
surface expression of stem cell and adhesion molecules that mediate extravascular
invasion and residence in the niche and activation of integrin signaling
pathways. These effects can be exploited therapeutically using several
approaches. IKZF1 alterations also result in upregulation of RXRA that encodes
part of the heterodimeric retinoic acid X receptor. Rexinoids, a synthetic class
of retinoids that bind specifically to retinoid "X" receptors such as bexarotene
potently reverse aberrant adhesion and niche mislocalization in vivo and induce
differentiation and cell cycle arrest. Focal adhesion kinase inhibitors block the
downstream integrin-mediated signaling, reverse adhesion, and niche
mislocalization. Both agents act synergistically with TKIs to prolong survival of
Ph+ ALL in mouse and human xenograft model, with long-term remission induced by
focal adhesion kinase inhibitors. Therefore, these findings provide important new
conceptual insights into the mechanisms by which IKZF1 alterations result in drug
resistance and indicate that therapeutic strategies directed against the pathways
deregulated by mutation, rather than attempting to restore IKZF1 expression
directly, represent promising therapeutic approaches in this disease.
PMID- 27865809
TI - Duodenal-jejunal bypass attenuates progressive failure of pancreatic islets in
streptozotocin-induced diabetic rats.
AB - BACKGROUND: Preservation of pancreatic beta cell function has been increasingly
appealing in the treatment of type 2 diabetes. Evidence is still limited on how
bariatric surgery affects pancreatic beta cell apoptosis. SETTING: University
medical center. OBJECTIVE: The study aimed to investigate the effect of a major
component of Roux-en-Y gastric bypass, duodenal-jejunal bypass, on protecting
pancreatic beta cells from progressive loss. METHODS: Forty-five normal Sprague
Dawley rats were randomly assigned into 3 groups: duodenal-jejunal bypass (DJB)
group (n = 16) and sham (S) group (n = 17), based upon the procedure received,
and a control (C) group (n = 12) without any procedure performed, to eliminate
potential traumatic effects from surgery. Ten days after surgery, streptozotocin
(STZ, 45 mg/kg weight) was injected intraperitoneally into each animal, including
the control animals, to selectively induce pancreatic beta cell apoptosis.
Weight, food intake, plasma glucose level, and the results of an oral glucose
tolerance test were measured before surgery, pre-STZ injection, and up to 4 weeks
after STZ injection. Plasma insulin and glucagon-like peptide-1 levels were also
assayed during oral glucose tolerance test. At the end, pancreatic tissues were
sliced and stained for beta cell analysis. RESULTS: There were no significant
differences in weight among all groups at any time points measured, despite rats
in the S and C groups consuming more food than those in the DJB group as measured
on day 10 (P<.05) and day 20 (P<.01) after STZ injection. Animals undergoing DJB
did not experience symptoms typical of uncompensated diabetes, including
hyperphagia and progressive weight loss. After STZ injection, fasting plasma
glucose levels in the DJB group were significantly lower than those in the C and
S groups (P<.001). When challenged by glucose load, DJB rats also had a better
glycemic excursion (P<.01) and incretin response compared with C and S rats
(P<.05). In addition, pancreatic beta cell size and mass was better preserved in
DJB rats (P< .001). CONCLUSION: DJB is able to protect pancreatic beta cells from
apoptosis, which leads to better glycemic control and delayed onset of diabetes.
These results imply the necessity of including a DJB component when designing
bariatric procedure to achieve a better long-term outcome.
PMID- 27865810
TI - Improvement of anthropometric and biochemical, but not of vitamin A, status in
adolescents who undergo Roux-en-Y gastric bypass: a 1-year follow up study.
AB - BACKGROUND: The aim of this study was to describe anthropometric, biochemical, co
morbidity, and vitamin A nutritional status in severely obese adolescents before
and 30, 180, and 365 days after Roux-en-Y gastric bypass (RYGB). SETTING: Federal
University of Rio de Janeiro, Rio de Janeiro, Brazil. METHODS: Sixty-four
adolescents (15-19 years old) with a body mass index>=40 kg/m2 were enrolled in a
prospective follow-up study. Vitamin A status was evaluated before surgery (T0),
and 30 (T30), 180 (T180), and 365 (T365) days after surgery, applying biochemical
and functional indicators. Anthropometric measures, lipid profile, glycemia, and
basal insulin also were assessed. No patients were lost during follow-up.
RESULTS: Before surgery, 26.6% of the sample group experienced vitamin A
deficiency (VAD). Serum retinol levels dropped significantly 30 days after
surgery and then returned to basal levels. There was a significant increase in
the prevalence of beta-carotene deficiency and night blindness throughout the
postsurgery period. A significant reduction in blood glucose, insulin resistance,
lipid profile, and anthropometric parameters was observed. CONCLUSION: The
finding that oral daily supplementation with 5000 IU retinol acetate failed to
reverse VAD and night blindness after RYGB is highly significant. We recommend
assessment of VAD and night blindness in extremely obese adolescents before and
after RYGB. We further recommend monitoring for an additional 180 days (for VAD)
and 365 days (for night blindness) after surgery, with particular attention to
daily supplementation needs.
PMID- 27865811
TI - Laparoscopic stomach intestinal pylorus sparing surgery in a patient with morbid
obesity and situs inversus: first video case report.
PMID- 27865812
TI - Laparoscopic adjustable gastric banding versus laparoscopic adjustable gastric
banding with gastric plication: midterm outcomes in terms of weight loss and
short term complications.
AB - BACKGROUND: Laparoscopic adjustable gastric banding (LAGB) is a safe procedure
with variable outcomes and large standard deviations. LAGB with gastric plication
(LAGBP) is a new restrictive procedure that combines the lap band with gastric
plication. This procedure, with its mechanism being below the band anatomically,
should augment the weaknesses of the lap band: slips and inadequate weight loss.
OBJECTIVE: Compare the weight loss results and complication rates between the
LAGB and LAGBP. SETTING: Private practice. METHODS: Data was analyzed data from
120 patients retrospectively from 2 surgeons at a single private institution.
Seventy-six patients underwent LAGB, and 44 other patients underwent LAGBP
between February 2011 and July 2013. All 120 patients are beyond the 1-year
postoperative mark and 110 patients are beyond the 2-year postoperative mark. A
subset analysis was performed comparing data from both procedures to evaluate
weight loss and complications. RESULTS: There were no significant differences
between preoperative age, weight, and body mass index between the patients who
underwent either procedure. We had 47.4% and 52.3% follow-up at 1 year for LAGB
and LAGBP, respectively, with 91.5% and 92.3% follow-up at 2 year for LAGB and
LAGBP, respectively. Complications were low with LAGBP; however, it was not
statistically significant (P = .54). The LAGBP had a greater percent excess
weight loss, percent total weight loss, and percent excess body mass index lost
compared with the LAGB at 3, 6, 9, 12, and 24 months, and these differences were
statistically significant. Mean percent excess weight loss for LAGB and LAGBP was
28.3% and 34.5% (P<.05) at 1 year and 32.1% and 39.2% (P<.05) at 2 years,
respectively. CONCLUSION: LAGBP is a safe, feasible, and reproducible bariatric
procedure. The LAGBP performs significantly better than the LAGB for weight loss.
The complication and revision rates were slightly higher with LAGB than LAGBP.
However, it was not statistically significant.
PMID- 27865813
TI - Editorial: Barium swallow for hiatal hernia detection is unnecessary prior to
primary sleeve gastrectomy.
PMID- 27865814
TI - Obesity and the role of bariatric surgery in the surgical management of
osteoarthritis of the hip and knee: a review of the literature.
AB - Obesity accelerates the development of osteoarthritis of the knee and hip by
exerting deleterious effects on joints through both biomechanical and also
systemic inflammatory changes. The objective of this review was to evaluate the
impact of obesity on lower limb biomechanics and total joint arthroplasty
outcomes, as well as weight changes after joint arthroplasty and the role of
bariatric surgery among patients requiring joint arthroplasty. The currently
published data indicate that weight loss increases swing time, stride length,
gait speed, and lower extremity range of motion. Total joint arthroplasty
improves pain and joint function, but does not induce significant weight loss in
the majority of patients. Bariatric surgery improves gait biomechanics, and in
the severely obese patient with osteoarthritis improves pain and joint function.
The evidence for supporting bariatric surgery before total joint arthroplasty is
limited to retrospective reports with conflicting results. Fundamental clinical
questions remain regarding the optimal management of morbid obesity and lower
extremity arthritis, which should be the focus of future collaborations across
disciplines providing care to patients with both conditions.
PMID- 27865815
TI - Surgical standardization to prevent gastric stenosis after laparoscopic sleeve
gastrectomy: a case series.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) is accepted as a stand-alone
bariatric procedure. A specific and potentially severe complication of LSG is
gastric stenosis (GS). OBJECTIVE: Reviewing the treatment and prevention of GS
after LSG. SETTING: University hospital, Taiwan. MATERIALS AND METHODS: A
retrospective analysis was conducted involving all of the LSG cases (n = 927) at
our institution between February 2007 and December 2015. RESULTS: Eight patients
(0.8%) with GS were identified in our unit and 1 patient was transferred from
another institution with symptomatic GS. The median intervals from initial LSG to
the presence of symptoms, endoscopic dilation, and surgical revision were 14+/-30
days (range, 7-103 days), 21+/-35.6 days (range, 9-110 days), and 36+/-473.9 days
(range, 11-1185 days), respectively. The majority of stenoses were located at the
incisura angularis (8/9 [88.9%]). Among the 9 patients, only 1 responded
satisfactorily to repetitive endoscopic dilation and the remaining 8 patients
required revisional laparoscopic surgery, including conversion to Roux-en-Y
gastric bypass (n = 6), stricturoplasty (n = 1), and Roux-en-Y gastric bypass
after failed seromyotomy (n = 1). No patients experienced recurrent symptoms of
GS after revisional surgery. In September 2013, we modified our surgical
techniques for the subsequent 489 patients and GS did not occur after the change
in surgical procedures. CONCLUSION: A combined treatment modality, endoscopic
intervention with and without surgical revision is essential for managing GSs.
Based on our own experience, we emphasize the clinical significance of surgical
standardization to prevent the occurrence of GS.
PMID- 27865816
TI - Paired Editorial: Perioperative Outcome of Laparoscopic Sleeve Gastrectomy for
High-Risk Patients.
PMID- 27865817
TI - Development and piloting the Woman Centred Care Scale (WCCS).
AB - PROBLEM/BACKGROUND: In midwifery we espouse a woman centred care approach to
practice, yet in midwifery education no valid instrument exists with which to
measure the performance of these behaviours in midwifery students. AIM: To
develop and validate an instrument to measure woman centred care behaviours in
midwifery students. METHOD: We identified four core concepts; woman's sphere,
holism, self-determination and the shared power relationship. We mapped 18
individual descriptive care behaviours (from the Australian National Competency
Standards for the Midwife) to these concepts to create an instrument to
articulate and measure care behaviours that are specifically woman centred.
Review by expert midwifery clinicians ensured face, content and construct
validity of the scale and predictive validity and reliability were tested in a
simulated learning environment. Midwifery students were video recorded performing
a clinical skill and the videos were reviewed and rated by two expert clinicians
who assessed the woman centred care behaviours demonstrated by the students
(n=69). FINDINGS/DISCUSSION: Test and re-test reliability of the instrument was
high for each of the individual raters (Kappa 0.946 and 0.849 respectively
p<0.001). However, when raters were compared there were differences between their
scores suggesting variation in their expectations of woman centred care
behaviours (Kappa 0.470, p<0.001). Midwifery students who had repeated exposures
to higher levels of simulation fidelity demonstrated higher levels of woman
centred care behaviours. CONCLUSION: The WCCS has implications for education and
the wider midwifery profession in recognising and maintaining practice consistent
with the underlying philosophy of woman centred care.
PMID- 27865818
TI - Maternity high-dependency care and the Australian midwife: A review of the
literature.
AB - BACKGROUND: Maternity high-dependency care has emerged throughout the 21st
century in Australian maternity hospitals as a distinct sub-speciality of
maternity care. However, what the care involves, how and why it should be
provided, and the role of midwives in the provision of such care remains highly
variable. INTRODUCTION: Rising levels of maternal morbidity from non-obstetric
causes have led midwives to work with women who require highly complex care,
beyond the standard customary midwifery role. Whilst the nursing profession has
developed and refined its expertise as a specialty in the field of high
dependency care, the midwifery profession has been less likely to pursue this as
a specific area of practice. DISCUSSION: This paper explores the literature
surrounding maternity high-dependency care. From the articles reviewed, four key
themes emerge which include; the need for maternity high-dependency care,
maternal morbidity and maternity high-dependency care, the role of the midwife
and maternity high-dependency care and midwifery education and preparation for
practice. It highlights the challenges that health services are faced with in
order to provide maternity high-dependency care to women. Some of these
challenges include resourcing and budgeting limitations, availability of
educators with the expertise to train staff, and the availability of suitably
trained staff to care for the women when required. CONCLUSION: In order to
provide maternity high-dependency care, midwives need to be suitably equipped
with the knowledge and skills required to do so.
PMID- 27865819
TI - Buzz Juice: Neurological sequelae of synthetic cannabinoids.
AB - The use of synthetic cannabinoids is becoming more widespread. Familiarity with
the potential toxicities associated with these agents will grow in importance. We
present a case of a woman who developed onset of confusion, visual
hallucinations, and ataxia after vaporizing synthetic cannabinoids. MRI imaging
demonstrated restricted diffusion and increased T2/FLAIR signal in the corpus
callosum and cerebellar peduncles.
PMID- 27865820
TI - Adjacent segment disease requiring reoperation in cervical total disc
arthroplasty: A literature review and update.
AB - OBJECTIVE: To evaluate the difference in rate of reoperation for adjacent segment
disease (ASD) between anterior cervical decompression and fusion (ACDF) and total
disc replacement (TDR). METHOD: A systematic review of literature was performed
using PubMed, clinicaltrials.gov, and various other search engines. Nine studies
met the inclusion criteria and were used to report an estimated overall rate of
reoperation secondary to ASD for both ACDF and TDR. RESULTS: Forty-six clinical
trials were identified after the initial search, and 9 studies met our inclusion
criteria. Although the data was not pooled due to significant variation in level
of evidence and length of follow-up, the overall rate of reoperation for ASD in
the TDR cohort of patients analyzed in our review was 3.1% (range: 0-7.1%) with a
follow-up between 24 and 80months. In contrast, the reoperation rate for ASD in
the ACDF control was 6.0% (range: 1.0-11.9%). CONCLUSION: The average reoperation
rate for ASD was 3.1% for the TDR across all studies, which was lower than the
reoperation rate of 6.0% in the ACDF group. Further studies and follow-up data
are still needed to determine if cervical TDR preserves adjacent segment motion
more efficiently than the natural history of the disease, and if it will be a
durable option when compared to the already excellent results of ACDF.
PMID- 27865821
TI - The effects of tumor treating fields and temozolomide in MGMT expressing and non
expressing patient-derived glioblastoma cells.
AB - A recent Phase 3 study of newly diagnosed glioblastoma (GBM) demonstrated the
addition of tumor treating fields (TTFields) to temozolomide (TMZ) after combined
radiation/TMZ significantly increased survival and progression free survival.
Preliminary data suggested benefit with both methylated and unmethylated O-6
methylguanine-DNA methyl-transferase (MGMT) promoter status. To date, however,
there have been no studies to address the potential interactions of TTFields and
TMZ. Thus, the effects of TTFields and TMZ were studied in vitro using patient
derived GBM stem-like cells (GSCs) including MGMT expressing (TMZ resistant: 12.1
and 22GSC) and non-MGMT expressing (TMZ sensitive: 33 and 114GSC) lines. Dose
response curves were constructed using cell proliferation and sphere-forming
assays. Results demonstrated a ?10-fold increase in TMZ resistance of MGMT
expressing (12.1GSCs: IC50=160MUM; 22GSCs: IC50=44MUM) compared to MGMT non
expressing (33GSCs: IC50=1.5MUM; 114GSCs: IC50=5.2MUM) lines. TTFields inhibited
12.1 GSC proliferation at all tested doses (50-500kHz) with an optimal frequency
of 200kHz. At 200kHz, TTFields inhibited proliferation and tumor sphere formation
of both MGMT GSC subtypes at comparable levels (12.1GSC: 74+/-2.9% and 38+/-3.2%,
respectively; 22GSC: 61+/-11% and 38+/-2.6%, respectively; 33GSC: 56+/-9.5% and
60+/-7.1%, respectively; 114 GSC: 79+/-3.5% and 41+/-4.3%, respectively). In
combination, TTFields (200kHz) and TMZ showed an additive anti-neoplastic effect
with equal efficacy for TTFields in both cell types (i.e., +/- MGMT expression)
with no effect on TMZ resistance. This is the first demonstration of the effects
of TTFields on cancer stem cells. The expansion of such studies may have clinical
implications.
PMID- 27865822
TI - Ambulatory blood pressure in hypertensive patients with inclusion criteria for
the SPRINT trial.
AB - We aimed to characterize 24-hour blood pressure (BP) values and categories in
patients with inclusion/exclusion criteria of the Systolic Blood Pressure
Intervention (SPRINT) trial from the Spanish ABPM Registry. We selected patients
older than 50 years, with office systolic BP (SBP) above 130 mm Hg and at high
cardiovascular risk, but without diabetes, previous stroke, or symptomatic heart
failure. Ambulatory BP was compared among BP categories. A total of 39,132
patients (34%) fulfilled inclusion criteria of SPRINT trial. Ambulatory SBP was
considerably lower than office BP, with 42% of patients having daytime values
below 130 mm Hg and 21% 24-hour values below 120 mm Hg. In conclusion, more than
one-third of the hypertensive population included in the Spanish ABPM Registry
can be considered as SPRINT candidates, although one out of five have values of
24-hour SBP below 120 mm Hg. These data suggest that knowledge of ABPM values
could be helpful when planning a treatment intensification in high-risk patients.
PMID- 27865823
TI - Gut hormones and gut microbiota: implications for kidney function and
hypertension.
AB - Increased blood pressure (BP) and chronic kidney disease are two leading risk
factors for cardiovascular disease. Increased sodium intake is one of the most
important risk factors for development of hypertension. Recent data have shown
that gut influences kidney function and BP by variety of mechanisms. Various
hormones and peptides secreted from gut such as gastrin, glucocorticoids,
Glucagon-like peptide-1 impact on kidney function and BP especially influencing
sodium absorption from gut. These findings stimulate scientist to find new
therapeutic options such as tenapanor for treatment of hypertension by blocking
sodium absorption from gut. The gastrointestinal tract is also occupied by a huge
community of microbes (microbiome) that under normal condition has a symbiotic
relationship with the host. Alterations in the structure and function of the gut
microbiota have been shown to play a key role in the pathogenesis and
complications of numerous diseases including hypertension. Based on these data,
in this review, we provide a summary of the available data on the role of gut and
gut microbiota in regulation of BP and kidney function.
PMID- 27865824
TI - Effect of placebo groups on blood pressure in hypertension: a meta-analysis of
beta-blocker trials.
AB - Hypertension is often treated pharmacologically. Since there is evidence that the
cardiovascular system is sensitive to placebo mechanisms, our aim was to conduct
an effect size analysis of placebo groups in double-blinded randomized controlled
parallel-group drug trials using beta-blockers to treat hypertensive patients. A
comprehensive literature search via PubMed, PsycINFO, PSYNDEX, PQDT OPEN,
OpenGREY, ISI Web of Knowledge, and the WHO International Clinical Trials
Registry Platform provided the basis of our meta-analysis. Effect sizes were
estimated using a random-effects model based on 23 studies covering a total of
11,067 participants. Main outcomes were systolic blood pressure (sBP) and
diastolic blood pressure (dBP). Blood pressure was lowered in placebo groups with
significant and robust effect sizes (Hedges' g). The estimates for sBP (-0.27, P
< .001) and dBP (-0.49, P < .001) can be interpreted as small to moderate. The
placebo response accounted for 34% of the drug response for sBP and 47% of the
drug response for dBP. Our moderator analyses indicated that a higher study
quality and more study site visits were marginally associated with a higher
placebo response. In light of these strong placebo responses, placebo mechanisms
need to be considered in order to improve antihypertensive treatment.
PMID- 27865825
TI - Removal of protein S1 from Escherichia coli ribosomes without the use of affinity
chromatography.
AB - The paper reports an inexpensive and efficient procedure for the removal of
protein S1 from E. coli ribosomes. It comprises incubation of ribosomes in a
pyrimidine polyribonucleotide solution followed by centrifugation of the sample
through a sucrose cushion. To avoid co-sedimentation of the S1-bound
polypyrimidine with the ribosomes, its length should not exceed several hundred
nucleotides. Unlike popular affinity chromatography through a poly(U) Sepharose
or poly(U) cellulose column, the method tolerates limited polyribonucleotide
degradation by eventual traces of ribonucleases, and can readily be incorporated
into standard protocols for the isolation of ribosomes by centrifugation.
PMID- 27865826
TI - Heavy menstrual bleeding in women treated with rivaroxaban and vitamin K
antagonists and the risk of recurrent venous thromboembolism.
AB - Anticoagulants increase the risk of heavy menstrual bleeding (HMB). We sought to
investigate the incidence, predictors and management of HMB in women on
rivaroxaban compared to those on vitamin K antagonists (VKA). We addressed the
issue as to whether HMB is associated with VTE recurrences. We performed a single
center prospective study in menstruating women aged 18-55years treated with
rivaroxaban or VKA>=3months since the index VTE episode. Seventy six women on
rivaroxaban and 45 patients on VKA were included. Patients on rivaroxaban more
commonly reported HMB compared with those on VKA (31 [41%] vs. 8 [18%], p=0.009).
Women treated with rivaroxaban more frequently needed interventions to reduce
menstruation compared with those on VKA (29 [38%] vs. 6 [13%], p=0.004). During
the median follow-up time of 13months, there were 8 (11%) recurrent VTE on
rivaroxaban and 3 (7%) on VKA (p=0.5). Rivaroxaban treatment predisposed to HMB
(odds ratio [OR] 3.2, 95% [confidence interval] CI 1.4-8.2, p=0.007) and the
interruption of anticoagulant treatment for 2-3days (OR 3.2, 95% CI 1.1-11.6,
p=0.033). HMB during the rivaroxaban treatment predisposed to recurrent VTE (OR
5.3, 95% CI 1.1-33.3, p=0.038). In menstruating women following VTE, rivaroxaban
is associated with a two-fold higher risk of HMB compared with VKA. HMB
predisposes to recurrent VTE episode, most likely due to the short interruptions
of anticoagulation.
PMID- 27865827
TI - Overexpression of heat shock GroEL stress protein in leptospiral biofilm.
AB - Leptospira is the causative agent of leptospirosis, which is an emerging zoonotic
disease. Recent studies on Leptospira have demonstrated biofilm formation on
abiotic surfaces. The protein expressed in the biofilm was investigated by using
SDS-PAGE and immunoblotting in combination with MALDI-TOF mass spectrometry. The
proteins expressed in Leptospira biofilm and planktonic cells was analyzed and
compared. Among these proteins, one (60 kDa) was found to overexpress in biofilm
as compared to the planktonic cells. MALDI-TOF analysis identified this protein
as stress and heat shock chaperone GroEL. Our findings demonstrate that GroEL is
associated with Leptospira biofilm. GroEL is conserved, highly immunogenic and a
prominent stress response protein in pathogenic Leptospira spp., which may have
clinical relevance.
PMID- 27865829
TI - Trend and geographic analysis of the prevalence of dengue in Taiwan, 2010-2015.
AB - BACKGROUND: Dengue is the most rapidly spreading disease caused by a mosquito
borne virus in the world. The incidence of dengue globally has increased 30-fold
in the last 50 years. Understanding the prevalence of dengue and its longitudinal
trends can improve prevention and control strategies. This study assessed the
trends in prevalence of dengue in Taiwan by population characteristics and
geographical region. METHODS: Dengue and population data for the years 2010-2015
were obtained from the public statistics databases of the Taiwan Centers for
Disease Control and Department of Statistics, Taiwan Ministry of Interior. Yearly
prevalence rates of dengue were calculated by age group, sex, and administrative
area within five geographic regions (northern, mid-western, southern, and eastern
regions, and outer islands). RESULTS: The national prevalence rate of dengue
decreased gradually from 8 to 4 per 100000 population between 2010 and 2013, but
it increased substantially in 2014 and 2015 to 67 and 187 per 100000 population,
respectively. There was no significant difference in prevalence rate between
males and females. People aged 60-69 years had a significantly higher prevalence
rate than those in the other age groups during 2010-2014, and people aged over 70
years had the highest rate in 2015 (309 per 100000 population). The southern
region had the highest yearly dengue prevalence rate (the rate decreased from 23
to 9 per 100000 population between 2010 and 2013, but increased to 220 and 616
per 100000 population in 2014 and 2015, respectively). Three unexpected outbreaks
of dengue were observed during the study period: the first outbreak occurred in
Penghu County in 2011 (prevalence rate 101 per 100000 population), the second in
Kaohsiung City in 2014 (prevalence rate 540 per 100000 population), and the third
in Tainan City in 2015 (prevalence rate 1208 per 100000 population). CONCLUSIONS:
More efforts are still needed to prevent and control dengue in Taiwan. The
government should direct resources and interventions to southern Taiwan, which
has a tropical climate and a high population density, and should target older
people who are more likely to be infected. Strategies are also needed to respond
quickly to unexpected incidents to prevent dengue outbreaks.
PMID- 27865828
TI - Associations between former exposure to manganese and olfaction in an elderly
population: Results from the Heinz Nixdorf Recall Study.
AB - Occupational exposure to manganese (Mn) has been associated with impairments in
olfaction and motor functions, but it has yet to be determined if such effects
persist upon cessation of exposure. The objective of this study was to evaluate
the influence of former occupational Mn exposure on olfaction within the
framework of a prospective cohort study among an elderly German population.
Information on job tasks with recognized Mn exposure and data on odor
identification assessed with Sniffin' sticks was collected during the second
follow-up of the Heinz Nixdorf Recall Study. The study population consisted of
1385 men aged 55-86 years, 354 of whom ever worked in jobs with potential Mn
exposure (median 58.3MUg/m3 years, interquartile range 19.0-185MUg/m3 years).
Multiple exposure measures, including job tasks, cumulative Mn exposure, and Mn
determined in blood samples (MnB) archived at baseline, were used to estimate
effects of Mn on olfaction. Having ever worked as welder was associated with
better olfaction compared to other blue-collar workers without Mn exposure. Blue
collar workers identified less odors in comparison to white-collar workers.
Concentrations of previous Mn exposure >185MUg/m3 years or MnB >=15MUg/L were not
associated with impaired olfaction. In addition to a strong age effect,
participants with lower occupational qualification identified less odors. We
found no relevant association of former Mn exposure at relatively low levels with
impaired olfaction. Possible neurotoxic Mn effects may not be persistent after
cessation.
PMID- 27865830
TI - Community-onset extended-spectrum-beta-lactamase-producing Escherichia coli
sequence type 131 at two Korean community hospitals: The spread of multidrug
resistant E. coli to the community via healthcare facilities.
AB - BACKGROUND: The recent molecular epidemiology of ESBL-producing Escherichia coli
infection in two Korean community hospitals was evaluated in this prospective
observational study. METHODS: We collected non-duplicated E. coli isolates from
consecutive, sequentially encountered patients with community-onset episodes
between March and April 2016 in two community hospitals in Gyeonggi-do province,
Korea. We studied the prevalence, clinical characteristics and molecular
epidemiology of E. coli sequence type 131 (ST131) isolated from the community.
RESULTS: From a total of 213 E. coli isolates collected from the community, 94
(44.1%) were community-onset healthcare-associated isolates and 119 (55.9%) were
community-associated isolates, of which urinary tract infection was the majority.
A total of 55 (25.8%) of the 213 E. coli isolates were confirmed to have ESBL
genes, which were mainly CTX-M types such as CTX-M-14 and CTX-M-15. There was no
difference in the proportion of globally epidemic ST131 clones or that of O25,
O16, H30, or H30Rx subclones between community-associated and community-onset
healthcare-associated isolates. CONCLUSIONS: In this study, considerable ST131 E.
coli isolations in the community were observed and about half of them were
related to the history of a visit to the healthcare facilities, indicating the
spread of multidrug-resistant E. coli to the community via healthcare facilities.
PMID- 27865831
TI - Rutin suppresses human-amylin/hIAPP misfolding and oligomer formation in-vitro,
and ameliorates diabetes and its impacts in human-amylin/hIAPP transgenic mice.
AB - Pancreatic islet beta-cells secrete the hormones insulin and amylin, and
defective beta-cell function plays a central role in the pathogenesis of type-2
diabetes (T2D). Human amylin (hA, also termed hIAPP) misfolds and forms amyloid
aggregates whereas orthologous mouse amylin does neither. Furthermore, hA elicits
apoptosis in cultured beta-cells and beta-cell death in ex-vivo islets. In
addition, hA-transgenic mice that selectively express hA in their beta-cells,
manifest beta-cell apoptosis and progressive islet damage that leads to diabetes
closely resembling that in patients with T2D. Aggregation of hA is thus linked to
the causation of diabetes. We employed time-dependent thioflavin-T spectroscopy
and ion-mobility mass spectrometry to screen potential suppressors of hA
misfolding for anti-diabetic activity. We identified the dietary flavonol rutin
as an inhibitor of hA-misfolding and measured its anti-diabetic efficacy in hA
transgenic mice. In vitro, rutin bound hA, suppressed misfolding, disaggregated
oligomers and reverted hA-conformation towards the physiological. In hA
transgenic mice, measurements of glucose, fluid-intake, and body-weight showed
that rutin-treatment slowed diabetes-progression by lowering of rates of
elevation in blood glucose (P = 0.030), retarding deterioration from symptomatic
diabetes to death (P = 0.014) and stabilizing body-weight (P < 0.0001). In
conclusion, rutin treatment suppressed hA-aggregation in vitro and doubled the
lifespan of diabetic mice (P = 0.011) by a median of 69 days compared with
vehicle-treated control-diabetic hA-transgenic mice.
PMID- 27865832
TI - Establishment of monoclonal antibodies against cell surface domains of
ASCT2/SLC1A5 and their inhibition of glutamine-dependent tumor cell growth.
AB - Human alanine-serine-cysteine transporter 2 (ASCT2; SLC1A5) is a major
transporter of the amino acid glutamine that is known to be overexpressed in
certain malignant tumors. In this study, we generated specific monoclonal
antibodies (MAbs) against ASCT2 by establishing an ASCT2-expressing Chinese
hamster ovary cell line that was used to immunize mice and rats. The MAbs KM4008,
KM4012, and KM4018 against ASCT2 were isolated through a cell-based screen; these
specifically bound to ASCT2-positive cells, as determined by flow cytometry and
immunoprecipitation. In addition, the antibodies suppressed glutamine-dependent
growth of WiDr colorectal cancer cells. These results provide evidence supporting
the use of MAbs against ASCT2 as an effective therapeutic strategy for cancer
treatment.
PMID- 27865833
TI - Defects in the ratio of the dynein isoform, DHC11 in the long-flagella mutants of
Chlamydomonas reinhardtii.
AB - The long-flagella mutants (lf1, lf2, lf3 and lf4) of Chlamydomonas reinhardtii
are defective in proteins that are required for the assembly of normal flagella,
their phenotype being long flagella. In a previous study, we biophysically
characterized these mutants for their waveform patterns, swimming speeds, beat
frequencies and correlated these parameters with their flagellar lengths. We
found an anomaly in this correlation and set out to explore the underlying
molecular significance, if any. The diverse inner dynein isoforms are the
flagellar motors that convert the chemical energy of ATP into the mechanical
energy of motility; we probed the presence of one of these isoforms (DHC11, which
might help in bend initiation) in the lf mutants and compared it with the wild
type. Our studies show that the ratio of DHC11 is defective in the long-flagella
mutants of Chlamydomonas reinhardtii.
PMID- 27865834
TI - Expression and characterization of the Plasmodium translocon of the exported
proteins component EXP2.
AB - The malaria parasite Plasmodium falciparum requires the Plasmodium translocon of
exported proteins (PTEX) to proliferate in human red blood cells. During the
blood stages of malaria, several hundred parasite-encoded proteins are exported
from the parasite into the cytosol of red blood cells. PTEX is the translocon for
protein export and comprises 5 proteins: EXP2, PTEX150, PTEX88, Hsp101 and TRX2.
Among them, EXP2 is thought to constitute the transmembrane pore, whereas the
other components seem to play a role in unfolding the luggage proteins or
providing a driving force. However, detailed functional and structural
characterizations of PTEX proteins have not been performed. In this study, we
expressed and characterized the membrane-associated component EXP2. Because
expression of EXP2 is lethal to E. coli, EXP2 was expressed as a fusion protein
with GST, and the recombinant EXP2 was obtained by protease digestion. The
recombinant EXP2 formed pores in bilayer lipid membranes. The inner diameter of
the pore was estimated to be approximately 3.5 nm based on electron microscopy
images and channel currents. From this size and the molecular mass as determined
by size exclusion chromatography and blue native polyacrylamide gel
electrophoresis, we determined that the pore comprises approximately 10-12 EXP2
subunits. However, there is a possibility that the pore structure is different in
the PTEX complex. These results provide important insights in the protein
transport mechanism of PTEX, which will aid in developing new drugs targeting
PTEX.
PMID- 27865835
TI - Cholinesterases inhibition and molecular modeling studies of piperidyl-thienyl
and 2-pyrazoline derivatives of chalcones.
AB - Super-activation of cholinesterases (acetylcholinesterase and
butyrylcholinesterase) are linked to various neurological problems most precisely
Alzheimer's disease (AD), which leads to senile dementia. Therefore,
cholinesterases (AChE & BChE) inhibition are considered as a promising strategy
for the treatment of Alzheimer's disease. FDA approved drugs for the treatment of
AD, belong to a group of cholinesterase inhibitors. However, none of them is able
to combat or completely abrogate the disease progression. Herein, we report a
series of newly synthesized chalcone derivatives with anti-AD potential. For this
purpose, a series of piperidyl-thienyl and 2-pyrazoline derivatives of chalcones
were tested for their cholinesterases (AChE & BChE) inhibitory activity. All
compounds were found as selective inhibitor of AChE. In piperidyl chalcones
derivatives compound 1e having IC50 of 0.16 +/- 0.008 MUM and 2m in 2-pyrazoline
chalcones with IC50 of 0.13 +/- 0.006 MUM, were found to be the most potent
inhibitors of AChE, exhibiting ~142 and ~ 173-fold greater inhibitory potential
compared to the reference inhibitor i.e., Neostigmine (IC50 +/- SEM = 22.2 +/-
3.2 MUM). Molecular docking studies of most potent inhibitors were carried out to
investigate the binding interactions inside the active site. Molecular docking
study revealed that potent compounds and co-crystalized ligand had same binding
orientation within the active site of target enzyme. Most of these compounds are
selective inhibitors of AChE with a potential use against progressive
neurodegenerative disorder and age related problems.
PMID- 27865836
TI - G protein coupled receptor kinase-2 upregulation causes kappa-opioid receptor
desensitization in diabetic heart.
AB - Activation of kappa-opioid receptor (KOR) ameliorates myocardial
ischemia/reperfusion (I/R) injury; however, cardioprotective effects of KOR
stimulation disappear in type 1 diabetic subjects with hyperglycemia. The
molecular mechanisms underlying this phenomenon remain unknown. Here we found
that KOR expression was obviously downregulated and KOR agonism-induced
contractile-regulatory and cardioprotective effects were significantly impaired
in hearts isolated from streptozotocin (STZ) injection-induced diabetic mice.
These in vivo data identified cardiac KOR desensitization as a novel
characteristic of the diabetic heart. In cultured cardiomyocytes, high glucose
(HG) caused obvious KOR downregulation, accompanied by an upregulation of G
protein coupled receptor kinase-2 (GRK2). We found that HG exposure increased the
interaction between GRK2 and KOR. More importantly, HG-induced KOR downregulation
was reversed by small interfering RNA (siRNA)-mediated GRK2 inhibition. GRK2
knockdown also restored KOR agonism-mediated protection against simulated I/R
injury in cardiomyocytes. These in vitro data revealed an essential role of GRK2
in HG-induced KOR desensitization. Finally, cardiac-specific GRK2 knockdown by
intramyocardial siRNA injection blocked KOR downregulation and restored
contractile-regulatory and cardioprotective effects of KOR agonism in hearts of
diabetic mice. In conclusion, these data for the first time demonstrate that GRK2
upregulation is largely responsible for cardiac KOR desensitization in diabetic
individuals, which provides novel insights into the management of myocardial I/R
injury in patients with diabetes.
PMID- 27865837
TI - Autophagy protects against cholesterol-induced apoptosis in pancreatic beta
cells.
AB - Autophagy is believed to play an important role in maintaining homeostasis in
pancreatic beta-cells during insulin resistance. This study investigated the role
of autophagy in beta-cell damage induced by cholesterol and its possible
activation mechanism. Rat and mouse pancreatic beta-cell lines INS-1 and betaTC-6
were incubated with cholesterol alone or in combination with autophagy inhibitors
E-64d/Pepstatin A or bafilomycin A1. DAPI staining, western blotting,
transmission electron microscopy and immunofluorescence were conducted to assess
the effects of autophagy inhibitors on cholesterol-induced apoptosis and
autophagy activity. An increase in FITC-LC3 fluorescence dots, autophagic
vacuoles and LC3-II protein indicated that autophagy was activated in cells
treated with cholesterol. This was further confirmed by blocking the natural
turnover processes in lysosomes and autolysosomes with autophagy inhibitors,
suggesting enhanced autophagic activity rather than blockage of autophagy.
Furthermore, inhibition of autophagy significantly augmented the activation of
caspase 3 and the percentage of cholesterol-induced apoptotic nuclei. These
results demonstrate that autophagy plays a protective role against cholesterol
induced apoptosis in pancreatic beta-cells.
PMID- 27865839
TI - Angiogenic factor with G patch and FHA domains 1 (Aggf1) promotes hepatic
steatosis in mice.
AB - Increased uptake of nutrients coupled with reduced activity leads to the
development of a host of metabolic disorders in humans. In the present study we
examined the role of angiogenic factor with G patch and FHA domains 1 (Aggf1) in
the pathogenesis of steatosis, characterized by accumulation of lipids in the
liver and consequently hepatic insulin resistance. We report here that Aggf1
expression was up-regulated in the liver in both genetically predisposed and diet
induced mouse model of steatosis. Aggf1 expression was also stimulated by free
fatty acids in primary hepatocytes. Over-expression of Aggf1 in mice promoted
steatosis. On the contrary, Aggf1 depletion ameliorated steatosis in mice.
Mechanistically, Aggf1 activated the expression of gluconeogenesis gene and
skewed the insulin signaling pathway to induce insulin resistance. Taken
together, our data suggest that Aggf1 plays a role in steatosis in vivo and as
such may be a new target in the development of therapeutics solutions against
steatosis.
PMID- 27865840
TI - A pleckstrin homology-like domain is critical for F-actin binding and cofilin
phosphatase activity of Slingshot-1.
AB - Slingshot-1 (SSH1) is a protein phosphatase that specifically dephosphorylates
and activates cofilin, an F-actin-severing protein. SSH1 binds to and co
localizes with F-actin, and the cofilin-phosphatase activity of SSH1 is markedly
increased by binding to F-actin. In this study, we performed a secondary
structure analysis of SSH1, which predicted the existence of a pleckstrin
homology (PH)-like domain in the N-terminal region of SSH1. SSH1 also contains a
DEK-C domain in the N-terminal region. The N-terminal fragment of SSH1 bound to
and co-localized with F-actin, but mutation at Arg-96 or a Leu-His-Lys (LHK)
motif in the PH-like domain reduced this activity. Furthermore, mutation at Arg
96 abrogated the cofilin-phosphatase activity of SSH1 in the presence of F-actin.
These results suggest that the N-terminal PH-like domain plays a critical role in
F-actin binding and F-actin-mediated activation of the cofilin-phosphatase
activity of SSH1.
PMID- 27865838
TI - Helix B surface peptide attenuates diabetic cardiomyopathy via AMPK-dependent
autophagy.
AB - BACKGROUND: Erythropoietin (EPO) has been reported to exert protective effects on
a host of damaged tissues. However, the erythropoietic effect of this hormone can
result in high risks of thrombosis, stroke, and hypertension, remarkably limiting
the clinical use of EPO. Helix B surface peptide (HBSP) is a small peptide
derived from the helix-B domain of EPO. Surprisingly, HBSP retains the tissue
protective properties of EPO without altering the hematocrit. Thus, we evaluated
the possible role of HBSP on diabetic cardiomyopathy. METHODS: Diabetes was
induced in mice by intraperitoneal injections of streptozocin (STZ). Mice were
randomly treated with normal saline or HBSP. Cardiac function, fibrosis,
apoptosis, and myocardial mitochondrial morphology were examined. For in vitro
experiments, H9C2 myoblast cells were randomly grouped as normal glucose (NG, 5
mM), NG+HBSP (100 nM), high glucose (HG, 33 mM), HG+HBSP (100 nM), HG+HBSP+3
methyladenine (3-MA, 10 mM), HG+rapamycin (Rapa, 100 nM), and HG+HBSP+Compound C
(CC, 10 mM). Autophagosomes, LC3 dots, apoptosis and mitochondria membrane
potential (MMP) of H9C2 cells were examined.The expressions of LC3, p62, p-AMPK
(Thr172) and p-mTOR (Ser2448) were examined by Western blot. RESULTS: HBSP
markedly improved cardiac function, attenuated cardiac interstitial fibrosis,
inhibited myocardial apoptosis, and ameliorated mitochondrial ultrastructure in
mice with diabetic cardiomyopathy. HG reduced autophagy in H9C2 cells. HBSP
enhanced autophagy in HG-treated H9C2 cells. HBSP reduced the apoptosis index of
HG-treated H9C2 cells. HBSP increased the MMP of HG-treated H9C2 cells. HBSP
increased the levels of p-AMPK (Thr172), and reduced p-mTOR (Ser2448) in HG
treated H9C2 cells, and the increase of p-AMPK (Thr172) was accompanied by the
stimulation of autophagy. Autophagy inhibitor 3-MA and AMPK inhibitor CC
mitigated HBSP-induced beneficial effect, whereas autophagy inducer Rapa
alleviated the HG-induced cell apoptosis. CONCLUSIONS: HBSP attenuates diabetic
cardiomyopathy via autophagy mediated by AMPK-dependent pathway. HBSP may be a
potential therapeutic intervention for diabetic cardiomyopathy.
PMID- 27865841
TI - Survivin does not influence the anti-apoptotic action of XIAP on caspase-9.
AB - Survivin inhibits apoptosis in numerous tumor cell lines and has emerged as
promising target for cancer therapy. The anti-apoptotic effect of survivin was
attributed to a direct interaction with XIAP (X-linked inhibitor of apoptosis)
and to an indirect effect, where survivin antagonizes the anti-XIAP action of
Smac. The direct interaction is thought to lead to synergistic inhibition of
caspase-9 and, at the same time, to enhanced stability of XIAP by reducing its
auto-ubiquitination. Using recombinant proteins, we have investigated the
influence of survivin on the inhibition of caspase-9 by XIAP in vitro. With a
fluorescence-based assay for the apoptosome-stimulated activity of caspase-9, we
show that survivin has no effect on the inhibition of caspase-9 by XIAP, neither
in the presence nor in the absence of Smac. Employing analytical size exclusion
chromatography (SEC) and analytical ultracentrifugation, we show that survivin
does not physically interact with XIAP. We confirm in vitro that XIAP
ubiquitinates itself in the presence of the appropriate recombinant enzymes and
Mg2+-ATP and could show that survivin neither influences the kinetics nor the
extent of XIAP's self-ubiquitination. Our results call for a revision of the
current view of how survivin interferes with the mitochondrial pathway of
apoptosis.
PMID- 27865842
TI - Decreasing SMPD1 activity in BEAS-2B bronchial airway epithelial cells results in
increased NRF2 activity, cytokine synthesis and neutrophil recruitment.
AB - Niemann-Pick disease (NPD) type B is a rare autosomal recessive disease
characterized by variable levels of impairment in sphingomyelin phosphodiesterase
1 (SMPD1) activity. Lung involvement is the most important prognostic factor in
NPD-B, with recurrent respiratory infections starting in infancy being the major
cause of morbidity and mortality. We hypothesized that decreased SMPD1 activity
impaired airway epithelium host defense response. SMPD1 activity was reduced
using inducible shRNA. Surprisingly, decreasing SMPD1 activity by 50%, resulted
in increased neutrophil recruitment, both at baseline and in response to
bacterial stimulation. This correlated with elevated levels of cytokine mRNA
shown to contribute to neutrophil recruitment in unstimulated (e.g. IL-8 and GRO
alpha) and infected cells (e.g. IL-8, GRO-alpha, GM-CSF and CCL20). Instead of
preventing the host defence responses, decreased SMPD1 activity results in an
inflammatory response even in the absence of infection. Moreover, decreasing
SMPD1 activity resulted in a pro-oxidative shift. Accordingly, expression of an
inactive mutant, SMPD1[L225P] but not the WT enzyme increased activation of the
antioxidant transcription factor NRF2. Therefore, decreasing SMPD1 activity by
50% in airway epithelial cells, the equivalent of the loss of one allele, results
in the accumulation of oxidants that activates NRF2 and a concomitant increased
cytokine production as well as neutrophil recruitment. This can result in a
chronic inflammatory state that impairs host defence similar to scenarios observe
in other chronic inflammatory lung disease such as Chronic Obstructive Pulmonary
Disease or Cystic Fibrosis.
PMID- 27865844
TI - Perturbations of carotenoid and tetrapyrrole biosynthetic pathways result in
differential alterations in chloroplast function and plastid signaling.
AB - In this study, we used the biosynthetic inhibitors of carotenoid and tetrapyrrole
biosynthetic pathways, norflurazon (NF) and oxyfluorfen (OF), as tools to gain
insight into mechanisms of photooxidation in rice plants. NF resulted in
bleaching symptom on leaves of the treated plants, whereas OF treatment developed
a fast symptom of an apparent necrotic phenotype. Both plants exhibited decreases
in photosynthetic efficiency, as indicated by Fv/Fm. NF caused severe disruption
in thylakoid membranes, whereas OF-treated plants exhibited disruption of
chloroplast envelope and plasma membrane. Levels of Lhca and Lhcb proteins in
photosystem I (PSI) and PSII were reduced by photooxidative stress in NF- and OF
treated plants, with a greater decrease in NF plants. The down-regulation of
nuclear-encoded photosynthesis genes Lhcb and rbcS was also found in both NF- and
OF-treated plants, whereas plastid-encoded photosynthetic genes including RbcL,
PsaC, and PsbD accumulated normally in NF plants but decreased drastically in OF
plants. This proposes that the plastids in NF plants retain their potential to
develop thylakoid membranes and that photobleaching is mainly controlled by
nuclear genes. Distinct photooxidation patterns between NF- and OF-treated plants
developed differential signaling, which might enable the plant to coordinate the
expression of photosynthetic genes from the nuclear and plastidic genomes.
PMID- 27865843
TI - Methylation effect on IPT5b gene expression determines cytokinin biosynthesis in
apple rootstock.
AB - The molecular mechanism of dwarfing in the apple rootstock is poorly understood,
and has been attributed to low root cytokinin biosynthesis levels. Here we
identified differences in root trans-zeatin content and expression of cytokinin
metabolic pathway genes between dwarfing and vigorous rootstocks. Specifically, a
stable low expression of IPT5b was identified in the dwarfing rootstocks.
Bisulfite sequencing showed that two CpG islands (CpG2 and CpG4) in the IPT5b
promoter region showed higher methylation levels in the M9 rootstock (dwarfing)
compared to the Mr (vigorous) rootstock. Furthermore, IPT5b expression increased
when M9 rootstocks were treated with 5-azaC, a methylation blocker, indicating
that methylation levels influence IPT5b expression. In conclusion, we found low
IPT5b expression with high level methylations in promoter region, leading to poor
root trans-zeatin biosynthesis in the M9 rootstock, which may induce dwarfing.
PMID- 27865845
TI - Temporal Spatial and Metabolic Measures of Walking in Highly Functional
Individuals With Lower Limb Amputations.
AB - OBJECTIVE: To record the temporal spatial parameters and metabolic energy
expenditure during walking of individuals with amputation, walking with advanced
prostheses, and after completion of comprehensive rehabilitation compared with
able-bodied persons. DESIGN: Cross-sectional. SETTING: Multidisciplinary
comprehensive rehabilitation center. PARTICIPANTS: Severely injured UK military
personnel with amputation and subsequent completion of their rehabilitation
program (n=30; unilateral transtibial: n=10, unilateral transfemoral: n=10, and
bilateral transfemoral: n=10) were compared with able-bodied persons (n=10) with
similar age, height, and mass (P>.537). Total number of participants (N = 40).
INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Temporal spatial and
metabolic energy expenditure data were captured during walking on level ground at
a self-selected speed. RESULTS: The individuals with amputation were all men,
with a mean age of 29+/-4 years and a mean New Injury Severity Score of 31+/-16.
Walking speed, stride length, step length, and cadence of individuals with a
unilateral transtibial or transfemoral amputation were comparable with able
bodied persons, and only individuals with a bilateral transfemoral amputation had
a significantly slower walking speed (1.12m/s, P=.025) and reduced cadence (96
steps per minute, P=.026). Oxygen cost for individuals with a unilateral
transtibial amputation (0.15mL/kg/m) was the same as for able-bodied persons
(0.15mL/kg/m) and significantly increased by 20% (0.18mL/kg/m, P=.023) for
unilateral transfemoral amputation and by 60% (0.24mL/kg/m, P<.001) for bilateral
transfemoral individuals with amputation. CONCLUSIONS: The scientific literature
reports a wide range of gait and metabolic energy expenditure across individuals
with amputation. The results of this study indicate that individuals with
amputation have a gait pattern which is highly functional and efficient. This is
comparable with a small number of studies reporting similar outcomes for
individuals with a unilateral transtibial amputation, but the results from this
study are better than those on individuals with transfemoral amputations reported
elsewhere, despite comparison with populations wearing similar prosthetic
componentry. Those studies that do report similar outcomes have included
individuals who have been provided with a comprehensive rehabilitation program.
This suggests that such a program may be as important as, or even more important
than, prosthetic component selection in improving metabolic energy expenditure.
The data are made available as a benchmark for what is achievable in the
rehabilitation of some individuals with amputations, but agreeably may not be
possible for all amputees to achieve.
PMID- 27865846
TI - Effectiveness of an Educational Physiotherapy and Therapeutic Exercise Program in
Adult Patients With Hemophilia: A Randomized Controlled Trial.
AB - OBJECTIVE: To assess the efficacy of an educational physiotherapy home exercise
intervention for physical improvement, pain perception, quality of life, and
illness behavior in patients with hemophilic arthropathy. DESIGN: Single-blind
randomized controlled trial. SETTING: Home. PARTICIPANTS: Patients with
hemophilia (N=20) were randomly allocated to an educational intervention group or
to a control group. INTERVENTIONS: The educational intervention was performed
every 2 weeks over a 15-week period, and home exercises were carried out once a
day, 6 days a week, over the same period. MAIN OUTCOME MEASURES: Joint status was
evaluated using the Gilbert scale; pain was assessed using the visual analog
scale; illness behavior was evaluated using the Illness Behavior Questionnaire;
and the perception of the quality of life was evaluated using the A36 Hemophilia
QoL questionnaire. RESULTS: We observed significant differences in the
intervention group and the control group for both quality of life and illness
behavior. There was no significant improvement in joint status; however, an
improvement was noted in terms of perception of pain in the ankle. CONCLUSIONS: A
physiotherapy program based on educational sessions and home exercises can
improve the perception of pain in patients with hemophilic arthropathy of the
ankle. It improves some variables in the perception of the quality of life and
illness behavior.
PMID- 27865848
TI - Retinol dehydrogenase 13 deficiency diminishes carbon tetrachloride-induced liver
fibrosis in mice.
AB - Retinol dehydrogenase 13 (RDH13) is a mitochondrion-localized member of the short
chain dehydrogenases/reductases (SDRs) superfamily that participates in
metabolism of some compounds. Rdh13 mRNA is most highly expressed in mouse liver.
Rdh13 deficiency reduces the extent of liver injury and fibrosis, reduces hepatic
stellate cell (HSC) activation, attenuates collagen I (II), tissue inhibitor of
metalloproteinase 1 (TIMP-1) and transforming growth factor beta 1 (Tgf-beta1)
expression. The results indicate an important role of Rdh13 and suggest RDH13 as
a possible new therapeutic target for CCl4-induced fibrosis.
PMID- 27865849
TI - Evaluation of existing (Q)SAR models for skin and eye irritation and corrosion to
use for REACH registration.
AB - The performance of the (Q)SAR models Derek Nexus, Toxtree and Case Ultra for the
prediction of skin and eye irritation/corrosion is investigated. For irritation
and corrosion of the skin, 117 compounds and for the eye, 125 compounds were
listed. The balance between the groups positive and negative for irritation and
corrosion was maintained. The obtained predictions were compared with
experimental data and the numbers of true and false positives and negatives were
determined. Based on these results several performance parameters of the tested
(Q)SAR models were calculated. Despite all the efforts to make good and valid
models, the results indicate a poor predictivity of the current models: a lot of
compounds were not predicted, were out of the applicability domain or were
predicted wrong. Considering our results, it can be concluded that the tested
models are not yet sufficiently powerful for implementation. Possibly the
training-sets used within the current models are not yet comprehensive enough or
the incorporated data are not of enough quality. Although the use of these models
as stand-alone evaluation is not recommended, these models can be of value as
weight-of-evidence in the context of expert knowledge in an Integrated Approach
to Testing and Assessment.
PMID- 27865847
TI - Functional characterisation and application of an ex vivo perfusion-superfusion
system in murine airways.
AB - INTRODUCTION: The aim of this study was to develop two dynamic ex vivo airway
explant systems, a perfusion-superfusion system and a ventilation-superfusion
system, for the study of toxic airborne substances, such as the prevalent smoke
constituent acrolein. METHODS: Mouse isolated tracheal segments were perfused
with physiological media or ventilated with humidified air at 37 degrees C to
mimic dynamic flow conditions, and superfused with media over the exterior
surface. At selected time points, the histological and functional integrity of
segments was evaluated. The perfusion-superfusion system was subsequently used to
examine mucin secretory responses elicited by acrolein in airways in which mucous
metaplasia had been induced with lipopolysaccharide (LPS; 1MUgml-1) prior to 24h
of media perfusion, followed by stimulation with acrolein or ATP for 15min.
Epithelial mucin levels were determined by quantitative analysis of periodic acid
Schiff's reagent (PAS)-stained sections. RESULTS: Epithelial morphology was
successfully preserved in the perfusion-superfusion and ventilation-superfusion
systems for at least 24h and up to 18h, respectively. At these time points, the
contractile and relaxation responses of perfused and ventilated tracheal segments
to carbachol, the neuropeptide substance P, and the prostanoid PGE2 were also
preserved. Using the perfusion-superfusion system, acute exposure to acrolein
caused a dose-dependent reduction in the levels of PAS-positive mucin stores
induced by LPS, consistent with mucin secretion. DISCUSSION: Both the perfusion
superfusion and ventilation-superfusion systems successfully preserved the
viability of mouse isolated tracheal segments on a histological and functional
level, and the perfusion-superfusion system was used to characterise the mucin
secretory responses elicited by acrolein. Thus, this system may be a useful model
through which to conduct further toxicological studies in mammalian airways.
PMID- 27865850
TI - Biopersistence and translocation to extrapulmonary organs of titanium dioxide
nanoparticles after subacute inhalation exposure to aerosol in adult and elderly
rats.
AB - The increasing industrial use of nanoparticles (NPs) has raised concerns about
their impact on human health. Since aging and exposure to environmental factors
are linked to the risk for developing pathologies, we address the question of
TiO2 NPs toxicokinetics in the context of a realistic occupational exposure. We
report the biodistribution of titanium in healthy young adults (12-13-week-old)
and in elderly rats (19-month-old) exposed to 10mg/m3 of a TiO2 nanostructured
aerosol 6h/day, 5days/week for 4 weeks. We measured Ti content in major organs
using inductively coupled plasma mass spectrometry immediately and up to 180days
after the end of exposure. Large amounts of titanium were initially found in lung
which were slowly cleared during the post-exposure period. From day 28, a small
increase of Ti was found in the spleen and liver of exposed young adult rats.
Such an increase was however never found in their blood, kidneys or brain. In the
elderly group, translocation to extra-pulmonary organs was significant at day 90.
Ti recovered from the spleen and liver of exposed elderly rats was higher than in
exposed young adults. These data suggest that TiO2 NPs may translocate from the
lung to extra-pulmonary organs where they could possibly promote systemic health
effects.
PMID- 27865851
TI - Pathological alpha-synuclein exacerbates the progression of Parkinson's disease
through microglial activation.
AB - Parkinson's disease (PD) is characterized by alpha-synuclein accumulation,
dopaminergic neuron loss and inflammation. alpha-Synuclein can be secreted by
neurons and activate microglia to different degrees. Excessive microglial
activation can increase the production of tumor necrosis factor alpha (TNF
alpha), interleukin-1-beta (IL-1beta), interleukin-6 (IL-6), interferon-gamma
(INF-gamma), inducible nitric oxide synthase (iNOS), reactive oxygen species
(ROS) and nitric oxide (NO), and can also enhance microglial phagocytosis and
migration as well as lymphocyte infiltration. Pathological alpha-synuclein and
microglial activation can potentiate each other, leading to the loss of
dopaminergic neurons and accelerated PD degeneration. This review will mainly
describe the profiles of alpha-synuclein-activated microglia, with particular
emphasis on the signaling cascades involved in this process.
PMID- 27865852
TI - Delivery methods for site-specific nucleases: Achieving the full potential of
therapeutic gene editing.
AB - The advent of site-specific nucleases, particularly CRISPR/Cas9, provides
researchers with the unprecedented ability to manipulate genomic sequences. These
nucleases are used to create model cell lines, engineer metabolic pathways,
produce transgenic animals and plants, perform genome-wide functional screen and,
most importantly, treat human diseases that are difficult to tackle by
traditional medications. Considerable efforts have been devoted to improving the
efficiency and specificity of nucleases for clinical applications. However, safe
and efficient delivery methods remain the major obstacle for therapeutic gene
editing. In this review, we summarize the recent progress on nuclease delivery
methods, highlight their impact on the outcomes of gene editing and discuss the
potential of different delivery approaches for therapeutic gene editing.
PMID- 27865854
TI - Obeticholic acid protects against carbon tetrachloride-induced acute liver injury
and inflammation.
AB - The farnesoid X receptor (FXR) is a ligand-activated transcription factor that
plays important roles in regulating bile acid homeostasis. The aim of the present
study was to investigate the effects of obeticholic acid (OCA), a novel synthetic
FXR agonist, carbon tetrachloride (CCl4)-induced acute liver injury. Mice were
intraperitoneally injected with CCl4 (0.15ml/kg). In CCl4+OCA group, mice were
orally with OCA (5mg/kg) 48, 24 and 1h before CCl4. As expected, hepatic FXR was
activated by OCA. Interestingly, OCA pretreatment alleviated CCl4-induced
elevation of serum ALT and hepatic necrosis. Moreover, OCA pretreatment inhibited
CCl4-induced hepatocyte apoptosis. Additional experiment showed that OCA inhibits
CCl4-induced hepatic chemokine gene Mcp-1, Mip-2 and Kc. Moreover, OCA inhibits
CCl4-induced hepatic pro-inflammatory gene Tnf-alpha and Il-1beta. By contrast,
OCA pretreatment elevated hepatic anti-inflammatory gene Il-4. Further analysis
showed that OCA pretreatment inhibited hepatic IkappaB phosphorylation and
blocked nuclear translocation of NF-kappaB p65 and p50 subunits during CCl4
induced acute liver injury. In addition, OCA pretreatment inhibited hepatic Akt,
ERK and p38 phosphorylation in CCl4-induced acute liver injury. These results
suggest that OCA protects against CCl4-induced acute liver injury and
inflammation. Synthetic FXR agonists may be effective antidotes for hepatic
inflammation during acute liver injury.
PMID- 27865856
TI - Melatonin-loaded silica coated with hydroxypropyl methylcellulose phthalate for
enhanced oral bioavailability: Preparation, and in vitro-in vivo evaluation.
AB - Melatonin (MLT) is a small molecule with low water solubility and high
permeability. According to the Biopharmaceutics Classification System, MLT is a
class II drug exhibiting a very short half-life and minimal and variable
bioavailability. This work aimed to establish a delivery system composed of an
enteric MLT nanosphere with favorably controlled and sustained release
characteristics superior to those of raw MLT. The nanosphere was composed of
hydroxypropyl methylcellulose phthalate (HP55) and silica (SiO2) with MLT. As a
carrier, SiO2 contains numerous surface pores with high adsorption capacity
advantageous for permeability and slow release. HP55 is a good enteric coating
material. MLT-loaded SiO2 was obtained through adsorption in acetone solution. A
MLT-loaded SiO2 coated with HP55 (MLT-SiO2-HP55) nanosphere was prepared via
desolvation. The characteristics of this nanosphere were analyzed through
transmission electron microscopy, Brunauer-Emmett-Teller surface area analysis,
diffuse reflectance infrared Fourier transform spectroscopy, X-ray diffraction,
differential scanning calorimetry, and thermogravimetric analysis. Results show
that MLT was loaded mostly in the pores of SiO2. HP55 was coated on a large
portion of MLT-SiO2. In vitro release studies revealed that the release rate of
MLT from MLT-SiO2 was higher than that of raw MLT in simulated gastric fluid
(SGF). The amount of MLT released from MLT-SiO2-HP55 in SGF was lower than that
released from simulated intestinal fluid because of HP55 coated on MLT-SiO2. In
vivo evaluation demonstrated the controlled drug release of MLT-SiO2-HP55 in
rats. Compared with raw MLT, MLT-SiO2-HP55 prolonged peak time (Tmax) from 15min
to 30min and increased peak concentration (Cmax) from 168.86ng/mL to 383.71ng/mL.
The corresponding area under the curve (AUC) of MLT-SiO2-HP55 was 3.5 times
higher than that of raw MLT. This finding illustrated the sustained release of
MLT-SiO2-HP55. Our in vitro release and in vivo absorption studies indicated that
the proposed preparation of MLT-SiO2-HP55 is an effective method to facilitate
the controlled and sustained release of MLT with enhanced bioavailability.
PMID- 27865855
TI - Intestinal response to salinity challenge in the Senegalese sole (Solea
senegalensis).
AB - Fish are continuously forced to actively absorb or expel water and ions through
epithelia. Most studies have focused on the gill due to its role in Na+ and Cl-
trafficking. However, comparatively few studies have focused on the changing
function of the intestine in response to external salinity. Therefore, the
present study investigated the main intestinal changes of long-term acclimation
of the Senegalese sole (Solea senegalensis) to 5, 15, 38 and 55ppt. Through the
measurement of short-circuit current (Isc) in Ussing chambers and biochemical
approaches, we described a clear anterior/posterior functional regionalization of
the intestine in response to salinity. The use of specific inhibitors in Ussing
chamber experiments, revealed that the bumetanide-sensitive Na+/K+/Cl- co
transporters are the main effectors of Cl- uptake in both anterior intestine and
rectum. Additionally, the use of the anion exchanger specific inhibitor, DIDS,
showed a salinity/region dependency of anion exchanger function. Moreover, we
also described ouabain-sensitive Na+/K+-ATPase (NKA) and Bafilomycin A1-sensitive
H+-ATPase activities (HA), which displayed changes related to salinity and
intestinal region. However, the most striking result of the present study is the
description of an omeprazole-sensitive H+/K+-ATPase (HKA) in the rectum of
Senegalese sole. Its activity was consistently measurable and increased at lower
salinities, reaching rates even higher than those of the NKA. Together our
results provide new insights into the changing role of the intestine in response
to external salinity in teleost fish. The rectal activity of HKA offers an
alternative/cooperative mechanism with the HA in the final processing of
intestinal water absorption by apical titration of secreted bicarbonate.
PMID- 27865857
TI - Evaluation of dissolution profile similarity - Comparison between the f2, the
multivariate statistical distance and the f2 bootstrapping methods.
AB - A simulation study is presented, evaluating the performance of the f2, the model
independent multivariate statistical distance and the f2 bootstrap methods in the
ability to conclude similarity between two dissolution profiles. Different
dissolution profiles, based on the Noyes-Whitney equation and ranging from
theoretical f2 values between 100 and 40, were simulated. Variability was
introduced in the dissolution model parameters in an increasing order, ranging
from a situation complying with the European guidelines requirements for the use
of the f2 metric to several situations where the f2 metric could not be used
anymore. Results have shown that the f2 is an acceptable metric when used
according to the regulatory requirements, but loses its applicability when
variability increases. The multivariate statistical distance presented
contradictory results in several of the simulation scenarios, which makes it an
unreliable metric for dissolution profile comparisons. The bootstrap f2, although
conservative in its conclusions is an alternative suitable method. Overall, as
variability increases, all of the discussed methods reveal problems that can only
be solved by increasing the number of dosage form units used in the comparison,
which is usually not practical or feasible. Additionally, experimental corrective
measures may be undertaken in order to reduce the overall variability,
particularly when it is shown that it is mainly due to the dissolution assessment
instead of being intrinsic to the dosage form.
PMID- 27865853
TI - The principles and applications of avidin-based nanoparticles in drug delivery
and diagnosis.
AB - Avidin-biotin interaction is one of the strongest non-covalent interactions in
the nature. Avidin and its analogues have therefore been extensively utilized as
probes and affinity matrices for a wide variety of applications in biochemical
assays, diagnosis, affinity purification, and drug delivery. Recently, there has
been a growing interest in exploring this non-covalent interaction in nanoscale
drug delivery systems for pharmaceutical agents, including small molecules,
proteins, vaccines, monoclonal antibodies, and nucleic acids. Particularly, the
ease of fabrication without losing the chemical and biological properties of the
coupled moieties makes the avidin-biotin system a versatile platform for
nanotechnology. In addition, avidin-based nanoparticles have been investigated as
diagnostic systems for various tumors and surface antigens. In this review, we
will highlight the various fabrication principles and biomedical applications of
avidin-based nanoparticles in drug delivery and diagnosis. The structures and
biochemical properties of avidin, biotin and their respective analogues will also
be discussed.
PMID- 27865859
TI - Solubilization of proteins in aqueous two-phase extraction through combinations
of phase-formers and displacement agents.
AB - The aqueous two-phase extraction (ATPE) of therapeutic proteins is a promising
separation alternative to cost-intensive chromatography, still being the
workhorse of nowadays downstream processing. As shown in many publications, using
NaCl as displacement agent in salt-polymer ATPE allows for a selective
purification of the target protein immunoglobulin G (IgG) from human serum
albumin (HSA, represents the impurity). However a high yield of the target
protein is only achievable as long as the protein is stabilized in solution and
not precipitated. In this work the combined influence of NaCl and polyethylene
glycol (Mw=2000g/mol) on the IgG-IgG interactions was determined using
composition gradient multi-angle light scattering (CG-MALS) demonstrating that
NaCl induces a solubilization of IgG in polyethylene glycol 2000 solution.
Moreover it is shown that the displacement agent NaCl has a significant and
beneficial influence on the IgG solubility in polyethyleneglycol2000-citrate
aqueous two-phase system (ATPS) which can also be accessed by these advanced B22
measurements. By simultaneous consideration of IgG solubility data with results
of the ATPS phase behavior (especially volume fraction of the respective phases)
allows for the selection of process tailored ATPS including identification of the
maximum protein feed concentration. Through this approach an ATPS optimization is
accessible providing high yields and selectivity of the target protein (IgG).
PMID- 27865858
TI - Biodistribution of radiolabeled polyglutamic acid and PEG-polyglutamic acid
nanocapsules.
AB - Recently we reported the development of 100nm polyglutamic acid (PGA)-based
nanocapsules, which were intended to carry anticancer drugs to the lymphatic
system (Abellan-Pose et al., 2016). In this work, the objective was to further
assess the potential "lympho-targeting" properties of radiolabeled 111In-PGA and
111In-PGA-PEG, following intravenous or subcutaneous administration. The results
indicate that, following intravenous administration, both types of nanocapsules
exhibit a modest accumulation in the lymph nodes (?2.3% ID/g). On the contrary,
following subcutaneous administration, and irrespective of the presence of PEG on
their surface, the nanocapsules were found to form a reservoir at the injection
site, from which they drained slowly into the popliteal and the iliac lymph
nodes. The significant accumulation of the radiolabeled nanocapsules in the lymph
nodes was attained at 24 and 48h post-injection, reaching values comprised
between 70% and 187% ID/g in the popliteal lymph nodes. Altogether, the results
led us to validate our hypothesis about the ability of the PGA and PGA-PEG
nanocapsules to reach the lymphatic system, especially following subcutaneous
administration.
PMID- 27865860
TI - Human regulatory B cells control the TFH cell response.
AB - BACKGROUND: Follicular helper T (TFH) cells support terminal B-cell
differentiation. Human regulatory B (Breg) cells modulate cellular responses, but
their control of TFH cell-dependent humoral immune responses is unknown.
OBJECTIVE: We sought to assess the role of Breg cells on TFH cell development and
function. METHODS: Human T cells were polyclonally stimulated in the presence of
IL-12 and IL-21 to generate TFH cells. They were cocultured with B cells to
induce their terminal differentiation. Breg cells were included in these
cultures, and their effects were evaluated by using flow cytometry and ELISA.
RESULTS: B-cell lymphoma 6, IL-21, inducible costimulator, CXCR5, and programmed
cell death protein 1 (PD-1) expressions increased on stimulated human T cells,
characterizing TFH cell maturation. In cocultures they differentiated B cells
into CD138+ plasma and IgD-CD27+ memory cells and triggered immunoglobulin
secretions. Breg cells obtained by Toll-like receptor 9 and CD40 activation of B
cells prevented TFH cell development. Added to TFH cell and B-cell cocultures,
they inhibited B-cell differentiation, impeded immunoglobulin secretions, and
expanded Foxp3+CXCR5+PD-1+ follicular regulatory T cells. Breg cells modulated IL
21 receptor expressions on TFH cells and B cells, and their suppressive
activities involved CD40, CD80, CD86, and intercellular adhesion molecule
interactions and required production of IL-10 and TGF-beta. CONCLUSION: Human
Breg cells control TFH cell maturation, expand follicular regulatory T cells, and
inhibit the TFH cell-mediated antibody secretion. These novel observations
demonstrate a role for the Breg cell in germinal center reactions and suggest
that deficient activities might impair the TFH cell-dependent control of humoral
immunity and might lead to the development of aberrant autoimmune responses.
PMID- 27865861
TI - Midpregnancy and cord blood immunologic biomarkers, HLA genotype, and pediatric
celiac disease.
PMID- 27865862
TI - Impaired mucus clearance exacerbates allergen-induced type 2 airway inflammation
in juvenile mice.
AB - BACKGROUND: Type 2 airway inflammation plays a central role in the pathogenesis
of allergen-induced asthma, but the underlying mechanisms remain poorly
understood. Recently, we demonstrated that reduced mucociliary clearance, a
characteristic feature of asthma, produces spontaneous type 2 airway inflammation
in juvenile beta-epithelial Na+ channel (Scnn1b)-transgenic (Tg) mice. OBJECTIVE:
We sought to determine the role of impaired mucus clearance in the pathogenesis
of allergen-induced type 2 airway inflammation and identify cellular sources of
the signature cytokine IL-13. METHODS: We challenged juvenile Scnn1b-Tg and wild
type mice with Aspergillus fumigatus and house dust mite allergen and compared
the effects on airway eosinophilia, type 2 cytokine levels, goblet cell
metaplasia, and airway hyperresponsiveness. Furthermore, we determined cellular
sources of IL-13 and effects of genetic deletion of the key type 2 signal
transducing molecule signal transducer and activator of transcription 6 (STAT6)
and evaluated the effects of therapeutic improvement of mucus clearance. RESULTS:
Reduced mucociliary allergen clearance exacerbated Stat6-dependent secretion of
type 2 cytokines, airway eosinophilia, and airway hyperresponsiveness in juvenile
Scnn1b-Tg mice. IL-13 levels were increased in airway epithelial cells,
macrophages, type 2 innate lymphoid cells, and TH2 cells along with increased
Il33 expression in the airway epithelium of Scnn1b-Tg mice. Treatment with the
epithelial Na+ channel blocker amiloride, improving airway surface hydration and
mucus clearance, reduced allergen-induced inflammation in Scnn1b-Tg mice.
CONCLUSION: Our data support that impaired clearance of inhaled allergens
triggering IL-13 production by multiple cell types in the airways plays an
important role in the pathogenesis of type 2 airway inflammation and suggests
therapeutic improvement of mucociliary clearance as a novel treatment strategy
for children with allergen-induced asthma.
PMID- 27865863
TI - Curcumin promotes the oncoltyic capacity of vesicular stomatitis virus for the
treatment of prostate cancers.
AB - Vesicular stomatitis virus (VSV) matrix (M) protein mutants have been studied as
oncolytic agents due to their capacity to effectively kill cancer cells while
exhibiting low virulence in vivo. Despite encouraging results, many cancer cells
maintain resistance to oncolytic VSV mutants in part due to residual antiviral
responses. We sought to determine whether combination of VSV with natural agents
with anti-tumor properties, such as curcumin, resveratrol, and flavokavain B,
would enhance tumor cell killing in a prostate cancer model. Our results revealed
that pretreatment with curcumin potentiated VSV-induced oncolysis of PC-3
prostate cancer cells in cell culture and in a mouse model of prostate cancer.
The ability of curcumin to synergize with VSV in PC-3 cells correlated with a
cumulative decrease in the expression of the anti-apoptotic protein, Bcl-xl, and
in the phosphorylation of NF-kappaB. Although curcumin did not impact the
expression of type I IFN in infected cells, it inhibited the phosphorylation and
activation of STAT1, a key player in the IFN response pathway, leading to an
overall increase in virus-infected cells. These results suggest that curcumin
sensitizes prostate cancer cells to the oncolytic effects of VSV by modulating
antiviral responses and components of the intrinsic apoptotic pathway.
PMID- 27865864
TI - Construction and manipulation of a full-length infectious bacterial artificial
chromosome clone of equine herpesvirus type 3 (EHV-3).
AB - Equine herpesvirus type 3 (EHV-3) is the causal agent of equine coital exanthema,
a disease characterized by pox-like lesions on the penis of stallions and the
vulva of mares. Although the complete genomic sequence of EHV-3 has been recently
made available, its genomic content remains poorly characterized and the
molecular mechanisms of disease development not yet elucidated. In an attempt to
facilitate genetic manipulation of EHV-3, we describe here the construction of a
full-length infectious bacterial artificial chromosome (BAC) clone of EHV-3. Mini
F vector sequences were inserted into the intergenic region between ORF19 and
ORF20 (UL41 and UL40, respectively) of EHV-3 strain C175 by homologous
recombination in equine dermal cells (NBL-6). DNA of the resulting recombinant
virus was electroporated into E. coli and a full-length EHV-3 BAC clone was
recovered. Virus reconstituted after transfection of the EHV-3 BAC into NBL-6
cells showed growth properties in vitro that were indistinguishable from those of
the parental virus. To assess the feasibility of mutagenesis of the cloned EHV-3
genome, recombinant viruses targeting the glycoprotein E (gE) gene were generated
using Red recombination in E. coli and in vitro growth properties of the
recombinant viruses were evaluated. We first repaired the gE (ORF74) coding
region, since the parental virus used for BAC cloning specifies a truncated
version of the gene, and then created gE-tagged and gE-null versions of the
virus. Our results demonstrated that: (i) EHV-3 can be efficiently cloned as a
BAC allowing easy manipulation of its genome; (ii) gE is dispensable for EHV-3
growth in vitro and is expressed as a product of approximately 110-kDa in
infected cells; (iii) viruses having a deletion compromising gE expression or
with a truncation of the cytoplasmic and transmembrane domains are significantly
compromised with regard cell-to-cell spread. The cloning of EHV-3 as a BAC
simplifies future studies to identify the role of its coding genes in viral
pathogenesis and host immune responses.
PMID- 27865865
TI - Ectromelia virus N1L is essential for virulence but not dissemination in a
classical model of mousepox.
AB - Mousepox is caused by the orthopoxvirus ectromelia virus (ECTV), and is thought
to be transmitted via skin abrasions. We studied the ECTV virulence factor N1
following subcutaneous infection of mousepox-susceptible BALB/c mice. In this
model, ECTV lacking N1L gene was attenuated more than 1000-fold compared with
wild-type virus and replication was profoundly reduced as early as four days
after infection. However, in contrast to data from an intranasal model, N1
protein was not required for virus dissemination. Further, neither T cell nor
cytokine responses were enhanced in the absence of N1. Together with the early
timing of reduced virus titres, this suggests that in a cutaneous model, N1
exerts its function at the level of infected cells or in the inhibition of the
very earliest effectors of innate immunity.
PMID- 27865866
TI - Age-related differences in processes organizing goal-directed locomotion toward
emotional pictures.
AB - Previous studies yielded evidence for an interaction between age and valence in
numerous cognitive processes. But, to date, no research has been conducted in the
field of motor skills. In this study, we examined the age-related differences in
the organization of an emotionally goal-directed locomotion task. Faced with a
pleasant, unpleasant, or neutral picture displayed to the side of a stop button,
younger and older adults were instructed to walk toward the button (intermediate
goal) and push it to turn-off the picture (final goal). Kinematic and ground
reaction forces were recorded. The main findings indicated that older adults'
response times (RTs) did not differ across the valence picture. The fastest RTs
were found in younger adults when faced with pleasant pictures, suggesting that
older people may focus either on intermediate or final goals, depending on their
value of pleasantness, and prioritize positive goals. We also found that the
spatial coding of locomotion (trajectory and final body position) was affected in
the same way by the valence of the intermediate goal in both age groups. Taken
together, these findings provide new perspectives regarding the potential role of
the emotional valence of the intermediate and final goals on the cognitive
processes involved in action coding, such as in mental representations of action
in older adults.
PMID- 27865867
TI - D1-like dopamine receptor dysfunction in the lateral habenula nucleus increased
anxiety-like behavior in rat.
AB - Lateral habenula (LHb) is important for emotional processing. It is a link node
between forebrain and midbrain. LHb is reciprocally connected with ventral
tegmental area, acting as a regulatory center for the dopaminergic system.
However, the role of dopamine receptors in the LHb in emotional processing is
less clear. In the present study, the expression of dopamine D1 and D2 receptors
in LHb was testified by western blot. In addition, D1- or D2-like receptor
agonist or antagonist was bilaterally administered into the LHb, anxiety-like and
depressive-like behaviors were tested 15min later in rats. In addition, the
effects of LHb dopamine receptor activation and inactivation on aversive learning
and memory were assessed. Our results showed that: (1) activation and inhibition
of D1R but not D2R in LHb increased anxiety-like behavior but decreased
depressive-like behavior in rats. (2) D1R activation and inactivation in LHb
impaired aversive memory acquisition but not consolidation in rats, D1R agonist
also impaired aversive memory retrieval in rats. These results might provide new
clues about how LHb was involved in emotional processing.
PMID- 27865868
TI - Blast-induced tinnitus and hyperactivity in the auditory cortex of rats.
AB - Blast exposure can cause tinnitus and hearing impairment by damaging the auditory
periphery and direct impact to the brain, which trigger neural plasticity in both
auditory and non-auditory centers. However, the underlying neurophysiological
mechanisms of blast-induced tinnitus are still unknown. In this study, we induced
tinnitus in rats using blast exposure and investigated changes in spontaneous
firing and bursting activity in the auditory cortex (AC) at one day, one month,
and three months after blast exposure. Our results showed that spontaneous
activity in the tinnitus-positive group began changing at one month after blast
exposure, and manifested as robust hyperactivity at all frequency regions at
three months after exposure. We also observed an increased bursting rate in the
low-frequency region at one month after blast exposure and in all frequency
regions at three months after exposure. Taken together, spontaneous firing and
bursting activity in the AC played an important role in blast-induced chronic
tinnitus as opposed to acute tinnitus, thus favoring a bottom-up mechanism.
PMID- 27865869
TI - ERK potentiates p38 in central sensitization induced by traumatic occlusion.
AB - This study was to investigate the role of p38 activation via ERK1/2
phosphorylation in neurons and microglia of the spinal trigeminal subnucleus
caudalis (Vc) in the promotion of orofacial hyperalgesia induced by unilateral
anterior crossbite (UAC) traumatic occlusion in adult rats. U0126, a p-ERK1/2
inhibitor, was injected intracisternally before UAC implant. The effects of the
U0126 injection were compared to those following the injection of SB203580, a p
p38 inhibitor. Mechanical hyperalgesia was evaluated via pressure pain threshold
measurements. Brain stem tissues were processed for a Western blot analysis to
evaluate the activation of ERK1/2 and p38. Double immunofluorescence was also
performed to observe the expression of p-ERK1/2 and p-p38 in neurons (labeled by
NeuN) and microglia (labeled by OX42). The data showed that UAC caused orofacial
hyperalgia ipsilaterally on d1 to d7, peaking on d3 (P<0.05). An upregulation of
p-ERK1/2 was observed in the ipsilateral Vc on d1 to d3, peaking on d1. An
upregulation of p-p38 was also observed on d1 to d7, peaking on d3 (P<0.05). p
ERK1/2 primarily co-localized with NeuN and, to a lesser extent, with OX42, while
p-p38 co-localized with both NeuN and OX42. Pretreatment with U0126 prevented the
upregulation of both p-ERK1/2 and p-p38. Similarly to an intracisternal injection
of SB203580, U0126 pretreatment attenuated the UAC-induced orofacial
hyperalgesia. These data indicate that UAC caused orofacial hyperalgesia by
inducing central sensitization via the activation of ERK1/2 and p38 in both
neurons and microglia in the Vc, potentially impacting the effects of p-ERK1/2
during p38 activation.
PMID- 27865870
TI - African and classical swine fever situation in Ivory-Coast and neighboring
countries, 2008-2013.
AB - This study was conducted from 2008 to 2013 to determine the animal health status
of Ivory Coast and neighboring countries (Burkina Faso, Ghana, Togo and Benin)
for African swine fever (ASF) and classical swine fever (CSF), and to assess the
risk factors for ASF introduction in Ivory Coast. Ivory Coast had probably been
free from ASF from 1998 to 2014 when it was re-introduced in this country.
However, the ASF virus was found in all neighboring countries. In contrast, no
evidence of CSF infection was found so far in Ivory Coast and neighboring
countries. To assess the risk of ASF reintroduction in Ivory Coast, we surveyed
59 modern pig farms, and 169 pig owners in 19 villages and in two towns. For the
village livestock, the major risk factor was the high frequency of pig exchanges
with Burkinabe villages. In the commercial sector, many inadequate management
practices were observed with respect to ASF. Their identification should enable
farmers and other stakeholders to implement a training and prevention program to
reduce the introduction risk of ASF in their farms.
PMID- 27865872
TI - Thymic Hyperplasia after Treatment of ACTH-Dependent Cushing's Syndrome Can Be
Mistaken for a Thymic Epithelial Tumor.
PMID- 27865871
TI - Clinical Impact of Hybrid Capture-Based Next-Generation Sequencing on Changes in
Treatment Decisions in Lung Cancer.
AB - INTRODUCTION: Targeted therapy significantly prolongs survival in lung
adenocarcinoma. Current diagnostic guidelines include only EGFR and anaplastic
lymphoma receptor tyrosine kinase gene (ALK) testing. Next-generation sequencing
(NGS) reveals more actionable genomic alterations than do standard diagnostic
methods. Data on the influence of hybrid capture (HC)-based NGS on treatment are
limited, and we investigated its impact on treatment decisions and clinical
outcomes. METHODS: This retrospective study included patients with advanced lung
cancer on whom HC-based NGS was performed between November 2011 and October 2015.
Demographic and clinicopathologic characteristics, treatments, and outcome data
were collected. RESULTS: A total of 101 patients were included (median age 63
years [53% females, 45% never-smokers, and 85% with adenocarcinoma]). HC-based
NGS was performed upfront and after EGFR/ALK testing yielded negative or
inconclusive results in 15% and 85% of patients, respectively. In 51.5% of
patients, HC-based NGS was performed before first-line therapy, and in 48.5%, it
was performed after treatment failure. HC-based NGS identified clinically
actionable genomic alterations in 50% of patients, most frequently in EGFR (18%),
Ret proto-oncogene (RET) (9%), ALK (8%), Mesenchymal-epithelial transition factor
(MET) receptor tyrosine kinase gene (6%), and erb-b2 receptor tyrosine kinase 2
gene (ERBB2) (5%). In 15 patients, it identified EGFR/ALK aberrations after
negative results of prior standard testing. Treatment strategy was changed for 43
patients (42.6%). The overall response rate in these patients was 65% (complete
response 14.7%, partial response 50%). Median survival was not reached.
Immunotherapy was administered in 33 patients, mostly without an actionable
driver, with a presenting disease control rate of 32%, and with an association
with tumor mutation burden. CONCLUSIONS: HC-based NGS influenced treatment
decisions in close to half of the patients with lung adenocarcinoma and was
associated with an overall response rate of 65%, which may translate into a
survival benefit.
PMID- 27865873
TI - Signaling via G proteins mediates tumorigenic effects of GPR87.
AB - G protein-coupled receptors (GPCRs) constitute a large protein family of seven
transmembrane (7TM) spanning proteins that regulate multiple physiological
functions. GPR87 is overexpressed in several cancers and plays a role in tumor
cell survival. Here, the basal activity of GPR87 was investigated in transiently
transfected HEK293 cells, revealing ligand-independent coupling to Galphai,
Galphaq and Galpha12/13. Furthermore, GPR87 showed a ligand-independent G protein
dependent activation of the downstream transcription factors CREB, NFkappaB, NFAT
and SRE. In tetracycline-induced Flp-In T-Rex-293 cells, GPR87 induced cell
clustering presumably through Galpha12/13 coupling. In a foci formation assay
using retrovirally transduced NIH3T3 cells, GPR87 showed a strong in vitro
transforming potential, which correlated to the in vivo tumor induction in nude
mice. Importantly, we demonstrate that the transforming potential of GPR87 was
correlated to the receptor signaling, as the signaling-impaired mutant R139A (Arg
in the conserved "DRY"-motif at the bottom of transmembrane helix 3 of GPR87
substituted to Ala) showed a lower in vitro cell transformation potential.
Furthermore, R139A lost the ability to induce cell clustering. In summary, we
show that GPR87 is active through several signaling pathways and that the
signaling activity is linked to the receptor-induced cell transformation and
clustering. The robust surface expression of GPR87 and general high druggability
of GPCRs make GPR87 an attractive future anticancer target for drugs that -
through inhibition of the receptor signaling - will inhibit its transforming
properties.
PMID- 27865875
TI - Axillary migration of Nexplanon(r): Case report.
AB - A 19-year-old patient presented to the clinic, and we inserted a single rod
subdermal etonogestrel implant (Nexplanon (r)), which subsequently migrated to
the ipsilateral axilla. Distant Nexplanon(r) migration is a rare serious
complication that should be considered when a device is nonpalpable. Management
options are discussed.
PMID- 27865874
TI - Bromodomain-containing protein 2 induces insulin resistance via the mTOR/Akt
signaling pathway and an inflammatory response in adipose tissue.
AB - Insulin resistance is a major metabolic abnormality in a large majority of
patients with type II diabetes. Bromodomain-containing protein 2 (Brd2), a
transcriptional co-activator/co-repressor with switch mating type/sucrose non
fermenting (SWI/SNF)-like functions that regulates chromatin, suppresses
adipocyte differentiation and regulates pancreatic beta-cell biology. However,
the effects of Brd2 on insulin resistance remain unknown. Here, overexpression of
Brd2 in white adipose tissue of wild-type (WT) mice led to insulin resistance.
Brd2 overexpression induced the expression of nuclear Factor-kappaBeta (NF
kappaBeta) target genes, mainly involving proinflammatory and chemotactic
factors, in adipocytes. Furthermore, it decreased the expression of DEP domain
containing mTOR-interacting protein (Deptor) to enhance mechanistic target of
rapamycin (mTOR) signaling, thus blocking insulin signaling. Collectively, these
results provided evidence for a novel role of Brd2 in chronic inflammation and
insulin resistance, suggesting its potential in improving insulin resistance and
treating metabolic disorders.
PMID- 27865876
TI - Interstitial Lung Disease in the Elderly.
AB - BACKGROUND: Despite the relationship between idiopathic pulmonary fibrosis (IPF)
and advancing age, little is known about the epidemiology of interstitial lung
disease (ILD) in the elderly. We describe the diagnoses, clinical
characteristics, and outcomes of patients who were elderly at the time of ILD
diagnosis. METHODS: Among subjects from a prospective cohort study of ILD,
elderly was defined as age >= 70 years. Diagnoses were derived from a
multidisciplinary review. Differences between elderly and nonelderly groups were
determined using the chi2 test and analysis of variance. RESULTS: Of the 327
subjects enrolled, 80 (24%) were elderly. The majority of elderly subjects were
white men. The most common diagnoses were unclassifiable ILD (45%), IPF (34%),
connective tissue disease (CTD)-ILD (11%), and hypersensitivity pneumonitis (8%).
Most elderly subjects (74%) with unclassifiable ILD had an imaging pattern
inconsistent with usual interstitial pneumonia (UIP). There were no significant
differences in pulmonary function or 3-year mortality between nonelderly and
elderly subjects combined or in a subgroup analysis of those with IPF.
CONCLUSIONS: Although IPF was the single most common diagnosis, the majority of
elderly subjects had non-IPF ILD. Our findings highlight the need for every
patient with new-onset ILD, regardless of age, to be surveyed for exposures and
findings of CTD. Unclassifiable ILD was common among the elderly, but for most,
the radiographic pattern was inconsistent with UIP. Although the effect of ILD
may be more pronounced in the elderly due to reduced global functionality, ILD
was not more severe or aggressive in this group.
PMID- 27865878
TI - Bone marrow mesenchymal stem cells decrease CHOP expression and neuronal
apoptosis after spinal cord injury.
AB - Spinal cord injury (SCI) leads to irreversible neuronal loss and ultimately leads
to paralysis. Bone marrow derived mesenchymal stem cells (BMSCs) have been
demonstrated to be an effective approach to treat SCI. The present study was
designed to investigate the role of BMSCs in rats with spinal cord injury and in
oxygen-glucose deprivation (OGD) treated motor neurons. The results demonstrated
that BMSCs could improve locomotor function and decrease expression of pro
apoptotic transcription factor C/EBP homologous protein (CHOP) and apoptosis
after SCI. Furthermore, co-culture with BMSCs or conditioned medium from BMSCs
could also decrease the expression of CHOP and apoptosis in post-OGD motor
neurons, supporting that BMSCs exerts protective effects by decreasing the
expression of CHOP in injured motor neurons. Our findings provide a potential
novel mechanism for BMSCs treatments in patients with SCI.
PMID- 27865879
TI - Arachidonic acid has protective effects on oxygen-glucose deprived astrocytes
mediated through enhancement of potassium channel TREK-1 activity.
AB - Polyunsaturated fatty acids (PUFAs) have neuroprotective effects against ischemic
brain diseases. The newly discovered potassium channel "TREK-1" is a promising
target for therapies against neurodegeneration. Arachidonic acid (AA) is an n-6
PUFA, as well as a potent TREK-1 activator. We previously showed that TREK-1 is
expressed at high levels in astrocytes. However, the effect of AA on astrocytes
in ischemia remains unknown. Here, we assessed the effects of 3-30MUM AA on
astrocyte apoptosis, glutamate uptake, and expression of the astrocytic glutamate
transporter 1 (GLT-1) and TREK-1 under different conditions. Under normal
conditions, 3-30MUM AA showed no effect on astrocytic apoptosis or TREK-1
expression, whereas glutamate uptake decreased significantly and its change
paralleled the decreased expression of GLT-1. When astrocytes were subjected to
4h of oxygen-glucose deprivation (OGD), 10MUM AA markedly alleviated OGD-induced
cell death, recovering from 63.50+/-1.90% to 82.96+/-4.63% of the control value.
AA also rescued the decreased glutamate uptake and increased mRNA, as well as
protein levels of GLT-1 and TREK-1. Our results provide new evidence of a
protective effect of AA on astrocytes under OGD conditions, suggesting that a low
concentration of AA may protect against brain ischemic diseases.
PMID- 27865880
TI - NOD2 mediates isoflurane preconditioning-induced protection of myocardial injury.
AB - Anesthetic cardioprotection reduces myocardial infarct size following ischemia
reperfusion injury. However, the underlying mechanisms that drive ischemia
reperfusion injury in cardiomyocytes remain unclear. In this study, we report
that isoflurane, a commonly used inhaled anesthetic, can protect cardiomyocytes
from anoxia/reoxygenation injury by a nucleotide binding oligomerization domain
containing 2 (NOD2)-dependent mechanism. The results showed that isoflurane
increased cell viability, and decreased autophagosome generation in primary
cardiomyocytes under anoxia/reoxygenation conditions. In addition, western blot
revealed that isoflurane reduces the expression of NOD2. Overexpression of NOD2
is accompanied by an increased expression of autophagy-related genes, decreased
cell viability, and enhanced expression of phosphorylation p38-mitogen-activated
protein kinase (p38MAPK), while NOD2 knockdown exerted the opposite effect.
Following preconditioning with SB203580, a p38MAPK inhibitor, the inhibitory
effect of isoflurane on cardiomyocytes autophagy was further enhanced, which
suggests that p38MAPK is involved in the mechanism of cardioprotection provided
by isoflurane. These findings reveal a novel mechanism underlying isoflurane
afford protection of myocardial injury.
PMID- 27865881
TI - Modulation of quadriceps corticospinal excitability by femoral nerve stimulation.
AB - INTRODUCTION: We explored the conditioning effect of a percutaneous electrical
pulse of the femoral nerve on cortical motor evoked responses in the rectus
femoris muscle. METHODS: Corticospinal excitability of rectus femoris muscle was
measured in sixteen healthy subjects, when a single transcranial magnetic pulse
was preceded by an electrical femoral nerve stimulus, using twelve inter-stimulus
intervals (from 10 to 275ms). We also evaluated the effects of the intensities of
the transcranial magnetic and of the electrical pulses. RESULTS: Quadriceps motor
evoked potentials were inhibited and facilitated when a single femoral nerve
electrical stimulus was delivered at inter-stimulus intervals of 25ms and 150ms,
respectively. The facilitation was reduced when low electrical intensity was
used, while the inhibition decreased with high intensity transcranial magnetic
pulse. CONCLUSION: Afferent inputs of a femoral stimulation modulate the
responses elicited by transcranial magnetic pulses of the contralateral
quadriceps motor cortex. This modulation indicates a sensorimotor integration of
proximal lower limb muscles that may be mediated via different types of
afferents. This could be of relevance for studies that explore the role of lower
limb muscles in postural control and balance.
PMID- 27865882
TI - Assessing and conceptualizing frontal EEG asymmetry: An updated primer on
recording, processing, analyzing, and interpreting frontal alpha asymmetry.
AB - Frontal electroencephalographic (EEG) alpha asymmetry is widely researched in
studies of emotion, motivation, and psychopathology, yet it is a metric that has
been quantified and analyzed using diverse procedures, and diversity in
procedures muddles cross-study interpretation. The aim of this article is to
provide an updated tutorial for EEG alpha asymmetry recording, processing,
analysis, and interpretation, with an eye towards improving consistency of
results across studies. First, a brief background in alpha asymmetry findings is
provided. Then, some guidelines for recording, processing, and analyzing alpha
asymmetry are presented with an emphasis on the creation of asymmetry scores,
referencing choices, and artifact removal. Processing steps are explained in
detail, and references to MATLAB-based toolboxes that are helpful for creating
and investigating alpha asymmetry are noted. Then, conceptual challenges and
interpretative issues are reviewed, including a discussion of alpha asymmetry as
a mediator/moderator of emotion and psychopathology. Finally, the effects of two
automated component-based artifact correction algorithms-MARA and ADJUST-on
frontal alpha asymmetry are evaluated.
PMID- 27865877
TI - Cough in the Athlete: CHEST Guideline and Expert Panel Report.
AB - BACKGROUND: Cough is a common symptom experienced by athletes, particularly after
exercise. We performed a systematic review to assess the following in this
population: (1) the main causes of acute and recurrent cough, either exercise
induced or not, (2) how cough is assessed, and (3) how cough is treated in this
population. From the systematic review, suggestions for management were
developed. METHODS: This review was performed according to the CHEST
methodological guidelines and Grading of Recommendations Assessment, Development
and Evaluation framework until April 2015. To be included, studies had to meet
the following criteria: participants had to be athletes and adults and
adolescents aged >= 12 years and had to complain of cough, regardless of its
duration or relationship to exercise. The Expert Cough Panel based their
suggestions on the data extracted from the review and final grading by consensus
according to a Delphi process. RESULTS: Only 60 reports fulfilled the inclusion
criteria, and the results of our analysis revealed only low-quality evidence on
the causes of cough and how to assess and treat cough specifically in athletes.
Although there was no formal evaluation of causes of cough in the athletic
population, the most common causes reported were asthma, exercise-induced
bronchoconstriction, respiratory tract infection (RTI), upper airway cough
syndrome (UACS) (mostly from rhinitis), and environmental exposures. Cough was
also reported to be related to exercise-induced vocal cord dysfunction among a
variety of less common causes. Although gastroesophageal reflux disease (GERD) is
frequent in athletes, we found no publication on cough and GERD in this
population. Assessment of the causes of cough was performed mainly with
bronchoprovocation tests and suspected disease-specific investigations. The
evidence to guide treatment of cough in the athlete was weak or nonexistent,
depending on the cause. As data on cough in athletes were hidden in a set of
other data (respiratory symptoms), evidence tables were difficult to produce and
were done only for cough treatment in athletes. CONCLUSIONS: The causes of cough
in the athlete appear to differ slightly from those in the general population. It
is often associated with environmental exposures related to the sport training
environment and occurs predominantly following intense exercise. Clinical history
and specific investigations should allow identification of the cause of cough as
well as targeting of the treatment. Until management studies have been performed
in the athlete, current guidelines that exist for the general population should
be applied for the evaluation and treatment of cough in the athlete, taking into
account specific training context and anti-doping regulations.
PMID- 27865883
TI - Effects of salinity and copper co-exposure on copper bioaccumulation in marine
rabbitfish Siganus oramin.
AB - Marine fish living in estuaries and coastal areas commonly encounter the stress
of both salinity and metal pollution. In this study, euryhaline rabbitfish
Siganus oramin were exposed to 50 MUg L-1 waterborne Cu or 300 MUg g-1 dry wt
dietary Cu at salinity 330/00, 250/00, 200/00, 100/00, and 50/00 for 30 days. The
Cu accumulation in the liver (>20-fold increase) and intestine (>5-fold increase)
significantly increased after either waterborne or dietary Cu acclimation.
Moreover, Cu accumulation was further enhanced in the liver, intestine, plasma,
and whole body of Cu-exposed fish at lower salinities. Similarly, the waterborne
Cu uptake rate constants (kus) were stable in the control at different salinities
but increased significantly (2-4 times higher) after waterborne Cu exposure.
Conversely, the dietary Cu assimilation efficiencies (AEs) were significantly
lower in the dietary Cu-exposed fish (3-5%) than in the control fish (8-16%) at
different salinities, suggesting that dietary Cu acclimation partially alleviated
the dietary Cu uptake from the high-Cu diet. The Cu efflux rate constants (kes)
were comparable among all treatments as 0.060-0.071 d-1. The changes of Cu
accumulation by different salinities and Cu exposure were well estimated by the
biokinetic modeling. In summary, the present study indicates that rabbitfish can
regulate Cu uptake and accumulation when acclimated to different salinities, but
the Cu-exposed rabbitfish failed to prevent the elevation of Cu accumulation at
low salinities. It therefore suggested that the concurrence of low salinity and
high Cu exposure enhances the risks of Cu bioaccumulation and toxicity in
rabbitfish.
PMID- 27865884
TI - Photodegradation behaviour of sethoxydim and its comercial formulation Poast(r)
under environmentally-relevant conditions in aqueous media. Study of
photoproducts and their toxicity.
AB - Photolysis is an important route for the abiotic degradation of many pesticides.
However, the knowledge of the photolytic behaviour of these compounds and their
commercial formulations under environmentally-relevant conditions are limited.
The present study investigated the importance of photochemical processes on the
persistence and fate of the herbicide sethoxydim and its commercial formulation
Poast(r) in aqueous media. Moreover, the effect of important natural water
substances (nitrate, calcium, and ferric ions) on the photolysis of the herbicide
was also studied. The results showed that additives existing in the commercial
formulation Poast(r) accelerated the rate of photolysis of sethoxydim by a factor
of 3. On the contrary, the presence of nitrate and calcium ions had no effect on
the photodegradation rate while ferric ions resulted in an important decrease in
the half-life of sethoxydim possibly due to the formation of a complex. Different
transformation products were identified in the course of sethoxydim irradiation
and the effect of experimental conditions on their concentrations was
investigated. Finally, Microtox(r) test revealed that aqueous solutions of
sethoxydim photoproducts increased the toxicity to the bacteria Vibrio fischeri.
PMID- 27865886
TI - Social regulation of ageing by young workers in the honey bee, Apis mellifera.
AB - Organisms' lifespans are modulated by both genetic and environmental factors. The
lifespan of eusocial insects is determined by features of the division of labor,
which itself is influenced by social regulatory mechanisms. In the honey bee,
Apis mellifera, the presence of brood and of old workers carrying out foraging
tasks are important social drivers of ageing, but the influence of young adult
workers is unknown, as it has not been experimentally teased apart from that of
brood. In this study, we test the role of young workers in the ageing of their
nestmates. We measured the impact of different social contexts characterized by
the absence of brood and/or young adults on the lifespan of worker nestmates in
field colonies. To acquire insight into the physiological processes occurring
under these contexts, we analyzed the expression of genes known to affect honey
bee ageing. The data showed that young workers significantly reduced the lifespan
of nestmate workers, similar to the effect of brood on its own. Differential
expression of vitellogenin, major royal jelly protein-1, and methylase
transferase, but not methyl farneosate epoxidase genes suggests that young
workers and brood influence ageing of adult nestmate workers via different
physiological pathways. We identify young workers as an essential part of the
social regulation of ageing in honey bee colonies.
PMID- 27865885
TI - Perforations and angulations of 324 cervical medial cortical pedicle screws: a
possible guide to avoid lateral perforations with use of pedicle screws in lower
cervical spine.
AB - BACKGROUND CONTEXT: More than half of the perforations reported with usage of
cervical pedicle screws (CPS) are lateral perforations, endangering the vertebral
artery. The medial cortical pedicle screw (MCPS) technique with partial drilling
of the medial cortex shifts the trajectory of pedicle screws medially, decreasing
the lateral perforations. PURPOSE: To evaluate the decrease in lateral
perforations of CPS with use of MCPS technique, in relation to medial angulation.
STUDY DESIGN/SETTING: Retrospective analysis and technical report of the MCPS
technique and its safety. PATIENT SAMPLE: A total of 58 patients operated on
between December 2011 and May 2015 with insertion of pedicle screws from C3 to C7
were included in the study. OUTCOME MEASURES: Axial reconstructed computed
tomography (CT) scan images of the inserted screws were evaluated for placement,
perforations, and transverse plane angulations using the Surgimap software
(Surgimap Spine 1.1.2.271 Intl. 2009 Nemaris LLC). The angulations of screws were
analyzed by the type and level of placement through unpaired t test and analysis
of variance test. METHODS: A total of 58 patients operated on between December
2011 and May 2015 with insertion of pedicle screws from C3 to C7 were included in
the study. There were 49 males and 9 females. Thirty-seven patients had cervical
trauma, 17 had cervical spondylotic myelopathy, two had tumors, and two had
ankylosing spondylitis. The average age was 49 years (range 18 to 80 years). The
screws were inserted using the MCPS technique. All patients underwent
postoperative CT scans with GE Optima CT540 16 slice CT scanner (GE Healthcare
Chalfont St. Giles, Buckinghamshire, UK). Axial reconstructed images along the
axis of the inserted screws were evaluated for placement and perforations.
Further, all the screws were evaluated for transverse plane angulations using the
Surgimap software. The angulations of screw were analyzed by the type and level
of placement through unpaired t test and analysis of variance test. No funds were
received by any of the authors for the purpose of the present study. RESULTS: A
total of 324 screws were assessed with postoperative CT scans. Two hundred fifty
six were found to be placed within the pedicle and 68 (20.98%) screws were found
to have perforations. Forty screws (12.34%) had grade I medial perforations, 14
screws (4.32%) had grade I lateral perforations, 10 screws (3.08%) had grade II
medial perforations, and 4 screws (1.23%) had grade IIlateral perforations. The
average angulation of the nonperforated screws (n=256) was 28.6 degrees (43
degrees -17 degrees ), that of laterally perforated screws was 20.33 degrees (13
degrees -24 degrees ), and that of the medially perforated screws was 34.94
degrees (45 degrees -20 degrees ). On statistical analysis with each series, the
99% CI range for the in-screw angles was 27.91 degrees to 29.34 degrees ; for
the laterally perforated screw series, it was 18.42 degrees to 22.23 degrees ;
and that for the medially perforated screw series was 32.97 degrees to 36.9
degrees . CONCLUSIONS: The MCPS technique represents a shift in the concept of
placement of CPS from the cancellous core to the medial cortex, avoiding screw
deflection laterally by the thick proximal medial cortex. The present study shows
that the lateral perforations can be consistently avoided, with a medial
angulation of more than 27.91 degrees , which is the primary concern with the use
of pedicle screws in lower cervical spine. Further, the MCPS technique reduces
the lateral perforations at a lesser insertion angle, which is technically
desirable.
PMID- 27865887
TI - Effects of altered parental folate and one-carbon nutrient status on offspring
growth and metabolism.
PMID- 27865888
TI - A morphological and molecular study of Pseudocorynosoma Aznar, Perez Ponce de
Leon and Raga 2006 (Acanthocephala: Polymorphidae) from Mexico with the
description of a new species and the presence of cox 1 pseudogenes.
AB - Pseudocorynosoma tepehuanesi n. sp., is described from the intestine of the ruddy
duck Oxyura jamaicensis Gmelin, 1789 from single locality from northern Mexico.
The new species is mainly distinguished morphologically from the other five
described species of Pseudocorynosoma from the Americas (P. constrictum, type
species, P. peposacae, P. anatarium, P. enrietti and P. iheringi) associated with
waterfowl species by possessing a proboscis with 15 longitudinal rows with 7-8
hooks each, a trunk expanded anteriorly and by having smaller lemniscus. Partial
sequences of the mitochondrial gene cytochrome c oxidase subunit I (cox 1) and
the large subunit (LSU) of ribosomal DNA including the domains D2+D3 were used
independently to corroborate the morphological distinction between the new
species and other two congeneric species (P. constrictum and P. anatarium) from
North America. The genetic divergence estimated among the new species and the
other two species ranged from 15 to 18% for cox 1 and from 3.2 to 4% for LSU. The
cox 1 alignment shows 24 sequences from P. anatarium with abnormalities, which
were defined as pseudogenes due the presence of insertions, deletions and
premature stop codons. Maximum likelihood and Bayesian inference analyses with
each data set showed that the acanthocephalans from ruddy duck represent an
independent clade with strong bootstrap support and posterior probabilities. The
phylogenetic tree inferred with cox 1 gene placed all the pseudogenes from P.
anatarium in single clade suggesting that those genes arose after speciation
process within genus Pseudocorynosoma. The morphological evidence, plus the
monophyly in both phylogenetic analyses indicate that the acanthocephalans
collected from intestine of the ruddy duck from northern Mexico represent a new
species.
PMID- 27865889
TI - Time to review treatment of isoniazid-resistant tuberculosis?
PMID- 27865891
TI - Treatment of isoniazid-resistant tuberculosis with first-line drugs: a systematic
review and meta-analysis.
AB - BACKGROUND: The results of some reports have suggested that treatment of
isoniazid-resistant tuberculosis with the recommended regimens of first-line
drugs might be suboptimal. We updated a previous systematic review of treatment
outcomes associated with use of first-line drugs in patients with tuberculosis
resistant to isoniazid but not rifampicin. METHODS: In this systematic review, we
updated the results of a previous review to include randomised trials and cohort
studies published in English, French, or Spanish to March 31, 2015, containing
results of standardised treatment of patients with bacteriologically confirmed
isoniazid-resistant tuberculosis (but not multidrug-resistant tuberculosis-ie,
not resistant to rifampicin) in whom failure and relapse were bacteriologically
confirmed. Results in patients with drug-sensitive tuberculosis included in the
same studies were also analysed. We pooled treatment outcomes with random-effects
meta-analysis. FINDINGS: We identified 19 cohort studies and 33 trials with 3744
patients with isoniazid-resistant tuberculosis and 19 012 patients with drug
sensitive disease. The pooled rates of failure or relapse, or both, and acquired
drug resistance with all drug regimens were 15% (95% CI 12-18) and 3.6% (2-5),
respectively, in patients with isoniazid-resistant tuberculosis and 4% (3-5) and
0.6% (0.3-0.9) in those with drug-sensitive tuberculosis. Of patients with
initial isoniazid-resistant tuberculosis with acquired drug resistance, 96% (93
99) had acquired multidrug-resistant disease. Treatment of isoniazid-resistant
tuberculosis with the WHO standard regimen for new patients resulted in treatment
failure, relapse, and acquired multidrug resistance in 11% (6-17), 10% (5-15) and
8% (3-13), respectively; treatment with the standard WHO regimen for previously
treated patients resulted in treatment failure in 6% (2-10), relapse in 5% (2-8),
and acquisition of multidrug resistance in 3% (0-6). For patients with drug
sensitive disease treated with the standard retreatment regimen the rates were 1%
(0-2), 5% (4-7), and 0.3% (0-0.6). INTERPRETATION: Treatment of isoniazid
resistant tuberculosis with first-line drugs resulted in suboptimal outcomes,
supporting the need for better regimens. Standardised empirical treatment of new
cases could be contributing substantially to the multidrug-resistant epidemic,
particularly in settings where the prevalence of isoniazid resistance is high.
FUNDING: Canadian Institutes of Health Research.
PMID- 27865892
TI - Dihydroartemisinin-piperaquine: if it works for control, can we use it for
elimination?
PMID- 27865890
TI - Safety, tolerability, and efficacy of repeated doses of dihydroartemisinin
piperaquine for prevention and treatment of malaria: a systematic review and meta
analysis.
AB - BACKGROUND: Intermittent preventive treatment (IPT) for malaria is used in
infants, children, adults, and pregnant women. Dihydroartemisinin-piperaquine
(DP) is an effective, well tolerated artemisinin-based combination therapy. The
long half-life of piperaquine makes it attractive for IPT. We conducted a
systematic review and meta-analysis to establish the efficacy and safety of
repeated treatment with DP. METHODS: Following PRISMA guidelines, we searched
multiple databases on Sept 1, 2016, with the terms: "human" AND
"dihydroartemisinin-piperaquine" OR "DHA-PPQ". Studies were eligible if they were
randomised controlled trials (RCTs) or prospective cohort studies involving
repeat exposures to standard 3-day courses of DP for either seasonal malaria
chemoprevention, mass drug administration, or treatment of clinical malaria,
conducted at any time and in any geographic location. Random-effects meta
analysis was used to generate pooled incidence rate ratios and relative risks, or
risk differences. FINDINGS: 11 studies were included: two repeat treatment
studies (one in children younger than 5 years and one in pregnant women), and
nine IPT trials (five in children younger than 5 years, one in schoolchildren,
one in adults, two in pregnant women). Comparator interventions included placebo,
artemether-lumefantrine, sulfadoxine-pyrimethamine (SP), SP+amodiaquine,
SP+piperaquine, SP+chloroquine, and co-trimoxazole. Of 14 628 participants, 3935
received multiple DP courses (2-18). Monthly IPT-DP was associated with an 84%
reduction in the incidence of malaria parasitaemia measured by microscopy
compared with placebo. Monthly IPT-DP was associated with fewer serious adverse
events than placebo, daily co-trimoxazole, or monthly SP. Among 56 IPT-DP
recipients (26 children, 30 pregnant women) with cardiac parameters, all QTc
intervals were within normal limits, with no significant increase in QTc
prolongation with increasing courses of DP. INTERPRETATION: Monthly DP appears
well tolerated and effective for IPT. Additional data are needed in pregnancy and
to further explore the cardiac safety with monthly dosing. FUNDING: Bill &
Melinda Gates Foundation and NIH.
PMID- 27865894
TI - Activation of peroxisome proliferator-activated receptor gamma in mammary
epithelial cells upregulates the expression of tumor suppressor Cyld to mediate
growth inhibition and anti-inflammatory effects.
AB - Several studies have implicated the downregulation of the tumor suppressor Cyld
expression in breast cancer development. However, the mechanisms that regulate
Cyld expression in mammary epithelial cells are largely unknown. In order to
investigate them, a bioinformatic analysis of the promoter region of Cyld was
performed and identified putative nuclear hormone receptor response elements that
included peroxisome proliferator-activated receptor gamma (PPAR-gamma)-responsive
elements. In the present study, we showed that upon activation of the nuclear
hormone receptor PPAR-gamma by the agonist troglitazone (TZD), there was a
significant increase in Cyld mRNA in human mammary epithelial cell lines. The
effect of TZD could be attributed to the transactivation of the Cyld promoter as
indicated by the upregulation of a luciferase reporter that was driven by the
1995 to +95 region of the human Cyld gene. Furthermore, the upregulation of Cyld
expression by TZD was dependent on PPAR-gamma since downregulation of PPAR-gamma
expression by RNAi compromised the induction of Cyld expression by TZD. CYLD
induction mediated, at least in part, the TZD-mediated downregulation of tumor
necrosis factor alpha (TNFalpha)-induced interleukin 8 (IL-8). In addition,
downregulation of CYLD compromised the cytotoxic effects of TZD in immortalized
mammary epithelial cells. Our results demonstrated that PPAR-gamma is a novel
regulator of Cyld transcription and identified CYLD as a mediator of the PPAR
gamma-dependent anti-inflammatory and anti-proliferative activity in mammary
epithelial cells, which underscores its potential to be used as a target for the
development of breast cancer therapeutic approaches.
PMID- 27865896
TI - Reduced cytotoxicity in PCB-exposed Chinese Hamster Ovary (CHO) cells pretreated
with vitamin E.
AB - The aim of this study was to evaluate protective effects of vitamin E (50 -150
MUM) in ovary cells upon cytotoxic effects induced by two structurally distinct
PCB congeners - planar "dioxin-like" PCB 77 and non-planar di-ortho-substituted
PCB 153 with an emphasis on identifying differences in the mechanism of vitamin E
action depending on the structure of congeners. Application of three bioassays
confirmed that PCBs decrease ovarian cell proliferation with slightly profound
effects of PCB 77. PCB - induced ROS production and lipid peroxidation were
significant for both congeners with also more noticeable effect for PCB 77.
Vitamin E pre-incubation has improved viability of cells, reduced ROS formation
and lipid peroxidation induced by PCBs' treatment. Preincubation with vitamin E
was more effective when cells where treated with non-planar PCB 153. Altogether,
vitamin E action was protective, congener specific and more effective when ovary
cells were exposed to ortho-substituted PCB congener.
PMID- 27865895
TI - Intensive care unit-acquired pneumonia due to Pseudomonas aeruginosa with and
without multidrug resistance.
AB - OBJECTIVE: Pseudomonas aeruginosa often presents multi-drug resistance (MDR) in
intensive care unit (ICU)-acquired pneumonia (ICUAP), possibly resulting in
inappropriate empiric treatment and worse outcomes. We aimed to identify patients
with ICUAP at risk for these pathogens in order to improve treatment selection
and outcomes. METHODS: We prospectively assessed 222 consecutive immunocompetent
ICUAP patients confirmed microbiologically. We determined the characteristics,
risk factors, systemic inflammatory response and outcomes of P. aeruginosa
pneumonia (Pa-ICUAP), compared to other aetiologies. We also compared patients
with MDR vs. non-MDR Pa-ICUAP. RESULTS: Pseudomonas aeruginosa was the most
frequent aetiology (64, 29%); 22 (34%) cases had MDR. Independent predictors for
Pa-ICUAP were prior airway colonization by P. aeruginosa, previous antibiotic
treatment, solid cancer and shock; alcohol abuse and pleural effusion were
independently associated to lower risk for Pa-ICUAP. Chronic liver disease
independently predicted MDR among Pa-ICUAP. The inflammatory biomarkers were
similar between all groups. Patients with Pa-ICUAP had lower unadjusted 90-day
survival (p = 0.049). However, the 90-day survival adjusted for confounding
factors using a propensity score did not differ between all groups. CONCLUSION:
Pseudomonas aeruginosa remains the most frequent aetiology of ICUAP, with high
prevalence of MDR. These risk factors should be taken into account to avoid
inappropriate empiric antibiotics for Pa-ICUAP. Pseudomonas aeruginosa,
regardless multidrug resistance, was not associated with different propensity
adjusted survival.
PMID- 27865893
TI - Sex and genetic differences in the effects of acute diesel exhaust exposure on
inflammation and oxidative stress in mouse brain.
AB - In addition to increased morbidity and mortality caused by respiratory and
cardiovascular diseases, air pollution may also contribute to central nervous
system (CNS) diseases. Traffic-related air pollution is a major contributor to
global air pollution, and diesel exhaust (DE) is its most important component. DE
contains more than 40 toxic air pollutants and is a major constituent of ambient
particulate matter (PM), particularly of ultrafine-PM. Limited information
suggests that exposure to DE may cause oxidative stress and neuroinflammation in
the CNS. We hypothesized that males may be more susceptible than females to DE
neurotoxicity, because of a lower level of expression of paraoxonase 2 (PON2), an
intracellular anti-oxidant and anti-inflammatory enzyme. Acute exposure of
C57BL/6 mice to DE (250-300MUg/m3 for 6h) caused significant increases in lipid
peroxidation and of pro-inflammatory cytokines (IL-1alpha, IL-1beta, IL-3, IL-6,
TNF-alpha) in various brain regions (particularly olfactory bulb and
hippocampus). In a number of cases the observed effects were more pronounced in
male than in female mice. DE exposure also caused microglia activation, as
measured by increased Iba1 (ionized calcium-binding adapter molecule 1)
expression, and of TSPO (translocator protein) binding. Mice heterozygotes for
the modifier subunit of glutamate cysteine ligase (the limiting enzyme in
glutathione biosynthesis; Gclm+/- mice) appeared to be significantly more
susceptible to DE-induced neuroinflammation than wild type mice. These findings
indicate that acute exposure to DE causes neuroinflammation and oxidative stress
in brain, and suggest that sex and genetic background may play important roles in
modulating susceptibility to DE neurotoxicity.
PMID- 27865898
TI - Keeping time in the chest.
PMID- 27865897
TI - Enigmas in tumor resistance to kinase inhibitors and calculation of the drug
resistance index for cancer (DRIC).
AB - Darwinian selection is also applicable when antibiotics, the immune system or
other host factors shape the repertoire of microorganisms, and similarly, clonal
selection is the hallmark of tumor evolution. The ongoing revolution in new anti
cancer treatment modalities, combined with an unprecedented precision in
characterizing malignant clones at the level below one percent, profoundly
improves the understanding of repertoire-tuning mechanisms. There is no
fundamental difference between selection of the tumor cells in the presence, or
absence, of therapy. However, under treatment the influence of a single agent can
be measured, simplifying the analysis. Because of their beneficial and selective
therapeutic effect, the focus in this review is set on protein kinase inhibitors
(PKIs), predominantly tyrosine kinase inhibitors (TKIs). This is one of the most
rapidly growing families of novel cancer medicines. In order to limit the number
of drugs, the following representative target kinases are included: ALK, BCR-ABL,
BRAF, BTK, and EGFR. A key therapeutic challenge is how to reduce tumor growth
after treatment, since this is rate-limiting for the generation and expansion of
more malignant escape mutants. Thus, upon efficient treatment, tumor cell loss
often enables a profoundly increased growth rate among resistant cells.
Strategies to reduce this risk, such as concomitant, competitive outgrowth of non
transformed cells, are described. Seven parameters: 1. Drug type, 2. tumor type,
3. presence of metastases or phenotypic change, 4. tumor cell number, 5. net
growth rate (proliferation minus cell death), 6. inherited genetic- and 7.
epigenetic- variations are crucial for drug responses. It is envisaged that it
might become possible to calculate a clinically relevant Drug Resistance Index
for Cancer (DRIC) for each patient.
PMID- 27865899
TI - A systematic review finds limited data on measurement properties of instruments
measuring outcomes in adult intensive care unit survivors.
AB - BACKGROUND AND OBJECTIVE: There is a growing number of studies evaluating the
physical, cognitive, mental health, and health-related quality of life (HRQOL)
outcomes of adults surviving critical illness. However, there is little consensus
on the most appropriate instruments to measure these outcomes. To inform the
development of such consensus, we conducted a systematic review of the
performance characteristics of instruments measuring physical, cognitive, mental
health, and HRQOL outcomes in adult intensive care unit (ICU) survivors. METHODS:
We searched PubMed, Embase, PsycInfo, Cumulative Index of Nursing and Allied
Health Literature, and The Cochrane Library in March 2015. We also conducted
manual searches of reference lists of eligible studies and relevant review
articles. Two people independently selected studies, completed data abstraction,
and assessed the quality of eligible studies using the COnsensus-based Standards
for the selection of health Measurement Instruments (COSMIN) initiative
checklist. RESULTS: We identified 20 studies which explicitly evaluated
measurement properties for 21 different instruments assessing outcomes in ICU
survivors. Eleven of the instruments assessed quality of life, with few
instruments assessing other domains. Of the nine measurement properties evaluated
on the COSMIN checklist, six were assessed in <10% of the evaluations. Overall
quality of eligible studies was generally poor to fair based on the COSMIN
checklist. CONCLUSIONS: Although an increasing number of studies measure
physical, cognitive, mental health, and HRQOL outcomes in adult ICU survivors,
data on the measurement properties of such instruments are sparse and generally
of poor to fair quality. Empirical analyses evaluating the performance of
instruments in adult ICU survivors are needed to advance research in this field.
PMID- 27865901
TI - Published randomized trials performed in Sub-Saharan Africa focus on high-burden
diseases but are frequently funded and led by high-income countries.
AB - BACKGROUND AND OBJECTIVE: In light of funding constraints in Sub-Saharan Africa
(SSA), the value of research performed there must be increased. The objective of
this study was to describe the epidemiology of published randomized controlled
trials (RCTs) performed in SSA. METHODS: We searched PubMed, the Cochrane
library, and African Index Medicus to identify reports of all RCTs performed in
SSA and published between January 1, 2014 and March 31, 2015. We systematically
recorded the country of the affiliation of the corresponding author and the
funding source. The overall burden of disease was assessed by 2013 disability
adjusted life years (both sexes, all ages) in percentages for two locations: SSA
and high-income countries (HICs). RESULTS: Only 12 of 121 RCTs were conducted in
both Sub-Saharan Africa and another region, with 109 of 121 RCTs (90%) having
trial centers exclusively located in SSA. The corresponding author's only
affiliation was in SSA for 44/109 trials (40%) and was institutions in HICs for
almost half of the trials. The funding source was nonprofit for 77/109 trials
(70%) and was from HICs for 81% (n = 63/77). Overall, most RCTs targeted diseases
with a high burden in SSA; 46% of the trials targeted the five diseases with the
highest burden in SSA, mainly malaria (n = 25), HIV/AIDS (n = 24), lower
respiratory tract infection (n = 2), diarrheal diseases (n = 3), and preterm
birth complications (n = 2). Nevertheless, among the 25 diseases or health
related conditions with the highest burden in SSA, 9 (36%) were not assessed in
any RCT. CONCLUSIONS: Published RCTs performed in SSA were mainly funded and led
by HIC institutions, although investigations concerned diseases highly prevalent
in SSA.
PMID- 27865900
TI - Potentially unnecessary and wasteful clinical trial research detected in
cumulative meta-epidemiological and trial sequential analysis.
AB - OBJECTIVE: The objective was to estimate the presence and extent to which
potentially unnecessary and therefore maybe wasteful clinical trials regarding
relevant interventions and outcomes in major clinical areas had been conducted.
STUDY DESIGN AND SETTING: From current Cochrane collaboration systematic reviews
in major medical fields (e.g., cardiovascular disease, cancer, psychiatry), 13
different comparisons were sampled. A cumulative meta-analysis was conducted for
each and trial sequential analysis applied to determine when in the course of
evidence accrual evidence was found sufficient to reach a reliable conclusion.
Trials published afterward were considered potentially unnecessary. Sensitivity
analysis is performed, for example, to determine if findings could be explained
by a delayed perception of published findings when planning new trials. RESULTS:
In 8/13 cases, potentially unnecessary research was detected to an extent of
between 12% and 89% of all participants in trials that might not have been
needed. In three of these cases with high proportions (69-89%) of potentially
unnecessary research, this finding was found basically unchanged in sensitivity
analysis, when only trials published 3 or 5 years after sufficient evidence had
already been published were considered potentially wasteful. CONCLUSIONS: The
reasonableness of claims to relevance of additional trials needs to be much more
carefully evaluated in the future. Cumulative, information size bases analysis
might be included in systematic reviews. Research policies to prevent unnecessary
research from being done need to be developed.
PMID- 27865902
TI - The regression discontinuity design showed to be a valid alternative to a
randomized controlled trial for estimating treatment effects.
AB - OBJECTIVES: To compare treatment effect estimates obtained from a regression
discontinuity (RD) design with results from an actual randomized controlled trial
(RCT). STUDY DESIGN AND SETTING: Data from an RCT (EVIDENT), which studied the
effect of an Internet intervention on depressive symptoms measured with the
Patient Health Questionnaire (PHQ-9), were used to perform an RD analysis, in
which treatment allocation was determined by a cutoff value at baseline (PHQ-9 =
10). A linear regression model was fitted to the data, selecting participants
above the cutoff who had received the intervention (n = 317) and control
participants below the cutoff (n = 187). Outcome was PHQ-9 sum score 12 weeks
after baseline. Robustness of the effect estimate was studied; the estimate was
compared with the RCT treatment effect. RESULTS: The final regression model
showed a regression coefficient of -2.29 [95% confidence interval (CI): -3.72 to
.85] compared with a treatment effect found in the RCT of -1.57 (95% CI: -2.07 to
-1.07). CONCLUSION: Although the estimates obtained from two designs are not
equal, their confidence intervals overlap, suggesting that an RD design can be a
valid alternative for RCTs. This finding is particularly important for situations
where an RCT may not be feasible or ethical as is often the case in clinical
research settings.
PMID- 27865905
TI - Editorial Comment.
PMID- 27865903
TI - On-command controlled drug release by diels-Alder reaction using Bi-magnetic
core/shell nano-carriers.
AB - A novel bi-functional thermo-responsive system, consisting of core/shell bi
magnetic nanoparticles with furan surface functionality, is bonded with N-(2
Carboxyethyl)maleimide through Diels-Alder reaction. The chemotherapeutics
doxorubicin is attached onto the surface, with a high loading efficiency of 92%.
This system with high responsiveness to a high frequency external alternating
magnetic field shows a very good therapeutic efficiency in hyperthermia and drug
release at relatively low temperatures (50 degrees C). Polyhedron-shaped bi
magnetic nanoparticles (Zn0.4Co0.6Fe2O4@Zn0.4Mn0.6Fe2O4) exhibit a significant
increase of the specific energy absorption rate up to 455W/g compared with the
core nanoparticles (200W/g). Real-time florescence spectroscopy studies
demonstrate rapid release of doxorubicin up to 50% in 5min and up to 92% after
15min upon exposure to high frequency external alternating magnetic field. The
stability is evaluated for 8 weeks in phosphate buffer saline with a doxorubicin
payload of 85%. In vitro studies using standard MTT cell assays with HeLa and Hep
G2 lines prove an excellent biocompatibility with about 90% of cell viability
after 24h of treatment within the highest concentration of functionalized
magnetic nanoparticles (200MUg/mL). The results indicate a controlled drug
release mediated by thermo-responsive switching under applied alternating
magnetic field.
PMID- 27865906
TI - Editorial Comment.
PMID- 27865904
TI - Surprises of electron microscopic imaging of proteins and polymers covering gold
nanoparticles layer by layer.
AB - Gold nanoparticles (GNPs) are used in complicated nanoconstructions, and their
preparation implies careful analysis of the intermediate and resulting products,
including visualisation of the NPs. Visualisation of protein and/or organic
polymer covers on GNPs using electron microscopy (EM) was a goal of this study.
We covered GNPs with human serum albumin or PEG, and then added a second layer of
branched or linear polyethyleneimine. EM studies were supplemented with dynamic
light scattering, spectrophotometry and gel electrophoresis, which confirmed the
presence and integrity of a cover on GNPs in mixtures with uranylacetate (UA) or
phosphotungstic acid (PTA). Covered GNPs were contrasted 'on a drop' or in
suspension with UA (pH 4.5) or PTA (pH 0.5, 3.0, 5.0 and 7.0), and studied by
transmission EM. A cover on GNPs becomes visible as the result of direct
interaction of UA or PTA with the components of a layer. The same NPs could look
'naked' or demonstrate a distinct cover of average electron density. The most
distinct images of the layers were obtained using PTA at pH 0.5. Thus,
visualisation of protein and/or polymeric layers covering the GNPs by EM depends
on the type of contrasting reagent and contrasting conditions, but does not
depend on surface charge of the NPs and the chemical nature of a cover.
PMID- 27865907
TI - Differential regulation of glial reactions in the central facial tract and the
facial nucleus after facial neurorrhaphy.
AB - We previously reported that perineuronal astrocytic and microglial reactions are
drastically upregulated in the facial nucleus after facial axotomy at the brain
stem surface or the stylomastoid foramen. Furthermore, periaxonal astrocytic and
microglial reactions develop retrogradely in the central facial tract which
contains proximal facial axons in the brain stem. Because reconnection of
interrupted peripheral nerve by microsurgical suture is a common clinical
practice, the aim of this study was to investigate the spatiotemporal patterns of
glial reactions in the central facial tract and the facial nucleus after facial
neurorrhaphy. Here, we show immunofluorescent and immunohistochemical evidence
that facial neurorrhaphy at the stylomastoid foramen largely prevented axotomy
induced astrocytic and microglial activation in the central facial tract. In
contrast, glial reactions in the facial nucleus were still highly elevated after
facial neurorrhaphy. Microglial and astrocytic processes were observed to
ensheath the facial motoneurons in the facial nucleus. Nevertheless, the
transformation of ramified to amoeboid shape of microglia, occurring at 10 weeks
after facial axotomy, was not seen after neurorrhaphy. We further examined the
effect of N-nitro-l-arginine methyl ester (L-NAME), an inhibitor of nitric oxide
synthase (NOS), on glial reactions after neurorrhaphy. Western blot analyses
demonstrate that inhibition of nitric oxide (NO) production significantly reduced
microglial but not astrocytic reaction in the facial nucleus after neurorrhaphy.
Taken together, these results indicate that in contrast to the intense glial
reactions in both the central facial tract and the facial nucleus after facial
axotomy, glial reactions are differentially regulated in these two compartments
after facial neurorrhaphy. NO is involved in the activation of microglia in the
facial nucleus after facial neurorrhaphy.
PMID- 27865908
TI - Oleic acid promotes the expression of neural markers in differentiated human
endometrial stem cells.
AB - Variety of neurodegenerative diseases in humans are caused by loss of cells along
with loss of function and disability. Cell replacement therapy is a potential
strategy to cure neurodegenerative diseases. Mesenchymal stem cells are
pluripotent non-hematopoietic cells that can be isolated from numerous tissues.
Human endometrial-derived stem cell (hEnSC) are the abundant and easy available
source with no immunological response, for cell replacement therapy. In the
nervous system, where fatty acids are found in huge amounts, they participate in
its development and maintenance throughout life. Oleic acid is a kind of the
saturated fatty acids which plays crucial role in brain development. Oleic acid
released by astrocytes is used by neurons for the synthesis of phospholipids and
is specifically incorporated into growth cones. Human endometrial-derived stem
cells in the third passage were divided into 3 groups including: control, sham
(cultured in full differentiation medium without oleic acid) and experimental
group (cultured in full differentiation medium with oleic acid) to differentiate
over a 18-day period. Data from Real-Time PCR showed that mRNA levels of NF and
beta-TUBULIN were increased significantly (p<0.05) in oleic acid treated cells in
comparison to control and sham groups. Immunocytochemistry analysis of Chat and
NF expression also showed the same results. The present study clearly
demonstrates that oleic acid promotes neural differentiation of hEnSC through
regulation of gene expression.
PMID- 27865909
TI - Experimental evidence concerning the significant information depth of electron
backscatter diffraction (EBSD).
AB - Experiments concerning the information depth of electron backscatter diffraction
(EBSD) are performed on samples featuring an amorphous wedge on a crystalline
substrate and a crystalline wedge on an amorphous substrate. The effects of the
acceleration voltage and exemplary software settings on the ability to measure
through an amorphous layer are presented. Changes in the EBSD-signal could be
detected through a ~142nm thick layer of amorphous Si while orientation
measurements could be performed through a ~116nm thick layer when using a voltage
of 30kV. The complexity of the information depth significant to a given EBSD
pattern and the multiple parameters influencing it are discussed. It is suggested
that a "core information depth" is significant to high quality patterns while a
larger "maximum information depth" becomes relevant when the pattern quality
decreases or the sample is inhomogeneous within the information volume, i.e. in
the form of partially crystalline materials or crystal layers in the nm scale.
PMID- 27865910
TI - Multi-target-directed therapeutic potential of 7-methoxytacrine-adamantylamine
heterodimers in the Alzheimer's disease treatment.
AB - Alzheimer's disease (AD) is a progressive neurodegenerative disorder and
currently there is no efficient treatment. The classic drug-design strategy based
on the "one-molecule-one-target" paradigm was found to be ineffective in the case
of multifactorial diseases like AD. A novel multi-target-directed ligand strategy
based on the assumption that a single compound consisting of two or more distinct
pharmacophores is able to hit multiple targets has been proposed as promising.
Herein, we investigated 7-methoxytacrine - memantine heterodimers developed with
respect to the multi-target-directed ligand theory. The spectroscopic,
microscopic and cell culture methods were used for systematic investigation of
the interference of the heterodimers with beta-secretase (BACE1) activity, Abeta
peptide amyloid fibrillization (amyloid theory) and interaction with M1 subtype
of muscarinic (mAChRs), nicotinic (nAChRs) acetylcholine receptors (cholinergic
theory) and N-methyl-d-aspartate receptors (NMDA) (glutamatergic theory). The
drug-like properties of selected compounds have been evaluated from the point of
view of blood-brain barrier penetration and cell proliferation. We have confirmed
the multipotent effect of novel series of compounds. They inhibited effectively
Abeta peptide amyloid fibrillization and affected the BACE1 activity. Moreover,
they have AChE inhibitory potency but they could not potentiate cholinergic
transmission via direct interaction with cholinergic receptors. All compounds
were reported to act as an antagonist of both M1 muscarinic and muscle-type
nicotinic receptors. We have found that 7-methoxytacrine - memantine heterodimers
are able to hit multiple targets associated with Alzheimer's disease and thus,
have a potential clinical impact for slowing or blocking the neurodegenerative
process related to this disease.
PMID- 27865911
TI - Allogeneic Hematopoietic Cell Transplantation Is Safe and Effective Treatment in
Patients with Myelodysplastic Syndromes Seventy Years and Older.
PMID- 27865912
TI - The Value of Minimal Residual Disease (and Diamonds).
PMID- 27865913
TI - TiO2/UV based photocatalytic pretreatment of wheat straw for biogas production.
AB - The present study deals with the application of an advanced oxidation process
combining UV irradiation in the presence of the photocatalyst titanium dioxide
(TiO2), as an effective pretreatment method of wheat straw as means for
increasing its biodegradability for increased biogas production by anaerobic
digestion (AD). Especially attention was paid in oxidation of the lignin in
straw, besides release the sugars from the lignocellulosic structure of straw.
Specifically, four different TiO2 concentrations (0.0, 0.5, 1.0, 1.5, and 2.0%
(w/w) TiO2) were tested at three different irradiation times (0, 1, 2, and 3 h).
Products of lignin-fraction oxidation, namely, vanillic acid, ferullic acid and
acetic acid were quantified for each set of pretreatment conditions.
Subsequently, biochemical methane potentials (BMPs) assays were conducted under
thermophilic conditions from differentially pretreated samples and the
pretreatment with the best performance was further tested in continuous mode
operation. From BMP assays, 1.5% (w/w) TiO2/straw at 3 h of UV light exposure
pretreatment resulted in 37% (p < 0.05) increase in methane yield and 25% in
CSTRs. It was concluded that the presence of TiO2 and the products of lignin
oxidation did not inhibit the AD process. Finally, a simplified energy assessment
showed that all pretreatment conditions become feasible when amounts of substrate
to be treated are greater than the threshold value of 1.15 g.
PMID- 27865914
TI - Analgesia following adenotonsillar surgery in children: is Oramorph required in
addition to paracetamol and ibuprofen?
AB - BACKGROUND: Recent advice against codeine use in children prompted our unit to
prescribe Oramorph as required, in addition to regular paracetamol and ibuprofen,
as post-(adeno)tonsillectomy analgesia. This study investigated whether Oramorph
was in fact required. METHODS: Following (adeno)tonsillectomy, parents were
telephoned and asked whether they used Oramorph. RESULTS: Of 56 children studied,
41 (73.2%) were given Oramorph. In the 15 (26.8%) that were not, this was because
parents felt it was not required in 14 children, and in 1 case, it was due to
worries about side effects. Overall, 14 carers (25.0%) expressed concerns about
Oramorph use, mostly over possible side effects. CONCLUSION: When regular
paracetamol and ibuprofen are used post-(adeno)tonsillectomy, nearly three
quarters of children require Oramorph as well. Prescribing Oramorph as required,
in addition to regular paracetamol and ibuprofen, is appropriate following
adenotonsillar surgery.
PMID- 27865915
TI - Functional promoter polymorphisms direct the expression of cystathionine gamma
lyase gene in mouse models of essential hypertension.
AB - Despite the well-known role of cystathionine gamma-lyase (Cth) in cardiovascular
pathophysiology, transcriptional regulation of Cth remains incompletely
understood. Sequencing of the Cth promoter region in mouse models of
genetic/essential hypertension (viz. Blood Pressure High [BPH], Blood Pressure
Low [BPL] and Blood Pressure Normal [BPN] mice) identified several genetic
variations. Transient transfections of BPH/BPL-Cth promoter-reporter plasmids
into various cell types revealed higher promoter activity of BPL-Cth than that of
BPH-Cth. Corroboratively, endogenous Cth mRNA levels in kidney and liver tissues
were also elevated in BPL mice. Computational analysis of the polymorphic Cth
promoter region predicted differential binding affinity of c-Rel, HOXA3 and IRF1
with BPL/BPH-Cth promoter domains. Over-expression of c-Rel/HOXA3/IRF1 modulated
BPL/BPH-Cth promoter activities in a consistent manner. Gel shift assays using
BPH/BPL-Cth-promoter oligonucleotides with/without binding sites for c
Rel/HOXA3/IRF1 displayed formation of specific complexes with c-Rel/HOXA3/IRF1;
addition of antibodies to reaction mixtures resulted in supershifts/inhibition of
Cth promoter-transcription factor complexes. Furthermore, chromatin
immunoprecipitation (ChIP) assays proved differential binding of c-Rel, HOXA3 and
IRF1 with the polymorphic promoter region of BPL/BPH-Cth. Tumor necrosis factor
alpha (TNF-alpha) reduced the activities of BPL/BPH-Cth promoters to different
extents that were further declined by ectopic expression of IRF1; on the other
hand, siRNA-mediated down-regulation of IRF1 rescued the TNF-alpha-mediated
suppression of the BPL/BPH-Cth promoter activities. In corroboration, ChIP
analysis revealed enhanced binding of IRF1 with BPH/BPL-Cth promoter following
TNF-alpha treatment. BPL/BPH-Cth promoter activity was diminished upon exposure
of hepatocytes and cardiomyoblasts to ischemia-like pathological condition due to
reduced binding of c-Rel with BPL/BPH-Cth-promoter. Taken together, this study
reveals the molecular basis for the differential expression of Cth in mouse
models of essential hypertension under basal and pathophysiological conditions.
PMID- 27865917
TI - The co-expression of GluN2B subunits of the NMDA receptors and glucocorticoid
receptors after chronic restraint stress in low and high anxiety rats.
AB - The aim of this study was to assess the mechanisms underlying behavioural
differences between high- (HR) and low- (LR) anxiety rats, selected according to
their behaviour in the contextual fear test (i.e., the duration of the freezing
response was used as a discriminating variable), after a chronic restraint
procedure (21days, 3h daily). We analysed the expression of the GluN2B subunits
of the NMDA and glucocorticoid receptors (GRs) in selected brain structures
(immunofluorescence). Following chronic restraint stress in the HR rats, we
observed a decrease in the expression of the GRs and GluN2B subunits of the NMDA
receptor in the prefrontal cortical areas and the hippocampus compared to the HR
control and the LR-restraint groups. These effects coincided with an increase in
passive depressive-like behaviour in the Porsolt test of the HR rats. Moreover,
in the hippocampus, the HR-restraint animals demonstrated decreased glutamate
levels and a decreased glutamate/glutamine ratio compared to the LR-restraint
rats. Furthermore, the HR-restraint group had increased GRs/GluN2B subunits
colocalisation in the basolateral amygdala (BLA) compared to the HR-control and
the LR-restraint rats. The present results suggest that in HR rats exposed to
chronic restraint stress, the hippocampal and cortical glutamatergic system
components are changed. These effects could have a negative influence on the
feedback mechanisms regulating the hypothalamic-pituitary-adrenal axis as well as
on the behavioural processes expressed as depressive-like symptoms.
PMID- 27865916
TI - Neutrophil gelatinase-associated lipocalin and microglial activity are associated
with distinct postoperative behavioral changes in rats.
AB - Neutrophil gelatinase-associated lipocalin (NGAL) has recently gained interest as
a marker for neuroinflammation and associated behavioral dysfunction. We aimed to
explore the link between NGAL and behavior in a rat model of postoperative
cognitive dysfunction (POCD). Material collected in two previous studies on POCD
was analyzed and associated with outcomes for exploratory behavior and spatial
learning. Plasma and hippocampal NGAL and microglial activity were analyzed.
Pearson's correlations and backward linear regression were performed to study the
associations between behavioral parameters, NGAL concentrations, and microglial
activity. Plasma and hippocampal NGAL were increased following surgery. Plasma
NGAL was associated with impaired spatial learning only, microglial activity was
associated with exploratory behavior only, while hippocampal NGAL was associated
with both behavioral aspects. Spatial learning was best predicted by a model
containing plasma NGAL concentrations and hippocampal microglial activity. NGAL
may serve as a sensitive marker in connecting the peripheral inflammatory state
to POCD, while postoperative changes in exploratory behavior are better reflected
by hippocampal neuroinflammation. These findings warrant further exploration in
the role of NGAL in development of postoperative behavioral deficits.
PMID- 27865918
TI - Enhanced cued fear memory following post-training whole body irradiation of 3
month-old mice.
AB - Typically, in studies designed to assess effects of irradiation on cognitive
performance the animals are trained and tested for cognitive function following
irradiation. Little is known about post-training effects of irradiation on
cognitive performance. In the current study, 3-month-old male mice were
irradiated with X-rays 24h following training in a fear conditioning paradigm and
cognitively tested starting two weeks later. Average motion during the extinction
trials, measures of anxiety in the elevated zero maze, and body weight changes
over the course of the study were assessed as well. Exposure to whole body
irradiation 24h following training in a fear conditioning resulted in greater
freezing levels 2 weeks after training. In addition, motion during both
contextual and cued extinction trials was lower in irradiated than sham
irradiated mice. In mice trained for cued fear conditioning, activity levels in
the elevated zero maze 12days after sham-irradiation or irradiation were also
lower in irradiated than sham-irradiated mice. Finally, the trajectory of body
weight changes was affected by irradiation, with lower body weights in irradiated
than sham-irradiated mice, with the most profound effect 7days after training.
These effects were associated with reduced c-Myc protein levels in the amygdala
of the irradiated mice. These data indicate that whole body X ray irradiation of
mice at 3 months of age causes persistent alterations in the fear response and
activity levels in a novel environment, while the effects on body weight seem
more transient.
PMID- 27865921
TI - Community detection in weighted brain connectivity networks beyond the resolution
limit.
AB - Graph theory provides a powerful framework to investigate brain functional
connectivity networks and their modular organization. However, most graph-based
methods suffer from a fundamental resolution limit that may have affected
previous studies and prevented detection of modules, or "communities", that are
smaller than a specific scale. Surprise, a resolution-limit-free function rooted
in discrete probability theory, has been recently introduced and applied to brain
networks, revealing a wide size-distribution of functional modules (Nicolini and
Bifone, 2016), in contrast with many previous reports. However, the use of
Surprise is limited to binary networks, while brain networks are intrinsically
weighted, reflecting a continuous distribution of connectivity strengths between
different brain regions. Here, we propose Asymptotical Surprise, a continuous
version of Surprise, for the study of weighted brain connectivity networks, and
validate this approach in synthetic networks endowed with a ground-truth modular
structure. We compare Asymptotical Surprise with leading community detection
methods currently in use and show its superior sensitivity in the detection of
small modules even in the presence of noise and intersubject variability such as
those observed in fMRI data. We apply our novel approach to functional
connectivity networks from resting state fMRI experiments, and demonstrate a
heterogeneous modular organization, with a wide distribution of clusters spanning
multiple scales. Finally, we discuss the implications of these findings for the
identification of connector hubs, the brain regions responsible for the
integration of the different network elements, showing that the improved
resolution afforded by Asymptotical Surprise leads to a different classification
compared to current methods.
PMID- 27865920
TI - Temporally correlated fluctuations drive epileptiform dynamics.
AB - Macroscopic models of brain networks typically incorporate assumptions regarding
the characteristics of afferent noise, which is used to represent input from
distal brain regions or ongoing fluctuations in non-modelled parts of the brain.
Such inputs are often modelled by Gaussian white noise which has a flat power
spectrum. In contrast, macroscopic fluctuations in the brain typically follow a
1/fb spectrum. It is therefore important to understand the effect on brain
dynamics of deviations from the assumption of white noise. In particular, we wish
to understand the role that noise might play in eliciting aberrant rhythms in the
epileptic brain. To address this question we study the response of a neural mass
model to driving by stochastic, temporally correlated input. We characterise the
model in terms of whether it generates "healthy" or "epileptiform" dynamics and
observe which of these dynamics predominate under different choices of temporal
correlation and amplitude of an Ornstein-Uhlenbeck process. We find that certain
temporal correlations are prone to eliciting epileptiform dynamics, and that
these correlations produce noise with maximal power in the delta and theta bands.
Crucially, these are rhythms that are found to be enhanced prior to seizures in
humans and animal models of epilepsy. In order to understand why these rhythms
can generate epileptiform dynamics, we analyse the response of the model to
sinusoidal driving and explain how the bifurcation structure of the model gives
rise to these findings. Our results provide insight into how ongoing fluctuations
in brain dynamics can facilitate the onset and propagation of epileptiform
rhythms in brain networks. Furthermore, we highlight the need to combine large
scale models with noise of a variety of different types in order to understand
brain (dys-)function.
PMID- 27865922
TI - Low-frequency cortical oscillations are modulated by temporal prediction and
temporal error coding.
AB - Monitoring and updating temporal predictions are critical abilities for adaptive
behavior. Here, we investigated whether neural oscillations are related to
violation and updating of temporal predictions. Human participants performed an
experiment in which they had to generate a target at an expected time point, by
pressing a button while taking into account a variable delay between the act and
the stimulus occurrence. Our behavioral results showed that participants quickly
adapted their temporal predictions in face of an error. Concurrent
electrophysiological (EEG) data showed that temporal errors elicited markers that
are classically related to error coding. Furthermore, intertrial phase coherence
of frontal theta oscillations was modulated by error magnitude, possibly indexing
the degree of surprise. Finally, we found that delta phase at stimulus onset was
correlated with future behavioral adjustments. Together, our findings suggest
that low frequency oscillations play a key role in monitoring and in updating
temporal predictions.
PMID- 27865923
TI - Deriving reproducible biomarkers from multi-site resting-state data: An Autism
based example.
AB - Resting-state functional Magnetic Resonance Imaging (R-fMRI) holds the promise to
reveal functional biomarkers of neuropsychiatric disorders. However, extracting
such biomarkers is challenging for complex multi-faceted neuropathologies, such
as autism spectrum disorders. Large multi-site datasets increase sample sizes to
compensate for this complexity, at the cost of uncontrolled heterogeneity. This
heterogeneity raises new challenges, akin to those face in realistic diagnostic
applications. Here, we demonstrate the feasibility of inter-site classification
of neuropsychiatric status, with an application to the Autism Brain Imaging Data
Exchange (ABIDE) database, a large (N=871) multi-site autism dataset. For this
purpose, we investigate pipelines that extract the most predictive biomarkers
from the data. These R-fMRI pipelines build participant-specific connectomes from
functionally-defined brain areas. Connectomes are then compared across
participants to learn patterns of connectivity that differentiate typical
controls from individuals with autism. We predict this neuropsychiatric status
for participants from the same acquisition sites or different, unseen, ones. Good
choices of methods for the various steps of the pipeline lead to 67% prediction
accuracy on the full ABIDE data, which is significantly better than previously
reported results. We perform extensive validation on multiple subsets of the data
defined by different inclusion criteria. These enables detailed analysis of the
factors contributing to successful connectome-based prediction. First, prediction
accuracy improves as we include more subjects, up to the maximum amount of
subjects available. Second, the definition of functional brain areas is of
paramount importance for biomarker discovery: brain areas extracted from large R
fMRI datasets outperform reference atlases in the classification tasks.
PMID- 27865919
TI - Differential stress induced c-Fos expression and identification of region
specific miRNA-mRNA networks in the dorsal raphe and amygdala of high
responder/low-responder rats.
AB - Chronic stress triggers a variety of physical and mental health problems, and how
individuals cope with stress influences risk for emotional disorders. To
investigate molecular mechanisms underlying distinct stress coping styles, we
utilized rats that were selectively-bred for differences in emotionality and
stress reactivity. We show that high novelty responding (HR) rats readily bury a
shock probe in the defensive burying test, a measure of proactive stress coping
behavior, while low novelty responding (LR) rats exhibit enhanced immobility, a
measure of reactive coping. Shock exposure in the defensive burying test elicited
greater activation of HR rats' caudal dorsal raphe serotonergic cells compared to
LRs, but lead to more pronounced activation throughout LRs' amygdala (lateral,
basolateral, central, and basomedial nuclei) compared to HRs. RNA-sequencing
revealed 271 mRNA transcripts and 33 microRNA species that were differentially
expressed in HR/LR raphe and amygdala. We mapped potential microRNA-mRNA networks
by correlating and clustering mRNA and microRNA expression and identified
networks that differed in either the HR/LR dorsal raphe or amygdala. A dorsal
raphe network linked three microRNAs which were down-regulated in LRs (miR-206
3p, miR-3559-5p, and miR-378a-3p) to repression of genes related to microglia and
immune response (Cd74, Cyth4, Nckap1l, and Rac2), the genes themselves were up
regulated in LR dorsal raphe. In the amygdala, another network linked miR-124-5p,
miR-146a-5p, miR-3068-3p, miR-380-5p, miR-539-3p, and miR-7a-1-3p with repression
of chromatin remodeling-related genes (Cenpk, Cenpq, Itgb3bp, and Mis18a).
Overall this work highlights potential drivers of gene-networks and downstream
molecular pathways within the raphe and amygdala that contribute to individual
differences in stress coping styles and stress vulnerabilities.
PMID- 27865924
TI - Effects of a coordinated farmland bird conservation project on farmers'
intentions to implement nature conservation practices - Evidence from the Swedish
Volunteer & Farmer Alliance.
AB - To increase the efficacy of agri-environmental schemes (AES), as well as farmers'
environmental engagement, practitioners are increasingly turning to collective
forms of agri-environmental management. As yet, empirical evidence from such
approaches is relatively scarce. Here, we examined a farmland bird conservation
project coordinated by BirdLife Sweden, the Swedish Volunteer & Farmer Alliance
(SVFA). The key features of the SVFA were farmland bird inventories from
volunteering birdwatchers and on-farm visits to individual farmers from
conservation advisors for guidance on AES as well as unsubsidised practices.
Using an ex-post application of the theory of planned behaviour across project
participants and a randomly sampled control group of farmers we assessed how SVFA
affected behavioural intentions relating to AES and unsubsidised conservation,
and how the behaviour was affected by attitudes, perceived social norms and
perceived behavioural control. We also included a measure of self-identity as a
conservationist to assess its importance for behavioural intentions, and if SVFA
stimulated this self-identity. SVFA farmers reported greater commitment to
implementing AES and unsubsidised conservation, as compared to the control group.
However, greater commitment was associated with more positive attitudes for
unsubsidised conservation only and not for AES, underlining the inability of
existing AES to prompt intrinsic motivation. There were also differences between
farmers within SVFA, where farmers applying to the project were motivated by
social influences, while farmers recruited by project managers were motivated by
their personal beliefs regarding nature conservation. Finally, farmers' self
perceived ability to perform practices (i.e. perceived behavioural control) was
important for their commitment to implementing AES as well as unsubsidised
practices. Therefore, increasing farmers' awareness regarding the availability
and, not least, practicability of available conservation options may be the key
to successful biodiversity conservation in agricultural systems.
PMID- 27865925
TI - Orai1 and Orai2 mediate store-operated calcium entry that regulates HL60 cell
migration and FAK phosphorylation.
AB - Store-operated Ca2+ entry (SOCE) is a major mechanism for the regulation of
intracellular Ca2+ homeostasis and cellular function. Emerging evidence has
revealed that altered expression and function of the molecular determinants of
SOCE play a critical role in the development or maintenance of several cancer
hallmarks, including enhanced proliferation and migration. Here we show that, in
the acute myeloid leukemia cell line HL60, Orai2 is highly expressed at the
transcript level, followed by the expression of Orai1. Using fluorescence Ca2+
imaging we found that Orai2 silencing significantly attenuated thapsigargin
induced SOCE, as well as knockdown of Orai1, while silencing the expression of
both channels almost completely reduced SOCE, thus suggesting that SOCE in these
cells is strongly dependent on Orai1 and Orai2. On the other hand, the expression
of TRPC1, TRPC3 and TRPC6 is almost absent at the transcript and protein level.
Bromodeoxyuridine cell proliferation assay revealed that Orai1 and Orai2
expression silencing significantly reduced HL60 cell proliferation. Furthermore,
knockdown of Orai1 and Orai2 significantly attenuated the ability of HL60 to
migrate in vitro as determined by transwell migration assay, probably due to the
impairment of FAK tyrosine phosphorylation. These findings provide evidence for a
role for Orai1 and Orai2, in SOCE and migration in the human HL60 promyeloblastic
cell line. This article is part of a Special Issue entitled: ECS Meeting edited
by Claus Heizmann, Joachim Krebs and Jacques Haiech.
PMID- 27865926
TI - Emerin suppresses Notch signaling by restricting the Notch intracellular domain
to the nuclear membrane.
AB - Emerin is an inner nuclear membrane protein that is involved in maintaining the
mechanical integrity of the nuclear membrane. Increasing evidence supports the
involvement of emerin in the regulation of gene expression; however, its precise
function remains to be elucidated. Here, we show that emerin downregulated genes
downstream of Notch signaling, which are activated exclusively by the Notch
intracellular domain (NICD). Deletion mutant experiments revealed that the
transmembrane domain of emerin is important for the inhibition of Notch
signaling. Emerin interacted directly and colocalized with the NICD at the
nuclear membrane. Emerin knockdown induced the phosphorylation of ERK and AKT,
increased endogenous Notch signaling, and inhibited hydrogen peroxide-induced
apoptosis in HeLa cells. Notably, the downregulation of barrier-to
autointegration factor (BAF) or lamin A/C increased Notch signaling by inducing
the release of emerin into the cytosol, implying that nuclear membrane-bound
emerin acts as an endogenous inhibitor of Notch signaling. Taken together, our
results indicate that emerin negatively regulates Notch signaling by promoting
the retention of the NICD at the nuclear membrane. This mechanism could
constitute a new therapeutic target for the treatment of emerin-related diseases.
PMID- 27865927
TI - Structural perturbations induced by Asn131 and Asn171 glycosylation converge
within the EFSAM core and enhance stromal interaction molecule-1 mediated store
operated calcium entry.
AB - A major intracellular calcium (Ca2+) uptake pathway in both excitable and non
excitable eukaryotic cells is store-operated Ca2+ entry (SOCE). SOCE is the
process by which endoplasmic reticulum (ER)-stored Ca2+ depletion leads to
activation of plasma membrane Ca2+ channels to provide a sustained increase in
cytosolic Ca2+ levels that mediate a plethora of physiological processes ranging
from the immune response to platelet aggregation. Stromal interaction molecule-1
(STIM1) is the principal regulator of SOCE and responds to changes in ER stored
Ca2+ through luminal sensing machinery composed of EF-hand and SAM domains
(EFSAM). The EFSAM domain can undergo N-glycosylation at Asn131 and Asn171 sites;
however, the precise role of EFSAM N-glycosylation in the Ca2+ sensing mechanism
of STIM1 is unclear. By establishing a site-specific chemical approach to
covalently linking glucose to EFSAM and examining alpha-helicity, thermal
stability, three dimensional atomic-resolution structure, Ca2+ binding affinity
and oligomerization, we show that N-glycosylation of the EFSAM domain enhances
the properties that promote STIM1 activation. This augmentation occurs through
changes in structure localized near the Asn131 and Asn171 sites that together
permeate through the protein core and lead to decreased Ca2+ binding affinity,
reduced stability and enhanced oligomerization. Congruently, Ca2+ influx via SOCE
in HEK293 cells co-expressing Orai1 and STIM1 was diminished when N-glycosylation
was blocked by introducing Asn131Gln and Asn171Gln mutations. Collectively, our
data suggests that N-glycosylation enhances the EFSAM destabilization-coupled
oligomerization in response to ER Ca2+ depletion thereby augmenting the role of
STIM1 as a robust ON/OFF regulator of SOCE. This article is part of a Special
Issue entitled: ECS Meeting edited by Claus Heizmann, Joachim Krebs and Jacques
Haiech.
PMID- 27865929
TI - G2/M cell cycle arrest on HT-29 cancer cells and toxicity assessment of
triphenylphosphanegold(I) carbonimidothioates, Ph3PAu[SC(OR)=NPh], R=Me, Et, and
iPr, during zebrafish development.
AB - Phosphanegold(I) thiolates, Ph3PAu[SC(OR)=NPh], R=Me (1), Et (2) and iPr (3),
were previously shown to be significantly cytotoxic toward HT-29 cancer cells and
to induce cell death by both intrinsic and extrinsic apoptotic pathways whereby 1
activated the p73 gene, and each of 2 and 3 activated p53; 2 also caused
apoptotic cell death via the c-Jun N-terminal kinase/mitogen-activated protein
kinase pathway. Apoptosis pathways have been further evaluated by mitochondrial
cytochrome c measurements and annexin V screening, confirming apoptotic pathways
of cell death. Cell cycle analysis showed the majority of treated HT-29 cells
were arrested at the G2/M checkpoint after 24h; results of both assays were
confirmed by changes in populations of relevant genes (PCR array analysis). Cell
invasion studies showed inhibition of metastasis through MatrigelTM matrix to 17
22% cf. untreated cells. LC50 values were determined in zebrafish (8.36, 8.17,
and 7.64MUM for 1-3). Finally, the zebrafish tolerated doses of 1 and 2 up to
0.625MUM, and 3 was tolerated at even higher doses of up to 1.25MUM.
PMID- 27865928
TI - The SWI2/SNF2 Chromatin-Remodeling ATPase BRAHMA Regulates Chlorophyll
Biosynthesis in Arabidopsis.
AB - Chlorophyll biosynthesis is critical for chloroplast development and
photosynthesis in plants. Although reactions in the chlorophyll biosynthetic
pathway have been largely known, little is known about the regulatory mechanisms
of this pathway. In this study, we found that the dark-grown knockout and
knockdown mutants as well as RNA-interference transgenic seedlings of BRAHMA
(BRM), which encodes an SWI2/SNF2 chromatin-remodeling ATPase, had higher
greening rates, accumulated less protochlorophyllide, and produced less reactive
oxygen species than Arabidopsis wild-type plants did upon light exposure. The
expression of NADPH:protochlorophyllide oxidoreductase A (PORA), PORB, and PORC,
which catalyze a key step in chlorophyll biosynthesis, was increased in the brm
mutants. We found that BRM physically interacted with the bHLH transcription
factor PHYTOCHROME-INTERACTING FACTOR 1 (PIF1) through its N-terminal domains.
Furthermore, we demonstrated that BRM was directly recruited to the cis
regulatory regions of PORC, but not of PORA and PORB, at least partially in a
PIF1-dependent manner and the level of histone H3 lysine 4 tri-methylation
(H3K4me3) at PORC loci was increased in the brm mutant. Taken together, our data
indicate that the chromatin-remodeling enzyme BRM modulates PORC expression
through interacting with PIF1, providing a novel regulatory mechanism by which
plants fine-tune chlorophyll biosynthesis during the transition from
heterotrophic to autotrophic growth.
PMID- 27865930
TI - Evaluation of different in vitro dissolution tests based on level A in vitro-in
vivo correlations for fenofibrate self-emulsifying lipid-based formulations.
PMID- 27865931
TI - Multifunctional adhesive polymers: Preactivated thiolated chitosan-EDTA
conjugates.
AB - AIM: The aim of this study was to synthesis preactivated thiolated chitosan-EDTA
(Ch-EDTA-cys-2MNA) conjugates exhibiting in particular high mucoadhesive,
cohesive and chelating properties. METHODS: Thiol groups were coupled with
chitosan by carbodiimide reaction and further preactivated by attachment with 2
mercaptonicotinic acid (2MNA) via disulfide bond formation. Determinations of
primary amino and sulfhydryl groups were performed by TNBS and Ellman's tests,
respectively. Cytotoxicity was screened by resazurin assay in Caco-2 cells.
Mucoadhesive properties and bivalent cation binding capacity with Mg2+ and Ca2+
in comparison to chitosan-EDTA (Ch-EDTA) and thiolated Ch-EDTA (Ch-EDTA-cys) were
evaluated. RESULTS: Determination of 2MNA and total sulfhydryl groups indicated
that 80% of thiol groups were preactivated. The results from cytotoxicity studies
demonstrated that Ch-EDTA-cys and Ch-EDTA-cys-2MNA were not toxic to the cells at
the polymer test concentration of 0.25% (w/v) while cell viability decreased by
increasing the concentration of Ch-EDTA. Although EDTA molecule was modified by
thiolation and preactivation, approximately 50% of chelating properties of the
conjugates were maintained compared to Ch-EDTA. Ch-EDTA-cys-2MNA adhered on
freshly excised porcine intestinal mucosa up to 6h while Ch-EDTA adhered for just
1h. CONCLUSION: According to the combination of mucoadhesive and chelating
properties of the conjugates synthesized in this study, Ch-EDTA-cys-2MNA might be
useful for various mucosal drug delivery systems.
PMID- 27865934
TI - Prediction of intraocular antibody drug stability using ex-vivo ocular model.
AB - Following intravitreal (IVT) injection, therapeutic proteins get exposed to
physiological pH, temperature and components in the vitreous humor (VH) for a
significantly long time. Therefore, it is of interest to study the stability of
the proteins in the VH. However, the challenge posed by the isolated VH (such as
pH shift upon isolation and incubation due to the formation of smaller molecular
weight (MW) degradation products) can result in artefacts when investigating
protein stability in relevance for the actual in vivo situation. In this current
study, an ex-vivo intravitreal horizontal stability model (ExVit-HS) has been
successfully developed and an assessment of long-term stability of a bi-specific
monoclonal antibody (mAb) drug in the isolated VH for 3months at physiological
conditions has been conducted. The stability assessment was performed using
various analytical techniques such as microscopy, UV visible for protein content,
target binding ELISA, Differential Scanning Calorimetry (DSC), Capillary
electrophoresis-SDS, Size Exclusion (SEC) and Ion-exchange chromatography (IEC)
and SPR-Biacore. The results show that the ExVit-HS model was successful in
maintaining the VH at physiological conditions and retained a majority of protein
in the VH-compartment throughout the study period. The mAb exhibited
significantly less fragmentation in the VH relative to the PBS control; however,
chemical stability of the mAb was equally compromised in VH and PBS.
Interestingly, in the PBS control, mAb showed a rapid linear loss in the binding
affinity. The loss in binding was almost 20% higher compared to that in VH after
3months. The results clearly suggest that the mAb has different degradation
kinetics in the VH compared to PBS. These results suggest that it is beneficial
to investigate the stability in the VH for drugs intended for IVT injection and
that are expected longer residence times in the VH. The studies show that the
ExVit-HS model may become a valuable tool for evaluating stability of protein
drugs and other molecules following IVT injection.
PMID- 27865933
TI - Mechanistic analysis of triamcinolone acetonide release from PLGA microspheres as
a function of varying in vitro release conditions.
AB - In vitro tests for controlled release PLGA microspheres in their current state
often do not accurately predict in vivo performance of these products during
formulation development. Here, we introduce a new mechanistic and multi-phase
approach to more clearly understand in vitro-in vivo relationships, and describe
the first "in vitro phase" with the model drug, triamcinolone acetonide (Tr-A).
Two microsphere formulations encapsulating Tr-A were prepared from PLGAs of
different molecular weights and end-capping (18kDa acid-capped and 54kDa ester
capped). In vitro release kinetics and the evidence for controlling mechanisms
(i.e., erosion, diffusion, and water-mediated processes) were studied in four
release media: PBST pH 7.4 (standard condition), PBST pH 6.5, PBS+1.0% triethyl
citrate (TC), and HBST pH 7.4. The release mechanism in PBST was primarily
polymer erosion-controlled as indicated by the similarity of release and mass
loss kinetics. Release from the low MW PLGA was accelerated at low pH due to
increased rate of hydrolysis and in the presence of the plasticizer TC due to
slightly increased hydrolysis and much higher diffusion in the polymer matrix. TC
also increased release from the high MW PLGA due to increased hydrolysis,
erosion, and diffusion. This work demonstrates how in vitro conditions can be
manipulated to change not only rates of drug release from PLGA microspheres but
also the mechanism(s) by which release occurs. Follow-on studies in the next
phases of this approach will utilize these results to compare the mechanistic
data of the Tr-A/PLGA microsphere formulations developed here after recovery of
microspheres in vivo. This new approach based on measuring mechanistic indicators
of release in vitro and in vivo has the potential to design better, more
predictive in vitro release tests for these formulations and potentially lead to
mechanism-based in vitro-in vivo correlations.
PMID- 27865932
TI - Oral Helicobacter pylori vaccine-encapsulated acid-resistant HP55/PLGA
nanoparticles promote immune protection.
AB - Oral vaccination, is notoriously weak or nonimmunogenic. One of the major reasons
is the inefficient antigen uptake caused by enzymolysis and hydrolysis in the
gastrointestinal tract. In this study, acid-resistant HP55/PLGA nanoparticle was
developed as an oral delivery system to protect H. pylori recombinant antigen CCF
against the complex gastrointestinal environment. These ~200nm particles
controlled the release of antigen in the acidic environment (pH?5.5). Immunized
mice with HP55/PLGA-CCF nanoparticles induced high levels of urease-specific
antibodies and memory T cell responses. A month after H. pylori challenge, 43% of
mice were completely protected. The protection was highly associated with the
Th1/Th17-bias immune response, which had been recognized as an optimal immunity
against H. pylori infection. In addition, a mass of T-cells were observed in the
lamina propria of mice immunized with CCF, especially in the HP55/PLGA-CCF
nanoparticles administered recipients, and contributed to the development of
postimmunization gastritis. These results indicate that oral immunization with
acid-resistant HP55/PLGA nanoparticles encapsulating vaccine antigens represent a
promising strategy for antigen protection, slow-release and targeting, and thus
prevented gastrointestinal infection.
PMID- 27865935
TI - Controlling successive ionic layer absorption and reaction cycles to optimize
silver nanoparticle-induced localized surface plasmon resonance effects on the
paper strip.
AB - This study investigates why a silver nanoparticle (SNP)-induced surface-enhanced
Raman scattering (SERS) paper chip fabricated at low successive ionic layer
absorption and reaction (SILAR) cycles leads to a high SERS enhancement factor
(7*108) with an inferior nanostructure and without generating a hot spot effect.
The multi-layered structure of SNPs on cellulose fibers, verified by magnified
scanning electron microscopy (SEM) and analyzed by a computational simulation
method, was hypothesized as the reason. The pattern of simulated local electric
field distribution with respect to the number of SILAR cycles showed good
agreement with the experimental Raman intensity, regardless of the wavelength of
the excitation laser sources. The simulated enhancement factor at the 785-nm
excitation laser source (2.8*109) was 2.5 times greater than the experimental
enhancement factor (1.1*109). A 532-nm excitation laser source exhibited the
highest maximum local electric field intensity (1.9*1011), particularly at the
interparticle gap called a hot spot. The short wavelength led to a strong
electric field intensity caused by strong electromagnetic coupling arising from
the SNP-induced local surface plasmon resonance (LSPR) effects through high
excitation energy. These findings suggest that our paper-based SILAR-fabricated
SNP-induced LSPR model is valid for understanding SNP-induced LSPR effects.
PMID- 27865937
TI - Lipopolysaccharide induces proliferation and osteogenic differentiation of
adipose-derived mesenchymal stromal cells in vitro via TLR4 activation.
AB - Multipotent mesenchymal stromal cells (MSC) are capable of multi-lineage
differentiation and support regenerative processes. In bacterial infections,
resident MSC can come intocontact with and need to react to bacterial components.
Lipopolysaccharide (LPS), a typical structure of Gram-negative bacteria,
increases the proliferation and osteogenic differentiation of MSC. LPS is usually
recognized by the toll-like receptor (TLR) 4 and induces pro-inflammatory
reactions in numerous cell types. In this study, we quantified the protein
expression of TLR4 and CD14 on adipose-derived MSC (adMSC) in osteogenic
differentiation and investigated the effect of TLR4 activation by LPS on NF
kappaB activation, proliferation and osteogenic differentiation of adMSC. We
found that TLR4 is expressed on adMSC whereas CD14 is not, and that osteogenic
differentiation induced an increase of the amount of TLR4 protein whereas LPS
stimulation did not. Moreover, we could show that NF-kappaB activation via TLR4
occurs upon LPS treatment. Furthermore, we were able to show that competitive
inhibition of TLR4 completely abolished the stimulatory effect of LPS on the
proliferation and osteogenic differentiation of adMSC. In addition, the
inhibition of TLR4 leads to the complete absence of osteogenic differentiation of
adMSC, even when osteogenically stimulated. Thus, we conclude that LPS induces
proliferation and osteogenic differentiation of adMSC in vitro through the
activation of TLR4 and that the TLR4 receptor seems to play a role during
osteogenic differentiation of adMSC.
PMID- 27865936
TI - Constitutive beta-catenin activation in osteoblasts impairs terminal osteoblast
differentiation and bone quality.
AB - Accumulating evidence suggests that Wnt/beta-catenin signaling plays a central
role in controlling bone mass. We previously reported that constitutive
activation of beta-catenin (CA-beta-catenin) in osteoblasts potentially has side
effects on the bone growth and bone remodeling process, although it could
increase bone mass. The present study aimed to observe the effects of
osteoblastic CA-beta-catenin on bone quality and to investigate possible
mechanisms of these effects. It was found that CA-beta-catenin mice exhibited
lower mineralization levels and disorganized collagen in long bones as confirmed
by von Kossa staining and sirius red staining, respectively. Also, bone strength
decreased significantly in CA-beta-catenin mice. Then the effect of CA-beta
catenin on biological functions of osteoblasts were investigated and it was found
that the expression levels of osteocalcin, a marker for the late differentiation
of osteoblasts, decreased in CA-beta-catenin mice, while the expression levels of
osterix and alkaline phosphatase, two markers for the early differentiation of
osteoblasts, increased in CA-beta-catenin mice. Furthermore, higher proliferation
rate were revealed in osteoblasts that were isolated from CA-beta-catenin mice.
The Real-time PCR and western blot examination found that the expression level of
c-myc and cyclin D1, two G1 progression-related molecules, increased in
osteoblasts that were isolated from the CA-beta-catenin mice, and the expression
levels of CDK14 and cyclin Y, two mitotic-related molecules that can accelerate
cells entering into S and G2/M phases, increased in osteoblasts that were
isolated from the CA-beta-catenin mice. In summary, osteoblastic CA-beta-catenin
kept osteoblasts in high proliferative state and impaired the terminal osteoblast
differentiation, and this led to changed bone structure and decreased bone
strength.
PMID- 27865938
TI - PDMP, a ceramide analogue, acts as an inhibitor of mTORC1 by inducing its
translocation from lysosome to endoplasmic reticulum.
AB - Mammalian or mechanistic target of rapamycin complex 1 (mTORC1) is a master
regulator of cell growth, metabolism, and cell differentiation. Recent studies
have revealed that the recruitment of mTORC1 to lysosomes is essential for its
activation. The ceramide analogue 1-phenyl-2-decanoylamino-3-morpholino-1
propanol (PDMP), a well known glycosphingolipid synthesis inhibitor, also affects
the structures and functions of various organelles, including lysosomes and
endoplasmic reticulum (ER). We investigated whether PDMP regulates the mTORC1
activity through its effects on organellar behavior. PDMP induced the
translocation of mTORC1 from late endosomes/lysosomes, leading to the
dissociation of mTORC1 from its activator Rheb in MC3T3-E1 cells. Surprisingly,
we found mTORC1 translocation to the ER upon PDMP treatment. This effect of PDMP
was independent of its action as the inhibitor, since two stereoisomers of PDMP,
with and without the inhibitor activity, showed essentially the same effect. We
confirmed that PDMP inhibits the mTORC1 activity based on the decrease in the
phosphorylation of ribosomal S6 kinase, a downstream target of mTORC1, and the
increase in LC3 puncta, reflecting autophagosome formation. Furthermore, PDMP
inhibited the mTORC1-dependent osteoblastic cell proliferation and
differentiation of MC3T3-E1 cells. Accordingly, the present results reveal a
novel mechanism of PDMP, which inhibits the mTORC1 activity by inducing the
translocation of mTOR from lysosomes to the ER.
PMID- 27865940
TI - Alterations in nasal mucociliary activity in polycystic ovary syndrome.
AB - OBJECTIVES: Polycystic ovary syndrome (PCOS) is a common endocrine disorder in
women of reproductive age. It can affect various organ systems, and respiratory
mucosa has been reported as being hormone responsive. STUDY DESIGN: A case
control study consisting of 50 women with PCOS and 30 control subjects matched
for age and body mass index was conducted, in order to investigate nasal
mucociliary clearance time (NMCT) in patients with PCOS. Serum basal hormonal
biochemical parameters and NMCT were evaluated on menstrual cycle days 2-5 for
all participants. RESULTS: The mean NMCT in PCOS and control groups was 10.45+/
2.88 and 6.92+/-1.78, respectively (p=0.0001). A significant positive correlation
was found between NMCT and duration of disease (r=0.52; p=0.001), serum total
testosterone level (r=0.28; p=0.04), and luteinizing hormone/follicle stimulating
hormone (r=0.29; p=0.04). CONCLUSIONS: Our findings indicate that PCOS is
associated with altered NMCT. Prolonged NMCT predisposes patients to respiratory
tract and middle ear infections, and clinicians should be aware of this.
PMID- 27865939
TI - C-reactive protein response is higher in early than in late ovarian
hyperstimulation syndrome.
AB - OBJECTIVES: Many in vitro fertilization (IVF) complications are inflammatory by
nature, some of which are even life-threatening. We evaluated the response of C
reactive protein (CRP) in IVF complications, especially in early and late ovarian
hyperstimulation syndrome (OHSS), to support clinical decision making in
gynecological emergency policlinics. STUDY DESIGN: In a prospective two-year
study at Helsinki University Hospital, Finland, we recruited patients with IVF
complications including moderate or severe OHSS (n=47 patients: 36 early and 14
late OHSS cases), or other IVF complications (n=13). As controls, we recruited
women in an uncomplicated IVF cycle (n=27). Serial blood samples (CRP, blood
count, platelets, albumin, estradiol, creatinine, and electrolytes) were
collected from patients upon admission to the emergency polyclinic and during and
after treatment on the ward, and from the controls prior, during, and after the
IVF protocol. All samples were categorized according to oocyte pick-up (OPU). The
statistics included comparisons between and within the study groups, and receiver
operating characteristic (ROC) curve analysis for diagnostic accuracy of CRP for
early OHSS at emergency polyclinics. RESULTS: On admission, CRP did not
differentiate OHSS from other IVF complications, but CRP was higher in early
(median 21; IQR 8-33mg/L) than in late (6; 3-9mg/L, p=0.001) OHSS. In ROC
analysis for CRP (12mg/L), the area under the curve (AUC) was 0.74 (p=0.001) with
sensitivity of 69% and specificity of 71% for early OHSS. CRP was significantly
higher (28; 10-46mg/L) in patients with early OHSS two days after oocyte pick-up
(OPU) than in the controls (5; <3-9mg/L, p<0.001). The level normalized by 12
days, similarly to the controls. On the ward, the peak CRP was higher if early
OHSS was complicated with infection (108; 49-166mg/L) than without infection (20;
8-32mg/L, p=0.001). Late OHSS was associated with hypoalbuminemia (19.6; 16.2
23.1g/L, p<0.001) and thrombocytosis (494; 427-561 E9/L, p=0.004; comparisons to
early OHSS). CONCLUSIONS: Early OHSS associates with a distinct rise in CRP level
beyond that induced by uncomplicated oocyte pick-up, whereas the CRP levels in
late OHSS are comparable to those in the control cycles. CRP identifies, but
cannot distinguish IVF complications.
PMID- 27865941
TI - Local injection of diluted vasopressin followed by suction curettage for cervical
ectopic pregnancy.
AB - OBJECTIVE: To report the results of local injection of diluted vasopressin
followed by suction curettage as a conservative treatment for women with cervical
ectopic pregnancy, who wish to preserve their future fertility. STUDY DESIGN:
This was a retrospective chart review in a university hospital and a municipal
hospital. We injected diluted vasopressin (Pitressin R, total amount of 4-10
units) transvaginally into the cervix surrounding the gestational sac, but not
directly into the gestational sac, and/or the lower segment of the uterine body
under transvaginal ultrasonographic guidance. After cessation of fetal
heartbeats, we aspirated the conceptus by performing suction curettage. We
injected additional vasopressin into the gestational sac in cases with a viable
fetus after the initial injection. Forced contraction of the cervical smooth
muscle facilitated removal of the conceptus with minimal blood loss during
curettage. We measured operative time, total blood loss, complications, and the
need for additional treatment. RESULTS: We included 11 women. Mean patient age,
gestational age, and serum human chorionic gonadotrophin (hCG) at the
intervention were 31.2+/-6.4years, 6.0+/-0.6 weeks, and 18,370+/-21,570 IU/L,
respectively. Mean size of the gestational sac was 19.6+/-9.5mm. The uterus was
successfully preserved without any complications in all patients. All procedures
were completed within 15min except for the first case (range: 5-33min). In 4
cases, the conceptus containing a gestational sac was spontaneously extruded en
bloc from the external os after the injection. Additional systematic methotrexate
administration was required in one case because of remaining villi at the
implantation site with persistence of serum hCG levels after the procedure.
CONCLUSION: Local injection of diluted vasopressin and subsequent suction
curettage is a feasible conservative treatment for cervical ectopic pregnancy.
PMID- 27865942
TI - Outcomes of laparoscopic sacropexy in women over 70: A comparative study.
AB - OBJECTIVE: Precise data are lacking concerning laparoscopic sacropexy in the
elderly population. The purpose of this study was to compare the outcomes and
complications associated with laparoscopic sacropexy (colpopexy or hysteropexy)
in women aged under 70 and 70 or over. STUDY DESIGN: Retrospective review of data
on patients who underwent laparoscopic sacropexy in two tertiary centers. Peri-
and postoperative complications were recorded and described using the IUGA
classification. Surgery was considered successful if the patient was
symptomatically satisfied or very satisfied and if the POP-Q (Pelvic Organ
Prolapse-Quantification) stage score at the follow-up visit was below stage 2 for
all compartments. RESULTS: Among the 191 women studied, 47 (24.6%) were aged 70
or more. According to the ICS/IUGA classification of POP complications,
perioperative and postoperative complication rates were similar in the older
versus younger groups (bladder injuries (0 vs. 1.39%, p=1) (4A T1 S2), rectal
injuries (0% vs. 0.69%, p=1) (5BT1S5), vaginal injuries (2.13% vs. 0%, p=0.246)
(2A T1 S1)). No laparotomy conversion was required in either group. At two months
of follow-up, the success rate was 97.9% and 95.1% in the older and younger
groups, respectively (p=0.68). At 24 months of follow-up, the overall reoperation
rate was 12.8% for the older group versus 11.8% in the younger group (p=0.80).
CONCLUSIONS: Our findings suggest that laparoscopic sacropexy is a valid option
in elderly women presenting with genital prolapse.
PMID- 27865943
TI - Association between parity and ovarian reserve in reproductive age women.
AB - OBJECTIVE: A number of factors affect ovarian reserve. In this study, we
investigate the association between parity and ovarian reserve in reproductive
age women. MATERIALS AND METHODS: This cross-sectional study was conducted on 186
women aged 20-35 years. The participants were divided into two main groups. Group
A (n=93) included women with at least one parity (pregnancy after 28 weeks),
while group B (n=93) included women with no history of pregnancy. We evaluated
the following factors related to ovarian reserve: follicle-stimulating hormone
(FSH), ovarian antral follicles, anti-Mullerian hormone (AMH), and ovarian
volume. RESULTS: A total of 186 women with a mean age of 27.83+/-4.49years
enrolled in this study. There was a difference in mean AMH between the
nulliparous (2.53+/-1.90ng/ml) and multiparous (3.54+/-1.42ng/ml) groups
(p<0.001). FSH levels were from 5.27+/-1.8mIU/mL in nulliparous women to 5.01+/
1.9mIU/mL in multiparous women, which did not significantly differ (p=0.36).
Antral follicles and ovarian size in multiparous women increased significantly
(p<0.001). CONCLUSION: Parity has a significant association with higher levels of
ovarian reserve markers.
PMID- 27865945
TI - Episiotomy in vacuum-assisted delivery affects the risk of obstetric anal
sphincter injury: a systematic review and meta-analysis.
AB - The risk of obstetric anal sphincter injury (OASIS) is increased in vacuum
assisted delivery. However, it remains unclear whether episiotomy may protect
against OASIS in this type of delivery. The objective of this study was to assess
whether mediolateral or lateral episiotomy affects the risk of OASIS in vacuum
assisted delivery among primiparous women. Data were found searching The PubMed,
Cochrane library and Embase databases electronically. Studies investigating the
risk of OASIS in vacuum-assisted delivery with and without the use of
mediolateral or lateral episiotomy were considered for inclusion. Of the 452
studies found, 15 observational studies were included in this meta-analysis. All
authors assessed risk of bias of the included studies using the Scottish
Intercollegiate Guideline Network (SIGN) quality score. According to this meta
analysis, mediolateral or lateral episiotomy significantly reduced the risk of
OASIS in vacuum-assisted deliveries in primiparous women (OR 0.53 (95% CI 0.37
0.77)). Numbers needed to treat (NNT) was 18.3 (95% CI 17.7-18.9). The protective
effect of episiotomy seemed most pronounced when performed in more than 75% of
vacuum-assisted deliveries (OR 0.37 (95% CI 0.15-0.92)). In conclusion, this meta
analysis showed that mediolateral or lateral episiotomy was protective against
OASIS and may be considered in vacuum-assisted delivery in primiparous women.
Randomized controlled trials to further investigate this finding are warranted.
PMID- 27865946
TI - Are lower antibody responses to influenza vaccination in cytomegalovirus
seropositive older adults the result of beta adrenergic blockade?
PMID- 27865944
TI - Misoprostol exposure during the first trimester of pregnancy: Is the malformation
risk varying depending on the indication?
AB - OBJECTIVE: To report the prospective follow-up of pregnancies exposed to
misoprostol during the first trimester and analyse the teratogenic risk depending
on the indication for use. STUDY DESIGN: Prospective observational study of 265
women exposed to misoprostol during the first 12 weeks of pregnancy and followed
until the delivery. Women were included if they or their physician had contacted
a French pharmacovigilance centre before 22 weeks of gestation (WG) to obtain
information on the risk of misoprostol exposure, and if there had been
misoprostol exposure before 13 WG. Data were collected at the time of the first
contact, and the pregnancy outcome was recorded at follow-up. Women were
prospectively enrolled from January 1988 to December 2013. RESULTS: The main
indication for misoprostol was voluntary abortion (60.9%). Ten major
malformations (5.5%) (95% CI 2.65-9.82%) were reported and five of them were
consistent with the pattern of malformations attributed to misoprostol: Mobius
sequence, hydrocephalus, terminal transverse limb reduction associated with a
clubfoot, syndactyly, and complete posterior encephalocele. The rate of
malformations was higher, but not significantly, in women exposed to misoprostol
for voluntary abortion (7.9%) compared with women exposed to misoprostol for
other or unknown indications (3.2%). CONCLUSIONS: Our results confirmed a
specific pattern of malformations due to misoprostol use in early pregnancy, even
with low dose of misoprostol. Despite the small number of cases, we observed a
higher proportion of major malformations in fetuses born to women who continued
their pregnancy after a failed voluntary abortion with misoprostol. Further
studies should be conducted to evaluate other potential factors, such as
combination treatment with mifepristone and the socio-environmental
characteristics in this group of women.
PMID- 27865947
TI - Immune responses in perinatal brain injury.
AB - The perinatal period has often been described as immune deficient. However, it
has become clear that immune responses in the neonate following exposure to
microbes or as a result of tissue injury may be substantial and play a role in
perinatal brain injury. In this article we will review the immune cell
composition under normal physiological conditions in the perinatal period, both
in the human and rodent. We will summarize evidence of the inflammatory responses
to stimuli and discuss how neonatal immune activation, both in the central
nervous system and in the periphery, may contribute to perinatal hypoxic-ischemic
brain injury.
PMID- 27865948
TI - Neuronal Fc-epsilon receptor I contributes to antigen-evoked pruritus in a murine
model of ocular allergy.
AB - Pruritus is the major symptom of ocular allergy but currently available
treatments are often ineffective. Previous studies demonstrated that
subpopulations of primary sensory neurons express Fc receptors and may contribute
to antigen-specific pain. We investigated the role of neuronal Fc-epsilon
Receptor I (FcepsilonRI) in allergic ocular pruritus. Ovalbumin (OVA) was used as
allergen together with alum adjuvant (OVA+alum) to produce a mouse model of
ocular allergy with a significant elevation in the serum levels of both antigen
specific IgE and IgG. Mice sensitized by OVA without alum only induced elevation
of serum IgG but not IgE. Scratching behavior toward the eyes with the hindlimb
was used as an indicator of ocular itch. Topical OVA challenging to the eye dose
dependently induced scratching toward the eye in the OVA+alum sensitized mice,
but not those sensitized by OVA only. The antigen-induced scratching was largely
abolished by topical application of the blocking antibody to FcepsilonRIalpha,
but was only partially alleviated by pretreatment of mast cell stabilizer or
histamine I receptor antagonist. The expression of FcepsilonRI was detected in
subpopulations of trigeminal ganglion (TG) neurons including those expressing
pruriceptive markers and innervating the conjunctiva in the naive mice. Moreover,
FcepsilonRI was found significantly upregulated in small-sized TG neurons in the
OVA+alum sensitized mice. In acutely dissociated TG neurons, IgE-immune complex
(IC), but not the antibody or antigen alone, induced intracellular calcium
increase. The neuronal responses to IgE-IC could be specifically blocked by pre
application of a siRNA for FcepsilonRIalpha. Our results indicate that
FcepsilonRI expressed on peripheral nociceptive neurons in the TG may be directly
activated by IgE-IC and contribute to allergic ocular pruritus. This study may
suggest a novel mechanism for the development of pathological itch in allergic
diseases.
PMID- 27865949
TI - Lost in translation? The potential psychobiotic Lactobacillus rhamnosus (JB-1)
fails to modulate stress or cognitive performance in healthy male subjects.
AB - BACKGROUND: Preclinical studies have identified certain probiotics as
psychobiotics - live microorganisms with a potential mental health benefit.
Lactobacillus rhamnosus (JB-1) has been shown to reduce stress-related behaviour,
corticosterone release and alter central expression of GABA receptors in an
anxious mouse strain. However, it is unclear if this single putative psychobiotic
strain has psychotropic activity in humans. Consequently, we aimed to examine if
these promising preclinical findings could be translated to healthy human
volunteers. OBJECTIVES: To determine the impact of L. rhamnosus on stress-related
behaviours, physiology, inflammatory response, cognitive performance and brain
activity patterns in healthy male participants. METHODS: An 8week, randomized,
placebo-controlled, cross-over design was employed. Twenty-nine healthy male
volunteers participated. Participants completed self-report stress measures,
cognitive assessments and resting electroencephalography (EEG). Plasma IL10,
IL1beta, IL6, IL8 and TNFalpha levels and whole blood Toll-like 4 (TLR-4) agonist
induced cytokine release were determined by multiplex ELISA. Salivary cortisol
was determined by ELISA and subjective stress measures were assessed before,
during and after a socially evaluated cold pressor test (SECPT). RESULTS: There
was no overall effect of probiotic treatment on measures of mood, anxiety, stress
or sleep quality and no significant effect of probiotic over placebo on
subjective stress measures, or the HPA response to the SECPT. Visuospatial memory
performance, attention switching, rapid visual information processing, emotion
recognition and associated EEG measures did not show improvement over placebo. No
significant anti-inflammatory effects were seen as assessed by basal and
stimulated cytokine levels. CONCLUSIONS: L. rhamnosus was not superior to placebo
in modifying stress-related measures, HPA response, inflammation or cognitive
performance in healthy male participants. These findings highlight the challenges
associated with moving promising preclinical studies, conducted in an anxious
mouse strain, to healthy human participants. Future interventional studies
investigating the effect of this psychobiotic in populations with stress-related
disorders are required.
PMID- 27865950
TI - Lactobacillus sps. lipase mediated poly (epsilon-caprolactone) degradation.
AB - Polymer degradation through lipase appears to be an enthralling alternative to
bulk chemical routes. Poly (epsilon-caprolactone) (PCL) is an artificial
polyester that can be degraded by microbes and enzymes like lipases and
esterases. The environmental degradation of PCL is dependent on the activity of
bacteria that characterization techniques such as thermogravimetric analysis,
differential thermal are widely present in the ecosystem. In this study, three
different lipases derived from Lactobacillus brevis, Lactobacillus plantarum and
their co-culture have been utilized to explore their efficiency towards PCL
enzymatic degradation. The effect of parameters such as enzyme loading and
degradation time has been explored to understand the efficiency of the enzymes
used in this study. Various analysis, scanning electron microscopy and Fourier
transform infrared spectroscopy have been employed to study the enzymatic
degradation and its possible mechanistic insight.
PMID- 27865951
TI - Renoprotective effect of low-molecular-weight sulfated polysaccharide from the
seaweed Laminaria japonica on glycerol-induced acute kidney injury in rats.
AB - We investigated the renal protective effect of low-molecular-weight sulfated
polysaccharide (LMWSP) fractions extracted from Laminaria japonica on glycerol
induced acute kidney injury (AKI) in rats. Glycerol treatment significantly
increased serum creatinine (SCr) and blood urea nitrogen (BUN) levels.
Intraperitoneal injection of LMWSP fractions markedly decreased SCr and BUN
levels and reduced renal swelling. The fraction of 1.0M NaCl displayed the best
renal protective effect of all fractions in attenuating AKI and maintaining blood
glucose.
PMID- 27865953
TI - Polysaccharide of caper (Capparis spinosa L.) Leaf: Extraction optimization,
antioxidant potential and antimicrobial activity.
AB - Three-variable-three-level Box-Behnken design-response surface methodology (BBD
RSM) based on the single-factor experiments was used to optimize the extracting
parameters of crude polysaccharides (CPSs) from the Capparis spinosa leaves (CSL)
including extraction time (ETi, 60-120min), extraction temperature (ETe, 60-80
degrees C), and the water/solid ratio (W/S, 6-16). The optimal process conditions
in order to the highest yield (6.73%) of CSL-CPSs were 119.8min ETi, 72.84
degrees C ETe, and 15.97:1W/S.Structure of polysaccharide extracted at the
optimal operating point were identified by Fourier transform-infrared
spectroscopy (FT-IR). CSL-CPSs (50-300MUg/L) revealed significantly scavenging
activities against 2,2-diphenyl-1-picrylhydrazyl (DPPH)and OH free-radicals in
vitro. A much more antimicrobial activity using this polysaccharide against Gram
negative bacteria (Escherichia coli, Shigella dysenteriaeandSalmonella typhi) was
found than Gram-positive bacteria (Bacillus panis and Staphylococcus aureus). CSL
CPSs can thus be used as anexcellent antioxidant and antimicrobial ingredient in
food and medicinal preparations.
PMID- 27865952
TI - A superporous and superabsorbent glucuronoxylan hydrogel from quince (Cydonia
oblanga): Stimuli responsive swelling, on-off switching and drug release.
AB - Current study was designed to investigate micromeritic properties, water
absorption capacity, stimuli (pH, ethanol and saline) responsive swelling
deswelling (on-off switching) and controlled drug release from the polysaccharide
glucuronoxylan isolated from the seeds of Quince. The water retention capacity of
Quince hydrogel (QH) was found admirable. The hydrogel also exhibited privileged
swelling in water and basic buffers (pH 6.8 and 7.4) while insignificant swelling
in acidic buffer (pH 1.2). The swelling kinetics of QH follows second order.
Moreover, QH deswells in salt (KCl and NaCl) solutions and ethanol. SEM of
swollen then freeze dried QH revealed hollow channels with an average diameter of
67.8MUm. Furthermore, QH sustained the release of levosulpiride (LS) tablet
formulation and drug release mechanism was ascertained super case-II transport.
These results signify QH a smart material for sustained release formulations.
PMID- 27865954
TI - Laminating of chemically modified silan based nanosols for advanced
functionalization of cotton textiles.
AB - As per to silver nanoparticles/silicon dioxide nanoparticles (SiO2@AgNPs)
properties (e.g., conductivity, reactant, adsorption, detachment and
antimicrobial), many researchers were focused on its preparation technique. A
core/shell of silicon dioxide and silver nanoparticles (SiO2@AgNPs) has been
prepared by facile route. The as synthesized core/shell nanoparticles were
chemically modified with two different silan compounds, nominated,
vinyltriethoxysilan (VTEOS) and (3-aminopropyl)trimethoxysilan (APTEOS). World
class facilities such as XRD, FT-IR, TEM, Particle size, DLS, SEM techniques were
utilized for the nanoparticles characterization. The nanoparticulate system
comprises SiO2@AgNPs, SiO2@AgNPs/APTEOS were applied to cotton fabric using
butantetracarboxylic acid (BTCA) as across-linking agent. While UV irradiation by
photo initiator was used as crosslinking agent for SiO2@AgNPs/VTEOS on cotton
fabrics. The Treated cotton fabrics were evaluated for their surface morphology
and heat transfer ability as well as antibacterial activity. The obtained data
prove that the core/shell was successfully prepared, with AgNPs in core. In
addition, both silan compounds (APTEOS, VTEOS) were successfully reacted with the
outer shell SiO2. The results declared also that the treated fabrics exhibit a
good antibacterial activity as well as good thermal properties.
PMID- 27865955
TI - Studies to reveal the nature of interactions between catalase and curcumin using
computational methods and optical techniques.
AB - Curcumin is an important antioxidant compound, and is widely reported as an
effective component for reducing complications of many diseases. However, the
detailed mechanisms of its activity remain poorly understood. We found that
curcumin can significantly increase catalase activity of BLC (bovine liver
catalase). The mechanism of curcumin action was investigated using a
computational method. We suggested that curcumin may activate BLC by modifying
the bottleneck of its narrow channel. The molecular dynamic simulation data
showed that placing curcumin on the structure of enzyme can increase the size of
the bottleneck in the narrow channel of BLC, and readily allow the access of
substrate to the active site. Because of the increase of the distance between
amino acids of the bottleneck in the presence of curcumin, the entrance space of
substrate increased from 250A3 to 440A3. In addition, the increase in emission of
intrinsic fluorescence of BLC in presence of curcumin demonstrated changes in
tertiary structure of catalase, and possibility of less quenching. We also used
circular dichroism (CD) spectropolarimetry to determine how curcumin may alter
the enzyme secondary structure. Catalase spectra in the presence of various
concentrations of curcumin showed an increase in the amount of alpha-helix
content.
PMID- 27865957
TI - Starch functionalized biodegradable semi-IPN as a pH-tunable controlled release
platform for memantine.
AB - Sequentially prepared semi-interpenetrating polymer network (semi-IPN) has been
developed here via Michael type addition of acrylic acid (AA) and 2-acrylamido-2
methylpropane sulfonic acid (AMPS) on to starch. The semi-IPN hydrogel have
proficiency in fast water imbibition towards gel network and swelling tunable
character with pH alteration in ambient condition. The synthesized gel has been
characterized by Fourier transformed infrared spectroscopy (FTIR) to confirm
Michael type grafting of monomers on to starch. The surface morphology, observed
from Scanning Electron Microscopy (SEM) exhibited corrugated rough surface on
hydrogel which enhances the fast water uptake feature by anomalous Fickian case
II diffusion mechanism. Grafting reaction also improves its thermal stability
which has been confirmed by thermogravimetric analysis (TGA). Biodegradation
study with hen egg lysozyme medium reveals the accelerated enzymatic scission of
the starch backbone and progressive mass loss. Degradation of the hydrogel around
60% of its primary mass has been observed within 7days. The physicochemical
characterizations of this hydrogel suggest this as a promising pH-tunable,
biodegradable candidate for control drug delivery vehicle.
PMID- 27865956
TI - Safranal and its analogs inhibit Escherichia coli ATP synthase and cell growth.
AB - Safranal, a dominant component of saffron, is known to have antitumor, cytotoxic,
and antibacterial properties. In this study, we examined safranal and its
structural analogs-thymol, carvacrol, damascenone, cuminol, 2,6,6-trimethyl-2
cyclohexene-1,4-dione (TMCHD), 4-isopropylbenzyl bromide (IPBB), and 4-tert
butylphenol (TBP) induced inhibition of Escherichia coli membrane bound F1Fo ATP
synthase. Safranal and its analogs inhibited wild-type enzyme to variable
degrees. While safranal caused 100% inhibition of wild-type F1Fo ATP synthase,
only about 50% inhibition occurred for alphaR283D mutant ATP synthase. Moreover,
safranal, thymol, carvacrol, damascenone, cuminol, TMCHD, IPBB, and TBP all fully
abrogated the growth of wild-type E. coli cells and had partial or no effect on
the growth of null and mutant E. coli strains. Therefore, the antimicrobial
properties of safranal, thymol, carvacrol, damascenone, cuminol, TMCHD, IPBB, and
TBP can be linked to their binding and inhibition of ATP synthase. Total loss of
growth in wild-type and partial or no growth loss in null or mutant E. coli
strains demonstrates that ATP synthase is a molecular target for safranal and its
structural analogs. Partial inhibition of the alphaArg-283 mutant enzyme
establishes that alphaArg-283 residue is required in the polyphenol binding
pocket of ATP synthase for the binding of safranal. Furthermore, partial growth
loss for the null and mutant strains in the presence of inhibitors also suggests
the role of other targets and residues in the process of inhibition.
PMID- 27865958
TI - Development of bone-like zirconium oxide nanoceramic modified chitosan based
porous nanocomposites for biomedical application.
AB - Here, zirconium oxide nanoparticles (ZrO2 NPs) were incorporated for the first
time in organic-inorganic hybrid composites containing chitosan, poly(ethylene
glycol) and nano-hydroxypatite (CS-PEG-HA) to develop bone-like nanocomposites
for bone tissue engineering application. These nanocomposites were characterized
by FT-IR, XRD, TEM combined with SAED. SEM images and porosity measurements
revealed highly porous structure having pore size of less than 1MUm to 10MUm.
Enhanced water absorption capacity and mechanical strengths were obtained
compared to previously reported CS-PEG-HA composite after addition of 0.1-0.3wt%
of ZrO2 NPs into these nanocomposites. The mechanical strengths and porosities
were similar to that of human spongy bone. Strong antimicrobial effects against
gram-negative and gram-positive bacterial strains were also observed. Along with
getting low alkalinity pH (7.4) values, similar to the pH of human plasma,
hemocompatibility and cytocompatibility with osteoblastic MG-63 cells were also
established for these nanocomposites. Addition of 15wt% HA-ZrO2 (having 0.3wt%
ZrO2 NPs) into CS-PEG (55:30wt%) composite resulted in greatest mechanical
strength, porosity, antimicrobial property and cytocompatibility along with
suitable water absorption capacity and compatibility with human pH and blood.
Thus, this nanocomposite could serve as a potential candidate to be used for bone
tissue engineering.
PMID- 27865959
TI - Ultrasensitive cardiac troponin I antibody based nanohybrid sensor for rapid
detection of human heart attack.
AB - An ultrasensitive cardiac troponin I antibody conjugated with graphene quantum
dots (GQD) and polyamidoamine (PAMAM) nanohybrid modified gold electrode based
sensor was developed for the rapid detection of heart attack (myocardial
infarction) in human. Screen printed gold (Au) electrode was decorated with 4
aminothiophenol for amine functionalization of the Au surface. These amino groups
were further coupled with carboxyl functionalities of GQD with EDC-NHS reaction.
In order to enhance the sensitivity of the sensor, PAMAM dendrimer was
successively embedded on GQD through carbodiimide coupling to provide ultra-high
surface area for antibody immobilization. The activated cardiac troponin I (cTnI)
monoclonal antibody was immobilized on PAMAM to form nanoprobe for sensing
specific heart attack marker cTnI. Various concentrations of cardiac marker, cTnI
were electrochemically measured using cyclic voltammetry (CV) and differential
pulse voltammetry (DPV) in human blood serum. The modifications on sensor surface
were characterized by FTIR and AFM techniques. The sensor is highly specific to
cTnI and showed negligible response to non-specific antigens. The sensitivity of
the sensor was 109.23MUAcm-2MUg-1 and lower limit of detection of cTnI was found
20fgmL-1.
PMID- 27865960
TI - Identification of Inonotus obliquus polysaccharide with broad-spectrum antiviral
activity against multi-feline viruses.
AB - Inonotus obliquus polysaccharides (IOPs) are a potential drug for the prevention
and treatment of cancer, cardiopathy, diabetes, AIDs, pancreatitis and other
diseases. In this study, we found that IOP can act as a broad-spectrum antiviral
drug against feline viruses in the in vitro experiment. Using cell models of
feline calicivirus (FCV), we demonstrated that IOP treatment was capable of
exhibiting anti-FCV strain F9 activity in cell-based assays and also showed low
cytotoxicity. Investigation of the mechanism of action of the compound revealed
that IOP treatment induces its inhibitory actions directly on virus particles
through blocking viral binding/absorpting. The inhibitory activity against other
FCV isolates from China was also identified. More importantly, we found that IOP
exhibited broad-spectrum antiviral activity against the feline herpesvirus 1,
feline influenza virus H3N2 and H5N6, feline panleukopenia virus and feline
infectious peritonitis virus that can contribute to respiratory and
gastrointestinal diseases in cats. These findings suggest that IOP may be a
potential broad-spectrum antiviral drug against feline viruses.
PMID- 27865961
TI - Lifetime depression and anxiety increase prevalent psychological symptoms and
worsen glycemic control in type 2 diabetes: The Fremantle Diabetes Study Phase
II.
AB - AIMS: To determine the contribution of lifetime major depressive disorder (L-MDD)
and lifetime generalized anxiety disorder (L-GAD) to current psychological
symptom severity, health behaviour and glycaemic control in type 2 diabetes.
METHODS: 1285 community-dwelling people with type 2 diabetes (Fremantle Diabetes
Study Phase-II; FDS2) completed the PHQ-9 and Brief Life-Time Depression Scale
(BLDS) to assess current and past MDD. The Generalized Anxiety Disorder Scale
(GADS) and the Generalized Anxiety Disorder Scale-Lifetime (GAD-LT), designed for
FDS2, assessed current and past anxiety. Data were analysed using analysis of
covariance and multiple mediation models, controlling for age, gender, marital
status, and diabetes duration. RESULTS: L-MDD and L-GAD were independently
associated with more severe current depression (both P<0.001) and anxiety (both
P<0.001) symptoms. Mediation models revealed that, through increasing the
severity of current depressive symptoms, L-MDD was associated with higher HbA1c
and body mass index (BMI), greater likelihood of current smoking, and reduced
self-monitoring of blood glucose (SMBG) (indirect regression path ab, all
P<0.001). In combination, L-MDD+L-GAD additionally elevated the risk of higher
HbA1c and worse diabetes management, by increasing the severity of current
depressive symptoms (indirect regression path ab, all P<0.001). CONCLUSIONS:
Lifetime depression and anxiety increase risk of more severe psychological
symptoms, hyperglycaemia, and difficulties with health behaviour in type 2
diabetes. Early screening for these disorders at diabetes diagnosis may be
warranted to maximize long-term health outcomes.
PMID- 27865963
TI - Improved vascularization of porous scaffolds through growth factor delivery from
heparinized polyethylene glycol hydrogels.
AB - : Surface modification with heparin has previously been shown to increase
vascularization of porous scaffolds. In order to determine its efficacy with
sustained release, heparin (Hep) was covalently incorporated into degradable
(Type D) and non-degradable (Type N) polyethylene glycol (PEG) hydrogels. After
in vitro characterization of their physicochemical properties, growth factor (GF)
loaded, heparinised Type D gels were formed within the pores of porous
polyurethane disks, which were then implanted and evaluated in a subcutaneous
model. Type N gels formed faster (3.1+/-0.1 vs. 7.2+/-0.2min), were stiffer
(10.0+/-0.5kPa vs. 7.1+/-1.2kPa) and more stable than degradable gels (>6month
stability vs. disintegration ?22d in vitro; all p<0.001). Sustained release of
covalently incorporated (CI) heparin from Type N (56days; first order kinetics)
and Type D (21days; zero order kinetics) was achieved, as opposed to non
covalently incorporated (NI) heparin that eluted in a burst release within the
first 2days. While Type D gels initially impeded tissue ingrowth into the porous
scaffolds, they were completely degraded and replaced by ingrown tissue after
28days in vivo. At the latter timepoint disks containing gels without Hep or with
non-covalently incorporated Hep were less vascularized than empty (no gel)
controls. In contrast, the incorporation of covalently heparinized (no GF) and GF
containing gels (no Hep) resulted in a 50% and 42% (p<0.05) improvement in
vascularization, while an increase of 119% (p<0.001) was achieved with a
combination of covalently attached Hep and GF. These gels thus provide a
sustained release system for heparin and GF that extends the duration of their
action to local tissue ingrowth. STATEMENT OF SIGNIFICANCE: The paper describes
the modification and covalent incorporation of heparin into degradable and non
degradable polyethylene glycol hydrogels in a way that provides for the
hydrolytic cleavage of the linker for the release of the heparin in original and
active form, and in an extended (21-56d) controlled (zero and first order
respectively) manner. The successful use of these gels as growth-factor
containing and releasing matrices for the improvement of in vivo vascularization
holds promise for many potential uses in tissue engineering and regenerative
medicine applications, such as vascular grafts and myocardial infarction therapy,
where the antithrombotic and/or growth factor binding/potentiating properties are
required.
PMID- 27865962
TI - Pharmacologically active microcarriers delivering BDNF within a hydrogel: Novel
strategy for human bone marrow-derived stem cells neural/neuronal differentiation
guidance and therapeutic secretome enhancement.
AB - : Stem cells combined with biodegradable injectable scaffolds releasing growth
factors hold great promises in regenerative medicine, particularly in the
treatment of neurological disorders. We here integrated human marrow-isolated
adult multilineage-inducible (MIAMI) stem cells and pharmacologically active
microcarriers (PAMs) into an injectable non-toxic silanized-hydroxypropyl
methylcellulose (Si-HPMC) hydrogel. The goal is to obtain an injectable non-toxic
cell and growth factor delivery device. It should direct the survival and/or
neuronal differentiation of the grafted cells, to safely transplant them in the
central nervous system, and enhance their tissue repair properties. A model
protein was used to optimize the nanoprecipitation conditions of the
neuroprotective brain-derived neurotrophic factor (BDNF). BDNF nanoprecipitate
was encapsulated in fibronectin-coated (FN) PAMs and the in vitro release profile
evaluated. It showed a prolonged, bi-phasic, release of bioactive BDNF, without
burst effect. We demonstrated that PAMs and the Si-HPMC hydrogel increased the
expression of neural/neuronal differentiation markers of MIAMI cells after 1week.
Moreover, the 3D environment (PAMs or hydrogel) increased MIAMI cells secretion
of growth factors (b-NGF, SCF, HGF, LIF, PlGF-1, SDF-1alpha, VEGF-A & D) and
chemokines (MIP-1alpha & beta, RANTES, IL-8). These results show that PAMs
delivering BDNF combined with Si-HPMC hydrogel represent a useful novel local
delivery tool in the context of neurological disorders. It not only provides
neuroprotective BDNF but also bone marrow-derived stem cells that benefit from
that environment by displaying neural commitment and an improved
neuroprotective/reparative secretome. It provides preliminary evidence of a
promising pro-angiogenic, neuroprotective and axonal growth-promoting device for
the nervous system. STATEMENT OF SIGNIFICANCE: Combinatorial tissue engineering
strategies for the central nervous system are scarce. We developed and
characterized a novel injectable non-toxic stem cell and protein delivery system
providing regenerative cues for central nervous system disorders. BDNF, a
neurotrophic factor with a wide-range effect, was nanoprecipitated to maintain
its structure and released in a sustained manner from novel polymeric
microcarriers. The combinatorial 3D support, provided by fibronectin
microcarriers and the hydrogel, to the mesenchymal stem cells guided the cells
towards a neuronal differentiation and enhanced their tissue repair properties by
promoting growth factors and cytokine secretion. The long-term release of
physiological doses of bioactive BDNF, combined to the enhanced secretion of
tissue repair factors from the stem cells, constitute a promising therapeutic
approach.
PMID- 27865964
TI - Array-based functional peptide screening and characterization of gold
nanoparticle synthesis.
AB - : Based on inorganic material production through biomineralization in organisms,
the use of biological molecules in nanomaterial production has received
increasing attention as a vehicle to synthesize inorganic materials with selected
properties in ambient conditions. Among various biological molecules that
interact with metallic surfaces, short peptides are putative ligand molecules as
they exhibit potential to control the synthesis of nanoscale materials with
tailored functions. Herein, using a spot synthesis-based peptide array, the gold
nanoparticle (AuNP) binding activities of approximately 1800 peptides were
evaluated and revealed various activities ranging from positive (high-affinity
binding peptides) to negative (weak- or null-affinity binding peptides). Among 50
peptides showing the highest AuNP binding activity, 46 sequences showed the
presence of tryptophan-based motifs including W[Xn]W, H[Xn]W, and W[Xn]H (W:
tryptophan, X: any amino acid, n: 1-8 amino acid residues), whereas none of these
motifs was found in the WORST50 peptides. Notably, three peptides showing the
highest binding affinities possessed bi-functionality in AuNP binding and Au(III)
reduction in solution and on solid surfaces. In addition, the characterization of
truncated peptide derivatives revealed unique peptide motifs for their function
expressions that also supported the importance of tryptophan-based motifs for
peptide-AuNP binding. These findings open the door for peptide-mediated precise
regulation of AuNP synthesis in ambient condition and for site dependent
controlled AuNP integration onto nanotechnological devices. STATEMENT OF
SIGNIFICANCE: The development of a technique for functionally regulated nanosized
material production in ambient condition is broadly required according to the
expansion of nanomaterial based applications. Short peptides, which bind to
metallic surfaces, have great potential for the technique development, but the
realization remains a difficult challenge due to the lack of metal binding
peptide varieties. Herein, approximately 1800 peptides with the gold nanoparticle
(AuNP) binding activity are reported and characterized. Furthermore, by three
highest binding peptides, the expression of bi-functionality in AuNP binding and
Au(III) reduction was serendipitously discovered in solution and on solid
surfaces. These findings will be attributed to new technique development of
functional nanoparticle synthesis in mild condition, and for site-dependent AuNP
integration in various nanotechnological devices.
PMID- 27865965
TI - Palladin is involved in platelet activation and arterial thrombosis.
AB - The dynamics of actin cytoskeleton have been shown to play a critical role during
platelet activation. Palladin is an actin-associated protein, serving as a
cytoskeleton scaffold to bundle actin fibers and actin cross linker. The
functional role of palladin on platelet activation has not been investigated.
Here, we characterized heterozygous palladin knockout (palladin+/-) mice to
elucidate the platelet-related functions of palladin. The results showed that
palladin was expressed in platelets and moderate palladin deficiency accelerated
hemostasis and arterial thrombosis. The aggregation of palladin+/- platelets was
increased in response to low levels of thrombin, U46619, and collagen. We also
observed enhanced spreading of palladin+/- platelets on immobilized fibrinogen
(Fg) and increased rate of clot retraction in platelet-rich plasma (PRP)
containing palladin+/- platelets. Furthermore, the activation of the small GTPase
Rac1 and Cdc42, which is associated with cytoskeletal dynamics and platelet
activation signalings, was increased in the spreading and aggregating palladin+/-
platelets compared to that in wild type platelets. Taken together, these findings
indicated that palladin is involved in platelet activation and arterial
thrombosis, implying a potent role of palladin in pathophysiology of thrombotic
diseases.
PMID- 27865966
TI - Febuxostat attenuates paroxysmal atrial fibrillation-induced regional endothelial
dysfunction.
AB - BACKGROUND: Paroxysmal atrial fibrillation (PAF) can increase thrombogenesis
risk, especially in the left atrium (LA). The exact mechanism is still unclear.
OBJECTIVE: We assessed the effects of PAF on endothelial function, and
investigated if febuxostat (FX) can attenuate endothelial dysfunction by
inhibition of xanthine oxidase (XO). MATERIALS AND METHODS: Eighteen male New
Zealand white rabbits were divided randomly into sham-operated (S), PAF (P) or
FX+pacing (FP) groups. Group P and group FP received rapid atrial pacing (RAP).
Group FP was administered febuxostat (FX) for 7days before RAP. Post-procedure,
blood samples were collected from the LA, right atrium (RA) and peripheral
circulation. Tissues from the LA and RA were obtained. Endothelial dysfunction
(thrombomodulin [TM], von Willebrand factor [VWF], asymmetric dimethylarginine
[ADMA]), and indirect thrombin generation (thrombin-antithrombin complex [TAT],
prothrombin fragment 1+2 [F1.2]) and oxidative stress in atrial tissue (xanthine
oxidase [XO], superoxide dismutase [SOD], malondialdehyde [MDA]) were measured
using an Enzyme-linked immunosorbent assay. Atrial endothelial expression of TM
and VWF was measured by histology/western blotting. RESULTS AND CONCLUSIONS:
Endothelial dysfunction (TM, VWF, ADMA), TAT generation and oxidative stress (XO,
SOD, MDA) in group P were more significant compared with that in group S (p<0.05,
respectively). In group P, all of these changes occurred to a greater extent in
the LA compared with those in the RA or peripheral circulation. In group FP, FX
attenuated endothelial dysfunction and reduced TAT levels by inhibition of XO
mediated oxidative stress. PAF can lead to endothelial dysfunction and TAT
generation by XO-mediated oxidative stress. The LA is more susceptible to these
effects. FX can attenuate these changes by inhibition XO and XO-mediated
oxidative stress.
PMID- 27865967
TI - Usual and unusual mutations in a cohort of Belgian patients with hemophilia B.
PMID- 27865968
TI - The dorsomedial prefrontal cortex plays a causal role in mediating in-group
advantage in emotion recognition: A TMS study.
AB - Consistent evidence suggests that emotional facial expressions are better
recognized when the expresser and the perceiver belong to the same social group
(in-group advantage). In this study, we used transcranial magnetic stimulation
(TMS) to investigate the possible causal involvement of the dorsomedial
prefrontal cortex (dmPFC) and of the right temporo-parietal junction (TPJ), two
main nodes of the mentalizing neural network, in mediating the in-group advantage
in emotion recognition. Participants performed an emotion discrimination task in
a minimal (blue/green) group paradigm. We found that interfering with activity in
the dmPFC significantly interfered with the effect of minimal group-membership on
emotion recognition, reducing participants' ability to discriminate emotions
expressed by in-group members. In turn, rTPJ mainly affected emotion
discrimination per se, irrespective of group membership. Overall, our results
point to a causal role of the dmPFC in mediating the in-group advantage in
emotion recognition, favoring intragroup communication.
PMID- 27865969
TI - Age-related alterations in functional connectivity patterns during working memory
encoding of emotional items.
AB - Previous findings indicate age-related differences in frontal-amygdala
connectivity during emotional processing. However, direct evidence for age
differences in brain functional activation and connectivity during emotional
processing and concomitant behavioral implications is lacking. In the present
study, we examined the impact of aging on the neural signature of selective
attention to emotional information during working memory (WM) encoding.
Participants completed an emotional WM task in which they were asked to attend to
emotional targets and ignore irrelevant distractors. Despite an overall reduction
in accuracy for older relative to younger adults, no behavioral age effect was
observed as a function of emotional valence. The functional connectivity patterns
of left ventrolateral prefrontal cortex showed that younger adults recruited one
network for encoding of both positive and negative emotional targets and this
network contributed to higher memory accuracy in this cohort. Older adults, on
the other hand, engaged two distinct networks for encoding of positive and
negative targets. The functional connectivity analysis using left amygdala
further demonstrated that older adults recruited one single network during
encoding of positive as well as negative targets whereas younger adults recruited
this network only for encoding of negative items. The engagement of amygdala
functional network also contributed to higher memory performance and faster
response times in older adults. Our findings provide novel insights into the
differential roles of functional brain networks connected to the medial PFC and
amygdala during encoding of emotionally-valenced items with advancing age.
PMID- 27865970
TI - Insights into amyloid-like aggregation of H2 region of the C-terminal domain of
nucleophosmin.
AB - Nucleophosmin (NPM1) is a multifunctional protein involved in a variety of
biological processes including the pathogenesis of several human malignancies and
is the most frequently mutated gene in Acute Myeloid Leukemia (AML). To deepen
the role of protein regions in its biological activities, lately we reported on
the structural behavior of dissected C-terminal domain (CTD) helical fragments.
Unexpectedly the H2 (residues 264-277) and H3 AML-mutated regions showed a
remarkable tendency to form amyloid-like assemblies with fibrillar morphology and
beta-sheet structure that resulted as toxic when exposed to human neuroblastoma
cells. More recently NPM1 was found to be highly expressed and toxic in neurons
of mouse models of Huntington's disease (HD). Here we investigate the role of
each residue in the beta-strand aggregation process of H2 region of NPM1 by
performing a systematic alanine scan of its sequence and structural and kinetic
analyses of aggregation of derived peptides by means of Circular Dichorism (CD)
and Thioflavin T (Th-T) assay. These solution state investigations pointed out
the crucial role exerted by the basic amyloidogenic stretch of H2 (264-271) and
to shed light on the initial and main interactions involved in fibril formation
we performed studies on fibrils deriving from the related Ala peptides through
the analysis of fibrils with birefringence of polarized optical microscopy and
wide-angle X-ray scattering (WAXS). This analysis suggested that the presence of
branched Ile269 conferred preferential packing patterns that, instead, appeared
geometrically hampered by the aromatic side-chain of Phe268. Present
investigations could be useful to deepen the knowledge of AML molecular
mechanisms and the role of cytoplasmatic aggregates of NPM1c+.
PMID- 27865971
TI - Complete genome sequence of a non-pathogenic strain of Fowl Adenovirus serotype
11: Minimal genomic differences between pathogenic and non-pathogenic viruses.
AB - In this study, we conducted the clinicopathological characterization of a non
pathogenic FAdV-D serotype 11 strain MX95, isolated from healthy chickens, and
its entire genome was sequenced. Experiments in SPF chickens revealed that the
strain is a non-pathogenic virus that did not cause death at challenge doses of
1*106 TCID50. Additionally, the infection in SPF chickens caused no apparent
damage in most of the organs analyzed by necropsy and histopathology, but it did
cause inclusion body hepatitis; nevertheless it did not generate severe
infectious clinical symptoms. The virus was detected in several chicken organs,
including the lymphoid organs, by real-time polymerase chain reaction (PCR) until
42 days. The genome of FAdV-11 MX95 has a size of 44,326bp, and it encodes 36
open reading frames (ORFs). Comparative analysis of the genome indicated only
0.8% dissimilarity with a highly virulent serotype 11 that was previously
reported.
PMID- 27865972
TI - Paediatric urolithiasis in emerging economies.
AB - BACKGROUND: Paediatric urolithiasis remains endemic in low resource countries.
This review highlights the epidemiology, causation and management of urolithiasis
in an Asian country in the context of emerging economies. METHODS: A literature
review of recent articles with key words paediatric urolithiasis, developing
countries, endemic stone disease, stone composition, metabolic risk factors,
management of paediatric urolithiasis was undertaken and 51 relevant articles
were selected with the main focus on experience of this center in managing stone
disease in the last two decades. RESULTS: Prevalence of paediatric urolithiasis
is high upto 15% affecting children under 15 years with male predominance.
Bladder stones still constitutes 10-70% of the burden. Etiology remains unknown
where 55% are considered idiopathic, 25% metabolic, 7% infection and 12% due to
anatomical abnormalities. Hot climate, poor nutrition, diarrheal diseases are the
major causative factors. Chemical composition of stones showed CaOX in 30-63%,
AAU in 17-55%, struvite in 8-9%, uric acid in 3-6% and cystine in 1%. Important
metabolic risk factors are hypocitraturia in 63-87%, hyperoxaluria in 40-43%,
hypocalciuria in 20%, hyperuricosuria in 27%, hyperammonuria in 11-51% and
hypovolemia in 31%. Minimally invasive surgery is the mainstay of surgical
management. ESWL provides excellent free rates of 84% for smaller stones. PCNL is
the option for majority of renal stones with success rates of 89% for simple and
71.5% for complex stones. For bladder stones PUCL and PCCL success rates were
100%. URS for ureteric stones showed clearance rate of 90%. Open surgery is
required in 12% of patients with large stone burden. CONCLUSION: Paediatric
urolithiasis remains a devastating health problem in low resource settings. MIS
offers relief to majority of patients with excellent stone free rates and short
hospital stay. Preventable strategies have to be put in place by improving
nutrition and eliminating risk factors by diet and medical intervention.
PMID- 27865973
TI - Hypertension and other cardiovascular risk factors are associated with vitamin D
deficiency in an urban Chinese population: A short report.
AB - There is debate concerning the association of 25-hydroxyvitamin D (25OHD) and
parathyroid hormone (PTH) with cardiovascular disease (CVD) risk factors. As both
hypertension and vitamin D deficiency are increasingly important public health
concerns in China, we investigated these associations in 566 Macao residents. The
aim was to investigate the association of serum 25OHD and PTH concentrations with
CVD risk factors (hypertension, high pulse rate, abnormal blood lipids). The data
were stratified by age, sex, and blood pressure (BP) medication use. Multiple
linear regression analyses were performed to assess associations, adjusting for
appropriate confounders. Lower 25OHD concentrations were significantly associated
with higher systolic BP (SBP) mmHg (beta=-0.07), diastolic BP (DBP) mmHg (beta=
0.06) and pulse rate beats/min (beta=-0.12), lower high-density lipoprotein (HDL)
concentrations nmol/L (beta=10.51) and higher triglycerides (TG) nmol/L (beta=
2.38). However, the inverse associations with lower 25OHD for higher SBP, DBP,
pulse rate and TG were much stronger in those using BP medications, in those who
were older, and in females. Higher PTH concentrations were significantly
associated with higher low-density lipoprotein (LDL) nmol/L (beta=0.77) in the
total population and with higher SBP mmHg (beta=0.08) in males and those who were
older (beta=0.09). In conclusion, our major new finding is that lower 25OHD is
associated with higher BP especially in those who use BP medications. These
results might explain past discrepancies in findings regarding the association of
BP and vitamin D and suggest that prospective studies and randomized control
trials, in otherwise healthy Chinese populations taking blood pressure
medications, are needed to confirm these cross-sectional results.
PMID- 27865974
TI - Importance of the combined urinary procedure for the diagnosis of
Mucopolysaccharidoses.
AB - BACKGROUND: Mucopolysaccharidoses are characterized by the accumulation of
undegraded glycosaminoglycans in lysosomes in multiple organs and by their
excretion in high amounts in urine. The aim of this study is to determine if this
simple, reliable and reproducible method is useful for the diagnosis of
Mucopolysaccharidoses. METHODS: The study included 2154 normal urine samples and
210 samples from 73 patients affected by different types of
Mucopolysaccharidoses. The glycosaminoglycans were quantified by a
dimethylmethylene blue method and size-fractionated by a modified one-dimensional
electrophoresis method. RESULTS: The combination of the two methods allowed to
identify all the patients affected by the different types of
Mucopolysaccharidosis with 100% sensitivity and specificity. CONCLUSION: This
combined approach gives fast diagnostic orientation about the different types of
Mucopolysaccharidoses, offering an important tool for a better understanding of
diagnosis and patient management.
PMID- 27865976
TI - Comment on treatment for recurrent vulvovaginal candidiasis.
PMID- 27865975
TI - Differences in placental telomere length suggest a link between racial
disparities in birth outcomes and cellular aging.
AB - BACKGROUND: Health disparities begin early in life and persist across the life
course. Despite current efforts, black women exhibit greater risk for pregnancy
complications and negative perinatal outcomes compared with white women. The
placenta, which is a complex multi-tissue organ, serves as the primary transducer
of bidirectional information between the mother and fetus. Altered placental
function is linked to multiple racially disparate pregnancy complications;
however, little is known about racial differences in molecular factors within the
placenta. Several pregnancy complications, which include preeclampsia and fetal
growth restriction, exhibit racial disparities and are associated with shorter
placental telomere length, which is an indicator of cellular stress and aging.
Cellular senescence and telomere dynamics are linked to the molecular mechanisms
that are associated with the onset of labor and parturition. Further, racial
differences in telomere length are found in a range of different peripheral
tissues. Together these factors suggest that exploration of racial differences in
telomere length of the placenta may provide novel mechanistic insight into racial
disparities in birth outcomes. OBJECTIVE: This study examined whether telomere
length measured in 4 distinct fetally derived tissues were significantly
different between black and white women. The study had 2 hypotheses: (1) that
telomere length that is measured in different placental tissue types would be
correlated and (2) that across all sampled tissues telomere length would differ
by race. STUDY DESIGN: In a prospective study, placental tissue samples were
collected from the amnion, chorion, villus, and umbilical cord from black and
white singleton pregnancies (N=46). Telomere length was determined with the use
of monochrome multiplex quantitative real-time polymerase chain reaction in each
placental tissue. Demographic and pregnancy-related data were also collected.
Descriptive statistics characterized the sample overall and among black and white
women separately. The overall impact of race was assessed by multilevel mixed
effects linear regression models that included empirically relevant covariates.
RESULTS: Telomere length was correlated significantly across all placental
tissues. Pairwise analyses of placental tissue telomere length revealed
significantly longer telomere length in the amnion compared with the chorion (t=
2.06; P=.043). Overall telomere length measured in placenta samples from black
mothers were significantly shorter than those from white mothers (beta=-0.09;
P=.04). Controlling for relevant maternal and infant characteristics strengthened
the significance of the observed racial differences (beta=-0.12; P=.02). Within
tissue analyses revealed that the greatest difference by race was found in
chorionic telomere length (t=-2.81; P=.007). CONCLUSION: These findings provide
the first evidence of racial differences in placental telomere length. Telomere
length was significantly shorter in placental samples from black mothers compared
with white mothers. Given previous studies that have reported that telomere
length, cellular senescence, and telomere dynamics are molecular factors that
contribute to the rupture of the amniotic sac, onset of labor, and parturition,
our findings of shorter telomere length in placentas from black mothers suggest
that accelerated cellular aging across placental tissues may be relevant to the
increased risk of preterm delivery in black pregnancies. Our results suggest that
racial differences in cellular aging in the placenta contribute to the earliest
roots of health disparities.
PMID- 27865977
TI - Racial/ethnic differences in preterm perinatal outcomes.
AB - BACKGROUND: Racial disparities in preterm birth and infant death have been well
documented. Less is known about racial disparities in neonatal morbidities among
infants who are born at <37 weeks of gestation. OBJECTIVE: The purpose of this
study was to determine whether the risk for morbidity and death among infants who
are born preterm differs by maternal race. STUDY DESIGN: A retrospective cohort
design included medical records from preterm deliveries of 19,325 black,
Hispanic, and white women in the Consortium on Safe Labor. Sequentially adjusted
Poisson models with generalized estimating equations estimated racial differences
in the risk for neonatal morbidities and death, controlling for maternal
demographics, health behaviors, and medical history. Sex differences between and
within race were examined. RESULTS: Black preterm infants had an elevated risk
for perinatal death, but there was no difference in risk for neonatal death
across racial groups. Relative to white infants, black infants were significantly
more likely to experience sepsis (9.1% vs 13.6%), peri- or intraventricular
hemorrhage (2.6% vs 3.3%), intracranial hemorrhage (0.6% vs 1.8%), and
retinopathy of prematurity (1.0% vs 2.6%). Hispanic and white preterm neonates
had similar risk profiles. In general, female infants had lower risk relative to
male infants, with white female infants having the lowest prevalence of a
composite indicator of perinatal death or any morbidity across all races (30.9%).
Differences in maternal demographics, health behaviors, and medical history did
little to influence these associations, which were robust to sensitivity analyses
of pregnancy complications as potential underlying mechanisms. CONCLUSION:
Preterm infants were at similar risk for neonatal death, regardless of race;
however, there were notable racial disparities and sex differences in rare, but
serious, adverse neonatal morbidities.
PMID- 27865978
TI - Reply.
PMID- 27865979
TI - Characterizing women with interest in uterine transplant clinical trials in the
United States: who seeks information on this experimental treatment?
PMID- 27865981
TI - SRB1 as a new redox target of cigarette smoke in human sebocytes.
AB - For its critical location, the skin represents the major interface between the
body and the environment, therefore is one of the major biological barriers
against the outdoor environmental stressors. Among the several oxidative
environmental stressors, cigarette smoke (CS) has been associated with the
development and worsening of many skin pathologies such as acne, dermatitis,
delayed wound healing, aging and skin cancer. In our previous work we have
demonstrated that CS is able to affect genes involved in skin cholesterol
trafficking, among which SRB1, a receptor involved in the uptake of cholesterol
from HDL, seems to be very susceptible to the oxidative stress induced by CS. In
the present work we wanted to investigate the presence of SRB1 in human sebocytes
and whether CS can affect cholesterol cellular uptake via the redox modulation of
SRB1. By using a co-culture system of keratinocytes/sebocytes, we found that CS
exposure induced a SRB1 protein loss without affecting sebocytes viability. The
decrease of SRB1 levels was a consequence of SRB1/HNE adducts formation that
leads to SRB1 ubiquitination and degradation. Moreover, the CS-induced loss of
SRB1 induced an alteration of sebocytes lipid content, also demonstrated by
cholesterol quantification in SRB1 siRNA experiments. In conclusion, exposure to
CS, induced SRB1 post-translational modifications in sebocytes and this might
affect sebocytes/skin functionality.
PMID- 27865983
TI - Transdermal therapeutic systems for memantine delivery. Comparison of passive and
iontophoretic transport.
AB - Memantine is a non-competitive N-methyl-d-aspartate (NMDA) receptor antagonist
used in the treatment of moderate to severe dementia including the symptoms of
Alzheimer's disease (AD). It is administered orally but compliance, swallowing
problems and the routine use of multiple medications in elderly AD patients means
that an alternative route of administration would be of interest. The aim of the
present study was to develop memantine hydrochloride occlusive transdermal
therapeutic systems (TTS) for passive and iontophoretic delivery across the skin.
Polyvinyl pyrrolidone (PVP) and a mixture with polyvinyl alcohol (PVA) were
employed as polymeric matrices. The study involved the TTS characterization in
addition to quantification of the memantine transport across porcine skin in
vitro. The evaluation of the TTS physical properties suggested that systems were
made more mechanically resistant by including PVA (6%) or high concentrations of
PVP (24%). Moreover, a linear correlation was observed between the concentration
of PVP and the bioadhesion of the systems. Drug delivery experiments showed that
the highest transdermal flux provided by a passive TTS (PVP 24% w/w limonene) was
8.89+/-0.81MUgcm-2h-1 whereas the highest iontophoretic transport was 46.4+/
3.6MUgcm-2h-1. These innovative TTS would enable two dosage regimens that could
lead to therapeutic plasma concentrations.
PMID- 27865986
TI - Persistence of antimicrobial resistance in respiratory streptococci.
AB - OBJECTIVES: To assess whether persistence of antimicrobial resistance (i.e. non
susceptible resistance status) after treatment with penicillins or cephalosporins
versus macrolides or tetracyclines differs and to compare the results obtained
using routinely collected data with findings reported in prospective studies.
METHODS: Routinely collected microbiological data from 14 voluntary participating
laboratories (2005) containing information on resistance status and individual
antimicrobial consumption patterns (mid 2004-2005) were analysed using a
generalised estimating equation (GEE) approach. The link function was adjusted to
acknowledge that the proportion of resistant isolates in the population not
treated with antibiotics [baseline resistance (BR)] is not necessarily zero. To
optimise the comparability of this study with prospective studies, the analysis
was repeated after removal of 14 isolates from patients who did not survive 2005.
RESULTS: BR estimates were unstable and their confidence intervals were wide,
which called for a sensitivity analysis using an adjusted GEE model with three
different BR estimates. All models indicated that the proportion of susceptible
isolates differed by treatment group and increased significantly over time, with
this increase being independent of treatment group. Persistence of resistance
after exposure to macrolides or tetracyclines was approximately three times as
long as after exposure to penicillins or cephalosporins. CONCLUSIONS: Resistance
following treatment with macrolides or tetracyclines persists longer than
following treatment with penicillins or cephalosporins, which confirms the
findings from prospective studies and suggests the use of routinely collected
data as a valuable alternative to determine such differences in persistence of
resistance.
PMID- 27865980
TI - Aging of cerebral white matter.
AB - White matter (WM) occupies a large volume of the human cerebrum and is mainly
composed of myelinated axons and myelin-producing glial cells. The myelinated
axons within WM are the structural foundation for efficient neurotransmission
between cortical and subcortical areas. Similar to neuron-enriched gray matter
areas, WM undergoes a series of changes during the process of aging. WM
malfunction can induce serious neurobehavioral and cognitive impairments. Thus,
age-related changes in WM may contribute to the functional decline observed in
the elderly. In addition, aged WM becomes more susceptible to neurological
disorders, such as stroke, traumatic brain injury (TBI), and neurodegeneration.
In this review, we summarize the structural and functional alterations of WM in
natural aging and speculate on the underlying mechanisms. We also discuss how age
related WM changes influence the progression of various brain disorders,
including ischemic and hemorrhagic stroke, TBI, Alzheimer's disease, and
Parkinson's disease. Although the physiology of WM is still poorly understood
relative to gray matter, WM is a rational therapeutic target for a number of
neurological and psychiatric conditions.
PMID- 27865985
TI - cfr-mediated linezolid-resistant clinical isolates of methicillin-resistant
coagulase-negative staphylococci from China.
AB - Three linezolid-resistant coagulase-negative staphylococci (LR-CoNS), including
two Staphylococcus cohnii and one Staphylococcus capitis, were isolated from 1104
clinical staphylococcal isolates across China in 2013-2014. Antibiotic
susceptibilities of the bacteria were determined by the agar dilution method. PCR
and DNA sequencing were performed to determine the potential molecular mechanism
of linezolid resistance. The two linezolid-resistant S. cohnii isolates were
subjected to pulsed-field gel electrophoresis (PFGE) to investigate their genetic
relatedness. Primer walking, S1 nuclease PFGE and Southern blot hybridisation
were conducted to ascertain the location and environment of the cfr gene. All
three isolates were positive for the cfr gene. Amino acid mutations S158F and
S158Y in the ribosomal protein L3 were identified in S. cohnii 13B289 and 13L105,
respectively, both of which also had an additional substitution (D159Y) in L3.
PFGE indicated that the two S. cohnii isolates belonged to diverse clonal
strains. S1 nuclease PFGE and Southern blotting experiments indicated that the
cfr gene of the three isolates resided on plasmids of similar size (ca. 35.4kb).
The cfr-harbouring segments of S. capitis 13G350 and S. cohnii 13L105 were
identical to plasmid pSS-01 reported previously. The cfr-carrying fragment of S.
cohnii 13B289 was indistinguishable from the formerly described plasmid pSS-02.
In conclusion, the presence of the cfr gene located on a plasmid was the main
mechanism contributing to resistance to linezolid in the three staphylococcal
isolates. Hence, timely detection and judicious use of antibiotics are essential
to prevent further transmission of this resistance mechanism.
PMID- 27865984
TI - Fabrication of liposomal doxorubicin exhibiting ultrasensitivity against
phospholipase A2 for efficient pulmonary drug delivery to lung cancers.
AB - Phospholipase A2 (PLA2) is expressed in inflammation-related tissue, including
cancer tumors. We report that a hybrid liposome composed of phospholipid (DPPC)
and PEGylated block-copolymer (Poloxamer 188) can rapidly release an encapsulated
hydrophilic drug in the presence of PLA2. DPPC/P188 liposomes released
approximately 80% of the encapsulated calcein (a fluorescence marker) within
10min in the presence of 120 mU of PLA2 at 37 degrees C in vitro, whereas several
other liposomal compositions used for inhalation therapy did not. DPPC/P188
liposomes were stable in the absence of PLA2 at 37 degrees C after 60min
incubation and drug release by PLA2 was dependent on the amount of P188
incorporated into the DPPC liposomes. Drug release from doxorubicin (DOX,
anticancer drug)-loaded DPPC/P188 liposomes was facilitated at higher PLA2
concentrations and was dependent on the temperature and the presence of calcium
ion, thus partially explaining PLA2-responsive drug release. DOX release from
liposomes triggered by PLA2 exhibited the same cytotoxic effects on the A549 lung
cancer cell line as did DOX in free solution. These findings suggest that
DPPC/P188 liposomes are a promising drug carrier for delivering drug efficiently
at PLA2-expressing sites such as inflammatory lung cancer.
PMID- 27865982
TI - Hide and seek: How do DNA glycosylases locate oxidatively damaged DNA bases
amidst a sea of undamaged bases?
AB - The first step of the base excision repair (BER) pathway responsible for removing
oxidative DNA damage utilizes DNA glycosylases to find and remove the damaged DNA
base. How glycosylases find the damaged base amidst a sea of undamaged bases has
long been a question in the BER field. Single molecule total internal reflection
fluorescence microscopy (SM TIRFM) experiments have allowed for an exciting look
into this search mechanism and have found that DNA glycosylases scan along the
DNA backbone in a bidirectional and random fashion. By comparing the search
behavior of bacterial glycosylases from different structural families and with
varying substrate specificities, it was found that glycosylases search for damage
by periodically inserting a wedge residue into the DNA stack as they redundantly
search tracks of DNA that are 450-600bp in length. These studies open up a wealth
of possibilities for further study in real time of the interactions of DNA
glycosylases and other BER enzymes with various DNA substrates.
PMID- 27865987
TI - Sexy online self-presentation on social network sites and the willingness to
engage in sexting: A comparison of gender and age.
AB - The present study investigated whether engaging in sexy self-presentations on
social network sites (SNSs) or exposure to sexy self-presentations on SNSs
predicts the willingness to engage in sexting. A second aim of the present study
was to investigate whether adolescent girls demonstrate stronger relationships
between (exposure to) sexy online self-presentations on SNSs and willingness to
sext than adolescent boys and young adult men and women. A two-wave panel survey
among 953 Dutch adolescents (13-17 years old, 50.7% male) and 899 Dutch young
adults (18-25 years old, 43.9% male) showed that engaging in sexy self
presentations on SNSs increased the willingness to engage in sexting, but only
among adolescent girls. Exposure to sexy self-presentations of others did not
predict the willingness to engage in sexting. The findings call for more research
on the role of gender and age in the link between sexy self-presentation and
sexting.
PMID- 27865988
TI - Persistent and new-onset daytime sleepiness in pregnant women: A prospective
observational cohort study.
AB - BACKGROUND: Daytime sleepiness is a frequent complaint in women during pregnancy.
It has also been linked to negative obstetric consequences. Although high
prevalence of excessive daytime sleepiness throughout pregnancy is well
documented, neither the causes of persistent daytime sleepiness nor new-onset
daytime sleepiness during pregnancy have been investigated. Identifying
predictive factors may play an important role in the management of daytime
sleepiness in pregnant women and improve prenatal care and maternal-fetal
outcomes. OBJECTIVES: To examine first-trimester maternal characteristics
associated with the persistence and new-onset daytime sleepiness in pregnant
women. DESIGN: A longitudinal, prospective cohort design. SETTING: One medical
center in Taipei, Taiwan and participating women's homes. PARTICIPANTS: A total
of 204 pregnant women. METHODS: First-trimester pregnant women recruited from an
outpatient obstetric clinic at a medical center provided socio-demographic and
health information, wore an actigraphy monitor for 7 days, and completed sleep,
mood, and daytime sleepiness questionnaires. Data were collected again when the
women were in the second and third trimester. RESULTS: Thirty-one (15.2%) women
experienced excessive daytime sleepiness that persisted across all three
trimesters. Nulliparous women and women who snored in the first trimester were
2.28 and 2.10 times more at risk of being classified of persistent daytime
sleepiness than multiparous women and women who did not snore in the first
trimester, respectively. Thirty-one (15.2%) women developed new-onset daytime
sleepiness with advancing gestation. Women were more likely to develop new-onset
daytime sleepiness if they worked longer hours per week (OR=1.04, p<0.001), if
they reported snoring (OR=6.75, p<0.001), and if they had elevated depressive
symptoms in the first trimester of pregnancy (OR=1.09, p=0.01). CONCLUSIONS:
Snoring in the first trimester is involved in both the persistence and new-onset
of daytime sleepiness with elevated depressive symptoms related to new-onset
daytime sleepiness in pregnant women. Findings suggest that intervention
strategies for alleviating daytime sleepiness in pregnant women should focus on
managing snoring and symptoms of depression in early trimesters with special
attention to nulliparous and employed women.
PMID- 27865990
TI - Exploring the link between gastric motility and intragastric drug distribution in
man.
AB - In drug development, the stomach is often considered to be a simple, one
compartmental organ, a waiting room for transfer of an orally administered dosage
form to the duodenum. However, factors such as gastric acidity and hydrodynamics
in the gastric environment may influence drug disposition. Although a link
between gastrointestinal drug behaviour and gastric motility has often been
hypothesized, they have not been simultaneously investigated in humans yet. In
this proof-of-concept study, the combination of a well-established intraluminal
sampling technique with high-resolution manometric measurements in the
gastrointestinal tract was evaluated. This new combination of in vivo techniques
proved to be feasible from a practical point of view and yielded valuable
additional information regarding intraluminal drug behaviour. As a first
application, the link between fasted state gastric motility and (in)homogeneous
distribution of an orally administered drug in the stomach was investigated in
healthy subjects. To this end, drug concentrations were measured in different
regions of the stomach after oral administration of a commercially available drug
product (Gabbroral(r), 250mg paromomycin) during a specific period of gastric
contractile activity. A clear trend towards better mixing of an orally
administered drug with gastric contents was observed when dosed in the presence
of gastric contractions, resulting in a more homogeneous distribution of the drug
throughout the stomach compared to dosing in the absence of gastric contractions.
PMID- 27865989
TI - Drug delivery across intact and disrupted skin barrier: Identification of cell
populations interacting with penetrated thermoresponsive nanogels.
AB - Nanoscaled soft particles, such as nanogels, can be designed to incorporate
different types of compounds and release them in a controlled and triggered
manner. Thermoresponsive nanogels (tNG), releasing their cargo above a defined
temperature, are promising carrier systems for inflammatory skin diseases, where
the temperature of diseased skin differs from that of healthy skin areas. In this
study a polyglycerol-based tNG with diameter of 156nm was investigated for
penetration and release properties upon topical application on ex vivo human skin
with intact or disrupted barrier. Furthermore, temperature-triggered effects and
the internalization of tNG by skin cells upon translocation to the viable skin
layers were analyzed. The investigated tNG were tagged with indodicarbocyanine
and loaded with fluorescein, so that fluorescent microscopy and flow cytometry
could be used to evaluate simultaneously particle penetration and release of the
fluorochrome. Topically applied tNG penetrated into the SC of both intact and
disrupted skin explants. Only in barrier-disrupted skin significant amounts of
released fluorochrome and tNG penetrated in the epidermis and dermis 2h after
topical application. When a thermal trigger was applied by infrared radiation
(30s, 3.9mJ/cm2), a significantly higher penetration of tNG in the SC and release
of the dye in the epidermis were detected with respect to non-triggered samples.
Penetrated tNG particles were internalized by skin cells in both epidermis and
dermis. Only few CD1a-positive Langerhans cells associated with tNG were found in
the epidermis. However, in the dermis a significant percentage of cells
associated with tNG were identified to be antigen presenting cells, i.e. HLA
DR+and CD206+cells. Thus, tNG represent promising carrier systems for the
treatment of inflammatory skin diseases, not only because of their improved
penetration and controlled release properties, but also because of their ability
to effectively reach dermal dendritic cells in barrier-disrupted skin.
PMID- 27865991
TI - Recent advances in multiaxial electrospinning for drug delivery.
AB - Electrospun fibers have seen an insurgence in biomedical applications due to
their unique characteristics. Coaxial and triaxial electrospinning techniques
have added new impetus via fabrication of multilayered nano and micro-size
fibers. These techniques offer the possibility of forming fibers with features
such as blending, reinforced core, porous and hollow structure. The unique
fabrication process can be used to tailor the mechanical properties, biological
properties and release of various factors, which can potentially be useful in
various controlled drug delivery applications. Harvesting these advantages,
various polymers and their combinations have been explored in a number of drug
delivery and tissue regeneration applications. New advances have shown the
requirement of drug-polymer compatibility in addition to drug-solvent
compatibility. We summarize recent findings using both hydrophilic and
hydrophobic (or lipophilic) drugs in hydrophobic or hydrophilic polymers on
release behavior. We also describe the fundamental forces involved during the
electrospinning process providing insight to the factors to be considered to form
fibers. Also, various modeling efforts on the drug release profiles are
summarized. In addition new developments in the immune response to the
electrospun fibers, and advances in scale-up issues needed for industrial size
manufacturing.
PMID- 27865993
TI - Fifteen-month-old infants' cortisol levels decrease after a 30-min-warm-up
procedure.
AB - Stress-induction procedures designed to increase cortisol levels in infants have
been ineffective in many studies. One reason might be that infants did not have
sufficient time to settle into the laboratory environment prior to the start of
the stress induction, and thus already had high baseline levels of cortisol. In
this study we investigate whether an extended warm-up period reduces infants'
(N=22) cortisol levels. Fifteen-month-old infants' saliva cortisol was measured
upon arrival at the laboratory. Then, they were allowed to play with their
parent. After 30min, cortisol was measured again. There was a decrease in
cortisol after 30min of free play. Our study suggests that infants' cortisol
levels decrease when infants have the opportunity to acclimatize to the test
environment. An extended warm-up phase prior to stress induction procedures might
be necessary to reliably increase cortisol levels in infants.
PMID- 27865992
TI - In 6- to 8-year-old children, hair cortisol is associated with body mass index
and somatic complaints, but not with stress, health-related quality of life,
blood pressure, retinal vessel diameters, and cardiorespiratory fitness.
AB - OBJECTIVES: Hair cortisol measurement has become an increasingly accepted
approach in endocrinology and biopsychology. However, while in adult research
hair cortisol has been proposed as a relevant biomarker for chronic stress (and
its adverse consequences), studies with children are scarce. Therefore, the goal
of the present exploratory study was to examine the associations between hair
cortisol concentrations (HCCs), stress, and a series of health-related outcomes
in a sample of Swiss first grade schoolchildren. METHODS: The sample consisted of
318 children (53% girls, Mage=7.26, SD=0.35). Hair strands were taken near the
scalp from a posterior vertex position, and HCCs were tested for the first 3-cm
hair segment. Parents provided information about their children's age, gender,
parental education, children's stress (recent critical life events, daily
hassles), health-related quality of life, and psychosomatic complaints. Body
composition, blood pressure, retinal vessel diameters, and cardiorespiratory
fitness were measured with established methods. RESULTS: In multiple regression
analyses, higher HCCs were weakly associated with increased BMI in girls
(beta=0.22, p<0.001), whereas higher HCCs were associated with increased somatic
complaints in boys (beta=0.20, p<0.05). No significant relationships were found
between HCCs and parental reports of stress, health-related quality of life,
blood pressure, retinal vessel diameters, and cardiorespiratory fitness.
CONCLUSIONS: Although small significant relationships were found between HCCs,
BMI and somatic complaints, the findings of this exploratory study challenge the
view that HCCs can be used as a reliable biomarker of recent critical life
events, daily hassles, health-related quality of life, and cardiovascular health
indicators in non-clinical young children.
PMID- 27865994
TI - Phenanthroline-bis-oxazole ligands for binding and stabilization of G
quadruplexes.
AB - BACKGROUND: G-quadruplexes (G4) are found at important genome regions such as
telomere ends and oncogene promoters. One prominent strategy to explore the
therapeutic potential of G4 is stabilized it with specific ligands. METHODS: We
report the synthesis of new phenanthroline, phenyl and quinoline acyclic
bisoxazole compounds in order to explore and evaluate the targeting to c-myc and
human telomeric repeat 22AG G4 using FRET-melting, CD-melting, NMR, fluorescence
titrations and FID assays. RESULTS: The design strategy has led to potent
compounds (Phen-1 and Phen-2) that discriminate different G4 structures (human
telomeric sequences and c-myc promoter) and selectively stabilize G4 over duplex
DNA. CD studies show that Phen-2 binds and induces antiparallel topologies in
22AG quadruplex and also binds c-myc promotor, increasing their Tm in about 12
degrees C and 30 degrees C respectively. In contrast, Phen-1 induces parallel
topologies in 22AG and c-myc, with a moderate stabilization of 4 degrees C for
both sequences. Consistent with a CD melting study, Phen-2 binds strongly (K=106
to 107M-1) to c-myc and 22AG quadruplexes. CONCLUSIONS: Phen-1 and Phen-2
discriminated among various quadruplex topologies and exhibited high selectivity
for quadruplexes over duplexes. Phen-2 retains antiparallel topologies for
quadruplex 22AG and does not induce conformational changes on the parallel c-myc
quadruplex although Phen-1 favors the parallel topology. NMR studies also showed
that the Phen-2 binds to the c-myc quadruplex via end stacking. GENERAL
SIGNIFICANCE: Overall, the results suggest the importance of Phen-2 as a scaffold
for the fine-tuning with substituents in order to enhance binding and
stabilization to G4 structures. This article is part of a Special Issue entitled
"G-quadruplex" Guest Editor: Dr. Concetta Giancola and Dr. Daniela Montesarchio.
PMID- 27865995
TI - G-quadruplex-based aptamers against protein targets in therapy and diagnostics.
AB - Nucleic acid aptamers are single-stranded DNA or RNA molecules identified to
recognize with high affinity specific targets including proteins, small
molecules, ions, whole cells and even entire organisms, such as viruses or
bacteria. They can be identified from combinatorial libraries of DNA or RNA
oligonucleotides by SELEX technology, an in vitro iterative selection procedure
consisting of binding (capture), partitioning and amplification steps.
Remarkably, many of the aptamers selected against biologically relevant protein
targets are G-rich sequences that can fold into stable G-quadruplex (G4)
structures. Aiming at disseminating novel inspiring ideas within the scientific
community in the field of G4-structures, the emphasis of this review is placed
on: 1) recent advancements in SELEX technology for the efficient and rapid
identification of new candidate aptamers (introduction of microfluidic systems
and next generation sequencing); 2) recurrence of G4 structures in aptamers
selected by SELEX against biologically relevant protein targets; 3) discovery of
several G4-forming motifs in important regulatory regions of the human or viral
genome bound by endogenous proteins, which per se can result into potential
aptamers; 4) an updated overview of G4-based aptamers with therapeutic potential
and 5) a discussion on the most attractive G4-based aptamers for diagnostic
applications. This article is part of a Special Issue entitled "G-quadruplex"
Guest Editor: Dr. Concetta Giancola and Dr. Daniela Montesarchio.
PMID- 27865996
TI - In vivo effects of metal ions on conformation and mechanical performance of
silkworm silks.
AB - BACKGROUND: The mechanism of silk fiber formation is of particular interest.
Although in vitro evidence has shown that metal ions affect conformational
transitions of silks, the in vivo effects of metal ions on silk conformations and
mechanical performance are still unclear. METHODS: This study explored the
effects of metal ions on silk conformations and mechanical properties of silk
fibers by adding K+ and Cu2+ into the silk fibroin solutions or injecting them
into the silkworms. Aimed by CD analysis, FTIR analysis, and mechanical testing,
the conformational and mechanical changes of the silks were estimated. By using
BION Web Server, the interactions of K+ and N-terminal of silk fibroin were also
simulated. RESULTS: We presented that K+ and Cu2+ induced the conformational
transitions of silk fibroin by forming beta-sheet structures. Moreover, the
mechanical parameters of silk fibers, such as strength, toughness and Young's
modulus, were also improved after K+ or Cu2+ injection. Using BION Web Server, we
found that potassium ions may have strong electrostatic interactions with the
negatively charged residues. CONCLUSION: We suggest that K+ and Cu2+ play crucial
roles in the conformation and mechanical performances of silks and they are
involved in the silk fiber formation in vivo. GENERAL SIGNIFICANCE: Our results
are helpful for clarifying the mechanism of silk fiber formation, and provide
insights for modifying the mechanical properties of silk fibers.
PMID- 27865998
TI - Intensive LDL-cholesterol lowering therapy and neurocognitive function.
AB - The key lipid-lowering target is to achieve guideline-recommended low-density
lipoprotein cholesterol (LDL-C) levels, usually by using statins. The new
treatment strategies for lipid-lowering therapy include using proprotein
convertase subtilisin/kexin type 9 (PCSK9) inhibitors as an exciting approach to
reduce residual risk of cardiovascular diseases (CVD). However, concerns about
possible adverse effects, including neurocognitive disorders, were issued by the
Food and Drug Administration (FDA). The current disputable evidence does not
allow definite conclusions as to whether statins contribute to, or cause,
clinically meaningful cognitive impairment. Some evidence indicates a high rate
of memory loss, while other evidence suggests a benefit in dementia prevention.
This debate should not discourage appropriate statin and other lipid-lowering
drug administration. However, prescribers should be aware of such potential drug
related side effects. Prospective controlled studies comparing the short- and
long-term effects of different statins on cognitive function are warranted. The
effects of intensive LDL-C lowering on neurocognition might be attributed to an
off-target effect. It is also possible that pre-existing pathology and vascular
risk may already be present outweighing any effect related to lipids. Gender,
genetic, LDL-C-related genotypes and aging-related changes should also be
considered. Some data indicate that carriers of apolipoprotein E (apoE) epsilon-4
allele, with low levels of apoA1 and high-density lipoprotein cholesterol have a
distinct plasma lipid profile and may be more susceptible to neurocognitive
dysfunction. Future research on lipid-lowering drugs and cognition is needed;
careful study design and analysis will be critical.
PMID- 27865999
TI - Approaches to improve metabolic stability of a statine-based GRP receptor
antagonist.
AB - : The bombesin receptor family, in particular the gastrin-releasing peptide
receptor (GRPr), is an attractive target in the field of nuclear oncology due to
the high density of these receptors on the cell surface of several human tumors.
The successful clinical implementation of 64Cu-CB-TE2A-AR06, 68Ga-RM2 and 68Ga
NODAGA-MJ9, prompted us to continue the development of GRPr-antagonists. The aim
of the present study was to assess if N-terminal modulations of the statine-based
GRPr-antagonist influence the binding affinity, the pharmacokinetic performance
and the in vivo metabolic stability. METHODS: The GRPr-antagonist (D-Phe-Gln-Trp
Ala-Val-Gly-His-Sta-Leu-NH2) was functionalized with the chelator 1,4,7,10
tetraazacyclododecane-1,4,7,10-tetraacetic acid (DOTA) via the spacer 4-amino-1
carboxymethyl-piperidine (Pip) and the amino acid N-Methyl-beta-Ala, to obtain
NMe-RM2 and labeled with 68Ga and 177Lu. The GRPr affinity of the corresponding
metalloconjugates determined using [125I-Tyr4]-BN as radioligand. In vitro
evaluation included internalization studies using PC3 cells. The 68Ga-conjugate
was evaluated in PC3 xenografts by biodistribution and PET studies, while
investigations on the metabolic stability and plasma protein binding were
performed. RESULTS: The half maximum inhibitory concentrations (IC50) of the
metalloconjugates, using [125I-Tyr4]-BN, are in the low nanomolar range. PC3-cell
culture binding studies of both metallated NMe-RM2 and RM2 show high GRPr-bound
activity and low internalization. Metabolic studies showed that 68Ga-NMe-RM2 and
68Ga-RM2 are being cleaved in a similar fashion into three metabolites, with a
good proportion of about 50% of the remaining blood activity at 15min post
injection (p.i.) being represented by the intact radiotracer. 68Ga-NMe-RM2 was
shown to target specifically PC3 xenografts, with high and sustained tumor uptake
of about 13% IA/g within a time frame of 3h. The PET images clearly visualized
the tumor. CONCLUSIONS: The relatively high percentage of the remaining intact
radiotracer in blood 15min post injection sufficiently enables in vivo targeting
of GRPr positive tumors, finding which has been also shown in clinical trials.
PMID- 27865997
TI - Homogentisic acid induces aggregation and fibrillation of amyloidogenic proteins.
AB - BACKGROUND: Alkaptonuria (AKU) is an ultra-rare inborn error of metabolism
characterized by homogentisic acid (HGA) accumulation due to a deficient activity
of the homogentisate 1.2-dioxygenase (HGD) enzyme. This leads to the production
of dark pigments that are deposited onto connective tissues, a condition named
'ochronosis' and whose mechanisms are not completely clear. Recently, the
potential role of hitherto unidentified proteins in the ochronotic process was
hypothesized, and the presence of Serum Amyloid A (SAA) in alkaptonuric tissues
was reported, allowing the classification of AKU as a novel secondary
amyloidosis. METHODS: Gel electrophoresis, Western Blot, Congo Red-based assays
and electron microscopy were used to investigate the effects of HGA on the
aggregation and fibrillation propensity of amyloidogenic proteins and peptides
[Abeta(1-42), transthyretin, atrial natriuretic peptide, alpha-synuclein and
SAA]. LC/MS and in silico analyses were undertaken to identify possible binding
sites for HGA (or its oxidative metabolite, a benzoquinone acetate or BQA) in
SAA. RESULTS: We found that HGA might act as an amyloid aggregation enhancer in
vitro for all the tested proteins and peptides in a time- and dose- dependent
fashion, and identified a small crevice at the interface between two HGD subunits
as a candidate binding site for HGA/BQA. CONCLUSIONS: HGA might be an important
amyloid co- component playing significant roles in AKU amyloidosis. GENERAL
SIGNIFICANCE: Our results provide a possible explanation for the clinically
verified onset of amyloidotic processes in AKU and might lay the basis to setup
proper pharmacological approaches to alkaptonuric ochronosis, which are still
lacking.
PMID- 27866000
TI - Effectiveness of final decontamination protocols against Enterococcus faecalis
and its influence on bond strength of filling material to root canal dentin.
AB - AIM: The aim of this study was to evaluate the effectiveness of final
decontamination protocols against Enterococcus faecalis and their influence on
bond strength of filling material to root canal dentin. MATERIAL AND METHODS:
Ninety root canals were enlarged with ProTaper system and inoculated with E.
faecalis for 15days. Sixty samples were randomly divided into six groups (n=10)
and subjected to following protocols: G1-distilled water(control), G2-2%
chlorhexidine, G3-QMix, G4-6.5% grape seed extract, G5-photodynamic therapy with
optical fiber and G6-photodynamic therapy without optical fiber. The percentage
of bacterial reduction was checked by counting of CFUs. The remaining 30 samples
were subjected to the same decontamination protocols (n=5) and filled with gutta
percha and AH Plus sealer in order to perform the push-out test. Data from both
tests were subjected to one-way ANOVA followed by Tukey's post hoc procedure
(alpha=0.05). RESULTS: The greatest bacterial reduction was observed for 2%
chlorhexidine, QMix and 6.5% grape seed extract, with no statistically
significant difference between them. Photodynamic therapy, with and without
optical fiber, demonstrated a significantly higher reduction than distilled
water, with no statistically significant difference between them (p<0.05). For
the push-out test, final decontamination protocols showed similar bond strength
values (p<0.05), with the highest incidence of cohesive failure in all groups.
CONCLUSIONS: The tested final decontamination protocols showed effectiveness
against E. faecalis and did not interfere with the bond strength of filling
material to root canal dentin.
PMID- 27866003
TI - News from the plant world: Listening to transcription.
PMID- 27866002
TI - Live bio-imaging with fully bio-compatible organic fluorophores.
AB - We synthesized a new organic fluorescent dye named resveratrone glucoside from
the photoreaction of naturally-occurring phytoalexin compound resveratrol
glucoside (resveratrol-3-beta-mono-d-glucoside), which is abundant in various
plants such as berries, herbs, nuts and grapes. Just like its predecessor
molecule resveratrone that was previously discovered by our group, resveratrone
glucoside possesses excellent optical properties including a high fluorescence
quantum yield, a large Stokes' shift, and a large two-photon absorption cross
section. In addition to these highly desirable properties, both fluorescent
molecules can also be used as ideal bio-compatible organic fluorophores since
they have remarkably low cytotoxicity, which we verified through our cell
morphological study, trypan blue exclusion assay, Western blot analysis and
fluorescence imaging of various live biological specimens. In particular, we note
that resveratrone glucoside is much more soluble in aqueous solution because of
its glycosidic side chain and therefore highly suitable for in vivo imaging. We
demonstrated that resveratrone and resveratrone glucoside can be used in one- and
two-photon fluorescence microscopic imaging of E. coli, yeast (S. cerevisiae),
and mammalian cell lines including HeLa and MCF10A cells as well as to the live
imaging and real-time tracking of the zebrafish embryo development. Both organic
fluorophores can be readily obtained from a simple photoreaction of commercially
available, inexpensive samples.
PMID- 27866001
TI - Generating disease-pertinent treatment vocabularies from MEDLINE citations.
AB - OBJECTIVE: Healthcare communities have identified a significant need for disease
specific information. Disease-specific ontologies are useful in assisting the
retrieval of disease-relevant information from various sources. However, building
these ontologies is labor intensive. Our goal is to develop a system for an
automated generation of disease-pertinent concepts from a popular knowledge
resource for the building of disease-specific ontologies. METHODS: A pipeline
system was developed with an initial focus of generating disease-specific
treatment vocabularies. It was comprised of the components of disease-specific
citation retrieval, predication extraction, treatment predication extraction,
treatment concept extraction, and relevance ranking. A semantic schema was
developed to support the extraction of treatment predications and concepts. Four
ranking approaches (i.e., occurrence, interest, degree centrality, and weighted
degree centrality) were proposed to measure the relevance of treatment concepts
to the disease of interest. We measured the performance of four ranks in terms of
the mean precision at the top 100 concepts with five diseases, as well as the
precision-recall curves against two reference vocabularies. The performance of
the system was also compared to two baseline approaches. RESULTS: The pipeline
system achieved a mean precision of 0.80 for the top 100 concepts with the
ranking by interest. There were no significant different among the four ranks
(p=0.53). However, the pipeline-based system had significantly better performance
than the two baselines. CONCLUSIONS: The pipeline system can be useful for an
automated generation of disease-relevant treatment concepts from the biomedical
literature.
PMID- 27866004
TI - Attention capture without awareness in a non-spatial selection task.
AB - Distractors presented prior to a critical target in a rapid sequence of visually
presented items induce a lag-dependent deficit in target identification,
particularly when the distractor shares a task-relevant feature of the target.
Presumably, such capture of central attention is important for bringing a target
into awareness. The results of the present investigation suggest that greater
capture of attention by a distractor is not accompanied by greater awareness of
it. Moreover, awareness tends to be limited to superficial characteristics of the
target such as colour. The findings are interpreted within the context of a model
that assumes sudden increases in arousal trigger selection of information for
consolidation in working memory. In this conceptualization, prolonged analysis of
distractor items sharing task-relevant features leads to larger target
identification deficits (i.e., greater capture) but no increase in awareness.
PMID- 27866005
TI - Interoception and gender: What aspects should we pay attention to?
AB - Interoception is involved in both somatic and mental disorders with different
prevalence between genders; however, gender differences are often neglected. To
examine the potential gender differences in interoceptive awareness, we recruited
376 healthy subjects (51% males, aged 17-30years), to fill in the
Multidimensional Assessment of Interoceptive Awareness (MAIA). Of that sample, in
a subgroup of 40 subjects (50% males), interoceptive accuracy was assessed by
heartbeat counting task (HCT). The results on interroceptive awareness suggest
that females tendto notice bodily sensations more often, better understand
relations between bodily sensations and emotional states, worry or experience
more emotional distress with sensations of pain or discomfort and see body as
less safe. The results of interoceptive accuracy further suggest that females are
less efficient in consciously detecting heartbeats. Therefore, gender should be
considered when interoceptive evaluation is performed in disorders associated to
bodily sensations and to the emotional/mood states.
PMID- 27866007
TI - Continuous manufacturing via hot-melt extrusion and scale up: regulatory matters.
AB - Currently, because globalization, the pharmaceutical industry is facing enormous
challenges to comply with regulatory matters. Reduced patent life and overall
decreased profitability of newly discovered drugs are also forcing the
pharmaceutical industry to shorten the drug development time with maximum
throughput. Therefore, continuous manufacturing (CM) processes via hot melt
extrusion (HME) can be a promising alternative for achieving these goals. HME
offers solvent-free green technology with a process that is easy to scale up.
Moreover, CM provides better product quality assurance compared with batch
processes, with fewer labor costs and shorter time to development. In this
review, we primarily focus on various aspects of CM and the emerging application
of HME to bridge the current manufacturing gap in pharmaceutical sphere.
PMID- 27866006
TI - The Cardiovascular Safety of Dutasteride.
AB - PURPOSE: Randomized controlled trials suggest an increased risk of heart failure
with dutasteride, which inhibits both the type 1 and type 2 isoforms of 5alpha
reductase. In contrast, no such association has been suggested for finasteride,
which selectively inhibits the type 2 isoform. We investigated the risk of
cardiovascular events among patients receiving dutasteride relative to
finasteride. MATERIALS AND METHODS: We performed a population based cohort study
of Ontario men 66 years old or older who commenced treatment with dutasteride or
finasteride between October 1, 2005 and March 31, 2015. For each individual
treated with dutasteride, we identified 1 treated with finasteride, matching on a
propensity score and calendar quarter of treatment initiation to account for
temporal changes in prescribing. The primary outcome was hospitalization for
heart failure. Secondary analyses were done to examine acute myocardial
infarction and stroke. Cox proportional hazards regression was used to adjust for
differences between groups. RESULTS: We studied 36,311 men who commenced
dutasteride and 36,311 treated with finasteride. In the primary analysis, we
found no difference in the risk of heart failure among patients receiving
dutasteride relative to those receiving finasteride (adjusted HR 0.98, 95% CI
0.88-1.08). Similarly, we found no difference in the risk of acute myocardial
infarction (HR 0.94, 95% CI 0.82-1.08) or stroke (HR 1.03, 95% CI 0.88-1.20).
CONCLUSIONS: In this population based cohort study of more than 72,000 older men,
dutasteride was not associated with an increased risk of cardiovascular events
relative to finasteride.
PMID- 27866008
TI - Organs-on-chips: research and commercial perspectives.
AB - Traditional preclinical drug testing methods utilize animal models to predict
pharmacology and toxicology profiles. However, the data obtained from such
methods cannot be directly extrapolated to humans and often do not provide a safe
starting dose for first-in-human studies. To overcome these limitations,
researchers have developed organs-on-chips - microfluidic devices that can mimic
the cellular architecture and physiology more accurately than conventional
methods. Because accurate organ-level interactions can be achieved with these
devices, they have the potential to provide a realistic determination of a drug's
pharmacokinetics, pharmacodynamics and toxicity profile. In this review, we
describe the evolution of the technology and provide an overview of its current
applications. We also discuss the current industry and government initiatives in
promoting further research on organs-on-chips for potential use during drug
development.
PMID- 27866009
TI - Commercialisation of CAR T-cell therapies: business model spectrum.
PMID- 27866010
TI - The botanical explorer's legacy: a promising bioprospecting tool.
AB - Records about the traditional uses of medicinal plants can be considered useful
in bioprospecting (i.e., the search for new active agents or lead structures in
nature). Several sources like Egyptian papyri, early modern herbals and
pharmacopoeias have been studied in this respect. It is proposed to use
recordings of botanically interested explorers of the 19th and early 20th
centuries as well. Some of them give detailed information about traditionally
used medicinal plants and analysis shows that a considerable number of these have
never been scientifically investigated. Existing studies, however, are confirming
the traditional uses described to a great extent. Thus, the explorer's writings
should not be neglected while looking for starting points for plant screening;
success seems more likely than with screening at random.
PMID- 27866011
TI - Protected Mealtimes in hospitals and nutritional intake: Systematic review and
meta-analyses.
AB - OBJECTIVES: Protected Mealtimes is an intervention developed to address the
problem of malnutrition, particularly in the hospital setting. The intervention
aims to provide interruption-free time to eat during a hospital admission, thus
supporting increased nutritional intake. This review aimed to determine the
impact of Protected Mealtimes on the nutritional intake of hospitalised patients.
DESIGN: The review was registered with the PROSPERO International Prospective
Register of Systematic Reviews (CRD42015023423) and followed the PRISMA
guidelines. Meta-analyses were conducted of energy and protein intake. DATA
SOURCES: Seven databases were searched to identify relevant publications: Ovid
MEDLINE, Embase, CINAHL Plus, PsycInfo, Scopus, Cochrane Library (including NHS
economic evaluations), and NICE clinical guidelines. A supplementary internet
search of Google and Google Scholar was undertaken. The search terms protect* AND
(mealtime* OR "meal time*") were used for all searches. REVIEW METHODS: Eligible
studies were original research where Protected Mealtimes was implemented in
hospitals and nutritional intake measured. Studies were selected for inclusion
following a systematic process of identification, screening and eligibility
assessment. Two authors completed the screening and eligibility assessment, and
quality assessment of included studies. The Quality Criteria Checklist for
Primary Research was used to evaluate the quality of each study, whilst the
overall body of evidence was assessed using the GRADE approach. One author
extracted data and ran the meta-analyses, these were verified by a second author.
RESULTS: Database and hand searching yielded 150 papers for consideration; the
final review library was seven studies where nutritional intake of patients had
been evaluated before and after the introduction of Protected Mealtimes. No
clinical trials of the intervention were identified. The meta-analyses of energy
and protein intake in four observational studies found no effect in favour of
Protected Mealtimes implementation. The GRADE of evidence was rated as very low.
CONCLUSIONS: Given the small number of observational studies and the quality of
evidence on the effect of the intervention on nutritional intake, we conclude
that there is insufficient evidence for widespread implementation of Protected
Mealtimes in hospitals. More research including clinical trials, with subgroup
reporting of patients' nutritional status and estimated energy requirements are
needed to further understand the merits of this complex healthcare intervention.
PMID- 27866013
TI - A whole genome gene content phylogenetic analysis of anopheline mosquitoes.
AB - Construction of stringent gene content matrices was accomplished for 21
Anopheline mosquito species and strains and four outgroups species. The presence
absence matrix using e-75 as a cutoff in single linkage clustering had over
17,000 ortholog groups. We used the gene content matrix to generate a
phylogenetic hypothesis that is in general agreement with gene sequence based
phylogenies. In addition to establishing a congruent gene content phylogeny we
examined the consistency of three methods for analyzing presence absence data -
unweighted parsimony, dollo parsimonly and maximum likelihood using a BINGAMMA
model. An examination of the chromosomal location of the gains and losses in the
presence absence matrix revealed a low frequency of gains and losses at
centromeres and tips of chromosomes.
PMID- 27866012
TI - Differential activation of the frontal pole to high vs low calorie foods: The
neural basis of food preference in Anorexia Nervosa?
AB - Neuroimaging studies in anorexia nervosa (AN) suggest that altered food reward
processing may result from dysfunction in both limbic reward and cortical control
centers of the brain. This fMRI study aimed to index the neural correlates of
food reward in a subsample of individuals with restrictive AN: twelve currently
ill, fourteen recovered individuals and sixteen healthy controls. Participants
were shown pictures of high and low-calorie foods and asked to evaluate how much
they wanted to eat each one following a four hour fast. Whole-brain task
activated analysis was followed by psychophysiological interaction analysis (PPI)
of the amygdala and caudate. In the AN group, we observed a differential pattern
of activation in the lateral frontal pole: increasing following presentation of
high-calorie stimuli and decreasing in during presentation of low-calorie food
pictures, the opposite of which was seen in the healthy control (HC) group. In
addition, decreased activation to food pictures was observed in somatosensory
regions in the AN group. PPI analyses suggested hypo-connectivity in reward
pathways, and between the caudate and both somatosensory and visual processing
regions in the AN group. No significant between-group differences were observed
between the recovered group and the currently ill and healthy controls in the PPI
analysis. Taken together, these findings further our understanding of the neural
processes which may underpin the avoidance of high-calorie foods in those with AN
and might exacerbate the development of compulsive weight-loss behavior, despite
emaciation.
PMID- 27866014
TI - 3D Multi-segment foot kinematics in children: A developmental study in typically
developing boys.
AB - BACKGROUND: The relationship between age and 3D rotations objectivized with
multisegment foot models has not been quantified until now. The purpose of this
study was therefore to investigate the relationship between age and multi-segment
foot kinematics in a cross-sectional database. METHODS: Barefoot multi-segment
foot kinematics of thirty two typically developing boys, aged 6-20 years, were
captured with the Rizzoli Multi-segment Foot Model. One-dimensional statistical
parametric mapping linear regression was used to examine the relationship between
age and 3D inter-segment rotations of the dominant leg during the full gait
cycle. RESULTS: Age was significantly correlated with sagittal plane kinematics
of the midfoot and the calcaneus-metatarsus inter-segment angle (p<0.0125). Age
was also correlated with the transverse plane kinematics of the calcaneus
metatarsus angle (p<0.0001). CONCLUSION: Gait labs should consider age related
differences and variability if optimal decision making is pursued. It remains
unclear if this is of interest for all foot models, however, the current study
highlights that this is of particular relevance for foot models which incorporate
a separate midfoot segment.
PMID- 27866015
TI - Diversity selection, screening and quantitative structure-activity relationships
of osmolyte-like additive effects on the thermal stability of a monoclonal
antibody.
AB - Solvents used for therapeutic proteins in downstream processing and in
formulations often contain stabilizing additives that inhibit denaturation and
aggregation. Such additives are mostly selected based on their positive effect on
thermal stability of the protein, and are often derived from naturally occuring
osmolytes. To better understand the structural basis underlying the effect of
additives, we selected a diverse library of compounds comprising 79 compounds of
the polyol, amino acid and methylamine chemical classes and determined the effect
of each compound on thermal stability of a monoclonal antibody as a function of
compound concentration. Thermal stabilization of the antibody was influenced by
solution pH. Quantitative structure-activity relationships (QSAR) were derived by
partial least squares regression for individual compound classes and globally.
The global model suggests that ligands with a phenyl ring will decrease the Tm,
while highly soluble, polar compounds with at least two hydrogen bond donors will
increase the Tm. This approach may be beneficial for further studies on the
influence of other solution conditions like ionic strength and buffer species on
additive-mediated protein stabilization.
PMID- 27866016
TI - Successful co-encapsulation of benzoyl peroxide and chloramphenicol in liposomes
by a novel manufacturing method - dual asymmetric centrifugation.
AB - Encapsulation of more than one active pharmaceutical ingredient into nanocarriers
such as liposomes is an attractive approach to achieve a synergic drug effect and
less complicated dosing schedules in multi-drug treatment regimes. Liposomal drug
delivery in acne treatment may improve drug efficiency by targeted delivery to
pilosebaceous units, reduce adverse effects and improve patient compliance. We
therefore aimed to co-encapsulate benzoyl peroxide (BPO) and chloramphenicol
(CAM) into liposomes using the novel liposome processing method - dual asymmetric
centrifugation (DAC). Liposomes were formed from soybean lecithin, propylene
glycol and distilled water (2:1:2w/v/v ratio), forming a viscous liposome
dispersion. Liposomes containing both drugs (BPO-CAM-Lip), single drug (BPO-Lip
and CAM-Lip), and empty liposomes were prepared. Drug entrapment of BPO and CAM
was determined by a newly developed HPLC method for simultaneous detection and
quantification of both drugs. Encapsulation of around 50% for BPO and 60% for CAM
respectively was obtained in both single-drug encapsulated formulations (BPO-Lip
and CAM-Lip) and co-encapsulated formulations (BPO-CAM-Lip). Liposome sizes were
comparable for all liposome formulations, ranging from 130 to 150nm mean
diameter, with a polydispersity index <0.2 for all formulations. CAM exhibited a
sustained release from all liposomal formulations, whereas BPO appeared retained
within the liposomes. BPO retention could be attributed to its poor solubility.
However, HaCaT cell toxicity was found dependent on BPO released from the
liposomes. In the higher concentration range (4%v/v), liposomal formulations were
less cytotoxic than the corresponding drug solutions used as reference. We have
demonstrated that DAC is a fast, easy, suitable method for encapsulation of more
than one drug within the same liposomes.
PMID- 27866018
TI - Intravenous beta-blockers in ST-segment elevation myocardial infarction: A
systematic review and meta-analysis.
AB - BACKGROUND/OBJECTIVES: The role of intravenous (IV) beta-blockers in conjunction
with percutaneous coronary intervention (PCI) for ST-segment elevation myocardial
infarction (STEMI) remains unclear. We therefore conducted a meta-analysis to
assess their role in the acute phase of STEMI. METHODS: We systematically
searched the Cochrane Libraries, Medline, and EMBASE for RCTs comparing IV beta
blockers with inactive controls in STEMI patients undergoing PCI. The primary
outcome was left ventricular ejection fraction (LVEF). Pooling was performed
using DerSimonian and Laird random-effects models. RESULTS: Four RCTs (n=1149)
were included in our meta-analysis. All RCTs only enrolled patients with
confirmed STEMI with symptoms lasting <6 or <12hours, and presenting in Killip
Class 1 or 2. Mean age ranged across trials from 58.5-62.5years. Most patients
were male (range: 74.8%-86.3%). Data suggest that IV beta-blockers may improve
LVEF at 0-2weeks (weighted mean difference [WMD]: 1.9%; 95% confidence interval
[CI]: -0.7%, 4.5%) and 4-6weeks (WMD: 1.4%; 95% CI: -3.1%, 5.9%) post-infarct,
reaching statistical significance at 24weeks (WMD: 2.6%; 95% CI: 0.6%, 4.6%).
Rates of ventricular arrhythmia (risk ratio [RR]: 0.65; 95% CI: 0.33, 1.29), any
arrhythmia (RR: 0.67; 95% CI: 0.36, 1.27), and cardiogenic shock (RR: 0.77; 95%
CI: 0.31, 1.95) during index hospitalization were numerically lower with IV beta
blockers, but 95% CIs were wide. CONCLUSIONS: In STEMI patients presenting in
Killip Class 1 or 2, IV beta-blockers in conjunction with PCI are associated with
improved LVEF at 24weeks relative to PCI alone.
PMID- 27866019
TI - Dysregulated fatty acid metabolism in coronary ectasia: An extended lipidomic
analysis.
AB - BACKGROUND: Coronary artery ectasia (CAE) is not an uncommon clinical condition,
which could be associated with adverse outcome. The exact pathophysiology of the
disease is poorly understood and is commonly interpreted as a variant of
atherosclerosis. In this study, we sought to undertake lipidomic profiling of a
group of CAE patients in an attempt to achieve better understanding of its
disturbed metabolism. METHODS: Untargeted lipid profiling and complementary
modelling strategies were employed to compare serum samples from 16 patients with
CAE (mean age 63.5+/-10.1years, 6 female) and 26 controls with normal smooth
coronary arteries (mean age 59.2+/-6.6years and 7 female). Sample preparation, LC
MS analysis and metabolite identification were performed at the Swedish
Metabolomics Centre, Umea, Sweden. RESULTS: Phosphatidylcholine levels were
significantly distorted in the CAE patients (p=0.001-0.04). Specifically, 16
carbon fatty acyl chain phosphatidylcholines (PC) were detected in lower levels.
Similarly, 11 meioties of Sphyngomyelin (SM) species were detected at lower
concentrations (p=0.000001-0.01) in the same group. However, only three
metabolites were significantly higher in the pure CAE subgroup (6 patients) when
compared with the 10 mixed CAE patients (two meioties of SM species and one of
PC). Atherosclerosis risk factors were not different between groups. CONCLUSION:
This is the first lipid profiling study reported in coronary artery ectasia.
While the lower concentration and dysregulation of sphyngomyelin suggests an
evidence for premature apoptosis, that of phosphatidylcholines suggests perturbed
fatty acid elongation/desaturation, thus may be indicative of non-atherogenic
process in CAE.
PMID- 27866017
TI - Safety of optical coherence tomography in pediatric heart transplant patients.
AB - BACKGROUND: Cardiac allograft vasculopathy (CAV) is a crucial problem after heart
transplantation, in adults as well as in children. CAV is the main risk factor
for a reduced long-term graft survival. The early diagnosis and treatment of CAV
is essential for a successful long-term preservation of the donor heart. However,
asymptomatic progression of CAV and concentric hyperplasia of the coronary
arteries may complicate the early diagnosis by conventional measures.
Intravascular imaging, such as intravascular ultrasound and optical coherence
tomography (OCT), enables the diagnosis of early stage CAV. To date, there is
little known about OCT in children. We present our single center experience with
OCT after pediatric heart transplantation. METHODS: Retrospective analysis of OCT
(n=50) after pediatric heart transplantation between June 2013 and March 2016 and
comparison between angiographic appearance and OCT. RESULTS: 37 patients
underwent optical coherence tomography, nine patients were examined twice and two
patients tree times. The youngest patient at time of examination was 4years with
a weight of 15kg (mean 50.86kg, range 15 to 88kg). There were no complications,
especially no bleeding, no arrhythmias or myocardial ischemic events. Early CAV
(Stanford I or II) was detected by OCT in 26 cases. Only in four of these cases,
also the coronary angiography showed mild changes. CONCLUSION: OCT is a safe
intravascular imaging method that can also be used in children after pediatric
heart transplantation up to a minimum weight of 15kg without an increasing risk
of a catheterization procedure.
PMID- 27866020
TI - Clinical manifestation and surgical treatment analysis of five cases with
biatrial myxoma.
AB - BACKGROUND: Cardiac myxomas (CMs) are a major primary heart tumor which often
causes unexpected symptoms or sudden death. Among CMs, biatrial myxomas are even
rare. This study was designed to investigate the clinical characteristics and
surgical treatment of 5 cases with biatrial myxoma, to summarize the treatment
experience and the effect of short-to-mid-term prognosis. METHODS: Five patients
with biatrial myxoma were included in this study. The patients' relative
literature, chest X-ray, body-surface electrocardiogram, and ultrasonic
cardiogram (UCG) were used to investigate the clinical characteristics. The
experience of surgical treatment and perioperative treatment were analyzed.
RESULTS: Among the 5 cases, patients had presented discomfort of precordial area
and cardiac insufficiency symptoms such as dyspnea and chest discomfort. There
were characteristic changes on echocardiography (ECG). All patients accepted
resection of both tumor and its basement tissue. Their symptoms were all improved
after surgery, no deaths occurred. CONCLUSION: In conjunction with clinical
features, diagnostic clue and echocardiography, the detectable rate of biatrial
myxoma could be significantly improved. Early diagnosis showed good effect on
prognosis.
PMID- 27866021
TI - Early pulmonary arterial hypertension immediately after closure of a ventricular
or complete atrioventricular septal defect beyond 6months of age.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) is virtually absent after
closure of ventricular septal defect (VSD) in the first six months of life.
However the prevalence of PAH in patients, who underwent VSD closure later, is
not clear. The aim of this study was to analyse the prevalence of PAH after a
successful VSD closure after the age of 6months and whether there are risk
factors for developing PAH. METHODS: Echocardiographic and right heart catheter
data of patients with VSD or complete atrioventricular septal defect, who
underwent VSD closure after the age of 6months in our institution between 01/2005
and 06/2014, were retrospectively analyzed. PAH was defined as mean pulmonary
arterial pressure (mPAP) of >=25mmHg or tricuspid regurgitation jet velocity of
>=3.5m/s. RESULTS: In 228 patients (median age at shunt closure 4.0years, range
0.5-69) and 174 complete follow-up data (median follow-up 3.7years, range 0.5
39.4), 9 patients needed pulmonary vasodilator therapy after shunt closure, 4 of
them temporarily for up to 79months. Three patients are still on vasodilator
treatment 1, 2.6 and 6years after surgery, other two were lost to follow-up.
Another 6 patients with preoperatively borderline hemodynamics due to elevated
mPAP and pulmonary vascular resistance, recovered well without signs of
postoperative PAH. CONCLUSION: With the current practice for safe late VSD
closure, PAH is very rare at least in the first years of follow-up. In most
patients with perioperative PAH, this condition appears to be transient and shows
good response on pulmonary vasodilator treatment.
PMID- 27866023
TI - Direct and adjusted indirect comparisons of perioperative mortality after
sutureless or rapid-deployment aortic valve replacement versus transcatheter
aortic valve implantation.
AB - OBJECTIVES: To determine which procedure, aortic valve replacement (AVR) with a
sutureless or rapid-deployment prosthesis (SL-AVR) or transcatheter aortic valve
implantation (TAVI), achieves better perioperative survival for severe aortic
stenosis (AS), we conducted direct-comparison meta-analyses (DC-MAs) and an
adjusted indirect-comparison meta-analysis (IDC-MA). METHODS: We searched
MEDLINE, EMBASE, and the Cochrane Central Register of Controlled Trials through
April 2016. Eligible studies were randomized controlled trials (RCTs) and
propensity-score matched (PSM) studies. We performed a DC-MA-[A] of SL-AVR versus
TAVI, a DC-MA-[B] of SL-AVR versus conventional AVR (C-AVR), and a DC-MA-[C] TAVI
versus C-AVR. Then, we computed a IDC-MA-[A'] of TAVI versus SL-AVR from the
results of the DC-MA-[B] and the DC-MA-[C]. RESULTS: We identified 6 RCTs and 30
PSM studies enrolling a total of 15,887 patients. The 3 DC-MAs demonstrated
significantly lower perioperative (30-day or in-hospital) all-cause mortality
after SL-AVR than after TAVI (odds ratio [OR], 0.48; 95% confidence interval
[CI], 0.28 to 0.80; p=0.005) and no significant differences between SL-AVR and C
AVR (OR, 1.07; 95% CI, 0.60 to 1.94; p=0.81) and between TAVI and C-AVR (1.07;
95% CI, 0.90 to 1.27; p=0.45). The computed IDC-MA-[A'] indicated no significant
difference in mortality between SL-AVR and TAVI (1.01; 95% CI, 0.54 to 1.86).
Combining the results of the DC-MA-[A] and IDC-MA [A'] showed significantly lower
mortality after SL-AVR than after TAVI (OR, 0.65; 95% CI, 0.44 to 0.97; p=0.03).
CONCLUSIONS: For patients with severe AS, SL-AVR may achieve better perioperative
survival than TAVI.
PMID- 27866022
TI - Novel approaches toward the generation of bioscaffolds as a potential therapy in
cardiovascular tissue engineering.
AB - Cardiovascular disease associated with myocardial infarction (MI) is among the
leading causes of mortality worldwide, in part, due to the limited regenerative
capacity of tissues. Although various approaches have been employed to generate
bioartificial myocardial tissues, including surgical reconstruction and the use
of biosynthetic or biological cell-free grafts, many challenges still remain.
Natural biomaterials based on decellularization have made significant inroads
into the development of favorable biomatrices for myocardial tissue regeneration.
This process occurs with the concept of removing whole cellular contents, while
preserving the extracellular matrix components and all the necessary features of
native tissues. Furthermore, acellular-derived matrices serve to stimulate
proliferation and recruitment of endothelial cells by providing proliferation
signals to cells. This review highlights a novel approach to generate natural
three dimensional myocardial scaffolds for clinical applications.
PMID- 27866024
TI - Comparison of prognostic significance of mean platelet volume/platelet count with
GRACE for long-term prognosis in patients with non-ST-segment elevation
myocardial infarction undergoing percutaneous coronary intervention.
AB - BACKGROUND: Mean platelet volume to platelet count ratio (MPV/P ratio) has been
demonstrated to be a predictor of adverse outcome in patients with non-ST-segment
elevation myocardial infarction (NSTEMI). We aimed to assess whether MPV/P ratio
is a useful marker to predict long-term prognosis in NSTEMI patients undergoing
PCI. Moreover, the prognostic accuracy of MPV/P ratio was compared with MPV and
GRACE score. METHODS: In a retrospective cohort study, according to the baseline
MPV/P values, 887 consecutive NSTEMI patients undergoing PCI were divided into
two groups: the high MPV/P group (n=296, MPV/P >=0.054) and the low MPV/P group
(n=591, MPV/P<0.054). Clinical endpoints were all-cause mortality and all-cause
mortality/nonfatal reinfarction. RESULTS: Multivariate analysis showed that high
MPV/P was an independent predictor of all-cause mortality [HRs: 1.973, 95% CI:
1.528-2.549, P<0.001], and all-cause mortality/nonfatal myocardial reinfarction
[HRs: 1.289, 95% CI: 1.181-1.408, P<0.001]. MPV/P ratio has good accuracy for
predicting clinical endpoints. The discriminatory performance of MPV/P ratio was
similar to GRACE score but better than MPV (for all-cause mortality: MPV/P vs.
GRACE: z=0.205, p=0.837; MPV/P vs. MPV: z=2.677, p=0.008; GRACE vs. MPV: z=3.017,
p=0.003; for all-cause mortality/nonfatal myocardial: MPV/P vs. GRACE: z=1.098,
p=0.272; MPV/P vs. MPV: z=4.026, p<0.001; GRACE vs. MPV: z=4.962, p<0.001).
CONCLUSIONS: MPV/P ratio was similar to GRACE score but better than MPV for
predicting all-cause mortality and all-cause mortality/nonfatal myocardial
reinfarction in NSTEMI patients undergoing PCI. However, MPV/P ratio is easier to
calculate than GRACE score.
PMID- 27866025
TI - The adverse impact of obesity on heart rate variability is modified by a NFE2L2
gene variant: The SAPALDIA cohort.
AB - BACKGROUND: Overweight has been associated with an increase in inflammatory
markers and with an imbalance in the autonomic nervous system, such as a decrease
in heart rate variability (HRV). In this study we aimed to investigate the
modifying effect of a genetic variation in a major anti-inflammatory marker gene,
NFE2L2, on the relationship between overweight and HRV. METHODS: We analyzed
participants of the SAPALDIA cohort aged 50years and older, twice in 2002/2003
(N=1472) and 2010/2011 (N=1235). We included persons with valid genotype data,
who underwent ambulatory 24-h electrocardiogram monitoring, and reported on
medical history and lifestyle. The association between HRV and BMI, measured as
standard deviation of normal-to-normal intervals (SDNN) by BMI and the modifying
effect of the cardiovascular health-related NFE2L2 gene variant rs2364723 were
tested, applying multivariable mixed linear regression models. RESULTS: We found
study participants with overweight (BMI>25) over two follow-up surveys 10years
apart to have a negative association between SDNN, calculated as geometric means,
with BMI. The examined NFE2L2 variant sustainably modified (pinteraction=0.014)
the found inverse association between a BMI increment and SDNN, causing a
stronger decrement in SDNN for participants with the CC genotype (-20.7%; 95%
confidence interval: -12.33 to -28.28) compared with participants carrying the GC
(-7.43; 95%CI: -3.56 to -11.15) or GG (-11.26%; 95%CI: -7.68 to -14.7) genotype,
estimated for the difference from the 90th to the 10th percentile of BMI by the
NFE2L2 variant. CONCLUSIONS: Our results are consistent with the hypothesis that
overweight decreases heart rate variability through inflammatory processes.
PMID- 27866026
TI - Survival and cardiovascular events after coarctation-repair in long-term follow
up (COAFU): Predictive value of clinical variables.
AB - OBJECTIVE: Long-term sequelae and events after coarctation repair are well
described. However, the predictive value of variables from clinical follow-up
investigation for late events and survival has rarely been investigated. METHODS:
All patients who participated in the prospective cross-sectional COALA Study in
2000 with a structural clinical investigation including blood pressure
measurement and symptom-limited exercise test were contacted for reevaluation of
survival, current clinical status and major cardiovascular events. RESULTS: Of
273 eligible patients, 209 were available for follow-up. Nine patients had died
at a median age of 46years (range 30-64years), five of them due to cardiovascular
complications. Late mortality after surgical intervention was 5.7% with a median
age of 41years (range 16-64years). Twenty-five patients had a major
cardiovascular event: 12 had procedures at the aortic valve or aortic arch, 8 had
procedures for restenosis, 2 had endocarditis, 2 had a cerebrovascular insult and
1 an aortic dissection. The presence of bicuspid aortic valve (p=0.009), brachial
ankle blood pressure gradient >20mmHg (p<0.001) and reduced left ventricular
function (p=0.002) correlated with major cardiovascular events. CONCLUSION:
Surgical correction of coarctation of the aorta shows fairly low mortality in the
long-term follow-up. Late morbidities include recoarctation, but also the
consequences of the hemodynamics produced by a congenital bicuspid aortic valve,
presence of which is predictive for aortic valve procedures: however the
predictive value of clinical variables is limited.
PMID- 27866027
TI - Cardiovascular outcomes with sodium-glucose cotransporter-2 inhibitors in
patients with type II diabetes mellitus: A meta-analysis of placebo-controlled
randomized trials.
AB - BACKGROUND: The impact of sodium-glucose cotransporter-2 (SGLT-2) inhibitors on
cardiovascular outcomes in patients with type II diabetes mellitus (DM) is not
well established. METHODS: We searched electronic databases from inception
through July 2016 for randomized, placebo-controlled trials, involving SGLT-2
inhibitors. Fixed-effects summary odds ratios (OR) were constructed using Peto
model. RESULTS: Eighty-one trials with a total of 37,195 patients were included.
The mean follow-up was 89weeks. Compared with placebo, SGLT-2 inhibitors were
associated with a lower risk of all-cause mortality (OR 0.72; 95% CI 0.59-0.86;
P<0.001), cardiovascular mortality (OR 0.67; 95% CI 0.53-0.84; P=0.001), and
heart failure (OR 0.67; 95% CI 0.51-0.87; P=0.003), but a similar risk of
myocardial infarction (OR 0.89; 95% CI 0.74-1.09; P=0.29) and stroke/transient
ischemic attack (OR 1.09; 95% CI 0.87-1.37; P=0.47). The reduction in all-cause
mortality was noticed with empagliflozin (OR 0.66; 95% CI 0.54-0.81; P<0.001),
but not with other SGLT-2 inhibitors (ORdapagliflozin 1.37; 95% CI 0.71-2.62;
P=0.35; ORcanagliflozin 0.82; 95% CI 0.41-1.68; P=0.59; ORluseogliflozin 4.6; 95%
CI 0.07-284.25; P=0.47; and ORipragliflozin 4.73; 95% CI 0.08-283.14; P=0.46)
(Pinteraction=0.19). Potential harm was observed with dapagliflozin on
cardiovascular mortality (OR 2.15, 95% CI 0.92-5.04, P=0.08). CONCLUSIONS: In
patients with type II DM, SGLT-2 inhibitors appeared to reduce both all-cause and
cardiovascular mortality, primarily due to reduction in the risk of heart
failure. The benefit was only seen with empagliflozin. There was suggestion of
potential harm with dapagliflozin, thus future trials are needed to ascertain the
cardiovascular safety of other agents in this class.
PMID- 27866028
TI - Lifetime competing risks between coronary heart disease mortality and other
causes of death during 50years of follow-up.
AB - OBJECTIVES: To study coronary heart disease (CHD) death versus 11 other causes of
death using the cumulative incidence function (CIF) and the competing risks
procedures to disentangle the differential role of risk factors for different end
points. MATERIAL AND METHODS: Standard Cox and Fine-Gray models among 1712 middle
aged men were compared during 50years of follow-up. CHD death was the primary
event, while deaths from 11 selected causes, mutually exclusive from the primary
end-point, were considered as secondary events. Reverse solutions were also
performed. We considered 10 selected risk factors. RESULTS: CHD death risk was
the second highest among 12 mostly specific causes of death. Some risk factors
were specific: serum cholesterol for CHD death whereas, systolic blood pressure,
cigarette smoking and age may have a differential role in other causes of death.
Application of the Fine-Gray model based on CIF enabled to dissect, at least in
part, the respective role that baseline covariates may have to segregate the
probabilities of two types of death in contrast from each other. They also point
to the absence of contributing significance for some of the selected risk factors
and this calls for a parsimonious approach in predictions. CONCLUSIONS: The
relative rarity of competing risk challenges when defining the risk factors role
at long-term needs now be corrected since we have clearly shown, with Fine-Gray
model, at direct or reverse use, that comparing different end-points heavily
influences the risk factor predictive capacity.
PMID- 27866029
TI - Understanding the structural features of symptomatic calcific aortic valve
stenosis: A broad-spectrum clinico-pathologic study in 236 consecutive surgical
cases.
AB - BACKGROUND: With age, aortic valve cusps undergo varying degrees of sclerosis
which, sometimes, can progress to calcific aortic valve stenosis (AVS). To
perform a retrospective clinico-pathologic investigation in patients with
calcific AVS. METHODS: We characterized and graded the structural remodeling in
236 aortic valves (200 tricuspid and 36 bicuspid) from patients with calcific AVS
(148 males; average 72years); possible relationships between
general/clinical/echocardiographic characteristics and the histopathologic
changes were explored. Twenty autopsy aortic valves served as controls. In 40
cases, we also tested the immunohistochemical expression of metalloproteinases
and cytokines, and characterized the inflammatory infiltrate. In 5 cases, we
cultured cusp stem cells and explored their potential to differentiate into
osteoblasts/adipocytes. RESULTS: AVS cusps showed structural remodeling as severe
fibrosis (100%), calcific nodules (100%), neoangiogenesis (81%), inflammation
(71%), bone metaplasia with or without hematopoiesis (6% and 53%, respectively),
adipose metaplasia (16%), and cartilaginous metaplasia (7%). At multivariate
analysis, AVS degree and interventricular septum thickness were the only
predictors of remodeling (barring inflammation). All the tested
metalloproteinases (except MMP-13) and cytokines were expressed in AVS cusps.
Inflammation mainly consisted of B and T lymphocytes (CD4+/CD8+ cell ratio 3:1)
and plasma cells. AVS changes were mostly different from typical atherosclerosis.
Cultured mesenchymal cusp stem cells could differentiate into
osteoblasts/adipocytes. CONCLUSIONS: Structural remodeling in AVS is peculiar and
considerable, and is related to the severity of the disease. However, the
different newly formed tissues-where "valvular interstitial cells" play a key
role-and their well-known slow turnover suggest a reverse structural remodeling
improbable.
PMID- 27866030
TI - Diagnostic accuracy of CT for the detection of left ventricular myocardial
fibrosis in various myocardial diseases.
AB - PURPOSE: To evaluate the diagnostic accuracy of computed tomography (CT) for the
detection of myocardial fibrosis, we compared the frequency of abnormal late
enhancement (LE) in left ventricular myocardium (LVM) on CT with that on
gadolinium-enhanced cardiac magnetic resonance (CMR) in patients with various
myocardial diseases. METHODS: Fifty-six patients with suspected various
myocardial diseases (19 with hypertrophic cardiomyopathy, 3 with cardiac
amyloidosis, 3 with post myocarditis, 2 with dilated cardiomyopathy, 2 with
cardiac sarcoidosis, 2 with cardiac tumor, 2 with previous myocardial infarction,
2 with hypertensive heart disease) underwent 1.5-T CMR and cardiac CT within
2months without clinical accidents. RESULTS: LE on LVM was detected in 31 and 31
patients on CT and CMR, respectively, and in 192 and 197 LVM segments on CT and
CMR, respectively, among a total of 952 LVM segments. The sensitivity,
specificity, positive and negative predictive values, and consistency for
detection of LE on CT in comparison with CMR were 90, 89, 90, 89 and 89%,
respectively, on patient-based analysis, and 67, 92, 68, 91 and 87%,
respectively, on segment-based analysis. Inter-observer agreement for detection
of LE on CT was 0.71 (kappa coefficient), and it was significantly lower than
that on CMR (0.82) on segment-based analysis (P<0.05). CONCLUSIONS: Compared with
CMR, diagnostic accuracy of CT for the evaluation of LE in LVM in patients with
myocardial diseases was relatively higher on patient-based analysis, but was
limited on segment-based analysis, and the inter-observer agreement on CT was
significantly lower than that on CMR.
PMID- 27866031
TI - Aortopathy in adults with tetralogy of Fallot has a negative impact on the left
ventricle.
AB - BACKGROUND: Aortic pressure wave reflection is significantly elevated in patients
with congenital heart disease, even in children. Excessive aortic pressure wave
reflection provokes cardiovascular events. AIM: To assess the influences of the
enhanced pressure wave reflection on the left ventricle (LV) in adults with
repaired tetralogy of Fallot (TOF). METHODS: Prospectively, 51 consecutive adults
with repaired TOF (35.5+/-11.6yrs., 25 males) were enrolled and non-invasively
assessed the pressure wave reflection using HEM 9000AI. A surrogate maker of the
aortic pressure wave reflection, radial augmentation index (rAI) was calculated
as reflection wave divided by ejection wave. We also evaluated LV function using
echocardiography and magnetic resonance images. Patients were divided into two
groups: group A with rAI?1SD and group B with rAI<1SD. RESULTS: The mean rAI in
repaired TOF was 76.9+/-14.3%. In group A, indexed ascending aortic diameter, LV
global longitudinal strain (GLS), LV global circumferential strain (GCS), LV
early diastolic strain rate (SR), LV E/A, LV e' were significantly higher than
them in group B. The indexed ascending aortic diameter significantly correlated
with rAI (r=0.31, P<0.05). On univariate logistic analysis, body surface area,
indexed ascending aortic diameter, GLS, GCS, early diastolic SR, LV E/A, LV mass
index and creatinine were predictive factors of rAI?1SD. On multivariate logistic
analysis, LV E/A was the most significant predictive factor of rAI?1SD (Odds
ratio 0.044, 95%CI 0.002-0.98 and P<0.05). CONCLUSIONS: Aortic pressure wave
reflection in adults with repaired TOF has a negative impact on LV function,
particularly on diastolic function.
PMID- 27866032
TI - Prediction of peak oxygen uptake in patients with Chagas heart disease: Value of
the Six-minute Walk Test.
PMID- 27866033
TI - Bone scintigraphy for the diagnosis of the responsible level of osteoporotic
vertebral compression fractures in percutaneous balloon kyphoplasty.
AB - OBJECTIVES: This study was to investigate the efficacy of bone scintigraphy in
order to diagnose the correct level of vertebral compression fractures (VCF) for
the severe back pain before balloon kyphoplasty (BKP) was performed. PATIENTS AND
METHODS: A total of 30 consecutive patients were treated with BKP for 38 times
since May 2012. Eleven patients had acute multi-level VCFs. Bone scintigraphy was
performed preoperatively except for the first case and the case with a chronic
course and the level responsible for the pain was defined with bone scintigraphy.
The responsible level of acute single level VCF was easily diagnosed with T1, T2,
and fat sat T2 but the responsible level of acute multi-level VCFs was not easily
determined. BKP was performed at the most accumulated level on bone scintigraphy
and the preoperative and postoperative Visual analogue score (VAS) scores were
researched with questionnaire postoperatively. RESULTS: BKP was effective in
relief of pain at the most accumulated level on bone scintigraphy. Preoperative
VAS score (average 8.6) was significantly improved at post-ope day1 (average
3.9), at discharge (average 2.4) and at 1 month after discharge (average 2.1).
New symptomatic VCFs after the first treatment occurred in 5 patients at an
adjacent level in 3 and twice in 2 of 5 and additional BKP was effective in each
patient. CONCLUSIONS: The correct level of symptomatic VCF in the patients with
acute multi-level VCFs should be diagnosed to achieve good clinical results. In
this study, we showed that bone scintigraphy is very useful in diagnosing the
proper level for BKP in addition to CT and MRI.
PMID- 27866035
TI - A unique presentation of ectopic thyroid, a case report.
AB - INTRODUCTION: This case presents a painful ectopic thyroid, an unusual
presentation, in an atypical location. The patient's history of an ingested fish
bone, her acute presentation, and inconclusive imaging, made this case a
diagnostic dilemma. PRESENTATION OF CASE: 61-year-old female presented with
acutely worsening history of left throat pain and dysphagia after swallowing a
fish bone. CT scan showed a foreign body in the anterior wall of the cervical
esophagus. EGD studies were inconclusive. Surgical exploration identified and
excised a multinodular cystic lesion without connection to esophageal lumen.
Pathology described multinodular thyroid parenchyma with chronic inflammation and
no evidence of malignancy. No foreign body was located. DISCUSSION: Based on the
patient's history, imaging, and acute presentation, an esophageal perforation
with abscess formation was the most likely diagnosis. Surgical exploration was
the necessary intervention for this patient's acute symptoms as both a diagnostic
and therapeutic tool. The diagnosis of ectopic thyroid tissue from pathology of
the excised cystic lesion was unexpected, as the location of tissue and the
painful presentation are not typical characteristics of ectopic thyroid tissue.
Management of the this case illustrates the dilemma faced in determining the
appropriate work up for a patient, without compromising the patient's safety.
CONCLUSION: Though painful presentation and this case's location are rare,
ectopic thyroid tissue should be included in the differential diagnosis of point
tenderness with an associated lesion on imaging.
PMID- 27866034
TI - Micro vs. macrodiscectomy: Does use of the microscope reduce complication rates?
AB - OBJECTIVE: A single level discectomy is one of the most common procedures
performed by spine surgeons. While some practitioners utilize the microscope,
others do not. We postulate improved visualization with an intraoperative
microscope decreases complications and inferior outcomes. METHODS: A multicenter
surgical registry was utilized for this retrospective cohort analysis. Patients
with degenerative spinal diagnoses undergoing elective single level discectomies
from 2010 to 2014 were included. Univariate analysis was performed comparing
demographics, patient characteristics, operative data, and outcomes for
discectomies performed with and without a microscope. Multivariable logistic
regression analysis was then applied to compare outcomes of micro- and
macrodiscectomies. RESULTS: Query of the registry yielded 23,583 patients meeting
inclusion criteria. On univariate analysis the microscope was used in a greater
proportion of the oldest age group as well as Hispanic white patients. Patients
with any functional dependency, history of congestive heart failure, chronic
corticosteroid use, or anemia (hematocrit<35%) also had greater proportions of
microdiscectomies. Thoracic region discectomies more frequently involved use of
the microscope than cervical or lumbar discectomies (25.0% vs. 16.4% and 13.0%,
respectively, p<0.001). Median operative time (IQR) was increased in microscope
cases [80min (60, 108) vs. 74min (54, 102), p<0.001]. Of the patients that
required reoperation within 30days, 2.5% of them had undergone a microdiscectomy
compared to 1.9% who had undergone a macrodiscectomy, p=0.044. On multivariable
analysis, microdiscectomies were more likely to have an operative time in the top
quartile of discectomy operative times, >=103min (OR 1.256, 95% CI 1.151-1.371,
p<0.001). In regards to other multivariable outcome models for any complication,
surgical site infection, dural tears, reoperation, and readmission, no
significant association with microdiscectomy was found. CONCLUSIONS: The use of
the microscope was found to significantly increase the odds of longer operative
time, but not influence rates of postoperative complications. Thus, without
evidence from this study that the microscope decreases complications, the use of
the microscope should be at the surgeon's discretion, validating the use of both
macro and micro approaches to discectomy as acceptable standards of care.
PMID- 27866036
TI - Idiopathic chylous ascites simulating acute appendicitis: A case report and
literature review.
PMID- 27866037
TI - Preoperative preparation of a patient with grade II leg Lymphedema for his third
hip replacement surgery.
AB - INTRODUCTION: The treatment of lymphedema remains a challenge to modern medicine,
due to the characteristics of the disease. CASE PRESENTATION: Report on the case
of a 75-year-old patient with lower limb lymphedema for treatment prior to
surgery. At age 45, he made the first hip replacement surgery in the left leg.
One year later he performed the same surgery on the right leg. At that time his
legs had slight ankle edema mainly of the left leg and the entire left leg was
affected by lymphedema. At 68 years old the patient returned to the surgeon, who
indicated a third surgery to replace the left hip prosthesis. The patient was
evaluated by bioimpedance, which measured the volumes of right and left legs at
5.52 and 7.24l, respectively. Five days of intensive treatment were proposed
using Mechanical Lymphatic Therapy (RAGodoy(r)), Manual Lymphatic Therapy and
compression therapy with a grosgrain stocking for 24h per day. On the fifth day,
there was significant improvement in the volume (right leg 4.45l and left leg
5.57l). DISCUSSION: In this case report intensive treatment was used to reduce
the volume of leg edema prior to a surgery to replace a hip prosthesis in a
patient with grade II leg lymphedema. Small positive and negative changes, which
are common in the evolution of this type of case but the end result was a total
reduction of the edema. CONCLUSION: The patient underwent surgery to replace the
prosthesis after total reduction of edema.
PMID- 27866038
TI - Separating content-specific retrieval from post-retrieval processing.
AB - According to cortical reinstatement accounts, neural processes engaged at the
time of encoding are re-engaged at the time of memory retrieval. The temporal
precision of event-related potentials (ERPs) has been exploited to assess this
possibility, and in this study ERPs were acquired while people made memory
judgments to visually presented words encoded in two different ways. There were
reliable differences between the scalp distributions of the signatures of
successful retrieval of different contents from 300 to 1100 ms after stimulus
presentation. Moreover, the scalp distributions of these content-sensitive
effects changed during this period. These findings are, to our knowledge, the
first demonstration in one study that ERPs reflect content-specific processing in
two separable ways: first, via reinstatement, and second, via downstream
processes that operate on recovered information in the service of memory
judgments.
PMID- 27866039
TI - Sleep EEG maps the functional neuroanatomy of executive processes in adolescents
born very preterm.
AB - Executive function deficits are among the most frequent sequela of very preterm
birth but the underlying neuronal mechanisms are not fully understood. We used
high-density electroencephalography (EEG) recordings during sleep to assess
alterations in the functional neuroanatomy of executive processes in adolescents
born very preterm. The topographical distribution of sleep slow wave activity
(SWA; 1-4.5 Hz EEG power) has previously been used to map cognitive abilities and
is known to reflect the intensity of the prior use of the respective neuronal
networks. We assessed 38 adolescents born before 32 weeks of gestation [age at
assessment: 12.9 (SD: 1.7), range: 10.6-16.7 years] and 43 term-born peers [13.1
(2.0), 10.0-16.9]. Executive function abilities were quantified with a composite
score derived from a comprehensive task battery. All-night high-density EEG (128
electrodes) was recorded and SWA of the first hour of sleep was calculated.
Abilities were significantly poorer in the very preterm compared to the term
group, particularly, if the tasks demands were high (p < .01). The score was
positively correlated with sleep SWA in a cluster of 15 electrodes over frontal
and negatively in a cluster of 14 electrodes over central brain regions after
controlling for age at assessment and correcting for multiple comparisons. Within
the frontal cluster, sleep SWA was higher in very preterm compared to term-born
participants when controlling for executive function performance and age at
assessment (p = .02). No difference in SWA between very preterm and term-born
participants was found for the central cluster (p = .29). Our results demonstrate
a local increase of sleep SWA over brain regions associated with executive
processes in adolescents born very preterm compared to similarly performing term
born peers. Thus, sleep SWA seems to map the higher effort needed for executive
function tasks in adolescents born very preterm.
PMID- 27866040
TI - In vitro fermentation of different fructo-oligosaccharides by Bifidobacterium
strains for the selection of synbiotic combinations.
AB - The use of selected probiotics, prebiotics and/or synbiotics, constitute an
interesting dietary strategy for intestinal microbiota modulation in case of
dysbiosis. Species of the genus Bifidobacterium are among the most currently used
probiotics for human consumption since they have shown beneficial effects in the
prevention and treatment of some disorders. Bifidobacteria are saccharolytic
microorganisms, but their ability to use different carbohydrates varies among
strains. In this study, we investigate the utilization of three prebiotic
substrates (two different short-chain fructo-oligosaccharides [scFOS] and inulin)
by strains of Bifidobacterium, in order to determine the synbiotic potential of
the different probiotic/prebiotic combinations. Batch culture fermentations from
six Bifidobacterium strains (Bifidobacterium longum IPLA20021, B. longum
IPLA20022, Bifidobacterium animalis IPLA20031, B. animalis IPLA20032, B. animalis
IPLA20020 and B. animalis Bb12) were carried out in the presence of inulin or
scFOS (Synergy or Actilight), or glucose, as carbon source. Bifidobacteria levels
were quantified by plate counting. The pH and production of organic acids in the
different batch-culture fermentations were also determined. Our results showed
that all the studied strains of B. animalis and B. longum were able to utilize
scFOS but not inulin. The use of scFOS as carbon source affected the pattern of
metabolite's production, when compared with cultures carried out in glucose,
particularly in the case of B. longum. The results indicated that the scFOS are
well suited to be used in combination with B. animalis or B. longum strains for
the development of synbiotic foods or food supplements.
PMID- 27866043
TI - Sensory processing disorders in children with cerebral palsy.
AB - OBJECTIVE: To evaluate sensory processing in children with CP using the Sensory
Profile questionnaire and to compare results with the ones of children with
typical development (TD). METHODS: We assessed sensory processing of 59 TD
children and 43 CP children using the Sensory Profile, a standardized parent
reporting measure that records children's responses to sensory events in daily
life. Mann-Whitney test was used to compare the results of sensory processing
evaluation among the groups. Bonferroni correction was applied. RESULTS: We found
differences in sensory processing between groups in 16 out of the 23 categories
evaluated in the Sensory Profile. CONCLUSION: Our results pointed out to the
existence of disturbances in the processing of sensory information in CP. Based
on the importance of the sensory integration process for motor function, the
presence of such important disturbances draw the attention to the implementation
of sensory therapies which improve function in these children.
PMID- 27866041
TI - Study of the bacterial diversity of foods: PCR-DGGE versus LH-PCR.
AB - The present study compared two culture-independent methods, polymerase chain
reaction denaturing gradient gel electrophoresis (PCR-DGGE) and length
heterogeneity polymerase chain reaction (LH-PCR), for their ability to reveal
food bacterial microbiota. Total microbial DNA and RNA were extracted directly
from fourteen fermented and unfermented foods, and domain A of the variable
regions V1 and V2 of the 16S rRNA gene was analyzed through LH-PCR and PCR-DGGE.
Finally, the outline of these analyses was compared with bacterial viable counts
obtained after bacterial growth on suitable selective media. For the majority of
the samples, RNA-based PCR-DGGE revealed species that the DNA-based PCR-DGGE was
not able to highlight. When analyzing either DNA or RNA, LH-PCR identified
several lactic acid bacteria (LAB) and coagulase negative cocci (CCN) species
that were not identified by PCR-DGGE. This phenomenon was particularly evident in
food samples with viable loads<5.0 Logcfug-1. Furthermore, LH-PCR was able to
detect a higher number of peaks in the analyzed food matrices relative to species
identified by PCR-DGGE. In light of these findings, it may be suggested that LH
PCR shows greater sensitivity than PCR-DGGE. However, PCR-DGGE detected some
other species (LAB included) that were not detected by LH-PCR. Therefore, certain
LH-PCR peaks not attributed to known species within the LH-PCR database could be
solved by comparing them with species identified by PCR-DGGE. Overall, this study
also showed that LH-PCR is a promising method for use in the food microbiology
field, indicating the necessity to expand the LH-PCR database, which is based, up
to now, mainly on LAB isolates from dairy products.
PMID- 27866046
TI - Changes in depression among older adults in China: A latent transition analysis.
AB - BACKGROUND: Depression in late life is an important public health problem in
developing countries. It is timely to investigate stability and transition
patterns of depressive symptom subtypes. METHODS: Longitudinal data were used
from the China Health and Retirement Longitudinal Study (CHARLS). A total of 853
women and 930 men aged 60-96 years were recruited. Latent class and latent
transition analysis (LCA/LTA) were used to identify meaningful subgroups,
transitions between those classes across time, and baseline demographic features
that help to predict and design tailored interventions. RESULTS: Three depression
subgroups were identified: Class 1 was labeled "Mild Depression"; Class 2 was
labeled "Severe Depression" and class 3 was labeled "Lack of Positive Affect". A
predominant tendency for stability appeared rather than change, meanwhile
individual in Mild Depression and Severe Depression latent status both had a high
probability to convert to the Lack of Positive Affect latent status. Social
activities played a significant role in buffering the effect of depression, while
individuals with chronic diseases, having difficulty with ADLs and smoking might
be at-risk groups. LIMITATIONS: The limitations of the present study were
inherent limitation in the LTA model and some small proportion of transitions.
CONCLUSIONS: This study demonstrated a transition pattern in older adult
depression within a person-centered approach. Differential treatment effects were
found across baseline depression class, suggesting the benefit for tailored
intervention programs to improve depression outcomes among older adults.
PMID- 27866044
TI - Alternative splicing of SMPD1 coding for acid sphingomyelinase in major
depression.
AB - BACKGROUND: Major depressive disorder (MDD) is a psychiatric disorder
characterized by key symptoms that include depressed mood and a loss of interest
and pleasure. A recently developed pathogenic model of MDD involves disturbed
neurogenesis in the hippocampus, where the acid sphingomyelinase (ASM)/ceramide
system plays an important role and is proposed as a molecular target for
antidepressant action. Because alternative splicing of SMPD1 mRNA, coding for
ASM, is relevant for the regulation of ASM enzymatic activity, we investigated
the frequency of alternatively spliced ASM isoforms in peripheral blood cells of
MDD patients versus healthy controls. METHODS: Because the full-length transcript
variant 1 of SMPD1 (termed ASM-1) is the only known form within the splicing
pattern that encodes an enzymatically fully active ASM, we determined a fraction
of splice isoforms deviating from ASM-1 using PCR amplification and capillary
electrophoresis with laser-induced fluorescence analysis. RESULTS: ASM
alternative splicing events occurred significantly less frequently in MDD
patients compared to healthy subjects. After 5 days of antidepressant treatment,
the frequency of alternatively spliced ASM isoforms decreased in those patients
who were treated with a functional inhibitor of ASM activity (FIASMA) but
remained constant in MDD patients treated with other antidepressant drugs. This
effect was more pronounced when healthy male volunteers were treated with the
FIASMAs fluoxetine or paroxetine, in contrast to a placebo group. LIMITATIONS:
Patients were treated with different antidepressant drugs, depending on
individual parameters and disease courses. CONCLUSIONS: This study shows that the
ASM alternative splicing pattern could be a biological target with diagnostic
relevance and could serve as a novel biomarker for MDD.
PMID- 27866042
TI - Carcinogenic effects of oil dispersants: A KEGG pathway-based RNA-seq study of
human airway epithelial cells.
AB - The health impacts of the BP oil spill are yet to be further revealed as the
toxicological effects of oil products and dispersants on human respiratory system
may be latent and complex, and hence difficult to study and follow up. Here we
performed RNA-seq analyses of a system of human airway epithelial cells treated
with the BP crude oil and/or dispersants Corexit 9500 and Corexit 9527 that were
used to help break up the oil spill. Based on the RNA-seq data, we then
systemically analyzed the transcriptomic perturbations of the cells at the KEGG
pathway level using two pathway-based analysis tools, GAGE (generally applicable
gene set enrichment) and GSNCA (Gene Sets Net Correlations Analysis). Our results
suggested a pattern of change towards carcinogenesis for the treated cells marked
by upregulation of ribosomal biosynthesis (hsa03008) (p=1.97E-13), protein
processing (hsa04141) (p=4.09E-7), Wnt signaling (hsa04310) (p=6.76E-3),
neurotrophin signaling (hsa04722) (p=7.73E-3) and insulin signaling (hsa04910)
(p=1.16E-2) pathways under the dispersant Corexit 9527 treatment, as identified
by GAGE analysis. Furthermore, through GSNCA analysis, we identified gene co
expression changes for several KEGG cancer pathways, including small cell lung
cancer pathway (hsa05222, p=9.99E-5), under various treatments of oil/dispersant,
especially the mixture of oil and Corexit 9527. Overall, our results suggested
carcinogenic effects of dispersants (in particular Corexit 9527) and their
mixtures with the BP crude oil, and provided further support for more stringent
safety precautions and regulations for operations involving long-term respiratory
exposure to oil and dispersants.
PMID- 27866045
TI - Corrigendum to "Prevalence and correlates of major depressive disorder and
dysthymia in an eleven-year follow-up - Results from the Finnish Health 2011
Survey" [J. Affect. Disord. 173 (2015) 73-80].
PMID- 27866047
TI - Erratum to "GATA-4 regulation of myocardial survival in the preconditioned heart"
[J. Mol. Cell. Cardiol. 37(6) (2004 Dec) 1195-1203].
PMID- 27866048
TI - 7p22.1 microduplication syndrome: Refinement of the critical region.
AB - 7p22.1 microduplication syndrome is mainly characterized by developmental and
speech delay, craniofacial dysmorphisms and skeletal abnormalities. The minimal
critical region includes two OMIM genes: ACTB and RNF216. Here, we report on a
girl carrying the smallest 7p22.1 microduplication detected to date, contributing
to the delineation of the clinical phenotype of the 7p22.1 duplication syndrome
and to the refinement of the minimal critical region. Our patient shares several
major features of the 7p22.1 duplication syndrome, including craniofacial
dysmorphisms and speech and motor delay, but she also presents with renal
anomalies. Based on present and published dup7p22.1 patients we suggest that
renal abnormalities might be an additional feature of the 7p22.1 microduplication
syndrome. We also pinpoint the ACTB gene as the key gene affecting the 7p22.1
duplication syndrome phenotype.
PMID- 27866050
TI - Boucher Neuhauser Syndrome - A rare cause of inherited hypogonadotropic
hypogonadism. A case of two adult siblings with two novel mutations in PNPLA6.
AB - Boucher Neuhauser Syndrome (BNS) is a rare clinical syndrome with autosomal
recessive inheritance defined by early-onset ataxia, hypogonadism and
chorioretinal dystrophy. We present two siblings diagnosed with BNS in late adult
life identified with compound heterozygous state of two novel PNPLA6 mutations.
Five healthy siblings were non- or heterozygous carriers of the mutations. The
cases, which presented with ataxia in childhood and hypogonadotropic hypogonadism
(HH), were diagnosed at age 17 and 25, respectively, when examined for delayed
puberty. The youngest case, a 55-year old male, was referred to our department in
2006 for evaluation of secondary causes of osteoporosis, which he developed
despite adequate testosterone replacement therapy. The unusual medical history
with childhood ataxia and hypogonadotropic hypogonadism lead to further
examinations and eventually the diagnosis of BNS. The older sister of the proband
also displayed the triad of ataxia, HH and chorioretinal dystrophy accompanied by
cerebellar atrophy and in 2014, we found the mutations in PNPLA6. BNS is a rare
cause of HH and secondary osteoporosis, but should be considered in patients
presenting with one or more of the key features. Genetic screening is becoming
increasingly available and inexpensive and accordingly this may be considered
earlier and by broader indication in unusual phenotypic presentations. The
increasing knowledge of causes for inherited diseases should extend the use of
genetic screening, as the correct diagnosis will benefit the patients.
PMID- 27866049
TI - Novel ELN mutation in a family with supravalvular aortic stenosis and
intracranial aneurysm.
AB - Pathogenic germline mutations in ELN can be detected in patients with
supravalvular aortic stenosis. The mutation might occur de novo or be inherited
following an autosomal dominant pattern of inheritance. In this report we
describe a three-generation family suffering from supravalvular aortic stenosis,
various other arterial stenoses, sudden death, and intracranial aneurysms. A
frameshift mutation in exon 12, not described before, was detected in the
affected family members. This report emphasises the importance of family history,
genetic counselling, and demonstrates the great variability in the phenotype
within a single SVAS family.
PMID- 27866051
TI - Insights into structure and function of 30S Ribosomal Protein S2 (30S2) in
Chlamydophila pneumoniae: A potent target of pneumonia.
AB - The gene 30S ribosomal protein S2 (30S2) is identified as a potential drug and
vaccine target for Pneumonia. Its structural characterization is an important to
understand the mechanism of action for identifying its receptor and/or other
binding partners. The comparative genomics and proteomics studies are useful for
structural characterization of 30S2 in C. Pneumoniae using different
bioinformatics tools and web servers. In this study, the protein 30S2 structure
was modelled and validated by Ramachandran plot. It is found that the modelled
protein under most favoured "core" region was 88.7% and overall G-factor
statistics with average score was -0.20. However, seven sequential motifs have
been identified for 30S2 with reference codes (PR0095, PF0038, TIGR01012,
PTHR11489, SSF52313 and PTHR11489). In addition, seven structural highly
conserved residues have been identified in the large cleft are Lys160, Gly161and
Arg162 with volume 1288.83A3 and average depth of the cleft was 10.75A. Moreover,
biological functions, biochemical process and structural constituents of ribosome
are also explored. The study will be helped us to understand the sequential,
structural, functional and evolutionary clues of unknown proteins available in C.
Pneumoniae.
PMID- 27866052
TI - Investigating dysregulated pathways in Staphylococcus aureus (SA) exposed
macrophages based on pathway interaction network.
AB - OBJECTIVE: This work aimed to identify dysregulated pathways for Staphylococcus
aureus (SA) exposed macrophages based on pathway interaction network (PIN).
METHODS: The inference of dysregulated pathways was comprised of four steps:
preparing gene expression data, protein-protein interaction (PPI) data and
pathway data; constructing a PIN dependent on the data and Pearson correlation
coefficient (PCC); selecting seed pathway from PIN by computing activity score
for each pathway according to principal component analysis (PCA) method; and
investigating dysregulated pathways in a minimum set of pathways (MSP) utilizing
seed pathway and the area under the receiver operating characteristics curve
(AUC) index implemented in support vector machines (SVM) model. RESULTS: A total
of 20,545 genes, 449,833 interactions and 1189 pathways were obtained in the gene
expression data, PPI data and pathway data, respectively. The PIN was consisted
of 8388 interactions and 1189 nodes, and Respiratory electron transport, ATP
synthesis by chemiosmotic coupling, and heat production by uncoupling proteins
was identified as the seed pathway. Finally, 15 dysregulated pathways in MSP
(AUC=0.999) were obtained for SA infected samples, such as Respiratory electron
transport and DNA Replication. CONCLUSIONS: We have identified 15 dysregulated
pathways for SA infected macrophages based on PIN. The findings might provide
potential biomarkers for early detection and therapy of SA infection, and give
insights to reveal the molecular mechanism underlying SA infections. However, how
these dysregulated pathways worked together still needs to be studied.
PMID- 27866053
TI - LC-ESI-MS/MS evaluation of forced degradation behaviour of silodosin: In vitro
anti cancer activity evaluation of silodosin and major degradation products.
AB - Silodosin (SLD) a novel alpha1-adrenoceptor antagonist was subjected to forced
degradation involving hydrolysis (acidic, alkaline and neutral), oxidative,
photolysis and thermal stress, as per ICH specified conditions. The drug
underwent significant degradation under hydrolytic (acidic, alkaline and neutral)
and oxidative stress conditions whereas, it was found to be stable under other
stress conditions. A rapid, precise, accurate and robust chromatographic method
for the separation of the drug and its degradation products (DPs) was developed
on a Fortis C18 analytical column (150*4.6mm, 5MUm) using 0.1% formic acid and
acetonitrile as a mobile phase in gradient elution mode at a flow rate of
1.0mL/min. A total of 5 (DP 1 to DP 5) hitherto unknown DPs were identified by LC
ESI-TOF-MS/MS experiments and accurate mass measurements. The most probable
mechanisms for the formation of DPs have been proposed based on a comparison of
the fragmentation of the [M+H]+ ions of silodosin and its DPs. The major DPs (DP
1 and DP 2) were isolated and evaluated for anticancer activity using PC3 (human
prostate cancer) cell lines by MTT assay. The results revealed that silodosin, DP
1 and DP 2 have potential anticancer activity with IC50 values (MUM) 72.74 (+/
4.51), 25.21 (+/-2.36), and, 114.07 (+/-11.90) respectively.
PMID- 27866054
TI - Comparison of miRNA signature versus conventional biomarkers before and after off
pump coronary artery bypass graft.
AB - Circulating levels of microRNAs (miRNAs) and their expression patterns are
supposed to serve as signatures for diagnosis or prognosis of cardiovascular
events. The present study aimed at determining if there is any correlation
between the release pattern of 2 miRNAs and the plasma levels of conventional
biomarkers cardiac troponin I (cTnI), creatine kinase (CK) and uric acid (UA) in
patients undergoing their first off-pump coronary artery bypass graft (OCABG).
Seventy OCABG patients (69% men, aged 59.2+/-8.2years) were enrolled.
Emergencies, re-operations, abnormal preoperative serum cTnI and combined
procedures were excluded from this study. Pre-operative mean ejection fraction
was 45.8+/-8.6%, the average number of grafts was 3+/-0.87/patient, and the
internal mammary artery was used for all. Beside conventional clinical assays, we
performed real-time quantitative PCR to analyze the circulating levels of miR
155, miR-126 and miR-499 at 1day before surgery as well as 4days after surgery.
Importantly, there was no report of myocardial infarction in our patients, pre-
or post-operatively. In contrast to conventional biomarkers cTnI and CK,
circulating levels of miRNAs decreased significantly (P<0.01) after
revascularization surgery. A significant positive correlation was seen between
the cTnI and miR-499 (r~0.53, P<0.01) and between miR-126 and UA (r~0.5, P<0.01).
Time course study of circulating miR-499, miR-126 and miR-155 in cardiac surgery
clarified their advantage and correlations to the traditional biomarkers cTnI,
total CK, CK-MB and UA. Our results suggest that this signature is a novel, early
biomarker which indicates myocardial ischemia in cardiac surgery. It could be
postulated that the application of these miRNAs may be considered for monitoring
of response to pharmacological interventions aimed at reducing cardiac ischemia,
especially in OCABG candidates.
PMID- 27866055
TI - Microstructure and nanoindentation analyses of low-temperature aging on the
zirconia-porcelain interface.
AB - The aim of the present study was to investigate the effects of low-temperature
aging on the micro-mechanical and micro-structural properties of zirconia
porcelain interface. In total, thirty-three Y-TZP zirconia blocks were fabricated
by using CAD/CAM technology, veneered with porcelains. Specimens were submitted
to low-temperature aging in an autoclave at 134 degrees C, additional 0.2MPa
pressure for 0h, 5h, or 10h. Flexural strength was obtained by using three-point
bending test. Micro-mechanical properties (nano-hardness (H) and reduced modulus
(Er)) were investigated by nanoindentation tests. Scanning electron microscopy
and X-ray diffraction analyses were performed to identify the micro-structure and
fracture behavior. The flexure strength, modulus and hardness of zirconia
increased after 5h aging and decreased after 10h aging. No significant
alterations of the reduced modulus or hardness of porcelain were detected in the
whole aging duration. Width of the zirconia-porcelain interface was extended
towards the bulk of zirconia. The detachment and cracks could be observed in
zirconia, and the crystal alignment was disorganized in porcelain after 5h aging
and 10h aging. Mechanical properties of the veneering porcelain are not affected
by low-temperature aging. However, the expansion and the alterations of micro
mechanical and micro-structural properties of zirconia-porcelain interface were
detected.
PMID- 27866057
TI - Effect of crosslinking in cartilage-like collagen microstructures.
AB - The mechanical performance of biological tissues is underpinned by a complex and
finely balanced structure. Central to this is collagen, the most abundant protein
in our bodies, which plays a dominant role in the functioning of tissues, and
also in disease. Based on the collagen meshwork of articular cartilage, we have
developed a bottom-up spring-node model of collagen and examined the effect of
fibril connectivity, implemented by crosslinking, on mechanical behaviour.
Although changing individual crosslink stiffness within an order of magnitude had
no significant effect on modelling predictions, the density of crosslinks in a
meshwork had a substantial impact on its behaviour. Highly crosslinked meshworks
maintained a 'normal' configuration under loading, with stronger resistance to
deformation and improved recovery relative to sparsely crosslinked meshwork.
Stress on individual fibrils, however, was higher in highly crosslinked
meshworks. Meshworks with low numbers of crosslinks reconfigured to disease-like
states upon deformation and recovery. The importance of collagen
interconnectivity may provide insight into the role of ultrastructure and its
mechanics in the initiation, and early stages, of diseases such as
osteoarthritis.
PMID- 27866056
TI - Towards the mechanical characterization of abdominal wall by inverse analysis.
AB - The aim of this study is to characterize the passive mechanical behaviour of
abdominal wall in vivo in an animal model using only external cameras and
numerical analysis. The main objective lies in defining a methodology that
provides in vivo information of a specific patient without altering mechanical
properties. It is demonstrated in the mechanical study of abdomen for hernia
purposes. Mechanical tests consisted on pneumoperitoneum tests performed on New
Zealand rabbits, where inner pressure was varied from 0mmHg to 12mmHg. Changes in
the external abdominal surface were recorded and several points were tracked.
Based on their coordinates we reconstructed a 3D finite element model of the
abdominal wall, considering an incompressible hyperelastic material model defined
by two parameters. The spatial distributions of these parameters (shear modulus
and non linear parameter) were calculated by inverse analysis, using two
different types of regularization: Total Variation Diminishing (TVD) and Tikhonov
(H1). After solving the inverse problem, the distribution of the material
parameters were obtained along the abdominal surface. Accuracy of the results was
evaluated for the last level of pressure. Results revealed a higher value of the
shear modulus in a wide stripe along the craneo-caudal direction, associated with
the presence of linea alba in conjunction with fascias and rectus abdominis. Non
linear parameter distribution was smoother and the location of higher values
varied with the regularization type. Both regularizations proved to yield in an
accurate predicted displacement field, but H1 obtained a smoother material
parameter distribution while TVD included some discontinuities. The methodology
here presented was able to characterize in vivo the passive non linear mechanical
response of the abdominal wall.
PMID- 27866058
TI - Timing and duration of nursing from birth affect neonatal porcine uterine matrix
metalloproteinase 9 and tissue inhibitor of metalloproteinase 1.
AB - Nursing for 2 d from birth supports neonatal porcine uterine and cervical
development. However, it is not clear how timing or duration of lactocrine
signaling from birth (postnatal day = PND 0) affects development of neonatal
female reproductive tract tissues. Therefore, studies were conducted to determine
effects of age at first nursing and duration of nursing from birth on specific
elements of the matrix metalloproteinase (MMP)/tissue inhibitor of
metalloproteinase (TIMP) system in uterine and cervical tissues at PND 2. When
nursing was initiated at 0 h or 30 min of age, targeted proteins, including
proMMP9 and MMP9, were detected in uterine and cervical tissues on PND 2, as was
uterine TIMP1. However, these proteins were undetectable when nursing was delayed
for 12 h and when gilts were fed milk replacer for 48 h from birth. Increasing
the duration of nursing from 30 min to 12 h from birth increased uterine (P <
0.05) and cervical (P < 0.001) MMP9 levels to those observed in gilts nursed for
48 h. Similarly, uterine TIMP1 levels increased with duration of nursing. Uterine
MMP2 levels were detectable but unaffected by age at first nursing or duration of
nursing from birth. Uterine MMP2 and MMP9 activities, monitored by zymography,
reflected immunoblotting data. Results provide evidence for the utility of MMP9
and TIMP1 as markers of age- and lactocrine-sensitive porcine female reproductive
tract development.
PMID- 27866059
TI - Glucocorticoid metabolism in equine follicles and oocytes.
AB - The objective of this study was to determine whether (1) systemic and
intrafollicular cortisol concentrations in horses are directly related and (2)
supraphysiological levels of glucocorticoids affect in vitro maturation (IVM)
rates of oocytes. Specifically, we studied the (1) changes in the intrafollicular
cortisol and progesterone in context with granulosa cell gene expression during
maturation of equine follicles (from 5-9 mm, 10-14 mm, 15-19 mm, 20-24 mm, and
>=25 mm in diameter) and (2) effects of cortisol supplementation on IVM rates and
gene expression of equine cumulus-oocyte complexes (COCs). For these purposes,
follicular fluid, granulosa cells, and COCs were collected from 12 mares (mean
age 8.6 +/- 0.5 yr) by transvaginal aspiration. Cortisol and progesterone
concentrations in follicular fluid from follicles >=25 mm were greater (P < 0.05)
than in all other follicle classes and were positively correlated (r = 0.8; P <
0.001). Plasma concentrations of cortisol and progesterone did not differ before
and after follicle aspiration (P > 0.05). In granulosa cells, gene expression of
NR3C1, HSD11B1, HSD11B2, and CYP21A2 did not differ (P > 0.05) among different
follicle classes. Maturation rates were similar (P > 0.05) among groups,
regardless of the cortisol concentration in the IVM medium. In cumulus cells,
messenger RNA expression of genes involved in glucocorticoid mechanism and
apoptosis was either increased (NR3C1 and BCL2) or decreased (HSD11B2) by
treatment (P < 0.01). In oocytes, gene expression of maturation markers (BMP15
and GDF9) was affected (P < 0.001) by cortisol treatment. This study demonstrates
the involvement of glucocorticoids in follicle and oocyte maturation and cortisol
modulation by HSD11B2 in equine COCs. Our data provide further information for
understanding the normal ovarian endocrine physiology which might in turn also
help improve equine assisted reproduction techniques.
PMID- 27866060
TI - Psychometric properties of the Spanish version of the Cannabis Use Problems
Identification Test among Chilean university students: A validation study.
AB - BACKGROUND: In Chile, concerns mount about escalating cannabis use. Thus, it is
important to have tools for early identification of at-risk users. The Cannabis
Use Problems Identification Test (CUPIT) is a useful screening tool, and the aim
of this study was to examine the psychometric properties of its Spanish version
among Chilean university students. METHODS: The CUPIT was translated into
Spanish, pre-tested in a focus group (n=8), and then tested through an online
survey (n=3798, 28% response rate). Of the 1061 respondents, 578 reported 12
month cannabis use. Internal reliability, internal structure, and concurrent
validity (using the Cannabis Abuse Screening Test [CAST]) were obtained. Test
retest reliability was calculated (n=150) at 3-4 weeks (30% of attrition rate).
Discriminative validity was evaluated comparing CUPIT subscales and four DSM-IV
diagnostic groups. Receiving operator characteristic (ROC) curve analysis
assessed sensitivity and specificity. RESULTS: Test-retest Pearson correlation
between total CUPIT scores of 0.90 (p<0.001), and highly significant Kendall Tau
b coefficients for individual items (p<0.001) indicated excellent reliability.
Concordance between the CUPIT and CAST (Pearson correlation 0.73, p<0.001)
indicated good concurrent validity. ANOVA revealed significant differences in
CUPIT scores between the four DSM-IV diagnostic groups (p<0.001), indicative of
good discriminative validity. ROC analysis (gold standard: DSM-IV
abuse/dependence) yielded an AUC value of 0.72, indicating acceptable
discriminative capability. CONCLUSIONS: The Spanish CUPIT is reliable, valid, and
accepted by the university population studied, and, thus, a potentially useful
tool for identifying both problematic and at-risk users.
PMID- 27866061
TI - Patterns of drugs & poisons in southern area of South Korea in 2014.
AB - The southern area of South Korea consists of three parts; Busan, Ulsan and
Gyeongsangnam-do. Busan Institute of National Forensic Service (NFS) performed
about 50,000 cases throughout the southern area in 2014, occupying over 15% of
total cases covered by NFS. In this study, patterns of drugs and poisons in the
southern area of South Korea were investigated. The investigation was carried out
by the laboratory information management system of NFS between January and
December of 2014. As results, a total of 606 autopsy cases were performed by
Busan Institute of NFS in 2014. Among them, 15 cases were determined as drug
intoxication or poisons as the cause of death, taking up 2.5% of total cases: 5
cases of intoxication by drugs, 5 by agricultural pesticides, 3 by illicit drugs,
and 1 each by detergents and chemical substances. A total of 108 drugs in
postmortem bloods were detected from the autopsy cases, and the top 5 drugs were
chlorpheniramine, tramadol, diazepam, zolpidem and lidocaine. Meanwhile, a total
of 1,728 cases were submitted for illicit drug testing in 2014. Among them, hair
was the most common type of specimens, and the rate of positive detection of
methamphetamine from the hair, urine, and seized materials in the southern area
was over 50% in all cases, indicating that this is the most commonly abused drug
in South Korea. A total of 12 types of novel psychoactive substances (NPSs) were
detected in the southern area in 2014; 10 were identified as synthetic
cannabinoids and 2 as alkyl nitrites.
PMID- 27866062
TI - Gunshot residue contamination of the hands of police officers following start-of
shift handling of their firearm.
AB - If police officers are contaminated with gunshot residue (GSR) through the normal
receiving, checking, loading, and securing of their issued firearm, there is the
potential for secondary transfer of GSR to anyone those officers arrest during
their shift. This 3-part study examined the level of GSR contamination of police
officers following the start-of-shift handling of their standard issue firearm,
the impact that hand-washing or the use of a self-drying hand-wash had on the
level of GSR contamination, and the likelihood of officers re-contaminating their
hands through contact with the exposed hand-grip of their holstered hand-gun.
Almost 85% (28/33) of officers sampled had 3-component GSR particles on their
hands immediately following the start-of-shift handling of their firearm. There
was an average of 64 such particles over the 33 officers sampled. Of the 17
officers who washed their hands after securing their firearm, a single 3
component particle was recovered from the hands of one officer. GSR particles
(maximum of 4) were recovered from 3 of the 14 officers who used self-drying hand
gel following firearm handling. 3-component particles (maximum of 7) were
recovered from the hand-grips of 12 of the 34 unissued handguns sampled.
PMID- 27866063
TI - Anticancer therapy-induced vascular toxicity: VEGF inhibition and beyond.
AB - Cardiotoxicity induced by chemotherapeutic agents and radiotherapy is a growing
problem. In recent years, an increasing number of new drugs with targeted action
have been designed. These molecules, such as monoclonal antibodies and tyrosine
kinase inhibitors, can cause different type of toxicities compared to traditional
chemotherapy. However, they can also cause cardiac complications such as heart
failure, arterial hypertension, QT interval prolongation and arrhythmias.
Currently, a field of intense research is the vascular toxicity induced by new
biologic drugs, particularly those which inhibit vascular endothelial growth
factor (VEGF) and its receptor (VEGF-R) and other tyrosine kinases. In this
review, we aim at focusing on the problem of vascular toxicity induced by new
targeted therapies, chemotherapy and radiotherapy, and describe the main
mechanisms and emphasizing the importance of early diagnosis of vascular damage,
in order to prevent clinical complications.
PMID- 27866064
TI - Incretin-based therapy for type 2 diabetes: A real class effect?
PMID- 27866065
TI - The effect of vitamin D supplementation on hypertension in non-CKD populations: A
systemic review and meta-analysis.
AB - OBJECTIVES: To investigate the vitamin D supplementation on blood pressure
control by a systemic review and meta-analysis. METHODS: Randomized controlled
clinical trials were analyzed, which date from eight studies in databases
including MEDLINE, EMBASE, Clinical trials, China Integrated Knowledge Resources
Database and the Cochrane library. RESULTS: Total 917 patients from eight
randomized controlled trials (RCTs), treatment with vitamin D for more than
3months were analyzed. Meta-analysis showed that vitamin D supplementation
slightly reduced the systolic blood pressure (SBP) by 1.964mmHg (95% CI, 0.362
3.566; P=0.016), but not lowered diastolic blood pressure (SMD: -0.087, 95% CI,
0.208-0.033; P=0.155). Subgroup analysis also showed that sBP lowering by vitamin
D supplementation was not dose-dependent. Comparison to placebo, there is also no
statistical difference in SBP lowering by vitamin D supplementation. CONCLUSIONS:
This meta-analysis indicated that vitamin D is not an antihypertensive agent
although it has a moderate SBP lowering effect. More RCTs are required to observe
the role of vitamin D plus other antihypertensive drugs in blood pressure
control, and define the optimum dose, dosing interval, and type of vitamin D to
administer.
PMID- 27866068
TI - An abnormal ocular motor manifestation of Joubert syndrome.
AB - Joubert syndrome is a congenital neurodevelopmental disorder primarily affecting
the midbrain and hindbrain. It is characterized by ataxia, hypotonia, and
developmental delay as well as apnea or abnormal ocular motor function. We
describe and present a video of a child with Joubert syndrome with an alternating
skew deviation in primary position rather than on lateral gaze, which is a more
characteristic phenotype of this condition.
PMID- 27866067
TI - Escalating and de-escalating treatment in HER2-positive early breast cancer.
AB - The current standard adjuvant systemic treatment of early HER2-positive breast
cancer consists of chemotherapy plus 12months of trastuzumab, with or without
endocrine therapy. Several trials have investigated modifications of the standard
treatment that are shorter and less resource-demanding (de-escalation) or
regimens that aim at dual HER2 inhibition or include longer than 12months of HER2
targeted treatment (escalation). Seven randomized trials investigate shorter than
12months of trastuzumab treatment duration. The shorter durations were not
statistically inferior to the 1-year duration in the 3 trials with survival
results available, but 2 of the trials were small and 1 had a relatively short
follow-up time of the patients at the time of reporting. The pathological
complete response (pCR) rates were numerically higher in all 9 randomized trials
that compared chemotherapy plus dual HER2 inhibition consisting of trastuzumab
plus either lapatinib, neratinib, or pertuzumab with chemotherapy plus
trastuzumab as neoadjuvant treatments, but the superiority of chemotherapy plus
dual HER2-inhibition over chemotherapy plus trastuzumab remains to be
demonstrated in the adjuvant setting. One year of adjuvant trastuzumab was as
effective as 2years of trastuzumab in the HERA trial, and was associated with
fewer side-effects. Extending 1-year adjuvant trastuzumab treatment with 1year of
neratinib improved disease-free survival in the ExteNET trial, but the patient
follow-up times are still short, and no overall survival benefit was reported.
Several important trials are expected to report results in the near future and
may modify the current standard.
PMID- 27866066
TI - Terminate lung cancer (TLC) study-A mixed-methods population approach to increase
lung cancer screening awareness and low-dose computed tomography in Eastern
Kentucky.
AB - For low dose CT lung cancer screening to be effective in curbing disease
mortality, efforts are needed to overcome barriers to awareness and facilitate
uptake of the current evidence-based screening guidelines. A sequential mixed
methods approach was employed to design a screening campaign utilizing messages
developed from community focus groups, followed by implementation of the outreach
campaign intervention in two high-risk Kentucky regions. This study reports on
rates of awareness and screening in intervention regions, as compared to a
control region.
PMID- 27866069
TI - A case of recurrent, grade 3 corneal choriostoma after surgical excision.
AB - We report a case of congenital grade 3 corneal choriostoma accompanied by
anterior staphyloma. The tumor was excised by deep lamellar dissection, and the
defect was filled with multilayer amniotic membranes. On histologic examination,
the excised tumor contained demislike connective tissues, dermal adnexa (hair
follicles and sebaceous gland), and adipose tissue, and was lined by keratinizing
squamous epithelium. One month after surgery, the tumor recurred and
progressively enlarged.
PMID- 27866070
TI - Spontaneous rupture of chorioretinal coloboma in an 8-year-old child is treated
by temporal fascia graft.
AB - We report the rare case of an 8-year-old boy with spontaneous scleral perforation
secondary to an isolated congenital chorioretinal coloboma. Visual acuity was
20/200 and examination revealed severe hypotony with subcapsular cataract,
complete exudative retinal detachment, hypotonous optic nerve swelling, and
hypotony retinal fold. In the temporal periphery, there was a chorioretinal
coloboma with a central full-thickness defect. The scleral defect was
successfully treated with an autologous temporalis fascia graft. One year later,
and after cataract surgery, visual acuity had improved to 20/20, with normal
intraocular pressure.
PMID- 27866071
TI - The CD4/CD8 ratio is associated with coronary artery disease (CAD) in elderly
Chinese patients.
AB - OBJECTIVE: The aim of this study was to investigate the relationship between
number of circulating T cells and coronary artery disease (CAD) in an elderly
Chinese population. METHODS: A total of 295 elderly inpatients (age>=60) were
included in this cross-sectional study. Their clinical and biochemical
characteristics were recorded. Patients were divided to two groups: control
patients and CAD patients. The risk factors of CAD were explored by binary
logistic regression analysis. RESULTS: Compared with control patients, the ratio
of CD4 to CD8 T cells was significantly increased in CAD patients. There was no
difference in the number of CD3, CD4, and CD8 T cells between the two groups.
Multiple logistic regression analysis showed that CAD was independently
associated with age, gender, body mass index (BMI), systolic blood pressure
(SBP), chronic heart failure (CHF) and the CD4/CD8 ratio. In addition, after
adjusting for different clinical parameters (including gender, age, CHF,
hypertension, arrhythmia, SBP, and BMI), the risk of CAD was significantly
increased in patients with a CD4/CD8 ratio>1.5. CONCLUSIONS: There was a strong
and independent association between the ratio of CD4/CD8 and CAD in elderly
Chinese population.
PMID- 27866072
TI - General expressions for R1rho relaxation for N-site chemical exchange and the
special case of linear chains.
AB - Exploration of dynamic processes in proteins and nucleic acids by spin-locking
NMR experiments has been facilitated by the development of theoretical
expressions for the R1rho relaxation rate constant covering a variety of kinetic
situations. Herein, we present a generalized approximation to the chemical
exchange, Rex, component of R1rho for arbitrary kinetic schemes, assuming the
presence of a dominant major site population, derived from the negative
reciprocal trace of the inverse Bloch-McConnell evolution matrix. This
approximation is equivalent to first-order truncation of the characteristic
polynomial derived from the Bloch-McConnell evolution matrix. For three- and four
site chemical exchange, the first-order approximations are sufficient to
distinguish different kinetic schemes. We also introduce an approach to calculate
R1rho for linear N-site schemes, using the matrix determinant lemma to reduce the
corresponding 3N*3N Bloch-McConnell evolution matrix to a 3*3 matrix. The first-
and second order-expansions of the determinant of this 3*3 matrix are closely
related to previously derived equations for two-site exchange. The second-order
approximations for linear N-site schemes can be used to obtain more accurate
approximations for non-linear N-site schemes, such as triangular three-site or
star four-site topologies. The expressions presented herein provide powerful
means for the estimation of Rex contributions for both low (CEST-limit) and high
(R1rho-limit) radiofrequency field strengths, provided that the population of one
state is dominant. The general nature of the new expressions allows for
consideration of complex kinetic situations in the analysis of NMR spin
relaxation data.
PMID- 27866073
TI - Drivers' detection of roadside targets when driving vehicles with three headlight
systems during high beam activation.
AB - A previous open-road experiment indicated that curve-adaptive HID headlights
driven with low beams improved drivers' detection of low conspicuity targets
compared with fixed halogen and fixed HID low beam systems. The current study
used the same test environment and targets to assess whether drivers' detection
of targets was affected by the same three headlight systems when using high
beams. Twenty drivers search and responded for 60 8*12inch targets of high or low
reflectance that were distributed evenly across straight and curved road sections
as they drove at 30 mph on an unlit two-lane rural road. The results indicate
that target detection performance was generally similar across the three systems.
However, one interaction indicated that drivers saw low reflectance targets on
straight road sections from further away when driving with the fixed halogen high
beam condition compared with curve-adaptive HID high beam headlights and also
indicated a possible benefit for the curve-adaptive HID high beams for high
reflectance targets placed on the inside of curves. The results of this study
conflict with the previous study of low beams, which showed a consistent benefit
for the curve-adaptive HID low beams for targets placed on curves compared with
fixed HID and fixed halogen low beam conditions. However, a comparison of mean
detection distances from the two studies indicated uniformly longer mean target
detection distances for participants driving with high beams and implicates the
potential visibility benefits for systems that optimize proper high beam use.
PMID- 27866074
TI - Environmentally induced tissue responses of hematopoietic system in abu mullet
(Liza abu) and tiger tooth croaker (Otolithes ruber) from the Persian Gulf.
AB - The present investigation aimed to assess the possibility of using plasma levels
of erythropoietin (EPO) hormone and tissue changes of hematopoietic organs as
biomarkers of environmental pollution in abu mullet (Liza abu) and tiger tooth
croaker (Otolithes ruber) collected from Musa Creek (northwest of the Persian
Gulf). 120 L. abu and O. ruber were collected from five stations at the Musa
Creek: Petrochemical, Ghanam, Doragh, Zangi and Patil stations. Blood samples
were obtained from the caudal vein. Tissue samples were also taken from the
spleen and head kidney, and tissue sections were prepared according to routine
histological methods. The concentrations of Hg, Pb, Zn, Cu, and Cd were also
measured in the sediment samples. The minimum level of EPO and the most severe
tissue changes were determined in fish collected near a Petrochemical station.
This station is adjacent to the Imam Khomeini Petrochemical Complex and receives
highly contaminated effluents from this complex. The highest degree of
contamination (Cd) also belonged to this station. The fish collected from the
Patil station represented the highest EPO level and the least tissue changes.
This station exhibited a lesser degree of contamination. Based on the results,
there was a significant correlation between the plasma level of EPO hormone and
the degree of environmental contamination.
PMID- 27866075
TI - Bioaccumulation and subcellular partitioning of Cr(III) and Cr(VI) in the
freshwater green alga Chlamydomonas reinhardtii.
AB - Chromium occurs in aquatic environments under two main redox forms, namely
Cr(III) and Cr(VI), with different geochemical and biochemical properties. Cr(VI)
readily crosses biological membranes of living organisms and once inside the
cells it undergoes a rapid reduction to Cr(III). The route of entry for the
latter form is, however, poorly known. Using the radioactive tracer 51Cr we
compared the accumulation (absorption and adsorption) of the two Cr forms by the
green unicellular alga Chlamydomonas reinhardii after 1h and 72h of exposure to
100nM of either Cr(III) or Cr(VI) at pH 7. Both Cr forms had similar
accumulation, with a major part in the extracellular (adsorbed) fraction after 1h
and a major part of total accumulated Cr in the intracellular (absorbed) fraction
after 72h. We also investigated the intracellular partitioning of Cr using an
operational fractionation scheme and found that both Cr forms had similar
distributions among fractions: Cr was mostly associated with organelles (23+/-12%
after 1h and 37+/-7% after 72h) and cytosolic heat-stable proteins and peptides
(39+/-18% after 1h and 35+/-3% after 72h) fractions. Further investigations using
a metallomic approach (SEC-ICP-MS) were performed with the heat-stable proteins
and peptides fraction to compare the distribution of the two Cr forms among
various biomolecules of this fraction. One Cr-binding biomolecule (~28kDa)
appeared after 1h of exposure for both Cr species. After 72h another biomolecule
of lower molecular weight (~0.7kDa) was involved in binding Cr and higher signal
intensities were observed for Cr(VI) than for Cr(III). We show, for the first
time, that both Cr(III) and Cr(VI) have similar fate within algal cells,
supporting the tenet that a unique redox form occurs within cells.
PMID- 27866076
TI - Antioxidant properties of tea blunt ROS-dependent lipogenesis: beneficial effect
on hepatic steatosis in a high fat-high sucrose diet NAFLD obese rat model.
AB - Oxidative stress could trigger lipid accumulation in liver and thus hepatic
steatosis. Tea is able to prevent liver disorders, but a direct link between
antioxidant capacities and prevention of steatosis has not been reported yet. We
aimed to investigate such relationship in a rat model of high fat-high sucrose
diet (HFS)-induced obesity and to explore more deeply the mechanisms in isolated
hepatocytes. Wistar rats were divided into a control group (standard diet), an
HFS group (high fat-sucrose diet) and an HFS+tea group (HFS diet with ad-libitum
access to tea drink). Body weight, fat mass, glycemic parameters in blood, lipid
and oxidative stress parameters in blood and liver were measured in each group
after 14 weeks. Isolated hepatocytes were treated with the reactive oxygen
species (ROS) inducer t-BHP in the presence or not of antioxidants (tempol or
tea), and superoxide anion production and lipid accumulation were measured using
specific fluorescent probes. We reported that the HFS diet highly increased
hepatic lipids content, while tea consumption attenuated steatosis and improved
the oxidative status (decrease in hepatic oxidative stress, increase in plasma
total antioxidant capacity). The role of antioxidant properties of tea in such
phenomenon was confirmed in primary cultured rat hepatocytes. Indeed, the
increase of mitochondrial ROS production with t-BHP resulted in lipid
accumulation in hepatocytes (positive linear regression), and antioxidants
(tempol or tea) normalized both. We reported that the antioxidant properties of
tea protect rats from an obesogenic HFS diet-induced hepatic steatosis by
counteracting the ROS-dependent lipogenesis.
PMID- 27866077
TI - The concept of double inlet-double outlet right ventricle: a distinct congenital
heart disease.
AB - The aim of this study was to estimate the incidence and to analyze the anatomy of
double inlet-double outlet right ventricle complex and its associated cardiac
anomalies in our autopsy series. Among the 1640 hearts with congenital heart
disease of our Anatomical Collection, we reviewed the specimens with double inlet
double outlet right ventricle, according to the sequential-segmental analysis,
identifying associated cardiac anomalies and examining lung histology to assess
the presence of pulmonary vascular disease. We identified 14 hearts with double
inlet-double outlet right ventricle (0.85%). Right atrial isomerism was observed
in 10 hearts, situs solitus in 3 and left atrial isomerism in one. Regarding the
mode of atrioventricular connection, all hearts but one had a common
atrioventricular valve. Systemic or pulmonary venous abnormalities were noted in
all patients with atrial isomerism. In nine patients a valvular or subvalvular
pulmonary stenosis was present. Among the functionally "univentricular hearts",
double inlet- double outlet right ventricle represents a peculiar entity, mostly
in association with right atrial isomerism. Multiple cardiac anomalies are
associated and may complicate surgical repair.
PMID- 27866078
TI - A pH Indicator-linked Immunosorbent assay following direct amplification strategy
for colorimetric detection of protein biomarkers.
AB - A new pH indicator-linked immunosorbent assay (PILISA) reached pg/mL sensitivity
based on pH indicator molecules loaded carbon nitride nanosheets as signal
enhancer has been developed for colorimetric detection of protein biomarkers. As
the secondary antibody binds to the carbon nitride nanosheets, the carbon nitride
nanosheets and pH indicator complex as the signal amplification platform for
colour change by detecting absorbance of pH indicator. The colour change was
resulted from the releasing of pH indicator molecules from carbon nitride
nanosheets triggered by alkali solution (AS). In this novel PILISA, the intensity
absorbance of pH indicator is proportional to the concentration of the disease
marker. The outstanding detection performance of the PILISA can be attributed to
the following reasons: (1) ultrathin carbon nitride nanosheets with a larger
surface area could adsorb abundant phenolphthalein (PP) molecules through
hydrophobic interactions as well as the resulted PP anions can be free easily
released into aqueous solution, leading to an obvious allochroic response; (2)
the signal intensity is precisely determined by the amount of PP molecules
loading onto the carbon nitride nanosheets surface, which ensures simple, low
cost and stable colorimetric detection. As expected, this new PILISA method
offered an enzyme-free approach followed enzyme-linked immunosorbent assay
format, which showed great promising potential as an innovative robust assay
method for practical clinical applications.
PMID- 27866079
TI - Multimodal plasmonic biosensing nanostructures prepared by DNA-directed
immobilization of multifunctional DNA-gold nanoparticles.
AB - Biofunctional multimodal plasmonic nanostructures suitable for multiplexed
localized surface plasmon resonance (LSPR) biosensing have been created by DNA
directed immobilization (DDI) of two distinct multifunctional biohybrid gold
nanoparticles. Gold nanoparticles (AuNP) of distinct sizes, and therefore showing
distinct plasmon resonant peaks (RP), have been biofunctionalized and codified
with two different single stranded-DNA (ssDNA) chains. One of these
oligonucleotide chains has been specifically designed to direct each AuNP to a
distinct location of the surface of a DNA microarray chip through specific
hybridization with complementary oligonucleotide strands. Scanning Electron
Microscopy (SEM) has been used to demonstrate selective immobilization of each
AuNP on distinct spots. The second ssDNA chain of the AuNPs provides the
possibility to introduce by hybridization distinct types of bioactive molecules
or bioreceptors, on a reversible manner. In this work, hapten-oligonucleotide
bioconjugate probes, with sequences complementary to the second ssDNA linked to
the AuNP, have been synthesized and used to create multiplexed hapten
biofuncionalized plasmonic nanostructures. The oligonucleotide probes consist on
anabolic androgenic steroid haptens (AAS) covalently linked to specifically
designed oligonucleotide sequences. The biofunctionality of these plasmonic
nanostructures has been demonstrated by fluorescent microarray immunoassay and
LSPR measurements, recording the shift of the RP produced after the antibody
binding to the corresponding hapten-oligonucleotide probes immobilized on the
nanostructured surface. Preliminary data show that this approach could allow
manufacturing multifunctional multimodal LSPR chips for multiplexed analysis of
different substances reaching very good detectability. Thus, small molecular
weigh, analytes such as stanozolol (ST,) could be detected at concentrations in
the low nM range. The results here presented open the door for an easy way to
construct site-encoded multiplexed multimodal LSPR sensor transducers, combining
the DDI strategies with multimodal biohybrid nanoparticles showing distinct
optical properties.
PMID- 27866080
TI - Highly sensitive detection of cancer cells with an electrochemical cytosensor
based on boronic acid functional polythiophene.
AB - The detection of cancer cells through important molecular recognition target such
as sialic acid is significant for the clinical diagnosis and treatment. There are
many electrochemical cytosensors developed for cancer cells detection but most of
them have complicated fabrication processes which results in poor reproducibility
and reliability. In this study, a simple, low-cost, and highly sensitive
electrochemical cytosensor was designed based on boronic acid-functionalized
polythiophene. In cytosensors fabrication simple single-step procedure was used
which includes coating pencil graphite electrode (PGE) by means of electro
polymerization of 3-Thienyl boronic acid and Thiophen. Electrochemical impedance
spectroscopy and cyclic voltammetry were used as an analytical methods to
optimize and measure analytical performances of PGE/P(TBA0.5Th0.5) based
electrode. Cytosensor showed extremely good analytical performances in detection
of cancer cells with linear rage of 1*101 to 1*106 cellsmL-1 exhibiting low
detection limit of 10 cellsmL-1 and incubation time of 10min. Next to excellent
analytical performances, it showed high selectivity towards AGS cancer cells when
compared to HEK 293 normal cells and bone marrow mesenchymal stem cells (BM
hMSCs). This method is promising for future applications in early stage cancer
diagnosis.
PMID- 27866081
TI - Delineating baseflow contribution areas for streams - A model and methods
comparison.
AB - This study addresses the delineation of areas that contribute baseflow to a
stream reach, also known as stream capture zones. Such areas can be delineated
using standard well capture zone delineation methods, with three important
differences: (1) natural gradients are smaller compared to those produced by
supply wells and are therefore subject to greater numerical errors, (2) stream
discharge varies seasonally, and (3) stream discharge varies spatially. This
study focuses on model-related uncertainties due to model characteristics,
discretization schemes, delineation methods, and particle tracking algorithms.
The methodology is applied to the Alder Creek watershed in southwestern Ontario.
Four different model codes are compared: HydroGeoSphere, WATFLOW, MODFLOW, and
FEFLOW. In addition, two delineation methods are compared: reverse particle
tracking and reverse transport, where the latter considers local-scale parameter
uncertainty by using a macrodispersion term to produce a capture probability
plume. The results from this study indicate that different models can calibrate
acceptably well to the same data and produce very similar distributions of
hydraulic head, but can produce different capture zones. The stream capture zone
is found to be highly sensitive to the particle tracking algorithm. It was also
found that particle tracking by itself, if applied to complex systems such as the
Alder Creek watershed, would require considerable subjective judgement in the
delineation of stream capture zones. Reverse transport is an alternative and more
reliable approach that provides probability intervals for the baseflow
contribution areas, taking uncertainty into account. The two approaches can be
used together to enhance the confidence in the final outcome.
PMID- 27866083
TI - Neuroanatomical differences in FAST and SLOW rat strains with differential
vulnerability to kindling and behavioral comorbidities.
AB - OBJECTIVE: The neurobiological factors underlying a predisposition towards
developing epilepsy and its common behavioral comorbidities are poorly
understood. FAST rats are a strain that has been selectively bred for enhanced
vulnerability to kindling, while the SLOW strain has been bred to be resistant to
kindling. FAST rats also exhibit behavioral traits reminiscent of those observed
in neurodevelopmental disorders (autism spectrum disorder (ASD)/attention
deficit/hyperactivity disorder (ADHD)) commonly comorbid with epilepsy. In this
study, we aimed to investigate neuroanatomical differences between these strains
that may be associated with a differential vulnerability towards these
interrelated disorders. METHODS: Ex vivo high-resolution magnetic resonance
imaging on adult male FAST and SLOW rat brains was performed to identify
morphological differences in regions of interest between the two strains.
Behavioral examination using open-field, water consumption, and restraint tests
was also conducted on a subgroup of these rats to document their differential
ASD/ADHD-like behavior phenotype. Using optical stereological methods, the volume
of cerebellar granule, white matter, and molecular layer and number of Purkinje
cells were compared in a separate cohort of adult FAST and SLOW rats. RESULTS:
Behavioral testing demonstrated hyperactivity, impulsivity, and polydipsia in
FAST versus SLOW rats, consistent with an ASD/ADHD-like phenotype. Magnetic
resonance imaging analysis identified brain structural differences in FAST
compared with SLOW rats, including increased volume of the cerebrum, corpus
callosum, third ventricle, and posterior inferior cerebellum, while decreased
volume of the anterior cerebellar vermis. Stereological measurements on
histological slices indicated significantly larger white matter layer volume,
reduced number of Purkinje cells, and smaller molecular layer volume in the
cerebellum in FAST versus SLOW rats. SIGNIFICANCE: These findings provide
evidence of structural differences between the brains of FAST and SLOW rats that
may be mechanistically related to their differential vulnerability to kindling
and associated comorbid ASD/ADHD-like behaviors.
PMID- 27866084
TI - Engineering cell signaling modulators from native protein-protein interactions.
AB - Recent studies on genome sequencing and genetic screens with RNAi and CRISPR
technology have revolutionized our understanding of aberrant signaling networks
in human diseases. A strategy combining both genetic and protein-based
technologies should be at the heart of modern drug-development efforts,
particularly in the era of precision medicine. Thus, there is an urgent need for
efficient platforms to develop probes that can modulate protein function in cells
to validate drug targets and to develop therapeutic leads. Advanced protein
engineering has enabled the rapid production of monoclonal antibodies and small
protein scaffold affinity reagents for diverse protein targets. Here, we review
the most recent progress on engineering natural protein-protein interactions for
modulation of cell signaling.
PMID- 27866082
TI - Auditory verbal hallucinations and continuum models of psychosis: A systematic
review of the healthy voice-hearer literature.
AB - Recent decades have seen a surge of research interest in the phenomenon of
healthy individuals who experience auditory verbal hallucinations, yet do not
exhibit distress or need for care. The aims of the present systematic review are
to provide a comprehensive overview of this research and examine how healthy
voice-hearers may best be conceptualised in relation to the diagnostic versus
'quasi-' and 'fully-dimensional' continuum models of psychosis. A systematic
literature search was conducted, resulting in a total of 398 article titles and
abstracts that were scrutinised for appropriateness to the present objective.
Seventy articles were identified for full-text analysis, of which 36 met criteria
for inclusion. Subjective perceptual experience of voices, such as loudness or
location (i.e., inside/outside head), is similar in clinical and non-clinical
groups, although clinical voice-hearers have more frequent voices, more negative
voice content, and an older age of onset. Groups differ significantly in beliefs
about voices, control over voices, voice-related distress, and affective
difficulties. Cognitive biases, reduced global functioning, and psychiatric
symptoms such as delusions, appear more prevalent in healthy voice-hearers than
in healthy controls, yet less than in clinical samples. Transition to mental
health difficulties is increased in HVHs, yet only occurs in a minority and is
predicted by previous mood problems and voice distress. Whilst healthy voice
hearers show similar brain activity during hallucinatory experiences to clinical
voice-hearers, other neuroimaging measures, such as mismatch negativity, have
been inconclusive. Risk factors such as familial and childhood trauma appear
similar between clinical and non-clinical voice-hearers. Overall the results of
the present systematic review support a continuum view rather than a diagnostic
model, but cannot distinguish between 'quasi' and 'fully' dimensional models.
Healthy voice-hearers may be a key resource in informing transdiagnostic
approaches to research of auditory hallucinations.
PMID- 27866085
TI - Cognitive bias modification of interpretation in children with social anxiety
disorder.
AB - Negative (or a lack of positive) interpretation of ambiguous social situations
has been hypothesised to maintain social anxiety disorder in children, yet there
is currently limited evidence to support this. Cognitive Bias Modification of
Interpretation (CBM-I) provides a means to explore the causal influence of
interpretation bias on social anxiety disorder, and has been associated with a
reduction in social anxiety symptoms in adults. Seven to twelve year old children
with a diagnosis of social anxiety disorder completed CBM-I training, adapted
from materials designed for socially anxious children in the community, or no
training. Effects on interpretation bias and social anxiety were assessed. The
adapted CBM-I training was not associated with significant changes in benign or
negative interpretation. Unsurprisingly given the lack of successful
interpretation training, there were no significant changes in child or parent
reported social anxiety symptoms, clinician-rated severity or diagnoses and
change in interpretation was not significantly associated with change in social
anxiety. These findings contrast with some studies with community populations
although it is possible that more intensive CBM-I training is required to fully
test this hypothesis among clinical groups.
PMID- 27866086
TI - The role of postural instability/gait difficulty and fear of falling in
predicting falls in non-demented older adults.
AB - INTRODUCTION: Postural instability/gait difficulty (PIGD) and fear of falling
(FoF) frequently co-exist, but their individual predictive values for falls have
not been compared in aging. This study aims to determine both independent and
combined effect of PIGD and FoF to falls in older adults without dementia.
METHODS: PIGD and other extrapyramidal signs were systematically assessed in 449
community-dwelling participants without Parkinson's disease (76.48+/-6.61 ys;
56.8% female) enrolled in this longitudinal cohort study. Presence of FoF was
measured by a single-item question (Do you have a FoF?) and self-confidence by
the Activities-specific Balance Confidence scale (ABC scale). RESULTS: One
hundred sixty-nine participants (38%) had an incident fall over a mean follow-up
of 20.1+/-12.2months. PIGD was present in 32% and FoF in 23% of the participants.
Both PIGD (adjusted hazard ratio (aHR): 2.28; p=0.016) and self-confidence (aHR:
0.99; p=0.040) predicted falls when entered simultaneously in the Cox model.
However, presence of FoF (aHR: 1.99; p=0.021) and self-confidence (aHR: 0.98;
p=0.006) predicted falls only in individuals with PIGD. CONCLUSIONS: PIGD and FoF
were associated with future falls in older adults without dementia but FoF was a
fall's predictor only in individuals with PIGD.
PMID- 27866088
TI - Is ketogenic diet treatment hepatotoxic for children with intractable epilepsy?
AB - PURPOSE: Long-term ketogenic diet (KD) treatment has been shown to induce liver
steatosis and gallstone formation in some in vivo and clinical studies. The aim
of this retrospective study was to evaluate the hepatic side effects of KD in
epileptic children. METHOD: A total of 141 patients (mean age: 7.1+/-4.1years [2
18 years], 45.4% girls), receiving KD at least one year for intractable epilepsy
due to different diagnoses (congenital brain defects, GLUT-1 deficiency, West
syndrome, tuberous sclerosis, hypoxic brain injury, etc.) were included in the
study. Serum triglyceride, cholesterol, aminotransferase, bilirubin, protein and
albumin levels and abdominal ultrasonography were recorded before and at 1, 3, 6,
and 12 months following after diet initiation. RESULTS: The mean duration of KD
was 15.9+/-4.3months. At one month of therapy, three patients had elevated
alanine and aspartate aminotransferase levels. These patients were receiving
ketogenic diet for Doose syndrome, idiopathic epilepsy and GLUT-1 deficiency.
Hepatosteatosis was detected in three patients at 6 months of treatment. Two of
these patients were treated with KD for the primary diagnosis of tuberous
sclerosis and one for Landau Kleffner syndrome. Cholelithiasis was detected in
two patients at 12 months of treatment. They were receiving treatment for West
syndrome and hypoxic brain injury sequelae. CONCLUSION: Long-term ketogenic diet
treatment stimulates liver parenchymal injury, hepatic steatosis and gallstone
formation. Patients should be monitored by screening liver enzymes and abdominal
ultrasonography in order to detect these side effects.
PMID- 27866087
TI - A predictive model for estimating regional skeletal muscle size by two-point
dixon magnetic resonance imaging in healthy Koreans.
AB - This study was undertaken to develop and cross-validate reference and individual
predictive models for estimating functional thigh muscle cross-sectional area
(TCSA) by 2-point Dixon magnetic resonance imaging (MRI). TCSAs of dominant sides
at the mid-thigh level were measured by 2-point Dixon MRI (MRITCSA). Functional
MRITCSA were compared with the predictive models in a sample of 92 younger (20-40
years; 28.55+/-4.87; n=50) and older (>65years; 71.22+/-4.82; n=42) Koreans. Lean
body masses were measured by dual energy X-ray absorptiometry (DXALBM), and thigh
isokinetic muscle strengths, extension peak torque at 60 degrees /sec, were
measured using a Biodex(r) dynamometer (BiodexEPT). Multiple regression analysis
generated the reference model (R2=0.75 and SEE=1472.63mm2 (8%)) as follows: The
reference model: functional TCSA(mm2)=-1230.49+62.81*height+3061.78*gender
2692.57*age+58.91*weight. The individual model (R2=0.80, SEE=1158.34mm2 (7%)) was
as follows: The individual model: functional TCSA(mm2)=1631.62+1.76*
DXALBM+9.51*BiodexEPT where height is in centimeters; weight is in kilograms; for
gender, female=0 and male=1; and for age, age under 40=1 and age over 65=2. PRESS
statistics of R2 and SEE were 0.78 and 1382.98mm2 for the reference model, and
0.88 and 979.02mm2 for the individual model. The 2-point Dixon MRI appears to be
valid for measuring functional muscle size. Our results suggest that the
reference and individual models provide acceptable estimates of functional thigh
muscle CSA in healthy Korean adults. Therefore, the models developed in the study
could be useful as a research tool to establish indexes for functional muscle
composition in healthy Koreans.
PMID- 27866089
TI - Absence of epithelial atypia in B3-lesions of the breast is associated with
decreased risk for malignancy.
AB - INTRODUCTION: Lesions of uncertain malignant potential (B3) represent a
heterogeneous group with an overall risk for malignancy of 9.85-35.1% after total
resection. Positive predictive values (PPV) for malignancy vary depending on B3
subtype. The aim of this study was to evaluate the PPV for malignancy in B3
lesions and to determine the clinical significance of atypia-dependent sub
classification (a = without epithelial atypia; b = with epithelial atypia) of B3
into B3a and B3b and papillary lesions (PL) in PLa and PLb. METHODS: 219 patients
with histopathologically proven B3 lesions on core needle/vacuum-assisted biopsy
who subsequently underwent diagnostic excision biopsy were included in this
study. PPVs for malignancy were reported for B3 in general and all B3 sub
categories. Logistic regression analysis identified associations between B3
subgroups and outcome after excision biopsy as well as the impact of clinical and
diagnostic findings on excision diagnosis. RESULTS: The overall PPV rate was
10.0% (22/219). Excision histology exhibited a higher malignancy rate in PLb
(2/7; PPV: 28.6%) than in PLa (6/127; PPV: 4.7%) (p = 0.057) and in B3b (12/50;
PPV: 24.0%) compared to B3a category (8/165; PPV: 4.8%) (p < 0.001). DISCUSSION:
These findings support the necessity of B3 lesion sub-classification into B3a and
B3b and of PL into PLa and PLb when considering epithelial atypia. The
determination of atypia status represents a relevant factor in risk
stratification for clinical management of B3 lesions. Should future studies using
the sub-classification of PL confirm these results, observation may be a safe
option for the clinical management of patients with asymptomatic PLa lesions.
PMID- 27866090
TI - Relationship between anxiety disorders and domains of health related quality of
life among Nigerians with breast cancer.
AB - PURPOSE: Health Related Quality of life (HRQoL) is increasingly recognised as an
important indicator of outcome and well-being in oncology care. We set out in
this study to evaluate whether significant association exists between anxiety
disorders (ADs) and HRQoL in breast cancer, such that any intervention addressing
ADs would potentially improve HRQoL. METHODS: A cross sectional evaluation of 200
attendees of an oncology clinic was done using designed questionnaire to gather
socio-demographic and clinical data. Subsequently, the Schedule for clinical
Assessment in Neuropsychiatry was used to ascertain ADs and the European
Organization for Research and Treatment of Cancer QOL Questionnaire (THE EORTC
QLQ-C30) Version 3 with its breast specific supplement (QLQ-BR-23) was used to
profile HRQoL in participants. RESULTS: The mean age of participants was 49.6(+/
11.2) years, and 54% of participants had stage III and IV breast cancer. Findings
on EORTC QLQ-C30 following univariate analyses showed association between ADs and
poorer mean scores on global health status, functional domains including
physical, emotional, social, and cognitive functions (p < 0.05). On the symptom
scale, those with ADs had higher symptom load including fatigue, pain, insomnia,
appetite loss, diarrhoea and financial difficulties (p < 0.05). Similarly, the
QLQ-BR-23 showed correlation between ADs and poorer mean scores on breast cancer
specific issues like body image, future perspectives, sexual functioning, sexual
enjoyment, systemic therapy side-effects, upset by hair loss and breast symptoms
(p < 0.05). Findings after controlling for age, treatment, cancer duration,
recurrence and stage showed the same pattern of relationship between ADs and
HRQoL; however, the global health status, cognition, sexual functioning, and
higher symptom load with respect to appetite loss and financial difficulties were
not independently related with ADs. CONCLUSIONS: Scaling up of oncological
services, supportive care and targeted psychosocial interventions are indicated
for optimal outcome of breast cancer. Longitudinal research with focus on the
complex relationship between HRQoL and ADs along with their modifiable
determinants across the trajectories of breast cancer is warranted.
PMID- 27866091
TI - A sensitivity and specificity comparison of fine needle aspiration cytology and
core needle biopsy in evaluation of suspicious breast lesions: A systematic
review and meta-analysis.
AB - PURPOSE: Breast cancer detections for women with suspicious lesions mainly depend
on two non-operative pathological tests-fine needle aspiration cytology (FNAC)
and core needle biopsy (CNB). The aim of this systematic review was to compare
the sensitivity and specificity of CNB and FNAC in this setting. METHODS: The
data sources included MEDLINE, EMBASE, PubMed, and the Cochrane Central Register
of Controlled Trials (CENTRAL) till February 2016. We included prospective series
of studies which directly compared the accuracy of FNAC and CNB. We used forest
plots to display the sensitivity and specificity of FNAC and CNB respectively.
Pre-specified subgroup analyses and sensitivity analysis were conducted. RESULTS:
Ultimately, 12 articles (1802 patients) were included in the final analysis. The
pooled analysis shows that the sensitivity of CNB is better than that of FNAC
[87% (95% CI, 84%-88%, I2 = 88.5%) versus 74% (95% CI, 72%-77%, I2 = 88.3%)] and
the specificity of CNB is similar to that of FNAC [98% (95% CI, 96%-99%, I2 =
76.2%) versus 96% (95% CI, 94%-98%, I2 = 39.0%)]. For subgroup analysis, the
sensitivities of both tests are better for palpable lesions than that of non
palpable lesions. Sensitivity analysis shows the robustness of the primary
analysis. CONCLUSION: Our study suggests that both of FNAC and CNB have good
clinical performance. In similar circumstances, the sensitivity of CNB is better
than that of FNAC, while their specificities are similar. FNAC could be still
considered the first choice to evaluate suspicious nonpalpable breast lesions.
PMID- 27866092
TI - Identify high risk estrogen receptor-positive breast cancer patients for extended
endocrine therapy.
AB - PURPOSE: To demonstrate the patterns of breast cancer-specific mortality (BCSM)
in estrogen receptor (ER)-positive diseases and to identify high-risk candidates
for extended endocrine therapy. METHODS: Using the Surveillance, Epidemiology and
End Results database, we identified ER-positive patients diagnosed between 1990
and 2000 (cohort 1 [C1]) and between 2001 and 2005 (cohort 2 [C2]). The patterns
of BCSM were calculated using Cox proportional hazard regression models. A risk
classification model was developed, and X-tile software was used to divide
patients with high BCSM rates into 3 risk groups. RESULTS: The annual BCSM rate
of C2 was decreased by one-third and was maintained at 10-15 (per 1000 persons
per year) from year 2 to year 10. Long-term mortality risks still persisted in
C2, especially in patients with node-positive, grade 3 or T3 disease, who should
be considered as "clinical-high-risk". These patients were further divided into 3
risk groups through our model: for C1, 42.2% were in the low-risk group, 38.9% in
the medium-risk group, and 18.9% in the high-risk group; and for C2, 45.5% were
in the low-risk group, 38.2% in the medium-risk group and 16.2% in the high-risk
group (p < 0.001). The BCSM rates of the patients in each group within C2
decreased, and fewer patients in C2 were classified into the clinical high-risk
group. CONCLUSION: ER-positive patients with node-positive, grade 3 or T3
diseases had sustained risks of death throughout the 10-year time frame, and our
model is helpful to identify patients with high risk who are candidates for
extended endocrine therapy.
PMID- 27866093
TI - NSCA-1-a novel N-substituted coumalamide derivative-increases Adriamycin
sensitivity in HepG2/adriamycin cells through modulating Akt/GSK-3beta signaling
and p53-dependant apoptotic pathway.
AB - Coumalamide derivatives are one of 2-pyrones derivatives, exerting
multifunctional bioactivity. An array of coumalamide derivatives have been
developed and presented good antiproliferative properties on cancer cells.
However, the synthesis of 5-substituted coumalamide derivatives has not yet been
published. Resistance to chemotherapeutic drugs is a major obstacle in
hepatocellular carcinoma therapy. Recent evidence suggests that overexpression of
constitutively active Akt confers on cancer cells resistance to chemotherapy. In
this study, we report the synthesis and biological evaluation of a novel N
substituted coumalamide derivative (NSCA-1). The results indicated that NSCA-1
exerts synergistic cytotoxicity with Adriamycin in HepG2/ADR (HepG2/adriamycin)
cells. Furthermore, both of the Akt kinase activity and phosphorylated Akt
(Ser473) were found to be inhibited by NSCA-1 and subsequently resulting in
decreased phosphorylation of GSK-3beta. The intracellular accumulation of
Adriamycin was also boosted by NSCA-1 via reducing the expression of p-gp. In
addition, we found that combined treatment with NSCA-1 enhance cell apoptosis
induced by Adriamycin via p53-dependant apoptotic pathway.
PMID- 27866094
TI - (Neo)adjuvant treatment in localised soft tissue sarcoma: The unsolved affair.
AB - Soft tissue sarcomas (STS) are rare and heterogeneous tumours. A correct
definition of STS is imperative from the very beginning of disease management, to
guide the diagnostic and imaging work-up, and help to establish the prognosis on
which the therapeutic strategy will be based. Over the last few years, many
efforts have been made to identify characteristics that could predict disease
behaviour and to enrich the therapeutic armamentarium against the advanced
disease, that is still characterised by poor prognosis. Surgery remains the
milestone of treatment for localised STS, whereas many uncertainties regarding
the role of adjuvant and neoadjuvant treatment persist. Some controlled evidence
is available, but often conflicting and insufficient to make chemotherapy (CT) a
standard practice and, currently, a common and shared strategy does not exist.
The biggest question concerns the prospective identification of the subgroup of
patients who would benefit the most from (neo)adjuvant therapies. In light of the
growing understanding of different biologies and sensitivities of the various
sarcoma subtypes, the value of histology in the selection of peri-operative
treatments is one of the most intriguing topics under discussion. In this
perspective, a new generation of neoadjuvant trials have been planned and are
currently ongoing. The aim of this review was to rekindle interest in the long
standing topic of (neo)adjuvant CT in localised STS, providing an update on its
role in sarcomas' management and highlighting future directions and consequential
factors needed to further improve outcomes in this disease.
PMID- 27866095
TI - Continuing EGFR inhibition beyond progression in advanced non-small cell lung
cancer.
AB - The majority of patients with epidermal growth factor receptor (EGFR) mutant non
small cell lung cancer (NSCLC) respond to first-line EGFR tyrosine kinase
inhibitors (TKIs), but nearly all inevitably acquire resistance and develop
disease progression. Conventional practice would be to switch treatments to
second-line therapy. However, continuing TKIs beyond progression is becoming
increasingly commonplace in patients with indolent, small volume asymptomatic
growth, who may potentially continue to derive ongoing clinical benefit and to
avoid a 'withdrawal tumour flare'. Nevertheless, there are limitations to our
current criteria for assessing disease response, which are based on radiological
assessments without considering symptomatic benefit, or the complex molecular and
clinical heterogeneity of tumour growth and drug response patterns. In this
article, we review the rationale for continuing EGFR inhibitors in patients with
EGFR mutant NSCLC beyond disease progression and discuss strategies that have
been pursued in the context of molecularly and clinically heterogeneous
populations of tumour growth depending on the different clinical scenarios
encountered. We discuss the management of systemic disease progression, including
continuing EGFR TKIs alone, introducing a drug holiday, or combining TKIs with
chemotherapy or other molecularly targeted agents. We also focus on approaches in
managing patients with indolent, small volume asymptomatic growth (non-CNS
oligometastatic disease progression) and those with oligometastatic EGFR mutant
NSCLC with involvement of the central nervous system. We envision future
precision medicine strategies through the use of next generation sequencing
strategies of serial tumour rebiopsies and circulating plasma DNA to
individualise the management for such patients during disease progression.
PMID- 27866096
TI - Comparative effectiveness and safety of thalidomide and lenalidomide in patients
with multiple myeloma in the United States of America: A population-based cohort
study.
AB - BACKGROUND: The comparative effectiveness of thalidomide and lenalidomide in the
treatment of multiple myeloma has not been established. We conducted an
observational cohort study of multiple myeloma patients receiving either
thalidomide or lenalidomide in routine care in the United States of America to
assess their comparative survival and rates of peripheral neuropathy. METHODS:
Myeloma patients were identified and followed using administrative claims data
from a large national health insurance provider (UnitedHealth). Patients were
eligible if they initiated treatment with either lenalidomide or thalidomide
between 2004 and 2013. Propensity score stratified Cox proportional hazards
regression was used to estimate the hazard ratios (HR) and 95% confidence
intervals (CI) for death and new-onset peripheral neuropathy (defined by
International Classification of Disease, Ninth Revision codes or a new
prescription intended to treat neuropathic pain). FINDINGS: Our cohort included
1264 myeloma patients who initiated either thalidomide or lenalidomide. Among 406
new users of thalidomide, 142 (35%) developed peripheral neuropathy during a mean
499 person-days of follow-up. Among 858 new users of lenalidomide, 244 (29%)
developed neuropathy during 587 person-days. Compared with thalidomide
initiators, lenalidomide initiators had a reduced risk of peripheral neuropathy
(HR 0.71, 95% CI: 0.56-0.92). We found no difference in rates of death (HR 1.00,
95% CI: 0.71-1.41). INTERPRETATION: Our results agree with the findings of
recently published trials suggesting that thalidomide and lenalidomide are
equivalent with respect to survival outcomes but different with respect to
neurotoxicity in clinical practice settings.
PMID- 27866097
TI - Current therapy and the evolving molecular landscape of paediatric ependymoma.
AB - Ependymomas are the third commonest paediatric central nervous system (CNS)
tumour, accounting for 6-12% of brain tumours in children. The management of
these tumours has seen considerable changes over the last two decades, leading to
a significant improvement in outcomes. However, despite advances in
neurosurgical, neuroimaging and postoperative adjuvant therapy, management of
these tumours remain challenging, and recurrence occurs in over 50% of cases,
particularly when complete resection is not achieved prior to conformal
radiotherapy. To-date no chemotherapeutic regimen has proven to be of significant
clinical benefit. Predicting tumour behaviour and defining robust correlates of
disease outcome based on histopathology and clinical characteristics remains
suboptimal. Paucity of cell lines, failure to develop ideal animal models of
these tumours, have precluded better understanding of the oncogenic drivers,
undermining development of targeted therapies. Over the last few years
breakthrough in the understanding of the molecular biology, are now providing
clues to therapeutic insights. It is clear that even with histopathological
similarities, these are genetically heterogeneous tumours with diverse clinical
outcomes. Rapid evolution of data based on genome-wide DNA methylation patterns,
have now identified nine molecular subgroups in these tumours, across three
anatomic compartments which include supratentorial (ST), posterior fossa (PF) and
the spinal locations. More recently based on transcriptome profiling, two
subgroups (group A and B) of PF ependymoma have been identified with distinct
molecular, clinical characteristics and specific chromosomal aberrations. This
review includes current management, evolving molecular biology and the shifting
paradigm of treatment profile that targets molecular alterations in paediatric
ependymoma.
PMID- 27866098
TI - Study on the relationship of genotoxic and oxidative potential of a new mixed
chelate copper antitumoral drug, Casiopeina II-gly (Cas II-gly) in Drosophila
melanogaster.
AB - The present study evaluates the superoxide dismutase (SOD) and catalase (CAT)
activities in a wild strain of Drosophila melanogaster and the genotoxic
potential induced by Cas II-gly (a new antineoplastic drug) using the somatic
mutation and recombination test. Larvae 48h old were treated with Cas II-gly in a
range of 0-1.5mM and aliquot were taken every 24h to have individuals treated for
24, 48, 72h and adulthood as well. A dose-dependent toxicity and a significant
increase in SOD and CAT activities were found after a 24 and 48h treatment with
0.5-1.5mM concentrations. The comparison of the effect in enzymes with mutation
indicated a positive correlation with increased genetic damage, after 24 and 48h
of exposure for all concentrations tested. The addition of the genetic damage
induced in each exposure time showed a significant effect, but only the small
single spots had a concentration-related increase.
PMID- 27866099
TI - How to image cell adhesion on soft polymers?
AB - Here, we present a method to investigate cell adhesion on soft, non-conducting
polymers that are implant candidate materials. Neuronal cells were grown on two
elastomers (polydimethylsiloxane (PDMS) and Ecoflex(r)) and prepared for electron
microscopy. The samples were treated with osmium tetroxide (OsO4) and
uranylacetate (UrAc). Best results can be achieved when the polymers were coated
with a thin iridium layer before the cell culture. This was done to emphasize the
usage of soft polymers as supports for implant electrodes. A good contrast and
the adhesion of the cells on soft polymers could be visualized.
PMID- 27866100
TI - TEM illumination settings study for optimum spatial resolution and indexing
reliability in crystal orientation mappings.
AB - The spatial resolution and the indexing quality obtained with an automated
orientation and phase mapping tool are analyzed for different Transmission
Electron Microscope (TEM) illumination settings. The electron probe size and
convergence angle are studied for two TEM configuration modes referred as
microprobe and nanoprobe modes. Using a 10MUmC2 aperture in a FEI Tecnai F20
(S)TEM, the nanoprobe mode is used to get a small convergent electron beam while
the microprobe mode provides a nearly parallel illumination at the cost of a
larger probe size. The nanoprobe configuration enables to increase the spatial
resolution (~1nm vs 3nm) but also affects the fraction of mis-indexed points (15%
vs 1%). Indexing errors are attributed to the increase by a factor of three of
the convergence angle with respect to the microprobe mode. While intermediate
optimum settings may be found and are potentially achievable on electron
microscopes providing a 'free lens' control or a larger choice of C2 apertures,
it is emphasized that the spatial resolution cannot be considered without
reference to the indexing quality and, consequently to the convergence angle.
PMID- 27866101
TI - Decadal phytoplankton dynamics in response to episodic climatic disturbances in a
subtropical deep freshwater ecosystem.
AB - Information of the decadal timescale effects of episodic climatic disturbances
(i.e., typhoons) on phytoplankton in freshwater ecosystems have received less
attention and fewer seasonal evaluations partly due to the lack of long-term time
series monitoring data in typhoon prevailing areas. Through field observations of
a total 36 typhoon cases in a subtropical deep freshwater ecosystem in the period
of 2005-2014, we quantified phytoplankton biomass, production and growth rate in
response to meteorological and hydrological changes in the weeks before, during
and after typhoons between summer and autumn, and also investigated the effects
of typhoon characteristics on the aforementioned phytoplankton responses. The
results showed that phytoplankton exposed to typhoon disturbances generally
exhibited an increasing trend over the weeks before, during and after typhoons in
summer but varied in autumn. The correlations and multivariate regressions showed
different contributions of meteorological and hydrological variables to
individual phytoplankton responses before, during and after typhoons between
seasons. The post-typhoon weeks (i.e., within two weeks after a typhoon had
passed) were especially important for the timeline of phytoplankton increases and
with a detectable seasonal variation that the chlorophyll a concentration
significantly increased in autumn whereas both primary production and growth rate
were associated with significant changes in summer. Additionally, phytoplankton
responses during the post-typhoon weeks were significantly different between
discrete or continuous types of typhoon events. Our work illustrated the fact
that typhoons did influence phytoplankton responses in the subtropical deep
freshwater ecosystem and typhoon passages in summer and autumn affected the
phytoplankton dynamics differently. Nevertheless, sustained and systematic
monitoring in order to advance our understanding of the role of typhoons between
seasons in the modulation of phytoplankton productivity and functioning is
required because such episodic climatic disturbances are projected to have
intense magnitude and inconsistent frequency under 21st century climate change.
PMID- 27866102
TI - Variations in physical, chemical and biological properties in relation to sludge
dewaterability under Fe (II) - Oxone conditioning.
AB - The mechanism of Fe (II) - oxone conditioning to improve sludge dewaterability
was investigated in this study. Five different types of sludge were tested,
including raw sludge (Group 1: mixed primary and secondary sludge, waste
activated sludge and anaerobic digested sludge) and pretreated sludge with prior
solubilisation (Group 2: ultrasonic or thermal pretreated sludge). After Fe (II)
oxone conditioning, the concentrations of dissolved organic carbon, protein and
polysaccharide of soluble extracellular polymeric substances (SB EPS) increased
for Group 1, but decreased for Group 2. For all types of sludge investigated, the
related organic compounds of loosely bound (LB) and tightly bound (TB) EPS
decreased with Fe (II) - oxone conditioning, and increased sludge filterability
showed strong and positive correlation with the removal of low molecular weight
protein and neutrals in LB EPS. Fe (II) - oxone was very effective in
disintegrating cell membrane and caused potential cell lysis, as indicated by
increased percentage of damaged microbial cells. From this study, the mechanism
of Fe (II) - oxone conditioning was proposed and can be divided into two steps:
(1) Oxidation step - sulfate radicals degraded organic compounds in LB and TB EPS
in sludge and transformed bound water to free water that was trapped in TB and LB
EPS; It also damaged cells membrane and may help to release intracellular water
content. Sludge flocs were broken into smaller particles; (2) Coagulation step -
Fe (III), generated from the oxidation step can act as a coagulant to agglomerate
smaller particles into larger ones and reduce the repulsive electrostatic
interactions. Combined effects from above two steps can greatly improve sludge
filterability.
PMID- 27866104
TI - Aquatic transformation of phosphite under natural sunlight and simulated
irradiation.
AB - The phototransformation of phosphite (HPO32-, H2PO3-, +3) from Lake Taihu water
(THW) under natural sunlight was evaluated. No direct phosphite photoreaction was
observed under sunlight. Suspended solids were shown to play important roles in
the indirect photoreaction of phosphite in lake water. The phototransformation of
phosphite followed pseudo-first-order reaction kinetics and the kinetics
constants (k, d-1) decreased as: 0.0324 (original THW), 0.0236 (sterilized THW),
0.0109 (filtered THW) and 0.0102 (sterilized filtered THW). Original THW with 1
mmol L-1 NO3- added was used to simulate the phosphite removal in lakes with
serious N pollution. The results showed that the phototransformation was
accelerated (with k increased to 0.0386-0.0463 d-1), and sterilization or
filtration shown little effect to the transformation, as the half-lives of
phosphite drew closer. Under simulated irradiation in NO3- system, increasing NO3
concentration or decreasing pH value promoted phototransformation. The addition
of Fe3+ or Fe2+ accelerated photooxidation, while the addition of Mn2+ or Cd2+
inhibited phototransformation. Br-, NO2- and HCO3- in environmental
concentrations decreased phototransformation, and HCO3- showed the strongest
inhibition. Suwannee River humic acid or Suwannee River fulvic acid strongly
inhibited the photooxidation process, and the inhibiting effects varied with
their structure. Phosphite photooxidation was strongly inhibited by adding
isopropanol or sodium azide as reactive oxygen species (ROS) quenchers. Electron
spin resonance analysis indicated that OH was a main oxidant produced in this
system. The increased amount of phosphate coincided with the decreased amount of
phosphite, which indicated that the transformation product of phosphite was
phosphate. Phosphite is a considerable component of the P redox cycle in Lake
Taihu.
PMID- 27866103
TI - Enhanced MFC power production and struvite recovery by the addition of sea salts
to urine.
AB - Urine is an excellent fuel for electricity generation in Microbial Fuel Cells
(MFCs), especially with practical implementations in mind. Moreover, urine has a
high content in nutrients which can be easily recovered. Struvite (MgNH4PO4.6H2O)
crystals naturally precipitate in urine, but this reaction can be enhanced by the
introduction of additional magnesium. In this work, the effect of magnesium
additives on the power output of the MFCs and on the catholyte generation is
evaluated. Several magnesium sources including MgCl2, artificial sea water and a
commercially available sea salts mixture for seawater preparation (SeaMix) were
mixed with real fresh human urine in order to enhance struvite precipitation. The
supernatant of each mixture was tested as a feedstock for the MFCs and it was
evaluated in terms of power output and catholyte generation. The commercial
SeaMix showed the best performance in terms of struvite precipitation, increasing
the amount of struvite in the solid collected from 21% to 94%. Moreover, the
SeaMix increased the maximum power performance of the MFCs by over 10% and it
also changed the properties of the catholyte collected by increasing the pH,
conductivity and the concentration of chloride ions. These results demonstrate
that the addition of sea-salts to real urine is beneficial for both struvite
recovery and electricity generation in MFCs.
PMID- 27866105
TI - Pilot-scale removal and recovery of dissolved phosphate from secondary wastewater
effluents with reusable ZnFeZr adsorbent @ Fe3O4/SiO2 particles with magnetic
harvesting.
AB - Advanced nanocomposite magnetic particles functionalized with ZnFeZr-adsorbent
are developed, characterized and tested for the removal and recovery of phosphate
directly from spiked secondary wastewater effluent (~10 mg/L PO4-P). The
phosphate loaded particles can be extracted from the liquid phase via magnetic
separation, regenerated in a NaOH solution where phosphate desorption takes
place, and reused in numerous cycles. Laboratory experiments demonstrate their
reusability and stability in 60 consecutive adsorption/desorption runs where
under optimal conditions > 90% total P-recovery efficiency is reached. In
addition, pilot tests are performed to verify the proof-of-concept by upscaling
the technology and maintain high efficiency of phosphate removal and recovery
after treating 1.5 m3 wastewater in 20 cycles. Effluent concentrations <0.05 mg/L
PO4-P can be achieved in the treated wastewater. The reclaimed desorption
solution is concentrated with phosphate ions through its repetitive application,
attaining up to 38-times enrichment (~380 mg/L PO4-P) compared to the initial
concentration in wastewater. The P-rich eluate is used as a source for subsequent
precipitation of a solid fertilizer product such as struvite.
PMID- 27866106
TI - Denitrification in an integrated bioelectro-photocatalytic system.
AB - Since nitrate causes severe ecological and health risks, nitrate contamination of
drinking water sources has become one of the most important water quality
concerns all over the world. Photocatalytic reduction of nitrate to molecular
nitrogen presents a promising approach to remove nitrate from drinking water
sources. However, harmful intermediates like NO2-, NO, NO2 and N2O are usually
formed, and metal loading or hole scavengers are generally needed to reduce the
recombination of photo-generated electrons and holes, which will cause secondary
pollution to drinking water. In this work, an efficient, selective and
sustainable bioelectro-photocatalytic nitrate-reducing system by utilizing
commercial TiO2 nanoparticles P25 as the photocatalyst and bio-electrons from
microbial metabolism as the hole scavenger is reported. In this system, bio
electrons extracted from organic substrates in bioanode are transferred to the
photocathode through an external circuit for hole quenching. With the utilization
of the residual photogenerated electrons, nitrate is completely reduced to
nitrogen without accumulation of harmful nitrite or ammonium. The experimental
results and the mechanistic analysis using the first-principles density
functional theory calculations demonstrate that toxic by-products like nitrite or
ammonium will not be accumulated in this system. Thus, this approach has a great
potential for sustainable remediation of nitrate-contaminated drinking water
sources.
PMID- 27866107
TI - Perturbation-free measurement of in situ di-nitrogen emissions from
denitrification in nitrate-rich aquatic ecosystems.
AB - Increased production of reactive nitrogen (Nr) from atmospheric di-nitrogen (N2)
has greatly contributed to increased food production. However, enriching the
biosphere with Nr has also caused a series of negative effects on global
ecosystems, especially aquatic ecosystems. The main pathway converting Nr back
into the atmospheric N2 pool is the last step in the denitrification process.
Despite several attempts, there is still a need for perturbation-free methods for
measuring in situ N2 fluxes from denitrification in aquatic ecosystems at the
field scale. Such a method is needed to comprehensively quantify the N2 fluxes
from aquatic ecosystems. Here we observed linear relationships between the
delta15N-N2O signatures and the logarithmically transformed N2O/(N2+N2O) emission
ratios. Through independent measurements, we verified that the perturbation-free
N2 flux from denitrification in nitrate-rich aquatic ecosystems can be inferred
from these linear relationships. Our method allowed the determination of field
scale in situ N2 fluxes from nitrate-rich aquatic ecosystems both with and
without overlaying water. The perturbation-free in situ N2 fluxes observed by the
new method were almost one order of magnitude higher than those by the sediment
core method. The ability of aquatic ecosystems to remove Nr may previously have
been severely underestimated.
PMID- 27866108
TI - Clinical characteristics of critically ill patients with suspected influenza
during the 2009-10 and 2013-14 outbreaks.
AB - PURPOSE: Pandemic influenza A pdm09 (pH1N1) virus was the predominant isolate
identified during the 2009-10 and 2013-14 influenza outbreaks, causing
significant morbidity and mortality. We describe clinical characteristics of
critically ill patients during 2 pH1N1 outbreaks. METHODS: Single-center,
retrospective cohort study of patients admitted to the intensive care unit
receiving oseltamivir for suspected influenza during 2 outbreak periods.
Demographics and comorbidities were collected from the medical record. Outcomes
included use of adjunct oxygenation therapies and oseltamivir dosing. RESULTS:
One hundred twenty-four patients were included (2009, n=53; 2013, n=71).
Demographics were as follows: mean (SD) age, 52.3 (14.2) years; mean (SD) Acute
Physiology and Chronic Health Evaluation II score, 19.4 (9.2); 71% had greater
than or equal to 2 comorbidities; and mortality was 27%. Inhaled nitric oxide was
administered more commonly in 2009 (P=.01), whereas neuromuscular blockade
(P=.02) and epoprostenol were administered more commonly in 2013 (P=.01).
Patients in 2009 were more likely to receive high-dose oseltamivir (P=.02; odds
ratio, 1.8; 95% confidence interval, 1.18-6.62). No differences in clinical
outcomes were observed between 2009 and 2013. CONCLUSIONS: Use of adjunct
oxygenation therapies and nontraditional antiviral dosing has changed
significantly since the 2009 pandemic, although this has not resulted in a
measurable impact on clinical outcomes.
PMID- 27866109
TI - Magnitude of temperature elevation is associated with neurologic and survival
outcomes in resuscitated cardiac arrest patients with postrewarming pyrexia.
AB - PURPOSE: Avoidance of pyrexia is recommended in resuscitation guidelines,
including after treatment with targeted temperature management (TTM). Which
aspects of postresuscitation pyrexia are harmful and modifiable have not been
conclusively determined. MATERIALS AND METHODS: This retrospective multicenter
registry study collected serial temperatures during 72 hours postrewarming to
assess the relationship between 3 aspects of pyrexia (maximum temperature,
pyrexia duration, timing of first pyrexia) and neurologic outcome (primary) and
survival (secondary) at hospital discharge. Adult TTM-treated patients from 13 US
hospitals between 2005 and 2015 were included. RESULTS: One hundred seventy-nine
of 465 patients had at least 1 temperature greater than or equal to 38 degrees C.
Pyrexic temperatures were associated with better survival than nonpyrexic
temperatures (adjusted odds ratio [aOR], 1.54; 95% confidence interval [CI], 1.00
2.35). Higher maximum temperature was associated with worse outcome (neurologic
aOR, 0.30 [95% CI, 0.10-0.84]; survival aOR, 0.25 [95% CI, 0.10-0.59]) in pyrexic
patients. There was no significant relationship between pyrexia duration and
outcomes unless duration was calculated as hours greater than or equal to 38.8
degrees C, when longer duration was associated with worse outcomes (neurologic
aOR, 0.86 [95% CI, 0.75-1.00]; survival aOR, 0.82 [95% CI, 0.72-0.93]).
CONCLUSIONS: In postarrest TTM-treated patients, pyrexia was associated with
increased survival. Patients experiencing postrewarming pyrexia had worse
outcomes at higher temperatures. Longer pyrexia duration was associated with
worse outcomes at higher temperatures.
PMID- 27866111
TI - High-fidelity simulation: Teaching end-of-life care.
AB - The American Nurses Association, American Association of Colleges of Nursing, and
the Institute of Medicine of the National Academies are unified in the position
that nursing education must prepare students to coordinate and perform end-of
life (EOL) care. Yet, according to literature, undergraduate nursing education in
EOL care remains inadequate. Following a review of literature indicating a need
for more EOL instruction, a high-fidelity simulation activity is introduced and
described. Included are guidelines for preparation, role assignment, integration
of other professionals and family, and student reflection after the activity.
Student evaluations indicate that the simulation is valuable and improves self
efficacy in caring for the dying. By providing students with the opportunity to
experience EOL in a low-risk, learning environment, a high-fidelity EOL
simulation activity can help educators bridge the knowledge gap in nursing
education.
PMID- 27866112
TI - Protein-protein interactions: scoring schemes and binding affinity.
AB - Protein-protein interactions mediate several cellular functions, which can be
understood from the information obtained using the three-dimensional structures
of protein-protein complexes and binding affinity data. This review focuses on
computational aspects of predicting the best native-like complex structure and
binding affinities. The first part covers the prediction of protein-protein
complex structures and the advantages of conformational searching and scoring
functions in protein-protein docking. The second part is devoted to various
aspects of protein-protein interaction thermodynamics, such as databases for
binding affinities and other thermodynamic parameters, computational methods to
predict the binding affinity using either the three-dimensional structures of
complexes or amino acid sequences, and change in binding affinities of the
complexes upon mutations. We provide the latest developments on protein-protein
docking and binding affinity studies along with a list of available computational
resources for understanding protein-protein interactions.
PMID- 27866113
TI - Small world brain network characteristics during EEG Holter recording of a stroke
event.
PMID- 27866110
TI - Dysbiosis in the intensive care unit: Microbiome science coming to the bedside.
AB - Complex microbial communities within the human body, constituting the microbiome,
have a broad impact on human health and disease. A growing body of research now
examines the role of the microbiome in patients with critical illness, such as
sepsis and acute respiratory failure. In this article, we provide an introduction
to microbiome concepts and terminology and we systematically review the current
evidence base of the critical-illness microbiome, including 51 studies in animal
models and pediatric and adult critically ill patients. We further examine how
this emerging scientific discipline may transform the way we manage infectious
and inflammatory diseases in intensive care units. The evolving molecular,
culture-independent techniques offer the ability to study microbial communities
in unprecedented depth and detail, and in the short-term, may enable us to
diagnose and treat infections in critical care more precisely and effectively.
Longer term, these tools may also give us insights in the underlying
pathophysiology of critical illness and reveal previously unsuspected targets for
innovative, microbiome-targeted therapeutics. We finally propose a roadmap for
future studies in the field for transforming critical care from its current
isolated focus on the host to a more personalized paradigm addressing both human
and microbial contributions to critical illness.
PMID- 27866114
TI - Does relative or absolute EEG power have prognostic value in HIE setting?
PMID- 27866115
TI - Rethinking blinking: No cognitive modulation of reflex eye protection in early
onset blindness.
PMID- 27866116
TI - Individuals with chronic hemiparetic stroke can correctly match forearm positions
within a single arm.
AB - OBJECTIVE: Previous studies determined, using between arms position matching
assessments, that at least one-half of individuals with stroke have an impaired
position sense. We investigated whether individuals with chronic stroke who have
impairments mirroring arm positions also have impairments identifying the
location of each arm in space. METHODS: Participants with chronic hemiparetic
stroke and age-matched participants without neurological impairments (controls)
performed a between forearms position matching task based on a clinical
assessment and a single forearm position matching task, using passive and active
movements, based on a robotic assessment. RESULTS: 12 out of our 14 participants
with stroke who had clinically determined between forearms position matching
impairments had greater errors than the controls in both their paretic and non
paretic arm when matching positions during passive movements; yet stroke
participants performed comparable to the controls during active movements.
CONCLUSIONS: Many individuals with chronic stroke may have impairments matching
positions in both their paretic and non-paretic arm if their arm is moved for
them, yet not within either arm if these individuals control their own movements.
SIGNIFICANCE: The neural mechanisms governing arm location perception in the
stroke population may differ depending on whether arm movements are made
passively versus actively.
PMID- 27866118
TI - Pathological and physiological muscle co-activation during active elbow extension
in children with unilateral cerebral palsy.
AB - OBJECTIVE: To address the roles and mechanisms of co-activation in two
flexor/extensor pairs during elbow extension in children with cerebral palsy
(CP). METHODS: 13 Typically Developing (TD) and 13 children with unilateral
spastic CP performed elbow extension/flexion at different speeds. Elbow angle and
velocity were recorded using a 3D motion analysis system. The acceleration and
deceleration phases of extension were analyzed. Co-activation of the
brachioradialis/triceps and biceps/triceps pairs was computed for each phase from
surface electromyographic signals. Statistical analysis involved linear mixed
effects models and Spearman rank correlations. RESULTS: During the acceleration
phase, there was strong co-activation in both muscle pairs in the children with
CP, which increased with speed. Co-activation was weak in the TD children and it
was not speed-dependent. During the deceleration phase, co-activation was strong
and increased with speed in both groups; co-activation of brachioradialis/triceps
was stronger in children with CP, and was negatively correlated with extension
range and positively correlated with flexor spasticity. CONCLUSIONS: Abnormal
patterns of co-activation in children with CP were found throughout the entire
movement. Co-activation was specific to the movement phase and to each flexor
muscle. SIGNIFICANCE: Co-activation in children with CP is both physiological and
pathological.
PMID- 27866117
TI - Distinguishing spinocerebellar ataxia with pure cerebellar manifestation from
multiple system atrophy (MSA-C) through saccade profiles.
AB - OBJECTIVE: Patients with spinocerebellar ataxia with pure cerebellar presentation
(SCD) and multiple system atrophy (MSA-C) show similar symptoms at early stages,
although cerebellofugal pathology predominates in SCD, and cerebellopetal
pathology in MSA-C. We studied whether saccade velocity profiles, which reflect
the accelerating and braking functions of the cerebellum, can differentiate these
two disorders. METHODS: We recorded visually guided (VGS) and memory guided
saccades (MGS) in 29 MSA-C patients, 12 SCD patients, and 92 age-matched normal
subjects, and compared their amplitude, peak velocity and duration (accelerating
and decelerating phases). RESULTS: Hypometria predominated in VGS and MGS of MSA
C, whereas hypometria was less marked in SCD, with hypermetria frequently noted
in MGS. Peak velocity was reduced, and deteriorated with advancing disease both
in SCD and MSA-C groups at smaller target eccentricities. The deceleration phase
was prolonged in SCD compared to MSA-C and normal groups at larger target
eccentricities, which deteriorated with advancing disease. CONCLUSION: Saccades
in MSA-C were characterized by a more prominent acceleration deficit and those in
SCD by a more prominent braking defect, possibly caused by the cerebellopetal and
cerebellofugal pathologies, respectively. SIGNIFICANCE: Saccade profiles provide
important information regarding the accelerating and braking signals of the
cerebellum in spinocerebellar ataxia.
PMID- 27866121
TI - Isotopic composition analysis of dilute Pu solutions using 90-105keV region of
gamma ray spectra.
AB - Isotopic composition of dilute Pu solutions (1-3900MUg/mL) has been determined by
analysis of HPGe detector response function in the 90-105keV region of gamma ray
spectra. Results are in excellent agreement with that obtained from mass
spectrometric measurements. The present method has been successfully applied for
samples of low Pu concentrations, which otherwise is not possible using the
conventional 120-415keV region of plutonium gamma ray spectra.
PMID- 27866120
TI - Evidence-based guidelines on the therapeutic use of transcranial direct current
stimulation (tDCS).
AB - A group of European experts was commissioned by the European Chapter of the
International Federation of Clinical Neurophysiology to gather knowledge about
the state of the art of the therapeutic use of transcranial direct current
stimulation (tDCS) from studies published up until September 2016, regarding
pain, Parkinson's disease, other movement disorders, motor stroke, poststroke
aphasia, multiple sclerosis, epilepsy, consciousness disorders, Alzheimer's
disease, tinnitus, depression, schizophrenia, and craving/addiction. The evidence
based analysis included only studies based on repeated tDCS sessions with sham
tDCS control procedure; 25 patients or more having received active treatment was
required for Class I, while a lower number of 10-24 patients was accepted for
Class II studies. Current evidence does not allow making any recommendation of
Level A (definite efficacy) for any indication. Level B recommendation (probable
efficacy) is proposed for: (i) anodal tDCS of the left primary motor cortex (M1)
(with right orbitofrontal cathode) in fibromyalgia; (ii) anodal tDCS of the left
dorsolateral prefrontal cortex (DLPFC) (with right orbitofrontal cathode) in
major depressive episode without drug resistance; (iii) anodal tDCS of the right
DLPFC (with left DLPFC cathode) in addiction/craving. Level C recommendation
(possible efficacy) is proposed for anodal tDCS of the left M1 (or contralateral
to pain side, with right orbitofrontal cathode) in chronic lower limb neuropathic
pain secondary to spinal cord lesion. Conversely, Level B recommendation
(probable inefficacy) is conferred on the absence of clinical effects of: (i)
anodal tDCS of the left temporal cortex (with right orbitofrontal cathode) in
tinnitus; (ii) anodal tDCS of the left DLPFC (with right orbitofrontal cathode)
in drug-resistant major depressive episode. It remains to be clarified whether
the probable or possible therapeutic effects of tDCS are clinically meaningful
and how to optimally perform tDCS in a therapeutic setting. In addition, the easy
management and low cost of tDCS devices allow at home use by the patient, but
this might raise ethical and legal concerns with regard to potential misuse or
overuse. We must be careful to avoid inappropriate applications of this technique
by ensuring rigorous training of the professionals and education of the patients.
PMID- 27866123
TI - Effect of a dual-purpose cask payload increment of spent fuel assemblies from
VVER 1000 Bushehr Nuclear Power Plant on basket criticality.
AB - Dual-purpose casks can be utilized for dry interim storage and transportation of
the highly radioactive spent fuel assemblies (SFAs) of Bushehr Nuclear Power
Plant (NPP). Criticality safety analysis was carried out using the MCNP code for
the cask containing 12, 18, or 19 SFAs. The basket materials of borated stainless
steel and Boral (Al-B4C) were investigated, and the minimum required receptacle
pitch of the basket was determined.
PMID- 27866119
TI - Group III/IV locomotor muscle afferents alter motor cortical and corticospinal
excitability and promote central fatigue during cycling exercise.
AB - OBJECTIVE: To investigate the influence of group III/IV muscle afferents on the
development of central fatigue and corticospinal excitability during exercise.
METHODS: Fourteen males performed cycling-exercise both under control-conditions
(CTRL) and with lumbar intrathecal fentanyl (FENT) impairing feedback from leg
muscle afferents. Transcranial magnetic- and cervicomedullary stimulation was
used to monitor cortical versus spinal excitability. RESULTS: While fentanyl
blockade during non-fatiguing cycling had no effect on motor-evoked potentials
(MEPs), cervicomedullary-evoked motor potentials (CMEPs) were 13+/-3% higher
(P<0.05), resulting in a decrease in MEP/CMEP (P<0.05). Although the pre- to post
exercise reduction in resting twitch was greater in FENT vs. CTRL (-53+/-3% vs.
39+/-3%; P<0.01), the reduction in voluntary muscle activation was smaller (-2+/
2% vs. -10+/-2%; P<0.05). Compared to the start of fatiguing exercise, MEPs and
CMEPs were unchanged at exhaustion in CTRL. In contrast, MEPs and MEP/CMEP
increased 13+/-3% and 25+/-6% in FENT (P<0.05). CONCLUSION: During non-fatiguing
exercise, group III/IV muscle afferents disfacilitate, or inhibit, spinal
motoneurons and facilitate motor cortical cells. In contrast, during exhaustive
exercise, group III/IV muscle afferents disfacilitate/inhibit the motor cortex
and promote central fatigue. SIGNIFICANCE: Group III/IV muscle afferents
influence corticospinal excitability and central fatigue during whole-body
exercise in humans.
PMID- 27866122
TI - Synthesis, characterization and radiolabeling of folic acid modified
nanostructured lipid carriers as a contrast agent and drug delivery system.
AB - Nanostructured lipid carriers (NLCs) are the new generation of solid lipid drug
delivery systems. Their suitability as contrast agents for gamma scintigraphy is
an attracting major attention. The aim of current study was to prepare surface
modified nanostructured lipid carrier system for paclitaxel (PTX) with active
targeting and imaging functions. In accordance with the purpose of study, PTX
loaded nanostructured lipid carriers (NLCs) prepared, modified with a folate
derivative and radiolabeled with technetium-99m tricarbonyl complex
(99mTc(CO)3+). Cellular incorporation ratios of radiolabeled nanoparticles
(99mTc(CO)3-PTX-NLC) were investigated in vitro on three cancer cell lines.
Additionally in vivo animal studies conducted to evaluate biological behavior of
99mTc(CO)3-PTX-NLC on female Wistar Albino rats. Biodistribution results showed
that the folate derivative modified 99mTc(CO)3-PTX-NLC had considerably higher
uptake in folate receptor positive organs. The data obtained from present study
could be useful in the design of biodegradable drug carriers of PTX and folate
receptor based tumor imaging agents.
PMID- 27866124
TI - A method for determining Am-241 activity for large area contamination.
AB - Airborne gamma-ray spectrometry system HELINUCTM is used for different missions.
Although well-developed for estimation of high energy emitters' activity, it is
rarely used for low energy emitters. A new method for the determination of Am-241
activity over extended sites based both on statistical analysis of spectra and
deconvolution of Am-241 signal with a reference library is presented. Results
show a lowering of the detection threshold and a good agreement with ground level
measurements.
PMID- 27866125
TI - Roles of pre-mRNA splicing and polyadenylation in plant development.
AB - Plants possess amazing plasticity of growth and development, allowing them to
adjust continuously and rapidly to changes in the environment. Over the past two
decades, numerous molecular studies have illuminated the role of transcriptional
regulation in plant development and environmental responses. However, emerging
studies in Arabidopsis have uncovered an unexpectedly widespread role for post
transcriptional regulation in development and responses to environmental changes.
In this review, we summarize recent discoveries detailing the contribution of two
post-transcriptional mechanisms, pre-mRNA splicing and polyadenylation, to the
regulation of plant development, with an emphasis on the control of flowering
time. We also discuss future directions in the field and new technological
approaches.
PMID- 27866126
TI - Peer review at EuroIntervention - a rough guide and an expression of thanks.
PMID- 27866127
TI - Prevention of coronary microvascular plugging: the next target in STEMI?
PMID- 27866128
TI - Bleeding in STEMI with staged multivessel PCI: is it truly benign?
PMID- 27866129
TI - Long-term outcomes after Resolute zotarolimus-eluting stent implantation in
patients with ST-segment elevation acute myocardial infarction: insights from the
RESOLUTE All Comers Trial and the RESOLUTE Global Clinical Trial Program.
AB - AIMS: We examined long-term outcomes after implantation of the Resolute
zotarolimus-eluting stent (R-ZES) in ST-segment elevation acute myocardial
infarction (STEMI) patients. METHODS AND RESULTS: We compared long-term outcomes
of STEMI patients undergoing primary angioplasty <12 hours from symptom onset who
were randomised to the R-ZES (n=122) or the everolimus-eluting stent (EES, n=158)
in the RESOLUTE All Comers Trial after propensity score adjustment. The five-year
cumulative incidence of target lesion failure (TLF) was 7.6% versus 10.4% among
patients treated with R-ZES versus EES, respectively, (adjusted p=0.304), and
comprised clinically driven target lesion revascularisation (TLR, 2.5% versus
2.0%, adjusted p=0.766) and cardiac death/target vessel MI (5.1% versus 9.1%,
adjusted p=0.123). The five-year cumulative incidence of stent thrombosis was
0.8% for R-ZES patients versus 1.3% for EES patients (adjusted p=0.868). In the
RESOLUTE Global Clinical Trial Program, excluding RESOLUTE All Comers, the three
year cumulative incidence of TLF with R-ZES was 9.8% and comprised 7.0%
clinically driven TLR and 4.5% cardiac death/target vessel MI. CONCLUSIONS:
Patients with STEMI who received R-ZES had excellent long-term clinical outcomes
which were similar to those of patients who received EES.
PMID- 27866130
TI - Outcomes of stenting extra-small (<=2.25 mm) vessels using the Resolute
zotarolimus-eluting stent (R-ZES).
AB - AIMS: We assessed long-term outcomes in patients with extra-small (XS) (<=2.25
mm) and small vessels (SV) (>2.25-2.75 mm) treated with the Resolute zotarolimus
eluting stent (R-ZES). METHODS AND RESULTS: Data from eight studies including
patients with XS or SV were pooled for this analysis. Among 2,141 patients (837
XS, 1,304 SV), three-year cumulative major adverse cardiac events (15.4% vs.
11.5%; adj. HR [95% CI]: 1.3 [1.0, 1.7], p=0.12), target lesion failure (12.4%
vs. 9.3%, adj. HR: 1.1 [0.8, 1.5], p=0.56), and target lesion revascularisation
(TLR: 6.9% vs. 4.5%, adj. HR 1.4 [0.9, 2.1], p=0.17) were greater in the XS
cohort but were not significantly different after propensity adjustment. Target
vessel revascularisation occurred more frequently in XS patients in both
unadjusted and adjusted analyses (11.2% vs. 7.6%, adj. HR: 1.5 [1.1, 2.1],
p=0.02). Stent thrombosis was low in both cohorts (1.2% vs. 0.6%, p=0.09). In the
XS cohort, insulin-dependent diabetics had over twofold higher rates of TLR than
non-diabetics (13.6% vs. 6.0%, p=0.02). CONCLUSIONS: Long-term lesion-specific
results among patients with XS vessels treated with the R-ZES were not
significantly different from those among patients with SV, but specific patients
with XS vessels (e.g., insulin-dependent diabetics) may remain at high risk for
TLR.
PMID- 27866131
TI - Ruptured "non-culprit" in-stent neoatherosclerosis during ST-segment elevation
acute myocardial infarction.
PMID- 27866132
TI - A tool for predicting the outcome of reperfusion in ST-elevation myocardial
infarction using age, thrombotic burden and index of microcirculatory resistance
(ATI score).
AB - AIMS: Restoration of effective myocardial reperfusion by primary percutaneous
coronary intervention (PPCI) in patients with ST-elevation myocardial infarction
is difficult to predict. A method to assess the likelihood of a suboptimal
response to conventional pharmacomechanical therapies could be beneficial. We
aimed to derive and validate a scoring system that can be used acutely at the
time of coronary reopening to predict the likelihood of downstream microvascular
impairment in patients with STEMI. METHODS AND RESULTS: A score estimating the
risk of post-procedural microvascular injury defined by an index of
microcirculatory resistance (IMR) >40 was initially derived in a cohort of 85
STEMI patients (derivation cohort). This score was then tested and validated in
three further cohorts of patients (retrospective [30 patients], prospective [42
patients] and external [29 patients]). The ATI score (age [>50=1]; pre-stenting
IMR [>40 and <100=1; >=100=2]; thrombus score [4=1; 5=3]) was highly predictive
of a post-stenting IMR >40 in all four cohorts (AUC: 0.87; p<0.001-derivation
cohort, 0.84; p=0.002-retrospective cohort, 0.92; p<0.001-prospective cohort and
0.81; p=0.006-external cohort). In the whole population, an ATI score >=4
presented a 95.1% risk of final IMR >40, while no cases of final IMR >40 occurred
in the presence of an ATI score <2. CONCLUSIONS: The ATI score appears to be a
promising tool capable of identifying patients during PPCI who are at the highest
risk of coronary microvascular impairment following revascularisation. This
procedural risk stratification has a number of potential research and clinical
applications and warrants further investigation.
PMID- 27866133
TI - Bleeding episodes in "complete, staged" versus "culprit only" revascularisation
in patients with multivessel disease and ST-segment elevation myocardial
infarction: a DANAMI-3-PRIMULTI substudy.
AB - AIMS: The aim of this study was to evaluate whether a staged in-hospital complete
revascularisation strategy increases the risk of serious bleeding events in
patients with ST-segment elevation myocardial infarction (STEMI) and multivessel
disease. METHODS AND RESULTS: The DANAMI-3-PRIMULTI trial investigated whether a
staged in-hospital complete revascularisation strategy improved outcome in
patients with STEMI and multivessel disease. In this substudy, we investigated
potential bleeding complications related to a second in-hospital procedure.
Bleedings were assessed using BARC and TIMI criteria. Six hundred and twenty
seven (627) patients were randomised 1:1 to either PCI of the infarct-related
artery (IRA) only (n=313) or complete revascularisation during a staged procedure
before discharge (n=314). We found no significant difference in TIMI major+minor
bleedings related to the primary PCI. There were neither major nor minor
bleedings in relation to the second procedure in the complete revascularisation
arm. There were significantly more in-hospital minimal+medical attention
bleedings in the group randomised to complete revascularisation (61.5% vs. 49.5%
in the IRA-PCI only group, p=0.003), but no difference in admission time or one
year mortality (2.2% complete revascularisation-group vs. 2.6% IRA-PCI only
group, p=0.8). CONCLUSIONS: In multivessel diseased STEMI patients, a staged
complete in-hospital revascularisation strategy or any second in-hospital
procedure did not result in an increase in serious bleeding events.
PMID- 27866134
TI - One-year clinical outcomes of patients treated with everolimus-eluting
bioresorbable vascular scaffolds versus everolimus-eluting metallic stents: a
propensity score comparison of patients enrolled in the ABSORB EXTEND and SPIRIT
trials.
AB - AIMS: We sought to compare the outcomes of low/moderate complexity patients
treated with the Absorb BVS from the ABSORB EXTEND trial with patients treated
with the XIENCE everolimus-eluting stent (EES), using propensity score (PS)
matching of pooled data from the SPIRIT trials (SPIRIT II, SPIRIT III, SPIRIT IV)
and the XIENCE V USA trial. METHODS AND RESULTS: ABSORB EXTEND was a prospective,
single-arm, open-label clinical study in which 812 patients were enrolled at 56
sites. This study allowed the treatment of lesions <=28 mm in length and with a
reference vessel diameter of 2.0-3.8 mm (as assessed by online QCA). The
propensity score was obtained by fitting a logistic regression model with the
cohort indicator as the binary outcome and other variables as the predictor
variables. At one-year clinical follow-up, there was no statistical difference
between groups with regard to MACE (5.0% vs. 4.8%, p=0.83), target lesion failure
(5.0% vs. 4.7%, p=0.74), ischaemia-driven target vessel revascularisation (2.3%
vs. 3.0%, p=0.38) and device thrombosis (1.0% vs. 0.3%, p=0.11). Myocardial
infarction was higher with Absorb (3.3% vs. 1.5%, p=0.02), at the expense of
periprocedural CK-MB elevation. Independent predictors of MACE among patients
receiving Absorb BVS were treatment of multivessel disease, insulin-dependent
diabetes and performance of post-dilation. CONCLUSIONS: At one-year follow-up,
propensity score-matched analysis demonstrated that the clinical safety and
effectiveness of Absorb are comparable to those of XIENCE EES among non-complex
patients treated with PCI.
PMID- 27866135
TI - Endothelial dysfunction and the occurrence of radial artery spasm during
transradial coronary procedures: the ACRA-Spasm study.
AB - AIMS: The aim of this study was to analyse the relation between endothelial
dysfunction (ED) and the occurrence of radial artery spasm (RAS) during
transradial coronary procedures. METHODS AND RESULTS: From May 2014 to June 2015,
endothelial function was assessed by EndoPAT and FMD before the procedure in 165
patients referred for coronary angiography or intervention. The primary endpoint
was RAS, defined by patient's symptoms and procedural characteristics. The mean
age of the study population was 63 years and 71% were male. In total 16% of the
patients experienced RAS. The incidence of RAS did not differ between patients
with and without ED (13.8% vs. 20.2%, OR 0.63, 95% CI: 0.25-1.58, p=0.32). The
strongest predictors of RAS were a ratio of radial artery inner diameter and
sheath outer diameter smaller than 1 (OR 4.7, 95% CI: 1.35-16.5, p=0.009) and a
combination of clinical characteristics presented as an RAS risk score of at
least 4 (p=0.007, OR 3.7, 95% CI: 1.37-9.89). CONCLUSIONS: Endothelial
dysfunction was not found to be a predictor of the occurrence of radial artery
spasm in a cohort of patients undergoing elective heart catheterisation. Radial
artery-sheath mismatch is the strongest pre-procedural predictor of RAS.
PMID- 27866136
TI - Biodegradable polymer-based, argatroban-eluting, cobalt-chromium stent (JF-04)
for treatment of native coronary lesions: final results of the first-in-man study
and lessons learned.
AB - AIMS: The aim of the study was to investigate the six-month angiographic and nine
month clinical follow-up outcomes in a first-in-man study using the biodegradable
polymer-based cobalt-chromium argatroban-eluting stent (JF-04) for treatment of
native coronary atherosclerotic lesions. METHODS AND RESULTS: A total of 31
patients with either stable or unstable angina, or silent myocardial ischaemia,
exhibiting de novo coronary lesions were enrolled at seven Japanese sites. The
lesions were treated with the JF-04 stent after predilatation. The primary
endpoint was angiographic in-stent late loss six months after implantation. The
secondary endpoints included angiographic restenosis and in-stent volume
obstruction by intravascular ultrasound at six months and target vessel failure
(TVF) at nine months. Procedural success was achieved in 100% of cases. At six
months, angiographic in-stent late loss was 1.01+/-0.48 mm and binary restenosis
was observed in nine cases (29.0%). Among these restenotic cases, most (n=8)
demonstrated advanced angiographic restenosis patterns, including
diffuse/proliferative restenosis and total occlusion. At nine months, TVF was
observed in four cases (12.9%), exclusively attributed to target vessel
revascularisation. CONCLUSIONS: This argatroban-eluting stent failed to inhibit
neointimal hyperplasia sufficiently, despite the theoretical benefits and
promising clinical experience with local drug delivery.
PMID- 27866137
TI - Clinical and multimodality imaging results at 6 months of a bioresorbable
sirolimus-eluting scaffold for patients with single de novo coronary artery
lesions: the NeoVas first-in-man trial.
AB - AIMS: The study sought to investigate clinical and multimodality imaging
assessment of a bioresorbable sirolimus-eluting scaffold (NeoVas, Lepu Medical,
Beijing, China) for patients with single de novo coronary artery lesions. METHODS
AND RESULTS: The NeoVas first-in-man study was a prospective, open-label study
which enrolled 31 patients with single de novo lesions treated with a
bioresorbable sirolimus-eluting scaffold. The primary endpoint was target lesion
failure (TLF), a composite of cardiac death, target vessel myocardial infarction
and clinically indicated target lesion revascularisation (TLR). Angiography,
intravascular ultrasound (IVUS) and optical coherence tomography (OCT) imaging
were performed at baseline and six months. Procedural success and device success
were 100% (31/31 patients). At six months, the rate of TLF was 3.2%, with only
one patient having clinically indicated TLR. No scaffold thrombosis was observed.
The angiographic six-month in-scaffold late loss was 0.26+/-0.32 mm. The minimal
scaffold area decreased from 7.11+/-1.56 mm2 post procedure to 6.74+/-1.38 mm2 at
six months, as measured by IVUS. The OCT results showed that the neointimal
hyperplasia area was low (1.56+/-0.46 mm2), with a high proportion of scaffold
strut coverage (95.7%). CONCLUSIONS: This first-in-man study shows feasibility,
promising clinical and multimodality imaging results up to six months for the
NeoVas bioresorbable sirolimus-eluting scaffold in the treatment of patients with
simple de novo lesions, with an acceptable in-scaffold late loss, low neointimal
hyperplasia, and a high percentage of scaffold strut coverage.
PMID- 27866138
TI - Coronary covered stents.
AB - Covered stents offer an effective bail-out strategy in vessel perforations, are
an alternative to surgery for the exclusion of coronary aneurysms, and have a
potential role in the treatment of friable embolisation-prone plaques. The aim of
this manuscript is to offer an overview of currently available platforms and to
report results obtained in prior studies.
PMID- 27866139
TI - Triple orifice as a novel strategy in interventional reconstruction of a mitral
pseudo cleft.
PMID- 27866140
TI - One-year outcomes with two suture-mediated closure devices to achieve access-site
haemostasis following transfemoral transcatheter aortic valve implantation.
AB - AIMS: In the current study we assess the impact of two different access-site
suture-mediated closure devices (SMCD), ProGlide and Prostar, on vascular and
bleeding complications after transfemoral transcatheter aortic valve implantation
(TAVI), as well as on long-term mortality. METHODS AND RESULTS: From 2008 to
2013, 1,022 patients underwent transfemoral TAVI in two German centres using
ProGlide (n=506) and Prostar (n=516) SMCD to close the access site. The primary
outcome was the incidence of peri-TAVI major vascular complications according to
Valve Academic Research Consortium-2 (VARC-2) definitions. Secondary outcomes
were the incidence of bleeding complications and mortality. Compared to the
Prostar SMCD group, patients in the ProGlide SMCD group less frequently
experienced VARC-2 major vascular complications (7.5% vs. 15.9%, p<0.001),
closure device failure (0.8% vs. 2.3%, p=0.04), any bleeding (BARC: 36.8% vs.
53.9%, p<0.001; VARC-2: 30.8% vs. 34.9%, p=0.59). Furthermore, one-year mortality
was significantly lower in the ProGlide SMCD group, 14.8% vs. 19.5% in the
Prostar SMCD group, log-rank p=0.04. However, VARC-2 major vascular complications
but not ProGlide use were identified as an independent predictor of one-year
mortality (adjusted odds ratio 1.54, 95% CI: 1.01-2.34 and 1.01, 95% CI: 0.65
1.55, respectively). CONCLUSIONS: In this analysis, the use of ProGlide SMCD was
associated with a reduced risk of vascular and bleeding complications following
TAVI compared to Prostar SMCD usage. However, major vascular complications but
not ProGlide use did independently predict long-term mortality.
PMID- 27866141
TI - Transapical aortic stenting: an initial case series.
AB - AIMS: The standard approach for thoracic endovascular aortic repair (TEVAR) is
transfemoral; however, calcifications and tortuosity of the access vessels might
be so extensive as to increase the operative risk markedly or preclude the
procedure. This study evaluates the transapical approach as an alternative route
for TEVAR in such patients. METHODS AND RESULTS: From June 2011 to July 2013, the
institution's interdisciplinary board for aortic diseases initially denied TEVAR
for eight patients with thoracic aortic pathology due to extensive calcification
and tortuosity of the distal vessels. The transapical approach was suggested and
approved by the board. All procedures were performed in a hybrid operating room
through a left mini-thoracotomy. The stent grafts were implanted in either the
proximal descending or the ascending aorta. The deployment was performed under
rapid ventricular pacing. Procedural success was 100%. There were no
intraoperative complications. One patient needed re-exploration. There was no 30
day mortality. In follow-up, one patient suffered type 1B endoleak, which
required surgery after one year. CONCLUSIONS: The transapical approach for TEVAR
(TaTEVAR) is a feasible option for patients with distal aorta/iliac vessels
unsuitable for transfemoral access. It might be even more beneficial for TEVAR of
the ascending aorta.
PMID- 27866142
TI - Overstepping anatomical hurdles when opening an extremely rudimentary right
ventricular outflow tract - greater safety via a hybrid strategy?
PMID- 27866143
TI - How should I treat renal artery in-stent restenosis and stent fracture after
endovascular abdominal aortic aneurysm repair?
PMID- 27866144
TI - Instilling fear makes good business sense: unwarranted hysterectomies in
Karnataka.
AB - This paper uses data from two fact-finding exercises in two districts of
Karnataka to trace how government and private doctors alike pushed women to
undergo hysterectomies. The doctors provided grossly unscientific information to
poor Dalit women to instil a fear of "cancer" in their minds to wilfully mislead
them to undergo hysterectomies, following which many suffered complications and
died. The paper examines a review, made by two separate panels of experts, of
women's medical records from private hospitals to illustrate that a large
proportion of the hysterectomies performed were medically unwarranted; that
private doctors were using highly suspect diagnostic criteria, based on a single
ultrasound scan, to perform the hysterectomies and had not sent even a single
sample for histopathology; and that the medical records were incomplete,
erroneous and, in several instances, manipulated. The paper describes how a
combination of patriarchal bias, professional unscrupulousness and pro-private
healthcare policies posed a serious threat to the survival and well-being of
women in Karnataka.
PMID- 27866145
TI - Cosmetic limb lengthening in a patient of normal stature: ethical considerations.
AB - Recently, a 23-year-old male patient underwent cosmetic limb lengthening, despite
the fact that his height was that of the average Indian male (5 feet 7 inches).
The patient's parents and the media criticised the orthopaedic surgeon who had
performed the surgery for undertaking an unethical operation. This paper
discusses the relevant clinical evidence, ethical aspects and ethical theories
surrounding the case. We conclude that the surgeon's decision to perform the
surgery seems to be fair and appropriate from the ethical and clinical
perspectives.
PMID- 27866146
TI - Hearing Preservation After Penetrating Cochlear Injury.
AB - OBJECTIVES: To share results and recommendations for management of penetrating
cochlear injury. METHODS: A patient underwent repair of a penetrating cochlear
injury after a projectile led to a traumatic cochleostomy with a narrow miss of
the facial nerve and intracranial carotid artery. RESULTS: Postoperatively, the
patient's audiogram demonstrated a pure tone average of 47.5 dB for air
conduction and 35 dB for bone conduction, worse in the high frequencies, with a
Word Recognition Score of 76%. CONCLUSIONS: Hearing loss from a penetrating
cochlear injury can be mitigated with early repair, minimizing inner ear trauma,
and steroid use to treat posttraumatic labyrinthitis.
PMID- 27866147
TI - Benefits, Potential Harms, and Optimal Use of Nutritional Supplementation for
Preventing Progression of Age-Related Macular Degeneration.
AB - OBJECTIVE: To briefly review age-related macular degeneration (AMD), the main
findings from the Age Related Eye Disease Study (AREDS) report number 8 on the
use of nutritional supplements for AMD, and to focus on data suggesting that
supplement use should be guided using genetic testing of AMD risk genes. DATA
SOURCES: A literature search (January 2001 through October 26, 2016) was
conducted using MEDLINE and the following MeSH terms: Antioxidants/therapeutic
use, Genotype, Macular Degeneration/drug therapy, Macular degeneration/genetics,
Dietary Supplements, Proteins/genetics, and Zinc Compounds/therapeutic use.
Bibliographies of publications identified were also reviewed. STUDY SELECTION AND
DATA EXTRACTION: English-language studies assessing AREDS supplement response in
patients with AMD in relation to complement factor H gene ( CFH) and age-related
maculopathy susceptibility 2 gene ( ARMS2) risk alleles were evaluated. DATA
SYNTHESIS: Three of the 4 studies demonstrated a treatment interaction between
ARMS2 and CFH genotypes and a differential response to supplements. The fourth
study documented an interaction for the CFH genotype only. Reported response
interactions included attenuated response, no response, and good response,
whereas a subset showed increased progression of AMD. Conversely, one study
reported no interactions between CFH and ARMS2 risk alleles and response to
supplements. CONCLUSIONS: The weight of the evidence supports using genetic
testing to guide selection of ocular vitamin use. This approach will avoid using
supplements that could speed the progression of AMD in vulnerable patients, avoid
using supplements that will have little to no effect in others, and result in
appropriately using supplements in those that are likely to derive meaningful
benefits.
PMID- 27866148
TI - Identification of Genes Mediating Drosophila Follicle Cell Progenitor
Differentiation by Screening for Modifiers of GAL4::UAS Variegation.
AB - The Drosophila melanogaster ovarian follicle cell lineage provides a powerful
system for investigating how epigenetic changes contribute to differentiation.
Downstream from an epithelial stem cell, follicle progenitors undergo nine
mitotic cell cycles before transitioning to the endocycle and initiating
differentiation. During their proliferative phase, follicle progenitors
experience Lsd1-dependent changes in epigenetic stability that can be monitored
using GAL4::UAS variegation. Eventually, follicle progenitors acquire competence
to respond to Delta, a Notch ligand present in the environment, which signals
them to cease division and initiate differentiation. The time required to acquire
competence determines the duration of mitotic cycling and hence the final number
of follicle cells. We carried out a screen for dominant modifiers of variegation
spanning nearly 70% of Drosophila euchromatin to identify new genes influencing
follicle progenitor epigenetic maturation. The eight genes found include
chromatin modifiers, but also cell cycle regulators and transcription factors.
Five of the modifier genes accelerate the acquisition of progenitor competence
and reduce follicle cell number, however, the other three genes affect follicle
cell number in an unexpected manner.
PMID- 27866149
TI - Correlations of Genotype with Climate Parameters Suggest Caenorhabditis elegans
Niche Adaptations.
AB - Species inhabit a variety of environmental niches, and the adaptation to a
particular niche is often controlled by genetic factors, including gene-by
environment interactions. The genes that vary in order to regulate the ability to
colonize a niche are often difficult to identify, especially in the context of
complex ecological systems and in experimentally uncontrolled natural
environments. Quantitative genetic approaches provide an opportunity to
investigate correlations between genetic factors and environmental parameters
that might define a niche. Previously, we have shown how a collection of 208
whole-genome sequenced wild Caenorhabditis elegans can facilitate association
mapping approaches. To correlate climate parameters with the variation found in
this collection of wild strains, we used geographic data to exhaustively curate
daily weather measurements in short-term (3 month), middle-term (one year), and
long-term (three year) durations surrounding the date of strain isolation. These
climate parameters were used as quantitative traits in association mapping
approaches, where we identified 11 quantitative trait loci (QTL) for three
climatic variables: elevation, relative humidity, and average temperature. We
then narrowed the genomic interval of interest to identify gene candidates with
variants potentially underlying phenotypic differences. Additionally, we
performed two-strain competition assays at high and low temperatures to validate
a QTL that could underlie adaptation to temperature and found suggestive evidence
supporting that hypothesis.
PMID- 27866151
TI - Genotyping-by-Sequencing-Based Investigation of the Genetic Architecture
Responsible for a ~Sevenfold Increase in Soybean Seed Stearic Acid.
AB - Soybean oil is highly unsaturated but oxidatively unstable, rendering it nonideal
for food applications. Until recently, the majority of soybean oil underwent
partial chemical hydrogenation, which produces trans fats as an unavoidable
consequence. Dietary intake of trans fats and most saturated fats are
conclusively linked to negative impacts on cholesterol levels and cardiovascular
health. Two major soybean oil breeding targets are: (1) to reduce or eliminate
the need for chemical hydrogenation, and (2) to replace the functional properties
of partially hydrogenated soybean oil. One potential solution is the elevation of
seed stearic acid, a saturated fat which has no negative impacts on
cardiovascular health, from 3 to 4% in typical cultivars to > 20% of the seed
oil. We performed QTL analysis of a population developed by crossing two mutant
lines, one with a missense mutation affecting a stearoyl-acyl-carrier protein
desaturase gene resulting in ~11% seed stearic acid crossed to another mutant,
A6, which has 24-28% seed stearic acid. Genotyping-by-sequencing (GBS)-based QTL
mapping identified 21 minor and major effect QTL for six seed oil related traits
and plant height. The inheritance of a large genomic deletion affecting
chromosome 14 is the basis for largest effect QTL, resulting in ~18% seed stearic
acid. This deletion contains SACPD-C and another gene(s); loss of both genes
boosts seed stearic acid levels to >= 18%. Unfortunately, this genomic deletion
has been shown in previous studies to be inextricably correlated with reduced
seed yield. Our results will help inform and guide ongoing breeding efforts to
improve soybean oil oxidative stability.
PMID- 27866150
TI - CRISPR/Cas9-Induced Double-Strand Break Repair in Arabidopsis Nonhomologous End
Joining Mutants.
AB - Double-strand breaks (DSBs) are one of the most harmful DNA lesions. Cells
utilize two main pathways for DSB repair: homologous recombination (HR) and
nonhomologous end-joining (NHEJ). NHEJ can be subdivided into the KU-dependent
classical NHEJ (c-NHEJ) and the more error-prone KU-independent backup-NHEJ (b
NHEJ) pathways, involving the poly (ADP-ribose) polymerases (PARPs). However, in
the absence of these factors, cells still seem able to adequately maintain genome
integrity, suggesting the presence of other b-NHEJ repair factors or pathways
independent from KU and PARPs. The outcome of DSB repair by NHEJ pathways can be
investigated by using artificial sequence-specific nucleases such as CRISPR/Cas9
to induce DSBs at a target of interest. Here, we used CRISPR/Cas9 for DSB
induction at the Arabidopsis cruciferin 3 (CRU3) and protoporphyrinogen oxidase
(PPO) genes. DSB repair outcomes via NHEJ were analyzed using footprint analysis
in wild-type plants and plants deficient in key factors of c-NHEJ (ku80), b-NHEJ
(parp1 parp2), or both (ku80 parp1 parp2). We found that larger deletions of >20
bp predominated after DSB repair in ku80 and ku80 parp1 parp2 mutants,
corroborating with a role of KU in preventing DSB end resection. Deletion lengths
did not significantly differ between ku80 and ku80 parp1 parp2 mutants,
suggesting that a KU- and PARP-independent b-NHEJ mechanism becomes active in
these mutants. Furthermore, microhomologies and templated insertions were
observed at the repair junctions in the wild type and all mutants. Since these
characteristics are hallmarks of polymerase theta-mediated DSB repair, we suggest
a possible role for this recently discovered polymerase in DSB repair in plants.
PMID- 27866153
TI - Exploring Personality and Readiness to Change in Patients With Substance Use
Disorders With and Without ADHD.
AB - OBJECTIVE: To explore personality and readiness to change among substance use
disorders (SUD) patients with and without ADHD. METHOD: SUD + ADHD versus SUD -
ADHD patients consecutively entering treatment between 2010 and 2012 were
compared concerning personality (Temperament and Character Inventory) and
readiness to change (Stages of Change Readiness and Treatment Eagerness Scale).
RESULTS: Among 103 SUD patients (76 men, age M = 43.3, SD = 11.1), 16 (15.5%)
were diagnosed with ADHD. SUD + ADHD patients reported significantly elevated
eagerness to effort ( p = .008) compared with SUD - ADHD patients, who reported
significantly elevated fear of uncertainty ( p < .000). SUD + ADHD patients
reported higher ambition ( p = .025), self-forgetfulness ( p = .029), and lower
recognition ( p = .022). They were younger ( p = .019) and showed more often
amphetamine addiction ( p = .022) compared with SUD - ADHD patients. CONCLUSION:
The distinct characteristics found in SUD + ADHD and SUD - ADHD patients
underline the need for differentiated treatment interventions.
PMID- 27866152
TI - The Effect of Childhood ADD/ADHD on Parental Workforce Participation.
AB - OBJECTIVE: This research aimed to examine the impact of attention deficit
disorder (ADD)/ADHD in children on parental labor force participation across
different child age groups. METHOD: This study utilized a longitudinal,
quantitative analyses approach. All data were collected from Wave 6 of the
Growing Up in Australia: The Longitudinal Study of Australian Children (LSAC)
survey. RESULTS: After adjusting for various confounders, mothers whose children
were 10/11 years old and had been diagnosed with ADD/ADHD were significantly more
likely to be out of the labor force compared with those mothers whose child had
not been diagnosed with ADD/ADHD. The impact was more pronounced for single
mothers. No significant influence on paternal labor force participation was
found. CONCLUSION: In assessing the cost-effectiveness of interventions for
ADD/ADHD, policy makers and researchers must consider the long-term social and
economic effects of ADD/ADHD on maternal workforce participation when considering
costs and outcomes.
PMID- 27866154
TI - The Correlation Between Cognitive and Movement Shifting and Brain Activity in
Children With ADHD.
AB - OBJECTIVE: We assessed the correlation between the deficits of cognition,
movement, and brain activity in children with Attention Deficit Hyperactvity
Disorder (ADHD). METHOD: We recruited 15 children with ADHD and 15 age- and sex
matched healthy control participants. Clinical symptoms, cognitive shifting,
movement shifting, and brain activity were assessed using the Korean ADHD Rating
Scale, the Wisconsin Card Sorting Test (WCST), the 7- and 14-ring drill test with
hop jumps (7 HJ and 14 HJ), and 3.0 Tesla functional magnetic resonance imaging
scanner, respectively. RESULTS: ADHD children showed an increased distance
traveled and decreased speed on the 14 HJ task. In response to the WCST task,
ADHD children showed decreased activation within right gyrus. Total distance on
the 14 HJ task was negatively correlated with the mean beta value of Cluster 2 in
ADHD children. CONCLUSION: These results suggested that children with ADHD showed
difficulty with attention shifting as well as with movement shifting.
PMID- 27866155
TI - The Assessment of the Relationship Between ADHD and Posttraumatic Stress Disorder
in Child and Adolescent Patients.
AB - OBJECTIVE: This study examined ADHD comorbidity in child and adolescent patients
who diagnosed with posttraumatic stress disorder (PTSD) or obsessive compulsive
disorder (OCD). METHOD: Sixty-eight child and adolescent patients with PTSD and
42 child and adolescent patients with OCD were evaluated for ADHD. The sample
included 110 patients who were administered structured clinical interviews based
on the Diagnostic and Statistical Manual of Mental Disorders (5th ed.). RESULTS:
Results showed that 22.05% patients with PTSD and 59.52% patients with OCD met
criteria for ADHD. CONCLUSION: The results of our study indicate that no
meaningful differences were detected in comparisons between PTSD and OCD groups,
in having ADHD as comorbidity.
PMID- 27866156
TI - Hide-and-seek: the interplay between cancer stem cells and the immune system.
AB - The enhanced ability of cancer stem cells (CSCs) to give rise to new tumors
suggests that these cells may also have an advantage in evading immune detection
and elimination. This tumor-forming ability, combined with the known plasticity
of the immune system, which can play both protumorigenic and antitumorigenic
roles, has motivated investigations into the interaction between CSCs and the
immune system. Herein, we review the interplay between host immunity and CSCs by
examining the immune-related mechanisms that favor CSCs and the CSC-mediated
expansion of protumorigenic immune cells. Furthermore, we discuss immune cells,
such as natural killer cells, that preferentially target CSCs and the strategies
used by CSCs to evade immune detection and destruction. An increased
understanding of these interactions and the pathways that regulate them may allow
us to harness immune system components to create new adjuvant therapies that
eradicate CSCs and improve patient survival.
PMID- 27866157
TI - Loss of free fatty acid receptor 2 enhances colonic adenoma development and
reduces the chemopreventive effects of black raspberries in ApcMin/+ mice.
AB - We previously showed that black raspberries (BRBs) have beneficial effects in
human colorectal cancer and a mouse model of colorectal cancer (ApcMin/+). The
current study investigated the role of free fatty acid receptor 2 (FFAR2) in
colon carcinogenesis and whether the FFAR2 signaling pathway contributes to BRB
mediated chemoprevention in mice. FFAR2 (also named GPR43) is a member of the G
protein-coupled receptor family that is expressed in leukocytes and colon.
ApcMin/+ and ApcMin/+-FFAR2-/- mice were given a control diet or the control diet
supplemented with 5% BRBs for 8 weeks. FFAR2 deficiency promoted colonic polyp
development, with 100% incidence and increased polyp number and size. The
ApcMin/+ mice developed colonic tubular adenoma, whereas the ApcMin/+-FFAR2-/-
mice developed colonic tubular adenoma with high-grade dysplasia. FFAR2
deficiency also enhanced the cAMP-PKA-CREB-HDAC pathway, downstream of FFAR2
signaling, and increased activation of the Wnt pathway, and raised the percentage
of GR-1+ neutrophils in colonic lamina propria (LP) and increased infiltration of
GR-1+ neutrophils into colonic polyps. BRBs suppressed colonic polyp development
and inhibited the cAMP-PKA-CREB-HDAC and Wnt pathways in the ApcMin/+ mice but
not the ApcMin/+-FFAR2-/- mice. They also increased the percentage of GR-1+
neutrophils and cytokine secretion in colonic LP and decreased the infiltration
of GR-1+ neutrophils and IL-1beta expression in colon polyps of ApcMin/+ mice but
not ApcMin/+-FFAR2-/- mice. These results suggest that loss of FFAR2 drives colon
tumorigenesis and that BRBs require functional FFAR2 to be chemopreventive. BRBs
have the potential to modulate the host immune system, thereby enhancing the
antitumor immune microenvironment.
PMID- 27866161
TI - Self-Administered Assessment of Health Literacy in Adolescents Using the Newest
Vital Sign.
AB - The "Newest Vital Sign" (NVS) is a validated health literacy assessment tool
typically administered by clinicians. The objective of this study was to assess
if the NVS could be self-administered in adolescents to measure health literacy.
Sixth graders in a Colorado middle school were provided a self-administered
survey containing the NVS, a section for parent permission, and a section for the
student's age, gender, grade, and previous elementary school. In all, 167 sixth
graders returned usable surveys (45% return rate), and the average health
literacy score was 3.75 +/- 1.70. Almost two thirds (62.9%) of the students
scored in the adequate health literacy range, while only 12.6% scored in the
limited health literacy range. Health literacy scores were similar when evaluated
based on gender. However, when students were grouped based on prior elementary
school attendance, students who matriculated from one elementary school had an
average NVS score significantly lower than two other elementary schools (p < .001
and p < .05). Self-administration of the NVS was successful and showed similar
health literacy scores compared to other studies in adolescents. Using the NVS as
a self-administered tool could greatly increase its function as a quick health
literacy assessment for adolescents, both in clinical practice and in school
based health education.
PMID- 27866160
TI - Utilizing Talking Circles as a Means of Gathering American Indian Stories for
Developing a Nutrition and Physical Activity Curriculum.
AB - This qualitative study used a focus group approach (talking circles) to elicit
tribal elder insight on important concepts for the purpose of creating a
curriculum to teach tribal youth in South Dakota about nutrition and physical
activity in culturally appropriate ways. The focus groups were part of a larger
project that is exploring mechanisms for creating culturally relevant nutrition
and physical activity education for American Indian youth. A series of "Eat
Smart, Play Hard" posters, created by South Dakota State University Extension,
served as the starting point for talking circle conversations with tribal elders
about teaching nutrition and physical activity to children. Data from the talking
circles were analyzed using qualitative content analysis for themes in elder
dialogs. In open-ended conversations, elders discussed barriers and success in
achieving good nutrition and physical activity, important aspects of the Siouan
food culture, and historical relationships with food. They shared insights on
food ingredients and methods of obtaining and preparing food that were and are
currently important to their communities. These data were used to better
understand the Native cultural perspectives on nutrition and physical activity
and to create effective educational material for Native youth that could be used
to teach them in culturally relevant ways.
PMID- 27866158
TI - A Nitric Oxide Storage and Transport System That Protects Activated Macrophages
from Endogenous Nitric Oxide Cytotoxicity.
AB - Nitric oxide (NO) is integral to macrophage cytotoxicity against tumors due to
its ability to induce iron release from cancer cells. However, the mechanism for
how activated macrophages protect themselves from endogenous NO remains unknown.
We previously demonstrated by using tumor cells that glutathione S-transferase P1
(GSTP1) sequesters NO as dinitrosyl-dithiol iron complexes (DNICs) and inhibits
NO-mediated iron release from cells via the transporter multidrug resistance
protein 1 (MRP1/ABCC1). These prior studies also showed that MRP1 and GSTP1
protect tumor cells against NO cytotoxicity, which parallels their roles in
defending cancer cells from cytotoxic drugs. Considering this, and because GSTP1
and MRP1 are up-regulated during macrophage activation, this investigation
examined whether this NO storage/transport system protects macrophages against
endogenous NO cytotoxicity in two well characterized macrophage cell types (J774
and RAW 264.7). MRP1 expression markedly increased upon macrophage activation,
and the role of MRP1 in NO-induced 59Fe release was demonstrated by Mrp1 siRNA
and the MRP1 inhibitor, MK571, which inhibited NO-mediated iron efflux.
Furthermore, Mrp1 silencing increased DNIC accumulation in macrophages,
indicating a role for MRP1 in transporting DNICs out of cells. In addition,
macrophage 59Fe release was enhanced by silencing Gstp1, suggesting GSTP1 was
responsible for DNIC binding/storage. Viability studies demonstrated that GSTP1
and MRP1 protect activated macrophages from NO cytotoxicity. This was confirmed
by silencing nuclear factor-erythroid 2-related factor 2 (Nrf2), which decreased
MRP1 and GSTP1 expression, concomitant with reduced 59Fe release and macrophage
survival. Together, these results demonstrate a mechanism by which macrophages
protect themselves against NO cytotoxicity.
PMID- 27866159
TI - Pairing Animal Cartoon Characters With Produce Stimulates Selection Among Child
Zoo Visitors.
AB - In order to address the pervasive trend of underconsumption of fruits and
vegetables among children, we examined the hypothesis that children would be more
likely to select fruits (apple slices, bananas, and oranges) and vegetables (baby
carrots) when paired with animal cartoon image than when available without the
character image. Tested in a randomized experiment using counterbalancing,
products were arranged on two tables at two separate family fun nights held at a
local zoo. Animal character produce parings were manipulated by placing one of
two animals (tamarin or iguana) next to two of the four fruit or vegetable
selections at each table, and by changing when available without the image. In
total, 755 produce selections were made. Significantly more products paired with
a character were selected (62.38%) than the same products, not paired (37.62%),
chi2 = 46.32, df = 1, p < .001. The odds ratio of the treatment versus control
was 1.66 (i.e., 471/284), indicating that children were 66% more likely to select
a snack when paired with an animal cartoon. Study findings highlight the positive
impact of animal cartoons on children's fruit and vegetable snack selections, and
results suggest the potential for using animal cartoons to encourage fruit and
vegetable selection for children.
PMID- 27866162
TI - Outcomes Associated With Familial Versus Nonfamilial Atrial Fibrillation: A
Matched Nationwide Cohort Study.
AB - BACKGROUND: We examined all-cause mortality and long-term thromboembolic risk
(ischemic stroke, transient ischemic attack, systemic thromboembolism) in
patients with and without familial atrial fibrillation (AF). METHODS AND RESULTS:
Using Danish nationwide registry data, we identified all patients diagnosed with
AF (1995-2012) and divided them into those with familial AF (having a first
degree family member with a prior AF admission) and those with nonfamilial AF. We
paired those with and without familial AF according to age, year of AF diagnosis,
and sex in a 1:1 match. Using cumulative incidence and multivariable Cox models,
we examined the risk of long-term outcomes. We identified 8658 AF patients (4329
matched pairs) with and without familial AF. The median age was 50 years
(interquartile range 43-54 years), and 21.4% were women. Compared with
nonfamilial AF patients, those with familial AF had slightly less comorbid
illness but similar overall CHA2DS2-VASc score (P=0.155). Median follow-up was
3.4 years (interquartile range 1.5-6.5 years). Patients with familial AF had risk
of death and thromboembolism similar to those with nonfamilial AF (adjusted
hazard ratio 0.91 [95% CI 0.79-1.04] for death and 0.90 [95% CI 0.71-1.14] for
thromboembolism). CONCLUSIONS: Although family history of AF is associated with
increased likelihood for development of AF, once AF developed, long-term risks of
death and thromboembolic complications were similar in familial and nonfamilial
AF patients.
PMID- 27866163
TI - Prognosis in Familial Atrial Fibrillation.
PMID- 27866165
TI - Anemia and Acute Coronary Syndrome: Time for Intervention Studies.
PMID- 27866164
TI - Relationship Between Anemia and Mortality Outcomes in a National Acute Coronary
Syndrome Cohort: Insights From the UK Myocardial Ischemia National Audit Project
Registry.
AB - BACKGROUND: We aim to determine the prevalence of anemia in acute coronary
syndrome (ACS) patients and compare their clinical characteristics, management,
and clinical outcomes to those without anemia in an unselected national ACS
cohort. METHODS AND RESULTS: The Myocardial Ischemia National Audit Project
(MINAP) registry collects data on all adults admitted to hospital trusts in
England and Wales with diagnosis of an ACS. We conducted a retrospective cohort
study by analyzing patients in this registry between January 2006 and December
2010 and followed them up until August 2011. Multiple logistic regressions were
used to determine factors associated with anemia and the adjusted odds of 30-day
mortality with 1 g/dL incremental hemoglobin increase and the 30-day and 1-year
mortality for anemic compared to nonanemic groups. Analyses were adjusted for
covariates. Our analysis of 422 855 patients with ACS showed that 27.7% of
patients presenting with ACS are anemic and that these patients are older, have a
greater prevalence of renal disease, peripheral vascular disease, diabetes
mellitus, and previous acute myocardial infarction, and are less likely to
receive evidence-based therapies shown to improve clinical outcomes. Finally, our
analysis suggests that anemia is independently associated with 30-day (OR 1.28,
95% CI 1.22-1.35) and 1-year mortality (OR 1.31, 95% CI 1.27-1.35), and we
observed a reverse J-shaped relationship between hemoglobin levels and mortality
outcomes. CONCLUSIONS: The prevalence of anemia in a contemporary national ACS
cohort is clinically significant. Patients with anemia are older and multimorbid
and less likely to receive evidence-based therapies shown to improve clinical
outcomes, with the presence of anemia independently associated with mortality
outcomes.
PMID- 27866166
TI - Variability in a Short Tandem Repeat Mediates Complex Epistatic Interactions in
Arabidopsis thaliana.
AB - Short tandem repeats (STRs) are hypervariable genetic elements that occur
frequently in coding regions. Their high mutation rate readily generates genetic
variation, contributing to adaptive evolution and human diseases. We previously
reported that natural ELF3 polyglutamine variants cause reciprocal genetic
incompatibilities in two divergent Arabidopsis thaliana backgrounds. Here, we
dissect the genetic architecture of this incompatibility, revealing as many as
four loci putatively interacting with ELF3 We were able to specifically identify
one such ELF3-interacting gene, LSH9 We further used a yeast two-hybrid strategy
to identify proteins whose physical interactions with ELF3 were affected by
polyglutamine tract length. We found two proteins for which this was the case,
ELF4 and AtGLDP1. Using these two approaches, we identify specific genetic
interactions and physical mechanisms by which the ELF3 polyglutamine tract may
mediate the observed genetic incompatibilities. Our work elucidates how STR
variation, which is generally underascertained in population-scale sequencing,
can contribute to phenotypic variation. Furthermore, our results support our
proposal that highly variable STR loci can contribute to the epistatic component
of heritability.
PMID- 27866168
TI - Fixation Probability in a Haploid-Diploid Population.
AB - Classical population genetic theory generally assumes either a fully haploid or
fully diploid life cycle. However, many organisms exhibit more complex life
cycles, with both free-living haploid and diploid stages. Here we ask what the
probability of fixation is for selected alleles in organisms with haploid-diploid
life cycles. We develop a genetic model that considers the population dynamics
using both the Moran model and Wright-Fisher model. Applying a branching process
approximation, we obtain an accurate fixation probability assuming that the
population is large and the net effect of the mutation is beneficial. We also
find the diffusion approximation for the fixation probability, which is accurate
even in small populations and for deleterious alleles, as long as selection is
weak. These fixation probabilities from branching process and diffusion
approximations are similar when selection is weak for beneficial mutations that
are not fully recessive. In many cases, particularly when one phase predominates,
the fixation probability differs substantially for haploid-diploid organisms
compared to either fully haploid or diploid species.
PMID- 27866169
TI - A New Mechanism for Mendelian Dominance in Regulatory Genetic Pathways:
Competitive Binding by Transcription Factors.
AB - We report a new mechanism for allelic dominance in regulatory genetic
interactions that we call binding dominance. We investigated a biophysical model
of gene regulation, where the fractional occupancy of a transcription factor (TF)
on the cis-regulated promoter site it binds to is determined by binding energy (
DeltaG) and TF dosage. Transcription and gene expression proceed when the TF is
bound to the promoter. In diploids, individuals may be heterozygous at the cis
site, at the TF's coding region, or at the TF's own promoter, which determines
allele-specific dosage. We find that when the TF's coding region is heterozygous,
TF alleles compete for occupancy at the cis-sites and the tighter-binding TF is
dominant in proportion to the difference in binding strength. When the TF's own
promoter is heterozygous, the TF produced at the higher dosage is also dominant.
Cis-site heterozygotes have additive expression and therefore codominant
phenotypes. Binding dominance propagates to affect the expression of downstream
loci and it is sensitive in both magnitude and direction to genetic background,
but its detectability often attenuates. While binding dominance is inevitable at
the molecular level, it is difficult to detect in the phenotype under some
biophysical conditions, more so when TF dosage is high and allele-specific
binding affinities are similar. A body of empirical research on the biophysics of
TF binding demonstrates the plausibility of this mechanism of dominance, but
studies of gene expression under competitive binding in heterozygotes in a
diversity of genetic backgrounds are needed.
PMID- 27866170
TI - Measurement Invariance of a Body Dysmorphic Disorder Symptom Questionnaire Across
Sex: The Body Image Questionnaire-Child and Adolescent Version.
AB - Measures of body dysmorphic disorder symptoms have received little psychometric
evaluation in adolescent samples. This study aimed to examine cross-sex
measurement invariance in the Body Image Questionnaire-Child and Adolescent
version (BIQ-C) to establish whether observed sex differences in total scores may
be meaningful or due to differences in measurement properties. A sample of 3,057
Australian high school students completed the initial screening item of the
measure (63.2% male, Mage = 14.58 years, SD = 1.37, range = 12-18 years). Of
these participants, 1,512 (49.5%) reported appearance concerns and thus completed
the full measure. Partial scalar measurement invariance was established among a
revised two-factor, 9-item version of the BIQ-C (BIQ-C-9). Females reported
significantly greater latent factor variance, higher BIQ-C-9 total and factor
scores, and higher scores on most individual BIQ-C-9 items. The measure can be
used with caution to compare body dysmorphic disorder symptoms between male and
female adolescents, though sex-specific cutoff scores should be used.
PMID- 27866167
TI - Rewiring of Signaling Networks Modulating Thermotolerance in the Human Pathogen
Cryptococcus neoformans.
AB - Thermotolerance is a crucial virulence attribute for human pathogens, including
the fungus Cryptococcus neoformans that causes fatal meningitis in humans. Loss
of the protein kinase Sch9 increases C. neoformans thermotolerance, but its
regulatory mechanism has remained unknown. Here, we studied the Sch9-dependent
and Sch9-independent signaling networks modulating C. neoformans thermotolerance
by using genome-wide transcriptome analysis and reverse genetic approaches.
During temperature upshift, genes encoding for molecular chaperones and heat
shock proteins were upregulated, whereas those for translation, transcription,
and sterol biosynthesis were highly suppressed. In this process, Sch9 regulated
basal expression levels or induced/repressed expression levels of some
temperature-responsive genes, including heat shock transcription factor (HSF1)
and heat shock proteins (HSP104 and SSA1). Notably, we found that the HSF1
transcript abundance decreased but the Hsf1 protein became transiently
phosphorylated during temperature upshift. Nevertheless, Hsf1 is essential for
growth and its overexpression promoted C. neoformans thermotolerance.
Transcriptome analysis using an HSF1 overexpressing strain revealed a dual role
of Hsf1 in the oxidative stress response and thermotolerance. Chromatin
immunoprecipitation demonstrated that Hsf1 binds to the step-type like heat shock
element (HSE) of its target genes more efficiently than to the perfect- or gap
type HSE. This study provides insight into the thermotolerance of C. neoformans
by elucidating the regulatory mechanisms of Sch9 and Hsf1 through the genome
scale identification of temperature-dependent genes.
PMID- 27866172
TI - What Does the WRAML2 Core Battery Measure? Utilizing Exploratory and Confirmatory
Techniques to Disclose Higher Order Structure.
AB - The present study examined the factor structure of the Wide Range Assessment of
Memory and Learning-Second Edition (WRAML2) core battery with participants from
the normative sample aged 9 to 90 years ( n = 880) using higher order exploratory
and confirmatory factor analytic techniques that were not reported in the in the
WRAML2 Administration and Technical Manual. Exploratory factor analysis results
suggested only one factor, whereas confirmatory factor analysis results favored
the three factors posited by the test authors. Although model fit statistics were
equivalent for the oblique, indirect hierarchical, and direct hierarchical
measurement models, it was determined that the bifactor model best disclosed the
influence of latent dimensions on WRAML2 manifest variables. In the three-factor
bifactor model, the general factor accounted for 31% of the total variance and
69% of the common variance, whereas the three first-order factors combined
accounted for 41% of the total variance and 31% of the common variance. Latent
factor reliability coefficients (as estimated by omegah) indicated that only the
general factor was measured with enough precision to warrant confident clinical
interpretation. Implications for clinical interpretation of WRAML2 scores and the
procedures utilized in the development of related measures are discussed.
PMID- 27866171
TI - Refining Diagnostic Procedures for Adults With Symptoms of ADHD.
AB - Attention deficit/hyperactivity disorder (ADHD) is a chronic disorder that
afflicts individuals into adulthood. The field continues to refine diagnostic
standards for ADHD in adults, complicated by the disorder's heterogeneous
presentation, subjective symptoms, and overlap with other disorders. Two key
diagnostic questions are from whom to collect diagnostic information and which
symptoms should be contained on an adult diagnostic checklist. Using a trifactor
model, Martel et al. examine these questions in a sample of adults with and
without self-identified ADHD symptoms. In this response, we highlight the
importance of their finding that self and informant symptom reports differ in a
sample of adults who acknowledge ADHD symptoms. We also review issues that
continue to face the field related to model specification, evaluating symptom
utility, and sample composition, discussing how these issues influence
conclusions that may be drawn from Martel et al. and similar investigations. We
conclude that the article makes an important research contribution about the
nature of self and informant ADHD symptom reports but emphasize that symptom
checklist refinement must occur through a broad lens that considers work from a
range of sample types and clinically informative analytic strategies.
PMID- 27866174
TI - Sample size calculation based on generalized linear models for differential
expression analysis in RNA-seq data.
AB - As RNA-seq rapidly develops and costs continually decrease, the quantity and
frequency of samples being sequenced will grow exponentially. With proteomic
investigations becoming more multivariate and quantitative, determining a study's
optimal sample size is now a vital step in experimental design. Current methods
for calculating a study's required sample size are mostly based on the hypothesis
testing framework, which assumes each gene count can be modeled through Poisson
or negative binomial distributions; however, these methods are limited when it
comes to accommodating covariates. To address this limitation, we propose an
estimating procedure based on the generalized linear model. This easy-to-use
method constructs a representative exemplary dataset and estimates the
conditional power, all without requiring complicated mathematical approximations
or formulas. Even more attractive, the downstream analysis can be performed with
current R/Bioconductor packages. To demonstrate the practicability and efficiency
of this method, we apply it to three real-world studies, and introduce our on
line calculator developed to determine the optimal sample size for a RNA-seq
study.
PMID- 27866173
TI - A rapid UPLC-MS/MS assay for the simultaneous measurement of fluconazole,
voriconazole, posaconazole, itraconazole, and hydroxyitraconazole concentrations
in serum.
AB - BACKGROUND: Triazole antifungals are essential to the treatment and prophylaxis
of fungal infections. Significant pharmacokinetic variability combined with a
clinical need for faster turnaround times has increased demand for in-house
therapeutic drug monitoring of these drugs, which is best performed using mass
spectrometry-based platforms. However, technical and logistical obstacles to
implementing these platforms in hospital laboratories have limited their
widespread utilization. Here, we present the development and validation of a fast
and simple ultra-performance liquid chromatography-tandem mass spectrometry (UPLC
MS/MS) method to measure fluconazole, voriconazole, posaconazole, itraconazole,
and hydroxyitraconazole in human serum suitable for incorporation into a hospital
clinical laboratory. METHODS: Serum samples (20 uL) were prepared using protein
precipitation in the presence of deuterated internal standards. Chromatographic
separation was accomplished using reversed phase UPLC and analysis was performed
using positive-mode electrospray ionization and collision-induced dissociation
MS. RESULTS: Total analytical run time was 3 min. All analytes demonstrated
linearity (r2>0.998) from 0.1 to 10 ug/mL (1-100 ug/mL for fluconazole),
acceptable accuracy and precision (%DEV<15% and %CV<15% at all levels tested),
suitable stability under relevant storage conditions, and correlated well with
reference laboratory results. CONCLUSIONS: A simple and rapid UPLC-MS/MS method
for monitoring multiple triazole antifungals was developed with a focus on the
needs of hospital laboratories. The assay is suitable for clinical utilization
and management of patients on these medications.
PMID- 27866175
TI - Association Between Diabetes-related Knowledge and Medication Adherence: Results
From Cross-sectional Analysis.
AB - Context * Type 2 diabetes mellitus (T2DM) is a growing health problem worldwide.
To have optimal glycemic control, T2DM patients must have sufficient diabetes
related knowledge and must adhere positively and closely to a prescribed regimen.
Medication adherence is a key determinant of therapeutic success in patients with
T2DM. However, adherence to medications among T2DM patients varies widely, with
estimates ranging from 36%-94%. Objective * The purpose of the study was to
assess the level of and the association between diabetes-related knowledge and
medication adherence among T2DM patients in Pakistan. Design * The research team
conducted a cross-sectional survey. Setting * The study was carried out at the
outpatient clinic of a public-sector teaching hospital in Sargodha, Pakistan.
Participants * Participants were 392 diabetic patients of the hospital. Outcome
Measures * In addition to the collection of data on the demographic and disease
related characteristics of the participants, the Urdu versions of the Morisky
Medication Adherence Scale (MMAS-U) and the Michigan Diabetes Knowledge Test
(MDKT-U) were used to assess medication adherence and diabetes-related knowledge,
respectively. Descriptive statistics were used to determine the demographic and
disease characteristics, whereas a Spearman rank correlation was used to measure
the association between medication adherence and diabetes-related knowledge.
Results * The mean age of the participants was 50.77 +/- 9.671 y, with males
being the dominant gender (n = 222, 56.6%). The mean duration of diabetes was
5.58 +/- 4.09 y. Of the 392 patients, 245 (62.5%) had an average knowledge of
diabetes. Furthermore, 282 (71.9%) were categorized as showing poor adherence. A
significant but weak positive correlation between diabetes-related knowledge and
medication adherence was found for the study (r = 0.036, P < .05). Conclusions *
Although diabetes-related knowledge among the patients was average, the adherence
to treatment was poor. Because patients' knowledge about diabetes was positively
associated with medication adherence, the research team believes that educating
patients about the disease and medication management can result in better control
of T2DM.
PMID- 27866176
TI - Hypoglycemia Alert Dogs: A Novel, Cost-effective Approach for Diabetes
Monitoring?
AB - The recent publication of the results of 3 small trials, and as many as 5 case
reports on dogs producing clear and intelligible alerts in the presence of their
owners' hypoglycemia, opens an intriguing clinical scenario for management of
diabetes. The skill seems attributable to the ability of dogs to identify
patterns in skin and breath odors as well as to understand and interpret visual
cues from humans during hypoglycemia. Provided that further trials can confirm
the findings, the use of diabetes alert dogs that are trained to detect the onset
of hypoglycemia can be regarded as a fast, versatile, reliable, and cost
effective approach for safeguarding the health of individuals with diabetes.
PMID- 27866177
TI - Cranberries for Preventing Recurrent Urinary Tract Infections in Uncircumcised
Boys.
AB - Background * Highly concentrated cranberry juice has long been considered to have
protective properties against urinary tract infections (UTIs), on the basis of
its content of cranberry proanthocyanidins, with A-type interflavan bonds.
Objective * This study intended to evaluate the benefits of a highly concentrated
cranberry juice for the prevention of repeated episodes of UTI in uncircumcised
boys. Design * The study was a randomized, controlled trial. Setting * The study
took place at Taipei City Hospital, Renai and Zhongxing Branches (Taipei City,
Taiwan). Participants * Participants were 55 uncircumcised boys and 12
circumcised boys, aged 6 to 18 y, with histories of uncomplicated UTI, who were
patients at the hospital. Intervention * The uncircumcised boys were randomly
divided into 2 groups: (1) group 1 (n = 28) took 4 oz (120 mL) daily of cranberry
juice for 6 mo; and (2) group 2 (n = 27), the negative control group, drank a
placebo juice for 6 months. The circumcised boys in group 3, a positive control
group, also drank a placebo juice for 6 mo. Outcome Measures * The time to UTI
(ie, to the appearance of symptoms plus pyuria) was the main outcome.
Asymptomatic bacteriuria, adherence to the treatment, and adverse effects were
assessed at monthly visits. Results * After 6 mo of a prophylactic treatment with
cranberry juice, the incidence of bacteriuria, mainly Escherichia coli, as shown
in urine cultures at >=1 * 105, were 25% (7/28), 37% (10/27), and 33.3% (4/12) in
groups 1, 2 and 3, respectively. The comparisons of the rate of prevention of a
recurrence of UTI between group 1 and group 2 and between group 1 and group 3
showed that group 1 had fewer recurrent episodes of UTI. No children withdrew
from the study. No adverse events or side effects were recorded. Conclusions *
Cranberry juice may reduce the number of repeated episodes of UTI in
uncircumcised boys and may have beneficial effects against the growth of Gram
negative bacterial pathogens. Its preventive benefits against UTI in the
uncircumcised boys were even higher than those of circumcision for the
circumcised boys.
PMID- 27866178
TI - Low-level Laser Therapy: A Review of Its Applications in the Management of Oral
Mucosal Disorders.
AB - Due to its analgesic, anti-inflammatory, and biostimulating effects, low-level
laser therapy (LLLT) has been widely used for oral disorders, such as oral lichen
planus (OLP), xerostomia, recurrent aphthous stomatitis (RAS), herpes labialis,
burning mouth syndrome (BMS), and oral mucositis (OM). The research team for the
present study has reviewed the literature on the subject, with an emphasis on the
applicability of LLLT in general and of its various clinical protocols for the
management of those oral disorders. In lesions such as the ones occurring in OM,
RAS, herpes labialis, and OLP, the course of wound healing and the pain have been
shown to decrease, with a few, or most often, no adverse side effects. The
literature shows that LLLT can also be effective in reducing symptoms in patients
with BMS. For the treatment of hyposalivation and xerostomia, the use of LLLT has
been described in the literature, but no consensus has resulted. Very few
controlled clinical studies with well-established therapeutic protocols have
occurred, except for OM, for which LLLT has been widely researched. Although
information on the use of the laser for some lesions has already been
consolidated, further research is needed, especially randomized, controlled
clinical trials with long-term follow-up. Those studies will allow the safe use
of LLLT, permitting the creation of care protocols for the management of oral
disorders.
PMID- 27866179
TI - Tripterygium Glycosides for Treating Late-onset Rheumatoid Arthritis: A
Systematic Review and Meta-analysis.
AB - Context * Older- or late-onset rheumatoid arthritis (LORA) is defined as
rheumatoid arthritis (RA) with an onset of symptoms at age 60 y or older, which
includes a specific clinical course and features. To date, a specific therapeutic
treatment for LORA is still a dilemma in modern medicine. Objective * The study
aimed to assess the effectiveness and safety of Tripterygium glycosides for
treating LORA. Design * Seven databases were searched from their inceptions until
June 2015. The research team included randomized, controlled trials (RCTs) in
which Tripterygium glycosides were employed, either alone or as an adjuvant
treatment with disease-modifying antirheumatic drugs (DMARDs), in patients with
LORA. The selection of studies, data extraction, and validation were performed
independently by 2 reviewers. The Cochrane risk-of-bias criteria were used for
evaluating the quality of the included studies. Settings * The study was
conducted at Changzhou University (Changzhou, China), Nanjing University of
Chinese Medicine (Nanjing, China), and the hospital affiliated with Nanjing
University of Chinese Medicine (Nanjing, China). Participants * Studies including
patients aged 60 y or older with RA in any of their peripheral joints were
included in the meta-analysis. Intervention * All participants in the included
studies were administered Tripterygium glycosides, either alone or together with
other DMARDs, for at least 3 mo. Outcome Measures * The primary outcomes included
(1) the swollen joint count (SJC) and (2) the tender joint count (TJC). The
secondary outcomes included the erythrocyte sedimentation rate (ESR) and the
level of C-reactive protein (CRP). Results * Four RCTs met the inclusion
criteria, and most of them were of low methodological quality. The results of the
current meta-analysis indicated that Tripterygium glycosides plus DMARD therapy,
when compared with DMARD therapy alone, showed a favorable effect: (1) on the
SJC, with the mean difference (MD) = -1.58, 95% confidence interval (CI) = -1.64
to -1.51, and P < .01; (2) on the TJC, with the MD = -1.71, 95% CI = -2.26 to
1.15, and P < .01; (3) on the CRP levels, with the MD = -9.96, 95% CI = -10.96 to
-8.96, and P < .01; and (4) on the ESR, with MD = -10.74, 95% CI = -12.47 to
9.00, and P < .01. In addition, the groups treated with Tripterygium glycosides
were not superior to the intervention groups that did not use Tripterygium
glycosides in terms of decreasing adverse events. Conclusions * A lack of
sufficient trials contributed to the small sample size of the combined, eligible
RCTs, and it was difficult to draw firm conclusions on the positive effects of
Tripterygium glycosides and on their efficacy as an effective intervention for
treating RA. A high risk of bias existed among the available RCTs. Further work
with more RCTs on a larger patient population is necessary to confirm the
efficacy and safety of Tripterygium glycosides for treating LORA.
PMID- 27866180
TI - Positive Effects of Uyakujunkisan Therapy (Kampo Medicine) for Postherpetic
Neuralgia With Concomitant Pregabalin: Two Case Reports With a Literature Review.
AB - Context * The hallmark of herpes zoster (HZ) is a painful, blistering rash, but
neuropathic pain can persist beyond the clearing of the rash and is diagnosed as
postherpetic neuralgia (PHN), a chronic pain syndrome. However, the pain in PHN
is often refractory to treatment. Objective * The study investigated the ability
of Uyakujunkisan (UJS), or Kampo medicine, a traditional herbal medicine, to
treat PHN successfully. Design * The study was observational, with discussion of
2 cases. Setting * The study took place in the Department of Japanese Oriental
Medicine at Gunma Central and General Hospital (Maebashi, Gunma, Japan).
Participants * The participants in cases 1 and 2 were a 70-y-old male and a 70-y
old female, respectively. The woman in case 2 also had rheumatoid arthritis. Both
had been treated for HZ with antiviral drugs but continued to experience pain and
were diagnosed with PHN. Intervention * Both participants were treated with a
decoction of UJS that was administered 3 */d before meals. Outcome Measures * The
patients completed a visual analogue scale. Results * In case 1, the patient's
pain had almost disappeared after 8 wk of treatment. In case 2, the patient's
pain had disappeared by 4 mo after starting the UJS treatment. Conclusions *
Treatment with UJS may be a useful option as a therapeutic strategy for
refractory PHN, especially in older adults.
PMID- 27866181
TI - Dysbiosis or Adaptation: How Stable Is the Gut Microbiome?
AB - No Abstract Available.
PMID- 27866182
TI - Homeopathic Treatment of Overweight and Obesity in Pregnant Women With Mental
Disorders: A Double-blind, Controlled Clinical Trial.
AB - Context * Worldwide, 35 million people suffer from obesity. Mental disorders have
been associated with being overweight or obese. Considerable evidence has shown a
correlation between stress and the use of homeopathy and stress and obesity.
However, few studies have examined the relationship between weight loss and
homeopathic treatment of obesity. Objective * The study intended to evaluate the
efficacy of a homeopathic treatment in preventing excessive weight gain during
pregnancy in overweight or obese women who were suspected of having a common
mental disorder. Design * The study was a randomized, controlled, double-blinded
clinical trial. Setting * The study took place at the Center for the Social
Support of Motherhood (Sao Paulo, Brazil). Participants * Participants were
pregnant women who were enrolled at the center. Intervention * For the
homeopathic group, 9 drugs were preselected, including (1) Pulsatilla nigricans,
(2) Sepia succus, (3) Lycopodium clavatum, (4) sulphur, (5) Lachesis
trigonocephalus, (6) Nux vomica, (7) Calcarea carbonica, (8) phosphorus; and (9)
Conium maculatum. From those 9 drugs, 1 was prioritized for administration for
each participant. After the first appointment, a reselection or selection of a
new, more appropriate drug occurred, using the list of preselected drugs. The
dosage was 6 drops orally 2 */d, in the morning and at night, on 4 consecutive
days each wk, with an interval of 3 d between doses, up until the next
appointment medical appointment. The control group received the equivalent
placebo drug. Both groups also received a diet orientation. Outcome Measures * We
evaluated pregnant women who were overweight or had class 1 or 2 obesity and were
suspected of having a common mental disorder, with no concomitant diseases, in 2
groups: those receiving a placebo (control group, n = 72); and those receiving
homeopathic treatment (homeopathy group, n = 62). Weight change during pregnancy
was defined as the difference between the body mass index (BMI) at the initial
evaluation and that recorded at the final evaluation, adjusted for 40 wk of
gestation. In addition, the APGAR index in the newborn was evaluated as a
possible complication. Results * The mean variation between baseline BMI and BMI
at week 40 of gestation was +4.95 kg/m2 in the control group and +5.05 kg/m2 in
the homeopathy group. The difference between the 2 groups was not significant (P
= .815; 95% confidence interval [CI], -0.916 to 0.722). APGAR 10 at 5 min
(59.6%in homeopathy group and 36.4% among control) was statistically significant
(P = .016). Conclusions * Homeopathy does not appear to prevent excessive body
mass gain in pregnant women who are overweight or obese and suspected of having a
common mental disorder. Homeopathy did not change the APGAR score to modified
clinical attention at delivery room. However, the evidence observed at APGAR 10
at minute 5 suggests that homeopathy had a modulating effect on the vitality of
newborns, warranting further studies designed to investigate it.
PMID- 27866183
TI - Resolution of Allergic Rhinitis and Reactive Bronchospasm With Supplements and
Food-specific Immunoglobulin G Elimination: A Case Report.
AB - Context * Allergies are a common affliction, whether they are respiratory, food
related, or dermatological. People often resort to continuous use of over-the
counter medications, such as antihistamines, to manage their symptoms.
Controversy still remains over testing serum immunoglobulin (Ig) G to diagnose
food allergies. Objective * This study intended to examine the benefits of
treatment of a pediatric patient with natural supplements and an elimination diet
for IgG food allergies. Design * The research team reported a case study. Setting
* The study was conducted at Southwest Naturopathic Medical Center (Tempe, AZ,
USA). Participant * The participant was a 10-y-old Caucasian female who had
diagnoses of allergic rhinitis and reactive bronchospasm, the second of which was
exacerbated by allergens such as wheat, perfumes, and seasonal flora.
Intervention * Following testing for IgE- and IgG-reactive foods, the patient was
treated with natural supplements to reduce her allergic responses and was
instructed to make dietary changes to eliminate the IgG-reactive foods. Outcome
Measures * The patient's symptom severity was tracked starting 1 mo after her
initial visit to Southwest Naturopathic Medical Center. The severity was based on
the patient's subjective reports about her congestion to her mother and on her
mother's observations of the effect of symptoms on her attention and school
performance. The bronchospasm severity was based on the frequency of a sensation
of wheezing and chest tightness, the frequency of inhaler use, and the occurrence
of any exacerbation of symptoms with acute respiratory illness Results * After 1
mo, in which the patient used the natural supplements, she experienced a 90%
improvement in coughing; a 70% improvement in nasal congestion; less chest
tightness; and no need for use of loratadine, diphenhydramine, or albuterol. At
the 8-mo follow-up visit, her nasal congestion was reported to be entirely gone.
Conclusions * The case demonstrates the effectiveness of natural supplements and
a diet eliminating IgG-reactive foods in the treatment and management of
pediatric allergic rhinitis and reactive bronchospasm.
PMID- 27866184
TI - The Gut-Brain Axis: Autoimmune and Neuroimmune Disorders.
AB - No Abstract Available.
PMID- 27866185
TI - Wilms Tumor 1 (WT1) mRNA Expression Level at Diagnosis Is a Significant
Prognostic Marker in Elderly Patients with Myelodysplastic Syndrome.
AB - BACKGROUND/AIMS: A high expression of Wilms tumor 1 (WT1) mRNA occurs in most
cases of acute leukemia and myelodysplastic syndrome (MDS). Although there are
many reports suggesting that acute myeloid leukemia patients with high expression
levels of WT1 mRNA have a relatively poor long-term survival, there are few
reports addressing the relationship between WT1 levels and prognosis in MDS.
METHODS: We retrospectively analyzed 42 elderly patients with MDS whose WT1
levels at diagnosis were available, and we assessed the relationships between WT1
levels in peripheral blood and preexisting prognostic factors such as World
Health Organization prognostic scores and Revised International Prognostic
Scoring System risk categories, bone marrow blast percentages, and chromosomal
abnormalities linked to a poor prognosis. We also evaluated the relationship
between WT1 levels and prognosis. RESULTS: WT1 levels were significantly
different between high- and low-risk MDS patients (p < 0.05). There was a trend
towards a significant difference between those with and those without poor
prognostic chromosomal rearrangements (p = 0.051). Moreover, the overall survival
and progression-free survival were significantly worse in elderly patients with
higher levels of WT1 (p = 0.00039 and p = 0.00077, respectively). CONCLUSIONS:
The WT1 mRNA expression level at diagnosis may be a significant independent
prognostic marker for elderly patients with MDS.
PMID- 27866186
TI - Consequences of Stimulus Type on Higher-Order Processing in Single-Sided Deaf
Cochlear Implant Users.
AB - Single-sided deaf subjects with a cochlear implant (CI) provide the unique
opportunity to compare central auditory processing of the electrical input (CI
ear) and the acoustic input (normal-hearing, NH, ear) within the same individual.
In these individuals, sensory processing differs between their two ears, while
cognitive abilities are the same irrespectively of the sensory input. To better
understand perceptual-cognitive factors modulating speech intelligibility with a
CI, this electroencephalography study examined the central-auditory processing of
words, the cognitive abilities, and the speech intelligibility in 10
postlingually single-sided deaf CI users. We found lower hit rates and prolonged
response times for word classification during an oddball task for the CI ear when
compared with the NH ear. Also, event-related potentials reflecting sensory (N1)
and higher-order processing (N2/N4) were prolonged for word classification
(targets versus nontargets) with the CI ear compared with the NH ear. Our results
suggest that speech processing via the CI ear and the NH ear differs both at
sensory (N1) and cognitive (N2/N4) processing stages, thereby affecting the
behavioral performance for speech discrimination. These results provide objective
evidence for cognition to be a key factor for speech perception under adverse
listening conditions, such as the degraded speech signal provided from the CI.
PMID- 27866187
TI - A Pilot Study Examining the Effects of 8-Week Whey Protein versus Whey Protein
Plus Creatine Supplementation on Body Composition and Performance Variables in
Resistance-Trained Women.
AB - AIMS: We performed a pilot study examining the effects of whey protein and
creatine supplementation (PRO + CRE group) versus whey protein supplementation
(PRO group) alone on body composition and performance variables in a limited
number of resistance-trained women. METHODS: Seventeen resistance-trained women
(21 +/- 3 years, 64.7 +/- 8.2 kg, 23.5 kg/m2, 26.6 +/- 4.8% body fat, >6 months
of training) performed a 4-day per week split-body resistance training program
for 8 weeks. Subjects ingested either 24 g PRO (n = 9) or 24 g whey plus 5 g
creatine monohydrate (PRO + CRE, n = 8) following each exercise bout. At baseline
(T1), 4 weeks (T2) and 8 weeks (T3), body composition was measured by dual X-ray
absorptiometry (DXA), strength measures (leg press and bench press one repetition
maximum) and lower-body power measures were determined. RESULTS: DXA lean mass
increased from T1 to T3 in both groups (PRO: +2.5 kg, p < 0.001; PRO + CRE: +2.5
kg, p < 0.001), although no differences between groups were observed. Compared to
T1 values, performance measures similarly increased in both groups from T1 to T3
although, no between-group differences were observed. CONCLUSIONS: PRO + CRE did
not enhance training adaptations compared to PRO, albeit studies employing longer
term interventions with larger sample sizes are needed in order to confirm or
disprove our findings.
PMID- 27866188
TI - Feasibility of Laryngeal Mask Airway Device Placement in Neonates.
AB - BACKGROUND: The laryngeal mask airway (LMA) has been used in adult and pediatric
populations for decades. While the familiarity of its use in the neonatal
population is increasing, there are few data investigating this. OBJECTIVE: The
objective of this study was to determine the feasibility of LMA placement in
neonates by investigating the time and number of attempts required for successful
placement and physiologic stability during the placement of the device. METHODS:
This study is one component of a national, multicenter, randomized controlled
trial investigating surfactant administration through an LMA in neonates.
Videotape of LMA placement was reviewed to determine the total procedure time and
the number of attempts required to successfully place the device. Heart rate and
oxygen saturation (SaO2) were analyzed as change from baseline, in order to
examine physiologic stability during device placement. RESULTS: Videotape and
physiologic data were analyzed for 36 infants. Gestational age ranged from 293/7
to 354/7 weeks (mean 33 +/- 1.7) with the birth weight ranging from 1,290 to
3,180 g (mean 2,006 +/- 482). Average total procedure time was 88 s (+/-136) with
64% of the procedures successfully completed in <35 s. Successful placement was
achieved on the first attempt in 69% of the cases. Compared to baseline, heart
rate increased by an average of 1 bpm (+/-4.5) and SaO2 decreased an average of
6% (+/-7). CONCLUSIONS: Successful placement was achieved in the majority of
patients in <35 s and required only one attempt. Physiologic parameters were
maintained close to baseline, measured by minimal fluctuation in heart rate and
SaO2 during the procedure. Placement of the LMA is feasible in neonates.
PMID- 27866189
TI - Liver Transplantation in Wilson's Disease with Neurological Impairment:
Evaluation in 4 Patients.
AB - BACKGROUND: The aim of this work is to report our early experiences about the
benefits of liver transplantation (LT) in the treatment of persistent
neurological symptoms in Wilson's disease (WD) patients. METHODS: We describe our
findings in 4 WD patients with neurological impairment or symptoms treated by LT:
2 patients had transplants due to worsening of neurological symptoms despite long
term appropriate medical treatment. The other 2 required LT because of symptoms
associated with liver failure. Patients were evaluated using the modified Rankin
scale and the Unified Wilson's Disease Rating Scale (UWDRS). RESULTS: The 4
patients experienced neurological improvement after LT. The pre-LT Rankin score
of the 2 patients transplanted due to neurological impairment was 4 compared to 3
and 2, respectively, post LT. The pre-LT Rankin scores of the 2 WD cases
transplanted because of hepatic failure were 1 and 2, respectively, compared to 0
in both cases post LT. UWDRS score improved in 2 cases and remained stable in 1
less severely impaired case. Brain MRI abnormalities proved partially reversible
in 3 patients and remained stable for 1 patient. CONCLUSIONS: These results
suggest that LT could be envisaged for neurologically impaired WD patients.
PMID- 27866190
TI - Role of MCPIP1 in the Endothelial-Mesenchymal Transition Induced by Silica.
AB - BACKGROUND: Silicosis is characterized by the accumulation of fibroblasts and the
excessive deposition of extracellular matrix. Fibroblast generation via
endothelial-mesenchymal transition (EndMT) is one process responsible for this
accumulation of fibroblasts. However, the mechanisms underlying EndMT remain
unknown. METHODS: Human umbilical vein endothelial cells (HUVECs) were exposed to
SiO2 (50 ug/cm2). Specific endothelial and mesenchymal markers were evaluated
using immunofluorescence and western blot analysis. Functional changes were
evaluated by analyzing cell migration and proliferation. LC3-adenovirus
transfections were performed, and changes in autophagy were measured using a
marker of autophagy. RESULTS: SiO2 induced decreases in the endothelial cell
specific markers in HUVECs while dramatically increasing mesenchymal cell product
levels and mesenchymal functions. Although MCPIP1 expression increased in
parallel with the increase in specific mesenchymal cell products, the MCPIP1
expression level was not consistent with the observed decrease in specific
endothelial marker expression. Autophagy mediated the effects of MCPIP1, as
rapamycin and 3-MA enhanced and attenuated the effect of SiO2 on HUVECs,
respectively. MAPKs and the PI3K/Akt pathway were involved in the regulation of
MCPIP1 by SiO2, and Pyk2 and MLC-2 mediated cell migration. CONCLUSION: Our
findings reveal a new potential function of MCPIP1, suggesting a possible
mechanism of fibrosis in pulmonary silicosis.
PMID- 27866191
TI - The Long Non-Coding RNA LncRNA8975-1 is Upregulated in Hypertrophic Scar
Fibroblasts and Controls Collagen Expression.
AB - BACKGROUND/AIMS: Long non-coding RNAs (lncRNAs) are thought to play crucial roles
in human diseases. However, the function of lncRNAs in hypertrophic scar
formation remains poorly understood. METHODS: In this study, we investigated the
expression of lncRNA8975-1 in hypertrophic scar tissues and fibroblasts by
quantitative reverse transcription PCR (qRT-PCR). To investigate its function,
overexpression and knockdown of lncRNA8975-1 were performed using lentivirus
infection and Stealth RNAi transfection, respectively. Cell proliferation was
detected by CCK-8 assay. The protein levels of collagens and alpha-smooth muscle
actin (alpha-SMA) were analysed by western blot. RESULTS: We found that
lncRNA8975-1 was overexpressed in hypertrophic scar tissues and dermal
fibroblasts. Overexpression of lncRNA8975-1 inhibited cell proliferation and
reduced the protein expression levels of COL1A2, COL1A1, COL3A1 and alpha-SMA in
hypertrophic scar fibroblasts, whereas knockdown of lncRNA8975-1 had the opposite
effect. CONCLUSION: Our results show that the long non-coding RNA lncRNA8975-1 is
upregulated in hypertrophic scar fibroblasts; furthermore, it inhibits fibroblast
proliferation and reduces collagen and alpha-SMA expression. Further studies on
the mechanisms regulated by lncRNA8975-1 would lead to a better understanding of
the pathogenesis of hypertrophic scar formation.
PMID- 27866192
TI - Dietary Genistein Rescues Reduced Basal Chloride Secretion in Diabetic Jejunum
via Sex-Dependent Mechanisms.
AB - BACKGROUND/AIMS: The goal of this study was to determine the effect of dietary
genistein (naturally occurring phytoestrogen) on jejunal secretory function in a
clinically relevant model of diabetes and obesity, the leptin-defIcient ob/ob
mouse. METHODS: We measured transepithelial short circuit current (Isc), across
freshly isolated segments of jejunum from 12-week old male and female ob/ob and
lean C57Bl/6J mice fed a genistein diet (600 mg genistein/kg diet) for 4-weeks.
Separate segments of jejunum were frozen for western blot determination of key
proteins involved in secretory transport. RESULTS: Basal Isc was signifIcantly
decreased (by 33%, P<0.05) in ob/ob females versus leans, and genistein-diet
reversed this. Similarly, in males, basal Isc was decreased (by 47%, P<0.05) in
ob/ob mice versus leans, and genistein-diet reversed this. Inhibition with either
clotrimazole (100 uM, bilateral) or ouabain (100 uM, basolateral) was
signifIcantly reduced in ob/ob mice compared to leans (P<0.05), and genistein
diet reversed clotrimazole-sensitive inhibition in ob/ob females, and reversed
the ouabain-sensitive inhibition in males (indicating sex-dependent mechanisms).
Our data suggested that PDE3 levels were dysregulated in ob/ob females and
genistein reversed this. Expression of total CFTR (normalized to actin) was
signifIcantly decreased ~80% (P<0.05) in all ob/ob mice compared to leans, and
genistein-diet was without effect. Expression of total NKCC1 (normalized to
actin) was signifIcantly decreased ~80% (P<0.05) in ob/ob male mice versus leans,
and genistein-diet reversed this. CONCLUSIONS: Our data suggests that the reduced
basal jejunal Isc in ob/ob female mice is a consequence of reduced CFTR
expression, decreased activities of the basolateral KCa channel and Na+/K+
ATPase, and in male mice reduced basal jejunal Isc is a consequence of reduced
CFTR and NKCC1 expression, along with decreased activities of the basolateral KCa
channel and Na+/K+-ATPase. Genistein-diet has beneficial effects on basal Isc
mediated by sex-dependent mechanisms in diabetic mice: in females via increased
KCa-sensitive Isc and in males via increased Na+/K+-ATPase activity and increased
NKCC1 expression. Improved understanding of intestinal dysfunctions in the ob/ob
jejunum, may allow for the development of novel drug targets to treat obesity and
diabetes, and may also be of benefit in CF-related diabetes.
PMID- 27866194
TI - Efficacy of Bevacizumab in the First-Line Treatment of Patients with RAS
Mutations Metastatic Colorectal Cancer: a Systematic Review and Network Meta
Analysis.
AB - BACKGROUND/AIMS: Whether patients with RAS mutation metastatic colorectal cancer
(mCRC) obtain benefits from bevacizumab added to first-line chemotherapy remains
unclear. METHODS: PubMed, Cochrane Systematic Reviews, the Cochrane Collaboration
Central Register of Controlled Clinical Trials, ClinicalTrials.gov, and the
American Society of Clinical Oncology and European Society for Medical Oncology
databases were searched to identify abstracts for randomized controlled trials
(RCTs) evaluating the efficacy of bevacizumab for the first-line treatment of
patients with RAS mutations mCRC from inception to the end of April 2016. Hazard
ratios (HRs) for overall survival (OS) and progression-free survival (PFS) were
estimated. RESULTS: Ten eligible papers reporting six RCTs were included. In the
network meta-analysis of patients with RAS mutations, bevacizumab + chemotherapy
prolonged PFS compared with chemotherapy alone (HR 0.75, 95% CI 0.51-1.10), but
the difference was not statistically significant. Bevacizumab + chemotherapy did
not prolong OS compared with chemotherapy alone (HR 1.10, 95% CI 0.73-1.66).
CONCLUSION: There was insufficient evidence to definitively state that patients
with RAS mutations mCRC could benefit from bevacizumab combined with chemotherapy
as first-line treatment.
PMID- 27866193
TI - Mixed Aqueous Extract of Salvia Miltiorrhiza Reduces Blood Pressure through
Inhibition of Vascular Remodelling and Oxidative Stress in Spontaneously
Hypertensive Rats.
AB - BACKGROUND/AIMS: Salvia miltiorrhiza (SM) contains four major aqueous active
ingredients, which have been isolated, purified and identified as danshensu
(DSS), salvianolic acid A (Sal-A), salvianolic acid B (Sal-B) and protocatechuic
aldehyde (PAL), totally abbreviated as SABP. Although SM is often used to treat
various cardiovascular diseases in traditional Chinese medicine, the efficacy and
function of optimal compatibility ratio of SM's active ingredients (SABP) in the
prevention and treatment of cardiovascular diseases remain uncertain. This study
investigated antihypertensive effect and underlying mechanisms of SABP vs. SM
lyophilized powder (SMLP) in spontaneously hypertensive rats (SHR) and to
establish the ratio of the optimal compatibility of DSS, Sal-A, Sal-B and PAL in
improving cardiovascular functions. METHODS: The SHRs were treated with either
SABP or SMLP and their systolic blood pressures (SBP) were monitored. The
isolated thoracic aorta of SHRs was segregated for immunohistochemistry,
Hematoxylin-Eosin stain and mRNA and protein expression of NOX4, TGF-beta1, Col
I, ET-1, alpha-SMA and Smad7. Moreover, the adventitial fibroblasts (AFs) were
isolated and cultured from SD rats' aorta and the reactive oxygen species (ROS)
production was determined after SABP or SMLP treatment. RESULTS: SABP, but not
SMLP, significantly reduced SBP, which were accompanied by the inhibited
morphological changes in the thoracic aorta and the reduced mRNA and protein
expression of NOX4, TGF-beta1, Col-I, ET-1 and alpha-SMA, but the increased Smad
7 expression in SHRs. Moreover, SABP also resulted in a decreased ROS production
in AFs of SD rats. CONCLUSIONS: These results indicate that SABP, but not SMLP,
treatment potently inhibits hypertension through improvements of vascular
remodeling and oxidative stress. The present study provides new evidence that the
efficacy and function from optimal compatibility ratio of SM active ingredients
is much better than its lyophilized powder, which represents a strategy to
develop SM's new beneficial effect in improving cardiovascular functions.
PMID- 27866195
TI - Comparison of Telbivudine and Entecavir Therapy on Nephritic Function and Drug
Resistance in Patients with Hepatitis B Virus-Related Compensated Cirrhosis.
AB - BACKGROUND: To compare the impact of telbivudine (LDT) and entecavir (ETV)
administration on nephritic function. METHOD: One hundred thirty patients
diagnosed with hepatitis B virus (HBV)-related compensated cirrhosis were
randomly divided into LDT (600 mg/d) or ETV (0.5 mg/d) groups. RESULTS: The drug
resistance rate was higher following LDT treatment compared to ETV treatment
(16.9% vs. 1.5%, P=0.0006). The mean creatinine level decreased compared to
baseline in the LDT group (0.81 vs. 0.94 mg/dl, P=0.000). The change in median
glomerular filtration rate (eGFR) compared to baseline in the LTD and ETV groups
was 22.3 and -3.3, respectively, at 2 years (P=0.000). In patients with mild
nephritic injury (eGFR< 90 ml/min/1.73m2), the median eGFR increased by 28.0
ml/min/1.73m2 in the LDT group and decreased by 4.3 ml/min/1.73m2 in the ETV
group (p=0.000). The eGFR in 88.5% of patients (23/26) from the LDT group
increased > 90 ml/min/1.73m2. The percentage of patients with an eGFR > 90
ml/min/1.73m2 increased from 60.0% to 92.3% in the LDT group and from 64.6% to
69.2% in the ETV group. CONCLUSION: In patients with HBV-related compensated
cirrhosis, LDT treatment was more effective in protecting nephritic function and
was associated with a higher drug resistance rate, but did not contribute to a
better outcome compared with ETV treatment.
PMID- 27866197
TI - Rs56288038 (C/G) in 3'UTR of IRF-1 Regulated by MiR-502-5p Promotes Gastric
Cancer Development.
AB - BACKGROUND/AIMS: Interferon regulatory factor 1 (IRF-1) has been shown to
function as a transcriptional activator or repressor of a variety of target
genes. However, its upstream, non-coding RNA-related regulatory capacity remains
unknown. In this study, we focus on the miRNA-associated single nucleotide
polymorphisms (SNPs) in the 3'untranslated region (UTR) of IRF-1 to further
investigate the functional relationship and potential diagnostic value of the
SNPs and miRNAs among Chinese gastric cancer (GC) patients. METHODS: We performed
a case-control study with 819 GC patients and 756 cancer-free controls.
Genotyping by realtime PCR assay, cell transfection, and the dual luciferase
reporter assay were used in our study, and the 5-year overall survival rate and
relapse-free survival rate in different groups were investigated. RESULTS: We
found that patients suffering from Helicobacter pylori (Hp) infection were the
susceptible population compared to controls. SNP rs56288038 (C/G) in IRF-1 3'UTR
was involved in the occurrence of GC by acting as a tumor promoter factor. SNP
rs56288038 (C/G) could be up-regulated by miR-502-5p, which caused a down
regulation of IRF-1 in cell lines and decreased apoptosis induced by IFN-gamma.
Carrying the G genotype was related to significantly low expression of IRF-1 and
Hp infection, poor differentiation, big tumor size, invasion depth, as well as
the high probability of metastasis, and moreover, the C/G SNP was associated with
shorter survival of GC patients with five years of follow-up study. CONCLUSIONS:
our findings have shown that the SNP rs56288038 (C/G) in IRF-1 3'UTR acted as a
promotion factor in GC development through enhancing the regulatory role of miR
502-5p in IRF-1 expression.
PMID- 27866196
TI - Down-Regulation of Protein Kinase C-epsilon by Prolonged Incubation with PMA
Inhibits the Proliferation of Vascular Smooth Muscle Cells.
AB - BACKGROUND/AIMS: Phorbol myristate acetate (PMA) exerts a pleiotropic effect on
the growth and differentiation of various cells. Protein kinase Cs (PKCs) plays a
central role in mediating the effects of PMA on cells. The present study
investigated whether the down-regulation of protein kinase C-epsilon (PKC
epsilon) is involved in the inhibition of vascular smooth muscle cell (VSMC)
proliferation caused by prolonged PMA incubation. METHODS: Using cell counting,
Cell Counting Kit-8 (CCK-8) and EdU incorporation assay on VSMCs, we evaluated
the inhibitory effects of prolonged incubation of PMA, of lentiviruses carrying
the short-hairpin RNAs (shRNA) of PKC-epsilon and of the PKC-epsilon inhibitor
peptide on the proliferation and viability of cells. The effect of PKC-epsilon
down-regulation on growth of rat breast cancer SHZ-88 cells was also measured.
RESULTS: The prolonged incubation of VSMCs with PMA for up to 72 hours resulted
in attenuated cell growth rates in a time-dependent manner. The expression of PKC
epsilon, as assessed by Western blotting, was also decreased accordingly.
Notably, the number of EdU-positive cells and the cell viability of VSMCs were
decreased by shRNA of PKC-epsilon and the PKC-epsilon inhibitor peptide,
respectively. The proliferation of rat breast cancer SHZ-88 cells was also
attenuated by lentivirus-induced shRNA silencing of PKC-epsilon. CONCLUSIONS:
Prolonged incubation of PMA can inhibit the expression of PKC-epsilon. The effect
results in the inhibition of VSMC proliferation. PKC-epsilon silencing can also
attenuate breast cancer cell growth, suggesting that PKC-epsilon may be a
potential target for anti-cancer drugs.
PMID- 27866198
TI - Proteomic Analysis and Identification of Paracrine Factors in Mesenchymal Stem
Cell-Conditioned Media under Hypoxia.
AB - BACKGROUND/AIMS: We previously showed that a hypoxic environment modulates the
antiarrhythmic potential of mesenchymal stem cells. METHODS: To investigate the
mechanism by which secreted proteins contribute to the pathogenesis of
antiarrhythmic potential in mesenchymal stem cells, we used two-dimensional
electrophoresis combined with MALDI-TOF-MS to perform a proteomic analysis to
compare the paracrine media produced by normoxic and hypoxic cells. RESULTS: The
proteomic analysis revealed that 66 protein spots out of a total of 231 matched
spots indicated differential expression between the normoxic and hypoxic
conditioned media of mesenchymal stem cells. Interestingly, two tropomyosin
isoforms were dramatically increased in the hypoxic conditioned medium of
mesenchymal stem cells. An increase in tropomyosin was confirmed using Western
blot to analyze the conditioned media between normoxic and hypoxic cells. In a
network analysis based on gene ontology (GO) Molecular Function by GeneMANIA
analysis, most of the identified proteins were found to be involved in the
regulation of heart processes. CONCLUSION: Our results show that hypoxia up
regulates tropomyosin and other secreted proteins which suggests that tropomyosin
may be involved in regulating proarrhythmic and antiarrhythmic functions.
PMID- 27866199
TI - The Experience of Relations in Persons with Dementia: A Systematic Meta
Synthesis.
AB - BACKGROUND: Dementia influences a person's experience of social relationships, as
described in several studies. In this systematic meta-synthesis of qualitative
studies, we aim to interpret and synthesize the experiences of persons with
dementias and their relations with others. SUMMARY: Living with dementia changes
life, leading to new social roles and different social statuses. Persons with
dementia experience being disconnected and dependent on others, feeling like
being a burden, and being a person who is treated in paternalistic ways. Family,
friends and others with dementia might play significant roles in their ability to
maintain a meaningful life. Key Messages: Three categories emerged from the data,
change in life, change in relations, and maintenance of meaningful aspects in
life; these categories are intertwined and essential in sustaining a lifeline for
persons with dementia. The comprehensive meaning of the material is understood as
the expression: Living a meaningful life in relational changes.
PMID- 27866200
TI - Implementation of a Simplified Regional Citrate Anticoagulation Protocol for Post
Dilution Continuous Hemofiltration Using a Bicarbonate Buffered, Calcium
Containing Replacement Solution.
AB - BACKGROUND/AIMS: Recent updates to the Nikkiso Aquarius continuous renal
replacement therapy (CRRT) platform allowed us to develop a post-dilution
protocol for regional citrate anticoagulation (RCA) using standard bicarbonate
buffered, calcium containing replacement solution with acid citrate dextrose
formula-A as a citrate source. Our objective was to demonstrate that the protocol
was safe and effective. METHODS: Prospective audit of consecutive patients
receiving RCA for CRRT within intensive care unit, who were either
contraindicated to heparin or had poor filter lifespan (<12 h for 2 consecutive
filters) on heparin. RESULTS: We present the first 29 patients who used 98
filters. After excluding 'non-clot' filter loss, 50% had a duration of >27 h.
Calcium supplementation was required for 30 (30%) filter circuits, in 17 of 29
(58%) patients. One patient discontinued the treatment due to metabolic
alkalosis, but there were no adverse bleeding events. CONCLUSION: Post-dilution
RCA system is effective and simple to use on the Aquarius platform and results in
comparable filter life for patients relatively contraindicated to heparin.
PMID- 27866201
TI - Comparative Analysis of Gene Regulatory Network Components in the Auditory
Hindbrain of Mice and Chicken.
AB - The neurons in the mammalian and avian auditory hindbrain nuclei share a number
of significant morphological and physiological properties for fast, secure and
precise neurotransmission, such as giant synapses, voltage-gated K+ channels and
fast AMPA receptors. Based on the independent evolution of the middle ear in
these two vertebrate lineages, on different embryonic origins of the nuclei and
on marked differences on the circuit level, these similarities are assumed to
reflect convergent evolution. Independent acquisition of similar phenotypes can
be produced by divergent evolution of genetic mechanisms or by similar molecular
mechanisms. The distinction between these two possibilities requires knowledge of
the gene regulatory networks (GRNs) that orchestrate the development of auditory
hindbrain structures. We therefore compared the expression pattern of GRN
components, both transcription factors (TFs) and noncoding RNA, during terminal
differentiation of the auditory hindbrain structures in mouse and chicken when
neurons acquire their final morphological and electrophysiological properties. In
general, we observed broad expression of these genes in the mouse auditory
cochlear nucleus complex and the superior olivary complex at both postnatal day 4
(P4) and at P25, and for the chicken at the equivalent developmental stages, i.e.
embryonic day 13 (E13) and at P14-P17. Our data are in agreement with a model
based on similar molecular mechanisms underlying terminal differentiation and
maintenance of neuronal cell identity in the auditory hindbrain of different
vertebrate lineages. This conservation might reflect developmental constraints
arising from the tagmatic organization of rhombomeres and the evolutionarily
highly conserved GRNs operating in these structures.
PMID- 27866202
TI - The Evolution of Thyroid Function after Presenting with Hashimoto Thyroiditis Is
Different between Initially Euthyroid Girls with and Those without Turner
Syndrome.
AB - AIM: To prospectively investigate, during a 5-year follow-up, whether the
prognosis of thyroid function with Hashimoto thyroiditis (HT) is different in
euthyroid girls with Turner syndrome (TS) than in euthyroid girls without TS.
DESIGN: In 66 TS girls and 132 non-TS girls with euthyroid HT and similar thyroid
functional test results at HT diagnosis, we followed up the evolution of thyroid
status over time. RESULTS: At the end of follow-up, the TS girls exhibited higher
TSH levels, lower fT4 levels, and lower prevalence rates of both euthyroidism and
subclinical hypothyroidism, but higher prevalence rates of both overt
hypothyroidism and hyperthyroidism, irrespective of the karyotype. CONCLUSIONS:
An association with TS is able to impair the long-term prognosis of thyroid
function in girls with HT. Such an effect occurs irrespective of thyroid
functional test results at HT diagnosis and is not necessarily linked with a
specific karyotype.
PMID- 27866204
TI - More Attention Should Be Paid to Monitoring of Abdominal Obesity among Children
and Adolescents.
PMID- 27866203
TI - Frontal Assessment Battery as a Useful Tool to Differentiate Mild Cognitive
Impairment due to Subcortical Ischemic Vascular Disease from Alzheimer Disease.
AB - BACKGROUND: Prominent executive dysfunction can differentiate vascular dementia
from Alzheimer disease (AD). However, it is unclear whether the Frontal
Assessment Battery (FAB) screening tool can differentiate subcortical ischemic
vascular disease (SIVD) from AD at the pre-dementia stage. In addition, the
neural correlates of FAB performance have yet to be clarified. METHODS: Patients
with mild cognitive impairment (MCI) due to SIVD (MCI-V), MCI due to AD (MCI-A),
and demographically matched controls completed the Mini-Mental State Examination,
Taiwanese FAB (TFAB), Category Fluency, and Chinese Version of the Verbal
Learning Test, and underwent magnetic resonance imaging. White matter
hyperintensities were rated according to the Scheltens scale. RESULTS: TFAB total
scale and its Orthographical Fluency subtest were the only measures that could
differentiate MCI-V from MCI-A. Discriminative analysis showed that
Orthographical Fluency scores successfully identified 73.2% of the cases with MCI
V, with 85.0% sensitivity. Orthographical Fluency scores were specifically
associated with lesion load within frontal periventricular, frontal deep white
matter, and basal ganglia regions. CONCLUSION: The TFAB, and especially its 1-min
Orthographical Fluency subtest, is a useful screening procedure to differentiate
MCI due to SIVD from MCI due to AD. The discriminative ability is probably due to
frontosubcortical white matter pathologies disproportionately involved in the two
disease entities.
PMID- 27866205
TI - Disparities in Kidney Transplantation Access among Korean Patients Initiating
Dialysis: A Population-Based Cohort Study Using National Health Insurance Data
(2003-2013).
AB - BACKGROUND: The socioeconomic status of a person has an impact on his or her
access to kidney transplantation as has been reported in western countries. This
study examined the association between income level and kidney transplantation
among chronic kidney disease patients undergoing dialysis in South Korea.
METHODS: We analyzed data from 1,792 chronic kidney disease patients undergoing
dialysis and listed in the Korean National Health Insurance Claim Database (2003
2013). The likelihood of receiving the first kidney transplant over time was
analyzed using competing risk proportional hazard models on time from initiating
dialysis to receiving a transplant. RESULTS: Of 1,792 patients on dialysis, only
184 patients (10.3%) received kidney transplants. Patients with medical aid had
the lowest kidney transplantation rate (hazard ratio 0.29, 95% CI 0.16-0.51). A
lower income level was significantly associated with a low kidney transplantation
rate, after adjusting for covariates, compared to patients in the high-income
level group. CONCLUSIONS: Our findings indicate that in South Korea, the total
number of kidney transplants is remarkably low and there exists income disparity
with regard to access to kidney transplantation. Thus, we suggest that plans be
implemented to encourage organ donation and increase organ transplant
accessibility for all patients irrespective of their socioeconomic status.
PMID- 27866208
TI - Kidney Cancer Linked to Chronic Hepatitis in the Asia-Pacific: A Population-Based
Analysis.
AB - BACKGROUND: The association of renal cancer with viral hepatitis infection
remains unclear. Using an insurance data set, this population-based case-control
study evaluated the association of renal cancer with chronic hepatitis virus
infection in an endemic area of hepatitis B virus (HBV) and hepatitis C virus
(HCV) infection. METHODS: We enrolled 17,747 patients with renal cancer during
the period from 2000 to 2011 from the National Health Insurance Research Database
of Taiwan. The control group comprised 35,494 randomly selected people without
renal cancer matched by age and gender to the patients in the study group. ORs
were calculated to assess the association of chronic hepatitis virus infection
with renal cancer by using logistic regression analysis. RESULTS: Renal cancer
was associated with HBV and HCV infection (OR 1.38, 95% CI 1.24-1.54; OR 1.24,
95% CI 1.07-1.44, respectively). An analysis stratified by gender and age
revealed that young male HBV carriers had a higher risk of renal cancer compared
with men without viral hepatitis (age <55 years: OR 1.94, 95% CI 1.57-2.39; 55<=
age <64 years: OR 1.40, 95% CI 1.05-1.86). Male HCV-infected patients aged <55
years (OR 1.90, 95% CI 1.11-3.26) and female HCV carriers aged between 55 and 64
years (OR 1.59, 95% CI 1.00-2.53) had a significantly higher risk of renal cancer
compared with their counterparts. CONCLUSIONS: Renal cancer is significantly
associated with chronic hepatitis infection, particularly in younger HBV-infected
men.
PMID- 27866206
TI - Genome-Wide STAT3 Binding Analysis after Histone Deacetylase Inhibition Reveals
Novel Target Genes in Dendritic Cells.
AB - STAT3 is a master transcriptional regulator that plays an important role in the
induction of both immune activation and immune tolerance in dendritic cells
(DCs). The transcriptional targets of STAT3 in promoting DC activation are
becoming increasingly understood; however, the mechanisms underpinning its role
in causing DC suppression remain largely unknown. To determine the functional
gene targets of STAT3, we compared the genome-wide binding of STAT3 using ChIP
sequencing coupled with gene expression microarrays to determine STAT3-dependent
gene regulation in DCs after histone deacetylase (HDAC) inhibition. HDAC
inhibition boosted the ability of STAT3 to bind to distinct DNA targets and
regulate gene expression. Among the top 500 STAT3 binding sites, the frequency of
canonical motifs was significantly higher than that of noncanonical motifs.
Functional analysis revealed that after treatment with an HDAC inhibitor, the
upregulated STAT3 target genes were those that were primarily the negative
regulators of proinflammatory cytokines and those in the IL-10 signaling pathway.
The downregulated STAT3-dependent targets were those involved in immune effector
processes and antigen processing/presentation. The expression and functional
relevance of these genes were validated. Specifically, functional studies
confirmed that the upregulation of IL-10Ra by STAT3 contributed to the
suppressive function of DCs following HDAC inhibition.
PMID- 27866209
TI - Local Ecological Knowledge about Endangered Primates in a Rural Community in
Paraiba, Brazil.
AB - The study of local ecological knowledge (LEK) fosters a better understanding of
the relationship between humans and the environment. We assessed respondents'
ecological knowledge of primates in a rural community located near the Atlantic
Forest remnants in the state of Paraiba, Brazil. Populations of Alouatta belzebul
(red-handed howler monkeys), Sapajus flavius (blonde capuchins), and Callithrix
jacchus (the common marmoset) inhabit the region. We conducted 200 semi
structured interviews and applied thematic content analysis, with weighting, to
the responses to quantify the LEK. Respondents showed a low LEK, despite the
community's proximity to forest remnants. However, the LEK was significantly
higher among men, as well as among those who had a greater degree of contact with
the primates. Age did not influence LEK. The studied community apparently does
not intensively exploit the forest resources nor does it economically depend on
primates, which may explain these individuals' low levels of knowledge about
these animals. Such data may support future studies, as well as environmental
education and action plans, especially for A. belzebul and S. flavius, both of
which are endangered species and targets of the National Action Plan for the
Conservation of the Primates of the Northeast.
PMID- 27866207
TI - Post-Stroke Fatigue May Be Associated with the Promoter Region of a Monoamine
Oxidase A Gene Polymorphism.
AB - BACKGROUND: Post-stroke fatigue (PSF) is a common sequela of stroke. Despite
reports of serotonergic involvement in the etiology of PSF, the potential
contribution of serotonergic genes in the development of PSF needs to be
investigated. METHODS: A total of 373 patients, who experienced ischemic stroke
for PSF, were evaluated 3 months after the stroke. PSF was assessed using the
Fatigue Severity Scale. The genomic DNA collected and stored in a -70 degrees C
freezer was genotyped for 6 polymorphisms in genes associated with serotonin
synthesis (tryptophan hydroxylase 1 (TPH1) A218C, TPH2 rs10879355, and TPH2
rs4641528), transport (the promoter region of the serotonin transporter protein),
and catabolism (the 30-bp functional variable number tandem repeat) polymorphism
in the promoter region of monoamine oxidase A (MAO-A). RESULTS: Among the 373
patients, 164 (44%) had PSF. All patients were ethnic Koreans. Of the 6
polymorphisms examined, only one marker, that is, low-activity MAO-A was
associated with PSF (p < 0.05) in female patients. Multiple logistic regression
analyses showed that post-stroke depression (PSD; 95% CI 1.561-14.323, p = 0.006)
and low MAO-A activity (95% CI 0.166-0.722, p = 0.005) were factors associated
with PSF in female patients, whereas only PSD (95% CI 5.511-65.269, p = 0.000)
was associated with PSF in male patients. CONCLUSIONS: Our findings suggest that
PSF may be associated with a genetic polymorphism involving MAO-A, at least in
female stroke patients.
PMID- 27866210
TI - Body Composition in Very Preterm Infants: Role of Neonatal Characteristics and
Nutrition in Achieving Growth Similar to Term Infants.
AB - BACKGROUND: The identification of factors involved in the postnatal growth of
preterm infants will help achieve growth similar to that of term infants.
OBJECTIVES: As per protocol: to compare body composition in very preterm infants
at term-corrected age (TCA) with that in term infants, and to explore
relationships between neonatal characteristics and body composition in preterm
infants. METHODS: Anthropometry, nutritional characteristics, and neonatal
outcomes were prospectively collected in 26 preterm (<29 weeks) and 33 term (37
40 weeks) infants. Body composition using dual-energy X-ray absorptiometry (DXA)
was measured at TCA in preterm infants and between days 7 and 10 in term infants.
RESULTS: Parenteral nutrition in preterm infants provided a mean of 2.9 +/- 0.2
and 2.1 +/- 0.5 g/kg/day of intravenous amino acids and lipids, respectively,
during the first week of life. The mean weight gain velocity from birth to DXA
assessment was 12.1 +/- 1.4 g/kg/day. Compared with term infants, preterm infants
at TCA were shorter and lighter, with a smaller head circumference, a lower
weight estimated by DXA (2,960 +/- 552 vs. 3,843 +/- 377 g), and increased
skinfold thicknesses. Fat mass percent (13.9 +/- 5.4%) and lean mass percent
(84.7 +/- 5.6%) in preterm infants were similar to those in term infants (14.7 +/
3.5 and 83.5 +/- 3.6%, respectively). Neonatal weight gain velocity in preterm
infants was positively associated with lean mass (grams). CONCLUSION:
Subcutaneous fat is increased in preterm infants. Higher protein intake in
preterm infants might increase weight gain velocity and achieve a lean mass
comparable to that of term infants.
PMID- 27866212
TI - Expression of IL-1alpha and IL-6 is Associated with Progression and Prognosis of
Human Cervical Cancer.
AB - BACKGROUND IL-1alpha and IL-6 are associated with the prognosis of a wide range
of cancers, but their value in cervical cancer remains controversial. The aim of
this study was to investigate the expression of IL-1alpha and IL-6 in cervical
cancer and their significance in clinical prognosis. MATERIAL AND METHODS The
expression of IL-1alpha and IL-6 in 105 formalin-fixed, paraffin-embedded
cervical cancer tissues and adjacent non-tumor tissues was examined by
immunohistochemistry. The results were semi-quantitatively scored and analyzed by
chi-square test. Patient overall survival (OS) data was collected by follow-up
and analyzed by Kaplan-Meier analysis. RESULTS The expression level of both IL
1alpha and IL-6 in cervical cancer tissue was higher than in adjacent non-tumor
tissues (p<0.05). IL-1alpha expression was shown to be correlated with tumor
size, FIGO histology grade, lymph node metastasis, stromal invasion, and tumor
differentiation (p<0.05). IL-6 expression was shown to be correlated with tumor
size, FIGO histology grade, and tumor differentiation (p<0.05). Patients with
positive expression of IL-1alpha or IL-6 tended to have much shorter survival
times than patients with negative expression. In addition, a multivariate Cox
regression analysis demonstrated that IL-1alpha expression and lymph node
metastasis were independent predictors of OS in cervical cancer patients.
CONCLUSIONS The expression of IL-1alpha was significantly associated with tumor
size, FIGO histology grade, lymph node metastasis, stromal invasion, and tumor
differentiation. The expression of IL-6 was significantly associated with tumor
size, FIGO histology grade, and tumor differentiation. Positive IL-1alpha and IL
6 expression was significantly correlated with poor prognosis. They may be
considered valuable biomarkers for prognosis and potential therapeutic targets
for cervical cancer.
PMID- 27866211
TI - Single-Nucleotide Polymorphisms of Genes Involved in Repair of Oxidative DNA
Damage and the Risk of Recurrent Depressive Disorder.
AB - BACKGROUND Depressive disorder, including recurrent type (rDD), is accompanied by
increased oxidative stress and activation of inflammatory pathways, which may
induce DNA damage. This thesis is supported by the presence of increased levels
of DNA damage in depressed patients. Such DNA damage is repaired by the base
excision repair (BER) pathway. BER efficiency may be influenced by polymorphisms
in BER-related genes. Therefore, we genotyped nine single-nucleotide
polymorphisms (SNPs) in six genes encoding BER proteins. MATERIAL AND METHODS
Using TaqMan, we selected and genotyped the following SNPs: c.-441G>A (rs174538)
of FEN1, c.2285T>C (rs1136410) of PARP1, c.580C>T (rs1799782) and c.1196A>G
(rs25487) of XRCC1, c.*83A>C (rs4796030) and c.*50C>T (rs1052536) of LIG3, c.
7C>T (rs20579) of LIG1, and c.-468T>G (rs1760944) and c.444T>G (rs1130409) of
APEX1 in 599 samples (288 rDD patients and 311 controls). RESULTS We found a
strong correlation between rDD and both SNPs of LIG3, their haplotypes, as well
as a weaker association with the c.-468T>G of APEXI which diminished after Nyholt
correction. Polymorphisms of LIG3 were also associated with early onset versus
late onset depression, whereas the c.-468T>G polymorphism showed the opposite
association. CONCLUSIONS The SNPs of genes involved in the repair of oxidative
DNA damage may modulate rDD risk. Since this is an exploratory study, the results
should to be treated with caution and further work needs to be done to elucidate
the exact involvement of DNA damage and repair mechanisms in the development of
this disease.
PMID- 27866213
TI - Is overlap of respiratory and limb muscle weakness at weaning from mechanical
ventilation associated with poorer outcomes?
PMID- 27866214
TI - Vesicouterine fistula: teaching video on diagnosis and surgical treatment.
AB - INTRODUCTION: A 42-year-old woman presented with urinary incontinence 9 years
after the last of four vaginal deliveries. She had also had one Caesarean
section. Immediately after the last delivery, she presented with haematuria,
which resolved within a few hours, but the drain remained prophylactically for 7
days. Nine years later, she was referred to a specialist hospital. METHOD: The
patient presented with continuous urinary incontinence, and physical examination
revealed a loss of urine from the vagina, the latter confirmed by a methylene
blue test showing loss of urine from the uterine cervix. Other diagnostic
techniques used were cystography, cystoscopy and uro-CT. Based on a literature
review of the management options for such patients and the relevant clinical
details of our patient, a decision was made to perform a total abdominal
hysterectomy and fistula repair. RESULTS AND DISCUSSION: Six months following
surgery, the results were entirely satisfactory, with full urinary continence and
significant improvement in the patient's quality of life. A discussion about
controversial approaches to diagnosis and management is included.
PMID- 27866215
TI - Impact of body mass index on risk of acute kidney injury and mortality in elderly
patients undergoing hip fracture surgery.
AB - : The literature is limited regarding risk factors for acute kidney injury (AKI)
and mortality in hip fracture patients, although AKI is common in these patients.
While obese patients were at increased risk of AKI, underweight patients with and
without AKI had elevated mortality for up to 1 year after hip fracture surgery,
compared with normal-weight patients. INTRODUCTION: This study aimed to examine
risk of postoperative AKI and subsequent mortality, by body mass index (BMI)
level, in hip fracture surgery patients aged 65 and over. METHODS: A regional
cohort study using medical databases was used. We included all patients who
underwent surgery to repair a hip fracture during the years 2005-2011 (n =
13,529) at hospitals in Northern Denmark. We calculated cumulative risk of AKI by
BMI level during 5 days postsurgery and subsequent short-term (6-30 days
postsurgery) and long-term (31-365 days post-surgery) mortality. We calculated
crude and adjusted hazard ratios (aHRs) for AKI and death with 95% confidence
intervals (CIs), comparing underweight, overweight, and obese patients with
normal-weight patients. RESULTS: Risks of AKI within five postoperative days were
11.9, 10.1, 12.5, and 17.9% for normal-weight, underweight, overweight, and obese
patients, respectively. Among those who developed AKI, short-term mortality was
14.1% for normal-weight patients compared to 23.1% for underweight (aHR 1.7 (95%
CI 1.2-2.4)), 10.7% for overweight (aHR 0.9 (95% CI 0.6-1.1)), and 15.2% for
obese (aHR 0.9 (95% CI 0.6-1.4)) patients. Long-term mortality was 24.5% for
normal-weight, 43.8% for underweight (aHR 1.6 (95% CI 1.0-2.6)), 20.5% for
overweight (aHR 0.8 (95% CI 0.6-1.2)), and 21.4% for obese (aHR 1.1 (95% CI 0.7
1.8) AKI patients. Similar associations between BMI and mortality were observed
among patients without postoperative AKI, although the absolute mortality risk
estimates by BMI were considerably lower in patients without than in those with
AKI. CONCLUSIONS: Obese patients were at increased risk of AKI compared with
normal-weight patients. Among patients with and without postoperative AKI,
overweight and obesity were not associated with mortality. Compared to normal
weight patients, underweight patients had elevated mortality for up to 1 year
after hip fracture surgery irrespective of the presence of AKI. The absolute
mortality risks were higher in all BMI groups with the presence of AKI.
PMID- 27866217
TI - Osteonecrosis of the jaw (ONJ) and atypical femoral fracture (AFF) in an
osteoporotic patient chronically treated with bisphosphonates.
AB - The aim of the study is to report the rare association of two complications of
long-term treatment of osteoporosis with bisphosphonates in the same Caucasian
elderly patient. A female patient of Italian descent, age 87 years, consulted in
February 2013. She had a history of osteoporosis and had taken alendronate weekly
for 7 years (1999-2006). Due to low back pain, an orthopedist had indicated i.v.
zoledronic acid, 5 mg/year for 3 years (2006-2008). She received occasional
supplements of ergocalciferol. In 2009, she suffered a fall and sustained a
subtrochanteric fracture of the left femur. She was operated on and recovered
uneventfully. In 2012, she consulted a dentist due to loose teeth. She underwent
the removal of a molar and was given a denture. She had discomfort when using the
prosthesis, and developed an ulceration in the gum of the mandible, which exposed
the bone and did not heal for 2 months. After radiologic studies, the diagnosis
was osteonecrosis of the jaw. She improved after surgical debridement and local
and systemic antibiotics. In early 2013, laboratory tests were normal except for
a slight elevation of serum PTH and CTX-I. Calcitriol 0.25 mcg/day was
prescribed; after 3 months serum calcium, phosphate, PTH, and CTX-I showed no
variation. Two years later, she experienced acute low back pain after a fall; MRI
showed recent crushing of D12, and chronic deformities of D11 and L1. Bone
densitometry of her right hip (DXA) showed a T-score of -2.3 at the femoral neck.
An X-ray film of the right femur showed diffuse thickening of both cortices. She
was treated with nasal calcitonin and analgesics. After the back pain subsided,
she was treated with s.c. denosumab. Although the association of ONJ and AFF was
known in cancer patients treated with high doses of bisphosphonates, it is very
rare in patients with osteoporosis receiving these drugs at usual doses. Only
three cases have been reported, all in oriental women. This appears to be the
first reported case in a Caucasian woman.
PMID- 27866216
TI - Association of circulating dipeptidyl-peptidase 4 levels with osteoporotic
fracture in postmenopausal women.
AB - : Postmenopausal women with osteoporotic fracture (OF) had higher plasma
dipeptidyl-peptidase 4 (DPP4) levels than those without. Furthermore, higher
plasma DPP4 levels were significantly associated with higher bone turnover and a
higher prevalence of OF. These results indicated that DPP4 may be associated with
OF by mediating bone turnover rate. INTRODUCTION: Evidence indicates that
dipeptidyl-peptidase 4 (DPP4) plays a distinct role in bone metabolism. However,
there has been no report on the association, if any, between circulating DPP4
levels and osteoporosis-related phenotypes, including osteoporotic fracture (OF).
Therefore, we performed a case-control study to investigate these associations in
postmenopausal women. METHODS: This study was conducted in multiple centers in
Korea. We enrolled 178 cases with OF and 178 age- and body mass index-matched
controls. OF was assessed by an interviewer-assisted questionnaire and lateral
thoracolumbar radiographs. Bone turnover markers (BTMs), bone mineral density
(BMD), and plasma DPP4 levels were obtained in all subjects. RESULTS: After
adjustment for potential confounders, subjects with OF had significantly higher
DPP4 levels than those without (P = 0.021). Higher DPP4 levels were significantly
positively associated with higher levels of all BTMs, but not with BMD at all
measured sites. The differences in DPP4 levels according to OF status disappeared
after an additional adjustment for each BTM, but not after adjustment for any BMD
values. BTMs explained approximately half of the relationship between DPP4 and
OF. The risk of OF was 3.80-fold (95% confidence interval = 1.53-9.42) higher in
subjects in the highest DPP4 quartile than in those in the lowest quartile after
adjustment for potential confounders, including femoral neck BMD. CONCLUSIONS:
DPP4 may be associated with OF by at least partly mediating the bone turnover
rate. Circulating DPP4 levels may be a potential biomarker that could increase
the predictive power of current fracture risk assessment models.
PMID- 27866218
TI - Prevalence of negative CT scans in a level one trauma center.
AB - PURPOSE: The rise of computed tomography (CT) use in trauma has become the
subject of concern given the harms of CT including radiation, cost, over
diagnosis and identification of incidental lesions. We developed a novel metric,
the Negative CT Score, (?CT-) which quantifies how often CT imaging identifies
important injuries. Our objective was to describe the pattern of CT utilization
in trauma at an urban academic level one trauma center using this novel metric.
METHODS: This was a retrospective study of intermediate level trauma patients who
received CT imaging over a 1-year study period at an urban level one trauma
center. We applied the Negative CT Score, (?CT-) to quantify the results of CT
imaging. ?CT- is computed by subtracting the number of non-extremity body regions
(maximum four: head, neck, chest, abdomen) with an important positive CT finding
(defined by a priori criteria) from the total number of non-extremity body
regions scanned. RESULTS: Of the 552 cases reviewed during the study period, 410
(74.3%) were male and the mean age was 40.3 years [SD +/- 21.2]. Four hundred
eighty-six patients (88.0%) suffered blunt trauma; 66 (12.0%) suffered
penetrating trauma. The average injury severity score for admitted patients was
seven. Four hundred ninety-five cases had at least one CT performed. The average
number of regions per patient that received CT imaging was 2.36 (SD +/- 1.3), and
the average ?CT- was 2.10 (SD +/- 1.2). Three hundred and sixty-seven (74.3%)
patients had no important findings on CT imaging. CONCLUSIONS: In a consecutive
series of 552 intermediate trauma patients at our urban trauma center, 2.36 body
regions were scanned per patient; of these, 2.10 regions revealed no important CT
findings. We hope that these results and the Negative CT Score can be used to
identify trends, variations in practice, and outliers within and across
departments so that CT utilization can be optimized.
PMID- 27866219
TI - Mental health of children who work on the streets in Brazil after enrollment in a
psychosocial program.
AB - PURPOSE: To evaluate the mental health status of children working on the streets
in Sao Paulo City, Brazil, two years after their participation in a psychosocial
program, and to identify factors associated with their mental health status.
METHODS: From a total sample of 126 children working on the streets, 107 (85%)
were re-evaluated two years after the initiation of a psychosocial program which
aimed to cease their work on the streets. The focus was the presence of mental
health problems, defined based on a screening instrument (Strengths and
Difficulties Questionnaire). Logistic regression models tested factors related to
the probability that a child would not present mental health problems at follow
up. RESULTS: The likelihood of a child presenting mental health problems was
higher at baseline compared to the two-year follow-up (67.5 and 56.1%,
respectively). Absence of mental health problems two years after a psychosocial
intervention was significantly correlated with the following baseline factors:
lower level of caregiver's psychiatric symptoms as measured by the SRQ (Self
Report Questionnaire) (AOR = 0.84, p = 0.0065), absence of child physical neglect
(AOR = 0.38, p = 0.0705) and parental Protestant religion affiliation, compared
to other religions (AOR = 4.06; p = 0.0107). CONCLUSIONS: Different factors are
related to the absence of mental health problems of children working on the
streets after enrollment in a two-year psychosocial program. Our findings suggest
that interventions that aim to improve child mental health should consider the
detection of psychiatric symptoms in caregivers, provide treatment when it is
needed, and also assess other problems such as neglect in the family setting.
PMID- 27866222
TI - The cytoskeletal protein septin 11 is associated with human obesity and is
involved in adipocyte lipid storage and metabolism.
AB - AIMS/HYPOTHESIS: Septins are newly identified members of the cytoskeleton that
have been proposed as biomarkers of a number of diseases. However, septins have
not been characterised in adipose tissue and their relationship with obesity and
insulin resistance remains unknown. Herein, we characterised a member of this
family, septin 11 (SEPT11), in human adipose tissue and analysed its potential
involvement in the regulation of adipocyte metabolism. METHODS: Gene and protein
expression levels of SEPT11 were analysed in human adipose tissue. SEPT11
distribution was evaluated by immunocytochemistry, electron microscopy and
subcellular fractionation techniques. Glutathione S-transferase (GST) pull-down,
immunoprecipitation and yeast two-hybrid screening were used to identify the
SEPT11 interactome. Gene silencing was used to assess the role of SEPT11 in the
regulation of insulin signalling and lipid metabolism in adipocytes. RESULTS: We
demonstrate the expression of SEPT11 in human adipocytes and its upregulation in
obese individuals, with SEPT11 mRNA content positively correlating with variables
of insulin resistance in subcutaneous adipose tissue. SEPT11 content was
regulated by lipogenic, lipolytic and proinflammatory stimuli in human
adipocytes. SEPT11 associated with caveolae in mature adipocytes and interacted
with both caveolin-1 and the intracellular fatty acid chaperone, fatty acid
binding protein 5 (FABP5). Lipid loading of adipocytes caused the association of
the three proteins with the surface of lipid droplets. SEPT11 silencing impaired
insulin signalling and insulin-induced lipid accumulation in adipocytes.
CONCLUSIONS/INTERPRETATION: Our findings support a role for SEPT11 in lipid
traffic and metabolism in adipocytes and open new avenues for research on the
control of lipid storage in obesity and insulin resistance.
PMID- 27866223
TI - Circulating microRNA levels predict residual beta cell function and glycaemic
control in children with type 1 diabetes mellitus.
AB - AIMS/HYPOTHESIS: We aimed to identify circulating microRNA (miRNA) that predicts
clinical progression in a cohort of 123 children with new-onset type 1 diabetes
mellitus. METHODS: Plasma samples were prospectively obtained at 1, 3, 6, 12 and
60 months after diagnosis from a subset of 40 children from the Danish Remission
Phase Cohort, and profiled for miRNAs. At the same time points, meal-stimulated C
peptide and HbA1c levels were measured and insulin-dose adjusted HbA1c (IDAA1c)
calculated. miRNAs that at 3 months after diagnosis predicted residual beta cell
function and glycaemic control in this subgroup were further validated in the
remaining cohort (n = 83). Statistical analysis of miRNA prediction for disease
progression was performed by multiple linear regression analysis adjusted for age
and sex. RESULTS: In the discovery analysis, six miRNAs (hsa-miR-24-3p, hsa-miR
146a-5p, hsa-miR-194-5p, hsa-miR-197-3p, hsa-miR-301a-3p and hsa-miR-375) at 3
months correlated with residual beta cell function 6-12 months after diagnosis.
Stimulated C-peptide at 12 months was predicted by hsa-miR-197-3p at 3 months (p
= 0.034). A doubling of this miRNA level corresponded to a sixfold higher
stimulated C-peptide level. In addition, a doubling of hsa-miR-24-3p and hsa-miR
146a-5p levels at 3 months corresponded to a 4.2% (p < 0.014) and 3.5% (p <
0.022) lower IDAA1c value at 12 months. Analysis of the remaining cohort
confirmed the initial finding for hsa-miR-197-3p (p = 0.018). The target genes
for the six miRNAs revealed significant enrichment for pathways related to
gonadotropin-releasing hormone receptor and angiogenesis pathways.
CONCLUSIONS/INTERPRETATION: The miRNA hsa-miR-197-3p at 3 months was the
strongest predictor of residual beta cell function 1 year after diagnosis in
children with type 1 diabetes mellitus.
PMID- 27866221
TI - Inter-organ regulation of adipose tissue browning.
AB - Adaptive thermogenesis is an important component of energy expenditure. Brown
adipocytes are best known for their ability to convert chemical energy into heat.
Beige cells are brown-like adipocytes that arise in white adipose tissue in
response to certain environmental cues to dissipate heat and improve metabolic
homeostasis. A large body of intrinsic factors and external signals are critical
for the function of beige adipocytes. In this review, we discuss recent advances
in our understanding of neuronal, hormonal, and metabolic regulation of the
development and activation of beige adipocytes, with a focus on the regulation of
beige adipocytes by other organs, tissues, and cells. Understanding the cellular
and molecular mechanisms of inter-organ regulation of adipose tissue browning may
provide an avenue for combating obesity and associated diseases.
PMID- 27866225
TI - Characterization of a common wheat (Triticum aestivum L.) high-tillering dwarf
mutant.
AB - KEY MESSAGE: A novel high-tillering dwarf mutant in common wheat Wangshuibai was
characterized and mapped to facilitate breeding for plant height and tiller and
the future cloning of the causal gene. Tiller number and plant height are two
major agronomic traits in cereal crops affecting plant architecture and grain
yield. NAUH167, a mutant of common wheat landrace Wangshuibai induced by
ethylmethyl sulfide (EMS) treatment, exhibits higher tiller number and reduced
plant height. Microscope observation showed that the dwarf phenotype was
attributed to the decrease in the number of cells and their length. The same as
the wild type, the mutant was sensitive to exogenous gibberellins. Genetic
analysis showed that the high-tillering number and dwarf phenotype were related
and controlled by a partial recessive gene. Using a RIL2:6 population derived
from the cross NAUH167/Sumai3, a molecular marker-based genetic map was
constructed. The map consisted of 283 loci, spanning a total length of 1007.98 cM
with an average markers interval of 3.56 cM. By composite interval mapping, a
stable major QTL designated QHt.nau-2D controlling both traits, was mapped to the
short arm of chromosome 2D flanked by markers Xcfd11 and Xgpw361. To further map
the QHt.nau-2D loci, another population consisted of 180 F2 progeny from a cross
2011I-78/NAUH167 was constructed. Finally, QHt.nau-2D was located within a
genetic region of 0.8 cM between markers QHT239 and QHT187 covering a predicted
physical distance of 6.77 Mb. This research laid the foundation for map-based
cloning of QHt.nau-2D and would facilitate the characterization of plant height
and tiller number in wheat.
PMID- 27866226
TI - High accuracy of predicting hybrid performance of Fusarium head blight resistance
by mid-parent values in wheat.
AB - KEY MESSAGE: Mid-parent values of Fusarium head blight (FHB) resistance tested
across several locations are a good predictor of hybrid performance caused by a
preponderance of additive gene action in wheat. Hybrid breeding is intensively
discussed as one solution to boost yield and yield stability including an
enhanced biotic stress resistance. Our objectives were to investigate (1) the
heterosis for Fusarium head blight (FHB) resistance, (2) the importance of
general (GCA) vs. specific combining ability (SCA) for FHB resistance, and (3)
the possibility to predict the FHB resistance of the hybrids by the parental
means. We re-analyzed phenotypic data of a large population comprising 1604
hybrids and their 120 female and 15 male parental lines evaluated in inoculation
trials across seven environments. Mid-parent heterosis of FHB severity averaged
9%, with a range from -36 to +35%. Mean better parent heterosis was 2% and 78 of
the hybrids significantly (P < 0.05) outperformed the best commercial check
variety included in our study. FHB resistance was not correlated with grain yield
in healthy status for lines (r = 0.01) and hybrids (r = 0.09, P < 0.01). While a
preponderance of GCA variance (P < 0.01) was found, SCA variance was not
significantly different from zero. Accuracy to predict hybrid performance of FHB
severity based on mid-parent values and on GCA effects was high (r = 0.70 and
0.86, respectively; P < 0.01). Similarly, line per se performance and GCA effects
were significantly correlated (r = 0.77; P < 0.01). The substantial level of mid
parent heterosis in the desired direction of decreased susceptibility and the
negligible better parent heterosis suggest that hybrids are an attractive
alternative variety type to improve FHB resistance.
PMID- 27866224
TI - The beneficial effects of empagliflozin, an SGLT2 inhibitor, on atherosclerosis
in ApoE -/- mice fed a western diet.
AB - AIMS/HYPOTHESIS: A recent large clinical study has shown that empagliflozin has a
lower rate of cardiovascular and all-cause mortality when compared with placebo
in patients with type 2 diabetes. We investigated the effect of empagliflozin
(compared with glimepiride) on the progression of atherosclerosis, and its
possible mechanisms of action. METHODS: Forty-eight 5-week-old male ApoE -/- mice
were fed a western diet for 20 weeks and divided into four groups: control
(saline, 154 mmol/l NaCl), glimepiride 0.1 mg/kg, empagliflozin 1 mg/kg and
empagliflozin 3 mg/kg (n = 12/group). Plaque size and composition in the aortic
arch/valve areas and cardiovascular risk variables in the blood and tissues were
evaluated. Insulin resistance was estimated by HOMA and adiponectin levels. Body
composition was determined using dual-energy x-ray absorptiometry. RESULTS: After
8 weeks of treatment, the empagliflozin and glimepiride groups exhibited
decreased blood glucose levels. Atherosclerotic plaque areas in the aortic
arch/valve were significantly smaller in the empagliflozin groups than in the
control or glimepiride groups. Insulin resistance and circulating concentrations
of TNF-alpha, IL-6, monocyte chemoattractant protein-1 (MCP-1), serum amyloid A
and urinary microalbumin decreased after empagliflozin treatment, and this
significantly correlated with plaque size. Empagliflozin treatment reduced weight
and fat mass, lipid droplets in the liver, fat cell size, mRNA expression of Tnf,
Il6 and Mcp-1 (also known as Ccl2) and the infiltration of inflammatory cells in
plaque and adipose tissue compared with the control or glimepiride group.
Empagliflozin treatment increased adiponectin levels. CONCLUSIONS/INTERPRETATION:
Improvements in inflammation and insulin resistance seem to be mechanisms
involved in the mitigation of atherosclerosis by empagliflozin.
PMID- 27866227
TI - Genome-wide association mapping of resistance to eyespot disease
(Pseudocercosporella herpotrichoides) in European winter wheat (Triticum aestivum
L.) and fine-mapping of Pch1.
AB - KEY MESSAGE: Genotypes with recombination events in the Triticum ventricosum
introgression on chromosome 7D allowed to fine-map resistance gene Pch1, the main
source of eyespot resistance in European winter wheat cultivars. Eyespot (also
called Strawbreaker) is a common and serious fungal disease of winter wheat
caused by the necrotrophic fungi Oculimacula yallundae and Oculimacula acuformis
(former name Pseudocercosporella herpotrichoides). A genome-wide association
study (GWAS) for eyespot was performed with 732 microsatellite markers (SSR) and
7761 mapped SNP markers derived from the 90 K iSELECT wheat array using a panel
of 168 European winter wheat varieties as well as three spring wheat varieties
and phenotypic evaluation of eyespot in field tests in three environments. Best
linear unbiased estimations (BLUEs) were calculated across all trials and ranged
from 1.20 (most resistant) to 5.73 (most susceptible) with an average value of
4.24 and a heritability of H 2 = 0.91. A total of 108 SSR and 235 SNP marker
trait associations (MTAs) were identified by considering associations with a
log10 (P value) >=3.0. Significant MTAs for eyespot-score BLUEs were found on
chromosomes 1D, 2A, 2D, 3D, 5A, 5D, 6A, 7A and 7D for the SSR markers and
chromosomes 1B, 2A, 2B, 2D, 3B and 7D for the SNP markers. For 18 varieties
(10.5%), a highly resistant phenotype was detected that was linked to the
presence of the resistance gene Pch1 on chromosome 7D. The identification of
genotypes with recombination events in the introgressed genomic segment from
Triticum ventricosum harboring the Pch1 resistance gene on chromosome 7DL allowed
the fine-mapping of this gene using additional SNP markers and a potential
candidate gene Traes_7DL_973A33763 coding for a CC-NBS-LRR class protein was
identified.
PMID- 27866220
TI - Molecular basis of mycobacterial survival in macrophages.
AB - Macrophages play an essential role in the immune system by ingesting and
degrading invading pathogens, initiating an inflammatory response and instructing
adaptive immune cells, and resolving inflammation to restore homeostasis. More
interesting is the fact that some bacteria have evolved to use macrophages as a
natural habitat and tools of spread in the host, e.g., Mycobacterium tuberculosis
(Mtb) and some non-tuberculous mycobacteria (NTM). Mtb is considered one of
humanity's most successful pathogens and is the causal agent of tuberculosis,
while NTMs cause opportunistic infections all of which are of significant public
health concern. Here, we describe mechanisms by which intracellular pathogens,
with an emphasis on mycobacteria, manipulate macrophage functions to circumvent
killing and live inside these cells even under considerable immunological
pressure. Such macrophage functions include the selective evasion or engagement
of pattern recognition receptors, production of cytokines, reactive oxygen and
nitrogen species, phagosome maturation, as well as other killing mechanisms like
autophagy and cell death. A clear understanding of host responses elicited by a
specific pathogen and strategies employed by the microbe to evade or exploit
these is of significant importance for the development of effective vaccines and
targeted immunotherapy against persistent intracellular infections like
tuberculosis.
PMID- 27866228
TI - Fine mapping of the chromosome 5B region carrying closely linked rust resistance
genes Yr47 and Lr52 in wheat.
AB - KEY MESSAGE: Fine mapping of Yr47 and Lr52 in chromosome arm 5BS of wheat
identified close linkage of the marker sun180 to both genes and its robustness
for marker-assisted selection was demonstrated. The widely effective and
genetically linked rust resistance genes Yr47 and Lr52 have previously been
mapped in the short arm of chromosome 5B in two F3 populations (Aus28183/Aus27229
and Aus28187/Aus27229). The Aus28183/Aus27229 F3 population was advanced to
generate an F6 recombinant inbred line (RIL) population to identify markers
closely linked with Yr47 and Lr52. Diverse genomic resources including flow
sorted chromosome survey sequence contigs representing the orthologous region in
Brachypodium distachyon, the physical map of chromosome arm 5BS, expressed
sequence tags (ESTs) located in the 5BS6-0.81-1.00 deletion bin and resistance
gene analog contigs of chromosome arm 5BS were used to develop markers to
saturate the target region. Selective genotyping was also performed using the
iSelect 90 K Infinium wheat SNP assay. A set of SSR, STS, gene-based and SNP
markers were developed and genotyped on the Aus28183/Aus27229 RIL population.
Yr47 and Lr52 are genetically distinct genes that mapped 0.4 cM apart in the RIL
population. The SSR marker sun180 co-segregated with Lr52 and mapped 0.4 cM
distal to Yr47. In a high resolution mapping population of 600 F2 genotypes Yr47
and Lr52 mapped 0.2 cM apart and marker sun180 was placed 0.4 cM distal to Lr52.
The amplification of a different sun180 amplicon (195 bp) than that linked with
Yr47 and Lr52 (200 bp) in 204 diverse wheat genotypes demonstrated its robustness
for marker-assisted selection of these genes.
PMID- 27866229
TI - Vascular epiphytes and host trees of ant-gardens in an anthropic landscape in
southeastern Mexico.
AB - Ant-gardens (AGs) are considered one of the most complex mutualist systems
between ants and plants, since interactions involving dispersal, protection, and
nutrition occur simultaneously in them; however, little is known about the
effects of the transformation of ecosystems on their diversity and interactions.
In five environments with different land use within an anthropic landscape in
southeastern Mexico, we investigated the diversity and composition of epiphytes
and host trees of AGs built by Azteca gnava. A total of 10,871 individuals of 26
epiphytic species, associating with 859 AGs located in 161 host trees, were
recorded. The diversity and composition of epiphytes tended to be different
between environments; however, Aechmea tillandsioides and Codonanthe uleana were
the most important species and considered true AG epiphytes, because they were
the most frequent, abundant, and occurred exclusively in AGs. Other important
species were the orchids Epidendrum flexuosum, Coryanthes picturata, and
Epidendrum pachyrachis, and should also be considered true AG epiphytes, because
they occurred almost exclusively in the AGs. The AG abundance in agroforestry
plantations was similar or even greater than in riparian vegetation (natural
habitat). The AGs were registered in 37 host species but were more frequent in
Mangifera indica and Citrus sinensis. We conclude that true epiphytes of A. gnava
AGs persist in different environments and host trees, and even these AGs could
proliferate in agroforestry plantations of anthropic landscapes.
PMID- 27866232
TI - Surgical treatment of two adolescent athletes with dislocated avulsion fracture
of the anterior superior iliac spine (ASIS).
AB - INTRODUCTION: Avulsion fractures of the anterior superior iliac spine are rare.
Therefore, evidence-based treatment guidelines do not exist. The therapeutic
options are either conservative treatment or surgical intervention. The decision
depends on grade of dislocation, age of the patient, and his sportive demands and
competitive requirements. MATERIALS AND METHODS: We present the cases of two
young athletes suffering from traumatic avulsion fractures of the anterior
superior iliac spine. In both cases, the musculotendinous unit (sartorius muscle
and tensor of the fascia lata) remained attached to the loose dislocated
fragment. Both patients were treated by means of open reduction with a new
surgical technique using suture anchors. RESULTS: Both patients were pain-free 4
weeks after surgery and had full range of motion. They were able to return to
their preoperative sportive activity levels 10 weeks after surgery. No
complications were reported at final follow-up 18 months postoperatively.
CONCLUSION: Operative treatment of avulsion fracture of the ASIS using suture
anchors shows excellent clinical outcome and a short convalescence period. The
patients achieve their preinjury sportive levels within 3 months.
PMID- 27866231
TI - Presynaptic proteins complexin-I and complexin-II differentially influence
cognitive function in early and late stages of Alzheimer's disease.
AB - Progressive accumulation of Alzheimer's disease-related pathology is associated
with cognitive dysfunction. Differences in cognitive reserve may contribute to
individual differences in cognitive function in the presence of comparable
neuropathology. The protective effects of cognitive reserve could contribute
differentially in early versus late stages of the disease. We investigated
presynaptic proteins as measures of brain reserve (a subset of total cognitive
reserve), and used Braak staging to estimate the progression of Alzheimer's
disease. Antemortem evaluations of cognitive function, postmortem assessments of
pathologic indices, and presynaptic protein analyses, including the complexins I
and II as respective measures of inhibitory and excitatory terminal function,
were assayed in multiple key brain regions in 418 deceased participants from a
community study. After covarying for demographic variables, pathologic indices,
and overall synapse density, lower brain complexin-I and -II levels contributed
to cognitive dysfunction (P < 0.01). Each complexin appeared to be dysregulated
at a different Braak stage. Inhibitory complexin-I explained 14.4% of the
variance in global cognition in Braak 0-II, while excitatory complexin-II
explained 7.3% of the variance in Braak V-VI. Unlike other presynaptic proteins,
complexins did not colocalize with pathologic tau within neuritic plaques,
suggesting that these functional components of the synaptic machinery are cleared
early from dystrophic neurites. Moreover, complexin levels showed distinct
patterns of change related to memory challenges in a rat model, supporting the
functional specificity of these proteins. The present results suggest that
disruption of inhibitory synaptic terminals may trigger early cognitive
impairment, while excitatory terminal disruption may contribute relatively more
to later cognitive impairment.
PMID- 27866230
TI - Differential expression and emerging functions of non-coding RNAs in cold
adaptation.
AB - Several species undergo substantial physiological and biochemical changes to
confront the harsh conditions associated with winter. Small mammalian hibernators
and cold-hardy insects are examples of natural models of cold adaptation that
have been amply explored. While the molecular picture associated with cold
adaptation has started to become clearer in recent years, notably through the use
of high-throughput experimental approaches, the underlying cold-associated
functions attributed to several non-coding RNAs, including microRNAs (miRNAs) and
long non-coding RNAs (lncRNAs), remain to be better characterized. Nevertheless,
key pioneering work has provided clues on the likely relevance of these molecules
in cold adaptation. With an emphasis on mammalian hibernation and insect cold
hardiness, this work first reviews various molecular changes documented so far in
these processes. The cascades leading to miRNA and lncRNA production as well as
the mechanisms of action of these non-coding RNAs are subsequently described.
Finally, we present examples of differentially expressed non-coding RNAs in
models of cold adaptation and elaborate on the potential significance of this
modulation with respect to low-temperature adaptation.
PMID- 27866234
TI - The RNA World at Thirty: A Look Back with its Author.
AB - Thirty years ago, molecular biologist Walter Gilbert published his RNA world
hypothesis, which posited that early in evolution living systems were composed
entirely of RNA. Proposed in the immediate wake of the discovery that certain RNA
molecules were capable of catalyzing biological reactions, the hypothesis
ascribed both of life's essential functions, namely carrying information and
catalysis-respectively, performed by DNA and proteins in most modern life systems
to RNA, which were labeled as ribozymes. In the years since its inception, the
RNA world has been greeted with equal parts enthusiasm and opposition from the
origins of life research community, of which Gilbert neither was, nor really
became, a part. For this special historical issue of the Journal of Molecular
Evolution, Gilbert agreed to revisit his hypothesis and share his memories about
the theory's origins and his insights into its fate in the years since he first
published his idea.
PMID- 27866233
TI - A Treatise to Computational Approaches Towards Prediction of Membrane Protein and
Its Subtypes.
AB - Membrane proteins are vital mediating molecules responsible for the interaction
of a cell with its surroundings. These proteins are involved in different
functionalities such as ferrying of molecules and nutrients across membrane,
recognizing foreign bodies, receiving outside signals and translating them into
the cell. Membrane proteins play significant role in drug interaction as nearly
50% of the drug targets are membrane proteins. Due to the momentous role of
membrane protein in cell activity, computational models able to predict membrane
protein with accurate measures bears indispensable importance. The conventional
experimental methods used for annotating membrane proteins are time-consuming and
costly and in some cases impossible. Computationally intelligent techniques have
emerged to be as a useful resource in the automation of prediction and hence the
annotation process. In this study, various techniques have been reviewed that are
based on different computational intelligence models used for prediction process.
These techniques were formulated by different researchers and were further
evaluated to provide a comparative analysis. Analysis shows that the usage of
support vector machine-based prediction techniques bears more assiduous results.
PMID- 27866236
TI - Effects of Physical Exercise on Markers of Cellular Immunosenescence: A
Systematic Review.
AB - Aging affects negatively the immune system, defined as immunosenescence, which
increases the susceptibility of elderly persons to infection, autoimmune disease,
and cancer. There are strong indications that physical exercise in elderly
persons may prevent the age-related decline in immune response without
significant side effects. Consequently, exercise is being considered as a safe
mode of intervention to reduce immunosenescence. The aim of this review was to
appraise the existing evidence regarding the impact of exercise on surface
markers of cellular immunosenescence in either young and old humans or animals.
PubMed and Web of Science were systematically screened, and 28 relevant articles
in humans or animals were retrieved. Most of the intervention studies
demonstrated that an acute bout of exercise induced increases in senescent,
naive, memory CD4+ and CD8+ T-lymphocytes and significantly elevated apoptotic
lymphocytes in peripheral blood. As regards long-term effects, exercise induced
increased levels of T-lymphocytes expressing CD28+ in both young and elderly
subjects. Few studies found an increase in natural killer cell activity following
a period of training. We can conclude that exercise has considerable effects on
markers of cellular aspects of the immune system. However, very few studies have
been conducted so far to investigate the effects of exercise on markers of
cellular immunosenescence in elderly persons. Implications for immunosenescence
need further investigation.
PMID- 27866235
TI - Arthroscopically-assisted mini open partial synovectomy for the treatment of
localized pigmented villonodular synovitis of the knee. A retrospective
comparative study with long-term follow up.
AB - PURPOSE: The purpose of this study was to present the long-term results of
treatment of localized pigmented villonodular synovitis (LPVNS) comparing two
operative procedures of excision of the lesion-the arthroscopic and the
arthroscopically assisted mini-open. We hypothesized that the latter approach
allowed for treatment of LPVNS with acceptable recurrence rates, complication
rates and functional outcomes. METHODS: Between 1990 and 2006, 21 patients with
LPVNS were treated with partial synovectomy through an arthroscopically-assisted
mini open technique (group A), and 23 patients were treated with an arthroscopic
excision of the lesion (group B). All patients were clinically examined at one,
three, and 12 months post-operatively and graded by the Lysholm knee score and
the Ogilvie-Harris score. RESULTS: The mean Lysholm score was improved from 58.7
+/- 9 to 94.2 +/- 7 for group A (p < 0.05) and from 57.4 +/- 9.1 to 95.5 +/- 8
for group B (p < 0.05). The mean Ogilvie-Harris score was improved from 7.2 +/- 2
to 11.2 +/- 0.9 for group A and from 7.1 +/- 2 to 11.75 +/- 0.5 for group B (p <
0.05). We encountered two cases of CRPS and one case of recurrence of the lesion
in group A and no complications for group B. CONCLUSIONS: Arthroscopically
assisted mini open partial synovectomy is a safe alternative treatment,
especially for surgeons without extended experience in arthroscopic techniques.
The arthroscopic localization of the precise position of the lesion and its
subsequent mini-open excision is a safe and effective technique with very low
morbidity and recurrence rate and equivalent functional outcome to fully
arthroscopic excision due to limited incision. LEVEL OF EVIDENCE: Retrospective
comparative study, Level III.
PMID- 27866238
TI - Topological horseshoe and its uniform hyperbolicity in the HP model.
AB - A famous food-chain model proposed by Hastings and Powell is numerically
restudied. The existence and uniform hyperbolicity of chaotic invariant sets are
demonstrated by means of the topological horseshoe theory and the Conley-Moser
conditions, indicating that, for a fixed cross section, the second return
Poincare map of the model possesses a closed uniformly hyperbolic chaotic
invariant set, on which it is topologically conjugate to the 2-shift map.
PMID- 27866239
TI - Diffusion kurtosis imaging and diffusion-weighted imaging in assessment of liver
fibrosis stage and necroinflammatory activity.
AB - PURPOSE: To investigate and compare the diagnostic value of diffusion kurtosis
imaging (DKI) with diffusion-weighted imaging (DWI) in assessing and quantifying
hepatic fibrosis. METHODS: Thirty rats were divided into the control group (n =
6) and the fibrosis experimental groups (n = 6 per group) with CCl4
administration for 2, 4, 6, and 8 weeks. Liver fibrosis stage (S) and
necroinflammatory activity grade (G) were histopathologically determined. DKI and
DWI were performed; mean apparent diffusion (MD), mean kurtosis (MK), and
apparent diffusion coefficient (ADC) values were calculated. DKI parameters were
compared with ADC values according to G/S scores. RESULTS: Strong inverse
correlations were found between the degree of fibrosis and both MD and ADC (r =
0.840 and r = -0.760), while only weak correlation existed in MK (r = 0.405). ROC
analyses demonstrated the AUC in MD, MK, and ADC of 0.862, 0.684, 0.817 for
identifying mild and severe fibrosis, and 0.757, 0.675, 0.733 for non-cirrhosis
and cirrhosis, respectively. The degree of fibrosis was significantly correlated
with alpha-smooth muscle actin (alpha-SMA) (P < 0.0001); alpha-SMA had strong
inverse correlation with MD (r = -0.723), moderate inverse correlation with ADC
(r = -0.613), and very weak correlation with MK (r = 0.175). Additionally, MD was
strongly correlated with the necroinflammatory activity (r = -0.758), ADC was
moderately correlated (r = -0.492), and MK was weakly correlated (r = 0.254).
CONCLUSION: DKI may provide added information and serve as a valuable tool for
the characterization and surveillance of liver fibrosis in a non-invasive manner.
PMID- 27866237
TI - Long-Term Effects of Intravenous Ibandronate in Paget's Disease of Bone.
AB - We have previously demonstrated that intravenous ibandronate produces high
initial response rates in Paget's disease, but the durability of this effect is
unknown. It might be expected to be short lived because ibandronate has a low
affinity for bone. Here we report long-term follow-up (up to 14 years) of
patients from that trial. Twenty-five patients with active Paget's disease
[baseline serum total alkaline phosphatase (ALP) ~3 times the upper limit of
normal] received either 6 or 12 mg intravenous ibandronate at baseline. There
were prompt reductions in ALP following treatment, with normalization in 88%. ALP
remained in the normal range in most patients for 20-30 months, but some subjects
then showed gradual increases. Three years after ibandronate, before any patients
had received additional treatment, ALP was normal in 61%. Six patients maintained
normal ALP beyond 6 years without further intervention. Responses to 6 and 12 mg
were similar. These results indicate that long-term remissions in Paget's disease
can be achieved with bolus delivery of a potent bisphosphonate, even if the drug
has a low affinity for bone. Therefore, bisphosphonate retention in bone might
not be the only factor determining duration of remission. Intravenous
bisphosphonates are likely to produce high drug concentrations within pagetic
lesions which might result in cytotoxicity to the pagetic cells, leading to long
durations of remission. These findings strengthen the evidence that potent
bisphosphonates delivered in a single intravenous dose are a very efficient way
to manage this condition.
PMID- 27866240
TI - Extranodal lymphomas of abdomen and pelvis: imaging findings and differential
diagnosis.
AB - A wide spectrum of extranodal lymphomas in the abdomen and pelvis is commonly
encountered by imaging. Diagnosing these lesions generally requires a
multimodality approach. This review highlights imaging appearances of extranodal
lymphomas in the abdomen and pelvis with emphasis on computed tomography,
magnetic resonance imaging, and positron emission tomography-computed tomography
along with the relevant differential diagnosis.
PMID- 27866241
TI - Elevated regulatory T cells, surface and intracellular CTLA-4 expression and
interleukin-17 in the lung cancer microenvironment in humans.
AB - Regulatory T cells (Tregs) play an important role in the suppression of the
immune response in lung cancer. Cytotoxic T-lymphocyte antigen 4 (CTLA-4)
expressed on T lymphocytes is capable of downregulating cytotoxic T cells and is
constitutively expressed on Tregs. Little is known about the population of Tregs
with two forms of CTLA-4: surface (s) and intracellular (in) in the lung cancer
environment. Th17 cells defined by production of IL-17 have pleiotropic functions
in anticancer immune response. Our aim was to detect the elements of immune
response regulation in lung cancer in three compartments: by analysis of
bronchoalveolar lavage fluid (BALF) from the lung affected by cancer (clBALF),
healthy symmetrical lung (hlBALF) and peripheral blood (PB) from the same
patient. A total of 54 samples were collected. Tregs, (s)CTLA-4, (in)CTLA-4 were
detected by flow cytometry with antibodies against CD4, CD25, Foxp3, CD127, CTLA
4, and concentration of IL-17 was estimated by ELISA. We observed a significantly
higher proportion of Tregs in clBALF than in hlBALF or PB (8.5 vs. 5.0 vs. 5.1%,
respectively, p < 0.05). The median proportion of (in)CTLA-4+ Tregs was higher in
clBALF than in hlBALF or PB (89.0, 81.5, 56.0%, p < 0.05). IL-17 concentration
was the highest in clBALF-6.6 pg/ml. We observed a significant correlation
between the proportion of Tregs and (in)CTLA-4+ Tregs with IL-17A concentration
in clBALF. We confirmed significant differences in the proportion of regulatory
elements between cancerous lung and healthy lung and PB and the usefulness of
BALF analysis in evaluation of immune response regulation in local lung cancer
environment.
PMID- 27866242
TI - Elevated systemic interleukin-7 in patients with colorectal cancer and
individuals at high risk of cancer: association with lymph node involvement and
tumor location in the right colon.
AB - Interleukin (IL)-7 is a cytokine essential for protective immunity, and it is
considered as a promising agent for cancer immunotherapy. Recent studies,
however, appear to associate IL-7 with aggressiveness of solid tumors. The IL-7
has been less studied in colorectal cancer (CRC) and conditions associated with
increased risk of CRC development. To explore IL-7 status in bowel diseases, it
was measured immunofluorometrically in 431 individuals (110 with CRC) by using
Luminex platform. A level of IL-7 in CRC patients was significantly higher than
in controls, did not differ from those with adenomas, but was lower than in both
active and inactive inflammatory bowel disease (IBD) cases. In CRC, IL-7 was
higher in patients with lymph node and distant metastases and with tumors located
in right colon. In adenomas, IL-7 elevation was associated exclusively with
villous growth pattern, while in IBD, circulating IL-7 reflected clinical
activity of Crohn's disease and ulcerative colitis. Systemic TNFalpha, IL-10, and
PDGF-BB were independent predictors of circulating IL-7. In summary, our study is
the first to demonstrate IL-7 elevation in CRC in association with metastatic
disease and tumor location. Both associations should be considered when designing
IL-7-based immunotherapies for CRC. Further studies on IL-7 functionality in CRC
are necessary.
PMID- 27866243
TI - Monitoring of adherence to headache treatments by means of hair analysis.
AB - PURPOSE: The aim of this study was to evaluate the potential of hair analysis to
monitor medication adherence in headache patients undergoing chronic therapy. For
this purpose, the following parameters were analyzed: the detection rate of 23
therapeutic drugs in headache patients' hair, the degree of agreement between the
self-reported drug and the drug found in hair, and whether the levels found in
hair reflected the drug intake reported by the patients. METHODS: The study
included 93 patients suffering from primary headaches declaring their daily
intake of at least one of the following drugs during the 3 months before the hair
sampling: alprazolam, amitriptyline, citalopram, clomipramine, clonazepam,
delorazepam, diazepam, duloxetine, fluoxetine, flurazepam, levomepromazine,
levosulpiride, lorazepam, lormetazepam, mirtazapine, paroxetine, quetiapine,
sertraline, topiramate, trazodone, triazolam, venlafaxine, and zolpidem. A
detailed pharmacological history and a sample of hair were collected for each
patient. Hair samples were analyzed by liquid chromatography-electrospray tandem
mass spectrometry, using a previously developed method. RESULTS: All 23 drugs
were detected in the examined hair samples. The agreement between the self
reported drug and the drug found in hair was excellent for most analytes (P <
0.001, Cohen's kappa); a statistically significant relationship (P < 0.05, linear
regression analysis) between dose and hair level was found for amitriptyline,
citalopram, delorazepam, duloxetine, lorazepam, and venlafaxine. CONCLUSIONS:
Hair analysis proved to be a unique matrix to document chronic drug use in
headache patients, and the level found for each individual drug can represent a
reliable marker of adherence to pharmacological treatments.
PMID- 27866244
TI - Efficacy and safety of nab-paclitaxel in patients with previously treated
metastatic colorectal cancer: a phase II COLO-001 trial.
AB - PURPOSE: This single-arm, phase II trial evaluated nab-paclitaxel monotherapy in
pretreated patients with metastatic colorectal cancer (mCRC). METHODS: Patients
with mCRC (RAS wild-type and RAS mutant cohorts) received nab-paclitaxel 125
mg/m2 days 1, 8, and 15 (28-day cycle). The primary endpoint was investigator
assessed progression-free survival (PFS) rate at week 8; secondary endpoints
included overall survival, overall response rate, and safety. Stage 1 planned
enrollment was 15 patients per cohort per Simon 2-stage design. Stage 2
enrollment was to continue unless <=8 of the first 15 patients per cohort
achieved PFS at 8 weeks. RESULTS: Stage 1 enrolled 41 patients (RAS wild type: n
= 18; RAS mutant: n = 23). In both RAS cohorts, 3 of 15 patients initially
enrolled were progression-free at week 8 (20%; 95% CI 4.0-48.0). Median PFS was
8.1 weeks (95% CI 7.7-8.6) and 7.9 weeks (95% CI 7.6-8.0) for RAS wild-type and
RAS mutant cohorts, respectively. There were no complete or partial responses.
The overall disease control rate was 16% (95% CI 6.0-32.0), and rates were
similar in the RAS wild-type and RAS mutant cohorts (18 and 15%, respectively).
No new safety signals were reported; the most common grade >=3 adverse events
included neutropenia, asthenia, and peripheral neuropathy. This study did not
progress to stage 2 per the preplanned statistical stopping rule. CONCLUSIONS: In
patients with heavily pretreated mCRC, nab-paclitaxel did not demonstrate
promising antitumor activity; further assessment of nab-paclitaxel monotherapy in
this population of patients is not supported. TRIAL REGISTRATION: NCT02103062.
PMID- 27866245
TI - The impact of socioeconomic status on perioperative complications and oncologic
outcomes in patients undergoing radical cystectomy.
AB - PURPOSE: To examine if patients of lower socioeconomic status (SES) are at higher
risk of perioperative complications and experience different oncologic outcomes
after radical cystectomy (RC). METHODS: Retrospective review was performed on 383
consecutive non-metastatic patients who underwent definitive RC at a tertiary
referral center. Along with clinical and pathologic parameters traditionally
utilized for risk stratification, potential social determinants of health were
estimated using US Census data. Zip code-derived proxies of SES included median
annual household income and percentage of residents completing high school
education. Patients were grouped based on SES parameters, and potential
differences were assessed. Multivariable logistic regression was then performed
to identify predictors of complication within 90 days of RC. Survival outcomes
were plotted using Kaplan-Meier survival curves. RESULTS: Overall, 167 (46.2%)
patients suffered any complication within 90 days of RC. On multivariable
analysis, length of stay (p <= 0.001), lower income grouping (p = 0.03), and
lowest education tertile (p = 0.007) were significant predictors of any
complication. Income (p = 0.04) and education (p = 0.008) groupings remained
significant predictors in a subset analysis looking specifically at post
discharge complications. No significant differences in recurrence-free or overall
survival estimates were observed among education (log-rank test: p > 0.9 and p =
0.6, respectively) or income (log-rank test: p = 0.2 and p = 0.09, respectively)
groupings. CONCLUSION: Patients of lower socioeconomic status who undergo RC for
bladder cancer are at increased risk of perioperative complications. Further
studies are needed to clarify this relationship, and to explore interventions
aimed to improve outcomes.
PMID- 27866246
TI - Comparison of Self-Efficacy for Managing Chronic Disease between patients with
systemic sclerosis and other chronic conditions: a systematic review.
AB - The complexity and burden of systemic sclerosis (SSc) pose challenges to
developing and sustaining disease management self-efficacy. The objective of this
systematic review was to compare scores on a commonly used self-efficacy measure,
the Self-Efficacy for Managing Chronic Disease (SEMCD) Scale, between SSc and
other diseases. Data sources included the CINAHL, EMBASE, MEDLINE, and Scopus
databases, searched through January 25, 2016, and reference lists of included
articles and relevant reviews. Studies in any language that reported total SEMCD
scores or individual item scores in adult non-psychiatric medical patients were
eligible. We identified one eligible non-intervention study of SSc patients (n =
553), 13 other non-intervention studies, and 21 studies with pre-intervention
data for patients enrolled in a self-management program or a trial of a program.
Of 13 non-intervention studies with published total score means in cancer,
cardiovascular disease, Parkinson's disease, spinal cord injuries, organ
transplant candidates and recipients, dialysis, and lupus, SEMCD scores were
statistically significantly lower (poorer self-efficacy) in SSc than 6 other
disease samples, not significantly different from 6, and significantly higher
than lupus patients. Compared to 18 studies of patients in self-management
programs or trials with published total score means, SSc patients were similar or
lower than 9 samples and significantly higher than 9 samples. Compared to
patients with other diseases not enrolled in programs to improve self-efficacy,
SSc patients report lower self-efficacy scores than most patient groups.
Rigorously tested self-care interventions designed to meet the unique needs of
patients with SSc are needed.
PMID- 27866247
TI - Identification of potential peripheral blood diagnostic biomarkers for patients
with juvenile idiopathic arthritis by bioinformatics analysis.
AB - Juvenile idiopathic arthritis (JIA) is common childhood rheumatic disease harming
children health. However, there is still lack of effective biomarkers for
diagnosis JIA at early onset. We aim to construct a classification model to
predict JIA disease. The peripheral blood gene expression profile data of JIA
were downloaded from GEO database. We compared and analyzed differentially
expressed genes (DEGs) between different JIA samples through Pearson's
correlation coefficient method and unsupervised clustering analysis. Diagnostic
model were constructed based on the deviation pathway through bioinformatics
method. Eighteen specific correlated DEGs were obtained, but the correlations
altered in different disease states. Although most JIA and control samples were
clustered by unsupervised clustering analysis, respectively, a few JIA samples
could not be clustered well. Four co-expression networks were next constructed
with gene connections dynamically altered under variable conditions. Eight
signaling pathways were significantly enriched including B/T cell receptor, ErbB
and MAPK signaling pathways. The deviation scores of pathways were calculated.
Applying these eight signaling pathways as feature to construct a classification
model could predict JIA disease with high accuracies. Our data provide some light
into pathogenic mechanism of JIA, the specific gene sets and the related
signaling pathways may be potential biomarkers for diagnosis or therapeutic
targets of JIA.
PMID- 27866248
TI - Microanatomy of the brachial plexus roots and its clinical significance.
AB - PURPOSE: To provide the anatomical basis of brachial plexus roots for the
diagnosis and treatment of brachial plexus root avulsion injury. METHODS: The
morphological features of brachial plexus roots were observed and measured on 15
cervicothoracic spine of adult cadavers. The relationship of brachial plexus
nerve roots and the surrounding tissues also were observed, as well as the blood
supply of anterior and posterior roots of the brachial plexus. RESULTS:
Origination of the nerve roots in the dorsal-ventral direction from the midline
was fine-tuned at each level along the spinal cord. The minimum distance of the
origin of the nerve root to midline was 2.2 mm at C 5, while the maximum was 3.1
mm at T 1. Inversely, the distance between the origin of the posterior root and
the midline of the spinal cord gradually decreased, the maximum being 4.2 mm at C
5 and minimum 2.7 mm at T 1. Meanwhile, there was complicated fibrous connection
among posterior roots of the brachial plexus. The C 5-6 nerve roots interlaced
with tendons of the scalenus anterior and scalenus medius and fused with the
transverse-radicular ligaments in the intervertebral foramina. However, these
ligaments were not seen in C 7-8, and T 1. The blood supply of the anterior and
posterior roots of the brachial plexus was from the segmental branches of the
vertebral artery, deep cervical artery and ascending cervical artery, with a mean
outer diameter of 0.61 mm. CONCLUSIONS: The systematic and comprehensive anatomic
data of the brachial plexus roots provides the anatomical basis to diagnose and
treat the brachial plexus root avulsion injury.
PMID- 27866249
TI - Investigation of Endophytic Bacterial Community in Supposedly Axenic Cultures of
Pineapple and Orchids with Evidence on Abundant Intracellular Bacteria.
AB - Asepsis, defined as the absence of microbial contamination, is one of the most
important requirements of plant micropropagation. In long-term micropropagated
cultures, there may occasionally occur scattered microorganism growth in the
culture medium. These microorganisms are common plant components and are known as
latent endophytes. Thus, the aim of this research was to investigate the presence
of endophytic bacteria in asymptomatic pineapple and orchid microplants, which
were cultivated in three laboratories for 1 year. Isolation and characterization
of bacterial isolates, PCR-DGGE from total genomic DNA of microplants and
ultrastructural analysis of leaves were performed. In the culture-dependent
technique, it was only possible to obtain bacterial isolates from pineapple
microplants. In this case, the bacteria genera identified in the isolation
technique were Bacillus, Acinetobacter, and Methylobacterium. The scanning
electron microscopy and transmission electron microscopy (SEM and TEM) analyses
revealed the presence of endophytic bacteria in intracellular spaces in the
leaves of pineapple and orchid microplants, independent of the laboratory or
cultivation protocol. Our results strongly indicate that there are endophytic
bacterial communities inhabiting the microplants before initiation of the in
vitro culture and that some of these endophytes persist in their latent form and
can also grow in the culture medium even after long-term micropropagation, thus
discarding the concept of "truly axenic plants."
PMID- 27866252
TI - Identification of alkaline phosphatase genes for utilizing a flame retardant,
tris(2-chloroethyl) phosphate, in Sphingobium sp. strain TCM1.
AB - Tris(2-chloroethyl) phosphate (TCEP) is a haloalkyl phosphate flame retardant and
plasticizer that has been recognized as a global environmental contaminant.
Sphingobium sp. strain TCM1 can utilize TCEP as a phosphorus source. To identify
the phosphomonoesterase involved in TCEP utilization, we identified four putative
alkaline phosphatase (APase) genes, named SbphoA, SbphoD1, SbphoD2, and SbphoX
II, in the genome sequence. Following expression of these genes in Escherichia
coli, APase activity was confirmed for the SbphoA and SbphoX-II gene products but
was not clearly observed for the SbphoD1 and SbphoD2 gene products, owing to
their accumulation in inclusion bodies. The single deletion of either SbphoA or
SbphoX-II retarded the growth and reduced the APase activity of strain TCM1 cells
on medium containing TCEP as the sole phosphorus source; these changes were more
marked in cells with the SbphoX-II gene deletion. In contrast, the deletion of
either SbphoD1 or SbphoD2 had no effect on cell growth or APase activity. The
double deletion of SbphoA and SbphoX-II resulted in the complete loss of cell
growth on TCEP. These results show that SbPhoA and SbPhoX-II are involved in the
utilization of TCEP as a phosphorus source and that SbPhoX-II is the major
phosphomonoesterase involved in TCEP utilization.
PMID- 27866251
TI - Diversity, abundance, and possible sources of fecal bacteria in the Yangtze
River.
AB - The fecal bacteria in natural waters may pose serious risks on human health.
Although many source tracking methods have been developed and used to determine
the possible sources of the fecal pollution, little is known about the overall
diversity and abundance of fecal bacterial community in natural waters. In this
study, a method based on fecal bacterial sequence library was introduced to
evaluate the fecal bacterial profile in the Yangtze River (Nanjing section). Our
results suggested that the Yangtze River water harbors diverse fecal bacteria.
Fifty-eight fecal operational taxonomic units (97% identity level) were detected
in the Yangtze River water samples and the relative abundance of fecal bacteria
in these samples ranged from 0.1 to 8%. It was also found that the relative
abundances of the fecal bacteria in locations near to the downstream of
wastewater treatment plants were obviously higher than those in other locations.
However, the high abundance of fecal bacteria could decrease to the normal level
in 2~4 km in the river due to degradation or dilution, and the overall fecal
bacteria level changed little when the Yangtze River flew through the Nanjing
City. Moreover, the fecal bacteria in the Yangtze River water were found to be
highly associated (Spearman rho = 0.804, P < 0.001) with the potential pathogenic
bacteria. Collectively, the findings in this study reveal the diversity,
abundance, and possible sources of fecal bacteria in the Yangtze River and
advance our understandings of the fecal bacteria community in the natural waters.
PMID- 27866250
TI - iTRAQ-Based Comparative Proteomics Analysis of the Fruiting Dikaryon and the Non
fruiting Monokaryon of Flammulina velutipes.
AB - Flammulina velutipes is a potentially excellent fungus to study basic mechanisms
of basidiomycete mycelium biology. To provide a better understanding of the
mechanism of hyphae growth and fruit-body formation, the biological functions of
the differentially abundant proteins between the fruiting dikaryon and the non
fruiting monokaryon of F. velutipes were investigated at the proteomic level
using iTRAQ-coupled two-dimensional liquid chromatography tandem mass
spectrometry technique. Among the 1198 proteins identified with high confidence,
a total of 472 proteins were detected differentially abundant at least one of the
mycelium development stages. In-depth data analysis revealed that differentially
expressed proteins were influenced a variety of cellular processes, particularly
metabolic processes. Functional pathway analysis indicated that 63 up-regulated
proteins at only the fruiting dikaryon (Fv13) stage were mainly distributed in 51
specific Kyoto Encyclopedia of Genes and Genome pathways, such as amino acids
biosynthesis and metabolism, signaling pathway, and central carbon metabolism.
These up-regulated proteins could possibly serve as potential biomarkers to study
the mycelium development pathways as well as provide new insights on the mycelium
heterogenic compatibility and fruit-body formation mechanisms of basidiomycetes.
PMID- 27866253
TI - Characterization of Clostridium ljungdahlii OTA1: a non-autotrophic hyper ethanol
producing strain.
AB - A Clostridium ljungdahlii lab-isolated spontaneous-mutant strain, OTA1, has been
shown to produce twice as much ethanol as the C. ljungdahlii ATCC 55383 strain
when cultured in a mixotrophic medium containing fructose and syngas. Whole
genome sequencing identified four unique single nucleotide polymorphisms (SNPs)
in the C. ljungdahlii OTA1 genome. Among these, two SNPs were found in the gene
coding for AcsA and HemL, enzymes involved in acetyl-CoA formation from CO/CO2.
Homology models of the respective mutated enzymes revealed alterations in the
size and hydrogen bonding of the amino acids in their active sites. Failed
attempts to grow OTA1 autotrophically suggested that one or both of these mutated
genes prevented acetyl-CoA synthesis from CO/CO2, demonstrating that its activity
was required for autotrophic growth by C. ljungdahlii. An inoperable Wood
Ljungdahl pathway resulted in higher CO2 and ethanol yields and lower biomass and
acetate yields compared to WT for multiple growth conditions including
heterotrophic and mixotrophic conditions. The two other SNPs identified in the C.
ljungdahlii OTA1 genome were in genes coding for transcriptional regulators
(CLJU_c09320 and CLJU_c18110) and were found to be responsible for deregulated
expression of co-localized arginine catabolism and 2-deoxy-D-ribose catabolism
genes. Growth medium supplementation experiments suggested that increased
arginine metabolism and 2-deoxy-D-ribose were likely to have minor effects on
biomass and fermentation product yields. In addition, in silico flux balance
analysis simulating mixotrophic and heterotrophic conditions showed no change in
flux to ethanol when flux through HemL was changed whereas limited flux through
AcsA increased the ethanol flux for both simulations. In characterizing the
effects of the SNPs identified in the C. ljungdahlii OTA1 genome, a non
autotrophic hyper ethanol-producing strain of C. ljungdahlii was identified that
has utility for further physiology and strain performance studies and as a
biocatalyst for industrial applications.
PMID- 27866254
TI - Heart-cut achiral-chiral LC-LC method development using factorial design:
application to the chiral separation of ketoprofen.
AB - A two-dimensional achiral-chiral LC-LC method in heart-cut mode for ketoprofen
and its enantiomeric fraction determination was proposed. A C8 column was used in
the first dimension, and the chiral column was an alpha1-acid glycoprotein. The
mobile phase of the chiral system was optimized by a factorial design. The effect
of temperature on retention and on enantiomeric resolution was studied.
Particular attention was paid to mobile phase compatibility for the two columns
and to transferring time, using ketoprofen standards. The R-(-) and S-(+)
ketoprofen retention times were 9 and 11 min, respectively; the resolution was
higher than 1.1 and enantiomeric fraction close to 0.5. The method was applied to
capsules and gels containing ketoprofen. Factorial design was also used to
establish the best conditions for gel sample preparation. Recoveries were 84 and
105 % for capsules and gels, respectively. Graphical abstract Two-dimensional
chromatogram for KPF and its enantiomers.
PMID- 27866255
TI - Erratum to: Diffusive gradients in thin films measurement of sulfur stable
isotope variations in labile soil sulfate.
PMID- 27866256
TI - Surfactant-free microemulsion electrokinetic chromatography (SF-MEEKC) with UV
and MS detection - a novel approach for the separation and ESI-MS detection of
neutral compounds.
AB - Microemulsion electrokinetic chromatography (MEEKC) is a powerful tool to
separate neutral species based on differences in their hydrophobic and
hydrophilic properties. However, as a major drawback the conventionally used SDS
based microemulsions are not compatible with electrospray ionization mass
spectrometry (ESI-MS). In this work, a surfactant-free microemulsion (SFME)
consisting of water, ethanol, and 1-octanol is used for surfactant-free
microemulsion electrokinetic chromatography (SF-MEEKC). Ammonium acetate was
added to the SFME enabling electrophoretic separations. The stability of SFMEs
containing ammonium acetate was investigated using small-angle X-ray scattering
and dynamic light scattering. A method for the separation of a model system of
hydrophobic and hydrophilic neutral vitamins, namely the vitamins B2 and D3, and
the cationic vitamin B1 was developed using UV/VIS detection. The influence of
the ammonium acetate concentration on the separation performance was studied in
detail. The method was characterized concerning reproducibility of migration
times and peak areas and concerning the linearity of the calibration data.
Furthermore, SF-MEEKC was coupled to ESI-MS investigating the compatibility
between SFMEs and the ESI process. The signal intensities of ESI-MS measurements
of the model analytes were comparable for SFMEs and aqueous systems. Finally, the
vitamin D3 content of a drug treating vitamin D3 deficiency was determined by SF
MEEKC coupled to ESI-MS using 25-hydroxycholecalciferol as an internal standard.
Graphical abstract The concept of surfactant-free microemulsion electrokinetic
chromatography coupled to electrospray ionization mass spectrometry.
PMID- 27866257
TI - Detection of methicillin-resistant Staphylococcus aureus using phage
amplification combined with matrix-assisted laser desorption/ionization mass
spectrometry.
AB - Antibiotic resistance continues to contribute significantly to morbidity and
mortality across the world. Developing new tests for antibiotic-resistant
bacteria is a core action to combat resistant infections. We describe a method
that uses phage amplification detection (PAD) combined with matrix-assisted laser
desorption/ionization mass spectrometry (MALDI-MS) to rapidly identify
Staphylococcus aureus and determine phenotypic susceptibility to cefoxitin.
Samples tested for S. aureus are incubated together with bacteriophage in the
presence and absence of cefoxitin and subjected to rapid trypsin digestion
followed by MALDI-MS analysis. Tryptic peptides derived from amplified phage
proteins can be detected by MALDI-MS, as validated by time-of-flight (TOF)/TOF
analysis of each peptide combined with database searching. Methicillin-resistant
S. aureus show significant phage amplification in the presence of cefoxitin,
while methicillin-sensitive S. aureus show no phage amplification relative to a
no-antibiotic control. We also show that PAD methodology can be implemented on an
FDA-approved commercial MALDI-MS bacterial identification system to identify S.
aureus and determine antibiotic susceptibility. The novelty of this assay
includes the use of phage-derived tryptic peptides as detected by MALDI-MS to
monitor the results of PAD on an instrument common to many modern microbiology
laboratories.
PMID- 27866258
TI - Fat-containing soft-tissue masses in children.
AB - The diagnosis of soft-tissue masses in children can be difficult because of the
frequently nonspecific clinical and imaging characteristics of these lesions.
However key findings on imaging can aid in diagnosis. The identification of
macroscopic fat within a soft-tissue mass narrows the differential diagnosis
considerably and suggests a high likelihood of a benign etiology in children. Fat
can be difficult to detect with sonography because of the variable appearance of
fat using this modality. Fat is easier to recognize using MRI, particularly with
the aid of fat-suppression techniques. Although a large portion of fat-containing
masses in children are adipocytic tumors, a variety of other tumors and mass-like
conditions that contain fat should be considered by the radiologist confronted
with a fat-containing mass in a child. In this article we review the sonographic
and MRI findings in the most relevant fat-containing soft-tissue masses in the
pediatric age group, including adipocytic tumors (lipoma, angiolipoma,
lipomatosis, lipoblastoma, lipomatosis of nerve, and liposarcoma);
fibroblastic/myofibroblastic tumors (fibrous hamartoma of infancy and
lipofibromatosis); vascular anomalies (involuting hemangioma, intramuscular
capillary hemangioma, phosphate and tensin homologue (PTEN) hamartoma of soft
tissue, fibro-adipose vascular anomaly), and other miscellaneous entities, such
as fat necrosis and epigastric hernia.
PMID- 27866261
TI - Anticipatory postural adjustments and anticipatory synergy adjustments: preparing
to a postural perturbation with predictable and unpredictable direction.
AB - We explored two aspects of feed-forward postural control, anticipatory postural
adjustments (APAs) and anticipatory synergy adjustments (ASAs) seen prior to self
triggered unloading with known and unknown direction of the perturbation. In
particular, we tested two main hypotheses predicting contrasting changes in APAs
and ASAs. The first hypothesis predicted no major changes in ASAs. The second
hypothesis predicted delayed APAs with predominance of co-contraction patterns
when perturbation direction was unknown. Healthy subjects stood on the force
plate and held a bar with two loads acting in the forward and backward
directions. They pressed a trigger that released one of the loads causing a
postural perturbation. In different series, the direction of the perturbation was
either known (the same load released in all trials) or unknown (the subjects did
not know which of the two loads would be released). Surface electromyograms were
recorded and used to quantify APAs, synergies stabilizing center of pressure
coordinate (within the uncontrolled manifold hypothesis), and ASA. APAs and ASAs
were seen in all conditions. APAs were delayed, and predominance of co
contraction patterns was seen under the conditions with unpredictable direction
of perturbation. In contrast, no significant changes in synergies and ASAs were
seen. Overall, these results show that feed-forward control of vertical posture
has two distinct components, reflected in APAs and ASAs, which show qualitatively
different adjustments with changes in predictability of the direction of
perturbation. These results are interpreted within the recently proposed
hierarchical scheme of the synergic control of motor tasks. The observations
underscore the complexity of the feed-forward postural control, which involves
separate changes in salient performance variables (such as coordinate of the
center of pressure) and in their stability properties.
PMID- 27866260
TI - Isolation and characterization of a novel cadmium-regulated Yellow Stripe-Like
transporter (SnYSL3) in Solanum nigrum.
AB - KEY MESSAGE: SnYSL3 encodes a plasma-localized transporter delivering various
metal-nicotianamine complexes. The expression of SnYSL3 is up-regulated by excess
Cd, suggesting an important role for SnYSL3 in response to Cd stress. The Yellow
Stripe-Like (YSL) transporters have been proposed to participate in metal uptake
and long-range transport in model plants. In this study, we isolated and
characterized a novel member of the YSL gene family, SnYSL3, from the cadmium
hyperaccumulator Solanum nigrum. SnYSL3 was constitutively expressed and encodes
a plasma membrane-localized protein. In situ RNA hybridization localized the
SnYSL3 transcripts predominantly in vascular tissues and epidermal cells of the
roots and stems, while in leaves, the mRNA levels were high in the vasculature.
The SnYSL3 expression level was up-regulated by excess Cd, excess Fe and Cu
deficiency. Heterologous expression of SnYSL3 in yeast revealed that SnYSL3
transports nicotianamine complexes containing Fe(II), Cu, Zn and Cd. SnYSL3
overexpression in Arabidopsis thaliana decreased Fe and Mn concentrations in the
roots and increased the root-to-shoot translocation ratios of Fe and Mn. Under Cd
exposure, the transgenic plants showed increased translocation ratios of Fe and
Cd, but no difference was observed in Mn translocation from roots to shoots
between the transgenic and wild-type lines. Although the accurate function of
SnYSL3 remains to be confirmed, these results suggest that SnYSL3 is a
transporter delivering a broad range of metal-nicotianamine complexes and is
potentially important for the response to heavy metal stress, especially due to
Cd and Fe.
PMID- 27866262
TI - Impairment of mitochondria dynamics by human A53T alpha-synuclein and rescue by
NAP (davunetide) in a cell model for Parkinson's disease.
AB - The formation of oligomers and aggregates of overexpressed or mutant alpha
synuclein play a role in the degeneration of dopaminergic neurons in Parkinson's
disease by causing dysfunction of mitochondria, reflected in their disturbed
mobility and production of ROS. The mode of action and mechanisms underlying this
mitochondrial impairment is still unclear. We have induced stable expression of
wild-type, A30P or A53T alpha-synuclein in neuronally differentiated SH-SY5Y
neuroblastoma cells and studied anterograde and retrograde mitochondrial
trafficking in this cell model for Parkinson's disease. In contrast to wild-type
and A30P, A53T alpha-synuclein significantly inhibited mitochondrial trafficking,
at first retrogradely and in a later stage anterogradely. Accordingly, A53T alpha
synuclein also caused the highest increase in ROS production in the dysmobilized
mitochondria in comparison to wild-type or A30P alpha-synuclein. Treatment with
NAP, the eight amino acid peptide identified as the active component of activity
dependent neuroprotective protein (ADNP), completely annihilated the adverse
effects of A53T on mitochondrial dynamics. Our results reveal that A53T alpha
synuclein (oligomers or aggregates) leads to the inhibition of mitochondrial
trafficking, which can be rescued by NAP, suggesting the involvement of
microtubule disruption in the pathophysiology of Parkinson's disease.
PMID- 27866263
TI - Aperture extent and stimulus speed affect the perception of visual acceleration.
AB - Humans are generally poor at detecting the presence of visual acceleration, but
it is unclear whether the extent of a field of moving objects through an aperture
affects this ability. Hypothetically, the farther a stimulus can accelerate
uninterrupted by an aperture's physical constraints, the easier it should be to
discern its motion profile. We varied the horizontal extent of the aperture
through which continuously accelerating or decelerating random dot arrays were
presented at different average speeds, and measured acceleration and deceleration
detection thresholds. We also hypothesized that manipulating aperture extent at
different speeds would change how observers visually pursue acceleration, which
we tested in a control experiment. Results showed that, while there was no
difference between the acceleration and deceleration conditions, detection was
better in the larger than small aperture conditions. Regardless of aperture size,
smaller acceleration and deceleration rates (relative to average speed) were
needed to detect changing speed in faster than slower speed ranges. Similarly,
observers tracked the stimuli to a greater extent in the larger than small
apertures, and smooth pursuit was overall poorer at faster than slower speeds.
Notably, the effect of speed on pursuit was greater for the larger than small
aperture conditions, suggesting that the small aperture restricted pursuit.
Furthermore, there was little difference in psychophysical and eye movement data
between the medium and large aperture conditions within each speed range,
indicating that it is easier to detect an accelerating profile when the aperture
is large enough to encourage a minimum level of pursuit.
PMID- 27866264
TI - Effects of intentional movement preparation on response times to symbolic and
imitative cues.
AB - Speeded responses to an external cue are slower when the cue interrupts
preparation to perform the same or a similar action in a self-paced manner. To
explore the mechanism underlying this 'cost of intention', we examined whether
the size of the cost is influenced by the nature of the external cue.
Specifically, we assessed whether the cost of intention is different for
movements made in response to an imitative cue (an on-screen hand movement)
compared to those made in response to a symbolic cue. Consistent with previous
reports, externally cued responses were significantly slower on trials where
participants were preparing to perform an internally driven movement later in the
trial. Also as predicted, simple response times to the imitative cue were faster
than those made to the symbolic cue. Critically, the cost of intention was
similar for each cue type, suggesting that preparing an intentional action
influenced responses cued by the symbolic and imitative cues to a similar degree.
These findings suggest that the nature of the external cue does not influence the
response time delay associated with concurrent intentional preparation. Together
with previous findings, the results of the current study shed further light on
the potential mechanisms underlying the cost of intention.
PMID- 27866266
TI - Survey of Baylisascaris spp. in captive striped skunks (Mephitis mephitis) in
some European areas.
AB - Skunks are popular carnivore species kept both in zoological institutions and in
households where they are hand raised as exotic pets. These small carnivores are
considered the main definitive hosts of the roundworm Baylisascaris columnaris.
The purpose of this survey was to investigate the occurrence of Baylisascaris
spp. in striped skunks kept as pets or in private zoo collections in some
European areas. Copromicroscopic data from two laboratories, one in Italy and one
in Germany, were used. A total of 60 animals were selected. Samples came from
Germany (n = 30), Italy (n = 23), United Kingdom (n = 5), Austria (n = 1), and
the Netherlands (n = 1). Twenty-eight animals were certainly kept as pets in
private households in Italy and the UK. Fifteen out of 60 animals (25%) were
positive for Baylisascaris spp. Molecular identification of adult parasites was
performed in ten of those animals, revealing B. columnaris in all cases. To the
authors' knowledge, this is the first survey of Baylisascaris spp. in captive
skunks in Europe.
PMID- 27866265
TI - Proteomic analysis of Fasciola hepatica excretory and secretory products (FhESPs)
involved in interacting with host PBMCs and cytokines by shotgun LC-MS/MS.
AB - Fasciola hepatica is a helminth parasite with a worldwide distribution, which can
cause chronic liver disease, fasciolosis, leading to economic losses in the
livestock and public health in many countries. Control is mostly reliant on the
use of drugs, and as a result, drug resistance has now emerged. The
identification of F. hepatica genes involved in interaction between the parasite
and host immune system is utmost important to elucidate the evasion mechanisms of
the parasite and develop more effective strategies against fasciolosis. In this
study, we aimed to identify molecules in F. hepatica excretory and secretory
products (FhESPs) interacting with the host peripheral blood mononuclear cells
(PBMCs), Th1-like cytokines (IL2 and IFN-gamma), and Th17-like cytokines (IL17)
by Co-IP combined with tandem mass spectrometry. The results showed that 14, 16,
and 9 proteins in FhESPs could bind with IL2, IL17, and IFN-gamma, respectively,
which indicated that adult F. hepatica may evade the host immune responses
through directly interplaying with cytokines. In addition, nine proteins in
FhESPs could adhere to PBMCs. Our findings provided potential targets as immuno
regulators, and will be helpful to elucidate the molecular basis of host-parasite
interactions and search for new potential proteins as vaccine and drug target
candidates.
PMID- 27866267
TI - Luminol testing in detecting modern human skeletal remains: a test on different
types of bone tissue and a caveat for PMI interpretation.
AB - When forensic pathologists and anthropologists have to deal with the evaluation
of the post-mortem interval (PMI) in skeletal remains, luminol testing is
frequently performed as a preliminary screening method. However, the
repeatability of this test on the same bone, as well as comparative studies on
different bones of the same individual, has never been performed. Therefore, with
the aim of investigating the influence that different types of bones may exert on
the response to the luminol test, the present study analysed three different
skeletal elements (femoral diaphysis, vertebra and cranial vault), gathered from
ten recent exhumed skeletons (all with a 20-year PMI). The analysis was performed
twice on the same bone after 2 months: the analysis at time 0 concerned the whole
bone, whereas the second concerned only a part of the same bone taken during the
first test (which already had been broken). The overall results showed different
responses, depending on the type of bone and on the integrity of the samples.
Negative results at the first analysis (6.6% out of the total of samples) are
consistent with what is reported in the literature, whilst at the second
analysis, the increase of about 20% of false-negative results highlights that the
luminol test ought to be performed with caution in case of broken bones or
elements which are taphonomically altered. Results have thus proven that the
exposition to environmental agents might result in haemoglobin (Hb) loss, as
detected even after only 2 months. The study also focused on the crucial issue of
the type of bone subjected to testing, remarking the suitability of the femoral
diaphysis (100% of positive responses at the first analysis vs only 18% of false
negative results at the second test, corresponding to 5% of total false-negative
results) as opposed to other bone elements that showed a low yield. In
particular, the cranial vault gave poor results, with 40% of discrepancy between
results from the two analyses, which suggests caution in choosing the type of
bone sample to test. In conclusion, luminol testing should be used with caution
on bones different from long bones or on non-intact bones.
PMID- 27866269
TI - Effects of alexithymia and empathy on the neural processing of social and
monetary rewards.
AB - Empathy has been found to affect the neural processing of social and monetary
rewards. Alexithymia, a subclinical condition showing a close inverse
relationship with empathy is linked to dysfunctions of socio-emotional processing
in the brain. Whether alexithymia alters the neural processing of rewards, which
is currently unknown. Here, we investigated the influence of both alexithymia and
empathy on reward processing using a social incentive delay (SID) task and a
monetary incentive delay (MID) task in 45 healthy men undergoing functional
magnetic resonance imaging. Controlling for temperament-character dimensions and
rejection sensitivity, the relationship of alexithymia and empathy with neural
activity in several a priori regions of interest (ROIs) was examined by means of
partial correlations, while participants anticipated and received social and
monetary rewards. Results were considered significant if they survived Holm
Bonferroni correction for multiple comparisons. Alexithymia modulated neural
activity in several ROIs of the emotion and reward network, both during the
anticipation of social and monetary rewards and in response to the receipt of
monetary rewards. In contrast, empathy did not affect reward anticipation and
modulated ROI activity only in response to the receipt of social rewards. These
results indicate a significant influence of alexithymia on the processing of
social and monetary rewards in the healthy brain.
PMID- 27866268
TI - A genome-wide association study of essential hypertension in an Australian
population using a DNA pooling approach.
AB - Despite the success of genome-wide association studies (GWAS) in detecting
genetic loci involved in complex traits, few susceptibility genes have been
detected for essential hypertension (EH). We aimed to use pooled DNA GWAS
approach to identify and validate novel genomic loci underlying EH susceptibility
in an Australian case-control population. Blood samples and questionnaires
detailing medical history, blood pressure, and prescribed medications were
collected for 409 hypertensives and 409 age-, sex- and ethnicity-matched
normotensive controls. Case and control DNA were pooled in quadruplicate and
hybridized to Illumina 1 M-Duo arrays. Allele frequencies agreed with those
reported in reference data and known EH association signals were represented in
the top-ranked SNPs more frequently than expected by chance. Validation showed
that pooled DNA GWAS gave reliable estimates of case and control allele
frequencies. Although no markers reached Bonferroni-corrected genome-wide
significance levels (5.0 * 10-8), the top marker rs34870220 near ASGR1 approached
significance (p = 4.32 * 10-7), as did several candidate loci (p < 1 * 10-6) on
chromosomes 2, 4, 6, 9, 12, and 17. Four markers (located in or near genes NHSL1,
NKFB1, GLI2, and LRRC10) from the top ten ranked SNPs were individually genotyped
in pool samples and were tested for association between cases and controls using
the chi 2 test. Of these, rs1599961 (NFKB1) and rs12711538 (GLI2) showed
significant difference between cases and controls (p < 0.01). Additionally, four
top-ranking markers within NFKB1 were found to be in LD, suggesting a single
strong association signal for this gene.
PMID- 27866270
TI - Relationship among interthalamic adhesion size, thalamic anatomy and
neuropsychological functions in healthy volunteers.
AB - The interthalamic adhesion (ITA) is an understudied neuroanatomical structure
that forms a bridge of tissue connecting the thalamus of each hemisphere across
the midline whose functional significance remains largely unknown. The likelihood
of ITA absence has been reported in some studies to be increased in males, but
findings have been inconsistent. We used magnetic resonance imaging to
investigate the size and absence of the ITA and their relationship to thalamic
volume, putative indices of white matter integrity (fractional anisotropy and
mean diffusivity) within the anterior thalamic radiation and neuropsychological
functions in 233 (129 M/104 F) healthy volunteers (age range 8-68). To ensure
high reliability in this study two operators independently rated the absence of
the ITA and measured its size for all individuals. The ITA was absent in 4% of
all individuals with no sex differences in its absence. Females had greater ITA
size compared to males overall with both groups demonstrating nonlinear age
associated changes across the age range examined. ITA size among females
correlated significantly with thalamus volume and lower mean diffusivity in the
anterior thalamic radiation. Path modeling indicated that ITA size statistically
mediated the relationship between age and attention among females. Our findings
provide evidence for sex differences in ITA size across the lifespan, which are
associated with the surrounding thalamic anatomy and neuropsychological
functions.
PMID- 27866271
TI - Paediatric biobanking: Dutch experts reflecting on appropriate legal standards
for practice.
AB - : Large sets of data and human specimens, such as blood, tumour tissue and DNA,
are deposited in biobanks for research purposes, preferably for long periods of
time and with broadly defined research aims. Our research focuses on the
retention of data and biological materials obtained from children. However
important such paediatric biobanks may be, the privacy interests of the children
involved and the related risks may not be ignored. The privacy issues arising
from paediatric biobanks are the central focus of this article. We first review
the international regulations that apply to biobanks and then summarise
viewpoints expressed by experts in a round-table discussion. We confine ourselves
here to two normative questions: (1) How much control should children's parents
or legal representatives, and later the children themselves, have over the stored
materials and data? (2) What should be done if research findings emerge that have
serious implications for a child's health? CONCLUSION: On the basis of
international legal standards and the views of experts, involved in paediatric
biobanking, we argue that biological material of children may only be stored in a
biobank for scientific purposes if parents provide their explicit consent, the
child is re-contacted at 16 or 18 years of age to reconsider storage and use of
its material, and the biobank maintains a limited policy in disclosure of
individual research findings to the child's parents. What is Known: *
Increasingly, biological material of children is stored in biobanks for research
purposes. * Clear standards on the conditions under which children's cells or
tissues may be stored and used are lacking. What is New: * According to experts,
storage and use of children's materials should only be allowed if performed in
accordance with appropriate consent procedures and feedback policies.
PMID- 27866272
TI - Angry but not neutral faces facilitate response inhibition in schizophrenia
patients.
AB - Schizophrenia is a very heterogeneous disorder with extensive impairments in
cognitive as well as emotional abilities. One critical domain is response
inhibition, and previous studies in schizophrenia patients have mostly observed
impairments, i.e., slower inhibition. Moreover, response inhibition to socially
salient stimuli has not been investigated in schizophrenia so far. Therefore, to
elucidate emotion-cognition interactions by examining potential emotional effects
on inhibition processes and further investigate the association of cognition with
inhibition we used an emotional stop signal task in 27 schizophrenia patients and
27 gender- and age-matched controls. Task irrelevant emotional faces (angry and
neutral) were used as stimuli in a stop signal reaction time task. Regarding
accuracy, patients showed significantly worse performance in neutral trials,
while their performance in anger trials (stop and go) was similar to controls.
Angry faces elicited faster response inhibition in both groups, underlining an
emotional facilitation effect. Neurocognitive functions significantly correlated
with accuracy in the stop signal task in schizophrenia patients, thus further
strengthening the notion of the strong link between cognitive abilities and
inhibition processes. Inhibitory control impairments are of high clinical
interest due to their association with substance abuse, impulsive behavior and
suicide. Based on our data, neutral faces significantly affect response
inhibition in schizophrenia while an emotional facilitation effect was apparent
for angry faces even in schizophrenia patients. Thus, our data further support
the notion that neutral face processing is critically impaired in schizophrenia.
PMID- 27866275
TI - Clinical relevance for the use of ozone to enhance the remineralizing potential
of n-HAP on initial enamel lesions.
PMID- 27866273
TI - Omeprazole suppressed plasma magnesium level and duodenal magnesium absorption in
male Sprague-Dawley rats.
AB - Hypomagnesemia is the most concerned side effect of proton pump inhibitors (PPIs)
in chronic users. However, the mechanism of PPIs-induced systemic Mg2+ deficit is
currently unclear. The present study aimed to elucidate the direct effect of
short-term and long-term PPIs administrations on whole body Mg2+ homeostasis and
duodenal Mg2+ absorption in rats. Mg2+ homeostasis was studied by determining the
serum Mg2+ level, urine and fecal Mg2+ excretions, and bone and muscle Mg2+
contents. Duodenal Mg2+ absorption as well as paracellular charge selectivity
were studied. Our result showed that gastric and duodenal pH markedly increased
in omeprazole-treated rats. Omeprazole significantly suppressed plasma Mg2+
level, urinary Mg2+ excretion, and bone and muscle Mg2+ content. Thus, omeprazole
induced systemic Mg2+ deficiency. By using Ussing chamber techniques, it was
shown that omeprazole markedly suppressed duodenal Mg2+ channel-driven and Mg2+
channel-independent Mg2+ absorptions and cation selectivity. Inhibitors of
mucosal HCO3- secretion significantly increased duodenal Mg2+ absorption in
omeprazole-treated rats. We therefore hypothesized that secreted HCO3- in
duodenum decreased luminal proton, this impeded duodenal Mg2+ absorption. Higher
plasma total 25-OH vitamin D, diuresis, and urine PO43- were also demonstrated in
hypomagnesemic rats. As a compensatory mechanism for systemic Mg2+ deficiency,
the expressions of duodenal transient receptor potential melastatin 6 (TRPM6),
cyclin M4 (CNNM4), claudin (Cldn)-2, Cldn-7, Cldn-12, and Cldn-15 proteins were
enhanced in omeprazole-treated rats. Our findings support the potential role of
duodenum on the regulation of Mg2+ homeostasis.
PMID- 27866274
TI - Ryanodine receptor type 3 does not contribute to contractions in the mouse
myometrium regardless of pregnancy.
AB - Ryanodine receptor type 3 (RyR3) is expressed in myometrial smooth muscle cells
(MSMCs). The short isoform of RyR3 is a dominant negative variant (DN-RyR3) and
negatively regulates the functions of RyR2 and full-length (FL)-RyR3. DN-RyR3 has
been suggested to function as a major RyR3 isoform in non-pregnant (NP) mouse
MSMCs, and FL-RyR3 may also be upregulated during pregnancy (P). This increase in
the FL-RyR3/DN-RyR3 ratio may contribute to the strong contractions by MSMCs for
parturition. In the present study, spontaneous contractions by the myometrium in
NP and P mice were highly susceptible to nifedipine but were not affected by
ryanodine. Ca2+ image analyses under a voltage clamp revealed that the influx of
Ca2+ through voltage-dependent Ca2+ channels did not cause the release of Ca2+
from the sarcoplasmic reticulum (SR). Cytosolic Ca2+ concentrations ([Ca2+]cyt)
in MSMCs were not affected by caffeine. Despite the abundant expression of large
conductance Ca2+-activated K+ channels in MSMCs, spontaneous transient outward
currents were not observed in the resting state because of the substantive lack
of Ca2+ sparks. Quantitative PCR and Western blot analyses indicated that DN-RyR3
was strongly expressed in the NP myometrium, while the expression of FL-RyR3 and
DN-RyR3 was markedly reduced in the P myometrium. The messenger RNA (mRNA)
expression of RyR2 and RyR1 was negligible in the NP and P myometria. Moreover,
RyR3 knockout mice may become pregnant and deliver normally. Thus, we concluded
that none of the RyR subtypes, including RyR3, play a significant role in the
regulation of [Ca2+]cyt in or contractions by mouse MSMCs regardless of
pregnancy.
PMID- 27866276
TI - Manual Intrapleural Saline Flushing Plus Urokinase: A Potentially Useful Therapy
for Complicated Parapneumonic Effusions and Empyemas.
AB - PURPOSE: We sought to evaluate the safety profile and effectiveness of manual
pleural saline flushing, in addition to urokinase, for managing complicated
parapneumonic effusions and empyemas. METHODS: Retrospective comparative review
of 23 consecutive patients with complicated parapneumonic effusions or empyemas
who received saline flushing plus urokinase through small-bore chest catheters,
and 39 who were only treated with fibrinolytics. Both groups had similar baseline
characteristics and treatments were mostly protocol-driven. RESULTS: As compared
with patients only receiving urokinase, those additionally treated with saline
flushing needed less fibrinolytic doses (a single dose being sufficient in 15 vs
44%, p = 0.019), chest tube duration (5 vs 2 days, p < 0.01), and length of
hospital stay (8 vs 6 days, p = 0.011). There were no adverse events attributed
to saline therapy. CONCLUSIONS: Manual pleural saline flushing via chest tube, in
addition to urokinase, is a safe and potentially beneficial therapy in patients
with pleural infection.
PMID- 27866277
TI - Identification of Key Cost Generating Events for Idiopathic Pulmonary Fibrosis: A
Systematic Review.
AB - BACKGROUND: Idiopathic pulmonary fibrosis (IPF) is an incurable, debilitating
disease which impairs lung function and eventually leads to death. Currently,
there is a lack of effective modifying therapies and treatments for IPF as the
underlying epidemiological mechanism is not clearly understood. This leads to
difficulty in diagnosing and managing IPF, which results in a high incurment of
disease-associated cost. Even though IPF poses a substantial economic burden,
there is a lack of research available on cost triggers and healthcare
utilization, which can be a barrier to future economic evaluations of new
medicines for IPF. OBJECTIVES: We aimed to conduct a systematic literature review
(SLR) to identify the key cost-generating events of IPF and to gather any related
costing information. RESULTS: The data showed that the main events triggering
high resource use in patients were the symptoms of IPF progression along with
comorbidities and lung transplantations. These events result in a high economic
impact through the use of medications, health care professionals, and hospital
stays. CONCLUSION: More research is needed to identify the direct, and indirect,
relationships between IPF events and the costs they generate. This would help to
further evaluate the area of need for future health technologies and to
understand what events should be targeted to reduce the global economic burden of
IPF.
PMID- 27866279
TI - High-risk carotid plaques identified by CT-angiogram can predict acute myocardial
infarction.
AB - Prior studies identified the incremental value of non-invasive imaging by CT
angiogram (CTA) to detect high-risk coronary atherosclerotic plaques. Due to
their superficial locations, larger calibers and motion-free imaging, the carotid
arteries provide the best anatomic access for the non-invasive characterization
of atherosclerotic plaques. We aim to assess the ability of predicting
obstructive coronary artery disease (CAD) or acute myocardial infarction (MI)
based on high-risk carotid plaque features identified by CTA. We retrospectively
examined carotid CTAs of 492 patients that presented with acute stroke to
characterize the atherosclerotic plaques of the carotid arteries and examined
development of acute MI and obstructive CAD within 12-months. Carotid lesions
were defined in terms of calcifications (large or speckled), presence of low
attenuation plaques, positive remodeling, and presence of napkin ring sign.
Adjusted relative risks were calculated for each plaque features. Patients with
speckled (<3 mm) calcifications and/or larger calcifications on CTA had a higher
risk of developing an MI and/or obstructive CAD within 1 year compared to
patients without (adjusted RR of 7.51, 95%CI 1.26-73.42, P = 0.001). Patients
with low-attenuation plaques on CTA had a higher risk of developing an MI and/or
obstructive CAD within 1 year than patients without (adjusted RR of 2.73, 95%CI
1.19-8.50, P = 0.021). Presence of carotid calcifications and low-attenuation
plaques also portended higher sensitivity (100 and 79.17%, respectively) for the
development of acute MI. Presence of carotid calcifications and low-attenuation
plaques can predict the risk of developing acute MI and/or obstructive CAD within
12-months. Given their high sensitivity, their absence can reliably exclude 12
month events.
PMID- 27866278
TI - Risk of acute myeloid leukemia and myelodysplastic syndrome among older women
receiving anthracycline-based adjuvant chemotherapy for breast cancer on Modern
Cooperative Group Trials (Alliance A151511).
AB - PURPOSE: We examined acute myeloid leukemia (AML) and myelodysplastic syndrome
(MDS) events among 9679 women treated for breast cancer on four adjuvant Alliance
for Clinical Trials in Oncology trials with >90 months of follow-up in order to
better characterize the risk for AML/MDS in older patients receiving
anthracyclines. METHODS: We used multivariable Cox regression to examine factors
associated with AML/MDS, adjusting for age (>=65 vs. <65 years; separately for
>=70 vs. <70 years), race/ethnicity, insurance, performance status, and
anthracycline receipt. We also examined the effect of cyclophosphamide, the
interaction of anthracycline and age, and outcomes for those developing AML/MDS.
RESULTS: On Cancer and Leukemia Group B (CALGB) 40101, 49907, 9344, and 9741,
7290 received anthracyclines; 15% were in the age >=65 and 7% were >=70. Overall,
47 patients developed AML/MDS (30 AML [0.3%], 17 MDS [0.2%]); 83% of events
occurred within 5 years of study registration. Among those age >=65 and >=70, 0.8
and 1.0% developed AML/MDS (vs. 0.4% for age <65), respectively. In adjusted
analyses, older age and anthracycline receipt were significantly associated with
AML/MDS (adjusted hazard ratio [HR] for age >=65 [vs. <65] = 3.13, 95% confidence
interval [CI] 1.18-8.33; HR for anthracycline receipt [vs. no anthracycline] =
5.16, 95% CI 1.47-18.19). There was no interaction between age and anthracycline
use. Deaths occurred in 70% of those developing AML/MDS. CONCLUSIONS: We observed
an increased risk for AML/MDS for older patients and those receiving
anthracyclines, though these events were rare. Our results help inform
discussions surrounding anticipated toxicities of adjuvant chemotherapy in older
patients.
PMID- 27866281
TI - Reversible lesion involving the splenium of the corpus callosum caused by
phenytoin sodium withdrawal.
PMID- 27866280
TI - Proteasome-mediated degradation of tyrosine hydroxylase triggered by its
phosphorylation: a new question as to the intracellular location at which the
degradation occurs.
AB - Tyrosine hydroxylase (TH) is the rate-limiting enzyme in catecholamine
biosynthesis, and its stability is a fundamental factor to maintain the level of
the catecholamines in cells. However, the intracellular stability of TH
determined by the degradation remains unknown; although the TH molecule
phosphorylated at its Ser19 was observed in the nucleus, and the phosphorylation
suspected to trigger its proteasome-mediated degradation. Computer-assisted
analysis using the cNLS Mapper program predicted that two sequences of nuclear
localization signals (NLS) exist in the N-terminus of TH molecule containing the
phosphorylation sites Ser19, Ser31, and Ser40 (Pro9-Arg38 and Lys12-Ile42): the
NLS scores indicated that TH could become localized in both nucleus and
cytoplasm. Moreover, inhibition of the importin alpha/beta-mediated nuclear
import pathway increased the level of TH phosphorylated at its Ser19 in PC12D
cells. The results suggest that TH might be imported to nucleus from cytoplasm to
be degraded. Recent studies revealed that proteasomes predominantly exist in the
nucleus rather than in the cytoplasm to degrade the nuclear proteins related to
cell-cycle progression, gene expression, DNA damage, and DNA repair. Therefore,
these studies suggest that the relationship between the phosphorylation and the
nuclear localization of the TH molecule should be a matter of focus to understand
the mechanism of proteasome-mediated degradation of the enzyme as a first
priority.
PMID- 27866282
TI - Transfer to inpatient rehabilitation facilities after neurological admission.
PMID- 27866283
TI - Learning curves of theta/beta neurofeedback in children with ADHD.
AB - : Neurofeedback is widely applied as non-pharmacological intervention aimed at
reducing symptoms of ADHD, even though efficacy has not been unequivocally
established. Neuronal changes during the neurofeedback intervention that resemble
learning can provide crucial evidence for the feasibility and specificity of this
intervention. A total of 38 children (aged between 7 and 13 years) with a DSM-IV
TR diagnosis of ADHD, completed on average 29 sessions of theta (4-8 Hz)/beta (13
20 Hz) neurofeedback training. Dependent variables included training-related
measures as well as theta and beta power during baseline and training runs for
each session. Learning effects were analyzed both within and between sessions. To
further specify findings, individual learning curves were explored and correlated
with behavioral changes in ADHD symptoms. Over the course of the training, there
was a linear increase in participants' mean training level, highest obtained
training level and the number of earned credits (range b = 0.059, -0.750, p <
0.001). Theta remained unchanged over the course of the training, while beta
activity increased linearly within training sessions (b = 0.004, 95% CI = [0.0013
0.0067], p = 0.005) and over the course of the intervention (b = 0.0052, 95% CI =
[0.0039-0.0065], p < 0.001). In contrast to the group analyses, significant
individual learning curves were found for both theta and beta over the course of
the intervention in 39 and 53%, respectively. Individual learning curves were not
significantly correlated with behavioral changes. This study shows that children
with ADHD can gain control over EEG states during neurofeedback, although a lack
of behavioral correlates may indicate insufficient transfer to daily functioning,
or to confounding reinforcement of electromyographic activity. CLINICAL TRIALS
REGISTRATION: This trial is registered at the US National Institutes of Health
(ClinicalTrials.gov, ref. no: NCT01363544);
https://clinicaltrials.gov/show/NCT01363544 .
PMID- 27866285
TI - A Power Calculator for the Classical Twin Design.
AB - Power is a ubiquitous, though often overlooked, component of any statistical
analyses. Almost every funding agency and institutional review board requires
that some sort of power analysis is conducted prior to data collection. While
there are several excellent on line power calculators for independent
observations, twin studies pose unique challenges that are not incorporated into
these algorithms. The goal of the current manuscript is to outline a general
method for calculating power in twin studies, and to provide functions to allow
researchers to easily conduct power analyses for a range of common twin models.
Several scenarios are discussed to demonstrate the importance of various factors
that influence the power within the classical twin design and to serve as
examples for the provided functions.
PMID- 27866284
TI - A syntenic locus on buffalo chromosome 20: novel genomic hotspot for miRNAs
involved in follicular-luteal transition.
AB - The developmental reorganization of ovarian follicular granulosa cells (GC)
during follicular maturation, ovulation, and luteinization require a well
controlled regulation of dynamic gene expression profiles. Recently, microRNAs
(miRNAs) were found to be key players of ovarian follicular dynamics. The current
study aimed to understand the miRNA regulatory role in follicular-luteal
transition by characterizing the miRNA profile through miRNA-seq at different
follicular (small, medium, and large) and luteal (early, mid, and late) stages in
Indian water buffaloes, mono-ovulatory animals like humans. A total of 517 miRNAs
were identified in follicular granulosa cells (GC) and corpus luteum (CL)
together. Among them, 2 unique and 40 novel miRNAs were in GC; 15 unique and 45
novel miRNAs were in CL. Among the remaining 415 annotated common miRNAs between
GC and CL, 43 have showed significant (p < 0.05) differential expression between
GC and CL. Particularly, 39 and 4 miRNAs showed higher expression in CL and GC,
respectively, with respect to each other. Genome mapping analysis revealed that
71.7% of differential miRNAs having higher expression in CL compared to GC, and
93% of the unique miRNAs in CL were mapped to a short chromosomal region of 0.7
Mb (67.4 to 68.1 Mb) on chromosome 21 of cows which is syntenic to the buffalo
chromosome 20. Clustering of all these miRNAs at this locus suggests it as a
chromosomal hotspot for miRNAs involved in follicular-luteal transition,
especially for CL physiological functions.
PMID- 27866286
TI - Social learning across species: horses (Equus caballus) learn from humans by
observation.
AB - This study examines whether horses can learn by observing humans, given that they
identify individual humans and orientate on the focus of human attention. We
tested 24 horses aged between 3 and 12. Twelve horses were tested on whether they
would learn to open a feeding apparatus by observing a familiar person. The other
12 were controls and received exactly the same experimental procedure, but
without a demonstration of how to operate the apparatus. More horses from the
group with demonstration (8/12) reached the learning criterion of opening the
feeder twenty times consecutively than horses from the control group (2/12), and
younger horses seemed to reach the criterion more quickly. Horses not reaching
the learning criteria approached the human experimenters more often than those
that did. The results demonstrate that horses learn socially across species, in
this case from humans.
PMID- 27866287
TI - Examining the gastric cancer survival gap between Asians and whites in the United
States.
AB - BACKGROUND: Globally, Asian countries bear a disproportionate gastric cancer
burden. Asian Americans, the fastest growing minority population in the US, have
higher gastric cancer survival than non-Hispanic whites (NHWs) despite higher
incidence. Benefitting from uniform cancer registry standards within the US, we
examine for the first time the heterogeneity in the Asian American population,
which may elucidate the causes of these disparities. METHODS: SEER gastric cancer
data from 2000 to 2012 were used to calculate 5-year survival estimates for NHWs
and the six largest Asian ethnicities. Multivariate analyses were performed to
identify critical prognostic factors and survival disparities between Asian
groups and NHWs. RESULTS: We analyzed 33,313 NHW and 8473 Asian gastric cancer
cases. All Asian groups had significantly higher 5-year survival than NHWs, at
29.8%. Among Asians, Koreans and Vietnamese had the highest and lowest survival,
at 45.4% and 35.7%, respectively. The Korean survival advantage was largely
attributable to relatively high proportions of localized stage and low
proportions of cardia tumors. After adjusting for major prognostic factors, the
survival disadvantage of NHWs, while attenuated, remained significant in
comparison to all Asian groups (HR: 1.33, 95% CI: 1.24-1.43; reference: Korean).
The survival disparities within the Asian groups vanished with adjustment.
CONCLUSIONS: This study characterizes distinctive gastric cancer survival
patterns among the six major Asian groups and NHWs in the US. The favorable
survival for Koreans is largely attributable to specific clinical factors,
particularly stage at diagnosis. The causes of the survival disadvantage for NHWs
remain elusive.
PMID- 27866289
TI - Echocardiographic findings in asymptomatic systemic lupus erythematosus patients.
AB - The aim of this study is to use transthoracic echocardiographic (TTE) imaging
methods to identify cardiac dysfunction in asymptomatic systemic lupus
erythematosus (SLE) patients and to determine the association between
echocardiographic findings and serology. This is a prospective cross-sectional
study where 50 patients with confirmed diagnoses of SLE were recruited from
rheumatology outpatient clinics. Clinical and serological evaluation to confirm
the diagnosis of lupus was done in all patients. Fifty SLE patients, 46 (92%)
females and 4 (8%) males, were recruited. Anti-double-stranded DNA (Anti-dsDNA),
anticardiolipin, lupus anticoagulant, and anti-beta2-glycoproteins were positive
in 52.1, 32.6, 13.3, and 15.6%, respectively. Transthoracic echocardiogram
revealed mitral regurgitation in 16 patients (32%), pericardial effusion in16
patients (32%), aortic regurgitation in five patients (10%), and tricuspid
regurgitation in 10 patients (20%). Eleven patients had left ventricular
hypertrophy (22%), and eight patients had ventricular systolic dysfunction (16%).
Only four patients had ventricular diastolic dysfunction (8%). A significant
association between mitral and tricuspid valve regurgitation and positive anti
dsDNA (p < 0.018, p < 0.006, respectively) was found. Positive anticardiolipin
antibodies, lupus anticoagulant, and anti-beta 2 glycoprotein antibodies were
also associated with mitral valve regurgitation (p values 0.044, 0.006, and
0.023), respectively. Active disease assessed by Systemic Lupus Erythematosus
Disease Activity Index (SLEDAI) was found to be associated with increased risk of
mitral valvular leaflet thickening (p value 0.028). Performing regular
transthoracic echocardiogram in asymptomatic SLE patients is important for early
detection and appropriate treatment of cardiac lesions. Clinically quiescent but
serologically active disease and presence of antiphospholipid antibodies were
associated with structural heart abnormalities.
PMID- 27866290
TI - Efficient oxidation of N-protected tryptophan and tryptophanyl-dipeptides by in
situ generated dimethyldioxirane provides hexahydropyrroloindoline-containing
synthons suitable for peptide synthesis and subsequent tryptathionylation.
AB - A series of hydroxypyrroloindoline (Hpi) containing dipeptides along with the
corresponding monomeric Hpi-alpha-amino acid (Hpi-2-carboxylate), were prepared
by reacting a series of N alpha-protected-tryptophans in aqueous or biphasic
[water/cyclopentyl methyl ether (CPME)] solutions containing Oxone(r) (potassium
peroxymonosulfate) and acetone. This procedure avoids the tedious distillation of
unstable dimethyldioxirane (DMDO), which is commonly used to oxidize indoles.
Monomers N alpha-Boc-Hpi-OH and N alpha-Fmoc-Hpi-OH were readily incorporated by
solid-phase peptide synthesis (SPPS) into a peptide containing a cysteine; in
trifluoroacetic acid (TFA), the Hpi underwent intramolecular dehydrative
condensation with the cysteine thiol to afford the anticipated tryptathionine
crosslink. This eco- and user-friendly oxidative methodology greatly simplifies
the synthesis of Hpi derivatives while enabling the synthesis of tryptathionine
crosslinks characteristic of phalloidin and amanitin, two potent peptide toxins
of present interest.
PMID- 27866288
TI - Impact of Sexual Trauma on HIV Care Engagement: Perspectives of Female Patients
with Trauma Histories in Cape Town, South Africa.
AB - South African women have disproportionately high rates of both sexual trauma and
HIV. To understand how sexual trauma impacts HIV care engagement, we conducted in
depth qualitative interviews with 15 HIV-infected women with sexual trauma
histories, recruited from a public clinic in Cape Town. Interviews explored
trauma narratives, coping behaviors and care engagement, and transcripts were
analyzed using a constant comparison method. Participants reported multiple and
complex traumas across their lifetimes. Sexual trauma hindered HIV care
engagement, especially immediately following HIV diagnosis, and there were
indications that sexual trauma may interfere with future care engagement, via
traumatic stress symptoms including avoidance. Disclosure of sexual trauma was
limited; no women had disclosed to an HIV provider. Routine screening for sexual
trauma in HIV care settings may help to identify individuals at risk of poor care
engagement. Efficacious treatments are needed to address the psychological and
behavioral sequelae of trauma.
PMID- 27866291
TI - Japanese contribution to the field of sentinel lymph node biopsy for breast
cancer patients: introduction to invited articles.
PMID- 27866292
TI - Small reductions in corolla size and pollen: ovule ratio, but no changes in
flower shape in selfing populations of the North American Arabidopsis lyrata.
AB - The shift from outcrossing to selfing is often accompanied by striking changes in
floral morphology towards a "selfing syndrome", which is characterized by flowers
with reduction in size, pollen: ovule (P/O) ratio, and herkogamy. This study aims
to test whether such changes have occurred in the North American Arabidopsis
lyrata, which is of particular interest because of the relatively recent
transitions to selfing in this system. Flower size, flower shape, herkogamy
levels, P/O ratio, and floral integration of six self-incompatible (outcrossing)
and six self-compatible (selfing) populations of A. lyrata were measured in a
common environment using conventional and geometric morphometrics methods.
Although selfers had on average 9.2% smaller corollas, 8.4% longer pistils, and
21.5% lower P/O ratios than outcrossers, there were no differences in shape,
floral integration, and herkogamy between outcrossing and selfing populations.
Moreover, most variation in floral traits was explained by population genetic
background rather than by mating system. We conclude that selfing populations in
A. lyrata have not evolved a selfing syndrome.
PMID- 27866294
TI - Renal paratransplant hernia revealed: a review of the literature.
AB - BACKGROUND: Renal paratransplant hernia (RPH) is an uncommon variant of internal
hernias developed in renal transplant recipients. The aim of this review is to
meticulously present and analyze all data coming mainly from case reports or
short-case studies on this very uncommon surgical entity. MATERIALS AND METHODS:
The MEDLINE/PubMed database was searched for publications with the medical
subject heading ''renal paratransplant hernia''. All the references from the
identified articles were searched for relevant information. The end date of the
literature search was set to March 2016. RESULTS: Our search revealed five
publications, three short clinical series (three cases each) and two case
reports. The total number of cases retrieved was 11. RPH should be considered as
an iatrogenic surgical complication. The incidence is around 0.45%. CONCLUSIONS:
RPH is a relatively uncommon but potentially fatal complication after renal
transplantation, and its non-specific symptoms may lead to misdiagnosis.
Physician awareness, prompt diagnosis, and early surgical intervention are
critical. In addition, meticulous surgical technique during transplantation may
help avoid this complication.
PMID- 27866293
TI - The efficacy of laparoscopic intracorporeal linear suture technique as a strategy
for reducing recurrences in pediatric inguinal hernia.
AB - PURPOSE: Pediatric laparoscopic herniorrhaphy has rare complications, but
recurrence might occur. The purpose of this manuscript is to evaluate the
efficacy of linear suture technique of laparoscopic pediatric herniorrhaphy in
reducing recurrences. METHODS: Laparoscopic surgery was performed on 2223
pediatric patients (under 10 years old) from September 2012 to December 2014 in
Damsoyu Hospital, Seoul, Republic of Korea. The causes of recurrence were
investigated case by case. The patients were categorized into two groups
according to the suture method used in closing the hernia orifice: Group 1 (purse
string suture, 1009 patients) and Group 2 (linear suture, 1214 patients).
RESULTS: There were 1413 (63.6%) male and 810 (36.4%) female patients. Mean age
was 30.5 +/- 29.2 months. A significantly higher proportion of male patients,
contralateral patent processus vaginalis, and less proportion of recurrence were
observed in Group 2. There were ten cases of recurrence in Group 1 because the
internal ring suture could not endure the tension. One recurrence occurred in
Group 2. The suture technique and age were found to be a significant risk factor
for recurrence. Linear suture technique had a lower recurrence rate (odds ratio =
0.07, with 95% confidence interval 0.01-0.53, and p = 0.004). CONCLUSIONS: Purse
string suture technique causes significantly higher occurrence of hernia
recurrences than linear suture technique. Linear suture technique can reduce
recurrence by increasing the endurance to tension around the internal ring by
distributing pressure to a wider area along the linear suture line. Linear suture
technique can effectively reduce recurrence in pediatric inguinal herniorrhaphy.
PMID- 27866295
TI - Characterization of a neutral recombinant xylanase from Thermoactinospora rubra
YIM 77501T.
AB - A xylanase gene (TrXyn10) from Thermoactinospora rubra YIM 77501T was cloned and
expressed in Escherichia coli. The amino acid sequence displayed 78% homology
with Microbispora mesophila xylanase (WP_062413927.1). The recombinant xylanase
(TrXyn10), with MW 46.1 kDa, could hydrolyse beechwood, birchwood and oatspelt
xylan. Based on the sequence, enzymatic properties and tertiary structure of the
protein, TrXyn10 belongs to glycoside hydrolase family 10 (GH10). The optimal pH
and temperature for the recombinant enzyme were determined to be 7.0 and 55
degrees C, respectively. TrXyn10 was stable over a wide pH range, and it retained
more than 45% of the total activity at pH 6.0-12.0 for 12 h. In addition, the
activity was greatly promoted, by approximately 200% of the initial activity,
after incubation at pH 6.0 and 7.0 for 12 h. Based on enzymatic properties and
product analysis, we showed that TrXyn10 is a neutral endoxylanase.
PMID- 27866296
TI - Intranasal Curcumin Inhibits Pulmonary Fibrosis by Modulating Matrix
Metalloproteinase-9 (MMP-9) in Ovalbumin-Induced Chronic Asthma.
AB - Pulmonary fibrosis is associated with irreversible, or partially reversible,
airflow obstruction and ultimately unresponsiveness to asthma therapies such as
corticosteroids. Intranasal curcumin, an anti-inflammatory molecule, has been
found effective in allergic asthma. To study the effect of intranasal curcumin on
airway remodeling and fibrosis in murine model of chronic asthma, BALB/c mice
were sensitized to ovalbumin (OVA) and exposed to OVA aerosol (2%) from day 21
(after sensitization) for 5 weeks (twice/week). Curcumin (intranasal) was
administered during the OVA aerosol challenge. Mice exposed to OVA developed
inflammation dominated by eosinophils which lead to fibrosis and airway
remodeling. Intranasal administration of curcumin significantly inhibited airway
inflammation and pulmonary fibrosis, where MMP-9 activities were decreased along
with alpha-smooth muscle actin (alpha-SMA), MMP-9, TIMP-1, and eotaxin
expressions. These results suggest that intranasal curcumin regulates airway
inflammation and remodeling in chronic asthma.
PMID- 27866298
TI - Radiation-induced osteosarcoma after Gamma Knife surgery for vestibular
schwannoma: a case report and literature review.
AB - We present a rare case of radiation-induced osteosarcoma following Gamma Knife(r)
surgery (GKS) for a vestibular schwannoma (VS). A 49-year-old female with
sporadic VS underwent GKS. Serial follow-up imaging showed that the tumor size
decreased. Six years after GKS, magnetic resonance imaging demonstrated regrowth
of the tumor. The tumor was removed via the retrosigmoid approach. Interestingly,
the final pathology report confirmed osteosarcoma arising in schwannoma with
direct transition (osteosarcoma component: 90 %, schwannoma component: 10 %). The
osteosarcoma was considered to be a radiation-induced malignancy. The possibility
of this rare complication should be explained to the patient before GKS, and the
patient should be screened periodically after GKS.
PMID- 27866297
TI - NPS2143 Inhibits MUC5AC and Proinflammatory Mediators in Cigarette Smoke Extract
(CSE)-Stimulated Human Airway Epithelial Cells.
AB - Mucus overproduction is a fundamental hallmark of COPD that is caused by exposure
to cigarette smoke. MUC5AC is one of the main mucin genes expressed in the
respiratory epithelium, and its transcriptional upregulation often correlates
with increased mucus secretion. Calcium-sensing receptor (CaSR) antagonists have
been reported to possess anti-inflammatory effects. The purpose of the present
study was to investigate the protective role of NPS2143, a selective CaSR
antagonist on cigarette smoke extract (CSE)-stimulated NCI-H292 mucoepidermoid
human lung cells. Treatment of NPS2143 significantly inhibited the expression of
MUC5AC in CSE-stimulated H292 cells. NPS2143 reduced the expression of MMP-9 in
CSE-stimulated H292 cells. NPS2143 also decreased the release of proinflammatory
cytokines such as IL-6 and TNF-alpha in CSE-stimulated H292 cells. Furthermore,
NPS2143 attenuated the activation of MAPKs (JNK, p38, and ERK) and inhibited the
nuclear translocation of NF-kappaB in CSE-stimulated H292 cells. These results
indicate that NPS2143 had a therapeutic potential in COPD.
PMID- 27866299
TI - Localization and dynamics of the anticarcinogenic curcumin with GM1 and other
miceller assemblies.
AB - Structural transitions involving shape changes play an important role in cellular
physiology and enhance the bioavailability of the natural food like curcumin in
surfactant aggregates. In this work, we have studied the localization, dynamics
and stability of curcumin in various miceller assemblies using a combination of
absorbance and fluorescence spectroscopic approaches. The measurements of
absorption and fluorescence spectra of curcumin revealed that the nature of
interactions of ionic and nonionic surfactants and the glycosphingolipid, GM1
with curcumin is significantly different with surfactant concentrations. At low
concentrations of SDS and the GM1 the head group of SDS and GM1 binds to the
central beta-diketone group of curcumin to form SDS-curcumin or GM1-curcumin
complexes. At high concentrations, both formed micelles with curcumin completely
solubilized inside. Cucurmin is solubilized in the stern layer of SDS micelles.
Compared to spherical micelles, rod shaped micelles allow more curcumin to bind
through hydrophobic interactions indicated by higher absorption and fluorescence,
enhanced partition coefficient and stability. Whereas curcumin associates with
GM1 micelles with lower partition coefficient, solubility and remain closer to
aqueous phase decreasing its bioavailability and stability. While cucurmin is
solubilized in the palisade layer of deoxycholate and octyl glucopyranoside
micelles through the alkyl chains providing more hydrophobic microenvironment to
curcumin with enhanced stability and bioavailability. Graphical abstract
Schematic diagram of the two different types of detergent micelles and larger GM1
micelles.
PMID- 27866300
TI - Endoscopic Management of Biliary Leaks and Strictures After Living Donor Liver
Transplantation: Optimizing Techniques for Successful Management.
AB - BACKGROUND AND AIMS: Biliary complications (BCs) occur in up to 40% of living
donor liver transplant (LDLT) recipients. The aim of this study was to evaluate
the efficacy of endoscopic therapy in the management of LDLT-related BCs.
METHODS: A retrospective study of 100 LDLT recipients at a single transplant
center over a 9-year period was conducted. BC was defined as a biliary leak
and/or a stricture. Patient records were used to identify time to diagnosis, type
of intervention, and time to resolution. RESULTS: BCs occurred in 46 (46%)
patients; median follow-up was 4.6 years (range 5 days-9.3 years); and median
time to diagnosis was 37.5 days (range 1 day-3.5 years). BCs were classified as a
leak in 6 (6%), stricture in 22 (22%), and a leak + stricture in 18 (18%). ERCP
was the initial treatment modality in 43/46 (93%) patients and was completed in
42/43 (98%). Three (6.5%) patients with a leak underwent surgery as the primary
treatment approach. The median time to resolution of BCs was 91.5 days (range 21
367). Thirteen patients had a recurrence which was managed with endoscopic
therapy alone. CONCLUSIONS: Endoscopic therapy was successful in almost all
patients (98%) and ERCP alone resulted in successful treatment in a higher
proportion of patients (93%) than traditionally reported. Advanced endoscopic
techniques obviate the need for PTC and/or surgery and allow successful
management in almost all LDLT recipients presenting with BC and in patients with
recurrence of strictures.
PMID- 27866303
TI - Anesthetic technique and cancer recurrence in oncologic surgery: unraveling the
puzzle.
AB - Surgery/anesthetic technique-stimulated immunosuppression in the perioperative
period might cause an increase in cancer-related mortality. Whether anesthetic
technique can affect the outcomes of cancer patients remains inconclusive. This
review discusses data from the available literature on anesthetic techniques
applied in oncologic surgery, the long-term outcomes of anesthetic technique, and
their relation to survival and cancer recurrence. Searches of the PubMed database
up to June 30, 2016, were conducted to identify publications with the terms
"anesthetic technique and cancer recurrence," "regional anesthesia and cancer
recurrence," "local anesthesia and cancer recurrence," "anesthetic technique and
immunosuppression," and "anesthetic technique and oncologic surgery."
Surgery/anesthesia-stimulated activation of the hypothalamic-pituitary-adrenal
(HPA) axis and the sympathetic nervous system (SNS) provides immunosuppression
through several soluble factors. Volatile anesthetics and opioids suppress cell
mediated immunity (CMI) and promote the proliferation of cancer cells and
angiogenesis, whereas propofol does not suppress CMI and inhibits tumor
angiogenesis. Regional anesthesia (RA) protects CMI and diminishes the surgical
neuroendocrine stress response by blocking afferent neural transmission that
stimulates the HPA axis and SNS, decreasing the requirement for opioids and
volatile anesthetics and thereby decreasing cancer recurrence. Preclinical and
retrospective studies highlight a potential benefit of anesthetic technique in
reducing cancer-related mortality and recurrence by attenuating immunosuppression
following surgical treatment in patients with specific types of cancer. Several
well-planned, prospective, randomized controlled trials (RCTs) are underway that
may provide more conclusive and definitive results regarding the benefits of
anesthetic technique on survival in oncologic surgery.
PMID- 27866301
TI - Longitudinal Profiles of Girls' Irritable, Defiant and Antagonistic Oppositional
Symptoms: Evidence for Group Based Differences in Symptom Severity.
AB - Three subdimensions of ODD symptoms have been proposed -angry/irritable (IR),
argumentative/defiant (DF) and antagonism (AN). This study tested whether
longitudinal symptom trajectories could be identified by these subdimensions.
Group-based trajectory analysis was used to identify developmental trajectories
of IR, DF and AN symptoms. Multi-group trajectory analysis was then used to
identify how subdimension trajectories were linked together over time. Data were
drawn from the Pittsburgh Girls Study (PGS; N = 2450), an urban community sample
of girls between the ages of five--eight at baseline. We included five waves of
annual data across ages five-13 to model trajectories. Three trajectories were
identified for each ODD subdimension: DF and AN were characterized by high,
medium and low severity groups; IR was characterized by low, medium stable, and
high increasing groups. Multi-trajectory analysis confirmed these subdimensions
were best linked together based on symptom severity. We did not identify girls'
trajectory groups that were characterized predominantly by a particular
subdimension of ODD symptoms. Membership in more severe symptom groups was
significantly associated with worse outcomes five years later. In childhood and
early adolescence girls with high levels of ODD symptoms can be identified, and
these youth are characterized by a persistently elevated profile of IR, DF and AN
symptoms. Further studies in clinical samples are required to examine the ICD-10
proposal that ODD with irritability is a distinct or more severe form of ODD.
PMID- 27866302
TI - Expression analysis of Dickkopf-related protein 3 (Dkk3) suggests its pleiotropic
roles for a secretory glycoprotein in adult mouse.
AB - Dickkopf-related protein 3 (Dkk3) is the third member of the Dkk gene family and
identical to the gene, whose expression was reduced in immortalized cells.
Therefore, its another name is reduced expression in immortalized cells. Since
the intratumoral introduction of Dkk3 inhibits tumor growth in mouse models of
cancers, Dkk3 is likely a tumor suppressor gene. However, the functions of Dkk3
in vivo remain unclear. As the first step to decipher the physiological roles of
this gene, we examined the expression pattern of Dkk3 in various tissues from
adult mice. In situ hybridization showed that Dkk3 mRNA was detected in the
brain, retina, heart, gastrointestinal tract, adrenal glands, thymus, prostate
glands, seminal vesicles, testes, and ovaries in a regionally specific manner.
Furthermore, we raised anti-mouse Dkk3 antibody and performed
immunohistochemistry. Cytoplasmic localization of Dkk3 protein was observed in
the cells of the adrenal medulla, while Dkk3 immunoreactivity was observed in the
lumen of the stomach and intestine, implying that the Dkk3 protein may be
secreted into the lumen of the gastrointestinal tract. These results suggest that
Dkk3 has pleiotropic roles for a secretory glycoprotein that acts primarily in
the gastrointestinal tract, thymus, endocrine and reproductive organs of the
mouse.
PMID- 27866304
TI - Cell lineage determinants as regulators of breast cancer metastasis.
AB - The mammary epithelium is organized in a hierarchy of mammary stem cells (MaSCs),
progenitors, and differentiated cells. The development and homeostasis of mammary
gland are tightly controlled by a complex network of cell lineage regulators.
These determinants of cellular hierarchy are frequently deregulated in breast
tumor cells and closely associated with cancer progression and metastasis. They
also contribute to the diversity of breast cancer subtypes and their distinct
metastatic patterns. Cell fate regulators that normally promote stem/progenitor
activities can serve as drivers for epithelial-mesenchymal transition and
metastasis whereas regulators that promote terminal differentiation generally
suppress metastasis. In this review, we discuss how some of the key factors
function in normal mammary lineage determination and how these processes are
hijacked by tumor cells to enhance metastasis. Understanding the molecular
connections between normal development and cancer metastasis will enable the
development of more specific and effective therapeutic approaches targeting
metastatic tumor cells.
PMID- 27866305
TI - Mental Health Status of Double Minority Adolescents: Findings from National Cross
Sectional Health Surveys.
AB - Little population-based work has been published about the mental health of
adolescents with both sexual/gender (SG) and ethnic minority (i.e. double
minority) status. This study aimed to provide an overview on their mental health.
Analysis of data from a total of 17,607 high school students from New Zealand's
2007 and 2012 cross-sectional nationally representative Adolescent Health
Surveys, including a total of 1306 (7.4%) SG minority participants, of whom 581
(3.3%) were also an ethnic minority. SG minority status, minority ethnicity, and
female sex were associated with higher mental distress and poorer well-being.
Generally speaking, double minority students reported poorer mental health than
SG majority students of the same ethnicity, but reported better mental health
than SG minority New Zealand European students. Explanations and future
directions for research were suggested to further explore how double minority
students negotiate mental health in the context of their communities/cultures in
New Zealand.
PMID- 27866306
TI - Low Dose of Bisphenol A Activates NF-kappaB/IL-6 Signals to Increase Malignancy
of Neuroblastoma Cells.
AB - Bisphenol A (BPA) can accumulate in the human body and promote the progression of
various cancers. However, its role in the development of neuroblastoma (NB) is
largely unknown. Our present study revealed that nanomolar concentrations of BPA
can significantly increase the proliferation, migration and invasion of NB SH
SY5Y and SiMa cells, further evidenced by the upregulation of human proliferating
cell nuclear antigen, Bcl-2, vimentin and fibronectin. Real-time PCR and ELISA
results suggested that nanomolar BPA can increase the expression of interleukin-6
(IL-6), but had no effect on the expression of IL-2, IL-8, IL-10 or IL-12. The
neutralization antibody of IL-6 can abolish BPA-induced proliferation and
invasion of NB cells. The inhibitor of NF-kappaB (BAY 11-7082), but not PD98059
(PD, ERK1/2 inhibitor) or LY294002 (LY, PI3 K/Akt inhibitor), attenuated BPA
induced IL-6 expression and cell proliferation and invasion. In addition, BPA
treatment also rapidly increased the phosphorylation of p65 since treatment for 5
min. Collectively, our data revealed that nanomolar BPA can trigger the
malignancy of NB cells via activation of NF-kappaB/IL-6 signals, suggesting that
more attention should be paid to the potential health risks of daily BPA intake.
PMID- 27866307
TI - Explicating the Conditions Under Which Multilevel Multiple Imputation Mitigates
Bias Resulting from Random Coefficient-Dependent Missing Longitudinal Data.
AB - Random coefficient-dependent (RCD) missingness is a non-ignorable mechanism
through which missing data can arise in longitudinal designs. RCD, for which we
cannot test, is a problematic form of missingness that occurs if subject-specific
random effects correlate with propensity for missingness or dropout. Particularly
when covariate missingness is a problem, investigators typically handle missing
longitudinal data by using single-level multiple imputation procedures
implemented with long-format data, which ignores within-person dependency
entirely, or implemented with wide-format (i.e., multivariate) data, which
ignores some aspects of within-person dependency. When either of these standard
approaches to handling missing longitudinal data is used, RCD missingness leads
to parameter bias and incorrect inference. We explain why multilevel multiple
imputation (MMI) should alleviate bias induced by a RCD missing data mechanism
under conditions that contribute to stronger determinacy of random coefficients.
We evaluate our hypothesis with a simulation study. Three design factors are
considered: intraclass correlation (ICC; ranging from .25 to .75), number of
waves (ranging from 4 to 8), and percent of missing data (ranging from 20 to
50%). We find that MMI greatly outperforms the single-level wide-format
(multivariate) method for imputation under a RCD mechanism. For the MMI analyses,
bias was most alleviated when the ICC is high, there were more waves of data, and
when there was less missing data. Practical recommendations for handling
longitudinal missing data are suggested.
PMID- 27866308
TI - Establishing a Mathematical Equations and Improving the Production of L-tert
Leucine by Uniform Design and Regression Analysis.
AB - L-tert-Leucine (L-Tle) and its derivatives are extensively used as crucial
building blocks for chiral auxiliaries, pharmaceutically active ingredients, and
ligands. Combining with formate dehydrogenase (FDH) for regenerating the
expensive coenzyme NADH, leucine dehydrogenase (LeuDH) is continually used for
synthesizing L-Tle from alpha-keto acid. A multilevel factorial experimental
design was executed for research of this system. In this work, an efficient
optimization method for improving the productivity of L-Tle was developed. And
the mathematical model between different fermentation conditions and L-Tle yield
was also determined in the form of the equation by using uniform design and
regression analysis. The multivariate regression equation was conveniently
implemented in water, with a space time yield of 505.9 g L-1 day-1 and an
enantiomeric excess value of >99 %. These results demonstrated that this method
might become an ideal protocol for industrial production of chiral compounds and
unnatural amino acids such as chiral drug intermediates.
PMID- 27866309
TI - Osteoporosis in patients with diabetes after kidney transplantation.
AB - Preexisting diabetes increases risk of fractures after kidney transplantation
(KT). However, little is known about mechanisms and prevention of increased
fragility in these patients. Pathophysiology of osteoporosis after KT is complex
and characterized by high prevalence of adynamic bone disease. Despite high
prevalence of preexisting diabetes in KT recipients, diabetes patients were
underrepresented in the studies that explored mechanisms and treatments of
osteoporosis after KT. Therefore, caution should be exercised before considering
conventional fracture prevention strategies in this unique group of patients.
Many traditional osteoporosis medications reduce bone turnover and, hence, can be
ineffective or even harmful in diabetic patients after KT. Contrary to
predictions, evidence from the studies conducted in mostly non-diabetic subjects
demonstrated that bisphosphonates failed to reduce fracture rates after KT.
Therefore, bisphosphonates use should be limited in diabetic patients until more
evidence supporting their post-transplant efficacy is available. We recommend the
following strategies that may help reduce fracture risk in diabetes subjects
after KT such as adequate management of calcium, parathyroid hormone, and vitamin
D levels, optimization of glycemic control, use of steroid-sparing
immunosuppressive regimens, and fall prevention.
PMID- 27866311
TI - Unforced Revision in Processing Relative Clause Association Ambiguity in
Japanese: Evidence Against Revision as Last Resort.
AB - The current study tackles a long standing question of whether comprehenders
perform structural revision when it is not forced by grammar or not. Using an eye
tracking reading paradigm, we addressed this issue by making use of global
structural ambiguity in Japanese. Our results show that comprehenders initially
associate a relative clause with the first potential head noun and that they
revise this analysis when the second noun is lexico-semantically possible as the
relative clause head, but do not when it is impossible. The results are
incompatible with the Revision as Last Resort hypothesis. Instead, they support
the parsing with unforced revision that is immediately sensitive to lexical
properties. We argue that our results cannot be accounted for by serial modular
processing models but that they can be explained by ranked-parallel interactive
processing models. Furthermore, we propose that head-finality is a key factor
involved in the availability of unforced revision.
PMID- 27866310
TI - Prediction of difficult laryngoscopy using spirometry: a pilot study.
AB - Prediction of difficult laryngoscopy is still the uncovered secret of anesthetic
practice. This pilot study is aimed to assess the efficacy of spirometry
measurements in predicting difficult laryngoscopy compared with conventional
airway assessment techniques. We enrolled 202 adults, ages 18-40 years, with an
American Society of Anaesthesiologists score of I or II, scheduled for elective
surgery and undergoing general anesthesia. Spirometry was used for lung capacity
measurements before the operation. The Mallampati classification, neck
circumference, sternomental distance, thyromental distance, maximum mouth-opening
measurement, and upper lip bite test of the subjects were measured. During
intubation, the Cormack-Lehane grade was recorded. Spearman's correlation
analysis was used to define the linearity between spirometry outputs and airway
measurements. Receiver operating curves were drawn to discriminate the predictive
features of the significant values. The thyromental distance showed a higher
correlation with forced inspiratory vital capacity (rho = 0.420, P < 0.001). In a
multivariate linear regression model, all spirometry measurements revealed that
forced inspiratory vital capacity (beta = -2.050, P = 0.022) was the significant
predictor for difficult laryngoscopy. The area under the curve for forced
inspiratory vital capacity with a cut-off value of 3.1950 L while using
thyromental distance as difficult laryngoscopy indicator is 0.754 and forced
inspiratory vital capacity showed a sensitivity of 0.718 and specificity of 0.714
with a positive likelihood ratio of 2.5104 and negative likelihood ratio of
0.3949. Forced inspiratory vital capacity showed a close association with the
prediction of difficult laryngoscopy.
PMID- 27866312
TI - Transgenic citrus expressing synthesized cecropin B genes in the phloem exhibits
decreased susceptibility to Huanglongbing.
AB - KEY MESSAGE: Expression of synthesized cecropin B genes in the citrus phloem,
where Candidatus Liberibacter asiaticus resides, significantly decreased host
susceptibility to Huanglongbing. Huanglongbing (HLB), associated with Candidatus
Liberibacter asiaticus bacteria, is the most destructive disease of citrus
worldwide. All of the commercial sweet orange cultivars lack resistance to this
disease. The cationic lytic peptide cecropin B, isolated from the Chinese tasar
moth (Antheraea pernyi), has been shown to effectively eliminate bacteria. In
this study, we demonstrated that transgenic citrus (Citrus sinensis Osbeck)
expressing the cecropin B gene specifically in the phloem had a decreased
susceptibility to HLB. Three plant codon-optimized synthetic cecropin B genes,
which were designed to secrete the cecropin B peptide into three specific sites,
the extracellular space, the cytoplasm, and the endoplasmic reticulum, were
constructed. Under the control of the selected phloem-specific promoter GRP1.8,
these constructs were transferred into the citrus genome. All of the cecropin B
genes were efficiently expressed in the phloem of transgenic plants. Over more
than a year of evaluation, the transgenic lines exhibited reduced disease
severity. Bacterial populations in transgenic lines were significantly lower than
in the controls. Two lines, in which bacterial populations were significantly
lower than in others, showed no visible symptoms. Thus, we demonstrated the
potential application of the phloem-specific expression of an antimicrobial
peptide gene to protect citrus plants from HLB.
PMID- 27866313
TI - Two MYB-related transcription factors play opposite roles in sugar signaling in
Arabidopsis.
AB - KEY MESSAGE: Sugar regulation of gene expression has profound effects at all
stages of the plant life cycle. Although regulation at the transcriptional level
is one of the most prominent mechanisms by which gene expression is regulated,
only a few transcription factors have been identified and demonstrated to be
involved in the regulation of sugar-regulated gene expression. OsMYBS1, an R1/2
type MYB transcription factor, has been demonstrated to be involved in sugar- and
hormone-regulated alpha-amylase gene expression in rice. Arabidopsis contains two
OsMYBS1 homologs. In the present study, we investigate MYBS1 and MYBS2 in sugar
signaling in Arabidopsis. Our results indicate that MYBS1 and MYBS2 play opposite
roles in regulating glucose and ABA signaling in Arabidopsis during seed
germination and early seedling development. MYB proteins have been classified
into four subfamilies: R2R3-MYB, R1/2-MYB, 3R-MYB, and 4R-MYB. An R1/2-type MYB
transcription factor, OsMYBS1, has been demonstrated to be involved in sugar- and
hormone-regulated alpha-amylase genes expression in rice. In this study, two
genes homologous to OsMYBS1, MYBS1 and MYBS2, were investigated in Arabidopsis.
Subcellular localization analysis showed that MYBS1 and MYBS2 were localized in
the nucleus. Rice embryo transient expression assays indicated that both MYBS1
and MYBS2 could recognize the sugar response element, TA-box, in the promoter and
induced promoter activity. mybs1 mutant exhibited hypersensitivity to glucose,
whereas mybs2 seedlings were hyposensitive to it. MYBS1 and MYBS2 are involved in
the control of glucose-responsive gene expression, as the mybs1 mutant displayed
increased expression of a hexokinase gene (HXK1), chlorophyll a/b-binding protein
gene (CAB1), ADP-glucose pyrophosphorylase gene (APL3), and chalcone synthase
gene (CHS), whereas the mybs2 mutant exhibited decreased expression of these
genes. mybs1 also showed an enhanced response to abscisic acid (ABA) in the seed
germination and seedling growth stages, while mybs2 showed reduced responses. The
ABA biosynthesis inhibitor fluridone rescued the mybs1 glucose-hypersensitive
phenotype. Moreover, the mRNA levels of three ABA biosynthesis genes, ABA1,
NCED9, and AAO3, and three ABA signaling genes, ABI3, ABI4, and ABI5, were
increased upon glucose treatment of mybs1 seedlings, but were decreased in mybs2
seedlings. These results indicate that MYBS1 and MYBS2 play opposite roles in
regulating glucose and ABA signaling in Arabidopsis during seed germination and
early seedling development.
PMID- 27866314
TI - Factors associated with health-related quality of life (HRQOL) in adults with
short stature skeletal dysplasias.
AB - INTRODUCTION: Numerous factors associate with health disparities. The extent to
which such factors influence health-related quality of life (HRQOL) among adults
with short stature skeletal dysplasias (SD) is unknown. In an effort to update
and clarify knowledge about the HRQOL of adults with SD, this study aimed to
quantify HRQOL scores relative to the American average and assess whether
specific indicators are associated with lower scores. METHODS: Members (>18
years) of Little People of America were invited to complete an online survey
assessing HRQOL using the SF-12 supplemented with indicator-specific questions.
SF-12 components (Physical Component Summary, PCS; Mental Component Summary, MCS)
were compared to the standardized national American mean. Scores were divided at
the median to identify factors associated with lower scores using multivariable
logistic regression, adjusting for age, gender, race, education, and employment.
RESULTS: A total of 189 surveys were completed. Mean and median PCS and MCS were
below the national mean of 50 (p < 0.001). Advancing decade of age corresponded
to a significant decline in PCS (p < 0.001) but not MCS (p = 0.366). Pain
prevalence was high (79.4%); however, only 5.9% visited a pain specialist.
Significant factors for lower PCS included age >40 years (p = 0.020), having
spondyloepiphyseal dysplasia congenita (SED) or diastrophic dysplasia relative to
achondroplasia (p = 0.023), pain (p < 0.001), and "partial" versus "full" health
insurance coverage (p = 0.034). For MCS, significant factors included a lack of
social support (p = 0.002) and being treated differently/feeling stigmatized by
health care providers (p = 0.022). CONCLUSIONS: Individuals with SD face
documented disparities and report lower HRQOL. Further research and interventions
are needed to modify nuanced factors influencing these results and address the
high prevalence of pain.
PMID- 27866315
TI - Does the EQ-5D capture the effects of physical and mental health status on life
satisfaction among older people? A path analysis approach.
AB - PURPOSE: To examine the extent to which EQ-5D utility scores capture the effect
of mental and physical health status on life satisfaction (LS) in older adults.
METHODS: Retrospective cohort study of 884 patients aged >=70 years from 15
general practices in Ireland, including medical records, pharmacy claims, and
self-completion questionnaire. Path analysis was used to evaluate the direct and
indirect effects of: (1) chronic disease burden (based on medications data); (2)
activity limitation (basic and instrumental activities of daily living); (3)
anxiety symptoms and; (4) depressive symptoms (Hospital Anxiety and Depression
Scale) on LS (Life Satisfaction Index Z), via a utility score based on responses
to the EQ-5D scale. Utility scores were calculated using UK time trade-off
utility weights. Covariates included age and socioeconomic status. RESULTS: The
final path model fitted the data well (goodness of fit chi2 = 7.5, df (7), p =
0.37). The direct effects of chronic disease burden and disability on LS were not
statistically significant and were excluded from the final model, indicating that
EQ-5D score mediated 100% of the total effect on LS. The direct and indirect
effects of anxiety and depression on LS were statistically significant, but the
size of the indirect effect was small (4% of the total effect for anxiety and 6%
of the total effect for depression). CONCLUSION: The EQ-5D does not adequately
capture the effects of anxiety and depression on LS among older adults,
suggesting that it may lead to inaccurate assessments of the effectiveness of
interventions in this cohort.
PMID- 27866316
TI - Epidemiology of developmental dysplasia of the hip within the UK: refining the
risk factors.
AB - PURPOSE: The epidemiology and risk factors for developmental dysplasia of the hip
(DDH) are still being refined. We investigated the local epidemiology of DDH in
order to define incidence, identify risk factors, and refine our policy on
selective ultrasound screening. METHODS: With a cohort study design, data were
prospectively recorded on all live births in our region from January 1998 to
December 2008. We compared data on babies treated for DDH with data for all other
children. Crude odds ratios (ORs) were calculated to identify potential risk
factors. Logistic regression was then used to control for interactions between
variables. RESULTS: There were 182 children born with DDH (with a total of 245
dysplastic hips) and 37,051 without. The incidence was 4.9 per 1000 live births.
Female sex (adjusted OR 7.2, 95% confidence interval [CI] 4.6-11.2), breech
presentation (adjusted OR 24.3, 95% CI 13.1-44.9), positive family history
(adjusted OR 15.9, 95% CI 11.0-22.9) and first or second pregnancy (adjusted OR
1.8, 95% CI 1.5-2.3) were confirmed as risk factors (p < 0.001). In addition,
there was an increased risk with vaginal delivery (adjusted OR 2.7, 1.6-4.5, p <
0.001) and post-maturity (OR 1.7, 1.2-2.4, p < 0.002). CONCLUSIONS: One in 200
children born within our region requires treatment for DDH. Using both
established and novel risk factors, we can potentially calculate an individual
child's risk. Our findings may contribute to the debate regarding selective
versus universal ultrasound screening. LEVEL OF EVIDENCE: Prognostic Study: Level
1.
PMID- 27866317
TI - Spectrofluorimetric and Potentiometric Determination of Acidity Constants of 4
(4'-Acetyloxy-3'-Methoxybenzylidene)-5-Oxazolone Derivatives.
AB - 4-(4'-acetyloxy-3'-methoxybenzylidene)-5-oxazolone fluorescent molecules bearing
four different aryl groups attached to the 2-position of 5-oxazolone ring have
been investigated by spectrophotometric and potentiometric techniques in solution
media. The acidity constants (pKa) of the fluorescent molecules were precisely
determined in acetone, acetonitrile, dimethylformamide and in 1:1 mixture of
toluene-isopropanol. The studied derivatives were titrated with
tetrabutylammonium hydroxide and non-aqueous perchloric acid by scanning the
basic and acidic region of the pH scale. A computerizable derivative method was
used in order to descript precisely the end point and pKa values. The molecules
investigated performed well-shaped and stoichiometric potentiometric titration
curves.
PMID- 27866318
TI - Pig BVDV-2 non-structural protein (Npro) links to cellular antiviral response in
vitro.
AB - In this study, we constructed for the first time a full-length cDNA clone of pig
original bovine viral diarrhea virus 2 (BVDV-2) strain SH-28, modified the cDNA
clone (pASH28) for mutant pASHDeltaNpro and derived virus strain vASHDeltaNpro by
deleting the genomic region encoding the Npro polypeptide, and examined
significance of protein Npro for antiviral responses in vitro. Data showed that
Npro-deletion mutant virus vASHDeltaNpro led to significant overexpression of
oligo adenylate synthetase (OAS), myxovirus-resistant protein 1 (Mx1), and
ubiquitin-like protein 15 (ISG15). Data also revealed that overexpression of
Npro, but not NS2 and NS3 proteins, resulted in significant down-regulation of
OAS, Mx1, and ISG15 production (p <= 0.05) in bovine cells as well as porcine
cells transfected with Npro recombinant eukaryotic expression plasmids. Npro (but
not NS2 and NS3) was also found to inhibit poly(IC) from inducing production of
type I interferon (IFN-I). These results indicated that protein Npro may play
multiple roles in regulating antiviral response in host cells interfered by pig
BVDV-2 strain, and provided useful information to understand better the mechanism
of BVDV-2 persistent infection in pigs.
PMID- 27866319
TI - Successful Posaconazole Therapy of Disseminated Alternariosis due to Alternaria
infectoria in a Heart Transplant Recipient.
AB - We report a case of phaeohyphomycosis caused by Alternaria infectoria in a 61
year-old heart transplant recipient with multiple skin lesions and pulmonary
infiltrates. The infection spread via the haematogenous route from the primary
cutaneous lesions into the lungs. The diagnosis was based on the
histopathological examination, direct microscopy, skin lesion cultures and
detection of Alternaria DNA in the bronchoalveolar lavage fluid using molecular
methods. The treatment consisted of a combination of surgical excision and
systemic antifungal therapy. Voriconazole was the first agent used but had a weak
effect. Posaconazole was subsequently used to achieve a successful response. The
isolate was identified as A. infectoria by sequencing of the rDNA ITS region and
the partial beta-tubulin gene.
PMID- 27866320
TI - Protein tyrosine phosphatase 1B inhibitory properties of seco-cucurbitane
triterpenes obtained from fruiting bodies of Russula lepida.
AB - The known seco-cucurbitane triterpene, (24E)-3,4-seco-cucurbita-4,24-diene
3,26,29-trioic acid (1), has been isolated as a potent protein tyrosine
phosphatase (PTP) 1B inhibitor together with a new analogue, (24E)-3,4-seco
cucurbita-4,24-diene-3-hydroxy-26,29-dioic acid (2), from the fruiting bodies of
Russula lepida. Further evaluation of their biological properties against PTPs
revealed that compound 1 inhibited T-cell PTP activity similarly to PTP1B and
exhibited moderate selectivity against PTP1B over vaccinia H-1-related
phosphatase. Moreover, the in vitro growth inhibitory effects of 1 and 2 against
three human cancer cell lines were examined in order to evaluate cell-based
efficacy. However, neither 1 nor 2 enhanced insulin-stimulated p-Akt levels at
non-cytotoxic concentrations.
PMID- 27866321
TI - Exceptional Symmetry by Genomic Word : A Statistical Analysis.
AB - Single-strand DNA symmetry is pointed as a universal law observed in the genomes
from all living organisms. It is a somewhat broadly defined concept, which has
been refined into some more specific measurable effects. Here we discuss the
exceptional symmetry effect. Exceptional symmetry is the symmetry effect beyond
that expected in independence contexts, and it can be measured for each word, for
each equivalent composition group, or globally, combining the effects of all
possible words of a given length. Global exceptional symmetry was found in
several species, but there are genomic words with no exceptional symmetry effect,
whereas others show a very high exceptional symmetry effect. In this work, we
discuss a measure to evaluate the exceptional symmetry effect by symmetric word
pair, and compare it with others. We present a detailed study of the exceptional
symmetry by symmetric pairs and take the CG content into account. We also
introduce and discuss the exceptional symmetry profile for the DNA of each
organism, and we perform a multiple comparison for 31 genomes: 7 viruses; 5
archaea; 5 bacteria; 14 eukaryotes.
PMID- 27866322
TI - Rare Case of an Ancient Craniofacial Osteosarcoma with Probable Surgical
Intervention.
AB - Osteosarcoma is the most common primary malignant bone tumor both today and in
antiquity. Nevertheless, it is a comparatively rare tumor. This paper describes a
case of a highly aggressive craniofacial lesion from the 11th-12th centuries AD,
most likely representing osteosarcoma. During the paleopathological study,
macroscopic, endoscopic, radiological, scanning-electron and light microscopic
investigations were performed. The skull of the approximately 40-50 year-old
female revealed several pathological findings. The most impressive macroscopic
feature was an extensively spiculated periosteal reaction ("sunburst" pattern) in
combination with a massive bone destruction most likely derived from a highly
aggressive tumor originating in the ethmoidal area of the medial wall of the
orbit. The central parts of the lesion showed excessive new and most probably
neoplastic bone formation indicating an underlying high-grade osteosarcoma. The
light microscopic examination revealed three different levels of bony structures
representing different qualities of bone tissues. Besides the mass lesion, signs
of a healed multiple incomplete trephination of the left parietal bone was
observed. This case represents a unique example in which the concomitance of a
tumor and an incomplete trephination could be observed from the skeletal remains
of an ancient individual. The case opens new considerations as to whether
surgical interventions, such as incomplete trephination, might have been used
already in the Middle Ages as a therapeutic approach.
PMID- 27866323
TI - Measuring Compassion in Healthcare: A Comprehensive and Critical Review.
AB - BACKGROUND: There is international concern about the lack of compassion in
healthcare systems. A valid and reliable tool for measuring compassion in
healthcare systems and educational institutions is required. This comprehensive
and critical narrative synthesis identified and compared existing measures of
compassionate care in clinical settings. METHODS: PubMed, MEDLINE, CINAHL and
PsycINFO databases and grey literature were searched to identify studies that
report information on instruments that measure compassion or compassionate care
in clinicians, nurses, healthcare students and patients. Textual qualitative
descriptions of included studies were prepared. Instruments were evaluated using
the Evaluating Measures of Patient-Reported Outcomes (EMPRO) tool. RESULTS: Nine
studies containing information on the Compassion Competence Scale, a self-report
instrument that measures compassion competence among Korean nurses; the
Compassion Scale, the Compassionate Care Assessment Tool(c), and the Schwartz
Center Compassionate Care ScaleTM, patient-reported instruments that measure the
importance of healthcare provider compassion; the Compassion Practices Scale, an
instrument that measures organisational support for compassionate care; and
instruments that measure compassion in educational institutions (instructional
quality and a Geriatric Attitudes Scale), were included. Each instrument is
associated with significant limitations. Most only measure certain aspects of
compassion and lack evidence of adaptability to diverse practice settings. The
EMPRO of self-report instruments revealed a lack of psychometric information on
measurement reliability, validity, responsiveness and interpretability,
respondent, administrative and scoring burden, and use in subpopulations.
CONCLUSION: The findings of this narrative synthesis identified an unmet need for
a psychometrically validated instrument that comprehensively measures the
construct of compassion in healthcare settings.
PMID- 27866324
TI - Pedicle screw insertion techniques: an update and review of the literature.
AB - Pedicle screw construct have become one of the most practiced procedure in spinal
surgery. Despite commonly used, questions remain about their safety especially
for the thoracic spine and in deformity where difficulty in positioning can lead
to pedicle breach and adjacent structures injury. Misplacement rates have been
reported to be from 5 to 41% in the lumbar spine and from 3 to 55% in the
thoracic spine. Hence, various procedures have been described in order to improve
pedicle screw insertion accuracy. Aim of this study is to evaluate current
concepts on pedicle screws placement techniques to better understand recent
attitude and clarify some doubts when selecting the most proper method.
PMID- 27866325
TI - Sexually Dimorphic Expression of Reelin in the Brain of a Mouse Model of
Alzheimer Disease.
AB - Recent evidence highlights the protective role of reelin against amyloid beta
(Abeta)-induced synaptic dysfunction and cognitive impairment in Alzheimer
disease (AD). In this study, exploiting TgCRND8 mice that overexpress a mutant
form of amyloid beta precursor protein (AbetaPP) and display an early onset of AD
neuropathological signs, we addressed the question whether changes of reelin
expression eventually precede the appearance of Abeta-plaques in a sex-dependent
manner. We show that sex-associated and brain region-specific differences in
reelin expression appear long before Abeta-plaque formation. However, in spite of
a downregulation of reelin expression compared to males, TgCRND8 females display
fewer Abeta-plaques, suggesting that additional factors, other than sex and
reelin level, influence amyloidosis in this mouse model.
PMID- 27866327
TI - Mesenchymal Stem Cells Attenuate the Adverse Effects of Immunosuppressive Drugs
on Distinct T Cell Subopulations.
AB - Immunosuppressive drugs are widely used to treat undesirable immune reaction,
however their clinical use is often limited by harmful side effects. The combined
application of immunosuppressive agents with mesenchymal stem cells (MSCs) offers
a promising alternative approach that enables the reduction of immunosuppressive
agent doses and simultaneously maintains or improves the outcome of therapy. The
present study aimed to determinate the effects of immunosuppressants on
individual T cell subpopulations and to investigate the efficacy of MSC-based
treatment combined with immunosuppressive drugs. We tested the effect of five
widely used immunosuppressants with different action mechanisms: cyclosporine A,
mycophenolate mofetil, rapamycin, and two glucocorticoids - prednisone and
dexamethasone in combination with MSCs on mouse CD4+ and CD8+ lymphocyte
viability and activation, Th17 (RORgammat+), Th1 (T-bet+), Th2 (GATA-3+) and Treg
(Foxp3+) cell proportion and on the production of corresponding key cytokines (IL
17, IFNgamma, IL-4 and IL-10). We showed that MSCs modulate the actions of
immunosuppressants and in combination with immunosuppressive drugs display
distinct effect on cell activation and balance among different T lymphocytes
subpopulations and exert a suppressive effect on proinflammatory T cell subsets
while promoting the functions of anti-inflammatory Treg lymphocytes. The results
indicated that MSC-based therapy could be a powerful strategy to attenuate the
negative effects of immunosuppressive drugs on the immune system.
PMID- 27866328
TI - Histochemical changes of occlusal surface enamel of permanent teeth, where dental
caries is questionable vs sound enamel surfaces.
AB - AIM: This in vitro study was to classify questionable for caries occlusal
surfaces (QCOS) of permanent teeth according to ICDAS codes 1, 2, and 3 and to
compare them in terms of enamel mineral composition with the areas of sound
tissue of the same tooth. METHODS: Partially impacted human molars (60) extracted
for therapeutic reasons with QCOS were used in the study, photographed via a
polarised light microscope and classified according to the ICDAS II (into codes
1, 2, or 3). The crowns were embedded in clear self-cured acrylic resin and
longitudinally sectioned at the levels of the characterised lesions and studied
by SEM/EDX, to assess enamel mineral composition of the QCOS. Univariate and
multivariate random effect regressions were used for Ca (wt%), P (wt%), and Ca/P
(wt%). RESULTS: The EDX analysis indicated changes in the Ca and P contents that
were more prominent in ICDAS-II code 3 lesions compared to codes 1 and 2 lesions.
In these lesions, Ca (wt%) and P (wt%) concentrations were significantly
decreased (p = 0.01) in comparison with sound areas. Ca and P (wt%) contents were
significantly lower (p = 0.02 and p = 0.01 respectively) for code 3 areas in
comparison with codes 1 and 2 areas. Significantly higher (p = 0.01) Ca (wt%) and
P (wt%) contents were found on sound areas compared to the lesion areas.
CONCLUSIONS: The enamel of occlusal surfaces of permanent teeth with ICDAS 1, 2,
and 3 lesions was found to have different Ca/P compositions, necessitating
further investigation on whether these altered surfaces might behave differently
on etching preparation before fissure sealant placement, compared to sound
surfaces.
PMID- 27866326
TI - The Neuroprotective Peptide Poly-Arginine-12 (R12) Reduces Cell Surface Levels of
NMDA NR2B Receptor Subunit in Cortical Neurons; Investigation into the
Involvement of Endocytic Mechanisms.
AB - We have previously reported that cationic poly-arginine and arginine-rich cell
penetrating peptides display high-level neuroprotection and reduce calcium influx
following in vitro excitotoxicity, as well as reduce brain injury in animal
stroke models. Using the neuroprotective peptides poly-arginine R12 (R12) and the
NR2B9c peptide fused to the arginine-rich carrier peptide TAT (TAT-NR2B9c; also
known as NA-1), we investigated the mechanisms whereby poly-arginine and arginine
rich peptides reduce glutamate-induced excitotoxic calcium influx. Using cell
surface biotin protein labeling and western blot analysis, we demonstrated that
R12 and TAT-NR2B9c significantly reduced cortical neuronal cell surface
expression of the NMDA receptor subunit NR2B. Chemical endocytic inhibitors used
individually or in combination prior to glutamate excitotoxicity did not
significantly affect R12 peptide neuroprotective efficacy. Similarly,
pretreatment of neurons with enzymes to degrade anionic cell surface
proteoglycans, heparan sulfate proteoglycan (HSPG), and chondroitin sulfate
proteoglycan (CSPG), as well as sialic acid residues, did not significantly
affect peptide neuroprotective efficacy. While the exact mechanisms responsible
for R12 peptide-mediated NMDA receptor NR2B subunit cell surface downregulation
were not identified, an endocytic process could not be ruled out. The study
supports our hypothesis that arginine-rich peptides reduce excitotoxic calcium
influx by reducing the levels of cell surface ion channels.
PMID- 27866329
TI - Diffusional behavior and guest conformational analysis of hexadecane-1,16-diol
and hexadecane in urea crystal model via molecular dynamics simulation approach.
AB - Diffusion at the atomic or molecular level is a source of many physical,
chemical, and biological processes taking place in plentiful materials. This work
is an endeavor toward investigating the diffusional behavior of two different
type of guests, hexadecane-1,16-diol and hexadecane enclathration in urea tunnel
architecture, whereby the correlation of the diffusion mechanism with the guest's
structural and conformational properties is explored. To carry out this study,
molecular dynamics simulation approach is adopted. It is found that hexadecane
1,16-diol exhibit slower diffusion with an average diffusion coefficient value
[Formula: see text], where hexadecane diffuse more rapidly with an average
diffusion coefficient value [Formula: see text]. It is also observed that the
structural properties influence the guest's travel distance and torsion angle
distribution of the trans and gauche conformational proportion. Furthermore, the
observed high energy barrier accounted for hexadecane-1,16-diol and low energy
barrier for hexadecane along urea tunnel systems was analyzed. The comparison of
our obtained results are in close agreement with the available experimental
measurements, i.e., gauche proportion properties between two different guest
molecules correlate well with Raman spectroscopy investigation on alpha,omega
dihalogenoalkane/urea inclusion compounds. Our calculations also successfully
endorse the structure-property relation between the two systems.
PMID- 27866330
TI - Human gut microbiota: the links with dementia development.
AB - Dementia is a comprehensive category of brain diseases that is great enough to
affect a person's daily functioning. The most common type of dementia is
Alzheimer's disease, which makes most of cases. New researches indicate that
gastrointestinal tract microbiota are directly linked to dementia pathogenesis
through triggering metabolic diseases and low-grade inflammation progress. A
novel strategy is proposed for the management of these disorders and as an
adjuvant for psychiatric treatment of dementia and other related diseases through
modulation of the microbiota (e.g. with the use of probiotics).
PMID- 27866331
TI - Photoreceptor degeneration by intravitreal injection of N-methyl-N-nitrosourea
(MNU) in rabbits: a pilot study.
AB - BACKGROUND: Pilot study on the attempt to induce selective photoreceptor
degeneration in the rabbit eye by intravitreal injection of MNU, facing the
difficulties of the evaluation of retinal degeneration by different in-vivo and
in-vitro methods in such a large eye animal model. METHODS: Eight pigmented
Chinchilla Bastard rabbits were injected intravitreally with MNU (1 * 1mg/kg body
weight (BW), 1 * 2mg/kg BW, 3 * 3mg/kg BW, 1 * 4mg/kg BW, 1 * 6mg/kg BW, and 1 *
DMSO + PBS as control). One, 2, and 3 weeks after injection, the effects on the
rabbit retina were examined in vivo using clinical observation (macroscopic
images, funduscopy, weighing of the animals), measurement of intraocular pressure
(IOP), full-field Electroretinography (ffERG), and spectral-domain Optical
Coherence Tomography (sd-OCT). After 3 weeks follow-up, blood samples were taken
to evaluate the general health status of the animals, and immunohistochemistry
(IH) was performed on sections obtained from six different regions throughout the
whole retina to evaluate MNU effects in more detail. RESULTS: It was difficult to
observe the effects of MNU on retinal structure by OCT in vivo. Only the temporal
quadrant of the retina could be visualized. Therefore, it was indispensible to
evaluate the effects of MNU on the retina in vitro by examining six areas of the
retina using immunohistochemistry. Furthermore, immunohistochemistry plays a
decisive role to evaluate the effects on retinal cells other than photoreceptors
while in H&E staining, namely the cell count of the ONL can be observed. The
results obtained in vivo and in vitro in this study mainly follow the results of
a previous study in mice. The low doses of MNU (1, 2 mg/kg BW) had no effects on
retinal function and morphology, while high doses (4, 6 mg/kg BW) led to retinal
changes in combination with significant side-effects (e.g., cataractous changes).
Injection of 3 mg/kg BW MNU induced selective photoreceptor degeneration.
However, the degree of degeneration varied between different parts of the same
retina and between retinae of different animals. In two of three animals, a
complete loss of ERG potentials was observed. Negative effects on the
contralateral eye or on general welfare of the animal were never observed.
CONCLUSIONS: In rabbits, the intravitreal injection of 3 mg/kg BW MNU leads to
selective but inhomogeneous photoreceptor degeneration.
PMID- 27866332
TI - Decreased proteinase A excretion by strengthening its vacuolar sorting and
weakening its constitutive secretion in Saccharomyces cerevisiae.
AB - Proteinase A (PrA), encoded by PEP4 gene, is detrimental to beer foam stability.
There are two transport pathways for the new synthesized PrA in yeast, sorting to
the vacuole normally, or excreting out of the cells under stress conditions. They
were designated as the Golgi-to-vacuole pathway and the constitutive secretory
pathway, respectively. To reduce PrA excretion in some new way instead of its
coding gene deletion, which had a negative effect on cell metabolism and beer
fermentation, we modified the PrA transport based on these above two pathways. In
the Golgi-to-vacuole pathway, after the verification that Vps10p is the dominant
sorting receptor for PrA Golgi-to-vacuolar transportation by VPS10 deletion,
VPS10 was then overexpressed. Furthermore, SEC5, encoding exocyst complexes'
central subunit (Sec5p) in the constitutive secretory pathway, was deleted. The
results show that PrA activity in the broth fermented with WGV10 (VPS10
overexpressing strain) and W?SEC5 (SEC5 deletion strain) was lowered by 76.96 and
32.39%, compared with the parental strain W303-1A, at the end of main
fermentation. There are negligible changes in fermentation performance between
W?SEC5 and W303-1A, whereas, surprisingly, WGV10 had a significantly improved
fermentation performance compared with W303-1A. WGV10 has an increased growth
rate, resulting in higher biomass and faster fermentation speed; finally, wort
fermentation is performed thoroughly. The results show that the biomass
production of WGV10 is always higher than that of W?SEC5 and W303-1A at all
stages of fermentation, and that ethanol production of WGV10 is 1.41-fold higher
than that of W303-1A. Obviously, VPS10 overexpression is beneficial for yeast and
is a more promising method for reduction of PrA excretion.
PMID- 27866333
TI - Strain engineering and process optimization for enhancing the production of a
thermostable steryl glucosidase in Escherichia coli.
AB - Biodiesels produced from transesterification of vegetable oils have a major
problem in quality due to the presence of precipitates, which are mostly composed
of steryl glucosides (SGs). We have recently described an enzymatic method for
the efficient removal of SGs from biodiesel, based on the activity of a
thermostable beta-glycosidase from Thermococcus litoralis. In the present work,
we describe the development of an Escherichia coli-based expression system and a
high cell density fermentation process. Strain and process engineering include
the assessment of different promoters to drive the expression of a codon
optimized gene, the co-expression of molecular chaperones and the development of
a high cell density fermentation process. A 200-fold increase in the production
titers was achieved, which directly impacts on the costs of the industrial
process for treating biodiesel.
PMID- 27866334
TI - Growth and wax ester production of an Acinetobacter baylyi ADP1 mutant deficient
in exopolysaccharide capsule synthesis.
AB - Acinetobacter baylyi ADP1 naturally produces wax esters that could be used as a
raw material in industrial applications. We attempted to improve wax ester yield
of A. baylyi ADP1 by removing rmlA, a gene involved in exopolysaccharide
production. Growth rate, biomass formation and wax ester yield on 4
hydroxybenzoate were not affected, but the rmlA - strain grew slower on acetate,
while reaching similar biomass and wax ester yield. The rmlA - cells had
malformed shape and large size and grew poorly on glucose without expression of
the gene for pyruvate kinase (pykF) from Escherichia coli. The pykF-expressing
rmlA - strain had similar growth rate, lowered biomass formation and improved wax
ester production on glucose as compared to the wild-type strain expressing pykF.
Cultivation of the pykF-expressing rmlA - strain on an elevated glucose
concentration in a medium supplemented with amino acids resulted in doubled molar
wax ester yield and acetate production.
PMID- 27866336
TI - The role of clinical pharmacists in treatment adherence: fast impact in
suppression of chronic myeloid leukemia development and symptoms.
AB - PURPOSE: Chronic myeloid leukemia (CML) is a clonal myeloproliferative disease,
accounting for 15 to 20% of leukemias, with an incidence of one to two
cases/100,000 inhabitants. In Brazil, the estimated incidence of leukemia is six
cases/100,000 men and 4.28 cases/100,000 women. CML is characterized by the
presence of the Philadelphia chromosome. At present, three types of tyrosine
kinase inhibitors (TKI) are administered to treat CML patients in the Brazilian
public national health system (NHS), called the Unified Health System (in
Portuguese, "Sistema Unico de Saude", SUS). Such treatments are only effective if
patients adhere to strict dosage regimens; protocol improvements that increase
patient adherence to treatment would have economic and health benefits for
overburdened health care systems. Here, pharmacist-monitored treatment is
assessed. METHODS: In our study, we applied two questionnaires, one to assess the
adherence to pharmacological treatment and another to assess the quality of life.
All patients studied (n = 23) were diagnosed with CML at a local hospital in
"Espirito Santo" State, the "Hospital Evangelico Vila Velha" (HEVV). RESULTS:
Treatment adherence was significantly higher in pharmacist-monitored patients
than in nonmonitored patients (p = 0.0135). The quality of life of CML patients
was also analyzed, indicating that monitored patients had a lower number of
symptoms/complaints during treatment periods than nonmonitored patients. Finally,
improved treatment adherence also translated into better clinical conditions,
particularly during the early stage of treatment (e.g., the first 4 months).
CONCLUSIONS: The intervention of a clinical pharmacist is significant to obtain
positive clinical results. Therefore, it is recommended that this protocol be
included in the standard NHS treatment protocol CML patient outcomes to reduce
the indirect and recurring costs to the health care system caused by
nonadherence.
PMID- 27866338
TI - Reflections on Serving Remote Mountain Communities: Mobile Hospitals and Women's
and Children's Health Care in Northern Haiti.
AB - In 2003 Alyans Sante Borgne's (ASB) conducted the first week-long mobile hospital
in Molas, a poor mountain community a 10-h walk from the main hospital in the
town of Borgne in North Haiti. ASB is a partnership between Haiti Outreach-Pwoje
Espwa (H.O.P.E.), a US-based NGO, and Haiti's Ministry of Health. The paper
reflects on this first experience and the evolution of an indigenous model of
health care delivery, Sante Nan Lakou (SNL)/Health at the Extended Family Level,
a model that prioritizes the needs of patients over those of the institution. It
highlights the challenges of providing quality care to a much neglected segment
of our population and documents the impact of this event for the community and
for ASB. Lessons learned during that week shaped ASB's response to the root
causes of women and children's poor health in the commune of Borgne. The response
is articulated in a holistic grassroots program called Sante/Health,
Edikasyon/Education, Ekonomi/Economy for Fanm/Women (SEE Fanm). SEE Fanm is a
constellation of programs and initiatives that together brings quality care to
women and seeks to empower them to take charge of their health and wellbeing and,
by extension, that of their families and communities.
PMID- 27866337
TI - Mental and physical health correlates among family caregivers of patients with
newly-diagnosed incurable cancer: a hierarchical linear regression analysis.
AB - PURPOSE: Caregiver, relational, and patient factors have been associated with the
health of family members and friends providing care to patients with early-stage
cancer. Little research has examined whether findings extend to family caregivers
of patients with incurable cancer, who experience unique and substantial
caregiving burdens. We examined correlates of mental and physical health among
caregivers of patients with newly-diagnosed incurable lung or non-colorectal
gastrointestinal cancer. METHODS: At baseline for a trial of early palliative
care, caregivers of participating patients (N = 275) reported their mental and
physical health (Medical Outcome Survey-Short Form-36); patients reported their
quality of life (Functional Assessment of Cancer Therapy-General). Analyses used
hierarchical linear regression with two-tailed significance tests. RESULTS:
Caregivers' mental health was worse than the U.S. national population (M = 44.31,
p < .001), yet their physical health was better (M = 56.20, p < .001).
Hierarchical regression analyses testing caregiver, relational, and patient
factors simultaneously revealed that younger (B = 0.31, p = .001), spousal
caregivers (B = -8.70, p = .003), who cared for patients reporting low emotional
well-being (B = 0.51, p = .01) reported worse mental health; older (B = -0.17, p
= .01) caregivers with low educational attainment (B = 4.36, p < .001) who cared
for patients reporting low social well-being (B = 0.35, p = .05) reported worse
physical health. CONCLUSIONS: In this large sample of family caregivers of
patients with incurable cancer, caregiver demographics, relational factors, and
patient-specific factors were all related to caregiver mental health, while
caregiver demographics were primarily associated with caregiver physical health.
These findings help identify characteristics of family caregivers at highest risk
of poor mental and physical health who may benefit from greater supportive care.
PMID- 27866339
TI - Recurrent TP53 missense mutation in cancer patients of Arab descent.
AB - Hereditary cancer comprises more than 10% of all breast cancer cases.
Identification of germinal mutations enables the initiation of a preventive
program that can include early detection or preventive treatment and may also
have a major impact on cancer therapy. Several recurrent mutations were
identified in the BRCA1/2 genes in Jewish populations however, in other ethnic
groups in Israel, no recurrent mutations were identified to date. Our group
established panel sequencing in cancer patients to identify recurrent, founder,
and new mutations in the heterogeneous and diverse populations in Israel, We
evaluated five breast cancer patients of Arab descent diagnosed with cancer
before the age of 50 years and identified the previously described TP53 mutation,
c.541C>T, R181C (rs587782596), in two women from unrelated Arab families. The two
probands were diagnosed with breast cancer at a young age (27 and 34 years) and
had significant family history spanning a wide range of tumors (breast cancer
(BC), papillary thyroid cancer, glioblastoma multiform (GBM), colon cancer and
leukemia). The R181C variant is expected to disrupt p53 at the ASPP2 binding
domain but not the DNA binding domain and is defined by Clinvar as likely
pathogenic and in HGMD as disease mutation. We further tested 85 unrelated Arab
cancer patients and father of a BC carrier patient for TP53 c.541C>T using a real
time polymerase chain reaction (RT-PCR) approach and identified four additional
carriers, two with BC one with lung cancer, and the father of a BC carrier
patient, diagnosed with GBM. Another carrier suffering from BC was identified
using a Myriad panel, suggesting a recurrent mutation in this population with a
frequency of 5/42 (11.9%) of our selected BC patients. We suggest testing Arab
women with a breast cancer at a young age, Arab patients with multiple
malignancies, or with suggestive family history for TP53 c.541C>T.
PMID- 27866340
TI - The hereditary nature of small cell carcinoma of the ovary, hypercalcemic type:
two new familial cases.
AB - Small cell carcinoma of the ovary, hypercalcemic type, (SCCOHT) is the most
common undifferentiated ovarian cancer in women aged under 40 years. SCCOHT is a
monogenic disease, characterized by germline and somatic SMARCA4 mutations.
Recent studies have stressed its morphological and clinical similarity to
malignant rhabdoid tumours, which are usually caused by mutations in the related
gene, SMARCB1. While familial tumours are rare, the incidence of germline
mutations is relatively high, with up to 43% of SCCOHTs and 35% of rhabdoid
tumours caused by germline mutations in SMARCA4 and SMARCB1, respectively. We
report two new familial cases of SCCOHT. Affected members in both families and
the associated tumours were found to carry SMARCA4 germline and somatic
mutations, respectively, leading to loss of SMARCA4 protein expression in the
tumours. Despite the rarity of familial SCCOHT, the high incidence of germline
mutations is important to note, as without a family history of the disease, the
hereditary nature of SCCOHT may be missed, especially if the mutation was
inherited from the father or acquired de novo. The similarity between SCCOHT and
rhabdoid tumours should be recognized, as infant carriers of SMARCA4 mutations
may be at risk for these tumours in addition to SCCOHT.
PMID- 27866341
TI - The role of the P2X7 receptor in murine cutaneous leishmaniasis: aspects of
inflammation and parasite control.
AB - Leishmania amazonensis is the etiological agent of diffuse cutaneous
leishmaniasis. The immunopathology of leishmaniasis caused by L. amazonensis
infection is dependent on the pathogenic role of effector CD4+ T cells.
Purinergic signalling has been implicated in resistance to infection by different
intracellular parasites. In this study, we evaluated the role of the P2X7
receptor in modulating the immune response and susceptibility to infection by L.
amazonensis. We found that P2X7-deficient mice are more susceptible to L.
amazonensis infection than wild-type (WT) mice. P2X7 deletion resulted in
increased lesion size and parasite load. Our histological analysis showed an
increase in cell infiltration in infected footpads of P2X7-deficient mice.
Analysis of the cytokine profile in footpad homogenates showed increased levels
of IFN-gamma and decreased TGF-beta production in P2X7-deficient mice, suggesting
an exaggerated pro-inflammatory response. In addition, we observed that CD4+ and
CD8+ T cells from infected P2X7-deficient mice exhibit a higher proliferative
capacity than infected WT mice. These data suggest that P2X7 receptor plays a key
role in parasite control by regulating T effector cells and inflammation during
L. amazonensis infection.
PMID- 27866342
TI - Phototoxicity and chronic toxicity of methyl paraben and 1,2-hexanediol in
Daphnia magna.
AB - Parabens are used as antimicrobial preservatives in consumer products. Exposure
to methylparaben (MP) has been associated with adverse health outcomes,
therefore, an alternative compound, 1,2-hexanediol (1,2-H), has been applied for
cosmetics. In the present study, the phototoxicity of MP and 1,2-H, as well as
the toxic effect caused by chronic exposure, were investigated using Daphnia
magna. The 48 h acute toxicity tests with D. magna were conducted under indoor or
ultraviolet (UV) light irradiation conditions, i.e., exposure to 4 h/d sunlight.
Changes in the transcription of genes related to oxidative stress were determined
in D. magna juveniles, to investigate the underlying mechanism of phototoxicity.
The 21 d chronic toxicity tests of MP and 1,2-H were performed under indoor light
irradiation. Exposure to MP under environmental level of UV light was more
detrimental to D. magna. Transcripts of catalase and glutathione-S-transferase
genes in D. magna was significantly increased by co-exposure to MP and UV light.
After 21 d of chronic exposure to MP and 1,2-H, the reproduction no-observed
effect concentrations for D. magna were 1 and >10 mg/L, respectively. The present
study showed that exposure to UV could magnify the toxicity of MP on daphnids.
Although acute and chronic toxicities of 1,2-H were generally lower than those of
MP, its effects on other aquatic organisms should not be ignored. Further studies
are needed to identify other mechanisms of MP phototoxicity.
PMID- 27866343
TI - Maternal transfer of trace elements in the Atlantic horseshoe crab (Limulus
polyphemus).
AB - The maternal transfer of trace elements is a process by which offspring may
accumulate trace elements from their maternal parent. Although maternal transfer
has been assessed in many vertebrates, there is little understanding of this
process in invertebrate species. This study investigated the maternal transfer of
13 trace elements (Ag, As, Cd, Co, Cr, Cu, Fe, Hg, Mn, Ni, Pb, Se, and Zn) in
Atlantic horseshoe crab (Limulus polyphemus) eggs and compared concentrations to
those in adult leg and gill tissue. For the majority of individuals, all trace
elements were transferred, with the exception of Cr, from the female to the eggs.
The greatest concentrations on average transferred to egg tissue were Zn (140
ug/g), Cu (47.8 ug/g), and Fe (38.6 ug/g) for essential elements and As (10.9
ug/g) and Ag (1.23 ug/g) for nonessential elements. For elements that were
maternally transferred, correlation analyses were run to assess if the
concentration in the eggs were similar to that of adult tissue that is completely
internalized (leg) or a boundary to the external environment (gill). Positive
correlations between egg and leg tissue were found for As, Hg, Se, Mn, Pb, and
Ni. Mercury, Mn, Ni, and Se were the only elements correlated between egg and
gill tissue. Although, many trace elements were in low concentration in the eggs,
we speculate that the higher transfer of essential elements is related to their
potential benefit during early development versus nonessential trace elements,
which are known to be toxic. We conclude that maternal transfer as a source of
trace elements to horseshoe crabs should not be overlooked and warrants further
investigation.
PMID- 27866344
TI - Development of a fast curing tissue adhesive for meniscus tear repair.
AB - Isocyanate-terminated adhesive amphiphilic block copolymers are attractive
materials to treat meniscus tears due to their tuneable mechanical properties and
good adhesive characteristics. However, a drawback of this class of materials is
their relatively long curing time. In this study, we evaluate the use of an amine
cross-linker and addition of catalysts as two strategies to accelerate the curing
rates of a recently developed biodegradable reactive isocyanate-terminated hyper
branched adhesive block copolymer prepared from polyethylene glycol (PEG),
trimethylene carbonate, citric acid and hexamethylene diisocyanate. The curing
kinetics of the hyper-branched adhesive alone and in combination with different
concentrations of spermidine solutions, and after addition of 2,2
dimorpholinodiethylether (DMDEE) or 1,4-diazabicyclo [2.2.2] octane (DABCO) were
determined using FTIR. Additionally, lap-shear adhesion tests using all
compositions at various time points were performed. The two most promising
compositions of the fast curing adhesives were evaluated in a meniscus bucket
handle lesion model and their performance was compared with that of fibrin glue.
The results showed that addition of both spermidine and catalysts to the adhesive
copolymer can accelerate the curing rate and that firm adhesion can already be
achieved after 2 h. The adhesive strength to meniscus tissue of 3.2-3.7 N was
considerably higher for the newly developed compositions than for fibrin glue
(0.3 N). The proposed combination of an adhesive component and a cross-linking
component or catalyst is a promising way to accelerate curing rates of isocyanate
terminated tissue adhesives.
PMID- 27866345
TI - Comparison of rabbit rib defect regeneration with and without graft.
AB - Rib segment, as one of the most widely used autologous boneresources for bone
repair, is commonly isolated with an empty left in the defect. Although defective
rib repair is thought to be unnecessary traditionally, it's of vital importance
actually to promote rib regeneration for patients with better postoperative
recovery and higher life quality. Comparative investigations on rabbit rib bone
regeneration with and without graft were reported in this article. A segmental
defect was performed on the 8th rib of 4-month-old male New Zealand rabbits. The
mineralized collagen bone graft (MC) was implanted into the defect and evaluated
for up to 12 weeks. The rib bone repair was investigated by using X-ray at 4, 8
and 12 weeks and histological examinations at 12 weeks after surgery, which
showed a higher bone remodeling activity in the groups with MC implantation in
comparison with blank control group, especially at the early stage of remodeling.
PMID- 27866346
TI - Comparing the effects of an acute bout of physical exercise with an acute bout of
interactive mental and physical exercise on electrophysiology and executive
functioning in younger and older adults.
AB - BACKGROUND: Physical exercise has been shown to improve cognitive and neural
functioning in older adults. AIMS AND METHODS: The current study compared the
effects of an acute bout of physical exercise with a bout of interactive mental
and physical exercise (i.e., "exergaming") on executive (Stroop) task performance
and event-related potential (ERP) amplitudes in younger and older adults.
RESULTS: Results revealed enhanced executive task performance in younger and
older adults after exercise, with no differences in performance between exercise
conditions. Stroop (RT) performance in older adults improved more than in younger
adults from pre- to post-exercise. A significant increase in EEG amplitude from
pre- to post-exercise was found at the Cz site from 320 to 700 ms post-stimulus
for both younger and older adults, with older adults demonstrating a larger
Stroop interference effect. While younger adults exhibited overall greater EEG
amplitudes than older adults, they showed no differences between congruent and
incongruent trials (i.e., minimal interference). Compared to peers with higher
BMI (body mass index), older adults with lower BMI showed a greater reduction in
Stroop interference effects from pre- to post-exercise. DISCUSSION AND
CONCLUSIONS: The beneficial effects of an acute bout of physical exercise on
cognitive and neural functioning in younger and older adults were confirmed, with
no difference between standard exercise and exergaming. Findings suggest that
BMI, sometimes used as a proxy for fitness level, may modulate benefits that
older adults derive from an acute bout of exercise. Findings have implications
for future research that seeks to investigate unique effects of exergaming when
compared to standard physical exercise.
PMID- 27866347
TI - Effect of low-intensity resistance training with heat stress on the HSP72,
anabolic hormones, muscle size, and strength in elderly women.
AB - BACKGROUND: Several recent studies have reported that heat stress stimulates the
activation of heat shock protein 72 (HSP72), leading to an increase in muscle
synthesis. Some studies suggested that low-intensity resistance training combined
with heat stress could improve muscle size and strength. AIM: This study aimed to
identify the effect of low-intensity resistance training with heat stress over 12
weeks on the HSP72, anabolic hormones, muscle size, and strength in elderly
women. METHODS: The subjects were physically healthy women of 65-75 years, who
were randomly assigned to one of three groups: a low-intensity resistance
training with heating sheet group (HRT group, n = 8), a moderate-intensity
resistance training (RT group, n = 6), and a heating sheet group (HEAT group, n =
7). Computed tomography scans, 1-repetition maximum (1RM), and blood samples were
taken pre- and post-training. RESULTS: The HSP72 did not vary significantly
between the different groups and times. The IGF-1 and 1RM had significantly
increased in all three groups after the training (respectively, p < 0.05).
Moreover, the cross-sectional area (CSA) of the quadriceps showed a significantly
greater increase in the HRT group than in the HEAT group (p < 0.05). CONCLUSIONS:
We found that low-intensity training with heat stress stimulated the anabolic
hormones of elderly women, improving their muscle strength and hypertrophy. We
believe that low-intensity training with heat stress is an effective way to
prevent muscle atrophy and to improve muscle strength in elderly women.
PMID- 27866348
TI - Attentional Differences in a Driving Hazard Perception Task in Adults with Autism
Spectrum Disorders.
AB - The current study explored attentional processing of social and non-social
stimuli in ASD within the context of a driving hazard perception task.
Participants watched videos of road scenes and detected hazards while their eye
movements were recorded. Although individuals with ASD demonstrated relatively
good detection of driving hazards, they were slower to orient to hazards. Greater
attentional capture in the time preceding the hazards' onset was associated with
lower verbal IQ. The findings suggest that individuals with ASD may distribute
and direct their attention differently when identifying driving hazards.
PMID- 27866349
TI - The Emergent Literacy Skills of Preschool Children with Autism Spectrum Disorder.
AB - A high percentage of school-age students with autism spectrum disorder (ASD) have
reading comprehension difficulties leading to academic disadvantage. These
difficulties may be related to differences in children's emergent literacy
development in the preschool years. In this study, we examined the relationship
between emergent literacy skills, broader cognitive and language ability, autism
severity, and home literacy environment factors in 57 preschoolers with ASD. The
children showed strengths in code-related emergent literacy skills such as
alphabet knowledge, but significant difficulties with meaning-related emergent
literacy skills. There was a significant relationship between meaning-related
skills, autism severity, general oral language skills, and nonverbal cognition.
Identification of these meaning-related precursors will guide the targets for
early intervention to help ensure reading success for students with ASD.
PMID- 27866350
TI - Changes in Food Selectivity in Children with Autism Spectrum Disorder.
AB - Food selectivity is a common problem in children with autism spectrum disorder
(ASD) and has an adverse impact on nutrient adequacy and family mealtimes.
Despite recent research in this area, few studies have addressed whether food
selectivity present in children with ASD persists into adolescence. In this
study, we assessed food selectivity in 18 children with ASD at two time points
(mean age = 6.8 and 13.2 years), and examined changes in food selectivity. While
food refusal improved overall, we did not observe an increase in food repertoire
(number of unique foods eaten). These findings support the need for interventions
early in childhood to increase variety and promote healthy eating among children
with ASD.
PMID- 27866352
TI - Friendship Satisfaction in Children with Autism Spectrum Disorder and Nominated
Friends.
AB - The current study examined the level of friendship satisfaction of children with
autism spectrum disorder (ASD) and their nominated friends (with and without
diagnosis of ASD). A total of 77 target children with ASD and friends from 49
nominated friendships participated in the study. Relatively high levels of
friendship satisfaction were reported by both target children and their nominated
friends with no overall difference between dyads involving typically developing
friends and friends with ASD. Analysis at the individual dyad level showed a high
level of agreement on the reported level of satisfaction across the target
participants and their friends. Limitations and directions for future research
are presented.
PMID- 27866351
TI - Social Referencing Gaze Behavior During a Videogame Task: Eye Tracking Evidence
from Children With and Without ASD.
AB - The purpose of this study was to understand the social referencing behaviors of
children with and without autism spectrum disorder (ASD) while visually attending
to a videogame stimulus depicting both the face of the videogame player and the
videogame play action. Videogames appear to offer a uniquely well-suited
environment for the emergence of friendships, but it is not known if children
with and without ASD attend to and play videogames similarly. Eyetracking
technology was used to investigate visual attention of participants matched based
on chronological age. Parametric and nonparametric statistical analyses were used
and results indicated the groups did not differ on percentage of time spent
visually attending to any of the areas of interest, with one possible exception.
PMID- 27866353
TI - Nimesulide inhibits pathogenic fungi: PGE2-dependent mechanisms.
AB - Certain non-steroidal anti-inflammatory drugs can inhibit fungal growth, fungal
prostaglandin E2 production, and enzyme activation. This study aims to
investigate the antifungal effect of nimesulide against pathogenic filamentous
fungi and yeast. The experiments detailed below were also designed to investigate
whether the action is dependent on E2 fungal prostaglandins. Our data showed that
nimesulide exhibited potent antifungal activity, mainly against Trichophyton
mentagrophytes (ATCC 9533) and Cryptococcus neoformans with MIC values of 2 and
62 MUg/mL, respectively. This drug was also able to inhibit the growth of clinic
isolates of filamentous fungi, such as Aspergillus fumigatus, and dermatophytes,
such as T. rubrum, T. mentagrophytes, Epidermophyton floccosum, Microsporum
canis, and M. gypseum, with MIC values ranging from 112 to 770 MUg/mL. Our data
also showed that the inhibition of fungal growth by nimesulide was mediated by a
mechanism dependent on PGE2, which led to the inhibition of essential fungal
enzymes. Thus, we concluded that nimesulide exerts a fungicidal effect against
pathogenic filamentous fungi and yeast, involving the inhibition of fungal
prostaglandins and fungal enzymes important to the fungal growth and
colonization.
PMID- 27866354
TI - Isolation and characterization of a novel glycosyl hydrolase family 74 (GH74)
cellulase from the black goat rumen metagenomic library.
AB - This study aimed to isolate and characterize a novel cellulolytic enzyme from
black goat rumen by using a culture-independent approach. A metagenomic fosmid
library was constructed from black goat rumen contents and screened for a novel
cellulase. The KG37 gene encoding a protein of 858 amino acid residues (92.7 kDa)
was isolated. The deduced protein contained a glycosyl hydrolase family 74 (GH74)
domain and showed 77% sequence identity to two endo-1,4-beta-glucanases from
Fibrobacter succinogenes. The novel GH74 cellulase gene was overexpressed in
Escherichia coli, and its protein product was functionally characterized. The
recombinant GH74 cellulase showed a broad substrate spectrum. The enzyme
exhibited its optimum activity at pH 5.0 and temperature range of 20-50 degrees
C. The enzyme was thermally stable at pH 5.0 and at a temperature of 20-40
degrees C. The novel GH74 cellulase can be practically exploited to convert
lignocellulosic biomass to value-added products in various industrial
applications in future.
PMID- 27866356
TI - Effect of Feeding and Withdrawal of Vanadium and Vitamin C on Egg Quality and
Vanadium Residual Over Time in Laying Hens.
AB - This experiment examined the egg quality of hens fed vanadium (V) and vitamin C
(VC) during storage, as well as the V and VC withdrawal on egg quality and V
residual in egg. A total of 360 laying hens (31 weeks old) were randomly allotted
into a 3 * 2 factorial arrangement treatments (6 replicates and 10 chicks per
replicate) with three levels of dietary V (0, 5, and 10 mg/kg) and two levels of
VC (0 and 100 mg/kg) for 19 weeks (feeding V and VC 12 weeks, recovery 7 weeks).
The V residual in eggs at 4, 8, and 12th weeks were increased (linear effect, P
<= 0.01) as V levels increased and was not detected in albumen at 7th week after
V withdrawal. Followed by 12-week feeding period, albumen height and Haugh unit
of eggs during 2-week storage were decreased (linear and quadratic effect, P <
0.01) by dietary V supplementation. Lightness value was increased (linear effect,
P < 0.01), whereas redness and yellowness value of the eggshell were lowered
(linear effect, P < 0.01) in V-containing diet. During 7-week withdrawal period,
eggs from groups pre-feeding 5 and 10 mg/kg V had lower (linear effect, P < 0.01)
overall albumen height and Haugh unit. The reducing effect on albumen height and
HU continued to be observed until the seventh week, whereas the bleaching effect
on eggshell color disappeared after 1-week withdrawal. The results indicated that
feeding 5 or 10 mg/kg V increases egg V residual and reduces egg albumen quality
and bleached the shell color, and the impaired albumen quality induced by 10
mg/kg of V lasted at least 6 weeks after changing to no V supplementation diet.
The addition of VC did not show to affect egg quality during storage or recovery
phase.
PMID- 27866357
TI - An Optimal Dietary Zinc Level of Brown-Egg Laying Hens Fed a Corn-Soybean Meal
Diet.
AB - An experiment was conducted to estimate the optimal dietary zinc (Zn) level of
brown-egg laying hens fed a corn-soybean meal diet from 20 to 40 weeks of age. A
total of 120 20-week-old Beijing Red commercial laying hens were randomly
allotted by bodyweight to one of five treatments with six replicates of four
birds each in a completely randomized design, and fed a Zn-unsupplemented corn
soybean meal basal diet containing 27.95 mg Zn/kg by analysis and the basal diets
supplemented with 30, 60, 90, or 120 mg Zn/kg as Zn sulfate (reagent grade
ZnSO4.7H2O) for a duration of 20 weeks. Laying performance, egg quality, tissue
Zn concentrations, and activities of serum alkaline phosphatase (AKP), and liver
copper-Zn superoxide dismutase (CuZnSOD) were measured. Regression analyses were
performed to estimate an optimal dietary Zn level whenever a significant
quadratic response (P < 0.05) was observed. Tibia Zn concentration (P = 0.002)
and serum AKP activity (P = 0.010) showed significant quadratic responses to
dietary supplemental Zn levels. The estimates of dietary Zn requirements for
brown-egg laying hens from 20 to 40 weeks of age were 71.95 and 64.63 mg/kg for
tibia Zn concentration and serum AKP activity, respectively. The results from
this study indicate that the tibia Zn might be a more suitable and reliable
parameter for Zn requirement estimation, and the optimal dietary Zn level would
be about 72 mg/kg for brown-egg laying hens fed a corn-soybean meal diet from 20
to 40 weeks of age.
PMID- 27866358
TI - Anemia and Dental Caries in Pregnant Women: a Prospective Cohort Study.
AB - The objective was to evaluate the effect of anemia during pregnancy on the risk
of dental caries development in pregnant women. A prospective cohort including a
sample of pregnant women in a prenatal care unit of Sao Luis, Brazil, was done.
The incidence of dental caries during pregnancy, according to Nyvad's criteria,
was the outcome. The main independent variables were serum iron, ferritin,
hemoglobin, erythrocyte, hematocrit, mean corpuscular volume (MCV), mean
corpuscular hemoglobin (MCH), mean corpuscular hemoglobin concentration (MCHC),
and red cell distribution width (RDW). Pregnant women (n = 121) were evaluated at
two moments: up to 16th week of gestational age (T1) and in the last trimester of
pregnancy (T2). Crude and adjusted associations were estimated by the incidence
ratio risk (IRR) and respective 95% confidence intervals (95%CI). After
adjustment, higher serum concentrations of ferritin (IRR = 0.97, 95%CI 0.95-0.99)
in T1, and Fe (IRR = 0.99, 95%CI 0.98-0.99), ferritin (IRR = 0.99, 95%CI 0.98
0.99), erythrocyte (IRR = 0.71, 95%CI 0.50-0.99), hemoglobin (IRR = 0.84, 95%CI
0.73-0.96), hematocrit (IRR = 0.93, 95%CI 0.88-0.98), MCV (IRR = 0.91, 95%CI 0.86
0.96), and MCH (IRR = 0.83, 95%CI 0.74-0.93) in T2, were associated with fewer
incidence of dental caries in pregnant women. Iron deficiency anemia during
pregnancy is a risk factor for the incidence of dental caries in these women.
PMID- 27866359
TI - Evaluation of coarse and fine particles in diverse Indian environments.
AB - The estimates of airborne fine particle (PM2.5) concentrations are possible
through rigorous empirical correlations based on the monitored PM10 data.
However, such correlations change depending on the nature of sources in diverse
ambient environments and, therefore, have to be environment specific. Studies
presenting such correlations are limited but needed, especially for those areas,
where PM2.5 is not routinely monitored. Moreover, there are a number of studies
focusing on urban environments but very limited for coal mines and coastal areas.
The aim of this study is to comprehensively analyze the concentrations of both
PM10 and PM2.5 and develop empirical correlations between them. Data from 26
different sites spread over three distinct environments, which are a relatively
clean coastal area, two coal mining areas, and a highly urbanized area in Delhi
were used for the study. Distributions of PM in the 0.43-10-MUm size range were
measured using eight-stage cascade impactors. Regression analysis was used to
estimate the percentage of PM2.5 in PM10 across distinct environments for source
identification. Relatively low percentage of PM2.5 concentrations (21, 28, and
32%) in PM10 were found in clean coastal and two mining areas, respectively.
Percentage of PM2.5 concentrations in PM10 in the highly urbanized area of Delhi
was 51%, indicating a presence of a much higher percentage of fine particles due
to vehicular combustion in Delhi. The findings of this work are important in
estimating concentrations of much harmful fine particles from coarse particles
across distinct environments. The results are also useful in source
identification of particulates as differences in the percentage of PM2.5
concentrations in PM10 can be attributed to characteristics of sources in the
diverse ambient environments.
PMID- 27866355
TI - The descriptive epidemiology of DSM-IV Adult ADHD in the World Health
Organization World Mental Health Surveys.
AB - We previously reported on the cross-national epidemiology of ADHD from the first
10 countries in the WHO World Mental Health (WMH) Surveys. The current report
expands those previous findings to the 20 nationally or regionally representative
WMH surveys that have now collected data on adult ADHD. The Composite
International Diagnostic Interview (CIDI) was administered to 26,744 respondents
in these surveys in high-, upper-middle-, and low-/lower-middle-income countries
(68.5% mean response rate). Current DSM-IV/CIDI adult ADHD prevalence averaged
2.8% across surveys and was higher in high (3.6%)- and upper-middle (3.0%)- than
low-/lower-middle (1.4%)-income countries. Conditional prevalence of current ADHD
averaged 57.0% among childhood cases and 41.1% among childhood subthreshold
cases. Adult ADHD was significantly related to being male, previously married,
and low education. Adult ADHD was highly comorbid with DSM-IV/CIDI anxiety, mood,
behavior, and substance disorders and significantly associated with role
impairments (days out of role, impaired cognition, and social interactions) when
controlling for comorbidities. Treatment seeking was low in all countries and
targeted largely to comorbid conditions rather than to ADHD. These results show
that adult ADHD is prevalent, seriously impairing, and highly comorbid but vastly
under-recognized and undertreated across countries and cultures.
PMID- 27866360
TI - Effect of the edaphic factors and metal content in soil on the diversity of
Trichoderma spp.
AB - Influence of edaphic factors and metal content on diversity of Trichoderma
species at 14 different soil sampling locations, on two depths, was examined.
Forty-one Trichoderma isolates from 14 sampling sites were determined as nine
species based on their internal transcribed spacer (ITS) sequences. Our results
indicate that weakly alkaline soils are rich sources of Trichoderma strains.
Also, higher contents of available K and P are connected with higher Trichoderma
diversity. Increased metal content in soil was not inhibiting factor for
Trichoderma species occurrence. Relationship between these factors was confirmed
by locally weighted sequential smoothing (LOESS) nonparametric smoothing
analysis. Trichoderma strain (Szeged Microbiology Collection (SZMC) 22669) from
soil with concentrations of Cr and Ni above remediation values should be tested
for its potential for bioremediation of these metals in polluted soils.
PMID- 27866361
TI - Hydrogeochemical characterization of the thermal springs in northeastern of Los
Cabos Block, Baja California Sur, Mexico.
AB - The existence of hot springs in the northeastern part of Los Cabos Baja
California Sur (BCS), is known from pre-Hispanic times, but their hydrochemical
composition had not been previously described. Several springs are located within
the watershed of Santiago, and the objective of this study was to define the
hydrogeochemical composition of the thermal springs and to characterize the
geothermal reservoir. A total of 16 water samples were taken in 11 geothermal
manifestations under dry (June 2014) and humid (March 2015) conditions. A
geothermal system of low enthalpy and low mineralization was found along the San
Jose del Cabo Fault (FSJC), with an average salinity (TDS) of 261 mg/L and an
alkaline pH (8.5-9.5). The hydrogeochemical composition corresponds to the sodium
bicarbonate type, and geothermometers (silica and Na-K) indicate temperatures
ranging from 70 to 115 degrees C for the deep thermal reservoir in conditions of
equilibrium. The thermal springs with these hydrogeochemical characteristics
differ in respect to the hydrochemical composition of the springs, formally
described on several sites of BCS. Br/Cl and B/Cl ratios as well as the
enrichment factor (EF) indicate that rainwater with a seawater component
represents the source of the thermal spring water. In the springs, a mixture
between thermal water and surface water is observed, combined with a relatively
deep water circulation, allowing a calcium-sodium exchange, according to the host
rock geochemistry. The higher temperatures found at some hot springs are related
to the main trace of the San Jose del Cabo Fault.
PMID- 27866362
TI - Proteomic response of oat leaves to long-term salinity stress.
AB - Salinity adversely affects plant growth and production. Oat is a moderately salt
tolerant crop and can contribute to improving saline soil. The physiological and
molecular responses of the oat plant to long-term salinity were studied. After a
16-day salt treatment (150 mmol L-1NaCl in Hoagland's solution), photosynthetic
rate, maximum photosystem II photochemical efficiency, and actual efficiency of
photosystem II decreased. The activities of superoxide dismutase, peroxidase, and
catalase significantly increased. We also investigated the protein profiles of
oat leaves in response to salinity and detected 30 reproducible protein spots by
two-dimensional gel electrophoresis that were differentially abundant.
Specifically, one protein was up-regulated and 29 proteins were down-regulated
compared with the control. These 29 proteins were identified using MALDI-TOF mass
spectrometry, and 19 corresponding genes were further investigated by
quantitative real-time PCR. These proteins were involved in four types of
biological processes: photosynthesis, carbohydrate metabolism and energy, protein
biosynthesis, and folding and detoxification. This study indicates that the lower
levels of Calvin cycle-related proteins, 50S ribosomal protein L10 and adenosine
triphosphate regulation-related proteins, and the high levels of antioxidant
enzymes play important roles in the response of oat to long-term salinity stress.
PMID- 27866364
TI - Integrative health risk assessment of air pollution in the northwest of Spain.
AB - Levels, origins and potential risks due to different air pollutants (ozone, SO2
and particle-borne metals) in NW Spain were investigated in eight locations
affected by different emission sources. All monitored locations suffered the
influence of traffic and industrial emissions, being this influence more
important in urban locations. Although average values of the estimated hazard
index (HI) due to particle-borne metals showed values lower than one, maximum
values of this parameter exceeded this safety limit in urban locations. In
general, Ni and As were identified as those metals most contributing to the HI.
Furthermore, the presence of industrial emission episodes produced a significant
increase in the magnitude of the HI in two of the seven urban areas. Therefore,
the frequency and intensity of these episodes should be further investigated.
Finally, levels of airborne and particle-borne pollutants were integrated with
the aim of providing a comprehensive assessment of health risk. According to an
established indexing system, air quality can be classified from good to moderate,
being the southern urban locations (the most densely populated and industrialised
ones) presenting the worst values. However, either the high or the low influence
of acute and chronic-effect pollutants on air quality depends on the location.
PMID- 27866363
TI - Comparative of Quercus spp. and Salix spp. for phytoremediation of Pb/Zn mine
tailings.
AB - A pot experiment was conducted to evaluate the feasibility of using tree
seedlings for the phytoremediation of lead/zinc (Pb/Zn) mine tailings. Seedlings
of three Quercus spp. (Q. shumardii, Q. phellos, and Q. virginiana) and rooted
cuttings of two Salix spp. (S. matsudana and S. integra) were transplanted into
pots containing 50 and 100 % Pb/Zn mine tailings to evaluate their tolerance of
heavy metals. The five species showed different tolerance levels to the Pb/Zn
tailings treatments. Q. virginiana was highly tolerant to heavy metals and grew
normally in the Pb/Zn tailings. The root systems showed marked differences
between the Quercus spp. and Salix spp., indicating that different mechanisms
operated to confer tolerance of heavy metals. The maximum efficiency of
photosystem II photochemistry value of the five species showed no differences
among the treatments, except for Q. shumardii. All species showed low metal
translocation factors (TFs). However, S. integra had significantly higher TF
values for Zn (1.42-2.18) and cadmium (1.03-1.45) than did the other species. In
this respect, Q. virginiana showed the highest tolerance and a low TF, implying
that it is a candidate for phytostabilization of mine tailings in southern China.
S. integra may be useful for phytoextraction of tailings in temperate regions.
PMID- 27866366
TI - A qualitative study of patients' perceptions of the value of molecular diagnosis
for familial hypercholesterolemia (FH).
AB - For many years, familial hypercholesterolemia (FH), an inherited disorder, has
been diagnosed using phenotypic features plus family history of early onset
cardiovascular disease (CVD), and has been successfully treated using statin
therapy. DNA testing is now available and this has been incorporated into
familial cascade screening programmes in many parts of Europe. Little is known
about patients' perceptions of the value of undergoing molecular diagnosis for
FH. In-depth interviews were carried out with patients (n = 38) being treated for
FH who were the first in their family to undergo DNA testing for FH. Data were
analysed thematically. While interviewees regarded DNA testing as an
unexceptional event, it was seen as a positive innovation because it confirmed
that their family carried a particular disorder, offered an aetiological
explanation for their hypercholesterolemia and provided information about their
own and family members' future risks. From the patient perspective, the main
benefit of molecular diagnosis lies in its ability to provide information which
allows (younger) family members to access genetic screening and, thus, timely
treatment. The implications for future developments in genetic services and the
need to investigate further the provision of molecular testing in mainstream
specialties are briefly discussed.
PMID- 27866365
TI - Periodontal status in crack and cocaine addicted men: a cross-sectional study.
AB - This cross-sectional study evaluated the association between crack/cocaine
addiction and periodontal disease in men. Periodontal examination (probing depth,
clinical attachment level, bleeding on probing, and plaque index) and interviews
were performed in 160 patients (>=18 years) from the Federal University of Bahia.
Crack and cocaine dependence was defined according to the medical records and
interviews of each patient; all drug addicted volunteers used both crack and
cocaine. T test, Chi-square test, and logistic regression were used to assess the
associations between destructive periodontal disease and crack/cocaine dependence
(p <= 0.05). Probing depth was significantly greater in crack/cocaine addicted
individuals (2.84 +/- 0.76 mm) compared with non-addicted individuals (2.55 +/-
0.73 mm, p = 0.04). After adjusting for covariates, periodontitis was not
significantly associated with crack/cocaine addiction (OR = 2.31, 95 % CI = 0.82
6.46, p = 0.11), which was only associated with age >=35 years (OR = 4.16, 95 %
CI = 1.65-10.50, p = 0.003) and higher dental plaque index (OR = 6.46, 95 % CI =
1.95-21.42, p = 0.002). In conclusion, although probing depth was greater in
crack/cocaine addicted individuals, destructive periodontal disease was not
associated with crack and cocaine addiction in the present population.
Destructive periodontal disease was associated with age and dental plaque.
Further studies in a larger sample size are required to confirm the results.
PMID- 27866367
TI - Risk for latent and active tuberculosis in Germany.
AB - PURPOSE: Few individuals that are latently infected with M. tuberculosis latent
tuberculosis infection(LTBI) progress to active disease. We investigated risk
factors for LTBI and active pulmonary tuberculosis (PTB) in Germany. METHODS:
Healthy household contacts (HHCs), health care workers (HCWs) exposed to M.
tuberculosis and PTB patients were recruited at 18 German centres. Interferon
gamma release assay (IGRA) testing was performed. LTBI risk factors were
evaluated by comparing IGRA-positive with IGRA-negative contacts. Risk factors
for tuberculosis were evaluated by comparing PTB patients with HHCs. RESULTS:
From 2008-2014, 603 HHCs, 295 HCWs and 856 PTBs were recruited. LTBI was found in
34.5% of HHCs and in 38.9% of HCWs. In HCWs, care for coughing patients (p =
0.02) and longstanding nursing occupation (p = 0.04) were associated with LTBI.
In HHCs, predictors for LTBI were a diseased partner (odds ratio 4.39), sexual
contact to a diseased partner and substance dependency (all p < 0.001). PTB was
associated with male sex, low body weight (p < 0.0001), alcoholism (15.0 vs 5.9%;
p < 0.0001), glucocorticoid therapy (7.2 vs 2.0%; p = 0.004) and diabetes (7.8
vs. 4.0%; p = 0.04). No contact developed active tuberculosis within 2 years
follow-up. CONCLUSIONS: Positive IGRA responses are frequent among exposed HHCs
and HCWs in Germany and are poor predictors for the development of active
tuberculosis.
PMID- 27866368
TI - Does serum procalcitonin aid in the diagnosis of bloodstream infection regardless
of whether patients exhibit the systemic inflammatory response syndrome?
AB - BACKGROUND: Physicians frequently rely on the systemic inflammatory response
syndrome (SIRS) criteria to detect bloodstream infections (BSIs). We evaluated
the diagnostic performance of procalcitonin (PCT) in detecting BSI in patients
with and without SIRS. METHODS: We tested the association between BSI, serum PCT
levels, contemporaneous SIRS scores and serum lactate using logistic regression
in a dataset of 4279 patients. The diagnostic performance of these variables was
assessed. RESULTS: In multivariate regression analysis, only log(PCT) was
independently associated with BSI (p < 0.05). The mean area under the curve (AUC)
of PCT in detecting BSI (0.683; 95% CI 0.65-0.71) was significantly higher than
serum lactate (0.615; 95% CI 0.58-0.64) and the SIRS score (0.562; 95% CI 0.53
0.58). The AUC of PCT did not differ significantly by SIRS status. PCT of less
than 0.1 ng/mL had a negative predictive value (NPV) of 97.4 and NPV of 96.2% for
BSI in the SIRS-negative and SIRS-positive patients, respectively. A PCT of
greater than 10 ng/mL had a LR of 6.22 for BSI in SIRS-negative patients. The
probability of BSI increased exponentially with rising PCT levels regardless of
SIRS status. CONCLUSION: The performance of PCT for the diagnosis of BSI was not
affected by SIRS status. Only PCT was independently associated with BSI, while
the SIRS criterion and serum lactate were not. A low PCT value may be used to
identify patients at a low risk for having BSI in both settings. An elevated PCT
value even in a SIRS negative patient should prompt a careful search for BSI.
PMID- 27866369
TI - Fate of deoxynivalenol and deoxynivalenol-3-glucoside during cereal-based thermal
food processing: a review study.
AB - Deoxynivalenol (DON), the most commonly occurring trichothecene in nature, may
affect animal and human health through causing diarrhea, vomiting,
gastrointestinal inflammation, and immunomodulation. DON-3-glucoside (DON-3G) as
a major plant metabolite of the mycotoxin is another "emerging" food safety issue
in recent years. Humans may experience potential health risks by consuming DON
contaminated food products. Thus, it is crucial for human and animal health to
study also the degradation of DON and DON-3G during thermal food processing.
Baking, boiling, steaming, frying, and extrusion cooking are commonly used during
thermal food processing and have promising effects on the reduction of mycotoxins
in food. For DON, however, the observed effects of these methods, as reported in
numerous studies, are ambiguous and do not present a clear picture with regard to
reduction or transformation. This review summarized the influence of thermal
processing on the stability of DON and the formation of degradation/conversion
products. Besides this, also a release of DON and DON-3G from food matrix as well
as the release of DON from DON-3G during processing is discussed. In addition,
some conflicting findings as reported from the studies on thermal processing as
well as cause-effect relationships of the different thermal procedures are
explored. Finally, the potential toxic profiles of DON degradation products are
discussed as well when data are available.
PMID- 27866371
TI - Sparse multidimensional iterative lineshape-enhanced (SMILE) reconstruction of
both non-uniformly sampled and conventional NMR data.
AB - Implementation of a new algorithm, SMILE, is described for reconstruction of non
uniformly sampled two-, three- and four-dimensional NMR data, which takes
advantage of the known phases of the NMR spectrum and the exponential decay of
underlying time domain signals. The method is very robust with respect to the
chosen sampling protocol and, in its default mode, also extends the truncated
time domain signals by a modest amount of non-sampled zeros. SMILE can likewise
be used to extend conventional uniformly sampled data, as an effective
multidimensional alternative to linear prediction. The program is provided as a
plug-in to the widely used NMRPipe software suite, and can be used with default
parameters for mainstream application, or with user control over the iterative
process to possibly further improve reconstruction quality and to lower the
demand on computational resources. For large data sets, the method is robust and
demonstrated for sparsities down to ca 1%, and final all-real spectral sizes as
large as 300 Gb. Comparison between fully sampled, conventionally processed
spectra and randomly selected NUS subsets of this data shows that the
reconstruction quality approaches the theoretical limit in terms of peak position
fidelity and intensity. SMILE essentially removes the noise-like appearance
associated with the point-spread function of signals that are a default of five
fold above the noise level, but impacts the actual thermal noise in the NMR
spectra only minimally. Therefore, the appearance and interpretation of SMILE
reconstructed spectra is very similar to that of fully sampled spectra generated
by Fourier transformation.
PMID- 27866370
TI - Perspective: revisiting the field dependence of TROSY sensitivity.
AB - The discovery of the TROSY effect (Pervushin et al. in Proc Natl Acad Sci USA
94:12366-12371, 1997) for reducing transverse relaxation and line sharpening
through selecting pathways in which dipole-dipole and CSA Hamiltonians partially
cancel each other had a tremendous impact on solution NMR studies of
macromolecules. Together with the methyl TROSY (Tugarinov and Kay in J Biomol NMR
28:165-172, 2004) it enabled structural and functional studies of significantly
larger systems. The optimal field strengths for TROSY have been estimated to be
on spectrometers operating around 900 MHz (21.14 T) for the 1HN TROSY (Pervushin
et al. in Proc Natl Acad Sci USA 94:12366-12371, 1997) while the aromatic 13C
(13Caro) TROSY is posited to be optimal at around 600 MHz (14.09 T) (Pervushin et
al. in J Am Chem Soc 120:6394-6400, 1998b; Pervushin in Q Rev Biophys 33:161-197,
2000). The initial rational was based on the consideration of where the quadratic
B0 field dependences of the TROSY relaxation rates reach a minimum. For
sensitivity consideration, however, it is interesting to estimate which field
strengths yield the tallest peaks. Recent studies of 15N-detected TROSYs
suggested that maximal peak heights are expected at 1.15 GHz (27.01 T) although
the slowest relaxation rates or longest transverse relaxation times T2 are indeed
expected around 900 MHz (21.14 T) (Takeuchi in J Biomol NMR 63:323-331, 2015;
Takeuchi et al. in J Biomol NMR 64:143-151, 2016). This was based on the fact
that the heights of Lorentzian lines are proportional to B o3/2 * T2 (Bo). Thus,
multiplying the parabolic T2(Bo) dependence with the increasing function of B
o3/2 shifts the maxima of peak-height field dependence from the T2 maximum at 900
MHz to higher fields. Moreover, besides shifting the peak height maximum for 15N
TROSY, this analysis yields estimates for optimal peak heights for 1HN detected
TROSY to 1.5 GHz, and to 900 MHz for 13C-detected 13CaroTROSY as is detailed
below. To our knowledge, this aspect of field dependence of TROSY sensitivity has
not been in the attention of the NMR community but may affect perspectives of NMR
at ultra-high fields.
PMID- 27866372
TI - Input, behaviour and distribution of multiple elements in abiotic matrices along
a transect within the Okavango Delta, northern Botswana.
AB - Wetlands fed by rivers can be a sink for elements depending on elemental
concentrations, wetland hydrology, geochemistry, vegetation and climate. In the
case of the Okavango Delta, northern Botswana, the outflow discharge is a small
fraction (2-5%) of the inflow. This has strong potential consequences for the
Delta, as it strongly affects element cycling and storage within the Delta. We
estimated the inputs, behaviour and distribution of multiple elements along a
longitudinal transect within the Okavango Delta, to show potential effects of
retention mechanisms of different elements. High annual element input is rather
attributed to discharge than to the concentration within the water, which is
generally extremely low. We observed minimal enrichment of the elements within
the water pathway along the transect from inflow to outlets, implying that
element output is negligible. For most elements, we observed a high correlation
between storage and sediment organic matter content. The organic matter content
within the sediments was higher in the vegetated sediments than in non-vegetated
sediments (factor ~ 10), and a similar trend was found for most elements. In
conclusion, organic matter dominated in sediments from vegetated plots and thus
plays an important role in retaining the elements within the sediments of the
Delta. This finding has major implications for e.g. planning constructed wetlands
for water purification or element retention especially in areas with high
evapotranspiration.
PMID- 27866374
TI - Older men's experiences of accessing general practitioner services in rural
areas.
PMID- 27866375
TI - Pre-operative Assessment for Arteriovenous Fistula Placement for Dialysis.
AB - Native AV fistulas are considered to be the best VA for most dialysis patients. A
careful preoperative process of care is essential to maximize the proportion of
fistulas that achieve adequacy for dialysis. An individualized and timely
evaluation of patients starts early with the identification of risk factors,
followed by a physical examination which should be complemented by ultrasound
vascular mapping in most cases. Vascular mapping includes any technique that
leads to information on patient's inflow and outflow anatomy (+/- hemodynamics)
as they relate to arteriovenous access creation and may predict maturation. There
is increasing evidence favoring the utilization of preoperative Doppler
ultrasound which is recommended in all patients by NFK-KDOQI Guidelines. It
allows noninvasive evaluation of both structural and functional aspects of
vessels that play an important role in access maturation. Its major limitation is
the relative inability to assess central vein patency. Although conventional
venography is still the gold standard to evaluate central veins, it provides
otherwise limited information and can incur serious adverse effects related to
its invasive nature and contrast use. Alternatives to these two imaging
techniques are rarely used, especially because of their higher costs and low
availability.
PMID- 27866373
TI - Involvement of GSK-3beta Phosphorylation Through PI3-K/Akt in Cerebral Ischemia
Induced Neurogenesis in Rats.
AB - Glycogen synthase kinase (GSK)-3beta, which is abundantly expressed in the
central nervous system, regulates various cellular processes including gene
expression, cell proliferation, and differentiation. However, involvement of GSK
3beta in cerebral ischemia-induced endogenous neurogenesis is not yet fully
understood. Appropriate strategies to prevent ischemic cell damage and subsequent
severe sequelae are needed. The purpose of the present study was to determine the
relationship between pathophysiological alteration of the GSK-3beta signaling
pathway and cerebral ischemia-induced endogenous neurogenesis in rats. Severe
cerebral ischemia was produced by the injection of 700 microspheres into the
right internal carotid artery of rats. We demonstrated that phosphorylation of
GSK-3beta at its Ser9 and that of Akt was significantly enhanced on day 7 after
the cerebral ischemia, as was the number of NeuroD-positive cells. Treatment with
a phosphatidylinositol 3-kinase (PI3-K) inhibitor decreased the cerebral ischemia
induced phosphorylation of Akt and that of GSK-3beta at its Ser9. In addition, as
the protein levels of insulin-like growth factor-1 (IGF-1) and brain-derived
neurotrophic factor (BDNF) were decreased, they might not have been essential for
activation of the PI3-K/Akt/GSK-3beta pathway after severe cerebral ischemia.
Although it remains to be determined what factors activate this pathway, our
results suggest that PI3K/Akt-dependent GSK-3beta signaling and subsequent
expression of NeuroD were involved in the neurogenesis elicited by cerebral
ischemia.
PMID- 27866376
TI - Reduction in Dietary Acrylamide Exposure-Impact of Potatoes with Low Acrylamide
Potential.
AB - Acrylamide forms primarily from a reaction between reducing sugars (e.g., glucose
and fructose) and an amino acid (asparagine, Asn) formed naturally in foods,
including potatoes. This reaction occurs when carbohydrate-rich foods are heated
at temperatures above 120 degrees C. Multiple potato varieties were transformed
with potato genomic DNA that results in down-regulation of the expression of the
asparagine synthetase-1 gene (Asn1), significantly reducing synthesis of free
Asn, and consequently lowering the potential to form acrylamide during cooking.
These potatoes with low acrylamide potential (LAP) were tested in agronomic
trials, and processed into French fries and potato chips. Decreased levels of
acrylamide were measured in these cooked food products when derived from LAP
potatoes compared with those derived from conventional potatoes. These reductions
can be directly attributed to reduction in Asn levels in the LAP potatoes. The
corresponding average reduction in exposure to acrylamide from French fry and
potato chip consumption is estimated to be 65%, which would amount to
approximately a 25% reduction in overall dietary exposure. Considering that
children consume nearly three times more acrylamide than adults on a per kg body
weight basis, they would experience the most impact from the reduced acrylamide
associated with LAP potatoes. The potential public health impacts, in context of
dietary acrylamide exposure reduction, are discussed in this study.
PMID- 27866378
TI - Four-month-old infants individuate and track simple tools following functional
demonstrations.
AB - Two experiments examined whether 4-month-olds (n = 120) who were induced to
assign two objects to different categories would then be able to take advantage
of these contrastive categorical encodings to individuate and track the objects.
In each experiment, infants first watched functional demonstrations of two tools,
a masher and tongs (Experiment 1) or a marker and a knife (Experiment 2). Next,
half the infants saw the two tools brought out alternately from behind a screen,
which was then lowered to reveal only one of the tools (different-objects
condition); the other infants saw similar events except that the same tool was
shown on either side of the screen (same-object condition). In both experiments,
infants in the different-objects condition looked reliably longer than those in
the same-object condition, and this effect was eliminated if the demonstrations
involved similar but non-functional actions. Together, these results indicate
that infants (a) were led by the functional demonstrations they observed to
assign the two tools to distinct categories, (b) recruited these categorical
encodings to individuate and track the tools, and hence (c) detected a violation
in the different-objects condition when the screen was lowered to reveal only one
tool. Categorical information thus plays a privileged role in individuation and
identity tracking from a very young age.
PMID- 27866377
TI - Unusual cause of hypercalcaemia in end stage renal failure patients.
AB - Immobility-induced hypercalcaemia is rarely considered in patients on dialysis
and is a challenging diagnosis to make. This is especially so due to the lack of
biomarkers as well as the notion that calcium metabolism is mostly related to
chronic kidney disease-metabolic bone disorder due to the role of iPTH. We
present two cases of our dialysis patients, who were clinically unwell from
hypercalcemia. We were initially uncertain of the cause of hypercalcemia as
despite our attempts to adjust treatment based on their biochemical findings, we
were unable to correct the hypercalcemia. We did not have appropriate bone
turnover markers to guide us and out of desperation, anti-resorptives-calcitonin
and bisphosphonate were given with good clinical response. We concluded that the
hypercalcemia was related to immobility-induced hypercalcemia and the
inappropriately low iPTH was a red herring. Immobility-induced hypercalcaemia
should be considered in patients with end stage renal failure on renal
replacement therapy, especially in those with recent and significant immobility.
In these patients, pamidronate can be considered should the hypercalcaemia
persist.
PMID- 27866380
TI - IMAGING DIAGNOSIS-ULTRASOUND UNCOMMON FEATURES OF AN ABDOMINAL GOSSYPIBOMA IN A
DOG.
AB - An abdominal mass was incidentally detected in a 12-year-old, neutered female,
crossed breed dog. Abdominal ultrasonographic examination showed a well
delineated, irregular, heterogeneous mass that did not generate any distal
acoustic shadowing. Transcutaneous US-guided biopsy of the mass were
nonconclusive but raised the possibility of neoplasia. Surgery discovered a mass
embedded in the omentum and a large quantity of surgical sponges were identified
in cut section. To the authors' knowledge, this represents the first published
case of gossypiboma casting no characteristic distal acoustic shadowing.
PMID- 27866381
TI - CARDIAC MAGNETIC RESONANCE IMAGING OF PATENT DUCTUS ARTERIOSUS IN THREE DOGS.
AB - Patent ductus arteriosus (PDA) is the most common congenital cardiovascular
disorder in dogs and requires an accurate diagnosis for an appropriate treatment.
Cardiac MRI (cMRI) has been reported as a method for characterization of canine
thoracic vasculature. However, to the authors' knowledge, no published studies
describe evaluation of canine PDA through cMRI. Three dogs were selected for this
exploratory study. Electrocardiogram gating and breath-hold techniques were
performed using a 3T MR scanner. Both black blood imaging and bright blood cine
acquisitions were performed. Quantification of stroke volume (SV) and shunting
volume were calculated using a stack of short-axis cine images. Additional 4D
(three-spatial dimensions plus time)-TRAK (time-resolved MR angiography with
keyhole) sequences were conducted in patient 2 to verify other vasculature
abnormality. Black blood images clearly depicted the course of the ductus from
the descending aorta to the pulmonary artery in all three dogs. Morphological
evaluation of PDA classified patients 1 and 2 as Type 2a and patient 3 as Type 1.
Patient 2 was confirmed to have a concurrent persistent left cranial vena cava.
Left ventricular SV, right ventricular SV, and left-to-right SV ratio were 12.4
ml, 3.36 ml, and 3.704, respectively, in patient 1; 6.85 ml, 1.22 ml, and 5.60 in
the patient 2; and 3.67 ml, 2.14 ml, and 1.702 in patient 3. Findings indicated
that cMRI is a feasible method for characterizing the morphology of PDA and
extracardiac vasculature anomalies in dogs.
PMID- 27866379
TI - Effects of oral exposure to the phthalate substitute acetyl tributyl citrate on
female reproduction in mice.
AB - Acetyl tributyl citrate (ATBC), is a phthalate substitute used in food and
medical plastics, cosmetics and toys. Although systemically safe up to 1000 mg kg
1 day-1 , its ability to cause reproductive toxicity in females at levels below
50 mg kg-1 day-1 has not been examined. This study evaluated the effects of
lower ATBC exposures on female reproduction using mice. Adult CD-1 females (n = 7
8 per treatment) were dosed orally with tocopherol-stripped corn oil (vehicle), 5
or 10 mg kg-1 day-1 ATBC daily for 15 days, and then bred with a proven breeder
male. ATBC exposure did not alter body weights, estrous cyclicity, and
gestational and litter parameters. Relative spleen weight was slightly increased
in the 5 mg kg-1 day-1 group. ATBC at 10 mg kg-1 day-1 targeted ovarian
follicles and decreased the number of primordial, primary and secondary follicles
present in the ovary. These findings suggest that low levels of ATBC may be
detrimental to ovarian function, thus, more information is needed to understand
better the impact of ATBC on female reproduction. Copyright (c) 2016 John Wiley &
Sons, Ltd.
PMID- 27866382
TI - Immunotoxic effects of in vitro exposure of dolphin lymphocytes to Louisiana
sweet crude oil and CorexitTM.
AB - The Deepwater Horizon oil spill was one of the worst environmental disasters on
record in the United States. Response efforts to reduce the magnitude of the oil
slick included the use of thousands of gallons of the chemical dispersant
CorexitTM in surface and deep-water environments. The immunotoxicity of Louisiana
sweet crude oil and the chemical dispersant Corexit was examined using lymphocyte
proliferation (LP) and natural killer cell (NK) assays as measures of impact on
the adaptive (LP) and innate (NK) immune response in bottlenose dolphins. Study
results show that both high-energy media-accommodated fractions (MAF) and
chemically enhanced MAF (CEMAF) mixtures modulate immune function. Following
exposure to Louisiana sweet crude, both B- and T-cell proliferation of white
blood cells was increased for all exposure concentrations, compared to control;
however, this increase was only significant for the 50% and 100% treatments. In
contrast, exposure of white blood cells to the CEMAF mixture significantly
decreased both T- and B-cell proliferation in the 25%, 50% and 100% treatments.
NK cell activity was enhanced significantly by CEMAF mixtures for the 50% and
100% treatments. The immunosuppression of LP at environmentally relevant
concentrations of oil and dispersant suggests that marine mammals may be unable
to mount an adequate defense against xenobiotic threats following exposure to oil
and dispersant, leaving them more susceptible to disease. In contrast, NK cell
activity was significantly enhanced, which may increase an organism's tumor or
viral surveillance ability by mounting an enhanced immune response. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27866383
TI - Perceptions of Peer Sexual Behavior: Do Adolescents Believe in a Sexual Double
Standard?
AB - BACKGROUND: The purpose of the study was to (1) examine attitudes of adolescents
toward peer models having sex or choosing abstinence, and (2) determine whether a
"double standard" in perception existed concerning adolescent abstinence and
sexual behavior. METHODS: Adolescents (N = 173) completed questionnaires that
included 1 of 6 randomly assigned vignettes that described male and female peer
models 3 ways: (1) no information about model's sexual behavior, (2) model in
love but choosing abstinence, and (3) model in love and having sex. Participants
read the vignette to which they had been assigned and responded to statements
about the peer model. Data were analyzed using multivariate analysis of variance.
RESULTS: Results did not show evidence of a sexual double standard among male
participants, but did show some evidence of a sexual double standard among female
participants. Additionally, both male and female participants evaluated more
harshly peer models that were having sex than peer models that chose abstinence.
CONCLUSIONS: Findings provide insight concerning the lack of a sexual double
standard among male participants, the existence, to some degree, of a sexual
double standard among female participants, and demonstrate the existence of a
social cost to both young men and young women for choosing to have sex.
PMID- 27866384
TI - Schoolyard Characteristics, Physical Activity, and Sedentary Behavior: Combining
GPS and Accelerometry.
AB - BACKGROUND: Physical activity (PA) is decreasing among children, while sedentary
behavior (SB) is increasing. Schoolyards seem suitable settings to influence
children's PA behavior. This study investigated the associations between
schoolyard characteristics and moderate-to-vigorous physical activity (MVPA) and
SB of children aged 8-11 years at schoolyards. METHODS: Twenty primary schools in
the Netherlands were involved. A total of 257 children wore an accelerometer and
global positioning system (GPS) device for 5 consecutive days to objectively
assess their PA levels and presence at the schoolyard, respectively.
Accelerometer and GPS data were merged using the personal activity and location
measurement system. Multilevel linear regression analyses were used to study
correlates of MVPA and SB at schoolyards. RESULTS: On average, children spent 54
minutes a day at the schoolyard, 9 minutes of which were spent in MVPA and 20
minutes in SB. Boys engaged in MVPA longer than girls at the schoolyard. Fixed
equipment, such as high bars and soccer goals, teacher-initiated activities, and
the presence of a ball games policy were correlates of more MVPA and less SB.
CONCLUSION: Well-designed schoolyards, including PA-enhancing fixed equipment, a
supportive PA climate created by teachers, and supportive schoolyard policies may
contribute to increased PA and decreased SB during school recess among school
aged children.
PMID- 27866386
TI - Hand Hygiene Program Decreases School Absenteeism Due to Upper Respiratory
Infections.
AB - BACKGROUND: We assessed the effectiveness of a handwashing program using hand
sanitizer to prevent school absenteeism due to upper respiratory infections
(URIs). METHODS: This was a randomized, controlled, and open study on a sample of
1341 children 4-12 years old, attending 5 state schools in Almeria (Spain), with
an 8-month follow-up. The experimental group (EG) washed their hands with soap
and water, together with using hand sanitizer, and the control group followed
their usual handwashing procedures. Absenteeism rates due to URIs were compared
between the 2 groups through a multivariate Poisson regression analysis. The
percent of days missed in both groups were compared with a z test. RESULTS:
Overall, 1271 cases of school absenteeism due to URIs were registered.
Schoolchildren from the EG had a 38% lower risk of absenteeism due to URIs,
incidence rate ratio: 0.62, 95% confidence interval: 0.55-0.70, and a decrease in
absenteeism of 0.45 episodes/child/academic year, p < .001. Pupils missed 2734
school days due to URIs and the percentage of days absent was significantly lower
in the EG, p < .001. CONCLUSIONS: Use of hand sanitizer plus handwashing with
soap accompanied by educational support is an effective measure to reduce
absenteeism due to URIs.
PMID- 27866387
TI - School Context Matters: The Impacts of Concentrated Poverty and Racial
Segregation on Childhood Obesity.
AB - BACKGROUND: Schools are important sites for interventions to prevent childhood
obesity. This study examines how variables measuring the socioeconomic and racial
composition of schools and counties affect the likelihood of obesity among third
to fifth grade children. METHODS: Body mass index data were collected from third
to fifth grade public school students by teachers from 317 urban and rural North
Carolina schools in 38 counties. Multilevel models are used to examine county-,
school-, and individual-level effects. RESULTS: Low concentrations of poverty at
the school level are associated with lower odds of obesity. Schools in rural
counties had significantly higher rates of obesity, net the other variables in
the model. Students in minority-segregated schools had higher rates of obesity
than those in more racially diverse schools, but the effect was not statistically
significant once school-level poverty was controlled. CONCLUSIONS: Place-based
inequalities are important determinants of health inequalities. The results of
this study show that school-level variables related to poverty are important for
understanding and confronting childhood obesity.
PMID- 27866385
TI - Effectiveness of Universal School-Based Mental Health Awareness Programs Among
Youth in the United States: A Systematic Review.
AB - BACKGROUND: Stigmatizing attitudes toward mental illness and low mental health
literacy have been identified as links to social adversity, and barriers to
seeking and adhering to treatment among adolescents suffering from mental
illness. Prior research has found that it is possible to improve these outcomes
using school-based mental health awareness interventions. The purpose of this
study was to review empirical literature pertaining to universal mental health
awareness interventions aiming to improve mental health related outcomes among
students enrolled in US K-12 schools, especially minorities vulnerable to health
disparities. METHODS: PsycINFO, Cochrane Library, PUBMED, and reference lists of
relevant articles were searched for K-12 school-based mental health awareness
interventions in the United States. Universal studies that measured knowledge,
attitudes, and/or help-seeking pertinent to mental health were included. RESULTS:
A total of 15 studies were selected to be part of the review. There were 7
pretest/post-test case series, 5 nonrandomized experimental trial, 1 Solomon 4
groups, and 2 randomized controlled trial (RCT) designs. Nine studies measuring
knowledge, 8 studies measuring attitudes, and 4 studies measuring help-seeking,
indicated statistically significant improvements. CONCLUSIONS: Although results
of all studies indicated some level of improvement, more research on
implementation of universal school-based mental health awareness programs is
needed using RCT study designs, and long-term follow-up implementation.
PMID- 27866388
TI - Increasing Prevalence of US Elementary School Gardens, but Disparities Reduce
Opportunities for Disadvantaged Students.
AB - BACKGROUND: We examined the prevalence of school garden programs at US public
elementary schools. The study examined time trends, demographic and regional
disparities, and associations with related programs such as farm-to-school.
METHODS: Annual surveys were gathered from nationally representative samples of
elementary schools between 2006-2007 and 2013-2014. Annual samples ranged from
553 to 748 schools. RESULTS: The prevalence of gardens increased steadily from
11.9% in 2006-2007 to 31.2% in 2013-2014 (p < .001). In multivariate logistic
regressions the prevalence of garden programs varied significantly by school
characteristics. Gardens were more prevalent in the west than in other regions.
Gardens were less prevalent at schools serving higher proportions of lower-income
students, and were more prevalent at urban schools than in suburbs, towns, or
rural areas. Gardens were more common at schools with farm-to-school programs.
Gardens also were associated with offering formal classroom-based nutrition
education. CONCLUSIONS: Garden programs in elementary schools have increased over
time, but there is room for wider implementation, particularly at schools serving
lower-income students. Given the role of childhood in establishing food
preferences and dietary consumption habits, such programs are important and can
reinforce the messages imparted through nutrition education.
PMID- 27866389
TI - Do Substance Use, Psychosocial Adjustment, and Sexual Experiences Vary for Dating
Violence Victims Based on Type of Violent Relationships?
AB - BACKGROUND: We examined whether substance use, psychosocial adjustment, and
sexual experiences vary for teen dating violence victims by the type of violence
in their relationships. We compared dating youth who reported no victimization in
their relationships to those who reported being victims of intimate terrorism
(dating violence involving one physically violent and controlling perpetrator)
and those who reported experiencing situational couple violence (physical dating
violence absent the dynamics of power and control). METHODS: This was a cross
sectional survey of 3745 dating youth from 10 middle and high schools in the
northeastern United States, one third of whom reported physical dating violence.
RESULTS: In general, teens experiencing no dating violence reported less frequent
substance use, higher psychosocial adjustment, and less sexual activity than
victims of either intimate terrorism or situational couple violence. In addition,
victims of intimate terrorism reported higher levels of depression, anxiety, and
anger/hostility compared to situational couple violence victims; they also were
more likely to report having sex, and earlier sexual initiation. CONCLUSIONS:
Youth who experienced physical violence in their dating relationships, coupled
with controlling behaviors from their partner/perpetrator, reported the most
psychosocial adjustment issues and the earliest sexual activity.
PMID- 27866390
TI - School-Based HIV/STD Testing Behaviors and Motivations Among Black and Hispanic
Teen MSM: Results From a Formative Evaluation.
AB - BACKGROUND: This evaluation explores experiences with, and motivations for, human
immunodeficiency virus (HIV) and sexually transmitted disease (STD) testing among
black and Hispanic school-aged young men who have sex with men (YMSM). METHODS:
Participants were recruited at community-based organizations that serve YMSM in
New York City, Philadelphia, and San Francisco. Eligible participants were 13- to
19-year-old black or Hispanic males who reported attraction to or sexual behavior
with other males and/or identified as gay or bisexual, and attended at least 90
days of school in the previous 18 months. Participants (N = 415) completed web
based questionnaires and/or in-depth interviews (N = 32). RESULTS: In the past
year, 72.0% of questionnaire participants had been tested for HIV, 13.5% of them
at school or school clinic. Participants reported that they would be more likely
to get an HIV test if they could be tested close to or at school (34.4%), and
64.4% would use HIV testing if offered in schools. Most interview participants
reported willingness to use school-based services if they were offered
nonjudgmentally, privately, and confidentially by providers with experience
serving YMSM. CONCLUSION: Schools can provide opportunities to make HIV and STD
testing accessible to school-aged YMSM, but the services must be provided in ways
that are comfortable to them.
PMID- 27866392
TI - Drug-caused deaths in Australian medical practitioners and health-care
professionals.
AB - BACKGROUND AND AIMS: There are numerous factors putting health-care professionals
(HCP) at a higher risk of substance abuse and premature death, including high
stress jobs, access to controlled substances, long hours of practice and constant
contact with the critically ill. This study aimed to examine fatal drug toxicity
in this high-risk cohort, in order to: (1) estimate the rate of drug-caused
deaths of Australian HCPs; (2) describe the key characteristics of the cohort;
and (3) examine the relationship between HCP occupation and drug type, or intent.
DESIGN: Retrospective cohort study. SETTING: The National Coronial Information
System (NCIS), a database of cases reported to an Australian coroner.
PARTICIPANTS: A total of 404 drug-caused deaths reported to an Australian coroner
between 2003 and 2013 involving HCPs (including medical practitioners,
paramedics, nurses, dentists, psychologists, pharmacists and veterinarians).
MEASURES: chi2 tests and descriptive statistics were used to examine
relationships. The primary outcome measures were drug type and intent. Covariates
included occupation type, mental illness and self-harm. FINDINGS: Females
comprised nearly two-thirds of the cohort. The highest number of cases involved
nurses (62.87%) and medical practitioners (18.07%). The mortality rate was
highest among the veterinary group [confidence interval (CI) = 42.21-58.79]. Most
were intentional self-harm deaths (50.25%), followed by unintentional deaths
(37.62%) (CI = 92.15-109.85). Mental illness was common, diagnosed in almost half
of cases (46.04%), with the majority involving depression (CI = 33.48-44.12).
Specific drugs were associated significantly with certain professions, such as
intravenous barbiturates among veterinarians (chi2(7) = 237.391). A number of
cases reported additional stressors, such as relationship, work-place or
financial issues, and drugs were diverted from the work-place in nearly a fifth
of cases. CONCLUSIONS: Between 2003 and 2013, Australian health-care
professionals averaged 37 deaths per year attributed to drug toxicity, with a
mortality rate of nearly five deaths per 1000 employed HCPs. Drug-caused deaths
among HCPs in Australia commonly involve females in their mid-40s, with a
diagnosis of mental illness, personal and professional stress and the intent to
self-harm.
PMID- 27866391
TI - Adolescent Healthcare Brokering: Prevalence, Experience, Impact, and
Opportunities.
AB - BACKGROUND: Limited health literacy disproportionately affects those with limited
English proficiency (LEP). Parents with LEP might rely on their adolescent
children to interpret health information. We call this adolescent healthcare
brokering. This study uncovers the prevalence of brokering, kinds of tasks,
emotional and academic impact, and desired support. METHODS: We invited 165
students from health classes (in a community in which 29.8% are foreign-born and
53.4% speak another language at home) to complete a survey. We used IBM SPSS to
calculate descriptive statistics. RESULTS: Of the 159 who received parental
consent and assented, 54.1% (N = 86) assist with healthcare tasks. When
brokering, 80.2% (N = 69) translate. Most common tasks were talking to a doctor,
reading prescriptions, and searching on the Internet. Participants were most
confident reading prescriptions and talking to a doctor and least confident
finding healthcare services. Among brokers, 29.1% (N = 24) missed school; 33.7%
did not complete homework. They most wanted to learn about filling out insurance
forms and talking to doctors. CONCLUSIONS: Despite assurances that children are
not permitted to interpret, adolescents are acting as healthcare brokers. The
impact can be academic and emotional. Findings indicate a need for further
research and support for adolescents who want to learn about healthcare tasks.
PMID- 27866393
TI - Potentially pathogenic circulating autoantibodies to cardiac troponin are present
in hemodialysis patients.
AB - INTRODUCTION: Repetitive dialysis-induced cardiac injury is associated with
elevated troponin levels, inflammation, and longitudinal reduction in cardiac
function. Pathogenic autoantibodies to cardiac troponins (cTnAAb) produce
inflammatory cardiomyopathy in murine models. This study aimed to explore the
possibility that analogous autoimmune processes might occur in hemodialysis (HD)
patients, by initially investigating cTnAAb prevalence, and exploring potential
links with HD-induced myocardial stunning. METHODS: In 130 prevalent HD patients
from two centers (Derby, UK; Turku, Finland), cTnAAb (immunoassay) and cardiac
troponins were quantified. Sixty-four patients underwent serial echocardiography
to assess myocardial stunning. FINDINGS: cTnAAb were present in 7% of patients.
Dual positivity to cTnAAb and elevated cTn occurred in 3% and 6% for cTnI and
cTnT, respectively. Patients with cTnAAb had significantly longer dialysis
vintage (82 vs. 30 months, P = 0.024), higher cTnT (0.1 vs. 0.05 pg/mL, P =
0.04), cTnI (0.02 vs. 0.01 pg/mL, P = 0.029), and free PAPP-A (6.4 vs. 3.3 mIU/L,
P = 0.038). DISCUSSION: This is the first description of cTnAAb in HD patients,
which raises the possibility that longitudinal exposure to repetitive HD-induced
cardiac injury may lead to further autoimmune-based myocardial insult.
PMID- 27866395
TI - [Best Medical Rreatment of Vascular Diseases: Which future?]
PMID- 27866397
TI - Left Partial Anomalous Pulmonary Venous Return and Mitral Stenosis in an Adult.
AB - Partial anomalous pulmonary venous return (PAPVR) is a relatively common
congenital cardiac malformation in which one to three pulmonary veins drain to a
systemic vein, the right atrium or the coronary sinus, resulting in a left-to
right shunt and the risk of developing pulmonary hypertension (PHT). It is
frequently associated to other congenital cardiac defects (mainly atrial septal
defect) but seldom associated with acquired cardiac disease, and normally
involves the right lung. When it involves the left lung, the surgical correction
in children is normally performed without prosthetic material. The authors report
a case of associated mitral stenosis and left PAPVR corrected with comissurotomy
and extra-anatomic derivation with a synthetic vascular graft.
PMID- 27866394
TI - Repeated otilonium bromide administration prevents neurotransmitter changes in
colon of rats underwent to wrap restraint stress.
AB - Otilonium bromide (OB) is a spasmolytic drug successfully used for the treatment
of irritable bowel syndrome (IBS). Its efficacy has been attributed to the block
of L- and T-type Ca2+ channels and muscarinic and tachykinin receptors in the
smooth muscle. Furthermore, in healthy rats, repeated OB administration modified
neurotransmitter expression and function suggesting other mechanisms of action.
On this basis, we investigated whether repeated OB treatment prevented the
functional and neurochemical changes observed in the colon of rats underwent to
wrap restrain stress (WRS) a psychosocial stressor considered suitable to
reproduce the main IBS signs and symptoms. In control, WRS and OB/WRS rats
functional parameters were measured in vivo and morphological investigations were
done ex vivo in the colon. The results showed that OB counteracts most of the
neurotransmitters changes caused by WRS. In particular, the drug prevents the
decrease in SP-, NK1r-, nNOS-, VIP-, and S100beta-immunoreactivity (IR) and the
increase in CGRP-, and CRF1r-IR. On the contrary, OB does not affect the increase
in CRF2r-IR neurons observed in WRS rats and does not interfere with the mild
mucosal inflammation due to WRS. Finally, OB per se increases the Mr2 expression
in the muscle wall and decreases the number of the myenteric ChAT-IR neurons.
Functional findings show a significantly reduction in the number of spontaneous
abdominal contraction in OB treated rats. The ability of OB to block L-type Ca2+
channels, also expressed by enteric neurons, might represent a possible mechanism
through which OB exerts its actions.
PMID- 27866396
TI - [Patency of Coronary Artery Bypass Graft].
AB - INTRODUCTION: Coronary heart disease is the leading cause of death and disability
in the U.S. and Europe. When significant, the coronary disease can be treated
medically or surgically. The medical treatment is performed in the
catheterization laboratory and consists in the re-permeabilization of the
coronary arteries by percutaneous approach, whereas the surgical myocardial
revascularization consists in performing aorto-coronary bypass using arterial or
venous conduits. OBJECTIVE: This study is sought to assess the patency and
longevity of bypass in patients requiring new catheterization after surgery for
recurrence of ischemic heart disease and to evaluate its relationship with
factors such as the type of bypass, cardiovascular risk factors and left
ventricular ejection fraction. METHODS: This study retrospectively analysed a
sample of 260 surgically revascularized patients who required a new
catheterization at the Hospital of Vila Nova de Gaia - Espinho between 2007 and
2012, for recurrence of ischemic heart disease. The degree of patency of the
bypass was evaluated and sought a relationship with other variables such as
gender, age, cardiovascular risk factors, left ventricular ejection fraction, the
time interval between bypass surgery and the new catheterization. RESULTS: The
patency of the arterial bypass using the left internal mammary artery proved to
be superior to the venous conduit bypass. There was no statistically significant
relationship between the patency of the bypass, the cardiovascular risk factors
and the left ventricle ejection fraction. CONCLUSION: In this study we found a
greater patency of the arterial bypass compared to the venous bypass.
PMID- 27866398
TI - [Anorexia and Weight Loss as First Symptoms of a Solitary Fibrous Tumor of the
Pleura].
AB - We report the clinical case of a 66 year-old woman with anorexia and weight loss,
in whom a giant mass was found in the left pulmonary field. A computorized
tomography guided fine-needle biopsy established the diagnosis of a solitary
fibrous tumor of the pleura. Surgical resection of a 2655 grams of tumor mass was
performed and the pathological examination confirmed the diagnosis. Nine months
after surgery, the patient remained free of symptoms and with no evidence of
disease recurrence. Solitary fibrous tumor of the pleura is a rare entity with
mesenchymal origin. Although most solitary fibrous tumors of the pleura are
benign, they possess a malignant potential and thus should be totally excised.
Diagnosis is generally incidental, being the majority of the patients
asymptomatic. However, extrinsic compression of the solitary fibrous pleural
tumor on lung parenchyma may cause symptoms, from which the commonest are cough,
dyspnea, and chest pain. The clinical presentation, suggestive of a consumptive
and malignant disease reinforced the heterogeneity of this disease and the
singularity of this clinical case, thus justifying its presentation.
PMID- 27866399
TI - Thoracoscopic Pleural Abrasion in Neonatal Recurrent Pneumothorax.
AB - Recurrent pneumothorax is a rare neonatal condition and there are few cases
reporting surgical treatment, mainly based on adult studies. We present a male,
term newborn that after four recurrent spontaneous pneumothorax (treated with
pleural needle aspiration and drains) underwent thoracoscopic mechanical pleural
abrasion. There were no post-operatory complications and no recurrence reported
until the second year of life. We believe that this technique is safe and
effective and may play an important role in these cases.
PMID- 27866400
TI - [Deep Venous Thrombosis in Emergency Care: From Clinical Suspicion to Correct
Diagnosis].
AB - AIM: Retrospective analysis of suspected deep venous thrombosis (DVT) of the
lower limbs admitted to an emergency unit and subsequently scanned in the
vascular lab. METHODS: Clinical and demographic details of patients were
retrieved from clinical files and collected in a database. The statistical
software SPSS was used for statistical analysis. RESULTS: Between January 2011
and September 2013, 407 venous scans were performed for ruling out DVT. Two
hundred sixty-nine (66%) patients were female. Average age was 60.1 years-old (16
93). One hundred thirty-four scans (32.9%) were positive for the diagnosis of
recent DVT (simultaneous DVT and superficial thrombophlebitis in six patients of
this group). In 194 exams (47.6%) there was any sign of venous thrombosis,
whether recent or remote. The remaining cases showed up signs of remote DVT in 22
(5.4%) patients, and superficial thrombophlebitis in 50 (12.2%) patients.
CONCLUSION: Suspected DVT was confirmed in only a third of patients, using
ultrasound scan. Local implementation of guidelines for the evaluation of
patients with suspected DVT may reduce the amount of unnecessary scans.
PMID- 27866402
TI - [Subclavian Steal Syndrome and Cerebral Hypoperfusion during Hemodialysis: A case
report].
AB - Subclavian steal syndrome is caused by retrograde vertebral artery flow
"stealing" vertebrobasilar perfusion. We report the clinical case of a 53 year
old dialysis dependent woman with several cardiovascular risk factors, who had
episodes of vertebrobasilar insufficiency and resting pain of the left upper
extremity, during hemodialysis sessions, through a left arm brachiobasilic
arteriovenous fistula. Noninvasive studies demonstrated a preoclusive stenosis at
the origin of left subclavian artery, together with a stenosis on the left
internal carotid artery superior to 70% and reversion of flow in the left
vertebral artery. The patient underwent endarterectomy and Dacron patch
angioplasty of the left internal carotid artery and carotid-subclavian ePTFE
bypass, with total resolution of the previously described clinical presentation.
PMID- 27866401
TI - [Primary Aorto-enteric Fistula Related to an Aortic Pseudo Aneurysm].
AB - : Primary aorto-enteric fistula is a very rare entity, with a high mortality.
Direct aortic reconstruction is the preferred treatment, with extra-anatomic
revascularization regarded as an alternative. Despite somehow promising results,
the role of endovascular exclusion is still under scrutiny. CASE REPORT: Male
patient, 80 years old, with a history of Micobacterium bovis infection one year
ago, and two episodes of gastrointestinal bleeding in the last month. He
presented with abdominal pain and hematochezia, was hypotensive and digested
blood was found in the nasogastric tube. Anemia (Hb 7,3g/dL) was the only
bloodwork remark. Upper and lower endoscopies reported no bleeding nor suspect
lesions. Angio-CT showed a pseudo aneurysm of the infra-renal aorta, adherent to
the third portion of the duodenum, with findings suggestive of an aorto-enteric
fistula; it also showed a thrombosed false aneurysm related to the aorta and left
iliac artery. None of these CT findings were reported in a CT done one year
before. A right axillo-femoral bypass and crossover femoro-femoral bypass were
done, before laparotomy, duodenal repair with jejunal patch and aortic and iliac
arteries suture interruption. Good clinical outcome, with discharge from hospital
on the 11th post-operative day. One year afterwards no vascular or
gastrointestinal events were noticed. No positive microbiological cultures were
obtained. CONCLUSION: The history of previous Micobacterium infection and the
absence of the CT findings one year before this event support the aortic
infection as the most likely cause for the fistula, emphasizing the rarity of
this case.
PMID- 27866403
TI - [Occlusion of Peripheral Bypass - Changing of an Institutional Paradigm].
AB - The vast majority of renovascular hypertension is treated nowadays resorting to
endovascular procedures (angioplasty/stenting). We report a case of malignant
hypertension derived from bilateral ostial renal artery occlusion with unfit
anatomy for endovascular correction. The patient maintained assymptomatic with
controlled arterial tension at the thirteenth yeah of follow-up, due to a
splenorenal bypass. A review of the literature and this surgery indications is
discussed.
PMID- 27866404
TI - Current Era of Minimally Invasive Aortic Valve Replacement.
PMID- 27866405
TI - Occupation and mesothelioma in Sweden: updated incidence in men and women in the
27 years after the asbestos ban.
AB - OBJECTIVES: We updated the Swedish component of the Nordic Occupational Cancer
(NOCCA) Study through 2009 in order to investigate the incidence of mesothelioma
of the peritoneum and pleura in both genders, and explored occupational exposures
that may be associated with mesothelioma. METHODS: The Swedish component of the
NOCCA Study includes 6.78 million individuals. Data from this cohort were linked
to the population-based Swedish Cancer Registry and Swedish Total Population
Registry for three periods between 1961 and 2009, and then further linked to the
Swedish NOCCA job-exposure matrix, which includes 25 carcinogenic substances and
the corresponding exposure levels for 280 occupations. Multivariate analysis was
used to calculate standardized incidence ratios (SIRs) for mesothelioma of the
peritoneum and pleura by gender, occupational category, carcinogenic substance,
and for multiple occupational exposures simultaneously. RESULTS: A total of 3,716
incident mesotheliomas were recorded (21.1% in women). We found a significantly
increased risk of mesothelioma in 24 occupations, as well as clear differences
between the genders. Among men, increased risks of mesothelioma of the pleura
were observed in male-dominated occupations, with the greatest elevation of risk
among plumbers (SIR, 4.99; 95% confidence interval, 4.20 to 5.90). Among women,
increased risks were observed in sewing workers, canning workers, packers,
cleaners, and postal workers. In multivariate analysis controlling for multiple
occupational exposures, significant associations were only observed between
asbestos exposure and mesothelioma. CONCLUSIONS: Asbestos exposure was associated
with mesothelioma incidence in our study. The asbestos ban of 1982 has yet to
show any clear effect on the occurrence of mesothelioma in this cohort. Among
women, the occupations of canning workers and cleaners showed increased risks of
mesothelioma of the pleura without evidence of asbestos exposure.
PMID- 27866406
TI - Prevalence of factors related to active reproductive health behavior: a cross
sectional study Indonesian adolescent.
AB - OBJECTIVES: Complex and diverse factors are related to reproductive health (RH)
behavior among adolescents according to the social and cultural context of each
countries. This study examined the prevalence of active RH and factors related to
active RH behavior among Indonesian adolescents. METHODS: A cross-sectional study
was conducted among 1,040 of students who were selected through a multi-stage
random sampling technique. A self-administered questionnaire was developed,
including the World Health Organization Illustrative Questionnaire for Interview
Surveys with Young People, pubertal development scale, and sexual activity scale,
modified in accordance to the Indonesian context. The data were analyzed using
descriptive and comparative statistics, as well as logistic regression analyses.
RESULTS: The prevalence of active RH behavior were more higher in boys (56.6%;
95% confidence interval [CI], 50.6% to 62.6%) than in girls (43.7%; 95% CI, 37.6%
to 49.8%). Negative attitudes towards RH were a factor related to active RH
behavior in both boys and girls. Smoking and kind relationship envisioned before
marriage (pacaran [courtship] and nikah siri [non-registered marriage]) were
factors related to active RH behavior in boys; whereas the absence of access to
information on substance abuse was an additional factor in girls. Moreover, an
interaction was found between access to information on development and smoking
(boys) and attitudes on RH (girls) as independent variables associated with
active RH behavior. CONCLUSIONS: Sex education for adolescents in Indonesia,
particularly in the context of a health promotion program, should be developed
based on prevalent social, cultural, and religious values to prevent active RH
behavior. Such programs should focus on the kind of relationship envisioned
before marriage and smoking for boys and access to information on subtance abuse
for girls.
PMID- 27866407
TI - Forecasting and prediction of scorpion sting cases in Biskra province, Algeria,
using a seasonal autoregressive integrated moving average model.
AB - OBJECTIVES: The aims of this study were to highlight some epidemiological aspects
of scorpion envenomations, to analyse and interpret the available data for Biskra
province, Algeria, and to develop a forecasting model for scorpion sting cases in
Biskra province, which records the highest number of scorpion stings in Algeria.
METHODS: In addition to analysing the epidemiological profile of scorpion stings
that occurred throughout the year 2013, we used the Box-Jenkins approach to fit a
seasonal autoregressive integrated moving average (SARIMA) model to the monthly
recorded scorpion sting cases in Biskra from 2000 to 2012. RESULTS: The
epidemiological analysis revealed that scorpion stings were reported continuously
throughout the year, with peaks in the summer months. The most affected age group
was 15 to 49 years old, with a male predominance. The most prone human body areas
were the upper and lower limbs. The majority of cases (95.9%) were classified as
mild envenomations. The time series analysis showed that a (5,1,0)*(0,1,1)12
SARIMA model offered the best fit to the scorpion sting surveillance data. This
model was used to predict scorpion sting cases for the year 2013, and the fitted
data showed considerable agreement with the actual data. CONCLUSIONS: SARIMA
models are useful for monitoring scorpion sting cases, and provide an estimate of
the variability to be expected in future scorpion sting cases. This knowledge is
helpful in predicting whether an unusual situation is developing or not, and
could therefore assist decision-makers in strengthening the province's prevention
and control measures and in initiating rapid response measures.
PMID- 27866408
TI - Prescribing patterns for attention deficit hyperactivity disorder medications
among children and adolescents in Korea, 2007-2011.
AB - OBJECTIVES: This study analyzed the prevalence of attention deficit hyperactivity
disorder (ADHD) medication use among children and adolescents in Korea between
January 1, 2007 and December 31, 2011. METHODS: Using the Korea National Health
Insurance claims database, we identified patients between one and 17 years of age
who had at least one medical claim for the diagnosis of ADHD (International
Classification of Diseases, 10th revision: F90.0). The annual prevalence of ADHD
diagnoses was calculated, using national census data from Statistics Korea on the
population aged between one and 17 years as the denominator. The prevalence was
age-standardized using the 2010 population as the standard population. The number
of patients who were treated with methylphenidate and/or atomoxetine and the
prevalence of total patients with ADHD that were treated with either drug were
also calculated for each year. All analyses were stratified according to gender
and age group (1-5 years, 6-12 years, and 13-17 years). RESULTS: The number of
patients diagnosed with ADHD increased from 72,704 persons (0.71%) in 2007 to
85,468 persons (0.93%) in 2011. The annual age-standardized prevalence of ADHD
diagnoses increased from 0.67% in 2007 to 0.94% in 2011. The prevalence of
methylphenidate use among children and adolescents with ADHD decreased from
73.91% in 2007 to 70.33% in 2011, whereas that of atomoxetine use increased from
5.77% in 2009 to 13.09% in 2011. CONCLUSIONS: While methylphenidate remains the
most commonly prescribed ADHD drug, the use of atomoxetine has increased.
PMID- 27866409
TI - Child migration: from social determinants of health to the development agenda and
beyond.
PMID- 27866410
TI - Distress, depression and anxiety among persons seeking HIV testing.
AB - We investigated psychological distress and symptoms of depression and anxiety
among 485 South Africans seeking HIV testing. The mean scores of the sample were
45.78 (SD = 16.81) on the Hopkins Symptom Checklist; 15.8 (SD = 12.4) on the Beck
Depression Inventory and 12.44 (SD = 13.00) on the Beck Anxiety Inventory, which
fell in the elevated, mild and low ranges on these instruments, respectively. For
more than a third of participants, symptoms of depression and clinically
significant distress were at least moderate and in some cases severe, indicating
that they may have benefitted from psychological help. We make the case that
symptoms of depression and distress are common among persons seeking HIV testing
and are therefore not a consequence of an HIV-positive test result.
PMID- 27866411
TI - Evaluation of the ERIC-PCR as a probable method to differentiate Avibacterium
paragallinarum serovars.
AB - Infectious coryza, an upper respiratory tract disease in chickens, caused by
Avibacterium paragallinarum, leads to huge economic losses. The disease is
controlled through vaccination; but vaccination efficacy is dependent on correct
identification of the infecting serovar, as limited cross-protection is reported
amongst some serovars. Current identification methods include the
heamagglutination inhibition test, which is demanding and could be subjective. To
overcome this, molecular typing methods proposed are the Multiplex polymerase
chain reaction (PCR) and Restriction Fragment Length Polymorphism-PCR, but low
reproducibility is reported. Enterobacterial Repetitive Intergenic Consensus
(ERIC)-PCR has been suggested for molecular groupings of various bacterial
species. This study focuses on evaluating the ERIC-PCR as a probable method to
differentiate between different Av. paragallinarum serovars by grouping with
reference isolates, based on clonal relations. The ERIC-PCR was performed on 12
reference isolates and 41 field isolates originating from South Africa and South
America. The data indicate that the ERIC-PCR is not ideal for the differentiation
or for molecular typing of Av. paragallinarum serovars, as no correlation is
drawn upon comparison of banding patterns of field isolates and reference
strains. However, the results do indicate isolates from the same origin sharing
unique banding patterns, indicating potential clonal relationship; but when
compared to the reference isolates dominant in the specific area, no correlation
could be drawn. Furthermore, although the ERIC-PCR serves a purpose in
epidemiological studies, it has proved to have little application in
differentiating amongst serovars of Av. paragallinarum and to group untyped field
strains with known reference strains.
PMID- 27866412
TI - Failing to deliver established quality treatment for cervical cancer: what is
going on and how can we improve it?
PMID- 27866413
TI - Disbalance of calcium regulation-related genes in broiler hearts induced by
selenium deficiency.
AB - Dietary selenium (Se) deficiency may influence the calcium (Ca) homeostasis in
broilers. Our objective was to investigate the effects of Se deficiency on Ca
regulation-related genes in broiler hearts. In the present study, 1-day-old
broilers were fed either a commercial diet (as control group) with 0.15 mg/kg Se
or a Se-deficient diet (as L group) with 0.033 mg/kg Se for 35 days. We examined
the mRNA expression levels of 15 Ca regulation-related genes (ITPR 1, ITPR 2,
ITPR3, RyR2, RyR3, SERCA1s, SLC8A1, PMCA1, CACNA1S, TRPC1, TRPC3, stromal
interacting molecule 1, ORAI1, calmodulin (CaLM) and calreticulin (CRT) in
broiler hearts. Then, Kyoto Encyclopedia of Genes and Genomes analysis, protein
protein interactions (PPI) analysis and correlation analysis were performed to
analyse the relationships between these genes. The results showed that the mRNA
expression levels of ITPR 1, ITPR 2, RyR2, RyR3, SERCA1s, SLC8A1, PMCA1, CACNA1S,
CaLM and CRT were generally decreased by Se deficiency, while mRNA expression
levels of TRPC1, TRPC3, stromal interacting molecule 1, ORAI1 and ITPR3 were
increased by Se deficiency. Kyoto Encyclopedia of Genes and Genomes and PPI
analysis showed that these Ca regulation-related genes are involved in the Ca
signalling pathway and a total of 15 PPIs with a combined score of >0.4 were
obtained. In conclusion, the results demonstrated that Se deficiency might cause
heart injury via modulating the Ca-related pathway genes, and then induce Ca2+
overload in the heart of broilers.
PMID- 27866414
TI - Chemerin level in pregnancies complicated by preeclampsia and its relation with
disease severity and neonatal outcomes.
AB - The aims of this prospective study were to detect maternal serum chemerin level
in patients with preeclampsia and investigate its association with disease
severity and neonatal outcomes. Maternal serum chemerin levels were significantly
elevated in severe preeclamptic women (394.72 +/- 100.01 ng/ml) compared to mild
preeclamptic women (322.11 +/- 37.60 ng/ml) and healthy pregnant women (199.96 +/
28.05 ng/ml) (p = .001). Maternal serum chemerin levels were positively
correlated with systolic and diastolic blood pressure, C-reactive protein levels,
homeostasis model assessment of insulin resistance, proteinuria, AST, ALT, and
duration of hospitalisation. Gestational week at delivery, birthweight, and APGAR
scores at 1 and 5 min were negatively correlated with maternal serum chemerin
level. A maternal serum chemerin level of >252.0 ng/ml indicated preeclampsia
with 95.5% sensitivity and 95.7% specificity. There was a positive correlation
between maternal serum chemerin level and severity of preeclampsia. Additionally,
adverse neonatal outcomes were significantly associated with high maternal serum
chemerin levels.
PMID- 27866415
TI - Teachers' perceptions of implementation of aided AAC to support expressive
communication in South African special schools: a pilot investigation.
AB - Although the provision of assistive technology for students with disabilities has
been mandated in South African education policy documents, limited data are
available on the implementation of aided augmentative and alternative
communication (AAC) in classrooms. This pilot investigation used a concurrent
mixed-methods survey design to determine the extent to which aided AAC was
implemented to foster students' expressive communication in preschool to Grade 3
classrooms in special schools from six urban school districts in the Gauteng (the
smallest, most affluent and most densely populated of the nine South African
provinces), and also obtained teachers' perceptions of this process. A total of
26 teachers who taught students who used aided AAC for expression participated.
Although there is evidence of provision and also implementation of aided AAC in
classrooms, various limitations still exist. Teachers identified an array of
factors that influenced the implementation of aided AAC, including those related
to themselves, the classroom context, the characteristics of aided AAC, students
using AAC, and other stakeholders. These factors are discussed in the light of
international literature as well as the local context, and are used as a basis to
suggest a research agenda for AAC in the South African education system.
PMID- 27866416
TI - How active are patients in setting goals during rehabilitation after stroke? A
qualitative study of clinician perceptions.
AB - PURPOSE: We investigated stroke rehabilitation clinician's perceptions of the
patient as an active partner in setting goals within stroke rehabilitation and
factors that influence patient engagement. METHODS: Semi-structured interviews,
subject to general inductive analysis with 20 Clinicians' working in three UK
based stroke rehabilitation teams (one in-patient ward and two community based
rehabilitation teams). RESULTS: There were three key themes that impacted on the
patients active involvement in setting goals for rehabilitation after stroke:
Patient barriers to goal setting (knowledge of the patient and family, who is the
patient and the stroke's impact); How we work as a team (the role of the patient
in setting goals, the effect of clinician attributes on goal setting); and How
systems impact goal setting (goal-setting practice, home versus hospital, and
professional/funder expectations of clinicians'). CONCLUSIONS: Goal setting
served a range of different, sometimes conflicting, functions within
rehabilitation. Clinicians' identified the integral nature of goals to engage and
motivate patients and to provide direction and purpose for rehabilitation.
Further, there was an identified need to consider the impact of prioritizing
clinician-derived goals at the expense of patient-identified goals. Lastly the
reliance on the SMART goal format requires further consideration, both in terms
of the proposed benefits and whether they disempower the patient during
rehabilitation. Implications for rehabilitation Goal setting is often promoted as
a relatively simple, straightforward way to structure interactions with patients
Patient-related factors together with resourcing constraints are significant
barriers to patient-centered goal setting, particularly during inpatient
rehabilitation Clinicians need to have pragmatic tools that can be integrated
into practice to ensure that goal-setting practice can be maximized for patients
with different intrinsic characteristics.
PMID- 27866417
TI - BODIPY-conjugated chitosan nanoparticles as a fluorescent probe.
AB - Recently, development of fluorescent nanoparticle-based probes for various
bioimaging applications has attracted great attention. This work aims to develop
a new type fluorescent nanoparticle conjugate and evaluate its cytotoxic effects
on A549 and BEAS 2B cell lines. Throughout the study, ionically crosslinked
chitosan nanoparticles (CNs) were conjugated with carboxylated 4,4-difluoro-4
bora-3a,4a-diaza-s-indacene (BODIPY-COOH). The results of conjugates (BODIPY-CNs)
were investigated with regard to their physic-chemical, optical, cytotoxic
properties and cellular internalization. The morphology of BODIPY-CNs was found
to be spherical in shape and quite uniform having average diameter of 70.25 +/-
11.99 nm. Cytotoxicty studies indicated that although BODIPY-COOH itself was
quite toxic on both A549- and BEAS 2B-treated cells, CNs increased the cell
viability of both cell lines via conjugation to BODIPY-COOH fluorescent molecule
up to 67% for A549 and 74% for BEAS 2B cells. These results may suggest a
possible utilization of the new fluorescent nanoparticle-based probe for
bioimaging in biology and medicine.
PMID- 27866418
TI - Microinvasive squamous carcinoma of neovagina created with peritoneal flap
(Davidov): Case report and literature review.
AB - Carcinoma of the vagina is a rare disease, and it is even more rare when it
appears in a neovagina, having its incidence and optimum treatment constantly
discussed. The aim of this article was to review the cases described in the
currently available literature and describe the second documented case of
carcinoma in a neovagina created with peritoneal flaps, and also list the
possible pathways and risk factors for its development. The case we present is a
49-year-old female who after undergoing a laparoscopic colpectomy of the upper
two-thirds of the vagina, with an immediate reconstruction with peritoneal flaps
by laparoscopy, at a 4 months follow up presented a focal microinvasive squamous
carcinoma in the vault of the neovagina. After reviewing the literature, we
conclude that excisional treatment is the preferable option to avoid the
progression to an invasive carcinoma. However, this case demonstrates the
importance of the necessity to do regular cito-vulvovaginoscopic examinations
after the complete surgical treatment because of the chance of persistent or
recurrent lesions on the transplanted tissue.
PMID- 27866419
TI - Evidence for Impact and Impact of Evidence.
PMID- 27866421
TI - The development of 1,3-diphenylisobenzofuran as a highly selective probe for the
detection and quantitative determination of hydrogen peroxide.
AB - 1,3-Diphenylisobenzofuran (DPBF) has been developed as a selective probe for the
detection and quantitative determination of hydrogen peroxide in samples
containing different reactive nitrogen and oxygen species (RNOS). DPBF is a
fluorescent probe which, for almost 20 years, was believed to react in a highly
specific manner toward some reactive oxygen species (ROS) such as singlet oxygen
and hydroxy, alkyloxy or alkylperoxy radicals. Under the action of these
individuals DPBF has been rapidly transformed to 1,2-dibenzoylbenzene (DBB). In
order to check if DPBF can act as a unique indicator of the total amount of
different RNOS, as well as oxidative stress caused by an overproduction of these
individuals, a series of experiments was carried out, in which DPBF reacted with
peroxynitrite anion, superoxide anion, hydrogen peroxide, hypochlorite anion, and
anions commonly present under biological conditions, namely nitrite and nitrate.
In all cases, except for hydrogen peroxide, the product of the reaction is DBB.
Only under the action of H2O2 9-hydroxyanthracen-10(9H)-one (oxanthrone) is
formed. This product has been identified with the use of fluorescence
spectroscopy, NMR spectroscopy, high performance liquid chromatography coupled
with mass spectrometry, infrared spectroscopy, elemental analysis, and cyclic
voltammetry (CV). A linear relationship was found between a decrease in the
fluorescence intensity of DPBF and the concentration of hydrogen peroxide in the
range of concentrations of 0.196-3.941 mM. DPBF responds to hydrogen peroxide in
a very specific way with the limits of detection and quantitation of 88 and 122.8
MUM, respectively. The kinetics of the reaction between DBBF and H2O2 was also
studied.
PMID- 27866420
TI - Cancer stem cell-targeted therapeutics and delivery strategies.
AB - INTRODUCTION: Cancer initiating or stem cells (CSCs) are a small population of
cells in the tumor mass, which have been reported to be present in different
types of cancers. CSCs usually reside within the tumor and are responsible for
reoccurrence of cancer. The imprecise, inaccessible nature and increased efflux
of conventional therapeutic drugs make these cells resistant to drugs. We discuss
the specific markers for identification of these cells, role of CSCs in
chemotherapy resistance and use of different therapeutic means to target them,
including elucidation of specific cell markers, exploitation of different
signaling pathways and use of nanotechnology. Area covered: This review covers
cancer stem cell signaling which are used by these cells to maintain their
quiescence, stemness and resistant phenotype, distinct cell surface markers,
contribution of these cells in drug resistance, inevitability to cure cancer and
use of nanotechnology to overcome this hurdle. Expert opinion: Cancer stem cells
are the main culprit of our failure to cure cancer. In order to cure cancer along
with other cells types in cancer, cancer stem cells need to be targeted in the
tumor bed. Nanotechnology solutions can facilitate clinical translation of the
therapeutics along with other emerging technologies to cure cancer.
PMID- 27866422
TI - Mapping the rehabilitation interventions of a community stroke team to the
extended International Classification of Functioning, Disability and Health Core
Set for Stroke.
AB - PURPOSE: This study aim was to evaluate whether the Extended International
Classification of Functioning, Disability and Health Core Set for Stroke captured
the interventions of a community stroke rehabilitation team situated in a large
city in New Zealand. It was proposed that the results would identify the
contribution of each discipline, and the gaps and differences in service
provision to Maori and non-Maori. Applying the Extended International
Classification of Functioning, Disability and Health Core Set for Stroke in this
way would also inform whether this core set should be adopted in New Zealand.
METHOD: Interventions were retrospectively extracted from 18 medical records and
linked to the International Classification of Functioning, Disability and Health
and the Extended International Classification of Functioning, Disability and
Health Core Set for Stroke. The frequencies of linked interventions and the
health discipline providing the intervention were calculated. RESULTS: Analysis
revealed that 98.8% of interventions provided by the rehabilitation team could be
linked to the Extended International Classification of Functioning, Disability
and Health Core Set for Stroke, with more interventions for body function and
structure than for activities and participation; no interventions for emotional
concerns; and limited interventions for community, social and civic life. Results
support previous recommendations for additions to the EICSS. CONCLUSIONS: The
results support the use of the Extended International Classification of
Functioning, Disability and Health Core Set for Stroke in New Zealand and
demonstrates its use as a quality assurance tool that can evaluate the scope and
practice of a rehabilitation service. Implications for Rehabilitation The
Extended International Classification of Functioning Disability and Health Core
Set for Stroke appears to represent the stroke interventions of a community
stroke rehabilitation team in New Zealand. As a result, researchers and
clinicians may have increased confidence to use this core set in research and
clinical practice. The Extended International Classification of Functioning
Disability and Health Core Set for Stroke can be used as a quality assurance tool
to establish whether a community stroke rehabilitation team is meeting the
functional needs of its stroke population.
PMID- 27866424
TI - Image-guided thermal ablation might be a way to compensate for image deriving
cancer overdiagnosis.
PMID- 27866423
TI - Risk factors for bone metastasis in completely resected non-small-cell lung
cancer.
AB - AIM: We assessed risk factors for bone metastasis in patients with completely
resected non-small-cell lung cancer (NSCLC). MATERIALS & METHODS: A total of 374
NSCLC patients who had undergone a complete resection from January 2008 to May
2012 were included in this retrospective study. The Kaplan-Meier method and
multivariate Cox regression analysis were used to evaluate risk factors for bone
metastasis. RESULTS: A total of 47 (47/374; 12.6%) patients developed bone
metastasis up until the last follow-up time. The patients with bone metastasis
included 33 adenocarcinoma patients and 6 (4.9%) squamous cell carcinoma patients
(p = 0.001). There were 17 (10.2%) patients with pathological stage (P-stage) I
disease, 9 (9.5%) patients with P-stage II disease and 21 (18.8%) patients with P
stage III disease (p = 0.007) among all the bone metastasis patients. For
patients without or with bone metastasis, the overall survival ratio at 3 years
was 71.6% compared with 46.8% (p < 0.0001), respectively. CONCLUSION:
Adenocarcinoma and P-stage III disease were related to a high risk of bone
metastasis.
PMID- 27866425
TI - The role of physical status versus mental status in predicting the quality of
life of patients with lumbar disk herniation.
AB - PURPOSE: To explore the role of physical status versus mental status in
predicting the quality of life (QOL) of patients with lumbar disk herniation
(LDH). METHOD: In this correlative study 51 patients with LDH were recruited in
their conservative stage of treatment. After profiling their physical status, all
participants reported about pain level (according to VAS), pain perception using
the Pain Catastrophizing Scale (PCS), and disability level (according to Oswestry
Low Back Pain Disability Questionnaire). Their mental status was evaluated using
the Spielberger's State-Trait Anxiety Inventory (STAI) and the Beck Depression
Inventory (BDI-II). Their QOL was evaluated by the World Health Organization
Quality of Life Questionnaire, brief version (WHOQOL-BREF). RESULTS: Physical
status/disability level correlated with anxiety and depression. While Physical
status predicted physical QOL, mental status, and mainly anxiety and depression
were the significant predictors of psychological, social, and environmental QOL.
CONCLUSIONS: Mental status may play a significant role in reducing most QOL
domains among patients with LDH. The evaluation and intervention process should
consider both physical and mental status and their relation to the person's QOL.
Since QOL is a major parameter in determining intervention type and success this
elaborated perspective may contribute to the intervention planning and outcomes.
Implications for rehabilitaion A significant mental distress may accompany the
physical disability of patients with LDH. The role of this mental distress in
reducing the QOL of patients with LDH may be greater than that of their physical
disability. The evaluation and intervention for patients with LDH should refer to
both physical and mental status and explore their impacts on quality of life in
order to elevate intervention success.
PMID- 27866426
TI - Comparative study on the induction of complex genomic alterations after exposure
of mammalian cells to carboplatin and oxaliplatin.
AB - Metal complexes are still broadly used as the first line of the treatment for
different types of tumors nowadays. Carboplatin and oxaliplatin were authorized
for clinical use, even though there is little information on the mutagenic
profile associated to their usage. This study evaluated the cytostatic effects
and the induction of complex genomic alterations after 24-h treatment of CHO-K1
cells to concentrations of 12.5-800 MUM of carboplatin and oxaliplatin in the
cytokinesis-block micronucleus assay (CBMN-Cyt). The results demonstrated that
carboplatin and oxaliplatin significantly increased the frequency of micronuclei
(MN), nucleoplasmatic bridges (NPBs), and nuclear buds (NBUDs). On one hand,
oxaliplatin induces significantly more chromosomal abnormalities than carboplatin
at concentrations of 12.5 and 25 MUM. On the other hand, carboplatin, in cells
exposed to concentrations of 50 and 100 MUM, is more efficient than oxaliplatin
in the induction of chromosomal instability events. Both drugs cause significant
reduction in the cytokinesis-block proliferation index, demonstrating their
cytostatic effects at concentrations 50-800 MUM. The results of this study shed
more light on the characterization of biological effects associated with the
exposure to carboplatin and oxaliplatin.
PMID- 27866427
TI - Image-guided thermal ablation might be a way to compensate for image deriving
cancer overdiagnosis: Author reply.
PMID- 27866429
TI - CD99 refers to the activity of inflammatory bowel disease.
AB - BACKGROUND: Inflammatory bowel disease (IBD), composed of Crohn's disease (CD)
and ulcerative colitis (UC), is an inflammatory autoimmune disease. CD99 has been
reported to participate in migration of leukocytes and T cell activation.
However, the roles of CD99 in IBD are obscure. MATERIALS AND METHODS: CD99
expression was examined in peripheral blood mononuclear cells (PBMCs) and
inflamed mucosa from IBD patients by qRT-PCR. Serum TNF-alpha and IL-17A levels
were detected by ELISA. Correlations of CD99 expression with TNF-alpha, IL-17A,
Crohn's disease activity index (CDAI), simple endoscopic score for CD (SES-CD),
Mayo index, and Truelove grading were performed by Pearson's correlation.
RESULTS: CD99 expression was increased in PBMCs and inflamed mucosa from active
CD and UC patients, and CD99 expression was also increased in the inflamed mucosa
compared with unaffected control from the same patients. Serum TNF-alpha and IL
17A levels were increased in active CD or UC patients, and positively correlated
with CD99 expression in PBMCs (CD: r = .402, p = .009; r = .350, p = .025. UC: r
= .289, p = .028; r = .322, p = .014). Moreover, CD99 expression in inflamed
mucosa was correlated with CDAI, SES-CD, Mayo index, and Truelove grading (r =
.410, p = .012; r = .341, p = .005; r = .366, p = .002; r = .312, p = .011).
CONCLUSION: CD99 expression is increased in patients with active IBD, and
positively correlated with disease activity. Therefore, CD99 expression can be
used as an index to evaluate the activity of IBD.
PMID- 27866428
TI - Diagnosis and treatment of epilepsy and sleep apnea comorbidity.
AB - INTRODUCTION: The comorbidity of epilepsy and sleep apnea is not uncommon. The
diagnosis and treatment of obstructive sleep apneas will improve the prognosis
and the quality of life in patients with epilepsy. Areas covered: In this paper,
the authors review the pathological link between sleep apnea and epilepsy and
systematically analyze the current literature on the diagnosis and treatment of
obstructive sleep apnea in patients with epilepsy. This review includes studies
retrieved from the PubMed, Embase and Google Scholar databases. Expert
commentary: A variety of treatments are available for OSA and epilepsy
independently but there are no standards or guidelines for how to implement these
treatments for patients who suffer from both disorders. The authors expect that
alternative efficient therapies for comorbidity will be explored, which may
change the current clinical practice for the management of epileptic patients.
PMID- 27866430
TI - Assessing catastrophic thinking associated with debilitating mental health
conditions.
AB - PURPOSE: The present study examined the psychometric properties of the Symptom
Catastrophizing Scale (SCS). The SCS items were drawn from the Pain
Catastrophizing Scale but were modified to make them better suited to the context
of debilitating mental health conditions that are not necessarily associated with
pain. The number of items was reduced from 13 to 7, and the response scale was
simplified. METHODS: The SCS was administered to individuals diagnosed with Major
Depressive Disorder (MDD) (N = 79) or with a chronic musculoskeletal (MSK)
condition (N = 88). RESULTS: Exploratory factor analyzes revealed single factor
solutions of the SCS for both the MSK and MDD samples. The internal consistency
of the SCS was good. The SCS was significantly correlated with measures of pain
severity, depressive symptom severity and disability in both samples. Individuals
with MDD scored higher on the SCS than individuals with MSK. The SCS was shown to
be sensitive to treatment-related reductions in catastrophic thinking.
CONCLUSIONS: Preliminary analyzes suggest that the SCS is a reliable and valid
measure of symptom-related catastrophic thinking associated with debilitating
mental health conditions. Implications for Rehabilitation Although catastrophic
thinking has been identified as a risk factor for disability, current assessment
tools are not well suited for individuals with debilitating mental health
conditions. This paper describes a brief assessment instrument that can be used
to assess catastrophic thinking in individuals with debilitating mental health
conditions. The results of this study suggest that targeting catastrophic
thinking might yield reductions in symptom severity and disability in work
disabled individuals with major depressive disorder.
PMID- 27866431
TI - MicroRNA-targeted therapeutics for lung cancer treatment.
AB - INTRODUCTION: Lung cancer is one of the leading causes of cancer-related
mortality worldwide. MicroRNAs (miRNAs) are endogenous non-coding small RNAs that
repress the expression of a broad array of target genes. Many efforts have been
made to therapeutically target miRNAs in cancer treatments using miRNA mimics and
miRNA antagonists. Areas covered: This article summarizes the recent findings
with the role of miRNAs in lung cancer, and discusses the potential and
challenges of developing miRNA-targeted therapeutics in this dreadful disease.
Expert opinion: The development of miRNA-targeted therapeutics has become an
important anti-cancer strategy. Results from both preclinical and clinical trials
of microRNA replacement therapy have shown some promise in cancer treatment.
However, some obstacles, including drug delivery, specificity, off-target effect,
toxicity mediation, immunological activation and dosage determination should be
addressed. Several delivery strategies have been employed, including naked
oligonucleotides, liposomes, aptamer-conjugates, nanoparticles and viral vectors.
However, delivery remains a main challenge in miRNA-targeting therapeutics.
Furthermore, immune-related serious adverse events are also a concern, which
indicates the complexity of miRNA-based therapy in clinical settings.
PMID- 27866432
TI - Maternal cardiac haemodynamics in severe pre-eclampsia complicated by acute
pulmonary oedema: A review.
AB - AIM: To establish alterations in maternal cardiac haemodynamics and function
using electrocardiography and echocardiography in severe pre-eclampsia
complicated by acute pulmonary oedema. METHODS: An extensive literature search
including any research articles, randomised control trials, observational study,
case report or expert or consensus statement pertaining to severe pre-eclampsia,
eclampsia, hypertensive crises of pregnancy, pulmonary oedema, maternal cardiac
haemodynamics, Holter monitoring and maternal echocardiography was done.
Electronic search strategies included searching the MEDLINE, EMBASE, Cochrane
Library and Pubmed databases. RESULTS: Toxic substrates from a chronically
ischaemic placenta and elevated maternal cathecolamines leads to widespread
elevated systemic vascular resistance, endothelial cell damage and increased left
ventricular afterload all of which combine to result in left ventricular
hypertrophy with impaired ventricular filling reflected as significant diastolic
dysfunction, increased left ventricular end systolic and end diastolic volumes,
increased left ventricular stroke work, myocardial ischaemia and resultant
ventricular arrhythmias, in particular ventricular tachycardia. These factors
could lead to cardiac failure in severe pre-eclampsia, either in combination or
in independently of each other depending on the magnitude of the angiogenic
imbalances, degree of elevated systemic vascular resistance, degree of impaired
myocardial relaxation and diastolic filling anomalies, gene-environment
interaction and degree of possible pre-existing or potential cardiovascular
dysfunction. CONCLUSION: Comprehensive maternal echocardiographic and
electocardiographic assessment should be incorporated in the work-up of severe
pre-eclampsia to stratify these cases, to enable clinicians to choose the
appropriate acute hypertensive drug therapy and plan optimal management pathways.
PMID- 27866435
TI - Wards are carrying out dangerous practices.
PMID- 27866433
TI - Rasch validation of the Arabic version of the lower extremity functional scale.
AB - PURPOSE: The purpose of this study was to examine the internal construct validity
of the Arabic version of the Lower Extremity Functional Scale (20-item Arabic
LEFS) using Rasch analysis. METHODS: Patients (n = 170) with lower extremity
musculoskeletal dysfunction were recruited. Rasch analysis of 20-item Arabic LEFS
was performed. Once the initial Rasch analysis indicated that the 20-item Arabic
LEFS did not fit the Rasch model, follow-up analyses were conducted to improve
the fit of the scale to the Rasch measurement model. These modifications included
removing misfitting individuals, changing item scoring structure, removing
misfitting items, addressing bias caused by response dependency between items and
differential item functioning (DIF). RESULTS: Initial analysis indicated
deviation of the 20-item Arabic LEFS from the Rasch model. Disordered thresholds
in eight items and response dependency between six items were detected with the
scale as a whole did not meet the requirement of unidimensionality. Refinements
led to a 15-item Arabic LEFS that demonstrated excellent internal consistency
(person separation index [PSI] = 0.92) and satisfied all the requirement of the
Rasch model. CONCLUSION: Rasch analysis did not support the 20-item Arabic LEFS
as a unidimensional measure of lower extremity function. The refined 15-item
Arabic LEFS met all the requirement of the Rasch model and hence is a valid
objective measure of lower extremity function. The Rasch-validated 15-item Arabic
LEFS needs to be further tested in an independent sample to confirm its fit to
the Rasch measurement model. Implications for Rehabilitation The validity of the
20-item Arabic Lower Extremity Functional Scale to measure lower extremity
function is not supported. The 15-item Arabic version of the LEFS is a valid
measure of lower extremity function and can be used to quantify lower extremity
function in patients with lower extremity musculoskeletal disorders.
PMID- 27866446
TI - Clinical and serological one-year follow-up of patients after the bite of Ixodes
ricinus ticks infected with Borrelia burgdorferi sensu lato.
AB - BACKGROUND: The risk of developing Lyme borreliosis (LB) after the bite of a
Borrelia (B.) burgdorferi sensu lato (s.l.) infected tick in Romania is unknown.
METHODS: The present prospective study, performed in 2010-2011 in a hospital in
Romania, has followed-up clinical and serological outcome of patients that
presented with B. burgdorferi positive Ixodes (I.) ricinus bite. A second group
of patients, including age, sex and residence-matched individuals bitten by B.
burgdorferi negative ticks, was followed-up as a control group. The subjects'
outcome was evaluated one year after the tick bite. RESULTS: Forty-three out of
389 ticks detached from patients were positive by hbb Real-Time PCR (RT-PCR) for
B. burgdorferi s.l. (mainly B. afzelii, but also B. garinii, B. burgdorferi sensu
stricto, B. spielmanii/B. valaisiana and B. lusitaniae). Twenty patients bitten
by B. burgdorferi positive ticks and twenty matched control patients returned for
the one year follow-up. Two patients from the B. burgdorferi positive group
developed clinical manifestations of acute LB (erythema migrans) and 5 patients
seroconverted (two from the B. burgdorferi positive group and three from the B.
burgdorferi negative group). Borrelia afzelii was identified in ticks collected
from persons that developed erythema migrans (EM). Comparing the two groups of
patients, no statistical significant differences were found regarding presence of
clinical symptoms or seroconversion. CONCLUSIONS: No outcome differences were
found between the group of patients bitten by B. burgdorferi positive ticks and
the group of patients bitten by B. burgdorferi negative ticks.
PMID- 27866447
TI - Challenges in congenital central hypoventilation syndrome (Ondine's curse) on
pregnancy: a case report.
PMID- 27866448
TI - Dehydration of CO2-alpha-cyclodextrin complex powder by desiccant adsorption
method and its release properties.
AB - Stability and release properties of CO2-alpha-cyclodextrin complex powder
prepared by solid encapsulation (water activity, aw ~ 0.95) followed by moisture
removal using silica gel and CaCl2 desiccants during post-dehydration were
investigated. The results showed that CaCl2 reduced aw much faster than silica
gel did under the same conditions. After approximately 60 h, aw of complex
powders reduced using silica gel was almost constant at 0.247 (+/-0.012), while
those treated with CaCl2, aw was 0.225 (+/-0.005) and had not yet reached their
lowest value. Moisture adsorption by silica gel and CaCl2 also led to a decrease
in the CO2 concentration of complex powder (higher decrease for silica gel
adsorption) without affecting the structure and morphology of complex powder. The
CO2 release properties of CaCl2-aw-reduced complex powder at different relative
humidities (32.73, 52.86, 75.32 and 97.30% RH), liquid environments (water and
oil) and packaging methods (normal and vacuum) were also studied.
PMID- 27866449
TI - Effect of magnesium sulfate on morphine activity retention to control pain after
herniorrhaphy.
AB - AIM: This research was carried out to compare magnesium sulfate (MgSO4) with
isotonic saline in terms of pain control after herniorrhaphy. PATIENTS & METHODS:
A randomized double-blind study, in which the patients were blind to all. A total
of 100 patients who were candidates of herniorrhaphy were randomized into two
groups: experimental and control (50 patients in each). Anesthesia was induced
with 20% of 4 cc of morphine. The experimental and control group received
postoperative 20% of 2 cc MgSO4 in 2 cc of isotonic saline and 4 cc of isotonic
saline, respectively. RESULT: The administration of postoperative morphine in
control group 0.79 +/- 1.48 mg was significantly higher to the experimental group
0.17 +/- 0.63 mg during the first 24 h (p = 0.01). CONCLUSION: MgSO4 increased
the potency of morphine thereby reducing the amount of postoperative pain killer
needed.
PMID- 27866450
TI - Recognizing healthcare-associated Staphylococcus aureus bloodstream infections in
children.
PMID- 27866451
TI - Health advocacy.
AB - In the medical profession, activities related to ensuring access to care,
navigating the system, mobilizing resources, addressing health inequities,
influencing health policy and creating system change are known as health
advocacy. Foundational concepts in health advocacy include social determinants of
health and health inequities. The social determinants of health (i.e. the
conditions in which people live and work) account for a significant proportion of
an individual's and a population's health outcomes. Health inequities are
disparities in health between populations, perpetuated by economic, social, and
political forces. Although it is clear that efforts to improve the health of an
individual or population must consider "upstream" factors, how this is
operationalized in medicine and medical education is controversial. There is a
lack of clarity around how health advocacy is delineated, how physicians' scope
of responsibility is defined and how teaching and assessment is conceptualized
and enacted. Numerous curricular interventions have been described in the
literature; however, regardless of the success of isolated interventions,
understanding health advocacy instruction, assessment and evaluation will require
a broader examination of processes, practices and values throughout medicine and
medical education. To support the instruction, assessment and evaluation of
health advocacy, a novel framework for health advocacy is introduced. This
framework was developed for several purposes: defining and delineating different
types and approaches to advocacy, generating a "roadmap" of possible advocacy
activities, establishing shared language and meaning to support communication and
collaboration across disciplines and providing a tool for the assessment of
learners and for the evaluation of teaching and programs. Current approaches to
teaching and assessment of health advocacy are outlined, as well as suggestions
for future directions and considerations.
PMID- 27866452
TI - Efficacy of debridement, antibiotic therapy and implant retention within three
months during postoperative instrumented spine infections.
AB - BACKGROUND: Postoperative instrumented spine infection (PISI) is a severe
complication of invasive spine procedures. METHODS: Retrospective study of
patients treated for PISI between 1st January 2008 and 31st December 2012 in a
French University Hospital. The objectives of this study were to describe the
outcome of patients treated with debridement-irrigation, antibiotic therapy and
implant retention (DAIR) within three months after the occurrence of PISI and to
identify factors associated with relapse. RESULTS: Among 4290 patients who
underwent spinal arthrodesis surgery during the 5-year study period, 129 had PISI
treated by debridement-irrigation in the first three months (3.0%, 95% confidence
interval [95%CI]: 2.5-3.5). Fifty-two (40%) were female and the median age was 57
years. Fourteen patients (10.8%) had diabetes and 73 (56.6%) had a BMI (Body Mass
Index) >=25 kg/m2. Staphylocccus aureus, enterobacteria or polymicrobial
infections were identified in 44.0, 18.0 and 13.0% of cases, respectively. One
hundred and six patients (82.2%) and one hundred and twenty-one patients (93.8%)
were cured after one DAIR and after two DAIR, respectively. In multivariate
logistic analysis, polymicrobial infection was associated with relapse (Odd Ratio
[OR] = 3.81; 95%CI: 1.06-13.66; p = .03), while a BMI >=25 kg/m2 was a protective
factor (OR =0.25; 95%CI: 0.07-0.89; p = .03). CONCLUSION: DAIR may be effective
for PISI when performed within the first 3 months after onset of infection.
Relapses are significantly associated with polymicrobial infection and negatively
associated with moderate overweight. These results need to be confirmed in future
prospective studies.
PMID- 27866453
TI - Very rare cause of hemoperitoneum: Ovarian fibroma.
PMID- 27866454
TI - The role of thymidylate synthase in non-small cell lung cancer treated with
pemetrexed continuation maintenance therapy.
AB - Pemetrexed continuation maintenance therapy has been proven to be beneficial for
patients with advanced non-squamous non-small cell lung cancer (NSCLC). However,
the eligibility criteria for maintenance treatment are too simple. This study
sought to evaluate thymidylate synthase (TS) as a predicting biomarker for
pemetrexed continuation maintenance treatment in NSCLC. Specimens were collected
from 87 patients treated with pemetrexed continuation maintenance therapy before
and after four-cycle induction chemotherapy. Real-time quantitative PCR was used
to detect TS expression in tissues. The TS expression level was correlated with
characteristic clinical data, radiographic response, progression-free time (PFS)
and overall survival (OS). Low total TS expression (<8.47) was associated with
improved PFS (median: 4.7 months vs. 3.5 months, p = 0.034) and improved OS (time
from random assignment: 16.4 months vs. 11.7 months, p = 0.026; time from
induction: 19.7 months vs. 14.8 months, p = 0.022). Our results indicate that in
NSCLC patients treated with pemetrexed continuation maintenance therapy, low TS
expression is associated with improved PFS and OS.
PMID- 27866455
TI - Changes in pulsatility and resistance indices of cerebral arteriovenous
malformation feeder arteries after embolization and surgery.
AB - OBJECTIVES: Embolization reduces flow in cerebral arteriovenous malformations
(AVMs) before surgical resection, but changes in pulsatility and resistance
indices (PI, RI) are unknown. Here, we measure PI, RI in AVM arterial feeders
before and after embolization/surgery. METHODS: Records of patients who underwent
AVM embolization and surgical resection at our institution between 2007 and 2014
and had PI, RI, and flows obtained using quantitative magnetic resonance
angiography were retrospectively reviewed. PI = [(systolic - diastolic flow
velocity)/mean flow velocity] and RI = [(systolic - diastolic flow
velocity)/systolic flow velocity]. Hemodynamic parameters were compared between
the feeder and contralateral artery before and after embolization/surgery.
RESULTS: 38 patients were included (6 embolization only, 24 embolization and
surgery, 8 surgery only). After embolization, flow volume rates within feeders
decreased significantly (p < 0.001) to match flows in their contralateral
counterparts (p = 0.78). On the other hand, mean, systolic, and diastolic flow
velocities (p = 0.60, 0.32, 0.34, respectively) as well as PI, RI (p = 0.99,
0.68) did not change significantly after embolization. However, after surgery
mean, systolic, and diastolic flow velocities within feeders decreased
significantly (p = 0.001, 0.002, 0.001, respectively) and PI, RI normalized to
match the indices of their contralateral counterparts (p = 0.46, 0.46).
CONCLUSION: Following partial AVM embolization, PI, RI are unchanged and flow
velocities in feeder arteries also remain unchanged likely due to redistribution
of flow through residual nidus. Thus, staged management of AVMs is unlikely to
increase outflow resistance and offers a safe treatment strategy.
PMID- 27866457
TI - Motivation in medical education.
AB - Motivation is a concept which has fascinated researchers for many decades. The
field of medical education has become interested in motivation recently, having
always assumed that medical students must be motivated because of their
commitment to highly specific training, leading to a very specific profession.
However, motivation is a major determinant of the quality of learning and
success, the lack of which may well explain why teachers sometimes observe
medical students who are discouraged, have lost interest or abandon their
studies, with a feeling of powerlessness or resignation. After describing the
importance of motivation for learning in medicine, this Guide will define the
concept of motivation, setting it within the context of a social cognitive
approach. In the second part of this Guide, recommendations are made, based upon
the so-called "motivational dynamic model", which provides a multitude of various
strategies with positive effects on students' motivation to learn.
PMID- 27866456
TI - Comparison of Saudi Arabian hemodialysis and peritoneal dialysis patients'
illness perceptions.
AB - The clinical outcome of patients with end-stage renal disease (ESRD) may differ
according to their beliefs concerning their illness and its treatment. Both the
disease itself and negative perceptions of the illness may increase patients'
morbidity and mortality. This study aims to compare hemodialysis (HD) and
peritoneal dialysis (PD) patients' illness perceptions and their related factors.
This cross-sectional comparative study was conducted in five dialysis centers.
After excluding patients with psychiatric comorbidities, 342 stable dialysis
patients (HD, n = 267; PD, n = 75) completed a demographic questionnaire and the
Revised Illness Perception Questionnaire (IPQ-R). The data were analyzed using t
tests and ANOVAs. Out of the 342 patients, 53.8% were male and 46.2% were female.
Their mean age was 46.1 +/- 16.5 years. Compared to the HD patients, the PD
patients perceived their illness to be significantly less chronic (p = .029) and
more controllable, whether through personal or treatment control (p = .012, p =
.017). Patients' most common cause of attributions were stress, worry, or poor
past medical care. PD showed an advantage over HD in terms of perceptions of ESRD
chronicity and controllability. Intervention programs targeting illness
perception are needed to support dialysis patients.
PMID- 27866458
TI - A virilising primary mucinous carcinoid tumour of the ovary in a postmenopausal
woman: A diagnostic challenge!
PMID- 27866459
TI - Appearance of external genital organs and types of hymen in Turkish female foetal
cadavers.
AB - The present study's purpose was to determine the size and morphometric
development of the female external genital organs on foetal cadavers. Dimensions
of labia majora, labia minora and clitoris, bilabial diameter, vertical and
horizontal diameters of hymenal opening, distance between the external urethral
orifice and hymenal opening, distance between the clitoris and external urethral
orifice and anogenital distance were measured. The hymenal types were determined.
Mean values of parameters according to gestational weeks, months and trimesters
were calculated. Imperforate hymen were determined in the first trimester. Twenty
eight foetuses with annular hymen, 25 foetuses with imperforate hymen, and 1
foetus with septated hymen were determined in the second trimester. Twenty-four
foetuses with annular hymen, 3 foetuses with imperforate hymen, 1 foetus with
fimbriated hymen, and 1 foetus with hymenal tag were determined in the third
trimester. All foetuses in the full term were determined with annular hymen.
PMID- 27866461
TI - Metabolism, excretion and pharmacokinetics of [14C]glasdegib (PF-04449913) in
healthy volunteers following oral administration.
AB - 1. The metabolism, excretion and pharmacokinetics of glasdegib (PF-04449913) were
investigated following administration of a single oral dose of 100 mg/100 MUCi
[14C]glasdegib to six healthy male volunteers (NCT02110342). 2. The peak
concentrations of glasdegib (890.3 ng/mL) and total radioactivity (1043 ngEq/mL)
occurred in plasma at 0.75 hours post-dose. The AUCinf were 8469 ng.h/mL and
12,230 ngEq.h/mL respectively, for glasdegib and total radioactivity. 3. Mean
recovery of [14C]glasdegib-related radioactivity in excreta was 91% of the
administered dose (49% in urine and 42% in feces). Glasdegib was the major
circulating component accounting for 69% of the total radioactivity in plasma. An
N-desmethyl metabolite and an N-glucuronide metabolite of glasdegib represented
8% and 7% of the circulating radioactivity, respectively. Glasdegib was the major
excreted component in urine and feces, accounting for 17% and 20% of administered
dose in the 0-120 hour pooled samples, respectively. Other metabolites with
abundance <3% of the total circulating radioactivity or dose in plasma or excreta
were hydroxyl metabolites, a desaturation metabolite, N-oxidation and O
glucuronide metabolites. 4. Elimination of [14C]glasdegib-derived radioactivity
was essentially complete, with similar contribution from urinary and fecal
routes. Oxidative metabolism appears to play a significant role in the
biotransformation of glasdegib.
PMID- 27866460
TI - Morphine enhances renal cell carcinoma aggressiveness through promotes survivin
level.
AB - BACKGROUND: Morphine is an opioid analgesic drug often used for pain relief in
cancer patients. However, there is growing evidence that morphine may modulate
tumor growth, progression and metastasis. Unfortunately, the results obtained by
these studies are still contradictory. METHODS: In this study, we investigated
the effect of morphine in human clear cell renal cell carcinoma 786-O, RLC-310
cells and whether morphine affects on tumor growth in human clear cell renal cell
carcinoma 786-O, RLC-310 cells. The cell proliferation was determined by MTT
assay, cell proliferation, migration and invasion assays. Immunofluorescence
staining and Q-PCR was used to determine the Survivin expression. RESULTS: It was
shown that morphine enhances proliferation of 786-O, RLC-310 cells, whereas
morphine promoted the growth and aggressive phenotype of 786-O and RLC-310 cells
in vitro though Survivin-dependent signaling. CONCLUSIONS: Our data showed that
morphine promotes RCC growth and increases RCC progression via over-expression of
Survivin.
PMID- 27866462
TI - Prolactinoma and pregnancy - a series of cases including pituitary apoplexy.
AB - The objective of this article is to evaluate the impact of pregnancy in women
with prolactinoma, the possible consequences of therapy
maintenance/discontinuation during pregnancy and to assess the type of delivery
and maternal-foetal obstetrical outcome. A retrospective study of all pregnant
women with prolactinoma in our Centre between 2006 and 2014 was made. We had 35
cases of pregnant women with prolactinoma, two of which had an episode of
pituitary apoplexy during the second trimester. At the time of conception, most
women were being treated with 5 mg bromocriptine. The majority of women had
suspended medication in the 8th week of gestation. Caesarean rate was 48.6%. The
maternal foetal outcome was favourable in all cases.
PMID- 27866465
TI - Polyphenol-Rich Foods Alleviate Pain and Ameliorate Quality of Life in
Fibromyalgic Women.
AB - OBJECTIVES: The present study aimed to describe the antioxidant dietary intake of
patients with fibromyalgia and explore the association of the results with
glutathione status, pain, quality of life, and socioeconomic status. METHODS: 38
fibromyalgic female patients and 35 female controls (mean age = 48.6 +/- 8.1 and
47.6 +/- 10.0 years, respectively) were evaluated. The number of tender points,
pain threshold, quality of life, physical activity, socioeconomic status,
nutritional status, intake of antioxidant micronutrients and foods with high
total antioxidant capacity, and total salivary glutathione were evaluated.
RESULTS: The number of tender points, pain threshold, and quality of life were
worse in the fibromyalgia group. The consumption of vegetable juices was more
common among women with fibromyalgia and consumption of red wine and beer were
more common among healthy women. The adjusted mean intakes of antioxidant
vitamins as well as selenium were higher for the control group (p <= 0.01). There
was no difference for salivary levels of glutathione between the groups and no
correlation for intake of antioxidant micronutrients and pain or quality of life
among fibromyalgic women. However, intake of foods rich in polyphenols was
associated with lower numbers of tender points (coffee, r = - 0.346; pear, r = -
0.331) and better quality of life (red fruits, r = - 0.342; dark chocolate, r = -
0.404) in the fibromyalgic group. In these women, associations between
glutathione levels and food intake, pain or quality of life were not found.
CONCLUSION: This study indicated that antioxidant protection from bioactive
compounds present in fruit and vegetables could have an adjuvant role in
fibromyalgia treatment.
PMID- 27866463
TI - Pharmacokinetics, distribution, and disposition of esaxerenone, a novel, highly
potent and selective non-steroidal mineralocorticoid receptor antagonist, in rats
and monkeys.
AB - 1. Esaxerenone (CS-3150) is a novel non-steroidal mineralocorticoid receptor
antagonist. The pharmacokinetics, tissue distribution, excretion, and metabolism
of esaxerenone were evaluated in rats and monkeys. 2. Following intravenous
dosing of esaxerenone at 0.1-3 mg/kg, the total body clearance and the volume of
distribution were 3.53-6.69 mL/min/kg and 1.47-2.49 L/kg, respectively, in rats,
and 2.79-3.69 mL/min/kg and 1.34-1.54 L/kg, respectively, in monkeys. The
absolute oral bioavailability was 61.0-127% in rats and 63.7-73.8% in monkeys. 3.
After oral administration of [14C]esaxerenone, the radioactivity was distributed
widely to tissues, with the exception of a low distribution to the central
nervous system. Both in rats and in monkeys, following oral administration of
[14C]esaxerenone the main excretion route of the radioactivity was feces. 4. Five
initial metabolic pathways in rats and monkeys were proposed to be N
dealkylation, carboxylation, hydroxymethylation, O-glucuronidation, and O
sulfation. The oxidized metabolism was predominant in rats, while both oxidation
and glucuronidation were predominant in monkeys.
PMID- 27866464
TI - Endometriotic cyst fluid induces reactive oxygen species (ROS) in human
immortalized epithelial cells derived from ovarian endometrioma.
AB - OBJECTIVES: Endometriotic cyst fluid (ECF) contains a large amount of reactive
oxygen species (ROS), and endometriotic cysts are exposed to strong oxidative
stress, which may cause malignant transformation. In this study, ROS production
by ECF was clinically analysed. METHODS: Human immortalized epithelial cells
derived from ovarian endometrioma (EMosis-CC/TERT 1) were treated with ECF. In
addition, ROS production in EMosis-CC/TERT 1 was measured, and its clinical
significance was analysed. RESULTS: A total of 38 ECF samples were obtained from
patients diagnosed with endometriotic cysts. In EMosis-CC/TERT1, significantly
higher levels of ROS were induced by ECF than by the vehicle control and ferric
nitrilotriacetate. There were no significant differences in ROS production by
laterality and preoperative serum CA125 values. There were several patients whose
cyst sizes were approximately 5 cm and had relatively high ROS production.
Production of ROS by ECF was relatively higher in patients older than 40 years of
age than in those younger than 40. DISCUSSION: Our study revealed that ROS are
highly produced by ECF in EMosis-CC/TERT1 cells; therefore, exposure to ECF
induced strong oxidative stress. Development of a therapeutic strategy to reduce
ROS production might be useful for preventing malignant transformation of
endometriotic cysts.
PMID- 27866466
TI - Preventive Effect of Carvacrol Against Oxidative Damage in Aged Rat Liver.
AB - The present study was designed to investigate the changes in activities of
antioxidant enzymes and lipid peroxidation level in the liver of 2, 10 and 20
months old rats, and to see whether these changes are restored to those of the
two month old rats after carvacrol treatment. Male rats of 2, 10, and 20 months
(n = 10 for each group) were used for all the experiments. The aged rats (10 and
20 months old) were given carvacrol (15 mg/day per body weight) for 30 days.
Control animals received an equal volume of vehicle. After the treatment, livers
were removed for estimation of superoxide dismutase-SOD, glutathione-S
transferase-GST, catalase-CAT activities and lipid peroxidation level. The
present findings determined that normal aging was associated with a significant
decrease in the activities of antioxidant enzymes (SOD; 11.87 +/- 0.6 (2 months
old) vs 7.56 +/- 0.1 (20 months old); P < 0.001) in liver, as well as an increase
in lipid peroxidation level (MDA; 0.15 +/- 0.01 (2 months old) vs 0.41 +/- 0.01
(20 months old); P < 0.001) in aged rats. Also, the results of this study
indicated that carvacrol treatment increased the activities of the antioxidant
enzymes in 20 months old animals versus the aged matched control group (SOD; 9.87
+/- 0.4; P < 0.01). Furthermore, carvacrol decreased lipid peroxidation content
in 10 and 20 months old animals compared with the aged matched control (MDA; 9.87
+/- 0.4; P < 0.001). Our data shows that carvacrol could be a candidate to
inhibit the development of age-induced liver damage through inhibition of
oxidative stress and also increasing antioxidant defenses.
PMID- 27866467
TI - Vitamin D2, Ergosterol, and Vitamin B2 Content in Commercially Dried Mushrooms
Marketed in China and Increased Vitamin D2 Content Following UV-C Irradiation.
AB - Mushrooms are a great source of vitamin D and vitamin B2; however, the content of
these vitamins in dried mushrooms has not fully been investigated. Thus, the
objectives of this study were to determine the contents of vitamin D2,
ergosterol, and vitamin B2 in commercially dried edible mushrooms in China and to
investigate the effect of UV-C irradiation on fresh mushrooms. Among the 35
species of dried mushrooms considered for this study, the average ergosterol
content was 1.98 mg/g, while the average vitamin D2 content was 16.88 ug/g. The
average vitamin B2 content in dried mushrooms was 12.68 ug/g. Fresh shaggy ink
caps and oyster mushrooms, when exposed to UV-C at 254 nm at a dose of 0.25 J/cm2
for 10, 30, and 60 min, showed significantly (p < 0.05) increased vitamin D2
content (229.7 and 67.0 ug/g, respectively) as compared to its fresh
counterparts. The conversion of ergosterol to vitamin D2 induced by UV-C
irradiation at 0.25 J/cm2 was significant (p < 0.05). In conclusion, dried
commercial mushrooms have higher contents of ergosterol and vitamin D2 than fresh
mushrooms. UV-C radiation can be used to increase vitamin D2 content in
mushrooms.
PMID- 27866468
TI - Intratubular disinfection with tri-antibiotic and calcium hydroxide pastes.
AB - OBJECTIVE: The aim of this study was to compare the in vitro intradentinal
antimicrobial ability of the calcium hydroxide and tri-antibiotic pastes.
MATERIALS AND METHODS: Standard bovine dentin tubes were sterilized and then
infected with Enterococcus faecalis by a new contamination protocol of great
depths of dentin. The specimens were filled with the medications, divided into
two test-groups: calcium hydroxide (Group 1) and tri-antibiotic (Group 2) pastes.
After 15 days, the teeth were evaluated by microbiological culture and confocal
laser scanning microscopy (CLSM) with viability dye assay LIVE/DEAD inside
dentinal tubules. In experiment of culture, the bacterial collection of the
dentin fragments was done for counting the colony-forming units. RESULTS: The tri
antibiotic paste had a slightly greater antimicrobial effect; however, there was
no statistical difference between the groups. CONCLUSIONS: It was concluded that
the tri-antibiotic paste and the calcium hydroxide paste exercise the same effect
on intra-tubular decontamination against E. faecalis. So, due the multiples
advantages, the calcium hydroxide paste can be the choice for dentinal
decontamination in regenerative procedures.
PMID- 27866470
TI - The inevitable colonisation of Singapore by Zika virus.
AB - Singapore is endemic for Dengue virus, with approximately 10,000 to 20,000 annual
cases reported in recent years. In 2012, Chikungunya was introduced, although the
numbers of cases reported is much fewer. The current Zika virus pandemic
originating in Brazil represents a threat to all regions with Aedes mosquitoes,
particularly those well connected by travellers. In this respect, it was felt
inevitable that Singapore would eventually realise its third endemic flavivirus.
In late August 2016, a primary care practitioner observed a cluster of
geographically linked patients attending with fever and rash. This resulted in
the first identification of locally transmitted Zika in Singapore on August 27,
2016. This prompted a robust response in an attempt to stop further spread, which
continued for approximately 10 days until a large number of laboratory-confirmed
cases were found as a result of active case finding. Surprisingly, the strain was
later identified to be of Asian lineage and distinct from that originating in the
Americas, prompting speculation over the epidemiology of this under recognised
virus in Asia.
PMID- 27866469
TI - The effects of percutaneous transluminal coronary intervention on biomarkers of
oxidative stress in the erythrocytes of elderly male patients.
AB - OBJECTIVES: Oxidative stress plays a key role in the pathogenesis of coronary
artery disease. The aim of this study was to compare the effects of percutaneous
transluminal coronary angioplasty (PTCA) and elective coronary angiography (EC)
on erythrocytic antioxidant defense in elderly male patients. METHODS: Twenty
three stable angina pectoris (SAP) patients undergoing PTCA and 18 patients with
ischemic symptoms scheduled to undergo diagnostic EC were included in the study.
The concentrations of malondialdehyde (MDA) and reduced glutathione (GSH) and the
activities of Zn,Cu-superoxide dismutase (SOD-1), catalase (CAT), and cytosolic
glutathione peroxidase (GSH-Px) were examined in the erythrocytes before,
immediately after and 2 weeks following PTCA or EC. RESULTS: The MDA
concentrations were significantly higher and SOD-1, CAT, and GSH-Px activities
were significantly lower in the PTCA group than in the EC group at baseline. Two
weeks after treatment, the activities of the enzymes significantly increased in
both groups, whereas the MDA concentrations decreased only in the PTCA patients.
CONCLUSIONS: The results confirm that an advanced state of atherosclerosis is
related to greater levels of oxidative stress. The study indicates that both
procedures may induce antioxidant defenses; however, PTCA exclusively induces a
long-term reduction in lipid peroxidation.
PMID- 27866471
TI - Distal renal tubular acidosis without renal impairment after use of tenofovir: a
case report.
AB - BACKGROUND: Tenofovir, one of antiretroviral medication to treat human
immunodeficiency virus (HIV) infection, is known to cause proximal renal tubular
acidosis such as Fanconi syndrome, but cases of distal renal tubular acidosis had
never been reported. CASE PRESENTATION: A 20-year-old man with HIV infection
developed nausea and vomiting without diarrhea after starting antiretroviral
therapy. Arterial blood gas revealed non-anion-gap metabolic acidosis and urine
test showed positive urine anion gap. Tenofovir, one of antiretroviral medicine
the patient received, was considered to be the cause of this acidosis and all
antiretroviral drugs were discontinued. Symptoms disappeared promptly without
recurrence of symptoms after resuming antiretroviral medications without
tenofovir. CONCLUSION: Distal renal tubular acidosis caused by tenofovir, without
renal impairment is very rare. Since causes of nausea and vomiting among HIV/AIDS
patients are very diverse, awareness of this phenomenon is useful in diagnosing
and managing the problem.
PMID- 27866472
TI - Outcomes measures in a decade of dementia and mild cognitive impairment trials.
AB - BACKGROUND: In a research study, to give a comprehensive evaluation of the impact
of interventions, the outcome measures should reflect the lived experience of the
condition. In dementia studies, this necessitates the use of outcome measures
which capture the range of disease effects, not limited to cognitive functioning.
In particular, assessing the functional impact of cognitive impairment is
recommended by regulatory authorities, but there is no consensus on the optimal
approach for outcome assessment in dementia research. Our aim was to describe the
outcome measures used in dementia and mild cognitive impairment (MCI)
intervention studies, with particular interest in those evaluating patient
centred outcomes of functional performance and quality of life. METHODS: We
performed a focused review of the literature with multiple embedded checks of
internal and external validity. We used the Cochrane Dementia and Cognitive
Improvement Group's register of dementia studies, ALOIS. ALOIS was searched to
obtain records of all registered dementia and MCI intervention studies over a 10
year period (2004-2014). We included both published and unpublished materials.
Outcomes were categorised as cognitive, functional, quality of life, mood,
behaviour, global/disease severity and institutionalisation. RESULTS: From an
initial return of 3271 records, we included a total of 805 records, including 676
dementia trial records and 129 MCI trial records. Of these, 78 % (630) originated
from peer-reviewed publications and 60 % (487) reported results of
pharmacological interventions. Cognitive outcomes were reported in 70 % (563), in
contrast with 29 % (237) reporting measures of functional performance and only 13
% (102) reporting quality of life measures. We identified significant
heterogeneity in the tools used to capture these outcomes, with frequent use of
non-standardised tests. CONCLUSIONS: This focus on cognitive performance
questions the extent to which intervention studies for dementia are evaluating
outcome measures which are relevant to individual patients and their carers. The
heterogeneity in measures, use of bespoke tools and poor descriptions of test
strategy all support the need for a more standardised approach to the conduct and
reporting of outcomes assessments.
PMID- 27866473
TI - Top 100 cited articles in cardiovascular magnetic resonance: a bibliometric
analysis.
AB - BACKGROUND: With limited health care resources, bibliometric studies can help
guide researchers and research funding agencies towards areas where reallocation
or increase in research activity is warranted. Bibliometric analyses have been
published in many specialties and sub-specialties but our literature search did
not reveal a bibliometric analysis on Cardiovascular Magnetic Resonance (CMR).
The main objective of the study was to identify the trends of the top 100 cited
articles on CMR research. METHODS: Web of Science (WOS) search was used to create
a database of all English language scientific journals. This search was then
cross-referenced with a similar search term query of Scopus(r) to identify
articles that may have been missed on the initial search. Articles were ranked by
citation count and screened by two independent reviewers. RESULTS: Citations for
the top 100 articles ranged from 178 to 1925 with a median of 319.5. Only 17
articles were cited more than 500 times, and the vast majority (n = 72) were
cited between 200-499 times. More than half of the articles (n = 52) were from
the United States of America, and more than one quarter (n = 21) from the United
Kingdom. More than four fifth (n = 86) of the articles were published between the
time period 2000-2014 with only 1 article published before 1990. Circulation and
Journal of the American College of Cardiology made up more than half (n = 62) of
the list. We found 10 authors who had greater than 5 publications in the list.
CONCLUSION: Our study provides an insight on the characteristics and quality of
the most highly cited CMR literature, and a list of the most influential
references related to CMR.
PMID- 27866475
TI - An Increase in Healthcare-Associated Clostridium difficile Infection Associated
with Use of a Defective Peracetic Acid-Based Surface Disinfectant.
AB - BACKGROUND We investigated an increase in the incidence of healthcare-associated
Clostridium difficile infection (CDI) that occurred following a change from a
bleach disinfectant to a peracetic acid-based disinfectant. OBJECTIVE To evaluate
the efficacy of the peracetic acid-based disinfectant. DESIGN Laboratory-based
product evaluation. METHODS The commercial peracetic acid-based product is
activated on site by mixing a small volume of concentrated hydrogen peroxide and
peracetic acid present in a "SmartCap" reservoir with the remaining contents of
the container. We measured concentrations of peracetic acid in newly activated
and in-use product and determined the stability of nonactivated and activated
product. We tested the efficacy of the product against C. difficile spores using
the American Society for Testing and Materials standard quantitative carrier disk
test method. RESULTS Measured concentrations of peracetic acid (50-800 parts per
million [ppm]) were significantly lower than the level stated on the product
label (1,500 ppm), and similar results were obtained for containers from multiple
lot numbers and from another hospital. Product with peracetic acid levels below
600 ppm had significantly reduced activity against C. difficile spores. Peracetic
acid concentrations were reduced markedly after storage of either activated or
nonactivated product for several weeks. The Environmental Protection Agency
confirmed the finding of low disinfectant levels and ordered discontinuation of
sale of the product. CONCLUSION Use of a defective peracetic acid-based surface
disinfectant may have contributed to an increase in healthcare-associated CDI.
Our findings highlight the importance of evaluating the efficacy of liquid
disinfectants in healthcare settings. Infect Control Hosp Epidemiol 2017;38:300
305.
PMID- 27866474
TI - Heme oxygenase-1-transduced bone marrow mesenchymal stem cells in reducing acute
rejection and improving small bowel transplantation outcomes in rats.
AB - BACKGROUND: We determined whether bone marrow mesenchymal stem cells (BMMSCs)
transduced with heme oxygenase-1 (HO-1), a cytoprotective and immune-protective
factor, could improve outcomes for small bowel transplantation (SBTx) in rats.
METHODS: We performed heterotopic SBTx from Brown Norway rats to Lewis rats,
before infusing Ad/HO-1-transduced BMMSCs (Ad/HO-1/BMMSCs) through the
superficial dorsal veins of the penis. Respective infusions with Ad/BMMSCs,
BMMSCs, and normal saline served as controls. The animals were sacrificed after
1, 5, 7, or 10 days. At each time point, we measured small bowel histology and
apoptosis, HO-1 protein and mRNA expression, natural killer (NK) cell activity,
cytokine concentrations in serum and intestinal graft, and levels of regulatory T
(Treg) cells. RESULTS: The saline-treated control group showed aggravated acute
cellular rejection over time, with mucosal destruction, increased apoptosis, NK
cell activation, and upregulation of proinflammatory and immune-related
mediators. Both the Ad/BMMSC-treated group and the BMMSC-treated group exhibited
attenuated acute cellular rejection at an early stage, but the effects receded 7
days after transplantation. Strikingly, the Ad/HO-1/BMMSC-treated group
demonstrated significantly attenuated acute cellular rejection, reduced apoptosis
and NK cell activity, and suppressed concentrations of inflammation and immune
related cytokines, and upregulated expression of anti-inflammatory cytokine
mediators and increased Treg cell levels. CONCLUSION: Our data suggest that Ad/HO
1-transduced BMMSCs have a reinforced effect on reducing acute rejection and
protecting the outcome of SBTx in rats.
PMID- 27866477
TI - Cross-reactivity of the 31 kDa antigen of Angiostrongylus cantonensis - Dealing
with the immunodiagnosis of meningoencephalitis.
AB - The primary causative agent of eosinophilic meningoencephalitis (EoM) in endemic
regions is the nematode Angiostrongylus cantonensis. The occurrence of EoM was
previously restricted to countries in Southeast Asia and the Pacific Islands;
however, more recently, it has been reported from other regions, including
Brazil. The commonly used diagnosis is detection of specific antibody reactivity
to the 31 kDa antigen, which is derived from female worm somatic extracts. Here
we report the occurrence of cross-reactivity to this antigen in sera from other
parasitic infections, especially those that may cause EoM, such as
gnathostomiasis, toxocariasis, hydatidosis and strongyloidiasis. We also
demonstrated that the cross-reactivity, in part, is dependent of the
concentration of antigen used in Western blot assays. We discuss the importance
of these findings on the interpretation of this test.
PMID- 27866479
TI - Consumption of fruits and vegetables among adolescents: a multi-national
comparison of eleven countries in the Eastern Mediterranean Region.
AB - A regional cross-country profile of fruit and vegetable (F&V) consumption is
lacking in the Eastern Mediterranean Region (EMR). This study examines the
prevalence of and differences in consumption of F&V >=5 times/d among adolescents
in eleven EMR countries, and describes differences in the proportions of taking
F&V >=5 times/d by sex, age and BMI. The study included 26 328 school adolescents
(13-15 years) with complete data on consumption of F&V, age, sex, weight and
height taken from the Global School-based Student Health Survey conducted in the
EMR between 2005 and 2009. Overall, only 19.4 % of adolescents reported consuming
F&V >=5 times/d. The highest prevalence was reported in Djibouti (40.4 %) and the
lowest was reported in Pakistan (10.0 %). Statistically significant differences
in prevalence were observed across countries (P<0.05). With the exception of
Oman, Libya and Djibouti, significantly more males than females ate F&V >=5
times/d. The proportion of students consuming F&V >=5 times/d also varied
significantly in all countries based on BMI (P<0.0001), with students within
normal BMI having the highest frequency. A negative trend was observed between
age and intake of F&V >=5 times/d in most of the eleven EMR countries except
Jordan, Djibouti and Morocco. The prevalence of adequate intake of F&V is low in
the eleven EMR countries. There is a need for interventions to increase the
prevalence of adolescents consuming F&V >=5 times/d. Interventions should take
into consideration the psychosocial, environmental and socio-environmental
factors influencing F&V intake within countries.
PMID- 27866480
TI - Consumption of nutritional pellets with Duddingtonia flagrans fungal
chlamydospores reduces infective nematode larvae of Haemonchus contortus in
faeces of Saint Croix lambs.
AB - Two groups of six Haemonchus contortus infected Saint Croix lambs each received
different diets for 11 weeks: control group, commercial food, molasses and
lucerne hay; and treated group, nutritional pellets (NPs) containing Duddingtonia
flagrans at 2 * 106 chlamydospores/kg body weight (BW), sorghum and lucerne hay.
Mean BW gain (BWG), body condition score (BCS) and packed cell volume (PCV) and
also eggs/g of faeces (EPG) and recovered L3 were compared using a repeated
measures across time model. Groups had similar BWG (control 139.7 +/- 0.035 g/day
and treated 167.7 +/- 0.041 g/day), BCS (control 3.6 +/- 0.39 and treated 3.4 +/-
0.46) and PCV (control 32.5 +/- 1.68% and treated 30.0 +/- 1.68%). The mean EPG
of the control group was 1215 +/- 1040 and in the treated group it was 2097.91 +/
2050. No reduction in larval population was observed during weeks 2 and 3. The
greatest larval population reduction in the faeces of treated lambs was observed
during the first week (70.5%) and from weeks 6 to 11, with a mean value close to
70% (P < 0.05). In general, both experimental groups showed a similar feed
conversion. It was concluded that both diets resulted in similar lamb growth,
PCV, BCS and H. contortus EPG. However, NP consumption significantly reduced the
H. contortus L3 population in lamb faeces.
PMID- 27866481
TI - Effect of inoculum age and physical parameters on in vitro culture of the
entomopathogenic nematode Steinernema feltiae.
AB - Entomopathogenic nematodes (EPNs) of the families Steinernematidae and
Heterorhabditidae have a symbiotic association with bacteria which makes them
virulent against insects. EPNs have been mass produced using in vivo and in vitro
methods, including both solid and liquid fermentation. This study assessed the
effect of nematode inoculum age on the production of Steinernema feltiae in
liquid, solid and biphasic processes. Several physical parameters were also
assessed: the effect of medium viscosity, flask size and aeration speed on the
recovery and yield of infective juveniles (IJs). Inoculum age treatments included
inoculum liquid cultures that were 7, 14, 21 and 28 days old. Nematodes from the
same inoculum were added to one liquid medium (liquid culture), one solid medium
with bacteria previously grown in sponge (solid culture) and a variation of the
solid medium (a biphasic culture), in which the bacteria were first grown in
liquid and, then, soaked into the sponges, with the purpose of providing a more
homogeneous bacterial culture before nematode inoculation. Experiments were
conducted in Erlenmeyer flasks. Eight treatments were established involving
combinations of three variables: two media (with and without 0.2% agar), two
flask sizes (250 and 150 ml) and two agitation speeds (180 and 280 rpm). The
study showed increases in nematode yield for liquid cultures, but not for solid
or biphasic cultures, with the advance of the inoculum age up to 28 days of
growth. Furthermore, the addition of 0.2% agar to the liquid medium and
increasing the aeration rate by using larger flasks with higher agitation speed
may increase nematode recovery and final yield. The experiments were conducted
using shake flasks but the results may also be applicable for bioreactors.
PMID- 27866478
TI - Evaluating drug resistance in visceral leishmaniasis: the challenges.
AB - For decades antimonials were the drugs of choice for the treatment of visceral
leishmaniasis (VL), but the recent emergence of resistance has made them
redundant as first-line therapy in the endemic VL region in the Indian
subcontinent. The application of other drugs has been limited due to adverse
effects, perceived high cost, need for parenteral administration and increasing
rate of treatment failures. Liposomal amphotericin B (AmB) and miltefosine (MIL)
have been positioned as the effective first-line treatments; however, the number
of monotherapy MIL-failures has increased after a decade of use. Since no
validated molecular resistance markers are yet available, monitoring and
surveillance of changes in drug sensitivity and resistance still depends on
standard phenotypic in vitro promastigote or amastigote susceptibility assays.
Clinical isolates displaying defined MIL- or AmB-resistance are still fairly
scarce and fundamental and applied research on resistance mechanisms and dynamics
remains largely dependent on laboratory-generated drug resistant strains. This
review addresses the various challenges associated with drug susceptibility and
resistance monitoring in VL, with particular emphasis on the choice of strains,
susceptibility model selection and standardization of procedures with specific
read-out parameters and well-defined threshold criteria. The latter are essential
to support surveillance systems and safeguard the limited number of currently
available antileishmanial drugs.
PMID- 27866476
TI - The impact of education, country, race and ethnicity on the self-report of
postpartum depression using the Edinburgh Postnatal Depression Scale.
AB - BACKGROUND: Universal screening for postpartum depression is recommended in many
countries. Knowledge of whether the disclosure of depressive symptoms in the
postpartum period differs across cultures could improve detection and provide new
insights into the pathogenesis. Moreover, it is a necessary step to evaluate the
universal use of screening instruments in research and clinical practice. In the
current study we sought to assess whether the Edinburgh Postnatal Depression
Scale (EPDS), the most widely used screening tool for postpartum depression,
measures the same underlying construct across cultural groups in a large
international dataset. METHOD: Ordinal regression and measurement invariance were
used to explore the association between culture, operationalized as education,
ethnicity/race and continent, and endorsement of depressive symptoms using the
EPDS on 8209 new mothers from Europe and the USA. RESULTS: Education, but not
ethnicity/race, influenced the reporting of postpartum depression [difference
between robust comparative fit indexes (?*CFI) 0.01), but not between European
countries (?*CFI < 0.01). CONCLUSIONS: Investigators and clinicians should be
aware of the potential differences in expression of phenotype of postpartum
depression that women of different educational backgrounds may manifest. The
increasing cultural heterogeneity of societies together with the tendency towards
globalization requires a culturally sensitive approach to patients, research and
policies, that takes into account, beyond rhetoric, the context of a person's
experiences and the context in which the research is conducted.
PMID- 27866483
TI - Wild and vaccine-derived poliovirus circulation, and implications for polio
eradication.
AB - Polio cases due to wild virus are reported by only three countries in the world.
Poliovirus type 2 has been globally eradicated and the last detection of
poliovirus type 3 dates to November 2012. Poliovirus type 1 remains the only
circulating wild strain; between January and September 2016 it caused 26 cases
(nine in Afghanistan, 14 in Pakistan, three in Nigeria). The use of oral polio
vaccine (OPV) has been the key to success in the eradication effort. However,
paradoxically, moving towards global polio eradication, the burden caused by
vaccine-derived polioviruses (VDPVs) becomes increasingly important. In this
paper circulation of both wild virus and VDPVs is reviewed and implications for
the polio eradication endgame are discussed. Between April and May 2016 OPV2
cessation has been implemented globally, in a coordinated switch from trivalent
OPV to bivalent OPV. In order to decrease the risk for cVDPV2 re-emergence
inactivated polio vaccine (IPV) has been introduced in the routine vaccine
schedule of all countries. The likelihood of re-emergence of cVDPVs should
markedly decrease with time after OPV cessation, but silent circulation of
polioviruses cannot be ruled out even a long time after cessation. For this
reason, immunity levels against polioviruses should be kept as high as possible
in the population by the use of IPV, and both clinical and environmental
surveillance should be maintained at a high level.
PMID- 27866482
TI - Insight as a social identity process in the evolution of psychosocial functioning
in the early phase of psychosis.
AB - BACKGROUND: Awareness of illness (insight) has been found to have contradictory
effects for different functional outcomes after the early course of psychosis.
Whereas it is related to psychotic symptom reduction and medication adherence, it
is also associated with increased depressive symptoms. In this line, the specific
effects of insight on the evolution of functioning over time have not been
identified, and social indicators, such as socio-occupational functioning have
barely been considered. Drawing from social identity theory we investigated the
impact of insight on the development of psychosocial outcomes and the
interactions of these variables over time. METHOD: The participants, 240 patients
in early phase of psychosis from the Treatment and Early Intervention in
Psychosis Program (TIPP) of the University Hospital of Lausanne, Switzerland,
were assessed at eight time points over 3 years. Cross-lagged panel analyses and
multilevel analyses were conducted on socio-occupational and general functioning
[Social and Occupational Functioning Assessment Scale (SOFAS) and Global
Assessment of Functioning (GAF)] with insight, time and depressive symptoms as
independent variables. RESULTS: Results from multilevel analyses point to an
overall positive impact of insight on psychosocial functioning, which increases
over time. Yet the cross-lagged panel analysis did not reveal a systematic
positive and causal effect of insight on SOFAS and GAF scores. Depressive
symptoms seem only to be relevant in the beginning of the treatment process.
CONCLUSIONS: Our results point to a complex process in which the positive impact
of insight on psychosocial functioning increases over time, even when considering
depressive symptoms. Future studies and treatment approaches should consider the
procedural aspect of insight.
PMID- 27866484
TI - Exploring DSM-5 ADHD criteria beyond young adulthood: phenomenology, psychometric
properties and prevalence in a large three-decade birth cohort.
AB - BACKGROUND: There are still uncertainties on the psychometric validity of the DSM
5 attention deficit hyperactivity disorder (ADHD) criteria for its use in the
adult population. We aim to describe the adult ADHD phenotype, to test the
psychometric properties of the DSM-5 ADHD criteria, and to calculate the
resulting prevalence in a population-based sample in their thirties. METHOD: A
cross-sectional evaluation using the DSM-5 ADHD criteria was carried out in 3574
individuals from the 1982 Pelotas Birth Cohort. Through receiver operator curve,
latent and regression analyses, we obtained parameters on construct and
discriminant validity. Still, prevalence rates were calculated for different sets
of criteria. RESULTS: The latent analysis suggested that the adult ADHD phenotype
is constituted mainly by inattentive symptoms. Also, inattention symptoms were
the symptoms most associated with impairment. The best cut-off for diagnosis was
four symptoms, but sensitivity and specificity for this cut-off was low. ADHD
prevalence rates were 2.1% for DSM-5 ADHD criteria and 5.8% for ADHD disregarding
age-of-onset criterion. CONCLUSIONS: The bi-dimensional ADHD structure proposed
by the DSM demonstrated both construct and discriminant validity problems when
used in the adult population, since inattention is a much more relevant feature
in the adult phenotype. The use of the DSM-5 criteria results in a higher
prevalence of ADHD when compared to those obtained by DSM-IV, and prevalence
would increase almost threefold when considering current ADHD syndrome. These
findings suggest a need for further refinement of the criteria for its use in the
adult population.
PMID- 27866485
TI - Determinants of the nurses' and nursing assistants' request for antipsychotics
for people with dementia.
AB - BACKGROUND: Although physicians are responsible for writing the antipsychotic
prescriptions for patients with dementia, the initiative is often taken by nurses
or nursing assistants. To reduce antipsychotics uses, one needs to understand the
reasons for nurses and nursing assistants to request them. This study gives an
overview of the influencing factors for this request based on the Theory of
Planned Behavior in which attitude, beliefs, and behavioral control is thought to
influence the intention to request, which in turn affects the behavior to request
for a prescription. METHODS: Eighty-one nurses and nursing assistants of one
Dutch nursing home organization completed an online survey. RESULTS: Nurses and
nursing assistants frequently agreed on items related to the positive effects of
antipsychotics for the resident and for the staff. Nurses and nursing assistants
with a lower job satisfaction were more likely to call for antipsychotics. Having
more positive beliefs about treatment effects and feel of being more in control
toward asking for antipsychotics were positively associated with intention to
call. All variables explained 59% of the variance of intention. The current
position (nurse/nursing assistant) was associated with actual behavior to call.
The explained variance was 25%. CONCLUSIONS: Policy-makers should focus on the
nurses' and nursing assistants' belief in positive effects of antipsychotics for
the resident, which is not in line with available evidence. Nurses and nursing
assistants should be educated about the limited effectiveness of antipsychotics.
PMID- 27866487
TI - Tuberculosis infection testing in HIV-positive men who have sex with men from
Xi'an China.
AB - In individuals with latent tuberculosis (TB) infection, those living with human
immunodeficiency virus (HIV) had a 20-37 times higher risk of developing active
TB compared to those without HIV infection. Systematic testing and treatment of
latent TB infection are priorities in HIV-infected persons. In China, the
prevalence of HIV infection in men who have sex with men (MSM) has gradually
increased in the past decade. However, the prevalence of TB infection has been
studied sparsely in HIV-infected MSM. Hence, we conducted a pilot study in MSM
living with HIV infection in Xi'an city to evaluate TB infection status by means
of interferon-gamma release assay (IGRA). A total of 182 HIV-infected MSM were
included in this study, the prevalence of IGRA positivity was observed to be
8.79% (16/182). IGRA quantitative results were not statistically influenced by
the CD4 cell counts of the study participants. However, IGRA positivity was found
to be lower than our previously reported data from the general population. This
suggests that immunological deficiency might decrease the sensitivity of IGRA and
thus increase the number of false negatives. Our primary results, suggesting
systematic testing and treatment of latent TB infection together with active case
finding, were equally important for TB control in persons living with HIV
infection.
PMID- 27866486
TI - The effects of cannabis use on salience attribution: a systematic review.
AB - OBJECTIVE: The relationship between cannabis use and the onset of psychosis is
well established. Aberrant salience processing is widely thought to underpin many
of these symptoms. Literature explicitly investigating the relationship between
aberrant salience processing and cannabis use is scarce; with those few studies
finding that acute tetrahydrocannabinol (THC) administration (the main
psychoactive component of cannabis) can result in abnormal salience processing in
healthy cohorts, mirroring that observed in psychosis. Nevertheless, the extent
of and mechanisms through which cannabis has a modulatory effect on aberrant
salience, following both acute and chronic use, remain unclear. METHODS: Here, we
systematically review recent findings on the effects of cannabis use - either
through acute THC administration or in chronic users - on brain regions
associated with salience processing (through functional MRI data); and
performance in cognitive tasks that could be used as either direct or indirect
measures of salience processing. We identified 13 studies either directly or
indirectly exploring salience processing. Three types of salience were identified
and discussed - incentive/motivational, emotional/affective, and attentional
salience. RESULTS: The results demonstrated an impairment of immediate salience
processing, following acute THC administration. Amongst the long-term cannabis
users, normal salience performance appeared to be underpinned by abnormal neural
processes. CONCLUSIONS: Overall, the lack of research specifically exploring the
effects of cannabis use on salience processing, weaken any conclusions drawn.
Additional research explicitly focussed on salience processing and cannabis use
is required to advance our understanding of the neurocognitive mechanisms
underlying the association between cannabis use and development of psychosis.
PMID- 27866488
TI - Disposable Bronchoscope Model for Simulating Endoscopic Reprocessing and
Surveillance Cultures.
AB - BACKGROUND Endoscope-associated infections are reported despite following proper
reprocessing methods. Microbiological testing can confirm the adequacy of
endoscope reprocessing. Multiple controversies related to the method and
interpretation of microbiological testing cultures have arisen that make their
routine performance a complex target. OBJECTIVE We conducted a pilot study using
disposable bronchoscopes (DBs) to simulate different reprocessing times and
soaking times and to compare high-level disinfection versus ethylene oxide
sterilization. We also reviewed the time to reprocessing and duration of the
procedures. METHODS Bronchoscopes were chosen because an alternative disposable
scope is commercially available and because bronchoscopes are more prone to
delays in processing. Disposable bronchoscopes were contaminated using a liquid
bacterial suspension and were then incubated for 1-4 hours. Standard processing
and high-level disinfection were performed on 36 endoscopes. Ethylene oxide
sterilization was performed on 21 endoscopes. Endoscope cultures were performed
using the standard "brush, flush, brush" technique. RESULTS After brushing was
performed, a final water-flush culture procedure was the most effective method of
detecting bacterial persistence on the disposable scopes. Klebsiella pneumoniae
was the most commonly recovered organism after reprocessing. Ethylene oxide
sterilization did not result in total elimination of viable bacteria. CONCLUSION
Routine endoscopy cultures may be required to assess the adequacy of endoscopic
processing. Infect Control Hosp Epidemiol 2017;38:136-142.
PMID- 27866489
TI - Exploring genotype concordance in epidemiologically linked cases of tuberculosis
in New York City.
AB - Comparing genotype results of tuberculosis (TB) isolates from individuals
diagnosed with TB can support or refute transmission; however, these conclusions
are based upon the criteria used to define a genotype match. We used a genotype
match definition which allowed for variation in IS6110 restriction fragment
length polymorphism (RFLP) to support transmission between epidemiologically
linked persons. Contacts of individuals with infectious TB (index cases)
diagnosed in New York City from 1997 to 2003 who subsequently developed TB
(contact cases) from 1997 to 2007 were identified. For each contact case and
index case (case-pair), isolate genotypes (spoligotype and RFLP results) were
evaluated. Isolates from case-pairs were classified as exact or non-exact
genotype match. Genotypes from non-exact match case-pairs were reviewed at the
genotyping laboratory to determine if the isolates met the near-genotype-match
criteria (exactly matching spoligotype and similar RFLP banding patterns). Of 118
case-pairs identified, isolates from 83 (70%) had exactly matching genotypes and
14 (12%) had nearly matching genotypes (supporting transmission), while the
remaining 21 (18%) case-pairs had discordant genotypes (refuting transmission).
Using identical genotype-match criteria for isolates from case-pairs
epidemiologically linked through contact investigation may lead to
underestimation of transmission. TB programmes should consider the value of
expanding genotype-match criteria to more accurately assess transmission between
such cases.
PMID- 27866491
TI - Conducting longitudinal, process-oriented research with conflict-affected youth:
Solving the inevitable challenges.
AB - The reader might get the impression that the four projects described in this
Special Section proceeded in a systematic and predictable way. Of course, those
of us engaged in each research project encountered pitfalls and challenges along
the way. A main goal of this Special Section is to provide pathways and
encouragement for those who may be interested in advancing high-quality research
on this topic. In this paper, we describe a set of practical and ethical
challenges that we encountered in conducting our longitudinal, process-oriented,
and translational research with conflict-affected youth, and we illustrate how
problems can be solved with the goal of maintaining the internal and external
validity of the research designs. We are hopeful that by describing the
challenges of our work, and how we overcame them, which are seldom treated in
this or any other literature on research on child development in high-risk
contexts, we can offer a realistic and encouraging picture of conducting
methodologically sound research in conflict-affected contexts.
PMID- 27866490
TI - MULTILEVEL LINEAR REGRESSION ANALYSIS OF FACTORS INFLUENCING BODY MASS INDEX
AMONG BANGLADESHI MARRIED NON-PREGNANT WOMEN.
AB - The aim of this study was to investigate the socioeconomic and demographic
factors influencing the body mass index (BMI) of non-pregnant married Bangladeshi
women of reproductive age. Secondary (Hierarchy) data from the 2011 Bangladesh
Demographic and Health Survey, collected using two-stage stratified cluster
sampling, were used. Two-level linear regression analysis was performed to remove
the cluster effect of the variables. The mean BMI of married non-pregnant
Bangladeshi women was 21.60+/-3.86 kg/m2, and the prevalence of underweight,
overweight and obesity was 22.8%, 14.9% and 3.2%, respectively. After removing
the cluster effect, age and age at first marriage were found to be positively
(p<0.01) related with BMI. Number of children was negatively related with women's
BMI. Lower BMI was especially found among women from rural areas and poor
families, with an uneducated husband, with no television at home and who were
currently breast-feeding. Age, total children ever born, age at first marriage,
type of residence, education level, level of husband's education, wealth index,
having a television at home and practising breast-feeding were found to be
important predictors for the BMI of married Bangladeshi non-pregnant women of
reproductive age. This information could be used to identify sections of the
Bangladeshi population that require special attention, and to develop more
effective strategies to resolve the problem of malnutrition.
PMID- 27866492
TI - Predictive modelling of Ross River virus notifications in southeastern Australia.
AB - Ross River virus (RRV) is a mosquito-borne virus endemic to Australia. The
disease, marked by arthritis, myalgia and rash, has a complex epidemiology
involving several mosquito species and wildlife reservoirs. Outbreak years
coincide with climatic conditions conducive to mosquito population growth. We
developed regression models for human RRV notifications in the Mildura Local
Government Area, Victoria, Australia with the objective of increasing
understanding of the relationships in this complex system, providing trigger
points for intervention and developing a forecast model. Surveillance, climatic,
environmental and entomological data for the period July 2000-June 2011 were used
for model training then forecasts were validated for July 2011-June 2015.
Rainfall and vapour pressure were the key factors for forecasting RRV
notifications. Validation of models showed they predicted RRV counts with an
accuracy of 81%. Two major RRV mosquito vectors (Culex annulirostris and Aedes
camptorhynchus) were important in the final estimation model at proximal lags.
The findings of this analysis advance understanding of the drivers of RRV in
temperate climatic zones and the models will inform public health agencies of
periods of increased risk.
PMID- 27866493
TI - Dietary DHA and health: cognitive function ageing.
AB - DHA is a key nutritional n-3 PUFA and needs to be supplied by the human diet. DHA
is found in significant amounts in the retinal and neuronal cell membranes due to
its high fluidity. Indeed, DHA is selectively concentrated in the synaptic and
retinal membranes. DHA is deemed to display anti-inflammatory properties and to
reduce the risk of CVD. Consumption of larger amounts of DHA appears to reduce
the risk of depression, bipolar disorder, schizophrenia and mood disorders.
Conversely, it has been shown that loss of DHA from the nerve cell membrane leads
to dysfunction of the central nervous system in the form of anxiety,
irritability, susceptibility to stress, dyslexia, impaired memory and cognitive
functions, and extended reaction times. DHA plays an important role in ensuring a
healthy ageing, by thwarting macular degeneration, Alzheimer's disease, and other
brain disorders at the same time as enhancing memory and strengthening
neuroprotection in general. A reduced level of DHA is associated with cognitive
decline during ageing. Different mechanisms for this fundamental DHA role have
been put forward. Namely, neuroprotectin D1, a DHA derivative, may support brain
cell survival and repair through neurotrophic, anti-apoptotic, and anti
inflammatory signalling. Many of the effects of DHA on the neurological system
may be related to signalling connections, thus leading to the study of the
related signalolipidomics. Therefore, the present review will focus on the
influence of DHA deficiency upon ageing, with specific emphasis upon neurological
disorders related to cognitive function and mental health.
PMID- 27866495
TI - Commentary on Sharma et al.
PMID- 27866494
TI - Brucellosis as a neglected disease in a neglected population: a
seroepidemiological study of migratory nomads in the Fars province of Iran.
AB - This study assessed the seroprevalence of brucellosis and its risk factors in
migratory nomads in the Fars province of Iran. Active brucellosis was defined as
the combination of clinical symptoms, including fever, chills, night sweats,
headache, low back pain, arthralgia, or myalgia, and positive laboratory testing,
including either a serum agglutination test (SAT) ?1:80 with a 2-mercaptoethanol
(2-ME) test ?1:40, or a SAT <1:80 combined with a positive Coombs Wright test
(CWT) at a titre of at least threefold higher than SAT titre results. For the 536
participants, the female (316, 59%) to male (220, 41%) ratio was 1.4 and the
participants' mean age was 32.4 +/- 18.9 (range 1-96) years. Of all participants,
325 (60.6%) showed clinical symptoms; in symptomatic participants, the Rose
Bengal plate test was positive in 33 (6.1%) cases, the SAT was positive in 18
(3.3%) cases, and the 2-ME test was positive in 30 (5.5%) cases. Positive SAT and
2-ME results were seen in 18 (3.3%) cases, but a negative SAT and a positive CWT
were found in 36 (6.7%) cases. As a result, active brucellosis was detected in 54
cases, indicating a prevalence of 10% (95% confidence interval 8-12). In
conclusion, we determined that brucellosis is a prevalent yet neglected disease
in this nomadic population. Brucellosis control is not possible as long as these
high-risk populations remain neglected.
PMID- 27866496
TI - Building a translational science on children and youth affected by political
violence and armed conflict: A commentary.
AB - Articles in this timely Special Section represent an important milestone in the
developmental science on children and youth involved in political violence and
armed conflict. With millions of children worldwide affected by past and present
wars and conflicts, there is an urgent and growing need for research to inform
efforts to understand, prevent, and mitigate the possible harm of such violence
to individual children, families, communities, and societies, for present as well
as future generations. The four programs of research highlighted in this Special
Section illustrate key advances and challenges in contemporary development
research on young people growing up in the midst or aftermath of political
violence. These studies are longitudinal, methodologically sophisticated, and
grounded in socioecological systems models that align well with current models of
risk and resilience in developmental psychopathology. These studies collectively
mark a critically important shift to process-focused research that holds great
promise for translational applications. Nonetheless, given the scope of the
international crisis of children and youth affected by political violence and its
sequelae, there is an urgent global need for greater mobilization of resources to
support translational science and effective evidence-based action.
PMID- 27866497
TI - Neurodevelopmental outcomes in infants exposed in utero to antipsychotics: a
systematic review of published data.
AB - The proportion of pregnancies exposed to either second-generation antipsychotics
(SGAs) or first-generation antipsychotics (FGAs) varies between 0.3%-2% of all
pregnancies, but, until now, little is known about the potential neurobehavioral
teratogenicity of antipsychotics. Assessing this safety facet is the aim of this
article. PubMed, Scopus, and Google Scholar were searched for eligible articles.
PubMed (1954 to May 2016) was searched using several medical subject headings,
variously combined. PubMed search results were also limited using the search
filter for human studies published in English. Scopus and Google Scholar searches
were filtered for article title (antipsychotics/neuroleptics, pregnancy). After
excluding duplicates, 9,250 articles were identified and 29 met the following
inclusion criteria: only articles that provided original/primary data on
neurodevelopmental outcome in human offspring older than 4 months of age,
independently of the study design, were selected for review. Indeed, some
relevant neurodevelopmental milestones are achieved at this time. Length of study
and neurodevelopmental assessment methodology did not influence the study
selection. Unfortunately, published data on neurodevelopmental teratogenicity of
SGAs mainly derive from case reports and small case-series studies. Even findings
emerging from case-control and prospective/retrospective studies are of limited
clinical relevance because of their small sample sizes. Limited data are also
available on FGAs. Hence, we have to conclude that the long-term
neurodevelopmental outcomes for children exposed in utero remain unclear. Low to
very low quality evidence of retrieved data makes impossible to confirm or
exclude potential long-lasting untoward effects on infant neurocognitive
development associate with antenatal exposure to either SGAs or FGAs.
PMID- 27866498
TI - Emotional insecurity about the community: A dynamic, within-person mediator of
child adjustment in contexts of political violence.
AB - Over 1 billion children worldwide are exposed to political violence and armed
conflict. The current conclusions are qualified by limited longitudinal research
testing sophisticated process-oriented explanatory models for child adjustment
outcomes. In this study, consistent with a developmental psychopathology
perspective emphasizing the value of process-oriented longitudinal study of child
adjustment in developmental and social-ecological contexts, we tested emotional
insecurity about the community as a dynamic, within-person mediating process for
relations between sectarian community violence and child adjustment.
Specifically, this study explored children's emotional insecurity at a person
oriented level of analysis assessed over 5 consecutive years, with child gender
examined as a moderator of indirect effects between sectarian community violence
and child adjustment. In the context of a five-wave longitudinal research design,
participants included 928 mother-child dyads in Belfast (453 boys, 475 girls)
drawn from socially deprived, ethnically homogenous areas that had experienced
political violence. Youth ranged in age from 10 to 20 years and were 13.24 (SD =
1.83) years old on average at the initial time point. Greater insecurity about
the community measured over multiple time points mediated relations between
sectarian community violence and youth's total adjustment problems. The pathway
from sectarian community violence to emotional insecurity about the community was
moderated by child gender, with relations to emotional insecurity about the
community stronger for girls than for boys. The results suggest that ameliorating
children's insecurity about community in contexts of political violence is an
important goal toward improving adolescents' well-being and adjustment. These
results are discussed in terms of their translational research implications,
consistent with a developmental psychopathology model for the interface between
basic and intervention research.
PMID- 27866499
TI - Commentary on Huesmann et al.
PMID- 27866500
TI - Coping and mental health outcomes among Sierra Leonean war-affected youth:
Results from a longitudinal study.
AB - This study explored how coping with war-related traumatic events in Sierra Leone
impacted mental health outcomes among 529 youth (aged 10-17 at baseline; 25%
female) using longitudinal data from three time points (Time 1 in 2002, Time 2 in
2004, and Time 3 in 2008). We examined two types of coping items (approach and
avoidance); used multiple regression models to test their relations with long
term mental health outcomes (internalizing behaviors, externalizing behaviors,
adaptive/prosocial behaviors, and posttraumatic stress symptoms); and used
mediation analyses to test whether coping explained the relation between previous
war exposures (being raped, death of parent(s), or killing/injuring someone
during the war) and those outcomes. We found that avoidance coping items were
associated with lower internalizing and posttraumatic stress behaviors at Time 3,
and provided some evidence of mediating the relation between death of parent(s)
during the war and the two outcomes mentioned above. Approach coping was
associated with higher Time 3 adaptive/prosocial behaviors, whereas avoidance
coping was associated with lower Time 3 adaptive/prosocial behaviors. Avoidance
coping may be a protective factor against mental illness, whereas approach coping
may be a promotive factor for adaptive/prosocial behaviors in war-affected
societies. This study has important implications for designing and implementing
mental health interventions for youth in postconflict settings.
PMID- 27866501
TI - Promoting children's learning and development in conflict-affected countries:
Testing change process in the Democratic Republic of the Congo.
AB - Improving children's learning and development in conflict-affected countries is
critically important for breaking the intergenerational transmission of violence
and poverty. Yet there is currently a stunning lack of rigorous evidence as to
whether and how programs to improve learning and development in conflict-affected
countries actually work to bolster children's academic learning and
socioemotional development. This study tests a theory of change derived from the
fields of developmental psychopathology and social ecology about how a school
based universal socioemotional learning program, the International Rescue
Committee's Learning to Read in a Healing Classroom (LRHC), impacts children's
learning and development. The study was implemented in three conflict-affected
provinces of the Democratic Republic of the Congo and employed a cluster
randomized waitlist control design to estimate impact. Using multilevel
structural equation modeling techniques, we found support for the central
pathways in the LRHC theory of change. Specifically, we found that LRHC
differentially impacted dimensions of the quality of the school and classroom
environment at the end of the first year of the intervention, and that in turn
these dimensions of quality were differentially associated with child academic
and socioemotional outcomes. Future implications and directions are discussed.
PMID- 27866502
TI - Dysphoric mania, mixed states, and mania with mixed features specifier: are we
mixing things up?
AB - Various terms have been used to describe mania when it is accompanied by
depressive symptoms. In this article, we attempt to define and discuss 3 of these
terms: dysphoric mania, mixed state, and mania with mixed features specifier. We
conclude that whatever term is used, it is important to be aware that mania is
more often unpleasant than pleasant, and that the unpleasantness is not limited
to depression.
PMID- 27866503
TI - Controlling feeding practices and maternal migrant background: an analysis of a
multicultural sample.
AB - OBJECTIVE: Parental feeding practices shape children's relationships with food
and eating. Feeding is embedded socioculturally in values and attitudes related
to food and parenting. However, few studies have examined associations between
parental feeding practices and migrant background. DESIGN: Cross-sectional study.
Parental feeding practices (restriction, pressure to eat, monitoring) were
assessed using the Child Feeding Questionnaire. Differences were explored in four
sub-samples grouped by maternal place of birth: Sweden, Nordic/Western Europe,
Eastern/Southern Europe and countries outside Europe. Crude, partly and fully
adjusted linear regression models were created. Potential confounding variables
included child's age, gender and weight status, and mother's age, weight status,
education and concern about child weight. SETTING: Malmo and Stockholm, Sweden.
SUBJECTS: Mothers (n 1325, representing seventy-three countries; mean age 36.5
years; 28.1 % of non-Swedish background; 30.7 % with overweight/obesity; 62.8 %
with university education) of pre-school children (mean age 4.8 years; 50.8 %
boys; 18.6 % with overweight/obesity). RESULTS: Non-Swedish-born mothers, whether
European-born or non-European-born, were more likely to use restriction. Swedish
born mothers and Nordic/Western European-born mothers reported lower levels of
pressure to eat compared with mothers born in Eastern/Southern Europe and mothers
born outside Europe. Differences in monitoring were small. Among the potential
confounding variables, child weight status and concern about child weight were
highly influential. Concern about child weight accounted for some of the effect
of maternal origin on restriction. CONCLUSIONS: Non-European-born mothers were
more concerned about children being overweight and more likely to report
controlling feeding practices. Future research should examine acculturative and
structural factors underlying differences in feeding.
PMID- 27866504
TI - Oxytocin Reduces Face Processing Time but Leaves Recognition Accuracy and Eye
Gaze Unaffected.
AB - OBJECTIVES: Previous studies have found that oxytocin (OXT) can improve the
recognition of emotional facial expressions; it has been proposed that this
effect is mediated by an increase in attention to the eye-region of faces.
Nevertheless, evidence in support of this claim is inconsistent, and few studies
have directly tested the effect of oxytocin on emotion recognition via altered
eye-gaze Methods: In a double-blind, within-subjects, randomized control
experiment, 40 healthy male participants received 24 IU intranasal OXT and
placebo in two identical experimental sessions separated by a 2-week interval.
Visual attention to the eye-region was assessed on both occasions while
participants completed a static facial emotion recognition task using medium
intensity facial expressions. RESULTS: Although OXT had no effect on emotion
recognition accuracy, recognition performance was improved because face
processing was faster across emotions under the influence of OXT. This effect was
marginally significant (p<.06). Consistent with a previous study using dynamic
stimuli, OXT had no effect on eye-gaze patterns when viewing static emotional
faces and this was not related to recognition accuracy or face processing time.
CONCLUSIONS: These findings suggest that OXT-induced enhanced facial emotion
recognition is not necessarily mediated by an increase in attention to the eye
region of faces, as previously assumed. We discuss several methodological issues
which may explain discrepant findings and suggest the effect of OXT on visual
attention may differ depending on task requirements. (JINS, 2017, 23, 23-33).
PMID- 27866505
TI - Ultrastructural Localization of Intracellular Calcium During Spermatogenesis of
Sterlet (Acipenser ruthenus).
AB - Calcium regulates many intracellular events such as growth and differentiation
during different stages of gamete development. The aim of this study was to
localize and quantify the intracellular distribution of calcium during different
developmental stages of spermatogenesis in sterlet, Acipenser ruthenus, using a
combined oxalate-pyroantimonate technique. The distribution of calcium was
described in spermatogonium, spermatocyte, spermatid, and spermatozoon stages. In
the spermatogonium and spermatocyte, calcium deposits were mainly localized in
the nucleus and cytoplasm. The spermatid had calcium in the nucleus, developing
acrosomal vesicle, and cytoplasm. Intracellular calcium transformed from
scattered deposits in spermatogonia and spermatocyte stages into an unbound form
in spermatid and the spermatozoon. The proportion of area covered by calcium
increased significantly (p<0.05) from early to late stages of spermatogenesis.
The largest proportion of area covered by calcium was observed in the nucleus of
the spermatozoon. In conclusion, although most of the intracellular calcium is
deposited in limited areas of the spermatogonium and spermatocyte, it is present
an unbound form in the larger area of spermatids and spermatozoa which probably
reflects changes in its physiological function and homeostasis during the process
of male gamete production in spermatogenesis.
PMID- 27866506
TI - The surprising blindness in modern psychiatry: do guidelines really guide?
PMID- 27866508
TI - New directions for psychiatric rehabilitation in the USA.
AB - American researchers have led the world in developing, evaluating, and
disseminating evidence-based psychiatric rehabilitation practices for people with
serious mental illness. Paradoxically, however, the USA lags behind most
industrialized nations in providing access to high-quality mental health and
psychiatric services. This essay examines several evidence-based practices
developed in the USA, the spread of these practices, the barriers to ensuring
availability to people who could benefit from these services, and some promising
directions for overcoming the barriers. Factors influencing the growth and
sustainment of effective client-centred practices include the availability of
adequate and stable funding, committed leadership, and the influence of vested
interests. Two strategies for promoting the spread and sustainment of well
implemented evidence-based practices are the adoption of fidelity scales and
learning communities.
PMID- 27866507
TI - Simulation and Web-based learning increases utilization of Bier block for forearm
fracture reduction in the pediatric emergency department.
AB - OBJECTIVES: Bier block (BB) is a safe and effective alternative to procedural
sedation for analgesia during forearm fracture reductions, yet remains
infrequently used in the pediatric emergency department (PED). No standardized
methods of BB training have previously been described. The objective of this
study was to determine whether a multimodal instructional course increases
comfort with BB and translates to increased use of this technique. METHODS: A
novel interdisciplinary simulation and Web-based training course was developed to
teach the use of BB for forearm fracture reduction at a tertiary PED.
Participants were surveyed pre-/post-training, and at 2 and 6 months regarding
their comfort with BB. In parallel, we prospectively assessed the clinical use of
BB for children ages 6 to 18 years requiring closed reduction of forearm
fractures during the 24-month post-course period. RESULTS: Course participation
included 26 physicians and 12 nurses. Survey response rate was 100%. Course
participation increased both comfort (10% pre-training v. 89% post-training,
p<0.001) and the willingness to use BB (51% pre-training v. 95% post-training,
p<0.001), an effect sustained at 6 months post-course (66% and 92%, respectively,
p<0.001 for both). In clinical practice, there were no BBs performed prior to
course administration. We observed a consistent and sustained increase in
clinical use among the BB-trained physicians, with 37% of all forearm reductions
performed using BB at 24 months post-course completion. CONCLUSIONS: A novel
combined simulation and Web-based training course increased comfort and
willingness to use BB and was associated with increased use of this technique for
forearm fracture reduction in the PED.
PMID- 27866509
TI - Assistive Technology and Older Adults in Disasters: Implications for Emergency
Management.
AB - This article identifies concepts, trends, and policy gaps in the availability and
service delivery of assistive technology utilized by older adults in disasters,
as well as implications for emergency management planning and shelter
administration. Definitions of types of assistive technology, as well as views of
older adults using technology as at-risk individuals for emergency management
service provision, are provided. An overview of peer-reviewed articles and gray
literature is conducted, focusing on publications from 2001 to the present in the
United States. Analytical frameworks used by emergency management organizations
as well as regulations such as the Americans with Disabilities Act and recent
court decisions on emergency shelter accessibility in disasters are reviewed.
Research on the use of assistive technology by older adults during disasters is a
neglected issue. The current and potential benefits of defining standards for
provision and use of assistive technology for older adults during disasters has
received limited recognition in emergency management planning. Older adults with
disabilities utilize assistive technology to maintain their independence and
dignity, and communities as well as emergency services managers need to become
more aware of the needs and preferences of these older adults in their planning
processes and drills as well as in service delivery during actual events.
(Disaster Med Public Health Preparedness. 2017;11:135-139).
PMID- 27866510
TI - Cancer incidence in young and middle-aged people with schizophrenia: nationwide
cohort study in Taiwan, 2000-2010.
AB - AIMS: For nearly a century, the incidence of cancer in people with schizophrenia
was lower than in the general population. In the recent decade, the relationship
between cancer and schizophrenia has become obscured. Thus, we investigated the
cancer risk among young and middle-aged patients with schizophrenia. METHODS:
Records of newly admitted patients with schizophrenia (n = 32 731) from January
2000 through December 2008 were retrieved from the Psychiatric Inpatient Medical
Claims database in Taiwan, and the first psychiatric admission of each patient
during the same period was defined as the baseline. We obtained 514 incident
cancer cases that were monitored until December 2010. Standardised incidence
ratios (SIRs) were calculated to compare the risk of cancer between those with
schizophrenia and the general population. Stratified analyses of cancer
incidences were performed by gender, site of cancers and duration since baseline
(first psychiatric admission). RESULTS: The incidence of cancer for all sites was
slightly higher than that of the general population for the period (SIR = 1.15
[95% CI 1.06-1.26], p = 0.001). Men had a significantly higher incidence of
colorectal cancer (SIR = 1.48 [95% CI 1.06-2.06], p = 0.019). Women had a higher
incidence of breast cancer (SIR = 1.47 [95% CI 1.22-1.78], p < 0.001).
Intriguingly, the risk for colorectal cancer was more pronounced 5 years after
the first psychiatric admission rather than earlier (SIR = 1.94 [1.36-2.75], p <
0.001) and so was the risk for breast cancer (SIR = 1.85 [1.38-2.48], p < 0.001).
The cancer incidence was higher in patients with schizophrenia contradicting the
belief that schizophrenia was protective of cancers. CONCLUSIONS: Our analyses
suggest that men and women with schizophrenia were more vulnerable to certain
types of cancers, which indicates the need for gender-specific cancer screening
programs. The fact that risk of colorectal cancer was more pronounced 5 years
after the first psychiatric admission could imply the impact of unhealthy
lifestyles or the possibility of delayed diagnoses.
PMID- 27866511
TI - Impaired phagocytosis of apoptotic cells causes accumulation of bone marrow
derived macrophages in aged mice.
AB - Accumulation of tissue macrophages is a significant characteristic of disease
associated chronic inflammation, and facilitates the progression of disease
pathology. However, the functional roles of these bone marrow-derived macrophages
(BMDMs) in aging are unclear. Here, we identified agedependent macrophage
accumulation in the bone marrow, showing that aging significantly increases the
number of M1 macrophages and impairs polarization of BMDMs. We found that age
related dysregulation of BMDMs is associated with abnormal overexpression of the
anti-inflammatory interleukin-10. BMDM dysregulation in aging impairs the
expression levels of pro-inflammatory cytokines and genes involved in B-cell
maturation and activation. Phagocytosis of apoptotic Jurkat cells by BMDMs was
reduced because of low expression of phagocytic receptor CD14, indicating that
increased apoptotic cells may result from defective phagocytosis of apoptotic
cells in the BM of aged mice. Therefore, CD14 may represent a promising target
for preventing BMDM dysregulation, and macrophage accumulation may provide
diagnostic and therapeutic clues. [BMB Reports 2017; 50(1): 43-48].
PMID- 27866514
TI - [Paraneoplastic Leukocytosis and Thrombocytosis as Prognostic Biomarkers in Non
small Cell Lung Cancer].
AB - BACKGROUND: Search for inexpensive laboratory markers have identified
associations between blood counts and lung cancer outcomes. In this study, we
evaluated the prognostic value of paraneoplastic leukocytosis (p-Leukocytosis)
and paraneoplastic thrombocytosis (p-Thrombocytosis) in patients with non-small
cell lung cancer (NSCLC). We also studied their relation to the expression of
commonly detected molecular markers. METHODS: We conducted a retrospective chart
review on 571 consecutive NSCLC patients over a 10 year period. Blood counts were
recorded at the time of cancer diagnosis. Kaplan-Meier survival curves were used
to compare overall survival (OS) between patients with and without p-Leukocytosis
(or) p-Thrombocytosis (p-Leuko/Thrombocytosis). Cox regression was used to
determine if leukocytosis/thrombocytosis was a predictor of OS in NSCLC. RESULTS:
Patients with p-Leukocytosis and p-Thrombocytosis had a significantly poorer
survival compared patients with normal blood counts (P<0.001). In a multivariate
survival analysis, both continued to correlate even when adjusted for histology,
gender, stage and chemotherapy (P<0.01, 0.03 respectively). Stage I and II NSCLC
with p-Leuko/Thrombocytosis did not perform poorly compared to stage I/II NSCLC
patients without paraneoplasia. Patients with the combined leukothrombocytosis
syndrome did not have worse outcomes compared to those with either paraneoplastic
syndrome alone. CONCLUSIONS: p-Leuko/Thrombocytosis is an accessible laboratory
parameter of prognostic value in NSCLC. Evidence of p-Leuko/Thrombocytosis
portends poor survival. The role of various cytokines in tumor pathobiology
provides a rationale for identifying cytokine factors responsible for the
paraneoplasia and administering anti-cytokine therapies alongside traditional
chemotherapy in an attempt to improve survival outcomes in these subset of
patients.
PMID- 27866512
TI - Age-related epigenetic regulation in the brain and its role in neuronal diseases.
AB - Accumulating evidence indicates many brain functions are mediated by epigenetic
regulation of neural genes, and their dysregulations result in neuronal
disorders. Experiences such as learning and recall, as well as physical exercise,
induce neuronal activation through epigenetic modifications and by changing the
noncoding RNA profiles. Animal models, brain samples from patients, and the
development of diverse analytical methods have broadened our understanding of
epigenetic regulation in the brain. Diverse and specific epigenetic changes are
suggested to correlate with neuronal development, learning and memory, aging and
age-related neuronal diseases. Although the results show some discrepancies, a
careful comparison of the data (including methods, regions and conditions
examined) would clarify the problems confronted in understanding epigenetic
regulation in the brain. [BMB Reports 2016; 49(12): 671-680].
PMID- 27866513
TI - [Experts Consensus on Huisheng Oral Solution for Lung Cancer ?Anticoagulation
Treatment at Perioperation Period (2016 version)].
PMID- 27866515
TI - [Outcomes and Toxicity of Concurrent Radiotherapy with Carboplatin/Paclitaxel
?Administrated Every Three Weeks in Inoperable Advanced ?Non-small Cell Lung
Cancer: ?A Retrospective Study from A Single Center].
AB - BACKGROUND: Standard care for patients with inoperable advanced non-small cell
lung cancer (NSCLC) is concurrent chemoradiotherapy. The ideal concurrent
chemotherapy regimen has not been determined. The aim of this study is to
retrospectively analyze the efficacy and safety of concurrent radiotherapy with
carboplatin/paclitaxel administrated every three weeks (PC three-week regimen) in
inoperable advanced NSCLC and compare them with the results of
cisplatin/etoposide. METHODS: The 43 patients with inoperable advanced NSCLC
receiving concurrent chemotherapy in Peking Union Medical College Hospital from
January 2012 to June 2014 were enrolled and analyzed. Of them, 15 patients
received carboplatin/paclitaxel with concurrent thoracic radiotherapy; the other
28 patients received cisplatin/etoposide. Clinical characteristic, efficacy and
toxicity data were compared in these two groups. RESULTS: For the overall
population, the objective response rate (ORR) and disease control rate (DCR) were
41.9% and 90.7% respectively. The median progression free survival (PFS) was 10.6
months (95%CI: 7.4-13.8). And the median overall survival (OS) was 19.2 months
(95%CI: 15.3-23.1). There were no significant differences in response rates (ORR:
33.3% vs 46.4%; DCR: 86.7% vs 92.9%, P=0.638), PFS (6.6 months vs 12.2 months,
P=0.389), or OS (16.1 months vs 22.1 months, P=0.555) in either group. The
adverse events were generally manageable and no treatment-related deaths
occurred. CONCLUSIONS: Compared with PE, PC three-week regimen concurrent
thoracic radiotherapy for inoperable advanced NSCLC has the similar efficacy and
acceptable toxicity profile, which can be used in clinical setting.
PMID- 27866516
TI - [GSI Quantitative Parameters: Preoperative Diagnosis of Metastasis Lymph Nodes in
Lung Cancer].
AB - BACKGROUND: Mediastinal involvement in lung cancer is an important prognostic
factor affecting survival, and accurate staging of the mediastinum lymph node
correctly identifies patients who can benefit the most from surgery. The aim of
this study is to investigate the value of dual-energy spectral computed
tomography (DEsCT) imaging in differentiating metastatic from non-metastatic
lymph nodes in lung cancer. METHODS: Forty-eight patients with non-small cell
lung cancer (NSCLC) underwent arterial (AP) and portal venous (PP) phase contrast
enhanced DEsCT imaging followed by surgical treatment. gemstone spectral imaging
(GSI) data images were reconstructed and transmitted to an offline workstation.
GSI quantitative parameters, including lymph-node size, CT value, IC, water
concentration, and spectral curve. Differences were tested for statistical
significance using the two-sample t test. ROC analysis was performed to assess
diagnostic performance. RESULTS: The mean short-axis diameter of metastatic LNs,
slope of the spectral Hounsfield unit curve (lambdaHU), normalized iodine
concentration measured during, and both AP and PP were significantly higher in
metastatic lymph node than that in benign lymph nodes. The best parameter for
detecting metastatic lymph nodes was AP lambdaHU when a threshold lambdaHU of
2.75 was used; sensitivity, specificity, and accuracy were 88.2%, 88.4%, and
87.0%, respectively. CONCLUSIONS: Quantitative assessment with gemstone spectral
imaging quantitative parameters showed higher accuracy than the qualitative
assessment of conventional CT imaging features for the preoperative diagnosis of
metastatic lymph nodes in patients with lung cancer.?.
PMID- 27866517
TI - [Impact and Effect of Preoperative Short-term Pulmonary Rehabilitation Training
on ?Lung Cancer Patients with Mild to Moderate Chronic Obstructive Pulmonary
Disease: ?A Randomized Trial].
AB - BACKGROUND: Pulmonary rehabilitation (PR) is proposed as an effective strategy to
decrease surgical morbidity. However, appropriate rehabilitation plan, initiation
time, and optimal duration of PR remain unclear. Lung cancer patients with
chronic obstructive pulmonary disease (COPD) are considered high-risk population
for postoperative pulmonary complications (PPCs) because of poor lung fitness and
cardiopulmonary endurance. This study aims to assess the impact of a one-week,
systematic and highly-intensive rehabilitation on surgical lung cancer patients
with mild to moderate COPD. METHODS: A randomized controlled trial with 48
subjects was conducted (24 patients each for the intervention and groups). The
intervention group received seven days of systematic, integrated and highly
intensive PR before surgical treatment, including: pharmacotherapy with atomizing
terbutaline, pulmicort and infusion of ambroxol; and physical rehabilitation with
respiratory training and endurance training. The control group underwent standard
preoperative care. RESULTS: For the intervention group, the postoperative length
of stay was shorter [(6.17+/-2.91) d vs (8.08+/-2.21) d; P=0.013]; likewise for
the duration of antibiotics use [(3.61+/-2.53) d vs (5.36+/-3.12) d; P=0.032]. No
significant difference was found between the groups in total in-hospital cost
[(46,455.6+/-5,080.9) Y vs (45,536.0+/-4,195.8) Y, P=0.498], medicine cost
[(7,760.3+/-2,366.0) vs (6,993.0+/-2,022.5), P=0.223], and material cost
[(21,155.5+/-10,512.1) Y vs (21,488.8+/-3,470.6) Y, P=0.883]. In the intervention
group, peak expiratory flow [(268.40+/-123.94) L/min vs (343.71+/-123.92) L/min;
P<0.001], 6-min walk distance (6-MWD) [(595.42+/-106.74) m vs (620.90+/-99.27) m;
P=0.004], and energy consumption [(59.93+/-10.61) kcal vs (61.03+/-10.47) kcal;
P=0.004] were statistically different after the seven-day exercise, compared with
those on the first day. Finally, for the intervention group the incidence of PPCs
(8.3%, 2/24 vs 20.8%, 5/24, 20.8%; P=0.416) were lower. CONCLUSIONS: The
systematic and highly-intensive pulmonary rehabilitation combining abdominal
respiration training, respiratory exercise with incentive spirometry, and aerobic
exercise could improve the cardiorespiratory endurance of lung cancer patients
with mild to moderate COPD. The proposed program may be a practicable
preoperative strategy.?.
PMID- 27866518
TI - [Expression of LncRNA AK09398 and Relationship with Prognosis in Patients with
Small Cell Lung Cancer].
AB - BACKGROUND: Small cell lung cancer (SCLC) accounts for approximately 15% of lung
cancer. The poor prognosis of SCLC patients is attributed to the early blood and
lymph node metastasis. However, the mechanism of SCLC is still unclear. Recent
studies show that LncRNA plays an important role in the development, metastasis,
and apoptosis of tumor. Thus, the present study aimed to investigate the
expression and clinical significance of LncRNA AK09398 in SCLC. METHODS:
Quantitative reverse transcription polymerase chain reaction (qRT-PCR) was used
to detect the expression of LncRNA AK09398 in 118 cases of SCLC and non-cancerous
tissues. qRT-PCR was also utilized to analyze the relationship between the
expression and clinical pathological characteristics and prognosis of SCLC.
RESULTS: The expression of LncRNA AK09398 in lung cancer tissues was (7.813+/
0.373). This value was significantly increased in paracarcinoma tissues (1.782+/
0.116) and normal lung tissues (1.209+/-0.200). The difference was statistically
significant (F=58.41, P<0.001). AK09398 expression was positively correlated with
disease stage, lymph node and distant metastasis, chemosensitivity, and survival
status (P<0.05). However, AK09398 expression was not associated with gender and
age (P>0.05). Kaplan-Meier analysis demonstrated that high expression level of
AK09398 contributed to poor overall survival (P<0.001) and progression-free
survival (P<0.001). The multivariate survival analysis also indicated that
AK09398 expression, disease stage, and distant metastasis could be independent
prognostic markers. CONCLUSIONS: LncRNA AK09398 is involved in the development of
SCLC and can be used as a molecular marker to evaluate the prognosis of SCLC.?.
PMID- 27866519
TI - [Role of Fucosylation in Cancer].
AB - Fucosylation is one of the most important glycosylation in mamal, involving
biosynthesis of blood H antigen and Lewis antigen, selectin mediated intravasion
and homing of leukocyte, host-microbe interactions, modification of signaling.
Alterations in the expression of fucosylated oligosaccharides have been observed
in several cancer, playing a role in proliferation, invasion, metastasis, immune
escape and drug-sensitiity, especially in lung cancer. Targeting the abnormality
of fucosylaion in cancer will become a new strategy. The role of fucosylation in
cancer will be reviewed in this article.
PMID- 27866520
TI - [Research Advancement on EGFR Mutation Detection of Cell-free DNA and Tumor Cell
in Peripheral Blood of Patients with Non-small Cell Lung Cancer].
AB - Non-small cell lung cancer (NSCLC) is the most common type of lung cancer.
Epideral growth factor receptor tyrosine kinase inhibitors (EGFR-TKIs) are the
most important treatments currently for advanced NSCLC patients harboring
activating EGFR gene mutations, and achieve significant clinical efficacy. T790M
mutation occurs in half of NSCLC patents with acquired EGFR-TKI resistance.
Screening for EGFR gene mutations in histological and/or circulating tumor cell
or DNA samples of NSCLC patients can identify patients who would have a response
to EGFR-TKIs or acquire resistance during the treatment. Quantitative analysis of
plasma EGFR mutation is of great importance not only in early diagnosis of
tumors, but also in curative effect evaluation and for follow-up. However, a
strict requirement is proposed on the detection technique because of low DNA
content and the fragmentation of the genes. To date, many methods have been
applied to detect cfDNA EGFR mutations, including sequencing, real-time PCR (RT
PCR), amplification refractory mutation system (ARMS), mutant-enriched PCR (ME
PCR), denaturing high-performance liquid chromatography (DHPLC), digital PCR, and
droplet digital PCR (ddPCR). However, of all the methods above, ddPCR has the
highest sensitivity, allows high throughput operation. In conclusion, the ddPCR
has a lot of future promise in clinical gene diagnosis.
PMID- 27866521
TI - [Progressions on Diagnosis and Treatment of Ground-glass Opacity].
AB - Pulmonary ground-glass opacity (GGO) has raised increasing attention of clinical
oncologists and thoracic surgeons in recent years. GGO appears as hazy increased
opacity of lung, with preservation of bronchial and vascular margins. GGO is less
opaque than consolidation, in which bronchovascular margins are obscured. In most
cases, GGOs are indolent, while in other cases, they may grow malignant. This
pattern makes it difficult to find a standard way to treat patients with GGO.
Moreover, with the improvement of technology, more and more GGOs are found in
patients' computed tomgraphy (CT) scan, making it a global problem. In the
literature, many clinicians have reported their findings on this topic from
histologic, radiologic and therapeutic perspectives. In this study, we reviewed
the development on this topic in recent 10 years. We hope our study can be
helpful for clinicians to better understand this problem, collect more data in
their clinical work and most importantly, let evidence guide our future
therapeutic choices.
PMID- 27866525
TI - [Peripheral T cell lymphoma treatment in the precision medicine era].
PMID- 27866522
TI - [Research Progress of Exosomes in Lung Cancer Diagnosis and Treatment].
AB - As the leading cause of morbidity and cancer related-death worldwide, lung cancer
has a serious threat to human health. Exosomes are nanoscale lipid membrane
vesicles derived from multivesicles, which containing active biomolecules
including proteins, lipids, nucleic acids and etc. Exosomes play important roles
in lung cancer initiation and progression by promoting the formation of tumor
microenvironment, enhancing tumor invasive and metastasis capability, leading to
immunosuppression and resistance to chemoradiotherapy, and also have the
application value in early diagnosis and treatment. This review summarizes the
research progress of exosomes in tumor initiation and progression, and its roles
in diagnosis and treatment of lung cancer.
PMID- 27866526
TI - [Decipher the 2016 revision of the World Health Organization classification of
lymphoid neoplasms].
PMID- 27866523
TI - [Progress on the Therapeutic Approaches for Malignant Tumor ?with Superior Vena
Cava Syndrome].
AB - Patients with thoracic malignant tumors often suffered from superior vena cava
syndrome (SVCS), featured by high morbidity and mortality. Traditionally treated
with palliative approaches, SVCS has been widely studied and novel therapeutic
approaches have been investigated, focusing on drug therapy, interventional
therapy, radiation therapy, surgery and proton therapy. In this manuscript, the
progress of therapeutic approaches for SVCS is summarized.
PMID- 27866524
TI - [Effect and Significance of BIM on Non-small Cell Lung Cancer].
AB - B-cell lymphoma 2 interacting mediator of cell death (BIM) plays an important
role in the progress of cell apoptosis. The lowering expression level or
functional defect of which may have an negative effect on the efficacy of
anticancer drugs and the prognosis of postoperative patients with non-small cell
lung cancer (NSCLC). This review aims to summarize the structure and function of
BIM, as well as the relationship between BIM and the therapeutic efficacy of
NSCLC.
PMID- 27866527
TI - [Association between daytime sleepiness and hypertension among patients with
obstructive sleep apnea-hypopnea syndrome].
AB - Objective: To assess the association between daytime sleepiness and hypertension
among patients with obstructive sleep apnea-hypopnea syndrome (OSAHS). Methods: A
total of 1 958 patients from Sleep Medicine Center of West China Hospital between
January 2015 and January 2016 were included in the study. All subjects underwent
one night polysomnography (PSG) and Epworth sleep scale (ESS) was estimated. The
patients were divided into non-OSAHS group[apnea-hypopnea index (AHI)<5/h]and
OSAHS group (AHI>=5/h) based on PSG, and then OSAHS group was further divided
into those with and without daytime sleepiness groups according to ESS score (the
cut point of ESS was 14). The association between blood pressure and risk of
suffering from hypertension among patients with different degree of daytime
sleepiness was explored by binary logistic regression. Results: There were 1 580
men and 378 women among the included patients, the mean age was (44.3+/-11.6)
years old and the mean body mass index (BMI) was (26.4+/-3.8) kg/m2. Among these
patients, there were 267 non-OSAHS and 1 691 OSAHS patients (1 275 without
daytime sleepiness and 416 with daytime sleepiness). The prevalence of
hypertension was higher among OSAHS patients (53.9%vs 28.8%) than non-OSAHS
group, and OSAHS patients had higher systolic blood pressure (SBP)[(126.3+/-0.4)
vs (116.3+/-4.9) mmHg], diastolic blood pressure (DBP)[(82.4+/-0.3) vs (78.1+/
3.7) mmHg]and mean arterial pressure (MAP)[(97.0+/-0.3) vs (90.9+/-3.9) mmHg]than
non-OSAHS group. And OSAHS with daytime sleepiness also had elevated DBP[(84.5+/
0.6) vs (81.7+/-0.3) mmHg]and MAP[(98.8+/-0.6) vs (96.5+/-0.3) mmHg]than those
without sleepiness (all P<0.05). After controlling for age, sex, BMI, smoking,
drinking, AHI and related sleep parameters, OSAHS combined with daytime
sleepiness increased the odds of hypertension by 23% (OR=1.23, 95% CI: 1.01-1.65)
compared to OSAHS without daytime sleepiness. Conclusion: The daytime sleepiness
is an independent risk factor of hypertension in OSAHS patients.
PMID- 27866528
TI - [Effect of non-invasive NAVA on the patients with acute exacerbation of chronic
obstructive pulmonary disease].
AB - Objective: To observe the effect of non-invasive neurally adjusted ventilatory
assist (NIV-NAVA) on patient-ventilator synchrony and effect of gas exchange in
patients with acute exacerbation of chronic obstructive pulmonary disease
compared with NIV-pressure support ventilation (PSV). Methods: This was a
prospective study of 40 patients with AECOPD given 30-min trials of NIV with NIV
PSV group (n=20) and NAVA group (n=20) in random order. Arterial blood gas
analysis (ABGs), main asynchrony events and asynchrony index were quantified.
Results: There were no significant difference between the two groups on effect of
gas exchange (P>0.05). Main asynchrony events during NIV-NAVA including
autotriggering, ineffective efforts and double triggering were less frequent than
NIV-PSV (P<0.05). The trigger delay in the NIV-NAVA group was markedly shorter
than the NIV-PSV (62.20+/-8.91 vs 112.65+/-15.10)ms (P<0.001). The
inspiratory/expiratory off-cycle delay was significantly shorter in the NIV-PSV
group than that in the NIV-NAVA group (73.00+/-18.27 vs 187.95+/-39.24)ms
(P<0.001). The occurrence of severe asynchrony (AI>10%) was also less under NAVA
(P<0.05). Conclusions: Both NIV-NAVA and NIV-PSV can improve gas exchange. As
compared with NIV-PSV, NIV-NAVA can reduce main asynchrony events, improve
patient-ventilator synchrony in patients with AECOPD.
PMID- 27866529
TI - [A Fisher discriminant model to predict the outcome of postoperative blood
pressure in primary aldosteronism].
AB - Objective: To establish a Fisher discriminant model in order to predict the
outcome of postoperative blood pressure for primary aldosteronism (PA). Methods:
A total of 83 cases from the First Affiliated Hospital of Chongqing Medical
University were enrolled and divided into two groups: cure group and not cure
group according to postoperative blood pressure. Fisher stepwise discriminant
analysis was used to establish a discriminant model, and compared with
aldosteronoma resolution score (ARS) and nomogram model by receiver operating
characteristic curve. Results: Hypertension was cured in 52 cases, and 31 cases
remained uncured. Patients in uncured group were older, and had bigger body mass
index (BMI), longer duration of hypertension, higher serum triglyceride (TG),
more types of antihypertensive drug, higher incidence of diabetes, smoking and
alcohol intake, less typical nodules on computed tomography imaging, lower
estimated glomerular filtration rate (eGFR) and high density lipoprotein
cholesterol. The discriminant model based on BMI, types of antihypertensive
drugs, typical nodules on CT, eGFR and TG was established and the cut-off value
was 0.195 9, with a sensitivity of 86.5% and a specificity of 83.9%. The area
under the curve was 0.857 (95% CI: 0.764-0.951), which was higher than that of
ARS (0.733, 95% CI: 0.619-0.847) and the nomogram model (0.735, 95% CI: 0.619
0.851). Conclusion: The Fisher discriminant model had a high value to predict the
outcome of postoperative blood pressure in PA.
PMID- 27866530
TI - [Comparison of the prognosis after hepatic resection for patients with Barcelona
Clinical Liver Cancer Stage B hepatocellular carcinoma].
AB - Objective: To compare the efficacy of hepatic resection (HR) in patients with
Barcelona Clinical Liver Cancer (BCLC) Stage B hepatocellular carcinoma (HCC) and
examine how that efficacy has changed over time in a large medical center.
Methods: A consecutive sample of 918 patients with preserved liver function and
large and/or multinodular HCC who were treated by initial HR were divided into
three groups: those with a single tumor >=5 cm in diameter (n=582), 2-3 tumors
with a maximum diameter>3 cm (n=223), or>3 tumors of any diameter (n=113).
Hospital mortality and overall survival (OS) in each group were compared for the
years 2001-2007 and 2008-2013. Results: Patients with >3 tumors showed the
highest incidence of hospital mortality of all groups (P<0.05). Kaplan-Meier
survival analysis showed that OS varied across the three groups as follows:
single tumor>2-3 tumors >3+ tumors (all P<0.05). OS rate at 5 years ranged from
24% to 41% in all three groups for the period 2001-2007, and from 35% to 46% for
the period 2008-2013. OS was significantly higher during the more recent 6-year
period in the entire patient population, those with single tumor, and those with
3+ tumors (all P<0.05). However, in patients with 2-3 tumors, OS was only
slightly higher during the more recent 6-year period (P=0.084). Conclusions:
Prognosis of three types of HCC was different. Patients with >3 tumors show the
highest hospital mortality and lowest OS after HR. OS has been improving for all
three types of HCC at our medical center as a consequence of improvements in
surgical technique and perioperative management.
PMID- 27866531
TI - [Effect of 10-Hydroxycamptotbecine on the proliferation of human Fibroblast-like
Synoviocyte with Rheumatoid Arthritis].
AB - Objective: To study the effect of 10-Hydroxycamptothecine (10-HCPT) on the
proliferation and apoptosis of human Fibroblast-like Synoviocyte (FLS) with
Rheumatoid Arthritis (RA). Methods: Different concentrations of 10-HCPT and
Methotrexate (MTX) were used to treat FLS cells in RA and Osteoarthritis (OA) for
different time (24, 48, and 72 hours), and FLS cells without 10-HCPT and MTX were
served as the control group. CCK-8 assay were applied to determine the
proliferation of FLS cells, Annexin-V APC/7-AAD staining were used to detect the
apoptosis of FLS cells. Results: The survival rate of FLS cells were (66.68+/
0.48) %, 48 h; (60.09+/-0.95) %, 72 h and (44.05+/-1.29) %, 48 h; (30.63+/-1.79)
%, 72 h, when the concentrations were 1.0 MUg/ml and 10.0 MUg/ml in 10-HCPT
group. Compared with the control group, the survival rate of FLS cells in RA and
OA both declined in treatment groups with different concentrations of 10-HCPT and
MTX. With the extension of time, the survival rate of FLS cells declined
significantly. Compared with the MTX group, there were no obvious differences in
10-HCPT group with 1.0 MUg/ml. But the concentration of 10.0 MUg/ml of 10-HCPT
group showed obviously difference in the proliferation of FLS cells. The
apoptosis rate of FLS cells were (66.68+/-0.48) %, 48 h; (60.09+/-0.95) %, 72 h
and (44.05+/-1.29) %, 48 h; (30.63+/-1.79) %, 72 h, when the concentrations were
1.0 MUg/ml and 10.0 MUg/ml in 10-HCPT group. Compared with the control group, two
concentrations of 10-HCPT and MTX induced higher apoptosis in FLS cells with RA
and OA; with the extension of time (72 h), the rate of apoptosis was
significantly enhanced (P<0.05). When FLS cells with RA were treated for 48 h,
apoptosis of 10-HCPT group was higher than that of MTX group. The 10.0 MUg/ml of
10-HCPT had the highest effect. Conclusion: Compared with MTX, 10-HCPT had the
higher efficacy of inhibiting proliferation and promoting apoptosis in FLS cells.
PMID- 27866532
TI - [Evaluation of disease free survival after radical resection for primary duodenal
adenocarcinoma].
AB - Objective: To investigate the risk factors associated with the disease free
survival (DFS) for primary duodenal adenocarcinoma patients undergoing radical
resection. Methods: The clinicopathological data of 101 primary duodenal
adenocarcinoma patients who underwent radical resection from January 2001 to
October 2014 were retrospectively reviewed. Using SPSS 13.0 software, the
survival curve was drawn by Kaplan-Meier method, and the survival rates were
analyzed by Log-rank test.COX regression model was used to identify independent
risk factors. Results: Among 101 patients, the main clinical manifestation were
upper abdomen discomfort, abdominal pain, jaundice, gastrointestinal obstruction,
hemorrhage, emaciation and so on. A total of 87 patients had the tumor located at
the descending part of the duodenum. All the 101 patients underwent radical
resection, and 85 patients received pancreatoduodenectomy while 16 patients
underwent segmental resection. The median disease free survival time was 26
months and the postoperative 1, 3, 5 year DFS rate were 79.7%, 60.3% and 53.6%,
respectively. The univariate analyses identified elevated preoperative CEA and
CA19-9 level, T stage, lymph node state, vascular invasion and perineural
invasion predicting a worse DFS(P<0.05). On multivariate analysis, positive lymph
node state was an independent risk factor for DFS (RR=5.394, 95% CI: 1.624
17.913). Conclusion: Radical resection is the best therapeutic method for primary
duodenal adenocarcinoma; the positive lymph node state is the independent risk
factor affecting the disease free survival of patients after radical resection.
PMID- 27866533
TI - [LB100 reverses the acquired resistance to gefitinib in lung adenocarcinoma cells
with EGFR mutation].
AB - Objective: To investigate the possibility of the Protein Phosphatase 2A (PP2A)
inhibitor, LB100, in reversing acquired resistance to gefitinib in lung
adenocarcinoma with epidermal growth factor receptor (EGFR) gene mutation.
Methods: Cell line NCI-H1975 and established primary culture cell line 44-1 with
gefitinib resistance were sequenced to determine the mutation type of EGFR gene.
Cells were treated with gefitinib alone or combined with LB100 to determine the
half maximal inhibitory concentration (IC50), and sensitivity of 44-1 and NCI
1975 to gefitinib alone or combined with LB100 was compared. The volume of NCI
H1975 xenografts with different drug treatments was observed to determine the
efficiency of gefitinib with or without LB100 in tumor growth inhibition.
Results: Both 44-1 and NCI-1975 cells had double EGFR mutation (sensitive L858R
mutation and resistant T790M mutation). Both cells showed significant gefitinib
resistance (IC50: 23.0 MUmol/L in 44-1, 16.7 MUmol/L in NCI-1975). When combined
with LB100, IC50 of gefitinib decreased to 6.9 MUmol/L in 44-1 cell and decreased
to 3.4 MUmol/L in NCI-H1975 cells. In NCI-1975 xenografts experiments, LB100
enhanced the ability of gefitinib in tumor growth inhibition (P<0.05).
Conclusion: LB100 reverses acquired resistance to gefitinib in lung
adenocarcinoma cell lines.
PMID- 27866535
TI - [Regulatory analysis of hypoxia on innate immunity of human corneal epithelium].
AB - Objective: To investigate the role of hypoxia on the regulation of innate
immunity of human corneal epithelium. Methods: Telomerase-immortalized human
epithelial cells (THCEs) were incubated under normoxia (21% O2) or hypoxic (1%
O2) conditions respectively. After 6, 12, 24, 48 h culture, the mRNA and protein
levels of toll like receptor 4 (TLR4) were measured by real-time polymerase chain
reaction (RT-PCR) and Western blot analysis. After 24 h culture, THCEs of each
group were challenged respectively with TLR4 ligand lipopolysaccharide (LPS) (1
MUg/ml) for 6 h. RT-PCR was used to assess the mRNA level of myeloid
differentiation factor 88 (MyD88), interleukin(IL)6, IL-8 and tumor necrosis
factor alpha (TNF-alpha). Western blot was used to examine the protein level of
inhibitor of nuclear factor kappa-B alpha (IkappaBalpha) and phosphorylated
IkappaBalpha (p-IkappaBalpha). Enzyme-linked immunosorbent assay (ELISA) was used
to detect the secretion of the inflammatory cytokines IL-6, IL-8 and TNF-alpha.
Results: The results of RT-PCR and Western blot analysis showed that the
expression of TLR4 downregulated 90% and 55% respectively after hypoxic exposure
for 48 h. Hypoxia also inhibited LPS-induced secretion of IL-6, IL-8, TNF-alpha,
expression of MyD88 and activation of NF-kappaB. The mRNA level of MyD88 was
diminished 63%, and the protein expression of p-IkappaBalpha was also lowered.
Meanwhile, the secretions of IL-6, IL-8 and TNF-alpha under hypoxia were reduced
(31%, 55% and 50% respectively). Conclusion: Hypoxia attenuated immune and
inflammatory response of the cornea epithelium by suppressing TLR4 signaling, and
could enhance cell susceptibility to microorganism infection.
PMID- 27866534
TI - [Dihydrotestosterone inhibits foam cell formation via a lectin-like ox-low
density lipoprotein receptor mediated mechanism in J774.1 cell line].
AB - Objective: To investigate the effect of dihydrotestosterone (DHT) on lectin-like
ox- low-density lipoprotein (LDL) receptor(LOX-1)expression and foam cell
formation in the female macrophage cell line J774.1. Methods: In cultured J774.1
cells, after pretreated with DHT at concentrations of 1*10-9 mol/L and 1*10-8
mol/L, ox-LDL-induced LOX-1 expression and foam cell formation were investigated
by quantitative real-time PCR, Western blotting, and oil-red O staining. Results:
DHT at concentrations of 1*10-9 mol/L and 1*10-8 mol/L inhibited ox-LDL-induced
LOX-1 mRNA (2.81+/-0.46 and 2.29+/-0.21 vs 4.71+/-0.31, both P<0.01) and protein
expression (1.35+/-0.06 and 1.09+/-0.04 vs 1.75+/-0.11, both P<0.05). The effect
was partly reversed by the androgen receptor (AR) blocker flutamide (87.6%,
P=0.004). Oil-red O staining also revealed that DHT at concentrations of 1*10-9
mol/L and 1*10-8 mol/L suppressed ox-LDL-induced foam cell formation as
quantified by the number of foam cells per high-power field (HPF) (36.0+/-3.0 and
29.1+/-1.3 vs 45.9+/-3.7, both P<0.05) and by the area of oil-red O stained
particles per HPF (7 983+/-1 035 and 4 060+/-390 vs 14 750+/-2 489, both P<0.05).
Conclusion: DHT at concentrations of 1*10-9 mol/L and 1*10-8 mol/L decreases LOX
1 expression and foam cell formation via AR.
PMID- 27866536
TI - [In vivo and in vitro experimental studies of remote digital control endovascular
robotic system in interventional angiography].
AB - Objective: To investigate the feasibility of the remote control endovascular
robotic system in interventional angiography. Method: The endovascular robotic
system HeifetzTM and the matched steerable catheter MirageTM were used to
cannulate all the target vessels in the vascular model and cerebral arteries
originated from the aorta of Bama mini-pigs under fluoroscopic guidance. The
technical success rate, cannulation time and exposure dose were all collected and
compared with the result of using conventional techniques. Result: All the target
vessels were successfully cannulated.The average cannulation time for the
steerable catheter into left subclavian artery, left common carotid artery,
brachiocephalic artery, right common carotid artery and right subclavian artery
in the vascular model was (21.3+/-2.8)s, (28.8+/-5.2)s, (17.7+/-2.6)s, (31.5+/
5.1)s and (24.2+/-3.7) s, respectively, while the average exposure dose was
(9.3+/-1.2)mGy, (12.4+/-2.2)mGy, (7.4+/-1.2)mGy, (14.2+/-2.5)mGy and( 10.4+/
1.9)mGy, respectively. The endovascular robotic system completed the cerebral
angiography in Bama mini-pigs successfully. The average cannulation time for left
innominate artery, right innominate artery, right subclavian artery, common
internal carotid trunk, left internal carotid artery and right internal carotid
artery was (41.5+/-6.8)s, (29.1+/-3.7)s, (40.7+/-5.5)s, (40.1+/-5.8)s, (59.6+/
9.0)s and( 60.3+/-10.1)s, respectively, while the average exposure dose was
(40.6+/-6.5)mGy, (36.0+/-5.2)mGy, (39.8+/-6.1)mGy, (43.9+/-6.7)mGy, (51.0+/
7.4)mGy and( 50.1+/-7.8)mGy, respectively. There was no significant difference
between robotic and conventional group in success rate, cannulation time and
exposure dose. Conclusion: The remote digital control endovascular robotic system
could cannulate the target vessel in both vascular model and complete the
cerebral angiography in Bama mini-pigs, which shows the feasibility of using this
robotic system in endovascular intervention procedures under remote control.
PMID- 27866537
TI - [Asbestos related diseases: a challenge for occupational health].
PMID- 27866538
TI - [Respiratory protection provided by N95 filtering facepiece respirators and
disposable medicine masks against airborne bacteria in different working
environments].
AB - Objective: To determine the relative protection provided by N95 filtering
facepiece respirators (FFR) and disposable medicine masks (DMM) against airborne
bacteria in different working environments. Methods: The field study was
performed with 12 subjects wearing an N95 filtering facepiece respirator and a
disposable medicine mask for 1h, respectively. Airborne microorganisms and
bacteria samples from both the external (Ce) and the inner (Ci) surface of N95
FFR and DMM are collected. The Ce: Ci ratio was used to calculate the bacterial
filtering proportion. Bacterial filtering efficiency (BFE) was measured using the
JWL-2A Sampler. Results: The bacterial filtration efficiency of N95 FFR and DMM
were 99.93% and 91.53%, respectively. There was significant difference between
the two materials (P<0.05). In summer, airborne bacterial concentration was
higher than that in winter. In the same season, airborne bacterial concentration
in hospital environment is higher than that in campus. The higher the airborne
bacterial concentration, the greater bacterial contaminated on the external
surface of the used masks. To all masks used in different working environment,
bacterial contamination on the external surface was much greater than the inner
surface (P<0.01). Compared to N95 FFR, DMM had slighter bacterial contamination
on the external surface and greater bacterial contamination on the inner surface.
However, this difference was not significant (P>0.05). The bacterial filtering
proportion of N95 FFR is higher than DMM. These differences were significant in
samples tested in summer (P<0.05) , but were not significant in samples tested in
winter (P>0.05). Conclusion: Bacterial filtering efficiency of N95 respirator is
superior to medicine mask, and this advantage become more obvious in high
airborne bacterial concentration levels.
PMID- 27866539
TI - [The relationships of polychlorinated dibenzo-p-dioxins and dibenzofurans
(PCDD/Fs) inhalational dose and oxidative damage in foundry workers].
AB - Objective: To investigate the potential dose-response relationship between
PCDD/Fs inhalational exposure and oxidative damage to DNA and lipid in foundry
workers. Methods: The participants were divided into three groups, including
exposure group, administrator group and control group. The concentrations of
polychlorinated dibenzo-p-dioxins and dibenzofurans (PCDD/Fs) in air environment
were determined by isotope dilution high resolution capillary column gas
chromatography/high resolution mass spectrometry (HRGC-HRMS). The inhalational
dose of PCDD/Fs were evaluated by Houmen's model. Urinary 8-OHdG was analyzed by
high performance liquid chromatography-electrochemical detector (HPLC-ECD).
Urinary 8-iso-PGF2alpha were determined by ELISA. Results: The PCDD/Fs
environmental concentrations of three groups (pg.TEQ/m) were 0.21+/-0.08, 0.03+/
0.01, 0.0012+/-0.0007 (P<0.05) , respectively. The inhalational dose of exposure
group (92.54 (32.90~264.49) fg.TEQ/kg.d) was 5.4 folds higher than the
administrator group, and was 201 times more than control group. The levels of
urinary 8-OHdG (nmol/L crea) of three groups were 3.25 (1.07~15.36) , 2.72
(0.75~16.07) , 2.17 (0.38~17.07) (P<0.05) and the levels of urinary 8-iso
PGF2alpha (nmol/L crea) were 19.11 (9.33~76.50) , 20.95 (8.40~79.31) , 11.48
(4.85~37.80) (P<0.05) , respectively. The multiple linear regression analysis
revealed that there were significantly increased trend for levels of urinary 8
OHdG and 8-iso-PGF2alpha as PCDD/Fs exposure levels increased, after adjustment
for age, sex, smoking statue, alcohol use and BMI (P<0.01). Conclusion: There was
a dose-response relationship between PCDD/Fs inhalational exposure and oxidative
damage in foundry workers.
PMID- 27866541
TI - [Influencing factors for postoperative survival of patients with stage III
silicosis treated by lung transplantation].
AB - Objective: To investigate the clinical effect, postoperative complications, and
causes of death in the treatment of stage III silicosis with lung transplantation
and the influencing factors for survival. Methods: A retrospective analysis was
performed for the clinical data of 32 patients with stage III silicosis who
underwent lung transplantation in our hospital from September 2002 to September
2015. The survival, causes of death, and postoperative complications were
analyzed. The Kaplan-Meier method was used to plot survival curves, the log-rank
test was used to compare the influence of each factor on survival rates, and the
multivariate Cox proportional hazards regression model was used to evaluate the
influence of each factor on survival. Results: All the patients underwent
successful lung transplantation. The 3-month and 1-, 3-, and 5-year postoperative
cumulative survival rates were 90.6%, 80.8%, 76.7%, and 76.7%, respectively.
Eight patients died during the postoperative follow-up, among whom 1 died of
multiple organ failure, 3 died of severe infection, 2 died of sudden cardiac
death, 1 died of renal failure, and 1 died of bronchiolitis obliterans. The major
postoperative complications included primary graft dysfunction (PGD) in 10
patients, severe infection in 7 patients, acute rejection reaction in 3 patients,
bronchiolitis obliterans in 5 patients, bleeding in 5 patients, anastomotic
complication in 2 patients, and renal dysfunction in 3 patients. The Kaplan-Meier
survival analysis showed that sex, postoperative PGD, postoperative infection,
massive intraoperative blood loss, preoperative pulmonary arterial hypertension
were influencing factors for postoperative survival rates (P<0.05). The
multivariate Cox regression model showed that male sex was the protective factor
(P<0.05) and postoperative PGD and massive intraoperative blood loss were
independent risk factors for death after transplantation (P<0.05). Conclusion:
Lung transplantation is a method for the treatment of silicosis. Postoperative
PGD and massive intraoperative blood loss are independent risk factors for death
after transplantation. Survival rates are affected by postoperative PGD,
infection, massive intraoperative blood loss, and preoperative pulmonary arterial
hypertension.
PMID- 27866542
TI - [Analyse coal workers' pneumoconiosis deaths reported in Beijing since 1997 to
2014].
PMID- 27866540
TI - [The study of the eosinophil CD34+ progenitor cells differentiation mechanism of
model rats with occupational asthma and the intervention of warm and tonifying
kidney yang decoction].
AB - Objective: To investigate the mechanism of CD34+ progenitor cell differentiation
in rat by observing the change relations between the eosinophils (EOS) and the
content of Eotaxin and IL-5 in blood and the CD34+/CCR3+, CD34+/IL-5Ralpha+ in
bone marrow after occupational asthma (OA) model rats are simulated, and to
observe the effect of WTKYD Trraitional Chinese Medicine intervention. Methods: A
total of 40 healthy male SD model rats (200~250 g weight) were randomly divided
into model contrast Group, prednisone acetate intervention Group, WTKYD+1/2
prednisone acetate intervention Group and WTKYD intervention Group, 10 in each
group, and set a Group for blank contrast. Give them saline (20 ml/kg) ,
prednisone acetate (8.22 mg/kg) , WTKYD (20g/kg) +1/2 prednisone acetate (4.11
mg/kg) and WTKYD (20 g/kg) intervention respectively. By means of cell count,
immunohistochemical, ELISA, flow cytometry technique, situ hybridization and so
on, to observe EOS and the expression of Eotaxin in lung tissue, the EOS in
peripheral blood, the content of Eotaxin and IL-5 in blood as well as the
expression of CD34+/CCR3+ and CD34+/IL-5Ra+ in bone marrow respectively. Results:
The number of EOS, the content of Eotaxin and IL-5, the expression of CD34+/CCR3+
and CD34+/IL-5Ra+ in Model Contrast Group were higher in Blank Contrast Group,
the difference was statistically significant (P<0.01) , while they were lower in
medical intervention Groups when comparing to Model Contrast Group, the
difference was statistically significant (P<0.01 or P<0.05) , and the above items
in WTKYD+1/2 Prednisone Acetate Intervention Group were even lower than in
Prednisone Acetate Intervention Group and WTKYD Intervention Group, the
difference was statistically significant (P<0.05). EOS in lung tissue is highly
positive related to the content of Eotaxin and IL-5 in peripheral blood as well
as the expression of CD34+/CCR3 and CD34+/IL-5Ralpha in bone marrow
(0.9666,0.9829,0.9142, 0.8874). Conclusion: The increase of internal EOS in lung
tissue is related to the up-regulated expression of CD34+/CCR3+ and CD34+/IL-5Ra+
in bone marrow after antigens in Occupational Asthma model rats are stimulated.
Through down-regulating it's expression to restrain the differentiation of CD34+
progenitor cells towards EOS, meanwhile, the collaboration of WTKYD and
prednisone acetate possess a certain synergistic action.
PMID- 27866543
TI - [Influence of coke oven emissions on workers' blood pressure and
electrocardiographic findings].
AB - Objective: To investigate the influence of coke oven emissions on workers' blood
pressure and electrocardiographic findings, and to provide a basis for the
prevention and treatment of cardiovascular diseases. Methods: The concentration
of coke oven emissions at the bottom, side, and top of coke ovens was determined
in a coking plant. A total of 406 coke oven workers were enrolled as exposure
group and 201 office staff members were enrolled as control group. Blood pressure
and electrocardiographic findings were compared between the two groups, and the
multivariate logistic regression analysis was performed to analyze the
influencing factors for hypertension and abnormal electrocardiographic findings.
Results: The concentration of coke oven emissions was the highest at the top of
coke ovens, followed by the side and bottom of coke ovens, and there was a
significant difference between the exposure group and the control group (P<0.01).
The exposure group had significantly higher detection rates of hypertension,
abnormal electrocardiographic findings, and abnormal chest X-ray findings than
the control group (P<0.05). The logistic regression analysis showed that high
concentration of coke oven emission and age were risk factors for hypertension
and abnormal electrocardiographic findings (P<0.05). The workers exposed to high
concentration coke oven emissions were more likely to experience hypertension and
abnormal electrocardiographic findings than those exposed to low-concentration
coke oven emissions (OR=1.7 and 1.9). Conclusion: Besides lung injury, coke oven
emissions also have adverse effects on the cardiovascular system. Therefore, more
effective measures are needed to protect the health of coke oven workers.
PMID- 27866544
TI - [Effects of chronic exposure to monochloroacetic acid on the lung function and
lymphocyte subsets in occupational exposed workers].
AB - Objective: To investigate the effects of chronic exposure to monochloroacetic
acid on the lung function and whole blood counts in occupational exposed workers,
and provide new markers for occupational health surveillance. Methods: We
conducted a cross-sectional molecular epidemiology study of 121 workers who were
occupationally exposed to monochloroacetic acid and 69 unexposed workers
frequency-matched by age and smoking status from the same geographic region. The
lung function was measured by portable lung function instrument, and the
lymphocyte subsets were measured by flow cytometry. Linear regression was used to
test for differences in the levels of each marker between exposed and control
workers. Results: FEV1.0/FVC was significantly decreased in both male and female
workers exposed to monochloroacetic acid compared to unexposed workers (P<0.01)
after adjusting for potential confounders, which were highly consistent when
stratified by smoking status. Among male workers, monochloroacetic acid exposure
was associated with significant decrease in the levels of CD8+ T cells (P<0.05)
and monocytes (P<0.05) , and these statistically significant differences were
observed between exposure and control workers only among smokers, not among non
smokers. However, there were no significant differences in the levels of whole
blood cells and lymphocyte subsets between two groups among female workers.
Conclusion: The chronic monochloroacetic acid exposure was associated with
pulmonary dysfunction and immunosuppression, which mainly occurred among male
workers and smokers.
PMID- 27866545
TI - [Value of low-dose multi-slice spiral CT chest scan in diagnosis of coal workers'
pneumoconiosis].
AB - Objective: To investigate the value of low-dose multi-slice CT (MSCT) chest scan
in the diagnosis of coal workers' pneumoconiosis. Methods: A total of 90 patients
with a confirmed diagnosis of coal workers' pneumoconiosis were enrolled, and
under the conditions of fixed tube voltage, pitch, and slice thickness, they
underwent CT scan with a normal dose (150 mA) and a low dose (30-50 mA). The
quality of images obtained from two scans was compared, and the imaging findings,
opacity profusion, stage, and radiation doses were also compared. Results:
Compared with the normal-dose scan, low-dose scan increased the image noise, and
the images obtained from scans with doses of 30, 40, and 50 mA did not show
significant reductions in signal-to-noise ratio or contrast-to-noise ratio
(P>0.05). There was no significant difference in the percentage of image quality
between low-dose and normal-dose scans (P>0.05). There were no significant
differences in the percentage of various imaging findings, opacity profusion, or
percentage of different stages between low-dose (30, 40, and 50 mA) and normal
dose (150 mA) scans (P>0.05). Conclusion: There are no significant differences
between low-dose MSCT chest scan and normal-dose CT in image quality, imaging
findings of coal workers' pneumoconiosis, opacity profusion, and stage.
Meanwhile, low-dose MSCT chest scan greatly reduces the radiation dose and can be
used to assist the diagnosis and follow-up reexamination of coal workers'
pneumoconiosis and cover the shortage of high-kilovoltage chest X-ray.
PMID- 27866546
TI - [Application of principal component analysis in comprehensive indicator screening
for pneumoconiosis in different regions].
AB - Objective: To investigate the application of principal component analysis in
comprehensive indicator screening for pneumoconiosis in different regions.
Methods: A principal component analysis was performed for the data of 11 factors
associated with the prediction of pneumoconiosis hazard and collected in the
investigation on occupational health status conducted in 172 counties (districts)
in Hebei, China. The degree of pneumoconiosis hazard in different regions was
obtained and intuitively presented by GIS. Results: The eigenvalues of 5
principal components of pneumoconiosis were 4.103, 2.341, 0.981, 0.943, and
0.726, respectively, and the contribution values were 37.299%, 21.286%, 8.919%,
8.572%, and 6.596%, respectively. According to the comprehensive value of
principal components, GIS Natural Breaks was used to divide the degree of
pneumoconiosis hazard in 172 counties (districts) in Hebei into mild, moderate,
and severe grades. Of all the counties, 46 had severe pneumoconiosis hazard, 69
had moderate pneumoconiosis hazard, and 57 had mild pneumoconiosis hazard, and
the ranges of the score of principal components were 0.30-1.15, -0.24 to 0.27,
and -0.69 to -0.25, respectively. Conclusion: Principal component analysis can
optimize the comprehensive indicators for the evaluation of regional
pneumoconiosis. The comprehensive score of principal components can quantify and
intuitively show the degree of pneumoconiosis hazard in different regions.
Tangshan, Chengde, Shijiazhuang, and Handan have the most severe pneumoconiosis
hazard.
PMID- 27866547
TI - [Prevalence of pneumoconiosis in a coal enterprise from 2002 to 2013].
AB - Objective: To investigate the prevalence of pneumoconiosis in a coal enterprise,
and to provide a basis for the prevention and treatment of pneumoconiosis.
Methods: The workers' occupational health examination data were collected, and a
cross-sectional study was performed to analyze the prevalence of pneumoconiosis.
Results: A total of 62 976 person times of occupational health examination were
performed for the workers exposed to dust in this enterprise from 2002 to 2013.
There were 8 445 cases of pneumoconiosis diagnosed in total, and the mean
detection rate was 13.41%. Of all patients with pneumoconiosis, 3 262 died. The
mean detection rate was 8.98% among in-service workers and 19.64% among retired
workers. The mean age of onset was (52.6+/-11.3) years, and the mean working age
of onset was (18.4+/-10.1) years. Conclusion: The detection rate of
pneumoconiosis is high in the retired workers in this enterprise, and medical
follow-up for retired workers and those who have left their jobs should be taken
seriously.
PMID- 27866548
TI - [Effect of heme oxygenase-1 transduced by cell penetrating peptide PEP-1 on renal
injury in rats with acute paraquat poisoning].
AB - Objective: To study the effects of heme oxygenase-1 transduced by cell
penetrating peptide PEP-1 on renal injury in acute paraquat-induced rats.
Methods: The fusion protein PEP-1/HO-1 was manufactured by genetic engineering
methods. One hundred and twenty-six healthy adult Sprague-Dawley (SD) rats (63
male, 63 female) were randomly divided into three groups: (1) Control group: 42
rats, (2) Poisoned group: 42 rats, (3) PEP-1/HO-1 intervention group: 42 rats.
The rats in Poisoned group and intervention group were treated intraperitoneally
with paraquat (25 mg/kg) deliquated with normal saline. Control group rats were
treated with the same way of normal saline as the others. Intervention group rats
were injected 1 mg of the fusion protein PEP-1/HO-1 into the left iliac vein at
30 minutes before the administration of the paraquat. After abdominal dissection
with ether anaesthesia, six rats respectively from each of three groups were
taken tissue samples from kidney at 1 st,6 th,12 th,24 th,36 th,48 th,and 72 nd
hour respectively. Tissue Superoxide Dismutase (SOD) activity and Malondialdehyde
(MDA) content were measured, and renal tissue was quickly remained to observe the
expression of Heme Oxygenase-1 (HO-1) by the method of immunohistochemistry.
Blood samples were collected from the abdominal aorta for determination of Blood
Urea Nitrogen (BUN) and Creatinine (Cr) concentrations in plasma. Results: To
prepare high purity fusion protein PEP-1/HO-1 Successfully. The levels of the
plasma Bun and Cr in Poisoned and intervention group were significantly higher
than that in Control group in the six to seventy-two hours (P<0.01) ; Whereas the
increases of Bun and Cr were markedly intibited in PEP-1/HO-1 intervention group,
in which, the levels of Bun and Cr in the six to seventy-two hours were
significantly lower than Poisoned group (P<0.05). Compared with control group,
the levels of MDA in poisoned groups were increased in the one to forty-eight
hours and the levels of it in groups PEP-1/HO-1 in the one to thirty-six (P<0.01
or P<0.05). The T-SOD activity of the poisoned group was decreased in the one to
thirty-six hours than that of the control group (P<0.05). Compared with poisoned
group, the T-SOD activity at various points in time was increased in group PEP
1/HO-1 (P<0.01). In control group, there was only very weak expressions of HO-1
in the normal renal tissue. In poisoned and intervention group, the expressions
of HO-1 were significantly higher than that in control group in all time
(P<0.01). The HO-1 expressions of PEP-1/HO-1 group in all time was significantly
higher than that in poisoned group (P<0.01 or P<0.05). Conclusion: The HO-1
protein Can be successfully transduced into renal tissue by cell penetrating
peptide PEP-1 and the transduced HO-1 protein reduces renal injury of the acute
paraquat-induced rats by inhibiting lipid peroxidation response.
PMID- 27866549
TI - [Characteristics of lung function in patients with asbestosis of different
stages].
AB - Objective: To investigate the characteristics of lung function in the patients
with asbestosis of different stages, and analyze the correlations between the
pulmonary function values and imaging score of chest X-ray. Methods: A cohort of
newly diagnosed 249 asbestosis patients over a period of eight years in a single
center were evaluated. Clinical data were collected from clinical charts.
Radiographs were reviewed by the experts blinded to clinical data. The asbestosis
patients were classified into I to III stages by chest X-ray according to the
guideline. The correlations between the pulmonary function values and imaging
score of chest X-ray were analyzed. Results: The prevalence of stage I to III in
this asbestosis cohort was 73.5%, 19.3% and 7.2% respectively. With the stages
increasing, the patients' forced vital capacity (FVC) , total lung capacity (TLC)
, total carbon monoxide diffusion capacity (DLCO) predicted values were all
reduced showing the restrictive ventilation impairment and/or gas exchange
barrier. The obstruction of the small respiratory tracts was detected in the
asbestosis of all the different stages. According to the small shadow density of
each lung area, chest small shadow density score had a significant negative
correlation with FVC, TLC or DLCO predicted values respectively (all P<0.01).
Conclusion: The patients with asbestosis of different stages had varying degrees
of gas exchange impairment, small airway dysfunction with or without ventilation
dysfunction. The decreasing of DLCO was earlier than lung volume change. Chest X
ray image score paralleled the decline of lung function values, reflecting the
severity of the disease.
PMID- 27866550
TI - [A case of mediastinal emphysema following gastric lavage for oral doxepin
poisoning].
PMID- 27866551
TI - [A case of respiatory failue caused by inalation of dichlorvos and chlorpyrifos
poisoning].
PMID- 27866552
TI - [Silicosis with tracheobronchopathia osteochondroplastica: one case report].
PMID- 27866553
TI - [Clinical analysis of five cases of acute naphthalene poisoning].
PMID- 27866554
TI - [Hemoperfusion for the treatment of toxic hepatitis caused by mushroompoisoning:
a report of 3 cases].
PMID- 27866555
TI - [Two cases of acute poisoning of paraquat with dursban and paraquat with
bromadiolone].
PMID- 27866556
TI - [Clinical analysis of 5 patients caused by acute inhalation of phosphoric acid
gas poisoning].
PMID- 27866557
TI - [52 acute toxic hepatopathy cases induced by tetrachloromethane combined organic
solvent].
PMID- 27866558
TI - [Analysis of the reasons for the change in the diagnosis of coal
workerspneumoconiosis].
PMID- 27866559
TI - [The founding analysis of national natural science foundation in the field of
occupational and environmental health].
PMID- 27866560
TI - [Nonmalignant diseases related to asbestos: a clinical update].
PMID- 27866561
TI - [Idiopathic pulmonary fibrosis: an update of pharmacological therapy].
PMID- 27866563
TI - [Recent advance of cytokines in the pathogenesis of lung injury induced by
paraquat poisoning].
PMID- 27866562
TI - [The association between gene polymorphisms and genetic susceptibility of the
pathogenesis of pneumoconiosis].
PMID- 27866564
TI - Home, Lifestyle and the Burden of Disease.
PMID- 27866565
TI - Regional Differences in the Prevalence of Cardiovascular Disease.
AB - BACKGROUND: Cardiovascular disease continues to be the single most common cause
of death and to account for the largest single portion of treatment costs in
Germany. Reliable data on regional differences in the frequency of cardio -
vascular disease are important for the planning of targeted care structures and
preventive measures. METHODS: Pooled data from the German Health Update (GEDA), a
nationwide telephone health survey conducted in 2009, 2010 and 2012 (n = 62 214)
were used to estimate the lifetime prevalence of major cardiovascular disease
(self-reported medical diagnosis of myocardial infarction, other coronary heart
disease, stroke, or congestive heart failure) in each of the German federal
states. The influence of sociodemographic factors on regional prevalence
differences was examined in adjusted logistic regression analyses. Prevalences
were compared with mortality rates from cardiovascular disease that were obtained
from cause-of-death statistics. RESULTS: The lifetime prevalence of
cardiovascular disease in Germany ranged from 10.0% in Baden-Wrttemberg to 15.8%
in Saxony-Anhalt. After adjustment for age, sex, socioeconomic status, and size
of the communities of residence, nine of the other 15 states had significantly
higher prevalences than Baden-Wrttemberg, with odds ratios ranging from 1.26
(Hesse) to 1.55 (Saxony-Anhalt). Four of the five states that previously
constituted the German Democratic Republic (East Germany) had above-average
figures for prevalence and mortality. CONCLUSION: There are relevant differences
among the German federal states in the lifetime prevalence of major
cardiovascular disease, which are only partly accounted for by differences in age
and sex distribution, socioeconomic status, and community size.
PMID- 27866567
TI - Large Gaps in Care.
PMID- 27866566
TI - Time Trends in Cardiometabolic Risk Factors in Adults.
AB - BACKGROUND: Data from three representative health examination surveys in Germany
were analyzed to examine secular trends in the prevalence and magnitude of
cardiometabolic risk factors. METHODS: The target variables were the following
cardiometabolic risk factors: lack of exercise, smoking, obesity, systolic blood
pressure, total cholesterol, serum glucose, self-reported high blood pressure,
hyperlipidemia, and diabetes, and the use of antihypertensive, cholesterol
lowering, and antidiabetic drugs. 9347 data sets from men and 10 068 from women
were analyzed. The calculated means and prevalences were standardized to the age
structure of the German population as of 31 December 2010 and compared across the
three time periods of the surveys: 1990-1992, 1997-1999, and 2008-11. RESULTS:
Over the entire period of observation (1990-2011), the mean systolic blood
pressure fell from 137 to 128 mmHg in men and from 132 to 120 mmHg in women; the
mean serum glucose concentration fell from 5.6 to 5.3 mmol/L in men and from 5.4
to 5.0 mmol/l in women; and the mean total cholesterol level fell from 6.2 to 5.3
mmol/L in both sexes. In men, smoking and lack of exercise became less common. On
the other hand, the prevalence of use of antidiabetic, cholesterol-lowering, and
antihypertensive drugs rose over the same time period, as did that of self
reported diabetes. The first of the three surveys (1990-1992) revealed
differences between persons residing in the former East and West Germany in most
of the health variables studied; these differences became less marked over time,
up to the last survey in 2008-2011. CONCLUSION: The cardiometabolic risk profile
of the German adult population as a whole improved over a period of 20 years.
Further in-depth analyses are now planned.
PMID- 27866568
TI - In Reply.
PMID- 27866569
TI - Computed Tomography in Germany.
AB - BACKGROUND: In 2001, calculations in models based on atomic bomb survivors
indicated that children exposed to ionizing radiation by computed tomography (CT)
would be expected to have an increased risk of cancer. This led to the issuance
of new recommendations in Germany concerning CT in children. METHODS: We analyzed
data from the German pediatric CT cohort study together with data on children
from a large general statutory health insurance provider (AOK) in order to
characterize the secular trend in the use of CT in Germany. We used information
from the Picture Archiving and Communication System (PACS) to estimate individual
organ doses per scan and their development over time. RESULTS: The number of CT
scans performed on children in Germany each year declined by 29% from 2006 to
2012. Over the same period, younger children were exposed to lower organ doses
during CT scanning, although some organ doses were higher in neonates than in
older children. The highest organ doses were in the 7.6 to 12.5-year-old age
group and affected the brain (37.12 mGy +/- 19.68 mGy) and the lenses (41.24 mGy
+/- 20.08 mGy). In every age group, the organ doses declined from year to year.
With approximately 21 000 children aged 0-13 undergoing CT each year
(extrapolated from insurance data of 2008), one can expect 2.3 [-1.7; 6.3]
additional new cases of leukemia and 1 [-2.3; 4.0] additional new tumor of the
central nervous system to arise each year. CONCLUSION: In view of the risks,
children should undergo CT only for the indications listed by the German
Commission on Radiological Protection (Strahlenschutzkommission). Further
epidemiological studies are needed for estimation of the risk associated with the
use of newer CT technology.
PMID- 27866571
TI - Maintenance of Sterility: A Base of Aseptic Procedures.
PMID- 27866570
TI - The Diagnosis and Treatment of Peripheral Arterial Vascular Disease.
AB - BACKGROUND: In peripheral arterial occlusive disease (PAOD), arterial stenosis or
occlusion impairs perfusion in the territory of the distal portion of the aorta
and the iliac and leg arteries. In Germany, the prevalence of PAOD rises with
age, reaching 20% among persons over age 70. METHODS: This guideline was prepared
by a collaboration of 22 medical specialty societies and two patient self-help
organizations on the basis of pertinent publications that were retrieved by a
systematic search in PubMed for articles that appeared from 2008 to April 2014,
with a subsequent update to May 2015. RESULTS: 294 articles were assessed,
including 34 systematic reviews and 98 randomized controlled trials (RCTs). The
diagnostic assessment of PAOD is based on physical examination, blood pressure at
the ankles, and color-coded duplex ultrasonography (grade A recommendation).
Other tomographic imaging methods can be used for suitable indications. The main
elements of the treatment of PAOD are the control of cardiovascular risk factors
and structured vascular exercise (grade A recommendation). Acetylsalicylic acid
and statins are the main drugs for symptomatic PAOD (grade A recommendation).
Patients with claudication and correlated structural findings can undergo an endo
- vascular or open surgical procedure. Critical ischemia is an indication for
arterial revascularization as soon as possible (grade A recommendation); this may
be performed either by open surgery or by an endovascular procedure of one of the
types that are now undergoing rapid development, or one of the crural treatment
options. There is inadequate evidence concerning the optimal drug regimen after
revascularization procedures. CONCLUSION: The diagnostic assessment of PAOD is
based on physical examination, measurement of the ankle-brachial index (ABI), and
duplex ultrasonography. Acetylsalicylic acid and statins are indicated for
patients with symptomatic PAOD. Endovascular procedures should be used if
indicated. Randomized studies are needed to provide better evidence on many open
questions in the treatment of PAOD.
PMID- 27866572
TI - Progressively Reduce the Number of Infections.
PMID- 27866573
TI - In Reply.
PMID- 27866574
TI - Welcome to a SUPA issue.
PMID- 27866575
TI - Anemia for the Primary Care Physician.
AB - Anemia denotes a reduced red blood cell (RBC) mass from any cause. The causes of
anemia are numerous and due to decreased (or abnormal) erythropoesis, shortened
RBC life span, or blood loss. The most common etiology of anemia is iron
deficiency. A judicious work up of anemia includes evaluating the reticulocyte
count and peripheral smear. The severity of illness of a patient with anemia is
determined by the degree of anemia and the seriousness of the underlying
disorder. Management of patients with hereditary and hemolytic anemias should
involve a hematologist.
PMID- 27866576
TI - Thrombocytopenia.
AB - Thrombocytopenia is a commonly encountered hematologic problem in inpatient and
ambulatory medicine. The many underlying mechanisms of thrombocytopenia include
pseudothrombocytopenia, splenic sequestration, and marrow underproduction and
destruction. This article presents the known causes of thrombocytopenia, a
framework for evaluation, and brief descriptions of management in a case-based
format.
PMID- 27866577
TI - Novel Developments in Leukopenia and Pancytopenia.
AB - Cytopenias are not disease entities in and of themselves; rather, they are the
expression of various underlying disease processes. Careful attention to details
in patients' presentation, careful history and examination, as well as attention
to the ancillary parameters of the complete blood count with a peripheral blood
smear can point the clinician toward the appropriate workup. Causes of cytopenias
can be inherited or acquired; the latter include medication related, autoimmune,
or neoplastic causes. Emergencies need to be recognized in a timely fashion and
expert consultation obtained.
PMID- 27866578
TI - Leukocytosis and Leukemia.
AB - Leukocytosis is among the most common findings on peripheral blood smear. A wide
range of causes may mediate this finding, and careful clinical and laboratory
evaluation assist in differentiating between benign and malignant causes of
increased white blood cell counts. In this article, various nonmalignant causes
are explored, including infectious, inflammatory, autoimmune, and allergic. In
addition, malignant causes of leukocytosis are discussed, including
myeloproliferative disorders, acute leukemia, and chronic leukemia, as well as
treatment and monitoring for patients with these diseases.
PMID- 27866579
TI - Polycythemia and Thrombocytosis.
AB - Myeloproliferative neoplasms (MPNs) are diseases of excess cell proliferation
from bone marrow precursors. Two classic MPNs, polycythemia vera (PV) and
essential thrombocytosis (ET), are conditions of excess proliferation of red
blood cells and platelets, respectively. Although PV and ET involve different
cells in the myeloid lineage, their clinical presentations have shared features,
consistent with overlapping mutations in growth factor signaling. The management
of both diseases involves minimizing the risk of thrombotic and hemorrhagic
complications. Both PV and ET can progress to myelofibrosis or acute myeloid
leukemia, portending a poor prognosis. MPNs can also present as primary
myelofibrosis.
PMID- 27866580
TI - Eosinophilia.
AB - Eosinophilia is defined as elevation of eosinophils in the bloodstream (450-550
cell/MUL). There are many reasons for eosinophilia to exist, including parasitic
disease, allergic disease, autoimmune, connective tissue disease, rheumatologic
disease, primary eosinophilia such as hypereosinophilic syndrome, and as part of
a malignant state. Primary care physicians should have an understanding of the
variety of diseases or situations that can produce eosinophilia and know in what
setting referral to specialty care may be warranted.
PMID- 27866581
TI - Thrombosis, Hypercoagulable States, and Anticoagulants.
AB - Patients with derangements of secondary hemostasis resulting from inherited or
acquired thrombophilias are at increased risk of venous thromboemboli (VTE).
Evaluation of a patient with suspected VTE proceeds via evidence-based algorithms
that involve computing a pretest probability based on the history and physical
examination; this guides subsequent work-up, which can include D dimer and/or
imaging. Testing for hypercoagulable disorders should be pursued only in patients
with VTE with an increased risk for an underlying thrombophilia. Direct oral
anticoagulants are first-line VTE therapies, but they should be avoided in
patients who are pregnant, have active cancer, antiphospholipid antibody
syndrome, severe renal insufficiency, or prosthetic heart valves.
PMID- 27866582
TI - Bleeding Diatheses: Approach to the Patient Who Bleeds or Has Abnormal
Coagulation.
AB - Many complex elements contribute to normal hemostasis, and an imbalance of these
elements may lead to abnormal bleeding. In addition to evaluating medication
effects, the hematologist must evaluate for congenital or acquired deficiencies
in coagulation factors and platelet disorders. This evaluation should include a
thorough bleeding history with careful attention to prior hemostatic challenges
and common laboratory testing, including coagulation studies and/or functional
platelet assays. An accurate diagnosis of a bleeding diathesis and selection of
appropriate treatment are greatly aided by a basic understanding of the
mechanisms of disease and the tests used to diagnose them.
PMID- 27866583
TI - Transfusion Medicine.
AB - Transfusion of various blood components can provide relief from symptomatic
anemia and reduce the bleeding risks associated with low platelet counts or
presence of coagulopathy. Blood components are collected from volunteer donors
and processed into separate components to maximize efficient utilization of a
scarce resource while also providing maximum clinical benefit. Tests including
blood type and screening for clinically significant alloantibodies increase the
likelihood of successful transfusion. Risks of transfusion include
hypersensitivity and hemolytic transfusion reactions, transfusion-related acute
lung injury, transfusion-associated circulatory overload, and transmission of
infection. Indications for transfusion are reviewed along with various products
available for transfusion.
PMID- 27866584
TI - Lymphoma.
AB - Lymphomas may be broadly divided into non-Hodgkin (90%) and Hodgkin (10%) types.
Most lymphomas (90%) are of B cell origin but can also be T cell or natural
killer cell. Clinical management of indolent and aggressive lymphomas is
different. Aggressive lymphomas are more dangerous if left untreated yet a higher
cell proliferation rate also renders them more chemosensitive, so they are
managed with curative intent. Indolent lymphomas are, for the most part,
incurable, such that quality of life must be balanced against toxicity of
treatment in deciding when and how to treat.
PMID- 27866585
TI - Plasma Cell Disorders.
AB - Plasma cell disorders are benign, premalignant, and malignant conditions
characterized by the presence of a monoclonal paraprotein detected in serum or
urine. These conditions are biologically, pathologically, and clinically
heterogeneous. There have been major advances in the understanding of the biology
of these diseases, which are promoting the development of therapies with novel
mechanisms of action. Novel agents such as proteasome inhibitors,
immunomodulatory drugs, and monoclonal antibodies have gained approval in the
United States and Europe for the treatment of plasma cell disorders. Such
therapies are translating into higher rates of response and survival and better
toxicity profiles.
PMID- 27866586
TI - Basics of Hematopoietic Cell Transplantation for Primary Care Physicians and
Internists.
AB - More than 60,000 hematopoietic cell transplantations (HCTs) are annually
performed worldwide to treat a variety of malignant and nonmalignant conditions.
Although HCT is complicated and risky, a majority of the HCT recipients are
surviving for many years post-transplant. This article presents the basics of
transplantation, HCT types/stem cell sources, mobilization and conditioning
procedures, indications for HCT, conditioning regimens, engraftment, graft-versus
host-disease, and survivorship issues.
PMID- 27866587
TI - Strange Cells.
PMID- 27866588
TI - Preface.
PMID- 27866589
TI - Endocrinology, diabetes and nutrition: The future is here.
PMID- 27866590
TI - Corrigendum to the inextricable axis of targeted diagnostic imaging and therapy:
An immunological natural history approach [Nucl Med Biol 43 (2016) 215-225].
PMID- 27866591
TI - Considerations on a New Diagnostic Clue to Osteomyelitis.
PMID- 27866592
TI - The Reply.
PMID- 27866593
TI - Zika Virus Infection: Don't Miss It.
PMID- 27866595
TI - Modifiable Healthy Lifestyle Behaviors: 10-Year Health Outcomes From a Health
Promotion Program.
AB - INTRODUCTION: Previous studies have examined the impact of healthy lifestyle
choices on health-related outcomes; however, given their fragmented, often cross
sectional nature, assessing the relative impact of daily modifiable behaviors on
overall long-term outcomes, particularly for a diverse working adult population,
remains challenging. METHODS: Relationships between ten self-reported healthy
lifestyle behaviors and health outcomes during the subsequent 9 years in a cohort
of 10,248 participants enrolled during 2003 in a voluntary workplace wellness
program were assessed. Cox proportional-hazards models computed hazard ratios
(HRs) for lifestyle characteristics associated with time to one of seven self
reported chronic diseases or death. Data were collected between 2003 and 2012 and
analyzed between 2014 and 2016. RESULTS: Behaviors that most significantly
affected future outcomes were low-fat diet, aerobic exercise, nonsmoking, and
adequate sleep. A dose-response effect was seen between dietary fat intake and
hypertension, obesity, diabetes, heart disease, and hypercholesterolemia. After
dietary fat intake, aerobic exercise was the next most significant behavior
associated with development of outcomes. Compared with sedentary participants,
those who exercised 4 days per week were less likely to develop new-onset
diabetes (HR=0.31, 95% CI=0.20, 0.48); heart disease (HR=0.46, 95% CI=0.27,
0.80); and hypercholesterolemia (HR=0.61, 95% CI=0.50, 0.74). Low-fat diet and
adequate sleep were more significant than commonly promoted healthy behaviors,
such as eating a daily breakfast. CONCLUSIONS: Modifiable lifestyle behaviors
targeted in health promotion programs should be prioritized in an evidence-based
manner. Top priorities for workplace health promotion should include low-fat
diet, aerobic exercise, nonsmoking, and adequate sleep.
PMID- 27866596
TI - Clinic and Community: The Road to Integration.
AB - INTRODUCTION: There is growing recognition of the important role that social and
environmental conditions play in health, and of the interaction needed between
clinical providers and the broader community in which patients live, work, play,
and manage their health. Through the Safety Net Enhancement Initiative, the
Kresge Foundation funded demonstration projects in eight vulnerable communities
to address health inequities and increase integration between clinical and
community systems. METHODS: In 2014, integration efforts in 2011-2013 were
qualitatively analyzed within and between sites to identify common features. The
series of steps taken by sites during the 3-year implementation period that were
necessary to move toward integration were then analyzed. RESULTS: Safety Net
Enhancement Initiative sites increased capacities within clinics, including
policy and practice changes that expanded the way "health" is defined by clinical
providers and the implementation of onsite programs/services. Several sites
changed clinic policies to support referral to community programs with partner
organizations. Several sites also successfully changed local community policies
and practices. Moving toward integration, mechanisms were created to link newly
developed or identified community resources to the clinical system. CONCLUSIONS:
As an established system organized around disease treatment, not prevention,
certain changes need to be made within the clinical system to prepare for
integration. These changes require shifting perspectives, changing behaviors, and
developing novel administrative models. Similarly, integration requires changes
within and among community systems, including organizations, services, and
residents. Ultimately, there is the need to find ways for these two very
different environments to interact and coordinate.
PMID- 27866594
TI - Influenza Vaccination of Healthcare Personnel by Work Setting and Occupation
U.S., 2014.
AB - INTRODUCTION: Routine influenza vaccination of healthcare personnel (HCP) can
reduce influenza-related illness and its potentially serious consequences among
HCP and their patients. Influenza vaccination has been routinely recommended for
HCP since 1984. METHODS: Data from the 2013 and 2014 National Health Interview
Survey were analyzed in 2015. Kaplan-Meier survival estimated the cumulative
proportion of HCP reporting 2013-2014 season influenza vaccination. Vaccination
coverage by work setting and occupation were assessed. Multivariable logistic
regression and predictive marginal analyses identified factors independently
associated with vaccination among HCP. RESULTS: Influenza vaccination coverage
was 64.9% among HCP aged >=18 years (95% CI=60.5%, 69.3%), which was
significantly higher compared with non-HCP among the same age group (41.0%, 95%
CI=39.8%, 42.1%) (p<0.05). Vaccination coverage was higher among physicians
(82.3%) and nurses (77.5%) than other types of HCP (range, 50.2%-65.6%). Coverage
was higher among HCP working in hospitals (76.9%) versus other settings (range,
53.9%-60.2%). Characteristics independently associated with an increased
likelihood of vaccination among HCP were older age, higher education, having more
physician contacts, and having health insurance. Having never been married was
independently associated with decreased likelihood of vaccination among HCP.
CONCLUSIONS: Influenza vaccination coverage was higher among HCP than non-HCP,
but still below the national target of 90%. Vaccination coverage varied widely by
occupation type, work settings, and demographic characteristics. Evidence-based
interventions, such as making vaccine available at no cost in the workplace and
active promotion of vaccination, are needed to increase influenza vaccination
among HCP in all healthcare settings.
PMID- 27866598
TI - Never-Smoking Adolescents' Exposure to Secondhand Smoke in Africa.
AB - INTRODUCTION: Though Africa is in Stage 1 of the tobacco epidemic, lack of
effective public smoking laws or political will implies that secondhand smoke
(SHS) exposure may be high in youth. The study objective is to estimate
prevalence and identify determinants of SHS exposure among never-smoker
adolescents in Africa and make cross-country comparisons. METHODS: Pooled data
from the Global Youth Tobacco Surveys conducted in 25 African countries during
2006-2011 were used. Based on the venue of exposure in past 7 days, SHS was
categorized into exposure inside, outside, and overall exposure (either inside or
outside of the home), respectively. Data were analyzed in 2015 using logistic
regression models to identify factors related to SHS exposure in three venues.
RESULTS: About 21% and 39% of adolescents were exposed to SHS inside or outside
of the home, with overall exposure of 45%. In all 25 African countries, parental
smoking was significantly associated with SHS exposure inside the home (ORs
ranging from 3.02 [95% CI=2.0, 4.5] to 14.65 [95% CI=10.0, 21.5]). Peer smoking
was associated with SHS exposure outside the home in 18 countries (ORs ranging
from 1.45 [95% CI=1.0, 2.1] to 3.00 [95% CI=1.8, 5.1]). Parental smoking, peer
smoking, and anti-smoking messages in media were identified as three major
factors associated with SHS exposure. CONCLUSIONS: A significant proportion of
never-smoking adolescents in Africa are exposed to SHS, suggesting the need for
countries to adopt policies to protect never smokers through the implementation
of the WHO Framework Convention on Tobacco Control.
PMID- 27866599
TI - Correlates of Helmet Use Among Recreation and Transportation Bicyclists.
AB - INTRODUCTION: Helmet use prevents injury and mortality if a bicyclist is in a
collision while riding. This cross-sectional study sought to identify domain
specific (recreation versus transportation) correlates of helmet use among U.S.
adult bicyclists, using nationally representative data from 2012. METHODS: This
analysis, conducted in 2015-2016, utilized data from the 2012 National Survey of
Bicyclist and Pedestrian Attitudes and Behaviors, administered for the National
Highway Traffic Safety Administration. Bivariate logistic regressions identified
sociodemographic, behavioral, and environmental correlates of helmet use among
U.S. adult bicyclists. Backwards elimination procedures selected final
multivariate models for bicyclists' helmet use in both domains. RESULTS: Among
recreation cyclists, helmet use was significantly associated with income ($30,000
$75,000, OR=1.79, 95% CI=1.04, 3.10; >=$75,000, OR=2.34, 95% CI=1.38, 3.97),
safety training (OR=2.94, 95% CI=1.46, 5.93), not riding at dark (OR=1.92, 95%
CI=1.24, 2.98), feeling threatened while riding (OR=2.24, 95% CI=1.12, 4.45), and
using bike lanes/paths (OR=2.04, 95% CI=1.42, 2.93). Helmet use among
transportation riders was significantly associated with education (less than high
school, OR=2.45, 95% CI=1.13, 5.32; post-high school, OR=3.55, 95% CI=1.96,
6.42), income ($30,000-$75,000, OR=2.11, 95% CI=1.17, 3.8; >=$75,000, OR=2.33,
95% CI=1.26, 4.27), unemployment (OR=0.29, 95% CI=0.11, 0.76), not using
electronics while riding (OR=2.3, 95% CI=1.41, 3.75), safety training (OR=3.19,
95% CI=1.44, 7.07), and injury while riding within the past 2 years (OR=2.81, 95%
CI=1.14, 6.94). CONCLUSIONS: Correlates of helmet use among bicyclists are domain
specific. Although confirmatory longitudinal studies are needed, findings suggest
that interventions to increase bicyclists' helmet use consider riding domain.
PMID- 27866597
TI - Life-course Social Mobility and Reduced Risk of Adverse Birth Outcomes.
AB - INTRODUCTION: Higher adult socioeconomic position (SEP) is associated with better
birth outcomes. However, few studies incorporate life-course or intergenerational
SEP, which may inform etiology and targeted prevention efforts. This study tested
whether life-course social mobility from childhood was associated with lower risk
of adverse birth outcomes. METHODS: Data were from the Life-course Influences of
Fetal Environments (LIFE) retrospective cohort study among black women, 2009
2011, in metropolitan Detroit, MI. This study (analyzed in 2014-2016) examined
whether social mobility was associated with two primary birth outcomes: small for
gestational age (SGA) and preterm birth (PTB). Childhood and adulthood SEP were
measured by survey in adulthood, for two constructs, measured ordinally:
educational attainment and perceived financial sufficiency (subjective
income/wealth). Social mobility was calculated as the difference of adulthood
minus childhood SEP. RESULTS: In covariate-adjusted Poisson regression models, 1
SD improved educational social mobility from childhood to adulthood was
protective for SGA (adjusted risk ratio=0.76; 95% CI=0.64, 0.91); this
association remained after adjusting for financial mobility. Upward financial
social mobility from early childhood was marginally protective for SGA (adjusted
risk ratio=0.85; 95% CI=0.72, 1.02), but became nonsignificant after controlling
educational mobility. There were no overall associations of social mobility with
PTB or low birth weight, although sensitivity analyses identified that improved
financial mobility was associated with 16% marginally lower risk of spontaneous
PTB and 28% marginally lower risk of low birth weight among upwardly
mobile/stable women only. CONCLUSIONS: Improved life-course social mobility is
associated with reduced risk for SGA and spontaneous PTB among black women.
PMID- 27866600
TI - Maintaining a Healthy BMI: Data From a 16-Year Study of Young Australian Women.
AB - INTRODUCTION: The aims of this prospective cohort study were to examine 16-year
trajectories of weight and BMI in young adult women who had a healthy BMI in 1996
and determinants of remaining in the healthy BMI category. METHODS: A total of
4,881 women with healthy BMI at baseline and either healthy, overweight, or obese
BMI at 16-year follow-up reported their weight, height, health, and health
behaviors in six surveys of the Australian Longitudinal Study on Women's Health
between 1996 (aged 18-23 years) and 2012 (aged 34-39 years). Determinants of BMI
maintenance were estimated using binary logistic regression and generalized
estimating equations in 2015. RESULTS: Almost 60% remained in the healthy BMI
category from 1996 to 2012, (mean weight gain, 0.19 kg/year), 29% transitioned to
overweight BMI (0.83 kg/year), and 11.6% transitioned to obese (1.73 kg/year).
The mean rates of annual weight gain in each group were consistent over time.
Only three factors (low alcohol, moderate/high physical activity, having a
university degree) were positively associated with maintaining a healthy BMI.
Additional behavioral factors (smoking, high sitting time, energy intake,
dieting, takeaway food, and use of oral contraceptives), as well as blue collar
occupation, separation/divorce/widowhood, and major illness were negatively
associated with BMI maintenance. CONCLUSIONS: To prevent the transition from
healthy to overweight/obese BMI, weight gain must be limited to <0.5 kg/year.
Women with healthy BMI, but with higher rates of weight gain in their early 20s,
could be identified by health professionals for assistance with prevention of
becoming overweight/obese.
PMID- 27866602
TI - Biosynthetic nanoparticles for biotechnological and biomedical applications.
PMID- 27866601
TI - Assessment of State Perinatal Hepatitis B Prevention Laws.
AB - INTRODUCTION: Identifying pregnant women with hepatitis B virus (HBV) infection
for post-exposure prophylaxis of their infants is critical to preventing mother
to-child transmission of HBV infection. HBV infection in infancy results in
premature death from chronic liver disease or cancer in 25% of affected infants.
Universal screening of pregnant women for HBV infection is the standard of care,
and in many states is supported by laws for screening and reporting these
infections to public health. No recent assessment of state screening and
reporting laws for HBV infection has been published. METHODS: In 2014, the
authors analyzed laws current through December 31, 2013 from U.S. jurisdictions
(50 states and the District of Columbia) related to HBV infection and hepatitis B
surface antigen screening and reporting requirements generally and for pregnant
women specifically. RESULTS: All states require reporting of cases of HBV
infection. Twenty-six states require pregnant women to be screened. Thirty-three
states require public health reporting of HBV infections in pregnant women, but
only 12 states require reporting pregnancy status of women with HBV infection.
CONCLUSIONS: This assessment revealed significant variability in laws related to
screening and reporting of HBV infection among pregnant women in the U.S.
Implementing comprehensive HBV infection screening and reporting laws for
pregnant women may facilitate identifying HBV-infected pregnant women and
preventing HBV infection in their infants.
PMID- 27866603
TI - Effect of biosynthesized gold nanoparticles by Sargassum swartzii in alloxan
induced diabetic rats.
AB - Biosynthesis of gold nanoparticles (AuNPs) using Sargassum swartzii and its anti
diabetic effect were studied using male wistar Albino rats. Formation of AuNPs
were confirmed by UV-vis spectroscopy, Fourier transformed infrared (FTIR)
spectroscopy, High-Resolution transmission electron microscopy (HR-TEM) and X-ray
diffraction (XRD). Fasting blood glucose levels, serum insulin, hemoglobin and
glycosylated hemoglobin levels in diabetic treated rats with AuNPs were
significantly decreased compared to the control group. The results of the blood
glucose level and serum insulin levels indicated that AuNPs could significantly
improve the insulin resistance and glucose level in diabetic rats. AuNPs also
shows reduction in anti-inflammation, tumor necrosis factor-alpha, interleukin-6
and high-sensitive C-reactive protein in diabetic rats. The data showed that
AuNPs synthesized using S. swartzii exerted antidiabetic effect, accordingly
improve pancreas, liver and kidney damage caused by alloxan induced diabetic
rats.
PMID- 27866604
TI - Impact of bio-nanogold on seed germination and seedling growth in Pennisetum
glaucum.
AB - Nanotechnology is leading towards the development of low cost applications to
improve the cultivation and growth of plants. The use of nanotechnology in
agriculture will leads to a significant effect on food industry along with
opening a new area of research in agroecosystem. In this paper gold nanoparticles
were biosynthesized with Cassia auriculata leaf extract at room temperature and
characterized by UV-vis spectroscopy, X-ray diffraction and transmission electron
microscopy. The objective of this study was to investigate effect of synthesized
bio-nanogold on an important food and biofuel producing plant Pennisetum glaucum.
Positive effects were observed on percentage of seed germination and growth of
seedlings. Improved germination and increased plant biomass have high economic
importance in production of biofuel or raw materials, agriculture and
horticulture. Although the impact of nanoparticles on plants depends on
concentration, size and shape. The biological synthesized AuNPs can replace the
chemically synthesized AuNPs used in gene transfer method. The study gives brief
insight on nanoparticles effects on plants, brings attention on both positive and
negative side of nanomaterial which can resolve phytopathological infections by
stimulating nutrition and growth.
PMID- 27866605
TI - Hydrothermal preparation of reduced graphene oxide-silver nanocomposite using
Plectranthus amboinicus leaf extract and its electrochemical performance.
AB - Graphene based nanocomposites are receiving increasing attention in many fields
such as material chemistry, environmental science and pharmaceutical science. In
this study, a facial synthesis of a reduced graphene oxide-silver nanocomposite
(RGO-Ag) was carried out from Plectranthus amboinicus leaf extract. The
synthesized nanocomposite was characterized by using X-ray diffraction, scanning
electron microscope, Fourier transform infrared spectroscopy, X-ray photoelectron
spectroscopy, transmission electron microscope and UV-vis spectroscopy for
structural confirmation. The reduction of graphene oxide and silver ions was
achieved simultaneously due to the reducibility of the Plectranthus amboinicus
leaf extract. We further investigated the electrochemical properties of the
biosynthesized RGO-Ag nanocomposite. A nonenzymatic H2O2 electrochemical sensor
was shown to be successfully fabricated by using biosynthesized RGO-Ag
nanocomposite. Moreover, the fabricated electrochemical sensor also showed good
selectivity.
PMID- 27866607
TI - Synthesis of silver nanoparticles by endosymbiont Pseudomonas fluorescens CA 417
and their bactericidal activity.
AB - The present study emphasizes on biogenic synthesis of silver nanoparticles and
their bactericidal activity against human and phytopathogens. Nanoparticle
synthesis was performed using endosymbiont Pseudomonas fluorescens CA 417
inhabiting Coffea arabica L. Synthesized nanoparticles were characterized using
hyphenated spectroscopic techniques such as UV-vis spectroscopy which revealed
maximum absorption 425nm. Fourier transform infrared spectroscopy (FTIR) analysis
revealed the possible functional groups mediating and stabilizing silver
nanoparticles with predominant peaks occurring at 3346 corresponding to hydroxyl
group, 1635 corresponding carbonyl group and 680 to aromatic group. X-ray
diffraction (XRD) analysis revealed the Bragg's diffraction pattern with distinct
peaks at 38 degrees 44 degrees , 64 degrees and 78 degrees revealing the face
centered cubic (fcc) metallic crystal corresponding to the (111), (200), (220)
and (311) facets of the crystal planes at 2theta angle. The energy dispersive X
ray spectroscopy (EDS) analysis revealed presence of high intense absorption peak
at 3keV is a typical characteristic of nano-crystalline silver which confirmed
the presence of elemental silver. TEM analysis revealed the size of the
nanoparticles to be in the range 5-50nm with polydisperse nature of synthesized
nanoparticles bearing myriad shapes. The particle size determined by Dynamic
light scattering (DLS) method revealed average size to be 20.66nm. The
synthesized silver nanoparticles exhibited significant antibacterial activity
against panel of test pathogens. The results showed Klebsiella pneumoniae (MTCC
7407) and Xanthomonas campestris to be more sensitive among the test human
pathogen and phyto-pathogen respectively. The study also reports synergistic
effect of silver nanoparticles in combination with kanamycin which displayed
increased fold activity up to 58.3% against Klebsiella pneumoniae (MTCC 7407).
The results of the present investigation are promising enough and attribute
towards growing scientific knowledge on development of new antimicrobial agents
to combat drug resistant microorganisms. The study provides insight on emerging
role of endophytes towards reduction of metal salts to synthesize nanoparticles.
PMID- 27866606
TI - Effect of gemini surfactant (16-6-16) on the synthesis of silver nanoparticles: A
facile approach for antibacterial application.
AB - In this report, we describe the effect of Gemini surfactants1, 6-Bis (N, N
hexadecyldimethylammonium) adipate (16-6-16) on synthesis, stability and
antibacterial activity of silver nanoparticles (AgNPs). The stabilizing effect of
Gemini surfactant and aggregation behavior of AgNPs was evaluated by plasmonic
property and morphology of the AgNPs were characterized by UV-vis spectroscopy,
Dynamic Light Scattering (DLS), X-ray diffraction (XRD), High resolution
transmission electron microscopy (HRTEM) and Energy dispersive X-ray analysis
(EDX) techniques. Interestingly, the formation of quite mono-dispersed spherical
particles was found. Apart from the stabilizing role, the Gemini surfactant has
promoted the agglomeration of individual AgNPs in small assemblies whose Plasmon
band features differed from those of the individual nanoparticles. The
antibacterial activity of the synthesized AgNPs on Gram-negative and Gram
positive bacterium viz., E. coli and S. aureus was carried out by plate count,
growth kinetics and cell viability assay. Furthermore, the mechanism of
antibacterial activity of AgNPs was tested by Zeta potential and DLS analysis, to
conclude that surface charge of AgNPs disrupts the cells causing cell death.
PMID- 27866608
TI - Noble metal, oxide and chalcogenide-based nanomaterials from scalable
phototrophic culture systems.
AB - Phototrophic cell or tissue cultures can produce nanostructured noble metals,
oxides and chalcogenides at ambient temperatures and pressures in an aqueous
environment and without the need for potentially toxic solvents or the generation
of dangerous waste products. These "green" synthesized nanobiomaterials can be
used to fabricate biosensors and bio-reporting tools, theranostic vehicles,
medical imaging agents, as well as tissue engineering scaffolds and biomaterials.
While successful at the lab and experimental scales, significant barriers still
inhibit the development of higher capacity processes. While scalability issues in
traditional algal bioprocess engineering are well known, such as the controlled
delivery of photons and gas-exchange, the large-scale algal synthesis of
nanomaterials introduces additional parameters to be understood, i.e.,
nanoparticle (NP) formation kinetics and mechanisms, biological transport of
metal cations and the effect of environmental conditions on the final form of the
NPs. Only after a clear understanding of the kinetics and mechanisms can the
strain selection, photobioreactor type, medium pH and ionic strength, mean light
intensity and other relevant parameters be specified for an optimal bioprocess.
To this end, this mini-review will examine the current best practices and
understanding of these phenomena to establish a path forward for this technology.
PMID- 27866609
TI - Efficient continuous biosynthesis of silver nanoparticles by activated sludge
micromycetes with enhanced tolerance to metal ion toxicity.
AB - The method for producing AgNPs by granules of activated sludge micromycetes with
enhanced tolerance to metal ion toxicity - Penicillium glabrum, Fusarium nivale
and Fusarium oxysporum has been developed; the optimum conditions for AgNP
biosynthesis being found: the Ag+ ion concentration, duration of the contact of
microbial cells with silver ions, a growth phase of microorganisms, medium
composition, a rN value, mixing conditions, and also lighting intensity. The
effect of Cl-, SO42- and HPO42- ions binding Ag+ ions was eliminated, that
brought to significant increase of the yield of NPs. Under batch conditions,
silver particles of 60-110 nanometers in size were formed with a 65% yield. It
was established that the nanoparticles were covered with microbial cell membrane
proteins composed up to 70% by weight of the NPs that prevented their
aggregation. In addition, it was the first time stable AgNPs had been formed by
continuous AgNP biosynthesis by living cells of F. oxysporum with an 80% yield
for a long time.
PMID- 27866610
TI - In vitro anticancer activity of silver nanoparticle synthesized by Escherichia
coli VM1 isolated from marine sediments of Ennore southeast coast of India.
AB - In the present investigation, the bacterium Escherichia coli (VM1) was isolated
from a marine sediments of Ennore coastal water along southeast coast of India
was exploited for its capability of Ag NPs synthesis. The derived nanoparticles
were characterized employing ultraviolet-visible (UV-vis) spectroscopy, Fourier
Transform Infrared (FTIR) spectroscopy, X-ray diffraction and High Resolution
Transmission Electron Microscopy (HRTEM) studies. The Ag NPs were further
subjected to MTT assay to evaluate their anticancer activity against human lung
cancer cell line (A549), human cervical cancer cell line (HeLa) and normal (Vero)
cell line. The marine E. coli (VM1) synthesized silver nanoparticles exhibited
considerable cytotoxic influence against human cancer cell lines, thereby
suggesting that they have tremendous potential as effective anticancer agents.
PMID- 27866611
TI - Facile fabrication of eco-friendly nano-mosquitocides: Biophysical
characterization and effectiveness on neglected tropical mosquito vectors.
AB - Mosquito (Diptera: Culicidae) vectors are solely responsible for transmitting
important diseases such as malaria, dengue, chikungunya, Japanese encephalitis,
lymphatic filariasis and Zika virus. Eco-friendly control tools of Culicidae
vectors are a priority. In this study, we proposed a facile fabrication process
of poly-disperse and stable silver nanoparticles (Ag NPs) using a cheap leaf
extract of Ichnocarpus frutescens (Apocyanaceae). Bio-reduced Ag NPs were
characterized by UV-vis spectrophotometry, Fourier transform infrared
spectroscopy (FTIR), X-ray diffraction analysis (XRD), atomic force microscopy
(AFM), scanning electron microscopy (SEM) and transmission electron microscopy
(TEM). The acute toxicity of I. frutescens leaf extract and green-synthesized Ag
NPs was evaluated against larvae of the malaria vector Anopheles subpictus, the
dengue vector Aedes albopictus and the Japanese encephalitis vector Culex
tritaeniorhynchus. Compared to the leaf aqueous extract, Ag NPs showed higher
toxicity against A. subpictus, A. albopictus, and C. tritaeniorhynchus with LC50
values of 14.22, 15.84 and 17.26MUg/mL, respectively. Ag NPs were found safer to
non-target mosquito predators Anisops bouvieri, Diplonychus indicus and Gambusia
affinis, with LC50 values ranging from 636.61 to 2098.61MUg/mL. Overall, this
research firstly shed light on the mosquitocidal potential of I. frutescens, a
potential bio-resource for rapid, cheap and effective synthesis of poly-disperse
and highly stable silver nanocrystals.
PMID- 27866612
TI - Carotenoid stabilized gold and silver nanoparticles derived from the Actinomycete
Gordonia amicalis HS-11 as effective free radical scavengers.
AB - The Actinomycete Gordonia amicalis HS-11 produced orange pigments when cultivated
on n-hexadecane as the sole carbon source. When cells of this pigmented bacterium
were incubated with 1mM chloroauric acid (HAuCl4) or silver nitrate (AgNO3), pH
9.0, at 25 degrees C, gold and silver nanoparticles, respectively, were obtained
in a cell associated manner. It was hypothesized that the pigments present in the
cells may be mediating metal reduction reactions. After solvent extraction and
High Performance Liquid Chromatography, two major pigments displaying UV-vis
spectra characteristic of carotenoids were isolated. These were identified on the
basis of Atmospheric Pressure Chemical Ionization Mass Spectrometry (APCI-MS) in
the positive mode as 1'-OH-4-keto-gamma-carotene (Carotenoid K) and 1'-OH-gamma
carotene (Carotenoid B). The hydroxyl groups present in the carotenoids were
eliminated under alkaline conditions and provided the reducing equivalents
necessary for synthesizing nanoparticles. Cell associated and carotenoid
stabilized nanoparticles were characterized by different analytical techniques.
In vitro free radical scavenging activities of cells (control, gold and silver
nanoparticle loaded), purified carotenoids and carotenoid stabilized gold and
silver nanoparticles were evaluated. Silver nanoparticle loaded cells and
carotenoid stabilized silver nanoparticles exhibited improved nitric oxide (NO)
and 1,1-diphenyl-2-picrylhydrazyl (DPPH) scavenging activities compared to their
control and gold counterparts. This paper thus reports cell associated
nanoparticle synthesis by G. amicalis, describes for the first time the role of
carotenoid pigments in metal reduction processes and demonstrates enhanced free
radical scavenging activities of the carotenoid stabilized nanoparticles.
PMID- 27866613
TI - A facile and green strategy for the synthesis of Au, Ag and Au-Ag alloy
nanoparticles using aerial parts of R. hypocrateriformis extract and their
biological evaluation.
AB - A facile and green strategy is reported here to synthesize gold (Au), silver (Ag)
and gold-silver (Au-Ag) alloy nanoparticles (NPs) through bio-reduction reactions
of aqueous corresponding metal precursors mediated by extracts of aerial parts of
R. hypocrateriformis, which act as both reducing and stabilizing agents, under
microwave irradiation. UV-vis spectrophotometer, XRD, FT-IR, FESEM/TEM, TGA and
EDAX analysis were used to characterize the obtained NPs. The formation of NPs is
evident from their surface plasmon resonance peak observed at lambdamax=~550, 450
and 500nm for Au, Ag and Au-Ag alloy NPs respectively. XRD pattern revealed that
fcc structure, while FT-IR spectra signify the presence of phytochemicals
adsorbed on NPs. Such a biofunctionalized NPs were characterized by their weight
loss, 30% due to thermal degradation of plant phytochemicals observed in TG
analysis. The spherical shape of Au, Ag and Au-Ag alloy NPs (~10-50nm) is
observed by FE-SEM/TEM images. EDAX analysis confirms the expected elemental
composition. Moreover, these NPs showed enhanced antimicrobial, antioxidant, and
anticancer activities, though it is more pronounced for Au-Ag alloy NPs, which is
due to the combining effect of phytochemicals, Au and Ag metals. Thus, the
biosynthesized NPs could be applied as effective growth inhibitors for various
biomedical applications.
PMID- 27866615
TI - Anti-neoplastic selenium nanoparticles from Idiomarina sp. PR58-8.
AB - Selenium nanoparticles (SeNPs) with novel biological activities, cancer cell
selectivity, and low toxicity towards normal cells have gained attention for
chemo-therapeutic and chemo-preventive applications. These nanoparticles may be
synthesized using micro-organisms, which is the green alternative of
nanofabrication. Here we report the intracellular synthesis of SeNPs by the
moderate halophilic bacterium, Idiomarina sp. PR58-8 using sodium selenite as the
precursor. Characterization of SeNPs by XRD exhibited the characteristic Bragg's
peak of hexagonal selenium with a crystallite domain size of 34nm. Morphological
characterization by TEM exhibited spherical nanoparticles with a size
distribution of 150-350nm. The non-protein thiols were found to be involved in
resistance/reduction of sodium selenite. The SeNPs exhibited selectivity in
exerting cytotoxicity towards human cervical cancer cell line, HeLa, while being
non-toxic towards model normal cell line, HaCaT. The SeNPs induced a caspase
dependent apoptosis in HeLa cell lines as exhibited by the ROS assay, apoptotic
index assay, and western blot analysis. These results suggest the application of
SeNPs synthesized by Idiomarina sp. PR58-8 as potential anti-neoplastic agents.
PMID- 27866614
TI - In vivo synthesis of nano-selenium by Tetrahymena thermophila SB210.
AB - Nano-selenium has a great potential to be used in chemical, biological, medical
and environmental fields. Biological methods for nano-selenium synthesis have
attracted wide interests, because they can be operated at ambient temperature and
pressure without complicated equipments. In this work, a protozoa, Tetrahymena
thermophila (T. thermophila) SB210, was used to in vivo synthesize nano-selenium.
The biosynthesized nano-selenium was characterized using transmission electron
microscopy, energy dispersive X-ray spectroscopy and Raman spectroscopy. The
synthesized amorphous spherical selenium nanoparticles had diameters of 50-500nm
with the coexistence of irregular nano-selenium. The expressions of glutathione
(GSH) synthesis related gene glutathione synthase, cysteine-rich protein
metallothionein related gene metallothionein-1 and [2Fe-2S] cluster-binding
protein related gene were up-regulated in the nano-selenium producing group.
Also, the subsequent GSH detection and in vitro synthesis experimental results
suggest the three proteins were likely to be involved in the nano-selenium
synthesis process.
PMID- 27866616
TI - In vivo synthesis of europium selenide nanoparticles and related cytotoxicity
evaluation of human cells.
AB - Nanotechnology strives to combine new materials for development of noble
nanoparticles. As the nanoparticles exhibit unique optical, electronic, and
magnetic properties depending on their composition, developing safe, cost
effective and environmentally friendly technologies for the synthesis have become
an important issue. In this study, in vivo synthesis of europium selenide (EuSe)
nanoparticles was performed using recombinant Escherichia coli cells expressing
heavy-metal binding proteins, phytochelatin synthase and metallothionein. The
formation of EuSe nanoparticles was confirmed by using UV-vis spectroscopy,
spectrofluorometry, X-ray diffraction, energy dispersive X-ray and transmission
electron microscopy. The synthesized EuSe nanoparticles exhibited high
fluorescence intensities as well as strong magnetic properties. Furthermore, anti
cancer effect of EuSe nanoparticles against cancer cell lines was investigated.
This strategy for the biogenic synthesis of nanoparticles has a great potential
as bioimaging tools and drug carrying agents in biomedical fields due to its
simplicity and nontoxicity.
PMID- 27866617
TI - Synthesis of CdS nanoparticles from cadmium sulfate solutions using the
extracellular polymeric substances of B. licheniformis as stabilizing agent.
AB - Mining and hydrometallurgical industries produce large amounts of hazardous metal
sulfate solutions as a by-product which can be recycled and exploited to produce
valuable and advanced materials. Here, for the first time, extracellular
polymeric substances of Bacillus licheniformis were applied as biosurfactants to
synthesize quantum dots of cadmium sulfide from pure artificial and impure
industrial cadmium sulfate solutions. The bacterial biopolymers stabilized the
generated crystalline nuclei as colloidal dots and prevented their further growth
or agglomeration. In order to discover the composition and size distribution of
the produced particles, characterization was performed by X-ray diffraction
(XRD), and transmission electron microscopy (TEM). Results showed that the
particles biosynthesized from the pure solution were nano-sized cubic crystals of
CdS with the dimensions of 2-10nm. The same product was also derived from the
impure industrial solution. The outcomes of this study indicate the feasibility
of cadmium or probably other metal recovery from industrial solutions and
wastewaters in the form of valuable metal sulfide nanoparticles.
PMID- 27866618
TI - "Use of acidophilic bacteria of the genus Acidithiobacillus to biosynthesize CdS
fluorescent nanoparticles (quantum dots) with high tolerance to acidic pH".
AB - The use of bacterial cells to produce fluorescent semiconductor nanoparticles
(quantum dots, QDs) represents a green alternative with promising economic
potential. In the present work, we report for the first time the biosynthesis of
CdS QDs by acidophilic bacteria of the Acidithiobacillus genus. CdS QDs were
obtained by exposing A. ferrooxidans, A. thiooxidans and A. caldus cells to
sublethal Cd2+ concentrations in the presence of cysteine and glutathione. The
fluorescence of cadmium-exposed cells moves from green to red with incubation
time, a characteristic property of QDs associated with nanocrystals growth.
Biosynthesized nanoparticles (NPs) display an absorption peak at 360nm and a
broad emission spectra between 450 and 650nm when excited at 370nm, both
characteristic of CdS QDs. Average sizes of 6 and 10nm were determined for green
and red NPs, respectively. The importance of cysteine and glutathione on QDs
biosynthesis in Acidithiobacillus was related with the generation of H2S.
Interestingly, QDs produced by acidophilic bacteria display high tolerance to
acidic pH. Absorbance and fluorescence properties of QDs was not affected at pH
2.0, a condition that totally inhibits the fluorescence of QDs produced
chemically or biosynthesized by mesophilic bacteria (stable until pH 4.5-5.0).
Results presented here constitute the first report of the generation of QDs with
improved properties by using extremophile microorganisms.
PMID- 27866619
TI - Rhizopus stolonifer mediated biosynthesis of biocompatible cadmium chalcogenide
quantum dots.
AB - We report an efficient method to biosynthesize biocompatible cadmium telluride
and cadmium sulphide quantum dots from the fungus Rhizopus stolonifer. The
suspension of the quantum dots exhibited purple and greenish-blue luminescence
respectively upon UV light illumination. Photoluminescence spectroscopy, X-ray
diffraction, and transmission electron microscopy confirms the formation of the
quantum dots. From the photoluminescence spectrum the emission maxima is found to
be 424 and 476nm respectively. The X-ray diffraction of the quantum dots matches
with results reported in literature. The 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide assay for cell viability evaluation carried out on 3
days transfer, inoculum 3*105 cells, embryonic fibroblast cells lines shows that
more than 80% of the cells are viable even after 48h, indicating the
biocompatible nature of the quantum dots. A good contrast in imaging has been
obtained upon incorporating the quantum dots in human breast adenocarcinoma
Michigan Cancer Foundation-7 cell lines.
PMID- 27866620
TI - Extracellular biosynthesis of copper sulfide nanoparticles by Shewanella
oneidensis MR-1 as a photothermal agent.
AB - Photothermal therapy (PTT) is a minimally invasive and effective cancer treatment
method and has a great potential for innovating the conventional chemotherapy
approaches. Copper sulfide (CuS) exhibits photostability, low cost, and high
absorption in near infrared region, and is recognized as an ideal candidate for
PTT. However, CuS, as a photothermal agent, is usually synthesized with
traditional chemical approaches, which require high temperature, additional
stabilization and hydrophilic modification. Herein, we report, for the first
time, the preparation of CuS nanoparticles as a photothermal agent by a
dissimilatory metal reducing bacterium Shewanella. oneidensis MR-1. The prepared
nanoparticles are homogenously shaped, hydrophilic, small-sized (~5nm) and highly
stable. Furthermore, the biosynthesized CuS nanoparticles display a high
photothermal conversion efficiency of 27.2% because of their strong absorption at
1100nm. The CuS nanoparticles could be effectively used as a PTT agent under the
irradiation of 1064nm. This work provides a simple, eco-friendly and cost
effective approach for fabricating PTT agents.
PMID- 27866621
TI - Biogenic FeS accelerates reductive dechlorination of carbon tetrachloride by
Shewanella putrefaciens CN32.
AB - Dissimilatory metal reducing bacteria (DMRB) widely exist in the subsurface
environment and are involved in various contaminant degradation and element
geochemical cycling processes. Recent studies suggest that DMRB can biosynthesize
metal nanoparticles during metal reduction, but it is unclear yet how such
biogenic nanomaterials would affect their decontamination behaviors. In this
study, we found that the dechlorination rates of carbon tetrachloride (CT) by
Shewanella putrefaciens CN32 was significantly increased by 8 times with the
formation of biogenic ferrous sulfide (FeS) nanoparticles. The pasteurized
biogenic FeS enabled 5 times faster dechlorination than abiotic FeS that had
larger sizes and irregular structure, confirming a significant contribution of
the biogenic FeS to CT bioreduction resulting from its good dispersion and
relatively high dechlorination activity. This study highlights a potentially
important role of biosynthesized nanoparticles in environmental bioremediation.
PMID- 27866622
TI - Palladium nanoparticles enzyme aggregate (PANEA) as efficient catalyst for Suzuki
Miyaura reaction in aqueous media.
AB - Palladium nanoparticles enzyme aggregate (PANEA) were prepared from Candida
antarctica B lipase and palladium salt by precipitation and subsequent in situ Pd
nanoparticle formation. This heterogeneous catalyst was successfully used for the
Suzuki-Miyaura cross-coupling reaction between bromobenzene with different
phenylboronic acid derivatives under mild reaction conditions and using low Pd
amount. The nanocatalyst exhibited the highest catalytic activity in a mixture of
methanol/water (1:1), obtaining good to excellent product yields from the cross
coupling reaction. A variety of functional groups were accepted and the catalyst
was recycled 4 times without activity loss.
PMID- 27866623
TI - Biophysical characterization and activity analysis of nano-magnesium supplemented
cellulase obtained from a psychrobacterium following graphene oxide
immobilization.
AB - Cellulase enzyme was purified from a psychrophilic strain of Bacillus subtilis
obtained from east Himalayan mountains. The native enzyme showed optimum activity
at 15 degrees C and pH 8.0.The Magnesium oxide nanoparticle (MgN) supplemented
enzyme when immobilized on graphene oxide nanosupport (GO), via glutaraldehyde as
cross linker, showed 2.98 folds increase in enzymatic activity at 8 degrees C and
more than 3.5 folds activity increment at 90 degrees C. The MgN-cel on graphene
(GO-MgN-cel) showed a decrease in Km by 6.7 folds at 8 degrees C and 34 folds at
90 degrees C. GO-MgN-cel showed 5 fold and 4.7 fold increase in Vmax at 8 degrees
C and 90 degrees C respectively than the untreated enzyme.When compared to native
enzyme, GO-MgN-cel had t1/2 (half life) and Ed increased by 72.5 fold and 2.48
fold respectively at 90 degrees C; and 41.6 fold and 2.19 fold respectively at 8
degrees C. Enzymatic activity of GO-MgN-cel was retained even after 12 repeated
uses and showed storage stability at 4 degrees C for more than 120days. This
nanoparticle assisted immobilization technique can be utilized in bioprocessing
industries which require functioning at these extreme ranges of temperature.
PMID- 27866624
TI - A review on the biosynthesis of metallic nanoparticles (gold and silver) using
bio-components of microalgae: Formation mechanism and applications.
AB - The synthesis of nanoparticles (NP) using algae has been underexploited and even
unexplored. In recent times, there are few reports on the synthesis of NP using
algae, which are being used as a bio-factory for the synthesis. Moreover, the
algae are a renewable source, so that it could be effectively explored in the
green synthesis of NP. Hence, this review reports on the biosynthesis of NP
especially gold and silver NP using algae. The most widely reported NP from algae
are silver and gold than any other metallic NP, which might be due to their
enormous biomedical field applications. The NP synthesized by this method is
mainly in spherical shape; the reports are revealing the fact that the cell free
extracts are highly exploited for the synthesis than the biomass, which is
associated with the problem of recovering the particles. Besides, mechanism
involving in the reduction and stabilization is well demonstrated to deepen the
knowledge towards enhancement possibilities for the synthesis and applications.
PMID- 27866625
TI - Biological synthesis of nanoparticles in biofilms.
AB - The biological synthesis of nanoparticles (NPs) by bacteria and biofilms via
extracellular redox reactions has received attention because of the minimization
of harmful chemicals, low cost, and ease of culturing and downstream processing.
Bioreduction mechanisms vary across bacteria and growth conditions, which leads
to various sizes and shapes of biosynthesized NPs. NP synthesis in biofilms
offers additional advantages, such as higher biomass concentrations and larger
surface areas, which can lead to more efficient and scalable biosynthesis.
Although biofilms have been used to produce NPs, the mechanistic details of NP
formation are not well understood. In this review, we identify three critical
areas of research and development needed to advance our understanding of NP
production by biofilms: 1) synthesis, 2) mechanism and 3) stabilization.
Advancement in these areas could result in the biosynthesis of NPs that are
suitable for practical applications, especially in drug delivery and
biocatalysis. Specifically, the current status of methods and mechanisms of
nanoparticle synthesis and surface stabilization using planktonic bacteria and
biofilms is discussed. We conclude that the use of biofilms to synthesize and
stabilize NPs is underappreciated and could provide a new direction in biofilm
based NP production.
PMID- 27866626
TI - Seaweeds: A resource for marine bionanotechnology.
AB - Marine bionanotechnology is one of the most promising areas of research in modern
science and technology. Although there are multitude methods for the synthesis of
nanoparticles (NPs), there is an increasing attention in developing high-yield,
low-cost, non-toxic and eco-friendly procedures. The vital advantages of greener
synthesis are cost-effective, reduced usage of toxic chemicals and abundant
availability of resources. During the last ten years, there have been many
biological entities used to elevate novel, greener and affordable methods for the
metal NPs synthesis. Rate of synthesis and stability are higher for plant
material mediated NPs. However, in comparison with terrestrial resources, marine
resources have not been fully explored for synthesis of noble metal NPs. Our
present review is designed to speculate the importance of usage of vast marine
resources and its mediated NPs synthesis, in particular seaweed-mediated NPs
synthesis to overcome the limitations involved in physical and chemical methods.
Finally, recent advancements in greener synthesis of metal NPs, their size,
distribution, morphology and applications such as antimicrobial, antifouling and
anticancer potentials are briefly described along with portraying the prospective
scope of research in this field without any negative impact on the environment.
PMID- 27866627
TI - Green synthesized nanoparticles in the fight against mosquito-borne diseases and
cancer-a brief review.
AB - Nanobiomedicine and parasitology are facing a number of key challenges, which
mostly deal with the paucity of effective preventive and curative tools against
mosquito-borne diseases and cancer. In this scenario, the employ of botanical and
invertebrate extracts as reducing, stabilizing and capping agents for the
synthesis of nanoparticles is advantageous over chemical and physical methods,
since it is one-pot, cheap, and does not require high pressure, energy,
temperature, or the use of highly toxic chemicals. Considering the overlooked
connection between mosquito vector activity and the spread of cancer in USA, this
review focused on the current knowledge available about green synthesized
nanoparticles with efficacy against mosquito-borne diseases and cancer. Green
fabricated metal nanoparticles showed antiplasmodial activity that often
encompasses the efficacy of currently marked drugs for malaria treatment. They
have been also reported as growth inhibitors against dengue virus (serotype DEN
2), with moderate cytotoxicity on mammalian cells. However, this feature is
strongly dependent to the botanical agents employed during nanosynthesis. In
addition, green nanoparticles have been successfully used to reduce mosquito
young instar populations in the field. The final section focuses on some issues
for future research, with special reference to the chemical standardization of
the botanical extracts used for nanosynthesis and the potential effects on green
fabricated nanoparticles on non-target organisms.
PMID- 27866628
TI - Production of gold nanoparticles by electrode-respiring Geobacter sulfurreducens
biofilms.
AB - The goal of this work was to synthesize gold nanoparticles (AuNPs) using
electrode-respiring Geobacter sulfurreducens biofilms. We found that AuNPs are
generated in the extracellular matrix of Geobacter biofilms and have an average
particle size of 20nm. The formation of AuNPs was verified using TEM, FTIR and
EDX. We also found that the extracellular substances extracted from electrode
respiring G. sulfurreducens biofilms reduce Au3+ to AuNPs. From FTIR spectra, it
appears that reduced sugars were involved in the bioreduction and synthesis of
AuNPs and that amine groups acted as the major biomolecules involved in binding.
PMID- 27866629
TI - Fungal surface protein mediated one-pot synthesis of stable and hemocompatible
gold nanoparticles.
AB - Despite their large secretome and wide applications in bioprocesses, fungi remain
underexplored in metal nanoparticles (MNP) biosynthesis. Previous studies have
shown that cell surface proteins of Rhizopus oryzae play a crucial role in
biomineralization of Au(III) to produce gold nanoparticles (AuNPs). Therefore, it
is hypothesized that purified cell surface protein may produce in vitro AuNPs
with narrow size distribution for biomedical and biocatalytic applications.
However, different protein extraction methods might affect protein stability and
the AuNP biosynthesis process. Herein, we have explored the extraction of cell
surface proteins from R. oryzae using common detergents and reducing agent
(sodium dodecyl sulfate (SDS) Triton X-100, and 1,4-dithiothreitol (DTT)) and
their effect on the size and shape of the biosynthetic AuNPs. The surface
proteins extracted with reducing agent (DTT) and non-ionic detergent (Triton X
100) produce spherical AuNPs with a mean particle size of 16+/-7nm, and 19+/-4nm,
respectively, while the AuNPs produced by the surface protein extracted by ionic
detergent (SDS) are flower-like AuNPs with broader size distribution of 43+/
19nm. This synthetic approach does not require use of any harsh chemicals,
multistep preparation and separation process, favouring environmental
sustainability. The biosynthetic AuNPs thus formed, are stable in different
physiological buffers and hemocompatible, making them suitable for biomedical
applications.
PMID- 27866630
TI - Intracellular synthesis of gold nanoparticles with antioxidant activity by
probiotic Lactobacillus kimchicus DCY51T isolated from Korean kimchi.
AB - A straightforward synthesis of gold nanoparticles (AuNps) is achieved by novel
probiotic Lactobacillus kimchicus DCY51T isolated from Korean kimchi via an
intracellular membrane-bound mechanism. The bioreduction of HAuCl4 into AuNps was
verified by ultraviolet-visible spectrophotometry at ~540nm. AuNps were spherical
with varying sizes of 5-30nm. AuNps maintained an average crystallite size of
13nm and demonstrated long-term stability in physiological buffer and biological
media. Furthermore, the protective capping layer consisted of amino acid residues
and surface-bound proteins rendered them non-toxic to murine macrophage
(RAW264.7) and human colorectal adenocarcinoma (HT29) cell lines. Finally,
biosynthesized AuNps served as superior free radical scavengers against 2,2
diphenyl-1-picrylhydrazyl (DPPH) in contrast to their corresponding gold salt. In
short, this green synthesis is cost-effective and advantageous for the
development of a new class of probiotics mediated and non-toxic carriers in
targeted drug delivery systems, cancer diagnostic, photothermal therapy,
biosensing, and medical imaging.
PMID- 27866633
TI - Anti-PD-1 Antibody Treatment and the Development of Acute Pulmonary Tuberculosis.
PMID- 27866632
TI - Predicting Malignant Nodules from Screening CTs.
PMID- 27866631
TI - Rapid detection of viral antibodies based on multifunctional Staphylococcus
aureus nanobioprobes.
AB - Biosynthesis of nanoparticles inside S. aureus cells has enhanced the sensitivity
of immunoassays based on the S. aureus nanoparticles. However, the current
methods are limited to antigen detection by conjugating IgG antibodies on S.
aureus nanoparticles. In this study, a simple way to conjugate antigens to the S.
aureus nanobioparticles was developed by utilizing a cell wall binding domain
(CBD) from a bacteriophage lysin PlyV12. Based on this novel design, simple
agglutination tests of the IgG antibodies of Ebola virus (EBOV) nucleoprotein
(NP) and Middle East Respiratory Virus (MERS) NP in rabbit sera were successfully
developed by conjugating the S. aureus nanobioparticles with two fusion proteins
EBOV NP- CBD and MERS NP-CBD, respectively. The conjugation was done easily by
just mixing the fusion proteins with the S. aureus nanoparticles. The detection
time was within 20 min without any special equipment or expertise. As far as we
know, this is the first time to realize the detection of viral antibodies based
on S. aureus nanoparticles.
PMID- 27866634
TI - Transient Asymptomatic Pulmonary Opacities during Osimertinib Treatment: "Stop or
Go" Decision.
PMID- 27866635
TI - Prophylactic Cranial Irradiation for Extensive-Stage Small Cell Lung Cancer.
PMID- 27866636
TI - Prophylactic Cranial Irradiation for Extensive-Stage Small Cell Lung Cancer:
Authors' Reply.
PMID- 27866637
TI - Are All ALK Inhibitors Really Necessary?
PMID- 27866638
TI - Sequential Use of ALK Inhibitors: An Optional Approach.
PMID- 27866639
TI - Innovation within a university setting.
AB - Elisabeth K. Wynne, MD, completed her undergraduate degree in bioengineering and
is currently a surgical resident in training at the University of Washington.
From 2014-2016, she served as a Biodesign Fellow at Stanford University. She
plans to pursue a career of innovation as an academic surgeon. Thomas M. Krummel,
MD, is the Emile Holman Professor and Chair Emeritus of the Department of Surgery
at Stanford University School of Medicine. Throughout his career, Dr Krummel has
been a pioneer and an innovator. For >12 years, he has partnered with Dr Paul
Yock to co-direct the Stanford Biodesign program, which is designed to teach
innovation at the emerging frontiers of engineering and biomedical sciences. Dr
Krummel is Chairman of the Fogarty Institute for Innovation Board of Directors,
and President of the International Scientific Committee at Institut de Recherche
contre les Cancers de l'Appareil Digestif - IRCAD at the University of Strasbourg
and is a frequent consultant to the medical device industry.
PMID- 27866640
TI - Innovation in rural settings.
PMID- 27866641
TI - Optimizing the success of surgeons innovating and commercializing ideas: 10
lessons learned.
AB - Michael Blackhurst is a salaried employee in the role of General Manager of the
Surgical Product Group of Fisher and Paykel Healthcare Limited.
PMID- 27866643
TI - Evaluating levels of dentist participation in Medicaid: A complicated endeavor.
AB - BACKGROUND: The availability of dentists to care for Medicaid beneficiaries is a
longstanding concern of many families and those who serve them in the dental
profession as well as policy makers. Yet, little information beyond the number of
enrolled dentists has been reliably determined. The American Dental Association
(ADA) Health Policy Institute has called for more nuanced measures that better
reflect dentistry's contribution to the care of Medicaid beneficiaries. METHODS:
The authors calculated percentages of general and pediatric dentists who enrolled
in Medicaid, billed Medicaid, and treated Medicaid beneficiaries in each state
for which data were available from the ADA's 2008 study, the Association of State
and Territorial Dental Directors' 2013 report, and the 2013 federal Web site
InsureKidsNow.org. To determine the number of primary dentists available to treat
Medicaid beneficiaries, the ADA masterfile list of clinically active dentists was
adjusted to remove nonpediatric dental specialists. RESULTS: The authors
determined that the ADA's 2015 analysis of dentists enrolled in Medicaid was the
most rigorously assessed source for enrollment but did not report numbers of
billing or treating dentists. Increasingly stringent metrics of participation are
associated with considerable declines in dentist participation. They found the
underlying data sources unreliable, inaccurate, and incomparable within and among
states. CONCLUSIONS: The authors concluded that no consistent, comparable,
ongoing source of dentist participation in Medicaid exists that reliably provides
substantive information to the profession and policy makers. PRACTICAL
IMPLICATIONS: Dentistry's ability to respond to policymakers' concerns about
service to Medicaid beneficiaries depends on the development and implementation
of a standardized, reliable, systematic, and ongoing method to measure meaningful
participation.
PMID- 27866642
TI - Genetic susceptibility to Gilbert's syndrome in a valencian population; efficacy
of the fasting test.
AB - OBJECTIVE: To describe the populational distribution of the UGT1A1*28 variant
(genetic variant code rs8175347) located in the promotor of the UGT gene and
correlate its genotypes with the results of the fasting test, as well as its
relationship with the biochemical disorder of Gilbert's syndrome (GS) in a
Valencian population. PATIENTS AND METHODS: We studied the prevalence of the
genotypes (TA)6/6 (TA)6/7 and (TA)7/7 of the deleterious variant rs8175347 in 144
patients with hyperbilirubinemia, 38 of whom had previously undergone the fasting
test to diagnose GS, and in 150 control patients. By analysing the genomic region
of the TATA box of the UGT1A1 gene promotor using Sanger sequencing, we
established the correlation between the rs8175347 genotypes and the fasting test
results and with the patients' biochemical disorders. RESULTS: The rate of
heterozygosity of allele (TA)7 in the control population was 32% and increased to
87.59% among the patients with suspected GS. The rate of genotype TA7/7 was
81.94% among the patients with hyperbilirubinemia, compared with 11.33% in the
control patients. The fasting test showed a 15.79% rate of false negatives and a
5.26% rate of false positives. CONCLUSIONS: The high frequency of allele (TA)7
among the Valencian control population, almost double the 5% reported for
European control patients, confirms the high rate of GS reported in the Spanish
population, without observing significant differences between the geographical
ends of the country. The efficacy and reliability of the fasting test for the
diagnosis of GS is questionable.
PMID- 27866644
TI - China-leading the way in diabetes research.
PMID- 27866645
TI - Reply.
PMID- 27866646
TI - A Prospective Study of Biometric Stability After Scleral Buckling Surgery.
PMID- 27866647
TI - The Maastricht-Duke bridge: An era of mentoring in clinical research - A model
for mentoring in clinical research - A tribute to Dr. Galen Wagner.
AB - OBJECTIVE: With the passing of Dr. Galen Wagner, an exceptional collaboration
between Maastricht University Medical Center, The Netherlands, and Duke Clinical
Research Institute, USA, has come to an end. This article focuses on the
background of what Galen coined the Maastricht-Duke bridge (MD-bridge), its
merits, limitations and development throughout the years, and his special role.
METHODS: Between 2004 and 2015, 23 Maastricht University medical students and
post-graduate students were enrolled in the 4-month research elective, mentored
by Galen and the Maastricht co-mentor. They were asked to complete a survey about
their MD-bridge experience. RESULTS: Sixteen out of the 23 students responded.
None but 1 participant had prior research experience. Following their MD bridge
program most participants published 1 or more manuscripts and/or presented their
research in an international setting. They felt they had full responsibility as a
leader of their project with all participants developing meaningful skills useful
in their current job. Fourteen out of 16 would recommend the MD-bridge experience
to others. Participants considered the program of great value for their personal
growth and independence, giving a feeling of achievement. In addition, for some
participants it led to careers in foreign countries including medical practice
and research, or obtaining PhDs. CONCLUSIONS: With Galen's impressive career of
mentoring students, including the 23 MD-bridge participants, he has left behind
an amazing concept of self-development in research and personal life. The
successes of the MD-bridge prove that it is possible for students to be young
investigators during or just after medical school with the potential to
contribute to developing meaningful skills and noteworthy careers. Collaborations
between international universities, such as the MD-bridge, are feasible and
should be embraced by other institutions.
PMID- 27866648
TI - Extracorporeal life support is safe in trauma patients.
AB - INTRODUCTION: The role of extracorporeal life support (ECLS) in the critically
ill trauma patient is poorly defined, possibly leading to the underutilization of
this lifesaving therapy in this population. This study examined survival rates
and risk factors for death in trauma patients who received ECLS. METHODS: Data
from the National Trauma Data Bank was retrospectively reviewed to identify
trauma patients who received ECLS from January 2012 to December 2014. Clinical
outcomes and risk factors for death were examined in these patients. RESULTS:
Eighty patients were identified and included in the final analysis. Overall
survival to hospital discharge was 64%. Survivors and non-survivors were similar
in regard to age, gender, weight, and injury mechanism. Non-survivors had greater
median injury severity scores (ISS) (29 non-survivors vs. 24 survivors, p=0.018)
and had a shorter median total hospital length of stay (8days non-survivors vs.
32days survivors, p<0.001). Analysis of specific anatomic locations of traumatic
injury, including serious head/neck, thoracic, and abdominal injuries, revealed
no impact on patient survival. Multivariable logistic regression analysis
identified increasing age and ISS as significant risk factors for mortality;
whereas treatment at facilities that performed multiple ECLS runs over the study
period was associated with improved survival. CONCLUSIONS: Extracorporeal life
support appears to be an effective treatment option in trauma patients with
severe cardiopulmonary failure. Survival in trauma patients receiving ECLS is
similar to that observed in the general ECLS population and this may represent an
underutilized therapy in this population.
PMID- 27866649
TI - Management of paediatric splenic injury in the New South Wales trauma system.
AB - : Since the 1980's, paediatric surgeons have increasingly managed blunt splenic
injury (BSI) in children non-operatively. However, studies in North America have
shown higher operation rates in non-paediatric centres and by adult surgeons.
This association has not been examined elsewhere. OBJECTIVE: To investigate the
management of BSI in New South Wales (NSW) children, to determine the patient and
hospital factors related to the odds of operation. Secondarily, to investigate
whether the likelihood of operation varied by year. METHODS: Children age 0-16
admitted to a NSW hospital between July 2000 and December 2011 with a diagnosis
of BSI were identified in the NSW Admitted Patient Data Collection, and linked to
deaths data from Registry of Births Deaths and Marriages, and Bureau of
Statistics. The operation rate was calculated and compared between different
hospital types. Univariable analysis was used to determine patient and hospital
factors associated with operative management. The difference in the odds of
operation between the oldest data (July 2000-December 2005) and most recent
(January 2006-December 2011) was also examined. Multivariable logistic regression
with stepwise elimination was then performed to determine likelihood of operative
management according to hospital category and era, adjusting for potential
confounders. RESULTS: 955 cases were identified, with 101(10.6%) managed
operatively. On multivariable analysis, factors associated with operation
included age (OR 1.11, 95% CI 1.01-1.18, p<0.05), massive splenic disruption (OR
3.10, 95% CI 1.61-6.19, p<0.001), hollow viscus injury (OR 11.03, 95% CI 3.46
34.28, p<0.001) and transfusion (OR 7.70, 95% CI 4.54-13.16, p<0.001). Management
outside a paediatric trauma centre remained significantly associated with
operation, whether it be metropolitan adult trauma centre (OR 4.22 95% CI 1.70
10.52, p<0.01), rural trauma centre (OR 3.72 95% CI 1.83-7.83, p<0.001) or
metropolitan local hospital (OR 5.23, 95% CI 1.22-18.93 p<0.05). Comparing the 2
eras, the overall operation rate fell, although not significantly, from 12.9% to
8.7% (OR 1.3, 95% CI 0.89-243 p=0.13) CONCLUSION: While Paediatric Surgeons have
wholeheartedly adopted non-operative management, away from paediatric centres,
children in NSW are still being operated on for BSI unnecessarily. While the
factors at play may be complex, further evaluation of the management and movement
of injured children within the broad NSW trauma system is required.
PMID- 27866650
TI - Evidence-Based and Personalized Medicine. It's [AND] not [OR].
AB - Good clinical practice is an amalgamation of personalized medicine with evidence
based medicine in the best interests of patient. Hence, our title uses Boolean
operators to indicate that it is [AND] not [OR]. This is the syntax of formal
searching for systematic reviews, ensuring that all the evidence is found.
Comprehensive evidence-based guidance can thus be formulated. Many residents and
fellows around the world, and their chiefs, are now exposed to consensus
documents, white papers, levels of appropriateness, and guidelines and are in
many jurisdictions expected to comply with them. However, they are the summation
of many forms of evidence, each of which has its place, and we consider them in
turn in this article.
PMID- 27866651
TI - Cardiac Surgery in Patients With Previous Hepatic or Renal Transplantation: A
Pair-Matched Study.
AB - BACKGROUND: The objective of this study was to compare outcomes of patients with
previous solid organ transplant with those of a pair-matched population. METHODS:
Data from our prospectively maintained cardiac surgery registry were obtained and
identified 70 transplant recipients (21 hepatic, 49 renal) who were operated on
at our department of cardiac surgery between 2000 and 2013; they formed the
transplant group. Those patients were pair-matched regarding age, sex, and
cardinal cardiac risk factors to form the pair-matched group. RESULTS: Early
mortality was 15.7% in the transplant group (23.8% hepatic, 12.2% renal allograft
recipients) versus 5.7% in the pair-matched population. The overall mortality was
32.9% in the transplant group (38.1% hepatic, 30.6% renal allograft recipients)
versus 11.4% in the pair-matched group (p < 0.01) over a median follow-up of 32
months. Transplant patients had more bleeding complications (12.9%) than the pair
matched patients (1.4%), and more operative reexploration (15.7% versus 2.9%),
atrial fibrillation (32.9% versus 10.0%), new-onset dialysis (17.1% versus 5.7%),
and sepsis (10.0% versus 1.4%). One-, 2-, 5-, and 10-year survival for the
transplant group versus the pair-matched group, respectively, was 74%, 71%, 66%,
and 56% versus 93%, 91%, 88%, 81%. Multivariate predictors of mortality were
solid organ transplant (hazard ratio 3.8, 95% confidence interval: 1.6 to 9.2),
intraoperative transfusion (hazard ratio 1, 95% confidence interval: 1.00 to
1.01), and postoperative increase in bilirubin concentration (hazard ratio 1.34,
95% confidence interval: 1.08 to 1.65). CONCLUSIONS: Cardiac surgery is feasible
for recipients of solid organ transplants with acceptable early morbidity and
mortality.
PMID- 27866652
TI - Tortuosity, Recurrent Segments, and Bridging of the Epicardial Coronary Arteries
in Patients With the Takotsubo Syndrome.
AB - Myocardial bridging (MB) and a long recurrent wraparound left anterior descending
artery (wrap-LAD) are coronary anatomic variants that have been recently
suggested to be associated with takotsubo syndrome (TS). Until now, coronary
artery tortuosity (CAT) has never been investigated in this setting. Our study
sought to evaluate the prevalence of the aforementioned anatomic variants in a
large population with TS. In this retrospective angiographic study, 109 patients
with TS were compared with 109 age- and gender-matched subjects without coronary
artery disease, valve heart disease, or cardiomyopathy. CAT was identified by >=3
consecutive curvatures >=90 degrees (criteria 1) or by >=2 consecutive
curvatures >=180 degrees (criteria 2). Wrap-LAD was defined if any part of the
vessel outreached the apex of the left ventricle and MB as the presence of a
milking effect or a step-up and step-down phenomenon. An anatomic variant was
found in 79 patients with TS (72%) and in 48 controls (44%) (p <0.001). CAT in at
least 1 vessel (criteria 1: 49% vs 20%, p <0.001; criteria 2: 38% vs 13%, p
<0.001), >=2 vessels (criteria 2: 14% vs 3%, p = 0.005), and wrap-LAD (41% vs
27%, p = 0.02) were significantly more frequent in patients with TS than in
controls. The prevalence of MB (9% vs 5%, p = 0.18) did not differ between
groups. In conclusion, CAT and wrap-LAD have higher prevalence in patients with
TS than in matched controls. These findings could support the hypothesis that
anatomic variants might act as potential pathogenic substrates in TS.
PMID- 27866653
TI - Thoralf Mauritz Sundt III, MD: A Conversation With the Editor.
PMID- 27866655
TI - Prediction of Cardiovascular Mortality by Estimated Cardiorespiratory Fitness
Independent of Traditional Risk Factors: The HUNT Study.
AB - OBJECTIVE: To assess the predictive value of estimated cardiorespiratory fitness
(eCRF) and evaluate the additional contribution of traditional risk factors in
cardiovascular disease (CVD) mortality prediction. PARTICIPANTS AND METHODS: The
study included healthy men (n=18,721) and women (n=19,759) aged 30 to 74 years. A
nonexercise algorithm estimated cardiorespiratory fitness. Cox proportional
hazards models evaluated the primary (CVD mortality) and secondary (all-cause,
ischemic heart disease, and stroke mortality) end points. The added predictive
value of traditional CVD risk factors was evaluated using the Harrell C statistic
and net reclassification improvement. RESULTS: After a median follow-up of 16.3
years (range, 0.04-17.4 years), there were 3863 deaths, including 1133 deaths
from CVD (734 men and 399 women). Low eCRF was a strong predictor of CVD and all
cause mortality after adjusting for established risk factors. The C statistics
for eCRF and CVD mortality were 0.848 (95% CI, 0.836-0.861) and 0.878 (95% CI,
0.862-0.894) for men and women, respectively, increasing to 0.851 (95% CI, 0.839
0.863) and 0.881 (95% CI, 0.865-0.897), respectively, when adding clinical
variables. By adding clinical variables to eCRF, the net reclassification
improvement of CVD mortality was 0.014 (95% CI, -0.023 to 0.051) and 0.052 (95%
CI, -0.023 to 0.127) in men and women, respectively. CONCLUSION: Low eCRF is
independently associated with CVD and all-cause mortality. The inclusion of
traditional clinical CVD risk factors added little to risk discrimination and did
not improve the classification of risk beyond this simple eCRF measurement, which
may be proposed as a practical and cost-effective first-line approach in primary
prevention settings.
PMID- 27866658
TI - Effect of Modulated Electrohyperthermia on the Pharmacokinetics of Oral
Transmucosal Fentanyl Citrate in Healthy Volunteers.
AB - PURPOSE: This study aimed to determine whether changes occur in fentanyl
absorption and disposition when administered in conjunction with modulated
electrohyperthermia (mEHT) treatment. METHODS: A randomized, single-dose,
crossover, open-label study was used to investigate the effect of mEHT on the
pharmacokinetic properties of fentanyl in 12 healthy volunteers. The 12 healthy
volunteers were each administered a single dose of oral transmucosal fentanyl
citrate (OTFC) or a single dose of OTFC with mEHT. mEHT was performed on the
abdomen for 1 hour. Blood samples were collected for 24 hours after dosing. The
temperature of the abdominal skin surface was assessed before dosing and at 10,
20, and 60 minutes after dosing. FINDINGS: Geometric mean ratios (ratio of
fentanyl with mEHT to fentanyl alone) for the Cmax and AUC0-last were 1.20 (90%
CI, 1.09-1.32) and 1.15 (90% CI, 0.99-1.33), respectively. The mean temperature
of the abdominal skin surface increased by approximately 4 degrees C.
IMPLICATIONS: There was an increase in the overall exposure to the drug without
implications of any clinical significance. OTFC can be administered without
limitations in combination with mEHT, and it is not necessary to modify the
dosing regimen. cris.nih.go,kr Identifier: KCT0001286.
PMID- 27866656
TI - An analysis of possible off target effects following CAS9/CRISPR targeted
deletions of neuropeptide gene enhancers from the mouse genome.
AB - We have successfully used comparative genomics to identify putative regulatory
elements within the human genome that contribute to the tissue specific
expression of neuropeptides such as galanin and receptors such as CB1. However, a
previous inability to rapidly delete these elements from the mouse genome has
prevented optimal assessment of their function in-vivo. This has been solved
using CAS9/CRISPR genome editing technology which uses a bacterial endonuclease
called CAS9 that, in combination with specifically designed guide RNA (gRNA)
molecules, cuts specific regions of the mouse genome. However, reports of "off
target" effects, whereby the CAS9 endonuclease is able to cut sites other than
those targeted, limits the appeal of this technology. We used cytoplasmic
microinjection of gRNA and CAS9 mRNA into 1-cell mouse embryos to rapidly
generate enhancer knockout mouse lines. The current study describes our analysis
of the genomes of these enhancer knockout lines to detect possible off-target
effects. Bioinformatic analysis was used to identify the most likely putative off
target sites and to design PCR primers that would amplify these sequences from
genomic DNA of founder enhancer deletion mouse lines. Amplified DNA was then
sequenced and blasted against the mouse genome sequence to detect off-target
effects. Using this approach we were unable to detect any evidence of off-target
effects in the genomes of three founder lines using any of the four gRNAs used in
the analysis. This study suggests that the problem of off-target effects in
transgenic mice have been exaggerated and that CAS9/CRISPR represents a highly
effective and accurate method of deleting putative neuropeptide gene enhancer
sequences from the mouse genome.
PMID- 27866657
TI - Distribution and chemical coding of sensory neurons innervating the skin of the
porcine hindlimb.
AB - The aim of the present study was to establish the origin and chemical phenotyping
of neurons involved in skin innervation of the porcine hind leg. The dorsal root
ganglia (DRGs) of the lumbar (L4-L6) and sacral (S1-S3) spinal nerves were
visualized using the fluorescent tracer Fast Blue (FB). The morphometric analysis
of FB-positive (FB+)neurons showed that in the L4, L5, S1 and S2 DRGs, the small
sized perikarya constituted the major population, whereas in the L6 and S3 DRGs
the medium-sized cells made up the major population. In all these ganglia, large
sized FB+ perikarya constituted only a small percentage of all FB+ neurons.
Immunohistochemistry revealed that small- and medium-sized FB+ perikarya
contained sensory markers such as: substance P (SP), calcitonin gene related
peptide (CGRP) and galanin (GAL); as well as various other factors such as
somatostatin (SOM), calbindin-D28k (CB), pituitary adenylate cyclase-activating
polypeptide (PACAP) and neuronal nitric oxide synthase (nNOS). Meanwhile large
sized FB+ perikarya usually expressed SP, CGRP or PACAP. In the lumbar DRGs, some
large cells also contained SOM and CB. Double-labeling immunohistochemistry
showed that SP-positive neurons co-expressed CGRP, GAL or PACAP; while PACAP
positive cells co-expressed GAL or nNOS. Neurons stained for SOM were also
immunoreactive for CB or GAL, while neurons stained for nNOS were also
immunoreactive for GAL. In conclusion, the present data has indicated that the
distribution and chemical phenotyping of the porcine skin-projecting neurons are
different within DRGs of the lumbar (forming a femoral nerve) and sacral (forming
a sciatic nerve) spinal nerves.
PMID- 27866654
TI - CRISPR-Based Technologies for the Manipulation of Eukaryotic Genomes.
AB - The CRISPR-Cas9 RNA-guided DNA endonuclease has contributed to an explosion of
advances in the life sciences that have grown from the ability to edit genomes
within living cells. In this Review, we summarize CRISPR-based technologies that
enable mammalian genome editing and their various applications. We describe
recent developments that extend the generality, DNA specificity, product
selectivity, and fundamental capabilities of natural CRISPR systems, and we
highlight some of the remarkable advancements in basic research, biotechnology,
and therapeutics science that these developments have facilitated.
PMID- 27866659
TI - Value of Propensity Score Matching for Equalizing Comparator Groups in
Observational Database Studies: A Case Study in Anti-infectives.
AB - PURPOSE: Propensity score methodologies can reduce bias and confounding in
nonrandomized studies, including pharmaceutical comparative effectiveness
studies. An observational case study was developed to demonstrate the impact of
propensity score adjustments on outcomes (ie, discharge status) of patients
hospitalized for complicated intra-abdominal infections. METHODS: Two cohorts
were examined: intensive care unit (ICU) (vs non-ICU) patients and tigecycline
treated patients (vs patients receiving other antibiotics). Discharge status was
captured before propensity scoring. FINDINGS: The impact of propensity scoring on
discharge outcome was greater when comparing ICU patients versus non-ICU patients
than when comparing tigecycline recipients versus nonrecipients. IMPLICATIONS:
Propensity scoring should be examined carefully to optimize its effects.
Moreover, propensity scoring only addresses bias and confounding in nonrandomized
studies that are attributable to variables contained within the dataset (ie, so
called "observables") and not to other variables that may influence the
relationship between outcomes and other independent variables.
PMID- 27866661
TI - A critical question for NEC researchers: Can we create a consensus definition of
NEC that facilitates research progress?
AB - In the last decades the reported incidence of preterm necrotizing enterocolitis
(NEC) has been declining in large part due to implementing comprehensive NEC
prevention initiatives, including breast milk feeding, standardized feeding
protocols, transfusion guidelines, and antibiotic stewardship and improving the
rigor with which non-NEC cases are excluded from NEC data. However, after more
than 60 years of NEC research in animal models, the promise of a "magic bullet"
to prevent NEC has yet to materialize. There are also serious issues involving
clinical NEC research. There is a lack of a common, comprehensive definition of
NEC. National datasets have their own unique definition and staging definitions.
Even within academia, randomized trials and single center studies have widely
disparate definitions. This makes NEC metadata of very limited value. The world
of neonatology needs a comprehensive, universal, consensus definition of NEC. It
also needs a de-identified, international data warehouse.
PMID- 27866660
TI - Graft copolymerization of acrylamide on chitosan-co-chitin and its application
for immobilization of Aspergillus sp. RL2Ct cutinase.
AB - The synthesis of chitosan (Chs) and chitin (Chi) copolymer and grafting of
acrylamide (AAm) onto the synthesized copolymer have been carried out by chemical
methods. The grafted copolymer was characterized by FTIR, SEM and XRD. The
extracellular cutinase of Aspergillus sp. RL2Ct (E.C. 3.1.1.3) was purified to
4.46 fold with 16.1% yield using acetone precipitation and DEAE sepharose ion
exchange chromatography. It was immobilized by adsorption on the grafted
copolymer. The immobilized enzyme was found to be more stable then the free
enzyme and has a good binding efficiency (78.8%) with the grafted copolymer. The
kinetic parameters KM and Vmax for free and immobilized cutinase were found to be
0.55mM and 1410MUmolmin-1mg-1 protein, 2.99mM and 996MUmolmin-1mg-1 protein,
respectively. The immobilized cutinase was recycled 64 times without considerable
loss of activity. The matrix (Chs-co-Chi-g-poly(AAm)) prepared and cutinase
immobilized on the matrix have potential applications in enzyme immobilization
and organic synthesis respectively.
PMID- 27866663
TI - [Dermatoscopy of breast melanoma in women].
PMID- 27866662
TI - Should we believe in transfusion-associated enterocolitis? Applying a GRADE to
the literature.
AB - Numerous observational studies appear to demonstrate an association between
packed red blood cell (pRBC) transfusions and necrotizing enterocolitis (NEC).
However, the limited numbers of randomized controlled trials (RCTs) do not
support a causal relationship between pRBC transfusion and NEC. We sought to
determine the quality of the evidence behind transfusion-associated necrotizing
enterocolitis (TANEC), and to formulate a GRADE-based recommendation regarding
transfusion practices to reduce the risk of TANEC. A systematic search including
MEDLINE, Embase, CINAHL, the Cochrane Central Register of Controlled Trials and
clinical trials registries was performed for studies assessing the association
between transfusion and NEC. Teams of two paired reviewers independently screened
studies for eligibility, assessed risk of bias using the GRADE framework, and
collected data from each eligible study. We examined studies for two time points
following transfusion: within 48h if this was available, and otherwise at any
time after transfusion. In total, 23 observational studies and three RCTs met
inclusion criteria. The average rating for the quality of evidence of individual
studies was between "very low" and "low." On pooling studies for GRADE review, we
observed an inconsistency of results. This led to a final overall quality of
"very low" for the evidence for an association between transfusions and
necrotizing enterocolitis. The pooled outcome of NEC for observational/case
control studies was an odds ratio of 1.13 (95% CI: 0.99-1.29) when TANEC was
defined as occurring within 48 hours of transfusion. For NEC occurring at any
time post-transfusion, the pooled OR was 1.95 (1.60-2.38). Conversely, the pooled
outcome of NEC for the RCT data had an odds ratio of 0.6 (0.3, 1.21) with NEC
being less frequent in the liberal transfusion group compared to the restrictive
transfusion group. The overall quality of the evidence for TANEC is "very low,"
suggesting very little confidence in the effect estimate. RCT data tended toward
apparent protection against NEC. The available evidence is not sufficient to
support a practice recommendation around pRBC transfusions in the context of
preventing the development of NEC.
PMID- 27866664
TI - Introduction: Social Return On Investment (SROI).
AB - An introduction to the issue Social Return On Investment (SROI), including an
overview of problems prompting this special issue, plus definitions and examples
of terms in this exciting, burgeoning area of cost-inclusive evaluation.
PMID- 27866665
TI - "Giant cell reparative tumor: An exceptional differential diagnosis for a lytic
lesion of the temporal bone".
AB - BACKGROUND AND IMPORTANCE: Giant cell reparative granuloma is a very rare benign
osteolytic lesion. It typically arises in the mandible and rarely involves the
skull. CLINICAL PRESENTATION: A 25-year-old male was admitted in August 2002 for
a painless left preauricular mass of several months duration. CT scan revealed an
osteolytic extradural lesion located in the temporal bone, with extension to
infratemporal fossa. We performed a surgical partial resection of the tumour via
a frontotemporal approach. At 36 months after surgery, the lesion continued
growth and subsequently we decided to perform a preauricular infratemporal
approach. After a ten year-follow-up, the patient remained asymptomatic and a
small tumour remnant was visible and stable. CONCLUSION: Giant cell reparative
granulomas that originate from the temporal bone are exceptional. There are no
typical radiological features of this disease. Diagnosis is confirmed by analysis
of the surgical specimen. Tumor growth requires surgical resection.
PMID- 27866666
TI - Why is there no "c" in nursing?
PMID- 27866667
TI - CMS overhauls nursing facility regulations.
PMID- 27866668
TI - Long term care nursing competence and related factors among Taiwanese nurses: A
national survey for those who completed the LTC training course.
AB - The aim of this study was to explore Taiwanese nurses' LTC competence and to
examine its relationship with their LTC-related knowledge, care intention, and
practical experience. The total sampling was selected from nurses who
participated in a 2013 LTC course offered by the Ministry of Health and Welfare.
Participants in this study (n = 122) voluntarily provided email addresses and
responded to an online survey. A self-developed scale with acceptable reliability
and validity was used for data collection. Findings from this study showed median
high levels of LTC nursing competence, which was found to be positively
correlated with LTC knowledge, care intention, practical experience, continuing
education, and marital status. The study revealed that through on-the-job
training, nurses' LTC knowledge, care intention, practical experience and nursing
competence can be improved, which will benefit the quality of care for LTC
clients.
PMID- 27866669
TI - Conjoined twins after in-vitro fertilization.
PMID- 27866670
TI - [Shift the skin paddle in an additional incision improves the result: Study of a
series of 82 breast reconstructions by latissimus dorsi flap and prosthesis
implantation at 10 years].
AB - : The position of the skin paddle on the breast area is a fundamental element for
the breast reconstructions by latissimus dorsi flap and prosthesis implantation.
Should, as Millard advocated, to recreate the initial defect and include it in
the mastectomy scar or is it better in an additional incision as have others
authors. This study compares the long-term morphological results of these two
attitudes, with or without additional incision. PATIENTS AND METHODS: Eighty-two
breast reconstructions by latissimus dorsi flap and prosthesis implantation, with
a mean follow-up of 9.5 years were scored from 1 to 5 by a panel of expert and
non-expert. The patients scored also their own reconstruction. Various parameters
of the reconstructed breast were thus evaluated. We compared the results,
according to the positioning of the skin paddle: with additional incision (50
cases); without additional incision (32 cases). The characteristics of the
mastectomy scar on the breast area, high or low, horizontal or oblique, defined 6
groups where the results of the 2 surgical options were compared. RESULTS: Only
the reconstructions with additional incision get significantly higher results
than those without additional incision (P<0.05). This involves the two panels, in
the case of high mastectomy scars. In the others cases the additional incision is
not essential. CONCLUSIONS: If the realization of an additional incision can be
perceived like misfit on an area already "mutilated", we plead for this solution
in selected cases. This provides a benefit stable in time, in terms of overall
results, shape and even rendering scar.
PMID- 27866671
TI - Biology enters the scene-a new perspective on bilingualism, cognition, and
dementia.
AB - The question of whether bilingualism can influence cognitive functions in healthy
aging as well as in brain diseases is currently a topic of an intense debate. In
a study published in this issue of the "Neurobiology of Ageing", Estanga et al.
are breaking new ground by combining cognitive and biological approaches. Based
on the data from the Guipuzkoa Alzheimer Project, they report that, compared with
monolinguals, early bilinguals are not only characterized by a better cognitive
performance in several domains and a lower prevalence of Alzheimer's disease but
also by lower levels of t-tau in their cerebrospinal fluid. We suggest that
sustained activation of noradrenergic signaling pathways associated with
bilingualism could provide a possible mechanism linking results of this study
with previous observations of delayed onset of dementia in bilinguals.
PMID- 27866672
TI - Chemical and biochemical thermodynamics: Is it time for a reunification?
AB - The thermodynamics of chemical reactions in which all species are explicitly
considered with atoms and charge balanced is compared with the transformed
thermodynamics generally used to treat biochemical reactions where atoms and
charges are not balanced. The transformed thermodynamic quantities suggested by
Alberty are obtained by execution of Legendre transformation of the usual
thermodynamic potentials. The present analysis demonstrates that the transformed
values for DeltarG'0 and DeltarH'0can be obtained directly without performing
Legendre transformations by simply writing the chemical reactions with all the
pseudoisomers explicitly included and charges balanced. The appropriate
procedures for computing the stoichiometric coefficients for the pseudoisomers
are fully explained by means of an example calculation for the biochemical ATP
hydrolysis reaction. It is concluded that the analysis has reunited the "two
separate worlds" of conventional thermodynamics and transformed thermodynamics.
In addition, it is also shown that the value of the conditional Gibbs energy of
reaction, DeltarG', for a biochemical reaction is the same of the value of
DeltarG for any chemical reaction involving pseudoisomers of the biochemical
reagents.
PMID- 27866673
TI - Surveillance of tedizolid activity and resistance: In vitro susceptibility of
Gram-positive pathogens collected over 5 years from the United States and Europe.
AB - In vitro activity of tedizolid and comparators against 11,231 Gram-positive
clinical isolates from the United States (84 centers) and Europe (115 centers)
were summarized as part of the Surveillance of Tedizolid Activity and Resistance
program between 2009 and 2013. Susceptibility testing was performed according to
Clinical Laboratory and Standards Institute (CLSI) guidelines. Minimum inhibitory
concentration (MIC) interpretations were based on CLSI and European Committee on
Antimicrobial Susceptibility Testing criteria. Tedizolid inhibited 99.7% of all
isolates at MIC <=0.5 mg/L; activity was similar regardless of methicillin or
vancomycin resistance phenotypes of Staphylococcus aureus and enterococci,
respectively. Tedizolid MIC >1 mg/L was reported for 3 S. aureus, 4 coagulase
negative staphylococci, and 2 enterococcal isolates; all streptococci were
inhibited at MIC <=0.5 mg/L. Tedizolid was >=4-fold more potent than linezolid
against all groups, including resistant phenotypes. Tedizolid had potent/stable
activity against a large, contemporary collection of Gram-positive clinical
isolates, with low rates of resistance.
PMID- 27866674
TI - Quantitative T2 mapping for detection and quantification of thrombophlebitis in a
rabbit model.
AB - Short peripheral catheter thrombophlebitis (SPCT), a sterile inflammation of the
vein wall, is the most common complication associated with short peripheral
catheters (SPCs) and affects up to 80% of hospitalized patients receiving IV
therapy. Extensive research efforts have been devoted for improvement and
optimization of the catheter material, but means for examination of any novel
design are limited, inaccurate and require costly comprehensive pre-clinical and
clinical trials. Therefore, there is a conclusive need for a reliable
quantitative method for evaluation of SPCT, in particular for research purposes
examining the thrombophlebitis-related symptoms of any novel catheter design. In
this study, we developed for the first time a quantitative MRI based tool for
evaluation of SPCT. The extent and severity of SPCT caused by two different
commercially available SPCs with known predisposition for thrombophlebitis, were
studied in a rabbit model. MRI analysis was consistent with the standardized
pathology evaluation and showed remarkable difference in the percent of edema
between the experimental groups. These differences were in line with previous
studies and provide evidence that this type of analysis may be useful for future
assessment of SPCT in vivo. As a non-invasive method, it may constitute a cost
effective solution for examination of new catheters and other medical devices,
thereby reducing the need for animal sacrifice.
PMID- 27866675
TI - Hemodynamics of patient-specific aorta-pulmonary shunt configurations.
AB - Optimal hemodynamics in aorta-pulmonary shunt reconstruction is essential for
improved post-operative recovery of the newborn congenital heart disease patient.
However, prior to in vivo execution, the prediction of post-operative
hemodynamics is extremely challenging due to the interplay of multiple
confounding physiological factors. It is hypothesized that the post-operative
performance of the surgical shunt can be predicted through computational blood
flow simulations that consider patient size, shunt configuration, cardiac output
and the complex three-dimensional disease anatomy. Utilizing only the routine
patient-specific pre-surgery clinical data sets, we demonstrated an intelligent
decision-making process for a real patient having pulmonary artery atresia and
ventricular septal defect. For this patient, a total of 12 customized candidate
shunt configurations are contemplated and reconstructed virtually using a sketch
based computer-aided anatomical editing tool. Candidate shunt configurations are
evaluated based on the parameters that are computed from the flow simulations,
which include 3D flow complexity, outlet flow splits, shunt patency, coronary
perfusion and energy loss. Our results showed that the modified Blalock-Taussig
(mBT) shunt has 12% higher right pulmonary artery (RPA) and 40% lower left
pulmonary artery (LPA) flow compared to the central shunt configuration. Also,
the RPA flow regime is distinct from the LPA, creating an uneven flow split at
the pulmonary arteries. For all three shunt sizes, right mBT innominate and
central configurations cause higher pulmonary artery (PA) flow and lower coronary
artery pressure than right and left mBT subclavian configurations. While there is
a trade-off between energy loss, flow split and coronary artery pressure,
overall, the mBT shunts provide sufficient PA perfusion with higher coronary
artery pressures and could be preferred for similar patients having PA overflow
risk. Central shunts would be preferred otherwise particularly for cases with
very low PA overflow risk.
PMID- 27866676
TI - Human soleus sarcomere lengths measured using in vivo microendoscopy at two ankle
flexion angles.
AB - The forces generated by the soleus muscle play an important role in standing and
locomotion. The lengths of the sarcomeres of the soleus affect its force
generating capacity, yet it is unknown how sarcomere lengths in the soleus change
as a function of ankle flexion angle. In this study, we used microendoscopy to
measure resting sarcomere lengths at 10 degrees plantarflexion and 20 degrees
dorsiflexion in 7 healthy individuals. Mean sarcomere lengths at 10 degrees
plantarflexion were 2.84+/-0.09um (mean+/-S.E.M.), near the optimal length for
sarcomere force generation. Sarcomere lengths were 3.43+/-0.09um at 20 degrees
dorsiflexion, indicating that they were longer than optimal length when the ankle
was in dorsiflexion and the muscle was inactive. Our results indicate a smaller
sarcomere length difference between two ankle flexion angles compared to
estimates from musculoskeletal models and suggest why these models frequently
underestimate the force-generating capacity of the soleus.
PMID- 27866677
TI - Pressure drop and arterial compliance - Two arterial parameters in one
measurement.
AB - Coronary artery pressure-drop and distensibility (compliance) are two major,
seemingly unrelated, parameters in the cardiovascular clinical setting, which are
indicative of coronary arteries patency and atherosclerosis severity. While
pressure drop is related to flow, and therefore serves as a functional indicator
of a stenosis severity, the arterial distensibility is indicative of the arterial
stiffness, and hence the arterial wall composition. In the present study, we
hypothesized that local pressure drops are dependent on the arterial
distensibility, and hence can provide information on both indices. The clinical
significance is that a single measurement of pressure drop could potentially
provide both functional and bio-mechanical metrics of lesions, and thus assist in
real-time decision making prior to stenting. The goal of the current study was to
set the basis for understanding this relationship, and define the accuracy and
sensitivity required from the pressure measurement system. The investigation was
performed using numerical fluid-structure interaction (FSI) simulations,
validated experimentally using our high accuracy differential pressure
measurement system. Simplified silicone mock coronary arteries with zero to
intermediate size stenoses were used, and various combinations of arterial
distensibility, diameter, and flow rate were simulated. Results of hyperemic flow
cases were also compared to fractional flow reserve (FFR). The results indicate
the potential clinical superiority of a high accuracy pressure drop-based
parameter over FFR, by: (i) being more lesion-specific, (ii) the possibility to
circumvent the FFR dependency on pharmacologically-induced hyperemia, and, (iii)
by providing both functional and biomechanical lesion-specific information.
PMID- 27866678
TI - Patient-specific CFD models for intraventricular flow analysis from 3D ultrasound
imaging: Comparison of three clinical cases.
AB - BACKGROUND: As the intracardiac flow field is affected by changes in shape and
motility of the heart, intraventricular flow features can provide diagnostic
indications. Ventricular flow patterns differ depending on the cardiac condition
and the exploration of different clinical cases can provide insights into how
flow fields alter in different pathologies. METHODS: In this study, we applied a
patient-specific computational fluid dynamics model of the left ventricle and
mitral valve, with prescribed moving boundaries based on transesophageal
ultrasound images for three cardiac pathologies, to verify the abnormal flow
patterns in impaired hearts. One case (P1) had normal ejection fraction but low
stroke volume and cardiac output, P2 showed low stroke volume and reduced
ejection fraction, P3 had a dilated ventricle and reduced ejection fraction.
RESULTS: The shape of the ventricle and mitral valve, together with the pathology
influence the flow field in the left ventricle, leading to distinct flow
features. Of particular interest is the pattern of the vortex formation and
evolution, influenced by the valvular orifice and the ventricular shape. The base
to-apex pressure difference of maximum 2mmHg is consistent with reported data.
CONCLUSION: We used a CFD model with prescribed boundary motion to describe the
intraventricular flow field in three patients with impaired diastolic function.
The calculated intraventricular flow dynamics are consistent with the diagnostic
patient records and highlight the differences between the different cases. The
integration of clinical images and computational techniques, therefore, allows
for a deeper investigation intraventricular hemodynamics in patho-physiology.
PMID- 27866679
TI - [Hodgkin and non-Hodgkin lymphoma of adolescents and young adults].
AB - Lymphoma is one of the most frequent cancers in adolescent and young adults.
Hodgkin Lymphoma is curable in more than 90% of cases. Recent pediatric and
adults protocols aimed to decrease long term toxicities (mostly gonadic and
cardiovascular) and secondary malignancies, reducing the use of alkylating agents
and limiting radiation fields. Risk-adapted strategies, using positron emission
tomography staging, are about to become a standard, both in adult and pediatric
protocols. These approaches allow obtaining excellent results in adolescents with
Hodgkin lymphoma. On the other hand, treatment of adolescents with diffuse large
B-cell lymphoma raises some questions. Even through children have good outcomes
when treated with risk-adapted strategies, adolescents who are between 15 and 18
years old seem to experience poorer survivals, whereas patients older than 18
years old have globally the same outcome than older adults. This category of
patient needs a particular care, based on a tight coordination between adults and
pediatric oncologists. Primary mediastinal lymphomas, a subtype of BLDCL frequent
in young adult population, exhibits poorer outcomes in children or young
adolescent population than in older ones. Taking together, B-cell lymphoma
benefited from recent advances in immunotherapy (in particular with the extended
utilization of rituximab) and metabolic response-adapted strategies. In
conclusion, adolescent and young adult's lymphomas are very curable diseases but
require a personalized management in onco-hematological units.
PMID- 27866680
TI - ARLTS1, potential candidate gene in familial aggregation of hematological
malignancies.
AB - INTRODUCTION: Genetic predisposition to familial hematological malignancies was
previously described through several epidemiological analyses, but the genetic
basis remains unclear. The tumor-suppressor ARLTS1 gene was previously described
in sporadic hematological malignancies and familial cancer context. METHODS: In
this study, we sequence the ARLTS1 gene in 100 patients belonging to 88
independent Tunisian and French families. RESULTS: After gene sequencing, we
report 8 genetic variations, most of which were previously reported in several
cancer forms. The most common variants were W149X and C148R and were previously
associated to B-cell chronic lymphocytic leukemia and to high-risk of familial
breast cancer. CONCLUSIONS: These results emphasize the fact that ARLTS1 gene
mutations can be considered as a potential predisposing factor in familial
hematological malignancies and other several cancer forms.
PMID- 27866681
TI - [Psychosocial and developmental outcomes of TYAs with cancer: Are there any
specific characteristics for the young adults?]
AB - During the last few years, specific support devices and even dedicated units for
teenagers and young adults (TYAs - patients grouped in the 15-25 years age group)
appeared in oncology. If the existing literature review allows identifying many
written work related to the experience of cancer during adolescence, resources
about "young adults" are not only far less abundant, but rarely give the
definition of what is a "young adult". Based on this observation, it appears
necessary, at this stage of our practice, to question the definition and
psychosocial outcomes of those psychiatrists and psychologists also call "young
adults". Are they so different compared to teenagers? Do they have their own
specificities? Based on the analysis of the general literature, we will seek to
define the highlights of this moment of life and to identify their specific
psychosocial and developmental outcomes. Thus, we will be able to study more
accurately the experience of young adults facing cancer and the associated
psychological side effects. Based on this analysis, we will present the issues
which seemed to be specific in the psychological support of young adults and
their relatives.
PMID- 27866683
TI - Interventional laser surgery for oral potentially malignant disorders: a
longitudinal patient cohort study.
AB - Oral squamous cell carcinoma (OSCC) is a lethal disease, with rising incidence.
There were 6767 new OSCC cases and 2056 deaths in the UK in 2011. Cancers are
preceded by oral potentially malignant disorders (PMDs), recognizable mucosal
diseases harbouring increased SCC risk, offering clinicians a 'therapeutic
window' to intervene. Contemporary practice remains unable to predict lesion
behaviour or quantify malignant transformation risk. No clear management
guidelines exist and it is unclear from the literature whether early diagnosis
and intervention prevents cancer. Between 1996 and 2014, 773 laser treatments
were performed on 590 PMD patients in Newcastle maxillofacial surgery
departments. The efficacy of the intervention was examined by review of the
clinicopathological details and clinical outcomes of the patients (mean follow-up
7.3 years). Histopathology required up-grading in 36.1% on examining excision
specimens. Seventy-five percent of patients were disease-free, mostly younger
patients with low-grade dysplasia; 9% exhibited persistent disease and were
generally older with proliferative verrucous leukoplakia. Disease-free status was
less likely for erythroleukoplakia (P=0.022), 'high-grade' dysplasia (P<0.0001),
and with lichenoid inflammation (P=0.028). Unexpected OSCC was identified in
12.0%, whilst 4.8% transformed to malignancy. Interventional laser surgery
facilitates definitive diagnosis and treatment, allows early diagnosis of OSCC,
identifies progressive disease, and defines outcome categories. Evidence is
lacking that intervention halts carcinogenesis. Multicentre, prospective,
randomized controlled trials are needed to confirm the efficacy of surgery.
PMID- 27866682
TI - [Adolescent and Young Adults (AYAS) brain tumor national Web conference. On
behalf of ANOCEF, GO-AJA and SFCE societies].
AB - : The skills of adult versus pediatric neuro-oncologists are not completely
similar though additive. Because the tumors and their protocols are different and
the tolerance and expected sequelae are specific. Multidisciplinary meetings
including adult and pediatric neuro-oncologists are warranted to share expertise.
Since 2008, a weekly national web based conference was held in France. Any
patient with the following criteria could be discussed: Adolescent and Young
Adults aged between 15 and 25 years, and any adult with a pediatric type
pathology, including medulloblastoma, germ cell tumors, embryonic tumors,
ependymoma, pilocytic astrocytoma. RESULTS: Attendance during the year 2015 was
as follows: 42 meetings were held; the median number of cases discussed at each
meeting was 4 (1 to 8); the mean number of attendants was 7 (2 to 12). One
hundred and sixty-eight cases concerning 121 patients were discussed. Mean age
was 30 years old (7 to 67). Forty-eight percent were discussed at diagnosis. The
patients had mostly medulloblastomas (40%), germ cell tumors (11%), ependymomas
(11%), pineal tumors (7%) and embryonal tumors (8%). The rate of inclusion in
protocols was increased since the opening of this web conference, especially for
the germ cell tumor SIOP protocol that is opened without age restriction, and in
RSMA standard risk or MEVITEM relapse adult medulloblastoma protocols.
CONCLUSION: Multidisciplinary Web conference for AYAs is feasible and increases
the inclusion rate in protocols. It should be developed further.
PMID- 27866685
TI - Garrett Hardin's Tragic Sense of Life.
AB - I discuss biologist Garrett Hardin's view of human nature, with examples from the
background to his seminal 1968 essay "The Tragedy of the Commons" and his
testimony before the US House of Representatives in the 1970s. Hardin saw the
human species as being governed by deterministic laws of the same kind that
controlled all other forms of life. Humans, as much as cattle and microbes, were
in inevitable competition for space and resources. Equal parts Malthusian
political economy and Cold War systems science, his view was the survival of the
human race depended on obeying these iron laws. Human freedom was the recognition
of-and obedience to-its nature. This determination for humanity to act within the
strictures placed on itself by its own nature was what he called "lifeboat
ethics." In order for the citizens of the rich countries to survive, many in the
Third World would have to die. In this sense I characterize Hardin's sense of
life as tragic, both as a play on the title of his famous essay, and to emphasize
his view that the problem of human population growth had no 'win-win' solutions.
PMID- 27866684
TI - Was Queen Jane Seymour (1509-1537) Delivered by a Cesarean Section?
AB - No direct evidence documents exactly how Jane Seymour gave birth on October 12,
1537. Several later commentators have raised cesarean birth as an option. This
paper tries to establish the probable cause of Jane Seymour's death in accordance
with present-day knowledge of obstetrics and whether or not a cesarean section
could have been actually performed in sixteenth-century England. It appears
almost certainly that there were no obstetrical indications that would have led
the Queen's physicians to operate on her, a surgeon was not present at her
delivery, cesarean section on a living woman was not regularly performed in
England in 1537, puerperium events do not support surgery, and the existing pro
cesarean confirmation was politically motivated. Therefore, the most likely mode
of Jane Seymour's delivery was vaginal rather than cesarean.
PMID- 27866686
TI - Size, Loading Efficiency, and Cytotoxicity of Albumin-Loaded Chitosan
Nanoparticles: An Artificial Neural Networks Study.
AB - When designing nanoparticles for drug delivery, many variables such as size,
loading efficiency, and cytotoxicity should be considered. Usually, smaller
particles are preferred in drug delivery because of longer blood circulation time
and their ability to escape from immune system, whereas smaller nanoparticles
often show increased toxicity. Determination of parameters which affect size of
particles and factors such as loading efficiency and cytotoxicity could be very
helpful in designing drug delivery systems. In this work, albumin (as a protein
drug model)-loaded chitosan nanoparticles were prepared by polyelectrolyte
complexation method. Simultaneously, effects of 4 independent variables including
chitosan and albumin concentrations, pH, and reaction time were determined on 3
dependent variables (i.e., size, loading efficiency, and cytotoxicity) by
artificial neural networks. Results showed that concentrations of initial
materials are the most important factors which may affect the dependent
variables. A drop in the concentrations decreases the size directly, but they
simultaneously decrease loading efficiency and increase cytotoxicity. Therefore,
an optimization of the independent variables is required to obtain the most
useful preparation.
PMID- 27866687
TI - Glibenclamide Nanocrystals in a Biodegradable Chitosan Patch for Transdermal
Delivery: Engineering, Formulation, and Evaluation.
AB - Glibenclamide (GBD) nanocrystals (D50 = 429 nm) were engineered by applying
combined precipitation and homogenization procedures. GBD crystallinity was
maintained during the nanonization process as revealed by differential scanning
calorimetry and X-ray analyses. Nanonized and micronized GBD were incorporated
into chitosan solutions to fabricate transdermal delivery systems (TDDSs), nano-
and micro-GBD, respectively. The fabricated TDDSs displayed satisfactory
physicochemical characteristics without substantial aggregation of GBD
nanocrystals during the casting and drying procedures. Within 24 hours, about 85
+/- 3.1% of the GBD content was released from nano-GBD, compared to 61 +/- 3.9%
from micro-GBD. Cumulative permeation of GBD from nano-GBD after 24 hours was 498
+/- 33.35 compared to 362 +/- 25.25 MUg/cm2 from micro-GBD. The calculated flux
across rat skin for nano-GBD was 23.14 compared to 13.64 MUg/cm2/h for micro-GBD,
with an enhancement factor of 1.7. In vivo assessment clearly revealed the
enhanced efficacy of nano-GBD to reduce blood glucose levels and counteract the
induced hyperglycemia in tested animals compared to micro-GBD (p < 0.5).
Simultaneously, the nano-GBD was able to maintain higher drug concentration for
longer time (24 hours, p < 0.5) and minimize intense action and hypoglycemia
associated with GBD oral therapy (p < 0.5).
PMID- 27866688
TI - Optimal mixing rate in linear solvent strength gradient liquid chromatography.
Balanced mixing program.
AB - The mixing rate (Rphi) is the temporal rate of increase in the solvent strength
in gradient LC. The optimal Rphi (Rphi,Opt) is the one at which a required peak
capacity of gradient LC analysis is obtained in the shortest time. The balanced
mixing program is a one where, for better separation of early eluting solutes,
the mixing ramp is preceded by a balanced isocratic hold of the duration
depending on Rphi. The improvement in the separation of the earlier eluites due
to the balanced programming has been evaluated. The value of Rphi,Opt depends on
the solvent composition range covered by the mixing ramp and on the column
pressure conditions. The Rphi,Opt for a column operating at maximum instrumental
pressure is different from Rphi,Opt for a column operating below the instrumental
pressure limit. On the other hand, it has been shown that the difference in the
Rphi,Opt values under different conditions is not very large so that a single
default Rphi previously recommended for gradient analyses without the isocratic
hold also yields a good approximation to the shortest analysis time for all
conditions in the balanced analyses. With or without the initial balance
isocratic hold, the recommended default Rphi is about 5%/t0 (5% increase in the
solvent strength per each t0-long increment in time) for small-molecule samples,
and about an order of magnitude slower (0.5%/t0) for protein samples. A
discussion illustrating the use of the optimization criteria employed here for
the techniques other than LSS gradient LC is included.
PMID- 27866689
TI - Characterization of non-specific protein adsorption induced by triazole groups on
the chromatography media using Cu (I)-catalyzed alkyne-azide cycloaddition
reaction for ligand immobilization.
AB - As an efficient and facile reaction, click chemistry has been growingly used in
the preparation of chromatography media for immobilizing varying types of
ligands. For the widely used Cu (I)-catalyzed alkyne-azide click reaction, a 1,
2, 3-triazole group will be inevitably introduced in the molecular linkage, which
could give rise to unexpected non-specific adsorption especially for the media
employing small compound ligands or high ligand density. Triazole-induced non
specific protein adsorption on sepharose resins was evaluated systematically in
this work, by considering the effects of triazole content, length of spacer arm,
and solution conditions. We found that triazole content of a resin played the key
role. Protein adsorption became significant when the media was coupled with
triazole at a medium density (about 60MUmol/mL gel), and the binding amount
further increased with triazole density. The resin with triazole content of about
100MUmol/mL gel could adsorb human IgG, bovine serum albumin and lysozyme at the
amount of 13.6, 30.0, and 5.1mg/mL respectively. Proteins tended to be adsorbed
at higher amount as the pH of solution approached their isoelectric points, and
increasing salt concentration could reduce triazole-induced adsorption but only
within limited extent. This study can facilitate reasonable application of click
chemistry in the synthesis of chromatography media, by providing some basic
principles for optimizing structural properties of separation media and choosing
suitable solution conditions.
PMID- 27866690
TI - Method to determine the true modulation ratio for comprehensive two-dimensional
gas chromatography.
AB - A new method is presented to determine the true modulation ratio, MR, from the
measurable effective modulation ratio, MR*, in comprehensive two-dimensional gas
chromatography, GC*GC, without the requirement for a detector at the end of the
primary column. The method was developed through the investigation of modulator
induced band broadening, as a function of 1Wb and the selected modulation period,
PM, for simulated GC*GC data, by first defining primary column 1D peak(s) and
simulating the modulation process. Gaussian curve fitting is used to model each
modulated secondary column separation peaklet, 2D, in the unfolded GC*GC data to
accurately determine the maxima of the peaklet distribution, followed by Gaussian
curve fitting to the maxima to determine the effective 1D peak profile and width,
1Wb*. The relationship between 1Wb and 1Wb* is studied as a function of the
effective modulation ratio, MR*, which is 1Wb* divided by PM, in order to
determine the true modulation ratio, MR, which is 1Wb divided by PM. We explore
how peak sampling phase (in-phase and out-of-phase) plays a role in the
relationship between MR and MR*. Experimental validation of the simulated results
is also provided, to span a range of commonly implemented conditions with typical
1Wb (2-4.5s) and PM (0.25-8s). Use of MR<2 significantly broadens the 1D peak
(MR*>=1.2MR) corresponding to a loss in 1D peak capacity, 1nc>=20%. The new
method relies upon mapping from MR* to MR, which is discussed in relation to peak
capacity theories for GC*GC. It is found that optimizing 1nc in GC*GC requires
that 1Wb is minimized and must be sampled with a sufficiently short PM (1-2s) to
minimize modulator induced band broadening and a subsequent reduction in the
effective 1D peak capacity.
PMID- 27866693
TI - An unexpected complication of acute pancreatitis: Intra-cardiac thrombus.
AB - Left atrial thrombus after acute pancreatitis (AP) is a rare clinical statement.
Because of induction of systemic prothrombotic process by AP; some patients with
underlying risk factors may develop an intra-cardiac thrombus. We present a
53years-old-woman with moderate mitral stenosis and atrial fibrillation. However
the patient was under warfarin treatment, she developed a big left atrial big
thrombus which was originated from left atrial appendage after she was suffered
from AP.
PMID- 27866691
TI - Vocal Parameters and Self-Perception in Individuals With Adductor Spasmodic
Dysphonia.
AB - OBJECTIVE: The study aimed to compare and correlate perceptual-auditory analysis
of vocal parameters and self-perception in individuals with adductor spasmodic
dysphonia before and after the application of botulinum toxin. STUDY DESIGN: This
is a prospective cohort study. METHODS: Sixteen individuals with a diagnosis of
adductor spasmodic dysphonia were submitted to the application of botulinum toxin
in the thyroarytenoid muscle, to the recording of a voice signal, and to the
Voice Handicap Index (VHI) questionnaire before the application and at two time
points after application. Two judges performed a perceptual-auditory analysis of
eight vocal parameters with the aid of the Praat software for the visualization
of narrow band spectrography, pitch, and intensity contour. RESULTS: Comparison
of the vocal parameters before toxin application and on the first return revealed
a reduction of oscillation intensity (P = 0.002), voice breaks (P = 0.002), and
vocal tremor (P = 0.002). The same parameters increased on the second return. The
degree of severity, strained-strangled voice, roughness, breathiness, and
asthenia was unchanged. The total score and the emotional domain score of the VHI
were reduced on the first return. There was a moderate correlation between the
degree of voice severity and the total VHI score before application and on the
second return, and a weak correlation on the first return. CONCLUSIONS:
Perceptual-auditory analysis and self-perception proved to be efficient in the
recognition of vocal changes and of the vocal impact on individuals with adductor
spasmodic dysphonia under treatment with botulinum toxin, permitting the
quantitation of changes along time.
PMID- 27866692
TI - Does the number of emergency medical technicians affect the neurological outcome
of patients with out-of-hospital cardiac arrest?
AB - BACKGROUND: It is unclear whether the number of paramedics in an ambulance
improves the outcome of patients with out-of-hospital cardiac arrest (OHCA) or
not. METHODS AND RESULTS: This study was a prospective, observational study
conducted on patients with OHCA. Patients were divided into the One-paramedic
group (Group O) and the Two-or-more-paramedic group (Group T) and we analyzed the
differences. Patients who were treated with only basic life support during
transportation, and whose cause of cardiac arrest were extrinsic cause such as
trauma and poisoning were excluded. Good neurological outcome was defined as
cerebral performance category (CPC) 1 or 2. In Group O, there were 1516 patients
(male/female, 922/594). In Group T, there were 2932 patients (male/female,
1798/1134). Return of spontaneous circulation (ROSC) was obtained in 528 patients
(34.8%) in Group O and 1058 patients (36.1%) in Group T (p=0.589). 320 patients
(21.1%) in Group O and 656 patients (22.4%) in Group T were admitted to hospital
after ROSC (p=0.461). At 90days, there were 57 survivors (3.8%) in Group O and
114 survivors (3.9%) in Group T (p=0.873). At 90days, 14 patients (0.9%) in Group
T had a CPC of 1 or 2, while 30 patients (1.0%) in Group T did so (p=0.87). From
the results of logistic regression analysis, age [odds ratio (OR): 0.983, 95%
confidence interval (CI): 0.952-0.993], witnessed OHCA (OR: 4.583, 95% CI: 1.587
13.234), and shockable rhythm as first documented (OR: 19.67, 95% CI: 9.181
42.13) were associated with good outcome. CONCLUSION: The number of paramedics in
an ambulance did not affect the outcome in OHCA patients.
PMID- 27866694
TI - Spontaneously resolved STEMI after a hymenoptera sting.
PMID- 27866695
TI - Does formulation matter? A systematic review and meta-analysis of oral versus
long-acting antipsychotic studies.
AB - Recently, many authors highlighted the potential advantages of a broader
prescription of long-acting injectable antipsychotics (LAIs) based on various
assumptions, including favorable pharmacokinetic features. In this systematic
review, data from randomized controlled trials comparing LAIs versus the oral
formulation of the same antipsychotic were meta-analyzed in order to ascertain
whether the route of administration may be associated with a different efficacy
and tolerability profile. Of 21 included studies, 18 contributed to the meta
analysis, providing data for risperidone, olanzapine, aripiprazole,
zuclopenthixol, fluphenazine and haloperidol. For all drugs, the number of
dropouts for any reason (primary outcome) did not differ between the two
formulations, except for a small effect in favor of LAI aripiprazole (2
comparisons; 986 patients; relative risk (RR) 0.78; 95% confidence interval (CI)
0.64 to 0.95). Similarly, no differences emerged in terms of dropouts for adverse
events, extrapyramidal symptoms, prolactin increase (except for a small advantage
for LAI risperidone), weight gain, non-response rate, relapse rate, and dropouts
for inefficacy (except for a small advantage for oral olanzapine). Data on
aripiprazole proved to be of high quality according to the GRADE approach
(Grading of Recommendations, Assessment, Development and Evaluation), therefore
we are confident that the effect estimate is close to the true effect. Data on
risperidone were of moderate quality, while data on olanzapine, fluphenazine,
zuclopenthixol and haloperidol were of low quality. In conclusion, there is no
robust evidence to support doctors in choosing LAI instead of oral formulations
in order to obtain better tolerability and efficacy.
PMID- 27866697
TI - Development and capture of soft tissue contours at time of implant placement.
AB - A technique is described which will generate a customized healing abutment for a
dental implant to allow the development of ideal soft tissue contours. With
implant position and soft tissue contours defined at surgery, customized
impression techniques can be used to capture those details and help generate the
definitive implant-supported restoration. This workflow reduces the number of
patient visits.
PMID- 27866696
TI - Equivalent Young's modulus of composite resin for simulation of stress during
dental restoration.
AB - OBJECTIVES: For shrinkage stress simulation in dental restoration, the elastic
properties of composite resins should be acquired beforehand. This study proposes
a formula to measure the equivalent Young's modulus of a composite resin through
a calculation scheme of the shrinkage stress in dental restoration. METHODS: Two
types of composite resins remarkably different in the polymerization shrinkage
strain were used for experimental verification: the methacrylate-type (Clearfil
AP-X) and the silorane-type (Filtek P90). The linear shrinkage strains of the
composite resins were gained through the bonded disk method. A formula to
calculate the equivalent Young's moduli of composite resin was derived on the
basis of the restored ring substrate. Equivalent Young's moduli were measured for
the two types of composite resins through the formula. Those values were applied
as input to a finite element analysis (FEA) for validation of the calculated
shrinkage stress. SIGNIFICANCE: Both of the measured moduli through the formula
were appropriate for stress simulation of dental restoration in that the
shrinkage stresses calculated by the FEA were in good agreement within 3.5% with
the experimental values. The concept of equivalent Young's modulus so measured
could be applied for stress simulation of 2D and 3D dental restoration.
PMID- 27866698
TI - Comparison of margin discrepancy of complete gold crowns fabricated using
printed, milled, and conventional hand-waxed patterns.
AB - STATEMENT OF PROBLEM: The recent application of printing for the fabrication of
dental restorations has not been compared and evaluated for margin discrepancy
(margin fit) with restorations fabricated using milling and conventional hand
waxing techniques. PURPOSE: The purpose of this in vitro study was to evaluate
and compare margin discrepancy of complete gold crowns (CGCs) fabricated from
printed, milled, and conventional hand-waxed patterns. MATERIAL AND METHODS:
Thirty crown patterns were produced by each of 3 different methods: printed by
ProJet DP 3000, milled by LAVA CNC 500, and hand waxed, then invested and cast
into CGCs. Each crown was evaluated at 10 positions around the margin on the
corresponding epoxy die under *50 light microscopy to determine the mean and
maximum margin discrepancy. Measurements were made using a micrometer positioning
stage. The results were compared by ANOVA (alpha=.05). RESULTS: Milled and hand
waxed patterns were not statistically different from each other (P>.05), while
printed patterns produced significantly higher mean and maximum margin
discrepancy than milled and hand-waxed patterns (P<.05). CONCLUSIONS: Relative to
margin discrepancy, the LAVA CNC 500 milled and hand-waxed patterns were not
significantly different from each other. The ProJet DP 3000 printed patterns were
significantly different from LAVA CNC 500 milled and hand-waxed patterns, with an
overall poorer result. Fabricating CGCs from printed patterns produced a
significantly higher number of crowns with unacceptable margin discrepancy (>120
MUm).
PMID- 27866699
TI - Recording the trajectory of mouth opening and closing for the fabrication of an
occlusal splint.
AB - Various attempts have been made to transfer the transverse hinge axis and
mandibular movement pattern to the mechanical articulator and to fabricate dental
prostheses with the fewest errors. However, the occlusal adjustment of a dental
prosthesis is always necessary to ensure a precise intraoral fit even when the
facebow transfer technique is used in the cast mounting procedure. This is
because the true hinge axis is not obtained when the facebow is applied to a
patient who exhibits a variable mandible movement pattern rather than a single
rotational axis. This technique merges facial scanning data and digital data
obtained from a diagnostic cast based on measurements of several landmarks of the
anterior teeth and makes it possible to design a dental prosthesis while
considering the mandibular opening and closing movements of the patient. This
technique could be used to design prosthetic restorations, occlusal splints, and
intermediate splints for orthognathic surgery and for complete mouth
rehabilitation when changes in vertical dimensions are needed.
PMID- 27866700
TI - Angiopoietin-related growth factor is independently associated with lower
extremity peripheral arterial disease.
AB - AIMS: The present study investigated the association of serum levels of
angiopoietin-related growth factor (AGF) with lower extremity peripheral arterial
disease (LEPAD). METHODS: The study group is comprised of 105 patients with lower
extremity peripheral arterial disease. The control group consisted of 80
individuals without lower extremity peripheral arterial disease. Serum AGF
concentrations were determined by enzyme-linked immunosorbent assay. The
relationship between AGF and clinical and biochemical parameters was studied.
Besides, this study analyzed AGF levels in LEPAD patients according to disease
severity and evaluated the prognostic value of AGF for amputation and mortality
in LEPAD patients after a follow-up period of 1.7years. RESULTS: Median serum AGF
levels were significantly higher in LEPAD group (103.70+/-64.69ng/mL) as compared
with control group (53.83+/-37.87ng/mL) (P<0.001). In addition, T2DM patients
with LEPAD exhibited markedly higher serum AGF concentrations (118.7+/
60.90ng/mL) than those without LEPAD (60.23+/-32.62ng/mL) (P<0.0001). Moreover,
LEPAD positively predicted AGF concentrations in multivariate linear regression
analysis (P<0.0001). Serum AGF levels were independently associated with LEPAD in
binary logistic regression analysis model. Among LEPAD patients, those with
critical limb ischemia (n=43) showed higher AGF levels (124.9+/-73.9 vs. 88.98+/
53.26ng/mL, P=0.01) compared with those with intermittent claudication (n=62).
Furthermore, patients with the highest AGF tertile had an increased all-cause
mortality and cardiovascular mortality (P=0.033 and P=0.025, respectively).
CONCLUSIONS: Our results suggested that lower extremity peripheral artery disease
was positively associated with AGF serum levels. High serum AGF level was a
potential risk factor for LEPAD and associates with disease severity and poor
outcome in LEPAD patients.
PMID- 27866701
TI - Place of sodium-glucose cotransporter-2 inhibitors in East Asian subjects with
type 2 diabetes mellitus: Insights into the management of Asian phenotype.
AB - The burden of type 2 diabetes (T2DM) in East Asia is alarming. Rapid
modernization and urbanization have led to major lifestyle changes and a
tremendous increase in the prevalence of obesity, metabolic syndrome, and
diabetes mellitus. The development of T2DM at a younger age, with lower body mass
index, higher visceral adiposity, and more significant pancreatic beta-cell
dysfunction compared to Caucasians are factors responsible for the increased
prevalence of T2DM in East Asians. Sodium-glucose Cotransporter-2 (SGLT2)
inhibitors (canagliflozin, dapaglifozin, empagliflozin, etc.) reduce renal
glucose reabsorption, leading to favorable effects on glycemic, blood pressure,
and weight control. The insulin-independent mechanism enables their use as
monotherapy or combination therapy with insulin and other oral antidiabetic
agents. The role of SGLT2 inhibitors in the management of T2DM among East Asians
is an interesting area of research, given that East Asians have been proven to be
uniquely different from Caucasians. This review provides comprehensive coverage
of the available literature not only on the efficacy and safety, but also on the
recent cardiovascular and renal outcomes of SGLT2 inhibitors, focusing among East
Asians.
PMID- 27866702
TI - Elucidation of Percutaneously Accessible Lymph Nodes in Swine: A Large Animal
Model for Interventional Lymphatic Research.
AB - PURPOSE: To define percutaneously accessible, anatomically reproducible swine
lymph nodes using magnetic resonance imaging, ultrasound, and ethiodized oil
(Lipiodol; Guerbet, Bloomington, Indiana) lymphangiography. MATERIALS AND
METHODS: Five adult female swine (Yorkshire, 50-60 kg) were used. Under general
anesthesia, T1-weighted and T2-weighted, coronal and axial images of the entire
swine were obtained. The animal's extrathoracic, extraperitoneal soft tissues
from the neck to the groins were examined with ultrasound. Lymph nodes >= 1 cm
were marked before the animal was transferred to the angiography suite. Under
ultrasound guidance, the nodes were accessed, and lymphangiograms were obtained.
The imaging findings between the 3 modalities were correlated, and the lymph node
drainage was mapped. RESULTS: Four lymph nodes/lymph node groups were identified
that were reproducible in all 5 animals, > 1 cm and percutaneously accessible:
submandibular node, superficial cervical lymph node group, subiliac node, and
superficial inguinal lymph node group. Drainage of these nodes mirrored human
anatomy. The abdominopelvic lymphatics formed a retroperitoneal cisterna chyli
and drained cephalad via a thoracic duct. CONCLUSIONS: The swine exhibits
reproducible lymphatic anatomy with at least 4 percutaneously accessible lymph
nodes/lymph node groups. Based on these results, the swine may be a suitable
large animal model for research into lymphatic interventions.
PMID- 27866703
TI - Understanding the coherence of the severity effect and optimism phenomena:
Lessons from attention.
AB - Claims that optimism is a near-universal characteristic of human judgment seem to
be at odds with recent results from the judgment and decision making literature
suggesting that the likelihood of negative outcomes are overestimated relative to
neutral outcomes. In an attempt to reconcile these seemingly contrasting
phenomena, inspiration is drawn from the attention literature in which there is
evidence that both positive and negative stimuli can have attentional privilege
relative to neutral stimuli. This result provides a framework within which I
consider three example phenomena that purport to demonstrate that people's
likelihood estimates are optimistic: Wishful thinking; Unrealistic comparative
optimism and Asymmetric belief updating. The framework clarifies the
relationships between these phenomena and stimulates future research questions.
Generally, whilst results from the first two phenomena appear reconcilable in
this conceptualisation, further research is required in reconciling the third.
PMID- 27866704
TI - Standardized Ultrasound Measurement of Subcutaneous Fat Patterning: High
Reliability and Accuracy in Groups Ranging from Lean to Obese.
AB - A recently standardized ultrasound technique for measuring subcutaneous adipose
tissue (SAT) was applied to normal-weight, overweight and obese persons. Eight
measurement sites were used: upper abdomen, lower abdomen, erector spinae, distal
triceps, brachioradialis, lateral thigh, front thigh and medial calf. Fat
compression was avoided. Fat patterning in 38 participants (body mass index: 18.6
40.3 kgm-2; SAT thickness sums from eight sites: 12-245 mm) was evaluated using a
software specifically designed for semi-automatic multiple thickness measurements
in SAT (sound speed: 1450 m/s) that also quantifies embedded fibrous structures.
With respect to ultrasound intra-observer results, the correlation coefficient
rho = 0.999 (p < 0.01), standard error of the estimate = 1.1 mm and 95% of
measurements were within +/-2.2 mm. For the normal-weight subgroup, the median
measurement deviation was 0.43 mm (1.1% of mean thickness), and for the
obese/overweight subgroup it was 0.89 mm (0.5%). The eight sites used here are
suggested to represent inter-individual differences in SAT patterning. High
measurement accuracy and reliability can be obtained in all groups, from lean to
overweight and obese, provided that measurers are trained appropriately.
PMID- 27866705
TI - Epileptic Encephalopathy Caused by Mutations in the Guanine Nucleotide Exchange
Factor DENND5A.
AB - Epileptic encephalopathies are a catastrophic group of epilepsies characterized
by refractory seizures and cognitive arrest, often resulting from abnormal brain
development. Here, we have identified an epileptic encephalopathy additionally
featuring cerebral calcifications and coarse facial features caused by recessive
loss-of-function mutations in DENND5A. DENND5A contains a DENN domain, an
evolutionarily ancient enzymatic module conferring guanine nucleotide exchange
factor (GEF) activity to multiple proteins serving as GEFs for Rabs, which are
key regulators of membrane trafficking. DENND5A is detected predominantly in
neuronal tissues, and its highest levels occur during development. Knockdown of
DENND5A leads to striking alterations in neuronal development. Mechanistically,
these changes appear to result from upregulation of neurotrophin receptors,
leading to enhanced downstream signaling. Thus, we have identified a link between
a DENN domain protein and neuronal development, dysfunction of which is
responsible for a form of epileptic encephalopathy.
PMID- 27866706
TI - Colocalization of GWAS and eQTL Signals Detects Target Genes.
AB - The vast majority of genome-wide association study (GWAS) risk loci fall in non
coding regions of the genome. One possible hypothesis is that these GWAS risk
loci alter the individual's disease risk through their effect on gene expression
in different tissues. In order to understand the mechanisms driving a GWAS risk
locus, it is helpful to determine which gene is affected in specific tissue
types. For example, the relevant gene and tissue could play a role in the disease
mechanism if the same variant responsible for a GWAS locus also affects gene
expression. Identifying whether or not the same variant is causal in both GWASs
and expression quantitative trail locus (eQTL) studies is challenging because of
the uncertainty induced by linkage disequilibrium and the fact that some loci
harbor multiple causal variants. However, current methods that address this
problem assume that each locus contains a single causal variant. In this paper,
we present eCAVIAR, a probabilistic method that has several key advantages over
existing methods. First, our method can account for more than one causal variant
in any given locus. Second, it can leverage summary statistics without accessing
the individual genotype data. We use both simulated and real datasets to
demonstrate the utility of our method. Using publicly available eQTL data on 45
different tissues, we demonstrate that eCAVIAR can prioritize likely relevant
tissues and target genes for a set of glucose- and insulin-related trait loci.
PMID- 27866707
TI - A Functional Variant Associated with Atrial Fibrillation Regulates PITX2c
Expression through TFAP2a.
AB - The most significantly associated genetic locus for atrial fibrillation (AF) is
in chromosomal region 4q25, where four independent association signals have been
identified. Although model-system studies suggest that altered PITX2c expression
might underlie the association, the link between specific variants and the
direction of effect on gene expression remains unknown for all four signals. In
the present study, we analyzed the AF-associated region most proximal to PITX2 at
4q25. First, we identified candidate regulatory variants that might confer AF
risk through a combination of mammalian conservation, DNase hypersensitivity, and
histone modification from ENCODE and the Roadmap Epigenomics Project, as well as
through in vivo analysis of enhancer activity in embryonic zebrafish. Within
candidate regions, we then identified a single associated SNP, rs2595104, which
displayed dramatically reduced enhancer activity with the AF risk allele. CRISPR
Cas9-mediated deletion of the rs2595104 region and editing of the rs2595104 risk
allele in human stem-cell-derived cardiomyocytes resulted in diminished PITX2c
expression in comparison to that of the non-risk allele. This differential
activity was mediated by activating enhancer binding protein 2 alpha (TFAP2a),
which bound robustly to the non-risk allele at rs2595104, but not to the risk
allele, in cardiomyocytes. In sum, we found that the AF-associated SNP rs2595104
altered PITX2c expression via interaction with TFAP2a. Such a pathway could
ultimately contribute to AF susceptibility at the PITX2 locus associated with AF.
PMID- 27866709
TI - Disability, depression and suicide ideation in people with multiple sclerosis.
AB - INTRODUCTION: Depressive symptoms occur frequently in people with Multiple
Sclerosis (MS) and rates of suicide ideation are higher than the general
population. There is evidence for a direct association between disability and
depression, disability and suicide ideation, and depression and suicide ideation
in MS. However, the relationship between all three, i.e. the mediating role of
depression between disability and suicidal ideation, has not been investigated.
Exploring this relationship could highlight risk factors, alerting clinicians to
the need for timely intervention. METHOD: Seventy five people with progressive MS
attending two out-patient clinics took part in this cross-sectional study.
Participants completed the Beck Suicide Scale, Beck Depression Inventory,
Multiple Sclerosis Impact Scale and Guy's Neurological Disability Scale. RESULTS:
Depressive symptoms mediated the relationship between perceived and actual
disability and suicide ideation. Different types of disability were associated
with suicidality, including: 'tremors' and 'taking longer to do things'. A small
sub-group of participants were identified who reported suicide ideation in the
presence of only mild levels of depression. LIMITATIONS: There may be a sample
bias in this study as all participants were attending out-patient clinics and
receiving support which may not be available to everyone with MS. CONCLUSION: It
is important for clinicians to screen regularly for both depression and suicide
ideation, to be alert to specific types of disability for which a higher level of
suicide ideation might be present and to consider the possibility of suicidal
thoughts being present in people who show minimal or no depressive symptoms.
PMID- 27866710
TI - Pulpal Tissue Inflammatory Reactions after Experimental Pulpal Exposure in Mice.
AB - INTRODUCTION: The purpose of this study was to establish a stable experimental
mice pulpal inflammatory model and to evaluate inflammatory reactions of pulpal
tissue after pulpal exposure. METHODS: Pulpal inflammation was induced in 80
C57BL/6 mice by occlusal exposure of the pulp of the maxillary first molar. The
mice were sacrificed randomly at 0, 1, 6, 12, 24, 48, and 72 hours after pulpal
exposure. Mice without pulpal exposure served as controls. Maxillary teeth were
obtained and prepared for histologic analyses and real-time polymerase chain
reaction analyses. RESULTS: As the duration of pulpal exposure increases, the
inflammatory reaction is exacerbated. Within 6 to 12 hours after pulpal exposure,
pulp tissues experienced red blood cell extravasation to the destruction of the
odontoblast layer. After 24 hours, necrosis was observed in the pulpal tissue;
until 72 hours, necrosis spread to the whole coronal pulpal tissue, and a large
number of inflammatory cells were found in the radicular pulpal tissue. The
results of histomorphologic scores have the same trend; samples from the 72-hour
group possessed the highest score followed by samples from other groups (P <
.01). The expression levels of inflammatory cytokines increased over the 72
hours, and there was a high rate of inflammatory cytokine expression at 6 and 12
hours after pulpal exposure. CONCLUSIONS: Our study represents a stable mice
model for studying pulpal inflammation in vivo. Mouse pupal inflammation
progresses rapidly, with dramatic changes evident in just a few hours.
PMID- 27866708
TI - Mutations in Three Genes Encoding Proteins Involved in Hair Shaft Formation Cause
Uncombable Hair Syndrome.
AB - Uncombable hair syndrome (UHS), also known as "spun glass hair syndrome," "pili
trianguli et canaliculi," or "cheveux incoiffables" is a rare anomaly of the hair
shaft that occurs in children and improves with age. UHS is characterized by dry,
frizzy, spangly, and often fair hair that is resistant to being combed flat.
Until now, both simplex and familial UHS-affected case subjects with autosomal
dominant as well as -recessive inheritance have been reported. However, none of
these case subjects were linked to a molecular genetic cause. Here, we report the
identification of UHS-causative mutations located in the three genes PADI3
(peptidylarginine deiminase 3), TGM3 (transglutaminase 3), and TCHH
(trichohyalin) in a total of 11 children. All of these individuals carry
homozygous or compound heterozygous mutations in one of these three genes,
indicating an autosomal-recessive inheritance pattern in the majority of UHS case
subjects. The two enzymes PADI3 and TGM3, responsible for posttranslational
protein modifications, and their target structural protein TCHH are all involved
in hair shaft formation. Elucidation of the molecular outcomes of the disease
causing mutations by cell culture experiments and tridimensional protein models
demonstrated clear differences in the structural organization and activity of
mutant and wild-type proteins. Scanning electron microscopy observations revealed
morphological alterations in hair coat of Padi3 knockout mice. All together,
these findings elucidate the molecular genetic causes of UHS and shed light on
its pathophysiology and hair physiology in general.
PMID- 27866711
TI - Discussion.
PMID- 27866712
TI - Discussion.
PMID- 27866713
TI - Twelve-year outcomes of laparoscopic adhesiolysis in patients with chronic
abdominal pain: A randomized clinical trial.
AB - BACKGROUND: Laparoscopic adhesiolysis as a therapy for chronic pain is still
controversial, and long-term effects are not known; therefore, our aim was to
evaluate long-term effects of laparoscopic adhesiolysis for the treatment of
chronic abdominal pain believed to be related to intraperitoneal adhesions.
METHODS: A total of 100 patients with abdominal pain attributed to adhesions were
randomized to laparoscopic adhesiolysis or a placebo group with laparoscopy
alone. Pain relief was assessed after 12-year follow-up. RESULTS: A total of 73%
of patients fulfilled the long-term follow-up. Compared to the placebo group (n =
31), patients in the adhesiolysis group (n = 42) were significantly less often
pain-free (8 vs 13, P = .033, relative risk [RR] = 1.3) and to have a greater
intake of analgesics (26 vs 16, P = .379, RR = 1.2, 95% confidence interval 0.8
1.8). Moreover, the adhesiolysis group sought medical consultations more
frequently (14 vs 6, P = .186, RR = 1.33, 95% confidence interval 0.9-1.9), and
had an increased rate of additional operation (8 vs 1, P = .042, RR = 1.67, 95%
confidence interval 1.208-2.318). Both groups had improved pain and quality-of
life scores. CONCLUSION: This is the first, long-term, placebo-controlled trial
regarding the use of laparoscopic adhesiolysis for treating chronic abdominal
pain. Laparoscopic adhesiolysis was less beneficial than laparoscopy alone in the
long term. Secondly, there appeared to be a powerful, long-lasting placebo effect
of laparoscopy. Because adhesiolysis is associated with an increased risk of
operative complications, avoiding this treatment may result in less morbidity and
health care costs.
PMID- 27866714
TI - Discussion.
PMID- 27866715
TI - Normohormonal primary hyperparathyroidism is a distinct form of primary
hyperparathyroidism.
AB - BACKGROUND: Normohormonal primary hyperparathyroidism presents diagnostic and
intraoperative challenges, and current literature is conflicting about
management. We aim to better define normohormonal primary hyperparathyroidism in
order to improve the care for these patients. METHODS: In the study, 516
consecutive patients undergoing parathyroidectomy for primary hyperparathyroidism
were divided into 2 groups: classic primary hyperparathyroidism (classic primary
hyperparathyroidism, increased serum levels of calcium, and parathyroid hormone)
and normohormonal primary hyperparathyroidism (hypercalcemia, normal serum levels
of parathyroid hormone). We evaluated inter-group differences in presentation,
gland weight, pathology, and complications. RESULTS: The normohormonal primary
hyperparathyroidism group was comprised of 116 (22.5%) patients. Mean serum
levels of parathyroid hormone and calcium were 62.1 pg/mL +/- 10.1 and 10.6 mg/dL
+/- 0.63 in normohormonal primary hyperparathyroidism, and 142 +/- 89.0pg/mL and
11.0 +/- 0.88 (both P < .01) for classic primary hyperparathyroidism.
Nephrolithiasis was more common in normohormonal primary hyperparathyroidism.
Multigland hyperplasia was more common in normohormonal primary
hyperparathyroidism 23 (19.8%) vs 44 (11%; P = .04). Concordant imaging studies
were less likely in normohormonal primary hyperparathyroidism (82 [73.2%] vs 337
[87.1%; P < .01]), had a lesser total gland weight (531.8 mg +/- 680.0 vs 1,039.6
mg +/- 1,237.3; P < .01), and lesser 2-week parathyroid hormone (32.5 pg/mL +/-
18.95 vs 41.0 pg/mL +/- 27.8; P = .01). There was no difference in
hypoparathyroidism (parathyroid hormone <15 pg/mL; P = .93) at 2 weeks
postoperatively. CONCLUSION: Normohormonal primary hyperparathyroidism represents
22.5% of our primary hyperparathyroidism population, which is greater than
reported previously. It is a distinct disease process from classic primary
hyperparathyroidism in presentation, imaging, and operative findings. More
hyperplasia and a lesser gland weight make it challenging to resect the ideal
amount of tissue. Studies with long-term follow-up are needed to determine
optimal operative management.
PMID- 27866717
TI - Adrenocortical carcinoma with inferior vena cava tumor thrombus.
AB - BACKGROUND: The safety, efficacy, and prognostic implications of resection of
adrenocortical carcinoma with inferior vena cava tumor thrombus are poorly
described. METHODS: A retrospective review was performed during a 30-year period
on patients who underwent resection of locally advanced, nonmetastatic
adrenocortical carcinoma. We compared patients with and without inferior vena
cava tumor thrombus, examining perioperative characteristics, completeness of
resection, mortality, and survival. RESULTS: We identified 65 patients who
underwent resection of locally advanced (T4N0 and T4N1) adrenocortical carcinoma
(28 patients with inferior vena cava tumor thrombus, 37 noninferior vena cava
tumor thrombus). Rate of complete resection, adjuvant chemotherapy, and short
term postoperative morbidity was similar between groups. Overall survival was
similar at 12-months. At 24 months overall survival was less in the inferior vena
cava tumor thrombus group (59% vs 30%, P = .04). Differential survival through 60
month follow-up favored the noninferior vena cava tumor thrombus group (36% vs
0%, P = .001). Subgroup analysis including only patients with complete resection
demonstrates similar survival at 24-months but at 36-months survival favored the
noninferior vena cava tumor thrombus patients (65% vs 29%, P = .047) and this
continued through 60 months (40% vs 0%, P = .049). CONCLUSION: Attempt at
complete resection of adrenocortical carcinoma with inferior vena cava tumor
thrombus seems justified particularly as short-term safety and survival are
similar to patients without inferior vena cava tumor thrombus. However, survival
beyond 36-months is limited in patients with inferior vena cava tumor thrombus.
Patients being evaluated for resection in the setting of inferior vena cava tumor
thrombus should be selected carefully.
PMID- 27866716
TI - Can we consider immediate complications after thyroidectomy as a quality metric
of operation?
AB - BACKGROUND: Permanent recurrent laryngeal nerve palsy and hypoparathyroidism are
2 major complications after thyroid operation. Assuming that the rate of
immediate complications can predict the permanent complication rate, some authors
consider these complications as a valid metric for assessing the performance of
individual surgeons. This study aimed to determine the correlation between rates
of immediate and permanent complications after thyroidectomy at the surgeon
level. METHODS: We conducted a prospective, cross-sectional study in 5 academic
hospitals between April 2008 and December 2009. The correlation between the rates
of immediate and permanent complications for each of the 22 participating
surgeons was calculated using the Pearson correlation test (r). RESULTS: The
study period included 3,605 patients. There was a fairly good correlation between
rates of immediate and permanent recurrent laryngeal nerve palsy (r = 0.70, P =
.004), but no correlation was found for immediate and permanent
hypoparathyroidism (r = 0.18, P = .427). CONCLUSION: The immediate
hypoparathyroidism rate does not reflect the permanent hypoparathyroidism rate.
Consequently, immediate hypoparathyroidism should not be used to assess the
quality of thyroidectomy or to monitor the performance of surgeons.
PMID- 27866718
TI - Mutational analysis of metastatic lymph nodes from papillary thyroid carcinoma in
adult and pediatric patients.
AB - BACKGROUND: Limited data are available on the analysis of somatic mutations in
metastatic lymph nodes in adult and pediatric patients with papillary thyroid
carcinomas. METHODS: A total of 92, microdissected, formalin-fixed, paraffin
embedded tissue specimens from 39 patients were analyzed for the presence of
somatic mutations utilizing the ThyGenX next-generation sequencing test. RESULTS:
Somatic mutations were detected in 67% of papillary thyroid carcinoma specimens.
The majority of patients with synchronous and all 6 patients with radioactive
iodine-resistant (metachronous) metastatic lymph nodes contained BRAF mutations.
Four patients had mutations detected in their metastatic lymph nodes that were
not detected in their primary tumors. For the most part, BRAF mutations were seen
in adults, and RAS mutations were seen in children. CONCLUSION: Findings of
different mutations in metastatic lymph nodes compared with the primary papillary
thyroid carcinomas are probably the result of tumor heterogeneity. The presence
of the BRAF mutation in metastatic lymph nodes might be responsible for the
recurrence of papillary thyroid carcinomas and resistance to radioactive iodine
therapy. The good prognosis observed in papillary thyroid carcinomas found in
pediatric and young adult patients might be explained by the predominance of RAS
rather than BRAF mutations.
PMID- 27866719
TI - Endocrine surgery fellowship graduates past, present, and future: 8 years of
early job market experiences and what program directors and trainees can expect.
AB - BACKGROUND: Given the increasing number of endocrine surgery fellowship
graduates, we investigated if expectations and job opportunities changed over
time. METHODS: American Association of Endocrine Surgeons (AAES) fellowship
graduates, surgery department chairs, and physician recruiters were surveyed.
Univariate analysis was performed with JMP Pro 12 software. RESULTS: We
identified 141 graduates from 2008-2015; survey response rate was 72% (n = 101).
Compared to earlier graduates, fewer academic opportunities were available for
the recent graduates who intended to join them (P = .001). Unlike earlier
graduates, recent graduates expected to also perform elective general surgery,
which ultimately represented a greater percentage of their practices (both P <
.05). Interview offers increased for recent graduates, but job offers decreased.
Overall, 84% of graduates matched their intended practice type and 98% reported
being satisfied. Reponses from graduates, department chairs, and physician
recruiters highlighted opportunities to improve mentor involvement, job search
strategies, and online job board utilization. CONCLUSION: The endocrine surgery
job market has diversified resulting in more graduates entering nonacademic
practices and performing general surgery. This rapid evolution supports future
analyses of the job market and opportunities for job creation. Almost every
graduate reported job satisfaction, which encourages graduates to consider
joining both academic and nonacademic practices equally.
PMID- 27866720
TI - Discussion.
PMID- 27866721
TI - Discussion.
PMID- 27866722
TI - Effectiveness of traffic-related elements in tree bark and pollen abortion rates
for assessing air pollution exposure on respiratory mortality rates.
AB - The majority of epidemiological studies correlate the cardiorespiratory effects
of air pollution exposure by considering the concentrations of pollutants
measured from conventional monitoring networks. The conventional air quality
monitoring methods are expensive, and their data are insufficient for providing
good spatial resolution. We hypothesized that bioassays using plants could
effectively determine pollutant gradients, thus helping to assess the risks
associated with air pollution exposure. The study regions were determined from
different prevalent respiratory death distributions in the Sao Paulo
municipality. Samples of tree flower buds were collected from twelve sites in
four regional districts. The genotoxic effects caused by air pollution were
tested through a pollen abortion bioassay. Elements derived from vehicular
traffic that accumulated in tree barks were determined using energy-dispersive X
ray fluorescence spectrometry (EDXRF). Mortality data were collected from the
mortality information program of Sao Paulo City. Principal component analysis
(PCA) was applied to the concentrations of elements accumulated in tree barks.
Pearson correlation and exponential regression were performed considering the
elements, pollen abortion rates and mortality data. PCA identified five factors,
of which four represented elements related to vehicular traffic. The elements Al,
S, Fe, Mn, Cu, and Zn showed a strong correlation with mortality rates (R2>0.87)
and pollen abortion rates (R2>0.82). These results demonstrate that tree barks
and pollen abortion rates allow for correlations between vehicular traffic
emissions and associated outcomes such as genotoxic effects and mortality data.
PMID- 27866724
TI - Spatial and temporal microbial pollution patterns in a tropical estuary during
high and low river flow conditions.
AB - Spatial and temporal patterns of coastal microbial pollution are not well
documented. Our study examined these patterns through measurements of fecal
indicator bacteria (FIB), nutrients, and physiochemical parameters in Hilo Bay,
Hawai'i, during high and low river flow. >40% of samples tested positive for the
human-associated Bacteroides marker, with highest percentages near rivers. Other
FIB were also higher near rivers, but only Clostridium perfringens concentrations
were related to discharge. During storms, FIB concentrations were three times to
an order of magnitude higher, and increased with decreasing salinity and water
temperature, and increasing turbidity. These relationships and high spatial
resolution data for these parameters were used to create Enterococcus spp. and C.
perfringens maps that predicted exceedances with 64% and 95% accuracy,
respectively. Mapping microbial pollution patterns and predicting exceedances is
a valuable tool that can improve water quality monitoring and aid in visualizing
FIB hotspots for management actions.
PMID- 27866723
TI - Association between ambient particulate matter exposure and semen quality in
Wuhan, China.
AB - BACKGROUND: Health effects of exposure to particulate matter (PM) on male
reproductive health remain unclear. Only a limited number of studies have
investigated the effects of PM2.5 or PM10 exposure on semen quality, and the
results were largely inconsistent. OBJECTIVES: To quantitatively assess the
exposure-response association between PM exposure and semen quality in Chinese
men who were exposed to a wide concentration range of PM. METHODS: We
investigated 1759 men from Wuhan, China, who were partners of women undergoing
assisted reproductive technology procedures, and had semen examined at least once
between 2013 and 2015. Individual PM2.5 and PM10 exposures during 0-90, 0-9, 10
14 and 70-90days before each semen examination (corresponding to the entire and
three key periods of sperm development, respectively) were retrospectively
estimated by inverse distance weighting interpolation. Linear mixed models were
used to assess exposure-response relations of PM exposure with sperm
concentration, count and motility. RESULTS: PM2.5 exposure during 0-90 lag days
ranged from 27.3 to 172.4MUg/m3. It was linearly and inversely associated with
sperm concentration (beta: -0.20; 95% CI: -0.34, -0.07) and count (-0.22; -0.35,
0.08). For the three key exposure periods, only PM2.5 exposure during the 70-90
lag days was significantly associated with sperm concentration (-0.12; -0.22,
0.03) and count (-0.12; -0.21, -0.02). Sensitivity analyses for a subgroup
(n=1146) excluding subjects with abnormal sperm concentration, count or motility
yielded similar results. Compared with PM2.5, we found generally similar
associations for PM10 exposure in relation to sperm concentration and count,
except that the associations appeared to be nonlinear with inverted J-shaped
relationships. Neither PM2.5 nor PM10 exposure was significantly associated with
sperm motility (all p>0.05). CONCLUSIONS: Our results suggest that ambient PM
exposure during sperm development adversely affects semen quality, in particular
sperm concentration and count.
PMID- 27866725
TI - Common attributes of high/low performing general surgery programs as they relate
to QE/CE pass rates.
AB - PURPOSE: This comparative study aims to identify the differences between high and
low performing programs as defined by their ABS board pass rates. METHODS: We
identified programs in the top 5% (TP) and lower 5% (LP) for 1st time QE/CE pass
rates during the study period with resident and program related variables. All
data was pooled and analyzed. RESULTS: TP had more U.S. graduates, higher average
USMLE Step 1/2 scores, and all residents took the exam within a year of
graduation. TP were more likely to rotate at a Level 1 trauma center, spent more
time with simulation, and had numerous fellowship programs. They ascribed their
success to mock oral exams, mature curricula, and group educational activities.
Graduates of TP chose general surgery twice as often (40% vs 19%). CONCLUSIONS:
Program related factors (Level 1 trauma, excellent didactics, higher number of
fellowships, increased group educational activities, higher percentage of
graduates into general surgery) are associated with TP. Our data suggests there
may be modifiable program related variables that positively impact QE/CE pass
rates.
PMID- 27866726
TI - Trends in mastectomy and reconstruction for breast cancer; a twelve year
experience from a tertiary care center.
AB - BACKGROUND: Many surgical options exist for breast cancer, including breast
conserving therapy (BCT), mastectomy with reconstruction (MAST+RECON) or without
reconstruction (MAST). Long-term results regarding oncologic outcomes are few and
primarily retrospective studies. METHODS: A retrospective review of a
prospectively collected database of patients undergoing breast surgery for breast
cancer from 2002 to 2014 was performed. Patients were separated into 3 time
periods for analysis: 2002 to 2005, 2006 to 2009, and 2010 to 2014. Recurrence
outcomes were compared at 4 years between MAST+RECON patients. RESULTS: Two
thousand seventy-six patients were identified: 61.2% underwent BCT, 19.7% had
MAST, and 19.1% had MAST+RECON. BCT patients were older and had smaller tumors.
MAST+RECON increased in prevalence, whereas BCT decreased. Implant-based
reconstruction and conservative mastectomy rates increased over the study period.
Four-year local recurrence-free rates were similar in nipple-sparing and skin
sparing mastectomy groups. CONCLUSIONS: BCT usage has decreased, trending toward
immediate, nipple-sparing mastectomy, implant-based reconstruction. Surgeons
should be aware of trends to optimally offer patients their surgical options.
PMID- 27866727
TI - Who did the case? Perceptions on resident operative participation.
AB - BACKGROUND: The ACGME case log is one of the primary metrics used to determine
resident competency; it is unclear if this is an accurate reflection of the
residents' role and participation. METHODS: Residents and faculty were
independently administered 16-question surveys following each case over a three
week period. The main outcome was agreement between resident and faculty on
resident role and percent of the case performed by the resident. RESULTS: Matched
responses were collected for 87 cases. Agreement on percent performed occurred in
61% of cases, on role in 63%, and on both in 47%. Disagreement was more often due
to resident perception they performed more of the case. Faculty with <10 years
experience were more likely to have disagreement compared to faculty with >=10
years (p = 0.009). CONCLUSIONS: There was a high degree of disagreement between
faculty and residents regarding percent of the case performed and role. Accurate
understanding of participation and competency is vital for accrediting
institutions and for resident self-assessment meriting further study of the
causes for this disagreement to improve training and evaluation.
PMID- 27866728
TI - What is new in 2015 in dysimmune neuropathies?
AB - This review discusses and summarizes the concept of nodopathies, the diagnostic
features, investigations, pathophysiology, and treatment options of chronic
inflammatory demyelinating polyradiculoneuropathy, and gives updates on other
inflammatory and dysimmune neuropathies such as Guillain-Barre syndrome, sensory
neuronopathies, small-fiber-predominant ganglionitis, POEMS syndrome,
neuropathies associated with IgM monoclonal gammopathy and multifocal motor
neuropathy. This field of research has contributed to the antigenic
characterization of the peripheral motor and sensory functional systems, as well
as helping to define immune neuropathic syndromes with widely different clinical
presentation, prognosis and response to therapy.
PMID- 27866730
TI - Hereditary neuropathies: An update.
AB - Hereditary neuropathies are the most common inherited neuromuscular diseases.
Charcot-Marie-Tooth (CMT) disease represents the most common form with an average
prevalence ranging from 1/2500 to 1/1200, depending on the studies. To date and
with the advances of the latest generation sequencing, more than 80 genes have
been identified. Although the common clinical phenotype comprises a progressive
distal muscle weakness and sensory loss, foot deformities and decreased or absent
tendon reflexes, clinical and electrophysiological phenotypes exhibit great
variability. Moreover, atypical phenotypes are arising, overlapping with spastic
paraplegia, hereditary sensory neuropathies or amyotrophic lateral sclerosis. The
causative genes are involved in various biological processes such as myelin
development and maintenance, biosynthesis and degradation of proteins, neuronal
structural maintenance, axonal transport, endocytosis, membrane dynamics, ion
channel function and the mitochondrial network. An accurate genetic diagnosis is
important for appropriate genetic counselling and treatment options. Therapeutic
advances, particularly small interfering RNA therapy, are encouraging in
hereditary transthyretin amyloid neuropathy.
PMID- 27866729
TI - Molecular imaging in the diagnosis of Alzheimer's disease and related disorders.
AB - INTRODUCTION: The diagnosis of Alzheimer's disease (AD) and its related disorders
rely on clinical criteria. There is, however, a large clinical overlap between
the different neurodegenerative diseases affecting cognition and, frequently,
there are diagnostic uncertainties with atypical clinical presentations. Current
clinical practices can now regularly use positron emission tomography (PET) and
single-photon emission computed tomography (SPECT) molecular imaging to help
resolve such uncertainties. The Neurology Group of the French Society of Nuclear
Medicine and Federations of Memory, Resources and Research Centers have
collaborated to establish clinical guidelines to determine which molecular
imaging techniques to use when seeking a differential diagnosis between AD and
other neurodegenerative disorders affecting cognition. STATE OF KNOWLEDGE:
According to the current medical literature, the potential usefulness of
molecular imaging to address the typical clinical criteria in common forms of AD
remains modest, as typical AD presentations rarely raise questions of
differential diagnoses with other neurodegenerative disorders. However, molecular
imaging could be of significant value in the diagnosis of atypical
neurodegenerative disorders, including early onset, rapid cognitive decline,
prominent non-amnestic presentations involving language, visuospatial,
behavioral/executive and/or non-cognitive symptoms in AD, or prominent amnestic
presentations in other non-AD dementias. CONCLUSION AND PERSPECTIVE: The clinical
use of molecular imaging should be recommended for assessing cognitive
disturbances particularly in patients with early clinical onset (before age 65)
and atypical presentations. However, diagnostic tools should always be part of
the global clinical approach, as an isolated positive result cannot adequately
establish a diagnosis of any neurodegenerative disorder.
PMID- 27866731
TI - Guillain-Barre syndrome: 100 years on.
AB - The Guillain-Barre syndrome is associated with acute polyradiculoneuritis for
almost one century. Its spectrum has considerably been enlarged since its first
description. It now includes pure motor or sensory syndromes, focal forms,
demyelinating and axonal neurophysiological features that characterise
excitability dysfunctions, and immunological differentiations. We can hope that
this improved classification will facilitate development of treatment innovations
for a condition that is still a life-threatening condition with a severe
functional prognosis in a significant proportion of cases.
PMID- 27866732
TI - Impact of a direct-admission stroke pathway on delays of admission, care, and
rates of intravenous thrombolysis.
AB - INTRODUCTION: This study examined the impact of a "direct potential thrombolysis"
pathway with direct admission to a neurological stroke unit (SU) on delays of
admission, stroke care and proportion of patients with ischemic stroke (IS)
treated with intravenous (IV) rtPA. METHODS: This prospective study included all
patients admitted in the intensive SU for potential thrombolysis over a 2-month
period. Data collected included the time of symptom onset, mode of transport,
National Institutes of Health Stroke Scale (NIHSS) score on arrival, delays of
care, delays of imaging and modalities, diagnosis and therapeutic data. RESULTS:
During the 2-month study period, 81 patients (mean age of 65 years) were included
in the study. The Emergency Medical Services (EMS) were involved in 86% of
admissions, with a median delay of admission of 1h48 and access within 4.5h in
84% of cases. Every patient underwent immediate neurovascular assessment and
imaging examination, which was a MRI in 80% of cases. Only 70% of patients had a
final diagnosis of stroke. Intravenous rtPA therapy was administered to 26
patients (32%), and 58% of patients with IS. The median door-to-needle time delay
was 63min. CONCLUSION: A direct 'potential thrombolysis' pathway, based on EMS
and located in the SU, can result in earlier admission, reaching the recommended
care delay, and a large proportion (58%) of IS patients receiving rtPA therapy.
On the other hand, the proportion of patients with stroke mimics is high, thereby
increasing the chances of intermittent periods of saturation of this specific
pathway.
PMID- 27866733
TI - Review of the literature: Articles published in the last five years that have
changed my daily practice.
AB - Over the last five years, the management of peripheral neuropathies has become
structured by the publication of recognized diagnostic criteria for inflammatory
neuropathies and the elaboration of a function score, the R-ODS, used to evaluate
the progression of these neuropathies. The concept of nodo-paranodopathy has
enriched the concept of peripheral neuropathies, over-riding the classical
mechanisms of axonal and demyelinating mechanisms. The structures of the nodes of
Ranvier, gangliosides, contractin and neurofascin are preferential targets for
auto-antibodies responsible for dysimmune neuropathies. Concerning treatments,
immunosuppressors have demonstrated their efficacy for the treatment of anti-MAG
neuropathies. Corticosteroid treatments are also in the limelight, demonstrating
a different response profile than intravenous immunoglobulins for CIDP. But the
most remarkable therapeutic progress has been made in the domain of hereditary
neuropathies. The first trial versus placebo produced positive results in CMT1a.
Finally, the era of genetic therapy appears to have come to fruition with the
interfering RNA trial for familial amyloid neuropathies.
PMID- 27866736
TI - Assessing the transport of PAH in the surficial sediment layer by passive sampler
approach.
AB - A new method based on passive samplers has been developed to assess the diffusive
flux of fluorene, fluoranthene and pyrene in the sediment bed and across the
sediment-water interface. The dissolved compound concentration gradient in the
sediment in the vertical direction was measured at the outlet of a storm water
pond by using polyethylene strips as passive samplers. Simultaneously, the
dissipation of a set of tracer compounds preloaded in the passive samplers was
measured to estimate the effective diffusion coefficients of the pollutants in
the sediment. Both measurements were used to evaluate the diffusive flux of the
compounds according to Fick's first law. The diffusive fluxes of the 3 studied
compounds have been estimated with a centimetre-scale resolution in the upper
44cm of the sediment. According to the higher compound diffusion coefficient and
the steeper concentration gradient in the surficial sediment layer, the results
show that the net flux of compounds near the sediment interface (1cm depth) is on
average 500 times higher than in the deep sediment, with average fluxes at 1cm
depth on the order of 5, 0.1 and 0.1ng/m2/y for fluorene, fluoranthene and
pyrene, respectively.
PMID- 27866734
TI - Comparing Variability, Severity, and Persistence of Depressive Symptoms as
Predictors of Future Stroke Risk.
AB - OBJECTIVE: Numerous studies show that depressive symptoms measured at a single
assessment predict greater future stroke risk. Longer-term symptom patterns, such
as variability across repeated measures or worst symptom level, might better
reflect adverse aspects of depression than a single measurement. This prospective
study compared five approaches to operationalizing depressive symptoms at annual
assessments as predictors of stroke incidence. DESIGN: Cohort followed for
incident stroke over an average of 6.4 years. SETTING: The Adult Changes in
Thought cohort follows initially cognitively intact, community- dwelling older
adults from a population base defined by membership in Group Health, a Seattle
based nonprofit healthcare organization. PARTICIPANTS: 3,524 individuals aged 65
years and older. MEASUREMENTS: We identified 665 incident strokes using ICD
codes. We considered both baseline Center for Epidemiologic Studies-Depression
scale (CES-D) score and, using a moving window of three most recent annual CES-D
measurements, we compared most recent, maximum, average, and intra-individual
variability of CES-D scores as predictors of subsequent stroke using Cox
proportional hazards models. RESULTS: Greater maximum (hazard ratio [HR]: 1.18;
95% CI: 1.07-1.30), average (HR: 1.20; 95% CI: 1.05-1.36) and intra-individual
variability (HR: 1.15; 95% CI: 1.06-1.24) in CES-D were each associated with
elevated stroke risk, independent of sociodemographics, cardiovascular risks,
cognition, and daily functioning. Neither baseline nor most recent CES-D was
associated with stroke. In a combined model, intra-individual variability in CES
D predicted stroke, but average CES-D did not. CONCLUSIONS: Capturing the dynamic
nature of depression is relevant in assessing stroke risk. Fluctuating depressive
symptoms may reflect a prodrome of reduced cerebrovascular integrity.
PMID- 27866735
TI - Metal exposures from aluminum cookware: An unrecognized public health risk in
developing countries.
AB - Removing lead from gasoline has resulted in decreases in blood lead levels in
most of the world, but blood lead levels remain elevated in low and middle-income
countries compared to more developed countries. Several reasons for this
difference have been investigated, but few studies have examined the potential
contribution from locally-made aluminum cookware. In a previous study of cookware
from a single African country, Cameroon, artisanal aluminum cookware that is made
from scrap metal released significant quantities of lead. In this study, 42
intact aluminum cookware items from ten developing countries were tested for
their potential to release lead and other metals during cooking. Fifteen items
released >=1 microgram of lead per serving (250mL) when tested by boiling with
dilute acetic acid for 2h. One pot, from Viet Nam, released 33, 1126 and 1426
micrograms per serving in successive tests. Ten samples released >1 microgram of
cadmium per serving, and fifteen items released >1 microgram of arsenic per
serving. The mean exposure estimate for aluminum was 125mg per serving, more than
six times the World Health Organization's Provisional Tolerable Weekly Intake of
20mg/day for a 70kg adult, and 40 of 42 items tested exceeded this level. We
conducted preliminary assessments of three potential methods to reduce metal
leaching from this cookware. Coating the cookware reduced aluminum exposure per
serving by >98%, and similar reductions were seen for other metals as well.
Potential exposure to metals by corrosion during cooking may pose a significant
and largely unrecognized public health risk which deserves urgent attention.
PMID- 27866737
TI - Enhanced nitrogen loss from rivers through coupled nitrification-denitrification
caused by suspended sediment.
AB - Present-day estimations of global nitrogen loss (N-loss) are underestimated.
Commonly, N-loss from rivers is thought to be caused by denitrification only in
bed-sediments. However, coupled nitrification-denitrification occurring in
overlying water with suspended sediments (SPS) where oxic and anoxic/low oxygen
zones may coexist is ignored for N-loss in rivers. Here the Yellow and Yangtze
Rivers were taken as examples to investigate the effect of SPS, which exists in
many rivers of the world, on N loss through coupled nitrification-denitrification
with nitrogen stable (15N) isotopic tracer simulation experiments and in-situ
investigation. The results showed even when SPS was surrounded by oxic waters,
there were redox conditions that transitioned from an oxic surface layer to
anoxic layer near the particle center, enabling coupled nitrification
denitrification to occur around SPS. The production rate of 15N2 from 15NH4+-N
(R15N2-production) increased with increasing SPS concentration ([SPS]) as a power
function (R15N2-production=a.[SPS]b) for both the SPS-water and bed sediment-SPS
water systems. The power-functional increase of nitrifying and denitrifying
bacteria population with [SPS] accounted for the enhanced coupled nitrification
denitrification rate in overlying water. SPS also accelerated denitrification in
bed-sediment due to increased NO3- concentration caused by SPS-mediated
nitrification. For these two rivers, 1gL-1 SPS will lead to N-loss enhancement by
approximately 25-120%, and the enhancement increased with organic carbon content
of SPS. Thus, we conclude that SPS in overlying water is a hot spot for nitrogen
loss in river systems and current estimates of in-stream N-loss are
underestimated without consideration of SPS; this may partially compensate for
the current imbalance of global nitrogen inputs and sinks.
PMID- 27866738
TI - Novel hydrolytic de-methylthiolation of the s-triazine herbicide prometryn by
Leucobacter sp. JW-1.
AB - s-Triazine herbicides have been widely used in recent decades and caused serious
concern over contamination of groundwater, surface water and soil. A novel
bacterial strain JW-1 was isolated from activated sludge and identified as
Leucobacter sp. based on comparative morphology, physiological characteristics
and comparison of the 16S rDNA gene sequence. JW-1 was capable of using
methylthio-s-triazine prometryn as a sole source of carbon and energy in pure
culture. Favorable conditions for prometryn degradation were found at pH7.0-9.0
and temperature of 37-42 degrees C. The degradation half-life of prometryn at
50mgL-1 was remarkably as short as 1.1h, and increased to 6.0h when the initial
concentration increased to 400mgL-1. The strain JW-1 could degrade 100% of
ametryn, 99% of simetryn, 41% of propazine, 43% of atrazine, 28% of simazine, 12%
of terbutylhylazine, 10% of prometon and 13% of atraton at 50mgL-1 of each
herbicide in 2days. Prometryn was converted to 2-hydroxypropazine and methanthiol
via a novel hydrolysis pathway. 2-Hydroxypropazine was then transformed to N
isopropylammelide and the final product cyanuric acid via two sequential
deamination reactions. In addition to biodegradation by Leucobacter sp. JW-1, the
hydrolytic de-methylthiolation would be valuable in biocatalysis.
PMID- 27866740
TI - Metabolomics evaluation of the in vivo toxicity of bromoacetonitriles: One class
of high-risk nitrogenous disinfection byproducts.
AB - Bromoacetonitriles (BANs), one class of nitrogenous disinfection byproducts (N
DBPs), have frequently been detected in drinking water. The cytotoxicity and
genotoxicity of BANs have been demonstrated in mammalian cells. However, a
systematic study of the in vivo toxicity of BANs is rare. In this study,
metabolomics combined with histopathology and oxidative stress analysis were used
to evaluate the toxicity of BANs in mice. The results indicated that BAN exposure
induced liver and kidney injury in mice. Furthermore, the superoxide dismutase
(SOD) and glutathione peroxidase (GSH-Px) activities decreased, and the level of
malonaldehyde (MDA) increased in mice livers due to BANs exposure, which
indicated that hepatic oxidative stress was induced. These toxicities increased
with an increasing number of bromine at the alpha carbon. In addition, BAN
exposure disrupted the metabolic pathways of amino acid, energy and lipid
metabolism in mice. Our results provide evidence for the comprehensive omics
endpoints of the in vivo toxicity of BANs.
PMID- 27866739
TI - An integrated approach for identifying priority contaminant in the Great Lakes
Basin - Investigations in the Lower Green Bay/Fox River and Milwaukee Estuary
areas of concern.
AB - Environmental assessment of complex mixtures typically requires integration of
chemical and biological measurements. This study demonstrates the use of a
combination of instrumental chemical analyses, effects-based monitoring, and bio
effects prediction approaches to help identify potential hazards and priority
contaminants in two Great Lakes Areas of Concern (AOCs), the Lower Green Bay/Fox
River located near Green Bay, WI, USA and the Milwaukee Estuary, located near
Milwaukee, WI, USA. Fathead minnows were caged at four sites within each AOC
(eight sites total). Following 4d of in situ exposure, tissues and biofluids were
sampled and used for targeted biological effects analyses. Additionally, 4d
composite water samples were collected concurrently at each caged fish site and
analyzed for 132 analytes as well as evaluated for total estrogenic and
androgenic activity using cell-based bioassays. Of the analytes examined, 75 were
detected in composite samples from at least one site. Based on multiple analyses,
one site in the East River and another site near a paper mill discharge in the
Lower Green Bay/Fox River AOC, were prioritized due to their estrogenic and
androgenic activity, respectively. The water samples from other sites generally
did not exhibit significant estrogenic or androgenic activity, nor was there
evidence for endocrine disruption in the fish exposed at these sites as indicated
by the lack of alterations in ex vivo steroid production, circulating steroid
concentrations, or vitellogenin mRNA expression in males. Induction of hepatic
cyp1a mRNA expression was detected at several sites, suggesting the presence of
chemicals that activate the aryl hydrocarbon receptor. To expand the scope beyond
targeted investigation of endpoints selected a priori, several bio-effects
prediction approaches were employed to identify other potentially disturbed
biological pathways and related chemical constituents that may warrant future
monitoring at these sites. For example, several chemicals such as
diethylphthalate and naphthalene, and genes and related pathways, such as
cholinergic receptor muscarinic 3 (CHRM3), estrogen receptor alpha1 (esr1),
chemokine ligand 10 protein (CXCL10), tumor protein p53 (p53), and monoamine
oxidase B (Maob), were identified as candidates for future assessments at these
AOCs. Overall, this study demonstrates that a better prioritization of
contaminants and associated hazards can be achieved through integrated evaluation
of multiple lines of evidence. Such prioritization can guide more comprehensive
follow-up risk assessment efforts.
PMID- 27866741
TI - Assessment of indoor air quality in office buildings across Europe - The OFFICAIR
study.
AB - The European project OFFICAIR aimed to broaden the existing knowledge regarding
indoor air quality (IAQ) in modern office buildings, i.e., recently built or
refurbished buildings. Thirty-seven office buildings participated in the summer
campaign (2012), and thirty-five participated in the winter campaign (2012-2013).
Four rooms were investigated per building. The target pollutants were twelve
volatile organic compounds, seven aldehydes, ozone, nitrogen dioxide and
particulate matter with aerodynamic diameter <2.5MUm (PM2.5). Compared to other
studies in office buildings, the benzene, toluene, ethylbenzene, and xylene
concentrations were lower in OFFICAIR buildings, while the alpha-pinene and d
limonene concentrations were higher, and the aldehyde, nitrogen dioxide and PM2.5
concentrations were of the same order of magnitude. When comparing summer and
winter, significantly higher concentrations were measured in summer for
formaldehyde and ozone, and in winter for benzene, alpha-pinene, d-limonene, and
nitrogen dioxide. The terpene and 2-ethylhexanol concentrations showed
heterogeneity within buildings regardless of the season. Considering the average
of the summer and winter concentrations, the acetaldehyde and hexanal
concentrations tended to increase by 4-5% on average with every floor level
increase, and the nitrogen dioxide concentration tended to decrease by 3% on
average with every floor level increase. A preliminary evaluation of IAQ in terms
of potential irritative and respiratory health effects was performed. The 5-day
median and maximum indoor air concentrations of formaldehyde and ozone did not
exceed their respective WHO air quality guidelines, and those of acrolein, alpha
pinene, and d-limonene were lower than their estimated thresholds for irritative
and respiratory effects. PM2.5 indoor concentrations were higher than the 24-h
and annual WHO ambient air quality guidelines.
PMID- 27866742
TI - Spatiotemporal patterns of paddy rice croplands in China and India from 2000 to
2015.
AB - Due to rapid population growth and urbanization, paddy rice agriculture is
experiencing substantial changes in the spatiotemporal pattern of planting areas
in the two most populous countries-China and India-where food security is always
the primary concern. However, there is no spatially explicit and continuous rice
planting information in either country. This knowledge gap clearly hinders our
ability to understand the effects of spatial paddy rice area dynamics on the
environment, such as food and water security, climate change, and zoonotic
infectious disease transmission. To resolve this problem, we first generated
annual maps of paddy rice planting areas for both countries from 2000 to 2015,
which are derived from time series Moderate Resolution Imaging Spectroradiometer
(MODIS) data and the phenology- and pixel-based rice mapping platform (RICE
MODIS), and analyzed the spatiotemporal pattern of paddy rice dynamics in the two
countries. We found that China experienced a general decrease in paddy rice
planting area with a rate of 0.72 million (m) ha/yr from 2000 to 2015, while a
significant increase at a rate of 0.27mha/yr for the same time period happened in
India. The spatial pattern of paddy rice agriculture in China shifted
northeastward significantly, due to simultaneous expansions in paddy rice
planting areas in northeastern China and contractions in southern China. India
showed an expansion of paddy rice areas across the entire country, particularly
in the northwestern region of the Indo-Gangetic Plain located in north India and
the central and south plateau of India. In general, there has been a
northwesterly shift in the spatial pattern of paddy rice agriculture in India.
These changes in the spatiotemporal patterns of paddy rice planting area have
raised new concerns on how the shift may affect national food security and
environmental issues relevant to water, climate, and biodiversity.
PMID- 27866743
TI - A data-mining framework for exploring the multi-relation between fish species and
water quality through self-organizing map.
AB - The steep slopes of rivers can easily lead to large variations in river water
quality during typhoon seasons in Taiwan, which may poses significant impacts on
riverine eco-hydrological environments. This study aims to investigate the
relationship between fish communities and water quality by using artificial
neural networks (ANNs) for comprehending the upstream eco-hydrological system in
northern Taiwan. We collected a total of 276 heterogeneous datasets with 8 water
quality parameters and 25 fish species from 10 sampling sites. The self
organizing feature map (SOM) was used to cluster, analyze and visualize the
heterogeneous datasets. Furthermore, the structuring index (SI) was adopted to
determine the relative importance of each input variable of the SOM and identify
the indicator factors. The clustering results showed that the SOM could suitably
reflect the spatial characteristics of fishery sampling sites. Besides, the
patterns of water quality parameters and fish species could be distinguishably
(visually) classified into three eco-water quality groups: 1) typical upstream
freshwater fishes that depended the most on dissolved oxygen (DO); 2) typical
middle-lower reach riverine freshwater fishes that depended the most on total
phosphorus (TP) and ammonia nitrogen; and 3) low lands or pond (reservoirs)
freshwater fishes that depended the most on water temperature, suspended solids
and chemical oxygen demand. According to the results of the SI, the
representative indicators of water quality parameters and fish species consisted
of DO, TP and Onychostoma barbatulum. This grouping result suggested that the
methodology can be used as a guiding reference to comprehensively relate ecology
to water quality. Our methods offer a cost-effective alternative to more
traditional methods for identifying key water quality factors relating to fish
species. In addition, visualizing the constructed topological maps of the SOM
could produce detailed inter-relation between water quality and the fish species
of stream habitat units.
PMID- 27866744
TI - Role of solution chemistry in the retention and release of graphene oxide
nanomaterials in uncoated and iron oxide-coated sand.
AB - Understanding the fate and transport including remobilization of graphene oxide
nanomaterials (GONMs) in the subsurface would enable us to expedite their benign
use and evaluate their environmental impacts and health risks. In this study, the
retention and release of GONMs were investigated in water-saturated columns
packed with uncoated sand (Un-S) or iron oxide-coated sand (FeS) at
environmentally relevant solution chemistries (1-100mM KCl and 0.1-10mM CaCl2 at
pH7 and 11). Our results showed that increasing ionic strength (IS) inhibited
GONMs' transport, and the impact of K+ was less than Ca2+. The positively charged
iron oxide coating on sand surfaces immobilized the negatively charged GONMs
(pH7) in the primary minimum, yielding hyperexponential retention profiles
particularly in Ca2+. A stepwise decrease in pore-water IS caused detachment of
previously retained GONMs. The mass of GONMs released during each detachment step
correlated positively with the difference in secondary minimum depth
(DeltaPhimin2) at each IS, indicating that the released GONMs were retained in
the secondary minimum. While most retained GONMs were re-entrained upon lowering
pore-water IS in Un-S, decreasing IS only released limited GONMs in FeS, which
were captured in the primary minimum. Introducing 1mM NaOH (pH11) released most
retained GONMs in FeS; and average hydrodynamic diameters of the detached GONMs
upon injecting NaOH were significantly smaller than those of GONMs in the
influent and retentate, suggesting that NaOH induced GONMs disaggregation. Our
findings advance current knowledge to better predict NMs' fate and transport
under various solution chemistries such as during rainfall events or in the
mixing zones between sea water and fresh water where transient IS changes
drastically.
PMID- 27866745
TI - Urbanization impact on sulfur content of groundwater revealed by the study of
urban speleothem-like deposits: Case study in Paris, France.
AB - Speleothem-like deposits that develop underground in urban areas are an archive
of the environmental impact of anthropic activities that has been little studied
so far. In this paper, the sulfate content in shallow groundwater from northern
Paris (France) is compared with the sulfur content in two 300-year-old urban
carbonate deposits that grew in a historical underground aqueduct. The present
day waters of the aqueduct have very high sulfur and calcium contents, suggesting
pollution from gypsum dissolution. However, geological gypsum levels are located
below the water table. Sulfur content was measured by micro-X-ray fluorescence in
these very S-rich carbonate deposits (0.5 to 1% of S). A twofold S increase
during the second half of the 1800s was found in both samples. These dates
correspond to two major periods of urbanization above the site. We discus three
possible S sources: anthropic sources (industries, fertilizers...), volcanic
eruptions and input within the water through gypsum brought for urbanization
above the studied site (backfill with quarry waste) since the middle of the 19th
century. For the younger second half of the studied section, S input from gypsum
brought during urbanization was confirmed by the study of isotopic sulfur
composition (delta34S=+15.20/00 at the top). For the oldest part, several sulfur
peaks could be related to early industrial activity in Paris, that caused high
local air pollution, as reported in historical archives but also to historical
gypsum extraction. This study provides information on the origin and timing of
the very high SO42- levels measured nowadays within the shallow groundwater, thus
demonstrating the interest in using carbonate deposits in urban areas as a proxy
for the history of urbanization or human activities and their impact on water
bodies.
PMID- 27866746
TI - How does elevated ozone reduce methane emissions from peatlands?
AB - The effects of increased tropospheric ozone (O3) pollution levels on methane
(CH4) emissions from peatlands, and their underlying mechanisms, remain unclear.
In this study, we exposed peatland mesocosms from a temperate wet heath dominated
by the sedge Schoenus nigricans and Sphagnum papillosum to four O3 treatments in
open-top chambers for 2.5years, to investigate the O3 impacts on CH4 emissions
and the processes that underpin these responses. Summer CH4 emissions, were
significantly reduced, by 27% over the experiment, due to summer daytime (8hday
1) O3 exposure to non-filtered air (NFA) plus 35ppb O3, but were not
significantly affected by year-round, 24hday-1, exposure to NFA plus 10ppb or NFA
plus 25ppb O3. There was no evidence that the reduced CH4 emissions in response
to elevated summer O3 exposure were caused by reduced plant-derived carbon
availability below-ground, because we found no significant effect of high summer
O3 exposure on root biomass, pore water dissolved organic carbon concentrations
or the contribution of recent photosynthate to CH4 emissions. Our CH4 production
potential and CH4 oxidation potential measurements in the different O3 treatments
could also not explain the observed CH4 emission responses to O3. However, pore
water ammonium concentrations at 20cm depth were consistently reduced during the
experiment by elevated summer O3 exposure, and strong positive correlations were
observed between CH4 emission and pore water ammonium concentration at three peat
depths over the 2.5-year study. Our results therefore imply that elevated
regional O3 exposures in summer, but not the small increases in northern
hemisphere annual mean background O3 concentrations predicted over this century,
may lead to reduced CH4 emissions from temperate peatlands as a consequence of
reductions in soil inorganic nitrogen affecting methanogenic and/or
methanotrophic activity.
PMID- 27866747
TI - Predicting readmission risk following coronary artery bypass surgery at the time
of admission.
AB - BACKGROUND: Reducing readmissions following hospitalization is a national
priority. Identifying patients at high risk for readmission after coronary artery
bypass graft surgery (CABG) early in a hospitalization would enable hospitals to
enhance discharge planning. METHODS: We developed different models to predict 30
day inpatient readmission to our institution in patients who underwent CABG
between January 2010 and April 2013. These models used data available: 1) at
admission, 2) at discharge 3) from STS Registry data. We used logistic regression
and assessed the discrimination of each model using the c-index. The models were
validated with testing on a different patient cohort who underwent CABG between
May 2013 and September 2015. Our cohort included 1277 CABG patients: 1159 in the
derivation cohort and 1018 in the validation cohort. RESULTS: The discriminative
ability of the admission model was reasonable (C-index of 0.673). The c-indices
for the discharge and STS models were slightly better. (C-index of 0.700 and
0.714 respectively). Internal validation of the models showed a reasonable
discriminative admission model with slight improvement with adding discharge and
registry data (C-index of 0.641, 0.659 and 0.670 respectively). Similarly
validation of the models on the validation cohort showed similar results (C-index
of 0.573, 0.605 and 0.595 respectively). CONCLUSIONS: Risk prediction models
based on data available early on admission are predictive for readmission risk.
Adding registry data did not improved the performance of these models. These
simplified models may be sufficient to identify patients at highest risk of
readmission following coronary revascularization early in the hospitalization.
PMID- 27866748
TI - Promus Premier versus Xience V and Taxus Liberte in contemporary United States
practice (REWARDS premier registry).
AB - BACKGROUND/PURPOSE: Drug-eluting stents (DES) reduce in-stent restenosis and
repeat revascularization in comparison to bare metal stents. Individual DES vary,
however, in regard to rates of restenosis and stent thrombosis; they also differ
in regard to their platform and physical characteristics. The Promus Premier was
designed to improve the performance of the Promus Element, with respect to
conformability, trackability, and avoidance of longitudinal stent deformation;
there is little published data, however, on clinical outcomes with Promus
Premier. METHODS: We performed a registry study that compared 952 patients who
underwent percutaneous coronary intervention with Promus Premier to 595 patients
who received Taxus Liberte and 600 patients who received Xience V for a variety
of indications. The primary endpoint was a composite of all-cause mortality,
definite or probable stent thrombosis, myocardial infarction, and target vessel
revascularization (TVR-MACE). Kaplan-Meier analysis and Cox proportional hazards
regression were performed in order to compare the three stents in regard to
outcomes at 1year. RESULTS: Procedural success was highest with Premier (99.4%)
when compared to Xience V (98.0%) and Taxus Liberte (97.3%; p<0.001). Unadjusted
survival analysis showed that TVR-MACE was less frequent with Premier in
comparison to Taxus Liberte (p=0.003), and similar frequency in comparison to
Xience V (p=0.16). Following multivariable adjustment, and using Xience V as the
reference, there was only a borderline association of Promus Premier and lower
rates of TVR-MACE (HR 0.69, 95% CI 0.45-1.04; p=0.075). CONCLUSION: Promus
Premier demonstrates excellent procedural success rates and real-world outcomes
that are similar to Xience V.
PMID- 27866749
TI - Inter-population and inter-organ distribution of the main polyphenolic compounds
of Epilobium angustifolium.
AB - Rosebay willowherb (Epilobium angustifolium) contains large amounts of
polyphenolic compounds, including tellimagrandin I-based oligomeric ellagitannins
(ETs). The aim of this study was to assess the interpopulational and inter-organ
variability of the polyphenol fingerprint of E. angustifolium. Seven ETs, 11
flavonol glycosides and neochlorogenic acid were quantified by UHPLC-DAD-ESI-QqQ
MS in the leaves, flowers and stem parts of plants from 10 populations. Total
polyphenol content of leaves and flowers ranged from 150 to 200 mg/g dry wt, of
which 90% was constituted by dimeric to heptameric ETs. Flowers contained, on
average, 10% more oenothein B (dimeric ET) and 2 times less oenothein A (trimeric
ET) than leaves. Tetrameric and pentameric ETs exhibited rather similar levels in
leaves and flowers whereas hexameric and heptameric were 3-4 times more abundant
in flowers than in leaves. Quercetin-3-O-rhamnoside, myricetin-3-O-rhamnoside and
kaempferol-3-O-rhamnoside were specific to flower tissue and were absent from
leaves. The inflorescence stem showed the highest content in total polyphenols
with an average of 250 mg/g dry wt and contained remarkably large amounts of
oenothein B and A. Polyphenol content steadily decreased along the inflorescence
stem and reached its lowest level in the vegetative part of the stem. The
interpopulational variability of most polyphenols was within a two- to threefold
range across the 10 sampled populations. Myricetin-3-O-glucoside and myricetin-3
O-glucuronide, however, showed a more population-specific distribution with
concentrations varying from 0 to 2.3 mg/g dry wt. Finally, this study showed that
the levels of oenothein B and A in the plant are not interdependent but that
their relative abundance is constant within a population.
PMID- 27866751
TI - Weight loss after bariatric surgery and periodontal changes: a 12-month
prospective study.
AB - BACKGROUND: Several longitudinal studies have explored the association of obesity
and weight gain with periodontal disease. However, the effect of weight loss on
periodontal tissues remains unclear. OBJECTIVE: To explore whether weight loss
after bariatric surgery was associated with changes in periodontal measures over
12 months. SETTING: Two public hospitals in Sao Paulo, Brazil. METHODS: We used
data from 110 morbidly obese patients (body mass index [BMI]>40 kg/m2 or>=35
kg/m2 with co-morbid conditions) who underwent bariatric surgery between April
2011 and March 2013. Data on demographic factors, BMI, smoking habits, and
glucose levels were extracted from medical records preoperatively and after 6 and
12 months postsurgery. A full-mouth periodontal examination was conducted by
trained examiners to assess probing pocket depth, clinical attachment loss, and
bleeding on probing (BOP) at baseline and 6 and 12 months after surgery. Data
were analyzed using linear mixed-effects models. RESULTS: BMI was not
significantly related to the proportion of sites with BOP at baseline, but it was
negatively associated with the rate of change in the proportion of sites with
BOP. The greater the BMI loss, the higher the proportion of sites with BOP,
particularly 6 months after surgery. However, BMI was not associated with
baseline probing pocket depth and clinical attachment loss or rates of changes in
these periodontal outcomes. CONCLUSION: The findings suggest that weight loss was
associated with increased gingival bleeding, showing a peak at 6 months after
bariatric surgery. Periodontal pocketing and attachment loss remained unchanged
during the study period.
PMID- 27866752
TI - Selling antimicrobials without prescription - Far beyond an administrative
problem.
AB - INTRODUCTION: Selling antibiotics without prescription is common in many
countries; beyond the administrative restrictions, this practice is a risk for
patients and society. The aim of the study was to evaluate the information
provided by the staff of the pharmacy to a simulated patient requesting an
antibiotic. MATERIAL AND METHODS: A prospective study was carried out in January
2013 - February 2014 in the Health Region of Tarragona, in which a mystery
shopper visited 220 pharmacies requesting an antibiotic to be sold. RESULTS: The
actress was not asked about allergies in 73.9% of cases and never was asked about
possible pregnancy. Recommendation to see a doctor was observed in 36.1% of
cases. When antibiotics were not sold, the explanation provided by the staff was
reasoned only in 9.9% of the cases. CONCLUSION: It is necessary and urgent to
improve the training of pharmacists in dispensing antibiotics but also strengthen
basic health knowledge among the population.
PMID- 27866750
TI - Distinguishing hypothetical willingness from behavioral intentions to initiate
HIV pre-exposure prophylaxis (PrEP): Findings from a large cohort of gay and
bisexual men in the U.S.
AB - RATIONALE: Much of the data on the acceptability of HIV Pre-Exposure Prophylaxis
(PrEP) is based on willingness to take PrEP (i.e., hypothetical receptivity)
rather than actual intentions (i.e., planned behavioral action) to do so.
OBJECTIVE: We sought to examine differences between hypothetical willingness and
behavioral intentions to begin PrEP in a national sample of gay and bisexual men
(GBM) across the U.S. METHODS: We utilized data collected in 2015 to examine
differences between those Unwilling (42.6% n = 375), Willing but not intending
(41.4%, n = 365), and willing and Intending to take PrEP (15.9%, n = 140) in a
multivariable, multinomial logistic regression. RESULTS: Men with less education
had higher odds of Intending to take PrEP. Compared to men unsure about PrEP's
efficacy, those who believed PrEP was at least 90% efficacious had higher odds of
Intending to take PrEP. Those who saw themselves as appropriate candidates for
PrEP had higher odds of Intending to take PrEP while those who saw themselves as
inappropriate candidates for PrEP had lower odds of Intending to take PrEP in
comparison to men unsure if they were appropriate candidates. Increased
motivation for condom non-use because of perceived sexual pressure by partners
was associated with higher odds of Intending to take PrEP. The groups did not
differ by risk behavior nor recent STI diagnosis. CONCLUSIONS: Overall, the
distinction between willingness and intentions to take PrEP was meaningful and
may help explain disparities between PrEP acceptability and uptake. While much of
the literature has focused on hypothetical willingness to take PrEP, these
results highlight the importance of simultaneously assessing willingness and
intentions when examining correspondence with uptake and developing interventions
to increase PrEP uptake.
PMID- 27866753
TI - Molecular epidemiology of carbapenemase-producing Enterobacteriaceae
infection/colonisation in a hospital in Madrid.
AB - INTRODUCTION: A description is presented on the molecular epidemiology of
carbapenemase-producing enterobacteriaceae infection in a tertiary hospital.
MATERIAL AND METHODS: A study was made on all the carbapenemase-producing
enterobacteriaceae isolations obtained between February 2015 and March 2016 in
the Hospital Universitario 12 de Octubre (Madrid). Phenotypic and molecular
methods were used. RESULTS: A total of 7 bacterial species were identified, with
the majority being Klebsiella pneumoniae (K. pneumoniae) (78.9%) and Enterobacter
cloacae (E. cloacae) (16.4%). The resistance of K. pneumoniae and E. cloacae for
carbapenems was 88.7 and 88.6% for ertapenem, 21.4 and 54.3% for imipenem, and
20.8 and 34.3% for meropenem, respectively. The most frequent carbapenemase type
was OXA-48 (91.1%) and VIM (71.4%) in E. cloacae. A total of 9K. pneumoniae
clonal types were identified, including a majority pertaining to the sequence
type ST11. In E. cloacae, 16 clonal types were identified. CONCLUSIONS: The
current increase in carbapenemase-producing enterobacteriaceae is mainly due to
the spread of OXA-48-producing K. pneumoniae.
PMID- 27866754
TI - Cat bite wound infection.
PMID- 27866755
TI - Evaluation of an automated room decontamination device using aerosolized
peracetic acid.
AB - Because manual cleaning is often suboptimal, there is increasing interest in use
of automated devices for room decontamination. We demonstrated that an ultrasonic
room fogging system that generates submicron droplets of peracetic acid and
hydrogen peroxide eliminated Clostridium difficile spores and vegetative
pathogens from exposed carriers in hospital rooms and adjacent bathrooms.
PMID- 27866756
TI - Cuboid morphology of a basal anthropoid from the Eocene of China.
PMID- 27866757
TI - Differential diagnosis of IgG4-related sialadenitis, primary Sjogren syndrome,
and chronic obstructive submandibular sialadenitis.
AB - Our aim was to differentiate IgG4-related sialadenitis, primary Sjogren syndrome,
and chronic obstructive submandibular sialadenitis by analysing clinical,
radiographic, and pathological features. Fifty-five patients, 50, and 50 were
enrolled, respectively and their baseline characteristics and serological,
sialographic, and pathological findings compared. The male:female ratio for IgG4
related sialadenitis was 1:1.2 for primary Sjogren syndrome 1:15.7, and for
chronic obstructive submandibular sialadenitis1:0.92. Numbers with enlarged
salivary glands were 55, 16, and 50; with xerostomia 26, 48, and 0; with a
history of allergy 26, 4, and 6, and with coexisting systemic disease 12, 19, and
0 (p=0.14). Mean (SD) serum IgG4 concentrations were 109.1 (97.9), 4.9. (1.9)
g/L, and 5.3 (1.6) g/L, p<0.001 in all cases. Sialography showed enlargement of
the gland, dilatation of the duct, and slightly decreased secretory function in
IgG4-related disease; obvious sialectasia and decreased secretory function in
Sjogren syndrome; and dilatation of Wharton's duct and filling defects in
obstructive sialadenitis. Histopathological examination showed lymphoplasmacytic
infiltration with storiform fibrosis, lymphoplasmacytic inflammation and
lymphoepithelial lesions, and dilatation of the duct with epithelial metaplasia
in the three groups, respectively. The number of IgG4-positive plasma cells was
123 (45)/HPF, 8 (3)/HPF, and 5 (4)/HPF, while the IgG4-/IgG-positive cell ratio
was 71.7 (13.9)%, 4.6 (2.5)%, 18.9 (19.7)%, respectively (p<0.001). The three
conditions have different clinical, radiographic, and pathological features that
provide important clues to the differential diagnosis. Serological and
histological tests are important, and comprehensive consideration is necessary.
PMID- 27866758
TI - The influence of deprivation on malnutrition risk in outpatients with chronic
obstructive pulmonary disease (COPD).
AB - BACKGROUND & AIMS: The social gradient in chronic obstructive pulmonary disease
(COPD) is considerable, but the influence of deprivation on common clinical risk
factors such as malnutrition is unclear. This study aimed to explore the
relationship between COPD disease-severity, deprivation and malnutrition.
METHODS: 424 outpatients with a confirmed diagnosis of COPD were routinely
screened for malnutrition risk using the 'Malnutrition Universal Screening Tool'
('MUST') while attending respiratory clinics across two hospitals; a large city
hospital (site A) and a smaller community hospital (site B). Deprivation was
assessed for each outpatient according to their address (postcode) using the
English governments' index of multiple deprivation (IMD) and related to
malnutrition risk. Each postcode was attributed to both an IMD score and IMD
rank, where a higher IMD score and a lower IMD ranking indicated increased
deprivation. RESULTS: Overall prevalence of malnutrition was 22% (95% CI 18-26%;
9% medium risk, 13% high risk). It was significantly higher at site A (28% vs
17%; p = 0.004) where patients were also significantly more likely to reside in
areas of more deprivation than those at site B (IMD rank: 15,510 SD 8137 vs
22,877 SD 6827; p < 0.001). COPD disease-severity was positively associated with
malnutrition (p < 0.001) whilst a higher rank IMD was negatively associated with
malnutrition (p = 0.014). CONCLUSIONS: Deprivation is a significant independent
risk factor for malnutrition in outpatients with COPD. Consideration of
deprivation is important in the identification of malnutrition and the
nutritional management of patients with COPD.
PMID- 27866759
TI - Adherence to healthy lifestyle factors and risk of death in men with diabetes
mellitus: The Physicians' Health Study.
AB - BACKGROUND & AIMS: The relationship between healthy lifestyle factors and
mortality in people with type 2 diabetes is unclear. The purpose of this study
was to examine whether healthy lifestyle factors are associated with mortality in
people with type 2 diabetes. METHODS: We prospectively studied 1163 men with type
2 diabetes from the Physicians' Health Study. Lifestyle factors consisted of
currently not smoking, moderate drinking (1-2 drinks/day), vigorous exercise
(1+/week), BMI < 25 kg/m2, and being in the top 2 quintiles of the alternate
healthy eating index-2010 (AHEI-2010). Multivariate Cox regression models were
used to estimate hazard ratios (95% confidence intervals) of mortality. RESULTS:
At baseline, average age was 69 years and mean follow up was 9 years. About 22%
of study participants had <=1 healthy lifestyle factor, 37% had two, 29% had
three, and 12% had four or more healthy lifestyle factors. An inverse
relationship was found between the number of lifestyle factors and total
mortality. Compared with participants who had <=1 healthy lifestyle factor, the
risk of death was 42% (95% CI; 19%-58%) lower for those with two healthy
lifestyle factors, 41% (95% CI; 18%-58%) lower for those with three, and 44% (95%
CI; 12%-64%) lower for those with 4 or more healthy lifestyle factors.
CONCLUSION: Adherence to modifiable healthy lifestyle factors is associated with
a lower risk of death among adult men with type 2 diabetes. Our study emphasizes
the importance of educating individuals with diabetes to adhere to healthy
lifestyle factors.
PMID- 27866760
TI - Polyurethane foam impregnated with lignin as a filler for the removal of crude
oil from contaminated water.
AB - The present study describes the influence of the concentration of lignin when
used as a filler in polyurethane foam for crude oil sorption. The foams (lignin 0
20wt%) were characterized by Fourier transform infrared spectroscopy (FTIR),
scanning electron microscopy (SEM), thermogravimetric analysis, contact angle and
density. The FTIR analysis confirmed urethane linkage formation, showing that the
chemical structure of the polymer was preserved, despite the addition of
different lignin concentrations. Thermogravimetric analysis showed that the
presence of lignin has altered the onset temperature (Tonset) of the foams,
decreasing as the concentration of lignin is increased. The contact angle
analysis showed a decrease in the hydrophobicity of the foams with increasing
lignin concentration. All modified foams showed an improvement in the oil
sorption capacity in a PUF/oil/water system, and the PUF-10 showed an improvement
of about 35.5% compared to the PUF-blank. The Langmuir isotherm showed a better
fit to the data and predicted a maximum oil adsorption of 28.9gg-1 by the PUF-10.
The DeltaG degrees value of -4.4kJmol-1 indicated that crude oil adsorption
process by PUF-10 was spontaneous. The results of reuse of the PUF-10 showed that
oil removal efficiency remained greater than 95% after five consecutive cycles.
PMID- 27866761
TI - Degradation of sulfamethazine using Fe3O4-Mn3O4/reduced graphene oxide hybrid as
Fenton-like catalyst.
AB - In this paper, Fe3O4-Mn3O4/reduced graphene oxide (RGO) hybrid was synthesized
through polyol process and impregnation method and used as heterogeneous Fenton
like catalyst for degradation of sulfamethazine (SMT) in aqueous solution. The
hybrid catalyst had higher catalytic efficiency compared with Fe3O4-Mn3O4 and
Mn3O4 as catalyst for degradation of SMT. The effects of pH value, H2O2
concentration, catalyst dosage, initial SMT concentration and temperature on SMT
degradation were investigated. The removal efficiency of SMT was about 98% at
following optimal conditions: pH=3, T=35 degrees C, Fe3O4/Mn3O4-RGO
composites=0.5g/L, H2O2=6mM. The inhibitor experiments indicated that the main
active species was hydroxyl radicals (.OH) on catalyst surface. At last, the
possible catalytic mechanism was proposed.
PMID- 27866762
TI - Synthesis and characterization of polyacrylic acid- grafted-carboxylic
graphene/titanium nanotube composite for the effective removal of enrofloxacin
from aqueous solutions: Adsorption and photocatalytic degradation studies.
AB - Polyacrylic acid-grafted-carboxylic graphene/titanium nanotube (PAA-g-CGR/TNT)
composite was synthesized. It was effectively used as adsorbent as well as
photocatalyst. The composite was characterized by FTIR, XRD, SEM, TEM, Surface
Area Analyzer, XPS and DRS. The photocatalytic activity of PAA-g-CGR/TNT
composite was evaluated on the basis of the degradation of pollutants by using
sunlight. The band gap of the prepared photocatalyst was found to be 2.6eV. The
removal of the antibiotic enrofloxacin (ENR) was achieved by two step mechanism
based on adsorption and photodegradation. The maximum adsorption was observed at
pH 5.0. The best fitted kinetic model was found to be pseudo-second-order. The
maximum adsorption was observed at 30 degrees C. The maximum adsorption capacity
was found to be 13.40mg/g. The kinetics of photodegradation of ENR onto PAA-g
CGR/TNT composite follow first-order kinetics and optimum pH was found to be 5.0.
The regeneration and reuse of the adsorbent-cum-photocatalyst were also examined
upto five cycles.
PMID- 27866764
TI - Thermodynamic features of dioxins' adsorption.
AB - In this paper, the six more poisonous species among all congeners of dioxin group
are taken into account, and the P-T diagram for each of them is developed.
Starting from the knowledge of vapour tensions and thermodynamic parameters, the
theoretical adsorption isotherms are calculated according to the Langmuir's
model. In particular, the Langmuir isotherm parameters (K and wmax) have been
validated through the estimation of the adsorption heat (DeltaHads), which varies
in the range 20-24kJ/mol, in agreement with literature values. This result will
allow to put the thermodynamical basis for a rational design of different process
units devoted to dioxins removal.
PMID- 27866763
TI - Direct and indirect photodegradation pathways of cytostatic drugs under UV
germicidal irradiation: Process kinetics and influences of water matrix species
and oxidant dosing.
AB - The ever-increasing consumption of various cytostatic drugs (CSDs) has attracted
growing public concern in recent years. The photodegradation of 8 CSDs was
investigated using a low-pressure UV-254Hg lamp, resulting in fluence-based first
order kinetic rate constants in the range of (0.20-6.97)*10-4cm2mJ-1. The
influence of water matrix components, including natural dissolved organic matter
(DOM), bicarbonate (HCO3-), nitrate (NO3-), chloride (Cl-), and sulfate (SO42-),
was investigated. The degradation rates of CSDs decrease in the presence of DOM
due to the competition for the UV light, but increase with addition of NO3- due
to an indirect production of HO. Further investigation was carried out to
evaluate the viability of UV treatment performances using two real water samples,
namely treated water from a water treatment plant and secondary effluent from a
wastewater treatment plant. The primary photodegradation byproducts of CSDs were
identified using LC/MS/MS to investigate the mechanism of direct UV photolysis
and indirect NO3--induced and DOM-induced photolysis. The degradation rates of
CSDs increase significantly with the addition of H2O2 or S2O82- under UV
irradiation, due to the generation of non-selective HO or selective SO4-. As an
electrophilic radical, SO4- mainly reacts via electron transfer and selectively
attacks certain electron-donating functional groups of CSDs.
PMID- 27866766
TI - Age-specific effectiveness following each dose of acellular pertussis vaccine
among infants and children in New Zealand.
AB - BACKGROUND: Though it is believed the switch from whole cell to acellular
pertussis vaccine has contributed to the resurgence of pertussis disease, few
studies have evaluated vaccine effectiveness (VE) and duration of protection
provided by an acellular vaccine schedule including three primary doses but no
toddler-age dose. We assessed this schedule in New Zealand (NZ), a setting with
historically high rates of pertussis disease, and low but recently improved
immunisation coverage. We further evaluated protection following the preschool
age booster dose. METHODS: We performed a nested case-control study using
national-level healthcare data. Hospitalised and non-hospitalised pertussis was
detected among children 6weeks to 7years of age between January 2006 and December
2013. The NZ National Immunisation Register provided vaccination status for cases
and controls. Conditional logistic regression was used to calculate dose-specific
VE with duration of immunity examined by stratifying VE into ages aligned with
the immunisation schedule. RESULTS: VE against pertussis hospitalisation was 93%
(95% confidence interval [CI]: 87, 96) following three doses among infants aged 5
11months who received three compared to zero doses. This protection was sustained
through children's fourth birthdays (VE?91%). VE against non-hospitalised
pertussis was also sustained after three doses, from 86% (95% CI: 80, 90) among 5
11month olds to 84% (95% CI: 80, 88) among 3-year-olds. Following the first
booster dose at 4years of age, the protective VE of 93% (95% CI: 90, 95) among 4
year-olds continued through 7years of age (VE?91%). CONCLUSIONS: We found a high
level of protection with no reduction in VE following both the primary course and
the first booster dose. These findings support a 3-dose primary course of
acellular vaccine with no booster dose until 4years of age.
PMID- 27866765
TI - Safety, tolerability, and immunogenicity of a single dose 4-antigen or 3-antigen
Staphylococcus aureus vaccine in healthy older adults: Results of a randomised
trial.
AB - BACKGROUND: The decline in immune function with age is a challenge to vaccine
development. Following an initial study in adults aged 18-64years, this study
evaluated the safety and immunogenicity of Staphylococcus aureus (S. aureus) 4
antigen (SA4Ag) and 3-antigen (SA3Ag) vaccine in older adults. SA3Ag included
capsular polysaccharide serotypes 5 and 8 (CP5 and CP8) conjugated to the
nontoxic mutant form of diphtheria toxin (CRM197) and a recombinant version of
clumping factor A (ClfA). SA4Ag included these antigens, with the addition of a
recombinant manganese transporter C (rP305A or MntC). Both vaccines were
unadjuvanted. METHODS: In this double-blind, sponsor-unblinded, placebo
controlled, phase 1/2 study, 284 healthy adults (aged 65-85years) were randomised
to receive a single dose of one of three formulations of SA4Ag with escalating
dose levels of rP305A, SA3Ag, or placebo. Functional immune responses were
measured using opsonophagocytic activity (OPA) killing and fibrinogen-binding
inhibition (FBI) assays; immunogenicity was also assessed using a competitive
Luminex(r) immunoassay (cLIA). T-cell responses were measured in a small subgroup
of subjects using intracellular cytokine staining (ICS) assays. RESULTS: The
results demonstrated rapid and robust functional immune responses to all antigens
in healthy older adults. A high proportion of active vaccine recipients met the
pre-defined antibody thresholds for each antigen at Day 29. SA4Ag elicited a dose
level response to rP305A with up to a 13-fold rise in cLIA titres at Day 29.
Opsonophagocytic activity (OPA) assays showed >50- and >20-fold rises in
functional titres using S. aureus strains expressing CP5 and CP8, respectively,
at Day 29. T-cell cytokine responses were not substantially above background
levels. There were no safety concerns in this study population and no increases
in adverse events with higher rP305A dose levels. CONCLUSIONS: Single-dose
vaccination of SA4Ag and SA3Ag in healthy adults aged 65-85years safely induced
rapid and robust functional immune responses, supporting further development of
SA4Ag for the prevention of S. aureus disease in adults up to age 85years. TRIAL
REGISTRATION NUMBER: NCT01643941.
PMID- 27866767
TI - Minimization of hepatitis B infection among children in Jiangsu, China, 12years
after integration of hepatitis B vaccine into the expanded program on
immunization.
AB - BACKGROUND: China has integrated hepatitis B vaccine into the Expanded Program on
Immunization since 2002. We aimed to survey the seroprevalence of and immunity to
hepatitis B virus (HBV) in children born from 2002 to 2014 in Jiangsu, China.
METHODS: Totally 3442 children (M:F=2072:1370) at the age of 7months to 12years
(5.5+/-3.6), from five cities and rural areas across Jiangsu province, were
enrolled. Blood samples were measured for HBV markers by ELISA and quantitative
microparticle enzyme immunoassay. HBV DNA was tested by real-time PCR and S
region was amplified by nested PCR. RESULTS: Twelve (0.35%) children were
positive for hepatitis B surface antigen (HBsAg) and 34 (0.99%) were HBsAg
negative and positive for antibody against hepatitis B core antigen (anti-HBc).
Totally 2542 (73.85%) children had anti-HBs levels ?10mIU/ml and 535 (15.54%)
with 2-9.9mIU/ml. All 12 HBsAg-positive children had detectable HBV DNA with a
mean level of 6.1+/-1.7logIU/ml (3.3-8.1logIU/ml); 8 were genotype C and 4 were
genotype B. No mutation was detected in the a determinant of HBsAg. HBV DNA was
not detected in all the 34 children with positive anti-HBc and negative HBsAg.
CONCLUSION: HBsAg prevalence among children in Jiangsu born after the
introduction of universal vaccination against hepatitis B has significantly
decreased. No mutation of S gene is associated with vaccine failure in the cohort
of children.
PMID- 27866768
TI - Estimating the burden of rubella virus infection and congenital rubella syndrome
through a rubella immunity assessment among pregnant women in the Democratic
Republic of the Congo: Potential impact on vaccination policy.
AB - BACKGROUND: Rubella-containing vaccines (RCV) are not yet part of the Democratic
Republic of the Congo's (DRC) vaccination program; however RCV introduction is
planned before 2020. Because documentation of DRC's historical burden of rubella
virus infection and congenital rubella syndrome (CRS) has been minimal, estimates
of the burden of rubella virus infection and of CRS would help inform the
country's strategy for RCV introduction. METHODS: A rubella antibody
seroprevalence assessment was conducted using serum collected during 2008-2009
from 1605 pregnant women aged 15-46years attending 7 antenatal care sites in 3 of
DRC's provinces. Estimates of age- and site-specific rubella antibody
seroprevalence, population, and fertility rates were used in catalytic models to
estimate the incidence of CRS per 100,000 live births and the number of CRS cases
born in 2013 in DRC. RESULTS: Overall 84% (95% CI 82, 86) of the women tested
were estimated to be rubella antibody seropositive. The association between age
and estimated antibody seroprevalence, adjusting for study site, was not
significant (p=0.10). Differences in overall estimated seroprevalence by study
site were observed indicating variation by geographical area (p?0.03 for all).
Estimated seroprevalence was similar for women declaring residence in urban (84%)
versus rural (83%) settings (p=0.67). In 2013 for DRC nationally, the estimated
incidence of CRS was 69/100,000 live births (95% CI 0, 186), corresponding to
2886 infants (95% CI 342, 6395) born with CRS. CONCLUSIONS: In the 3 provinces,
rubella virus transmission is endemic, and most viral exposure and seroconversion
occurs before age 15years. However, approximately 10-20% of the women were
susceptible to rubella virus infection and thus at risk for having an infant with
CRS. This analysis can guide plans for introduction of RCV in DRC. Per World
Health Organization recommendations, introduction of RCV should be accompanied by
a campaign targeting all children 9months to 14years of age as well as
vaccination of women of child bearing age through routine services.
PMID- 27866769
TI - Modular virus-like particles for sublingual vaccination against group A
streptococcus.
AB - Infection with Group A streptococcus (GAS)-an oropharyngeal pathogen-leads to
mortality and morbidity, primarily among developing countries and indigenous
populations in developed countries. The development of safe and affordable GAS
vaccines is challenging, due to the presence of various unique GAS serotypes,
antigenic variation within the same serotype, and potential auto-immune
responses. In the present study, we evaluated the use of a sublingual freeze
dried (FD) formulation based on immunogenic modular virus-like particles (VLPs)
carrying the J8 peptide (J8-VLPs) as a potential safe and cost-effective GAS
vaccine for inducing protective systemic and mucosal immunity. By using in vivo
tracing of the sublingual J8-VLPs, we visualized the draining of J8-VLPs into the
submandibular lymph nodes, in parallel with its rapid absorption into the
systemic circulation, which support the induction of effective immune responses
in both systemic and mucosal compartments. The sublingual administration of J8
VLPs resulted in a high serum IgG antibody level, with a good balance of Th1 and
Th2 immune responses. Of note, sublingual vaccination with J8-VLPs elicited high
levels of IgA antibody in the saliva. The co-administration of mucosal adjuvant
cholera toxin (CT) further enhanced the increase in salivary IgA antibody levels
induced by the J8-VLPs formulation. Moreover, the levels of salivary IgA and
serum IgG observed following the administration of the CT-adjuvanted FD
formulation of J8-VLPs (FD-J8-VLPs) and non-FD formulation of J8-VLPs were
comparable. In fact, the saliva isolated from mice immunized with J8-VLPs and FD
J8-VLPs with CT demonstrated opsonizing activity against GAS in vitro. Thus, we
observed that the sublingually delivered FD formulation of microbially produced
modular VLPs could prevent and control GAS diseases in endemic areas in a cost
effective manner.
PMID- 27866771
TI - The changing face of vaccines and vaccination.
PMID- 27866770
TI - Tetanus vaccination is associated with differential DNA-methylation: Reduces the
risk of asthma in adolescence.
AB - BACKGROUND: Vaccinations have been suggested to be associated with increased risk
of allergic diseases. Tetanus vaccination is one of the most frequently
administered vaccines as a part of wound management and was also found to be
associated with increased serum IgE levels. We hypothesized that the vaccination
modifies the risk of allergic diseases through epigenetic changes such as DNA
methylation. METHOD: Data on tetanus vaccination between 10 and 18years of age
was collected from a birth cohort established on the Isle of Wight UK in 1989.
DNA methylation data were collected from individuals at different ages (at birth
[n=30], age 10 [n=34], age 18 [n=245] and during pregnancy [n=121]) using the
Illumina Infinium HumanMethylation450K array. Firstly, we performed an epigenome
wide screening to identify cytosine-phosphate-guanine sites (CpGs) associated
with tetanus vaccination in 18-year-olds. Secondly, we tested their association
with asthma, allergic sensitization, eczema, serum IgE and pulmonary lung
function (FVC, FEV1, FEV1/FVC, and FEF25-75%). We then described changes in the
methylation of the selected CpG sites over age, and by vaccination status.
RESULTS: Tetanus vaccination was found to be associated with decreased
methylation of cg14472551 (p value 0.5*10-5, FDR-adjusted p value 2.1*10-4) and
increased methylation of cg01669161 (p value 0.0007, FDR-adjusted p value 0.014).
Both CpGs, in turn, were associated with decreased risk of asthma at 18years of
age. Cg14472551 is located in an intron of KIAA1549L, whose protein binds to a B
cell commitment transcription factor; cg01669161 is located between an antisense
regulator of the proteasome assembly chaperone PSMG3, and TFAMP1, a pseudogene.
Increased methylation of cg01669161 was also associated with decreased serum IgE
levels. CONCLUSION: DNA methylation changes following tetanus vaccination may
offer a novel prospect to explain a differential occurrence of asthma in
adolescence.
PMID- 27866773
TI - Influenza H7N9 LAH-HBc virus-like particle vaccine with adjuvant protects mice
against homologous and heterologous influenza viruses.
AB - The long alpha-helix (LAH) region located in influenza virus hemagglutinin (HA)
shows conservation among different influenza A strains, which could be used as a
candidate target of influenza vaccines. Moreover, the hepatitis B virus core
protein (HBc) is a carrier for heterologous epitopes in eliciting effective
immune responses. We inserted the LAH region of H7N9 influenza virus into the HBc
and prepared the LAH-HBc protein, which were capable of self-assembly into virus
like particles (VLP), by using E. coli expression system. Intranasal immunization
of the LAH-HBc VLP in combination with chitosan adjuvant or CTB* adjuvant in mice
could induce both humoral and cellular immune responses effectively and provide
complete protection against lethal challenge of homologous H7N9 virus or
heterologous H3N2 virus, as well as partial protection against lethal challenge
of heterologous H1N1 virus. These results provide a proof of concept for LAH-HBc
VLP vaccine that would be fast and easy to be produced and might be an ideal
candidate as a rapid-response tool against a future influenza pandemic.
PMID- 27866774
TI - Complement-independent dengue virus type 1 infection-enhancing antibody reduces
complement-dependent and -independent neutralizing antibody activity.
AB - Dengue fever and dengue hemorrhagic fever are globally important mosquito
transmitted viral diseases. However, the only licensed vaccine is not highly
protective. Viremia is related to disease severity in infected humans, and it is
thought to be reduced by neutralizing antibodies but increased by infection
enhancing antibodies. We established an assay system to measure the balance
between neutralizing and enhancing antibodies and found that most dengue-immune
individuals in endemic areas carry complement-independent enhancing antibodies
(CiEAb). Studying CiEAb is important for dengue vaccine development because the
enhancing activity of CiEAb does not decrease in the presence of complement,
which can reduce the enhancing activity of other antibodies in vitro. Here, we
investigated the effects of CiEAb on the activity of neutralizing antibodies
(mainly, complement-dependent neutralizing antibodies; CdNAb) using cocktails of
mouse monoclonal antibodies (MAbs) against dengue virus type 1 (DENV-1). These
cocktails included MAbs with enhancing activity only (represented by D1-V-3H12
[3H12]) or neutralizing activity only (represented by D1-IV-7F4 [7F4]). Because
3H12, an IgG1 subclass antibody, is complement-independent and cross-reacted with
all dengue serotypes, it is a suitable model of CiEAb. An approximately equal
amount of 3H12 abolished the neutralizing activity of 7F4. The complement
dependent neutralizing activities of the IgG2a and IgG2b variants of 7F4 were
also completely inhibited by ?3-fold concentrations of the IgG1 variant. The
complement-dependent antibody activities of other anti-DENV-1 MAbs and those of
MAbs directed against other serotypes were inhibited 50% by 3H12 at various
mixing ratios, ranging from one-hundredth to 10-fold. The complement-dependent
neutralizing activities of dengue-immune mouse ascites fluids were also
effectively inhibited by 3H12. This suggests that concomitantly induced CiEAb
exerts an unwanted effect on the protective capacity of a vaccine. Thus, the
effective inhibition of the neutralizing activity of CdNAb by CiEAb has
implications for dengue pathogenesis and vaccine development.
PMID- 27866775
TI - The case for verbal autopsy in health systems strengthening.
PMID- 27866772
TI - H1:IC31 vaccination is safe and induces long-lived TNF-alpha+IL-2+CD4 T cell
responses in M. tuberculosis infected and uninfected adolescents: A randomized
trial.
AB - BACKGROUND: Control of the tuberculosis epidemic requires a novel vaccine that is
effective in preventing tuberculosis in adolescents, a key target population for
vaccination against TB. METHODS: Healthy adolescents, stratified by M.
tuberculosis-infection status, were enrolled into this observer-blinded phase II
clinical trial of the protein-subunit vaccine candidate, H1:IC31, comprising a
fusion protein (H1) of Ag85B and ESAT-6, formulated with the IC31 adjuvant. Local
and systemic adverse events and induced T cell responses were measured after one
or two administrations of either 15MUg or 50MUg of the H1 protein. RESULTS: Two
hundred and forty participants were recruited and followed up for 224days. No
notable safety events were observed regardless of H1 dose or vaccination
schedule. H1:IC31 vaccination induced antigen-specific CD4 T cells, co-expressing
IFN-gamma, TNF-alpha and/or IL-2. H1:IC31 vaccination of M.tb-uninfected
individuals preferentially drove the emergence of Ag85B and ESAT-6 specific TNF
alpha+IL-2+CD4 T cells, while H1:IC31 vaccination of M.tb-infected individuals
resulted in the expansion of Ag85B-specific but not ESAT-6-specific TNF-alpha+IL
2+CD4 T cells. CONCLUSIONS: H1:IC31 was safe and immunogenic in uninfected and
M.tb-infected adolescents. Two administrations of the 15MUg H1:IC31 dose induced
the greatest magnitude immune response, and was considered optimal (South African
National Clinical Trials Register, DoH-27-0612-3947; Pan African Clinical Trial
Registry, PACTR201403000464306).
PMID- 27866776
TI - Activation of alpha7 nicotinic acetylcholine receptors facilitates long-term
potentiation at the hippocampal-prefrontal cortex synapses in vivo.
AB - Activation of alpha7 nAChRs has been shown to improve performance in a variety of
nonclinical assays of cognitive function. The role of alpha7 nAChRs in cognitive
processes is likely related to their role in modulating synaptic transmission and
plasticity that have been reported in cell culture, brain slices, and intact
animals. Here we report the effects of the alpha7 nAChR agonist FRM-17874 on
synaptic plasticity within the hippocampal-medial prefrontal cortex pathway. Long
term potentiation (LTP) was generated by tetanic stimulation of CA1/subiculum
region in urethane anesthetized male rats. Compared to saline controls, FRM-17874
significantly increased LTP (F(3,16)=10.39, p=0.0005) at doses of 0.3 and
1.0mg/kg but not with 3.0mg/kg, injected subcutaneously. Considering the
physiological role of hippocampal LTP in mnemonic functions and memory formation,
and the role of the hippocampal - prefrontal cortex pathway in working memory,
the described neurophysiological effects could be a contributing mechanism
underlying the cognitive effects of alpha7 nAChRs activation.
PMID- 27866779
TI - Use of allogeneic tissue to treat infective valvular disease: Has everything been
said?
PMID- 27866778
TI - Historical Perspectives of The American Association for Thoracic Surgery: Aldo
Castaneda.
PMID- 27866780
TI - More than vital: Who bears the burden?
PMID- 27866782
TI - No longer novel: The association of a dilated coronary sinus with left
ventricular inflow obstruction.
PMID- 27866781
TI - Endovascular repair of thoracoabdominal aortic aneurysms using fenestrated and
branched endografts.
AB - PURPOSE: The study purpose was to review the outcomes of patients treated for
thoracoabdominal aortic aneurysms using endovascular repair with fenestrated and
branched stent-grafts in a single center. METHODS: We reviewed the clinical data
of the first 185 consecutive patients (134 male; mean age, 75 +/- 7 years)
treated for thoracoabdominal aortic aneurysms using fenestrated and branched
stent-grafts. Graft design evolved from physician-modified endografts (2007-2013)
to off-the-shelf or patient-specific manufactured devices in patients enrolled in
a prospective physician-sponsored investigational device exemption protocol (NCT
1937949 and 2089607). Outcomes were reported for extent IV and extent I to III
thoracoabdominal aortic aneurysms, including 30-day mortality, major adverse
events, patient survival, primary target vessel patency, and reintervention.
RESULTS: A total of 112 patients (60%) were treated for extent IV
thoracoabdominal aortic aneurysms, and 73 patients (40%) were treated for extent
I to III thoracoabdominal aortic aneurysms. Demographics and cardiovascular risk
factors were similar in both groups. A total of 687 renal-mesenteric arteries
(3.7 vessels/patient) were targeted by 540 fenestrations and 147 directional
branches. Technical success was 94%. Thirty-day mortality was 4.3%, including a
mortality of 1.8% for extent IV and 8.2% for extent I to III thoracoabdominal
aortic aneurysms (P = .03). Mortality decreased in the second half of clinical
experience from 7.5% to 1.2%, including a decrease of 3.3% to 0% for extent IV
thoracoabdominal aortic aneurysms (P = .12) and 15.6% to 2.4% for extent I to III
thoracoabdominal aortic aneurysms (P = .04). Early major adverse events occurred
in 36 patients (32%) with extent IV thoracoabdominal aortic aneurysms and 26
patients (36%) with extent I to III thoracoabdominal aortic aneurysms, including
spinal cord injury in 2 patients (1.8%) and 4 patients (3.2%), respectively. Mean
follow-up was 21 +/- 20 months. At 5 years, patient survival (56% and 59%, P =
.37) and freedom from any reintervention (50% and 53%, P = .26) were similar in
those with extent IV and extent I to III thoracoabdominal aortic aneurysms.
Primary patency was 93% at 5 years. CONCLUSIONS: Endovascular repair of
thoracoabdominal aortic aneurysms can be performed with high technical success
and low mortality and morbidity. However, the need for secondary reinterventions
and continued graft surveillance represents major limitations compared with
results of conventional open surgical repair. Long-term follow-up is needed
before the widespread use of these techniques in younger or lower-risk patients.
PMID- 27866784
TI - Endothelial dysfunction or anything else?
PMID- 27866783
TI - Characterizing cardiac arrest in children undergoing cardiac surgery: A single
center study.
AB - OBJECTIVES: To characterize cardiac arrest in children undergoing cardiac surgery
using single-center data from the Society of Thoracic Surgeons and Pediatric
Advanced Life Support Utstein-Style Guidelines. METHODS: Patients aged 18 years
or less having a cardiac arrest for 1 minute or more during the same hospital
stay as heart operation qualified for inclusion (2002-2014). Patients having a
cardiac arrest both before or after heart operation were included. Heart
operations were classified on the basis of the first cardiovascular operation of
each hospital admission (the index operation). The primary outcome was survival
to hospital discharge. RESULTS: A total of 3437 children undergoing at least 1
heart operation were included. Overall rate of cardiac arrest among these
patients was 4.5% (n = 154) with survival to hospital discharge of 84 patients
(66.6%). Presurgery cardiac arrest was noted among 28 patients, with survival of
21 patients (75%). Among the 126 patients with postsurgery cardiac arrest,
survival was noted among 84 patients (66.6%). Regardless of surgical case
complexity, the median days between heart operation and cardiac arrest, duration
of cardiac arrest, and survival after cardiac arrest were similar. The
independent risk factors associated with improved chances of survival included
shorter duration of cardiac arrest (odds ratio, 1.12; 95% confidence interval,
1.05-1.20; P = .01) and use of defibrillator (odds ratio, 4.51; 95% confidence
interval, 1.08-18.87; P = .03). CONCLUSIONS: This single-center study
demonstrates that characterizing cardiac arrest in children undergoing cardiac
surgery using definitions from 2 societies helps to increase data granularity and
understand the relationship between cardiac arrest and heart operation in a
better way.
PMID- 27866785
TI - Cardiac cellular reprogramming with transient expression vectors: Less is more.
PMID- 27866786
TI - Why compromise with an elderly patient?
PMID- 27866787
TI - Cavopulmonary assist: Bridge to Fontan repair of single-ventricle circulation.
PMID- 27866788
TI - String no ring.
PMID- 27866789
TI - First case of a sutureless Perceval valve delayed proximal migration.
PMID- 27866790
TI - Mitral repair in children with connective tissue disorders: On the edge, over the
edge, or edge-to-edge?
PMID- 27866791
TI - Mesenchymal stem cells: The roles and functions in cutaneous wound healing and
tumor growth.
AB - Mesenchymal stem cells (MSCs) are bone marrow-derived non-hematopoietic
progenitor cells. MSCs are able to differentiate into various types of cells,
including chondrocytes, adipocytes, osteocytes, myocytes, endothelial cells, and
keratinocytes. There is increasing evidence that MSCs might be located external
to the vasculature, and that perivascular cells in the skin, generally called as
"pericytes", might include MSCs. It has been suggested that MSCs localized around
blood vessels might migrate into wounds and contribute to the restoration of
injured tissues. Many studies have demonstrated that intravenous or intradermal
administration of MSCs enhanced cutaneous wound healing, such as acute incisional
and excisional wounds, diabetic ulcers, radiation ulcers, and burns in animals
and humans. Several mechanisms of the acceleration of wound healing by MSCs have
been identified, including the enhancement of angiogenesis by secretion of pro
angiogenic factors and the differentiation into endothelial cells and/or
pericytes, M2 macrophages polarization, the recruitment of endogenous
stem/progenitor cells, extracellular matrix production and remodeling, and
immunosuppressive effects. Since the microenvironments of wounds and/or injured
tissues are similar to those of tumors, MSCs also play similar roles in malignant
tumors, such as the enhancement of angiogenesis, M2 macrophages polarization, and
immunosuppressive effects. In addition, the mechanisms of homing of MSCs might
have a commonality in the pathogenesis of wound healing and tumors. Thus, the
regulating factors of MSCs, including MFG-E8, could be a therapeutic target and
lead to the establishment of new therapeutic approaches for both intractable
wound healing and tumors.
PMID- 27866792
TI - 210Po secretion from sweat glands.
AB - The results of the research indicated that the 210Po activity concentration in
sweat samples was between 0.22 +/- 0.03 to 2.10 +/- 0.15 mBq.g-1 d.w. The
obtained results of the studies showed that smoking and eating fish led to higher
activity concentrations of 210Po in sweat in comparison to the control group.
Statistical analysis of 210Po activity concentrations in sweat samples showed
significant differences between control, smoking, fish eating and age groups,
while no significant differences was found for 210Po between volunteers as far as
gender is concerned.
PMID- 27866794
TI - Treatment of Symptomatic Acromioclavicular Joint Instability by a Docking
Technique: Clinical Indications, Surgical Technique, and Outcomes.
AB - PURPOSE: To report functional and objective outcomes resulting from surgical
treatment of patients with symptomatic type III through V acromioclavicular (AC)
joint injury by use of a modification of the anatomic AC joint reconstruction
developed by Carofino and Mazzocca. METHODS: The study included all patients
treated in 2009-2014 who presented with a history of direct trauma to the
shoulder; deformity of the AC joint on clinical examination; radiographic
findings that would classify the injury as a Rockwood type III, IV, or V injury;
AC joint instability on clinical examination; and self-reported deficits of arm
function on initial presentation, in whom a comprehensive and directed
nonoperative program failed. The surgical procedure used an allograft with
reinforcing internal sutures passed around the coracoid and through anatomically
positioned clavicular holes for the coracoclavicular (CC) ligaments, used a
docking technique for reconstruction of the superior AC ligaments, and included
repair of the native AC ligaments. Outcomes were reported for patients with a
minimum follow-up period of 1.5 years. Outcome measurements included dynamic
static stability evaluation and Disabilities of the Arm, Shoulder and Hand (DASH)
scores. RESULTS: The study included 15 patients with 15 affected shoulders. The
postsurgical follow-up period averaged 3 years (range, 1.5-5 years).
Postoperatively, one patient showed loss of reduction after a fall. All others
showed 2-dimensional radiographic stability and 3-dimensional dynamic clinical
stability. Static radiographic measurement of the CC distance at discharge
averaged 0.93 cm compared with 2.7 cm on initial examination (P < .0001). Patient
reported outcomes at an average of 3 years' follow-up showed a DASH score of 13
compared with a preoperative DASH score of 51 (P < .0001). CONCLUSIONS: This
study confirms that anatomic CC ligament reconstruction and repair or
reconstruction of the AC ligaments help restore arm function as shown by the
patient-specific and clinical outcome metrics. These results were achieved by
correction of the deformity, which in turn allowed for the obtainment of static
and dynamic stability. LEVEL OF EVIDENCE: Level IV.
PMID- 27866793
TI - Tracking the dissemination of a culturally targeted brochure to promote awareness
of hereditary breast and ovarian cancer among Black women.
AB - OBJECTIVE: Black women have a higher rate of BRCA1 and BRCA2 (BRCA) mutations,
compared with other populations, that increases their risk for hereditary breast
and ovarian cancer (HBOC). However, Black women are less likely to know about
HBOC and genetic testing. Based on a request from a community advisory panel of
breast cancer survivors, community leaders and healthcare providers in the Black
community, our team developed a culturally targeted educational brochure to
promote awareness of HBOC among Black women. METHODS: To reach the target
population we utilized a passive dissemination strategy. Using Diffusion of
Innovations (DOI) as a framework, we traced dissemination of the brochure over a
five year period using self-addressed postcards contained inside the brochure
that included several open-ended questions about the utility of the brochure, and
a field for written comments. Closed-ended responses were analyzed using
descriptive statistics and thematic analysis was conducted on the open-ended
responses. RESULTS: DOI captured the proliferation of the brochure among Black
women across the US. PRACTICE IMPLICATIONS: The use of passive dissemination
strategies among pre-existing social networks proved to be a useful and
sustainable method for increasing knowledge of HBOC among Black women.
PMID- 27866795
TI - Midterm Outcomes After Arthroscopic Anteroinferior Capsular Release for the
Treatment of Idiophatic Adhesive Capsulitis.
AB - PURPOSE: The purpose of this study is to report the early and midterm functional
outcomes and complications of a consecutive series of patients with primary
adhesive capsulitis who were treated with isolated anteroinferior arthroscopic
capsular release after they did not respond to conservative treatment. METHODS:
Thirty-two consecutive patients with idiopathic adhesive capsulitis who did not
respond to conservative physiotherapy were included in the study. Arthroscopic
anteroinferior capsular release was performed in all cases. The primary outcome
was improvement in range of motion in the short- and midterm follow-up. We also
evaluated pain relief with the visual analog scale, functional outcomes with the
Constant-Murley score, and we registered postoperative complications. RESULTS:
The mean age was 49.6 years (range, 33-68 years) and the mean follow-up was 63
months (range, 18-84). Overall, there was significant improvement in the Constant
Murley score from 42.4 to 86 points (P < .001). The visual analog scale decreased
by approximately 6.3 points compared with the preoperative value (P < .001). All
parameters improved significantly the first 6 months and then remained stable
until the end of follow-up (P < .001). There was an additional minor improvement
in both parameters between the sixth month and the final follow-up; however, this
improvement was less than in the first 6 months and it was not statistically
significant. CONCLUSIONS: In patients who don't respond to conservative treatment
for primary adhesive capsulitis, isolated anteroinferior capsular release
provides a reliable improvement in pain and range of motion that is maintained in
the mid-term follow-up. LEVEL OF EVIDENCE: Level IV, therapeutic, case series
study.
PMID- 27866796
TI - Interplay between Hippocampal Sharp-Wave-Ripple Events and Vicarious Trial and
Error Behaviors in Decision Making.
AB - Current theories posit that memories encoded during experiences are subsequently
consolidated into longer-term storage. Hippocampal sharp-wave-ripple (SWR) events
have been linked to this consolidation process during sleep, but SWRs also occur
during awake immobility, where their role remains unclear. We report that awake
SWR rates at the reward site are inversely related to the prevalence of vicarious
trial and error (VTE) behaviors, thought to be involved in deliberation
processes. SWR rates were diminished immediately after VTE behaviors and an
increase in the rate of SWR events at the reward site predicted a decrease in
subsequent VTE behaviors at the choice point. Furthermore, SWR disruptions
increased VTE behaviors. These results suggest an inverse relationship between
SWRs and VTE behaviors and suggest that awake SWRs and associated planning and
memory consolidation mechanisms are engaged specifically in the context of higher
levels of behavioral certainty.
PMID- 27866798
TI - Long-Distance Descending Spinal Neurons Ensure Quadrupedal Locomotor Stability.
AB - Locomotion is an essential animal behavior used for translocation. The spinal
cord acts as key executing center, but how it coordinates many body parts located
across distance remains poorly understood. Here we employed mouse genetic and
viral approaches to reveal organizational principles of long-projecting spinal
circuits and their role in quadrupedal locomotion. Using neurotransmitter
identity, developmental origin, and projection patterns as criteria, we uncover
that spinal segments controlling forelimbs and hindlimbs are bidirectionally
connected by symmetrically organized direct synaptic pathways that encompass
multiple genetically tractable neuronal subpopulations. We demonstrate that
selective ablation of descending spinal neurons linking cervical to lumbar
segments impairs coherent locomotion, by reducing postural stability and speed
during exploratory locomotion, as well as perturbing interlimb coordination
during reinforced high-speed stepping. Together, our results implicate a highly
organized long-distance projection system of spinal origin in the control of
postural body stabilization and reliability during quadrupedal locomotion.
PMID- 27866797
TI - The Impact of Structural Heterogeneity on Excitation-Inhibition Balance in
Cortical Networks.
AB - Models of cortical dynamics often assume a homogeneous connectivity structure.
However, we show that heterogeneous input connectivity can prevent the dynamic
balance between excitation and inhibition, a hallmark of cortical dynamics, and
yield unrealistically sparse and temporally regular firing. Anatomically based
estimates of the connectivity of layer 4 (L4) rat barrel cortex and numerical
simulations of this circuit indicate that the local network possesses substantial
heterogeneity in input connectivity, sufficient to disrupt excitation-inhibition
balance. We show that homeostatic plasticity in inhibitory synapses can align the
functional connectivity to compensate for structural heterogeneity.
Alternatively, spike-frequency adaptation can give rise to a novel state in which
local firing rates adjust dynamically so that adaptation currents and synaptic
inputs are balanced. This theory is supported by simulations of L4 barrel cortex
during spontaneous and stimulus-evoked conditions. Our study shows how synaptic
and cellular mechanisms yield fluctuation-driven dynamics despite structural
heterogeneity in cortical circuits.
PMID- 27866799
TI - Inhibitory Control in the Cortico-Basal Ganglia-Thalamocortical Loop: Complex
Regulation and Interplay with Memory and Decision Processes.
AB - We developed a circuit model of spiking neurons that includes multiple pathways
in the basal ganglia (BG) and is endowed with feedback mechanisms at three
levels: cortical microcircuit, corticothalamic loop, and cortico-BG
thalamocortical system. We focused on executive control in a stop signal task,
which is known to depend on BG across species. The model reproduces a range of
experimental observations and shows that the newly discovered feedback projection
from external globus pallidus to striatum is crucial for inhibitory control.
Moreover, stopping process is enhanced by the cortico-subcortical reverberatory
dynamics underlying persistent activity, establishing interdependence between
working memory and inhibitory control. Surprisingly, the stop signal reaction
time (SSRT) can be adjusted by weights of certain connections but is insensitive
to other connections in this complex circuit, suggesting novel circuit-based
intervention for inhibitory control deficits associated with mental illness. Our
model provides a unified framework for inhibitory control, decision making, and
working memory.
PMID- 27866801
TI - Microalgal post-treatment of anaerobically digested agro-industrial wastes for
nutrient removal and lipids production.
AB - The aim of this study was to investigate the effectiveness of cultivating
Parachlorella kessleri and Acutodesmus obliquus, in anaerobic digestion effluent
(ADE) derived from the co-digestion of end-of-life dairy products with mixtures
of agro-industrial wastes. To this end, their performance under sterile and non
sterile conditions and different ADE loadings was evaluated, in terms of biomass
and lipid production, nutrient removal efficiency and vitality of the
photosynthetic apparatus. 10% (v/v) ADE loading inhibited growth over 9-12days of
cultivation, however biomass yields of 1.1 and 1gL-1, 22.7% and 19.5% (w/w) fatty
acids concentration, as well as NH3-N assimilation of 49.7mgL-1 and 32.3mgL-1 and
TP removal of 84.2% and 84% were recorded for P. kessleri and A. obliquus,
respectively. Among all the ADE-based treatments tested, P. kessleri outperformed
A. obliquus, with no differences observed between sterilized and non-sterilized
ADE.
PMID- 27866800
TI - Neural Architecture of Hunger-Dependent Multisensory Decision Making in C.
elegans.
AB - Little is known about how animals integrate multiple sensory inputs in natural
environments to balance avoidance of danger with approach to things of value.
Furthermore, the mechanistic link between internal physiological state and threat
reward decision making remains poorly understood. Here we confronted C. elegans
worms with the decision whether to cross a hyperosmotic barrier presenting the
threat of desiccation to reach a source of food odor. We identified a specific
interneuron that controls this decision via top-down extrasynaptic aminergic
potentiation of the primary osmosensory neurons to increase their sensitivity to
the barrier. We also establish that food deprivation increases the worm's
willingness to cross the dangerous barrier by suppressing this pathway. These
studies reveal a potentially general neural circuit architecture for internal
state control of threat-reward decision making.
PMID- 27866802
TI - Effective degradation of aflatoxin B1 using a novel thermophilic microbial
consortium TADC7.
AB - We constructed a novel thermophilic microbial consortium, TADC7, with stable and
efficient aflatoxin B1 (AFB1) degradation activity. The microbial consortium
degraded more than 95% of the toxin within 72h when cultured with AFB1, and the
optimum temperature was 55-60 degrees C. TADC7 tolerated high doses of AFB1, with
no inhibitory effects up to 5000MUgL-1 AFB1; moreover, the degradation kinetics
fit well with the Monod model. The proteins or enzymes in the TADC7 cell-free
supernatant played a major role in AFB1 degradation. AFB1 degradation by the cell
free supernatant was stable up to 90 degrees C, with an optimal pH of 8-10. We
performed 16S rRNA sequencing to determine TADC7 community structure dynamics;
the results indicated that Geobacillus and Tepidimicrobium played major roles in
AFB1 degradation.
PMID- 27866803
TI - Effect of hydrothermal pretreatment on Miscanthus anaerobic digestion.
AB - Miscanthus is a promising source of bioenergy with high lignocellulose content.
This paper studied the effect of hydrothermal pretreatment on Miscanthus biogas
production. Different pretreatment temperature from 125 to 200 degrees C was
tested. After pretreatment, hemicellulose was partially removed and this led to a
change in cellulose accessibility. Enzymatic hydrolysis was used to examine the
digestibility of different samples. There was no obvious enhancement in low
temperature (125 and 150 degrees C) conditions. According to the results, 200
degrees C hydrothermal pretreatment was the optimal condition saving 50% on the
digestion time and increasing glucose production 13.2 times compared with the raw
material. Although the cellulose crystallinity increased after the pretreatment,
its effect on biogas production and enzymatic hydrolysis was limited.
PMID- 27866804
TI - Evaluation of ultrasound assisted potassium permanganate pre-treatment of spent
coffee waste.
AB - In the present study, novel pre-treatment for spent coffee waste (SCW) has been
proposed which utilises the superior oxidising capacity of alkaline KMnO4
assisted by ultra-sonication. The pre-treatment was conducted for different
exposure times (10, 20, 30 and 40min) using different concentrations of KMnO4 (1,
2, 3, 4, 5%w/v) at room temperature with solid/liquid ratio of 1:10. Pretreating
SCW with 4% KMnO4 and exposing it to ultrasound for 20min resulted in 98%
cellulose recovery and a maximum lignin removal of 46%. 1.7 fold increase in
reducing sugar yield was obtained after enzymatic hydrolysis of KMnO4 pretreated
SCW as compared to raw. SEM, XRD and FTIR analysis of the pretreated SCW revealed
the various effects of pretreatment. Thermal behaviour of the pretreated
substrate against the native biomass was also studied using DSC. Ultrasound
assisted potassium permanganate oxidation was found to be an effective
pretreatment for SCW, and can be a used as a potential feedstock pretreatment
strategy for bioethanol production.
PMID- 27866805
TI - Detergent assisted ultrasonication aided in situ transesterification for
biodiesel production from oleaginous yeast wet biomass.
AB - In situ transesterification of oleaginous yeast wet biomass for fatty acid methyl
esters (FAMEs) production using acid catalyst, methanol with or without N-Lauroyl
sarcosine (N-LS) treatment was performed. The maximum FAMEs yield obtained with
or without N-LS treatment in 24h reaction time was 96.1+/-1.9 and 71+/-1.4% w/w,
respectively. The N-LS treatment of biomass followed by with or without
ultrasonication revealed maximum FAMEs yield of 94.3+/-1.9% and 82.9+/-1.8% w/w
using methanol to lipid molar ratio 360:1 and catalyst concentration 360mM (64MUL
H2SO4/g lipid) within 5 and 25min reaction time, respectively. The FAMEs
composition obtained in in situ transesterification was similar to that obtained
with conventional two step lipid extraction and transesterification process.
Biodiesel fuel properties (density, kinematic viscosity, cetane number and total
glycerol) were in accordance with international standard (ASTM D6751), which
suggests the suitability of biodiesel as a fuel.
PMID- 27866806
TI - Enhanced nutrient removal and mechanisms study in benthic fauna added surface
flow constructed wetlands: The role of Tubifex tubifex.
AB - This study designed a combined benthic fauna-T. orientalis-substrate-microbes
surface-flow constructed wetlands (SFCWs) through the addition of T. tubifex.
Results showed that, the removal efficiencies of nitrogen and phosphorus in the
tested SFCWs achieved 81.14+/-4.16% and 70.49+/-7.60%, which were 22.27% and
27.35% higher than that without T. tubifex. Lower nitrate (2.11+/-0.79mg/L) and
ammonium (0.75+/-0.64mg/L) were also observed in the tested SFCWs, which were
3.46mg/L and 0.52mg/L lower than that without T. tubifex. Microbial study
confirmed the increased denitrifiers with T. tubifex. The lower nitrogen in
effluent was also attributed to higher contents of nitrogen storage in sediment
and T. orientalis due to the bioturbation of T. tubifex. Furthermore, with T.
tubifex, higher proportions of particulate (22.66+/-3.96%) and colloidal
phosphorus (20.57+/-3.39%) observed promoted phosphorus settlement and further
absorption by T. orientalis. The outcomes of this study provides an ecological
and economical strategy for improving the performance of SFCWs.
PMID- 27866809
TI - Corrigendum to "High abundance of circulating megakaryocytic cells in chronic
myeloid leukemia in Indian patients. Revisiting George Minot to re-interpret
megakaryocytic maturation" [Blood Cell Mol. Dis. 60 (2016) 28-32].
PMID- 27866807
TI - Genome-wide analysis of the nucleus accumbens identifies DNA methylation signals
differentiating low/binge from heavy alcohol drinking.
AB - Alcohol-use disorders encompass a range of drinking levels and behaviors,
including low, binge, and heavy drinking. In this regard, investigating the
neural state of individuals who chronically self-administer lower doses of
alcohol may provide insight into mechanisms that prevent the escalation of
alcohol use. DNA methylation is one of the epigenetic mechanisms that stabilizes
adaptations in gene expression and has been associated with alcohol use. Thus, we
investigated DNA methylation, gene expression, and the predicted neural effects
in the nucleus accumbens core (NAcc) of male rhesus macaques categorized as "low"
or "binge" drinkers, compared to "alcohol-naive" and "heavy" drinkers based on
drinking patterns during a 12-month alcohol self-administration protocol. Using
genome-wide CpG-rich region enrichment and bisulfite sequencing, the methylation
levels of 2.6 million CpGs were compared between alcohol-naive (AN), low/binge
(L/BD), and heavy/very heavy (H/VHD) drinking subjects (n = 24). Through regional
clustering analysis, we identified nine significant differential methylation
regions (DMRs) that specifically distinguished ANs and L/BDs, and then compared
those DMRs among H/VHDs. The DMRs mapped to genes encoding ion channels,
receptors, cell adhesion molecules, and cAMP, NF-kappabeta and Wnt signaling
pathway proteins. Two of the DMRs, linked to PDE10A and PKD2L2, were also
differentially methylated in H/VHDs, suggesting an alcohol-dose independent
effect. However, two other DMRs, linked to the CCBE1 and FZD5 genes, had L/BD
methylation levels that significantly differed from both ANs and H/VHDs. The
remaining five DMRs also differentiated L/BDs and ANs. However, H/VHDs
methylation levels were not distinguishable from either of the two groups.
Functional validation of two DMRs, linked to FZD5 and PDE10A, support their role
in regulating gene expression and exon usage, respectively. In summary, the
findings demonstrate that L/BD is associated with unique DNA methylation
signatures in the primate NAcc, and that the methylation signatures identify
synaptic genes that may play a role in preventing the escalation of alcohol use.
PMID- 27866808
TI - Combined beta-glucosylceramide and ambroxol hydrochloride in patients with
Gaucher related Parkinson disease: From clinical observations to drug
development.
AB - Both patients with non-neuronopathic Gaucher disease (GD) and heterozygous GBA
mutation carrier are at increased risk for Parkinson disease (PD). The risk for
PD in these groups does not linearly increase with glucosylceramide (GC)
accumulation or with acid beta-glucocerebrosidase (GCase) activity. This
observation, together with other clinical systemic observations raises the
possibility that extra-cellular GC actually has beneficial, anti-inflammatory,
properties. Based on this hypothesis, we suggest here that the administration of
supplementary oral GC to GBA carriers at risk for PD may slow inflammatory-driven
secondary neuronal death. Such a treatment may act synergistically in GBA
carriers once given in combination with an agent that prevent the primary
pathologic process that leads to cell death. Ambroxol hydrochloride, a
pharmacological chaperone, which reduces endoplasmic reticulum (ER) stress
induced by accumulation of mutant misfolded GCase could serve as such an agent.
The efficacy of this combined therapy, derived from clinical observations, in
vivo and in vitro studies, should be evaluated in clinical trials.
PMID- 27866810
TI - Histological characterisation of visceral changes in a patient with type 2
Gaucher disease treated with enzyme replacement therapy.
AB - Gaucher disease is a lysosomal storage disease caused by deficiency of
glucocerebrosidase and accumulation of glucocerebroside. Three major sub-types
have been described, type 2 is an acute neurological form that exhibits serious
general symptoms and poor prognosis, compared with the other types. This case was
a girl diagnosed with type 2 Gaucher disease at 12months of age who presented
with poor weight gain from infancy, stridor, hypertonia, hepatosplenomegaly,
trismus and an eye movement disorder. Enzyme replacement therapy (ERT) was
administered, but she had frequent myoclonus and developmental regression. She
needed artificial ventilation because of respiratory failure. She died at 11years
of age. An autopsy demonstrated infiltrating CD68-positive large cells containing
abundant lipids in alveoli, while in the liver, kidney and bone marrow CD68
positive cells were small and round. In the bone marrow, myelodysplastic changes
were present without Gaucher cells. The infiltration of Gaucher cells in alveoli
was marked, suggesting that ERT was relatively ineffective in pulmonary
involvement, particularly intra-alveolar. Additional treatments are necessary to
improve the neurological and pulmonary prognosis of type 2Gaucher disease.
PMID- 27866811
TI - The modified Glasgow prognosis score predicts for overall and disease-free
survival following cytoreductive surgery and HIPEC in patients with pseudomyxoma
peritonei of appendiceal origin.
AB - BACKGROUND: The modified Glasgow prognostic score (incorporating C-reactive
protein and albumin) predicts survival in patients with gastro-intestinal tract
cancer but has not been evaluated in patients with peritoneal malignancy. The aim
was to evaluate the modified Glasgow score preoperatively in patients undergoing
complete cytoreductive surgery (CCRS) with hyperthermic intraperitoneal
chemotherapy (HIPEC) for pseudomyxoma peritonei (PMP) of appendiceal origin.
METHODS: Prospectively collected data from patients with PMP of appendiceal
origin following CCRS and HIPEC between January 2007 and December 2011 were
analysed. The mGPS was calculated from preoperative C-reactive protein and
albumin. Predicted overall survival (OS) and disease-free survival (DFS) for each
mGPS score were calculated using the Kaplan-Meier model. In a separate analysis,
a comparison was made between mGPS and Tumour Markers (TM). RESULTS: 260 patients
were included in the study. The mGPS of 0, 1, and 2 were found in 111, 130, and
19 patients respectively. The median follow-up was 48 months. For mGPS-0, -1, and
-2, the predicted OS was 82.2, 73.7, and 69.2 months and the DFS was 73.5, 62.9,
and 54.4 months respectively. As mGPS increases, there is a reduction in long
term survival. There was no difference between mGPS and TM. CONCLUSION:
Preoperative mGPS may be a cost effective prognostic tool for predicting OS and
DFS in patients following complete CRS-HIPEC, and performs well compared to TM
for predicting patients at high risk of recurrence.
PMID- 27866812
TI - A Case Report of Infectious Sacroiliitis in an Adult Presenting to the Emergency
Department with Inability to Walk.
AB - BACKGROUND: Infectious sacroiliitis (ISI) is an uncommon cause of back and hip
pain in which the sacroiliac joint, either unilateral or bilateral, is inflamed
from an infectious source. Historically, this has been an easily missed diagnosis
due to nonspecific presenting symptoms along with subtle nondistinguishable
laboratory abnormalities. CASE REPORT: We describe an injection drug user
presenting with right-sided ISI who presented with hip and back pain and
inability to walk. The patient had tenderness over his right sacroiliac joint,
and despite negative plain radiographs, a magnetic resonance imaging (MRI) scan
was obtained from the Emergency Department (ED) given the patient's risk factors
for infection. Concerning findings of ISI on this MRI led to a computed
tomography-guided biopsy during the patient's hospital admission, which revealed
alpha-hemolytic Streptococcus as the responsible pathogen. WHY SHOULD AN
EMERGENCY PHYSICIAN BE AWARE OF THIS?: Infectious sacroiliitis is a rare
condition that is difficult to diagnose, and carries increasing morbidity when
diagnosis is delayed. We aim to increase awareness through a case report of a
patient encountered in the ED.
PMID- 27866813
TI - Rhodnius prolixus: from physiology by Wigglesworth to recent studies of immune
system modulation by Trypanosoma cruzi and Trypanosoma rangeli.
AB - This review is dedicated to the memory of Professor Sir Vincent B. Wigglesworth
(VW) in recognition of his many pioneering contributions to insect physiology
which, even today, form the basis of modern-day research in this field. Insects
not only make vital contributions to our everyday lives by their roles in
pollination, balancing eco-systems and provision of honey and silk products, but
they are also outstanding models for studying the pathogenicity of microorganisms
and the functioning of innate immunity in humans. In this overview, the immune
system of the triatomine bug, Rhodnius prolixus, is considered which is most
appropriate to this dedication as this insect species was the favourite subject
of VW's research. Herein are described recent developments in knowledge of the
functioning of the R. prolixus immune system. Thus, the roles of the cellular
defences, such as phagocytosis and nodule formation, as well as the role of
eicosanoids, ecdysone, antimicrobial peptides, reactive oxygen and nitrogen
radicals, and the gut microbiota in the immune response of R. prolixus are
described. The details of many of these were unknown to VW although his work
gives indications of his awareness of the importance to R. prolixus of cellular
immunity, antibacterial activity, prophenoloxidase and the gut microbiota. This
description of R. prolixus immunity forms a backdrop to studies on the
interaction of the parasitic flagellates, Trypanosoma cruzi and Trypanosoma
rangeli, with the host defences of this important insect vector. These parasites
remarkably utilize different strategies to avoid/modulate the triatomine immune
response in order to survive in the extremely hostile host environments present
in the vector gut and haemocoel. Much recent information has also been gleaned on
the remarkable diversity of the immune system in the R. prolixus gut and its
interaction with trypanosome parasites. This new data is reviewed and gaps in our
knowledge of R. prolixus immunity are identified as subjects for future
endeavours. Finally, the publication of the T. cruzi, T. rangeli and R. prolixus
genomes, together with the use of modern molecular techniques, should lead to the
enhanced identification of the determinants of infection derived from both the
vector and the parasites which, in turn, could form targets for new molecular
based control strategies.
PMID- 27866814
TI - Predictors of tissue healing in ulcerative colitis patients treated with anti
TNF.
AB - AIM: To identify factors predicting mucosal healing in ulcerative colitis
patients treated with anti-TNFalpha agents with or without azathioprine. METHODS:
In a prospective, multicenter, one-year study biologic naive patients aged 25-65
years, with corticosteroid-dependent or refractory colitis received combination
treatment with anti-TNFalpha and azathioprine for 6 months followed by anti
TNFalpha monotherapy. Patients who denied combination therapy or were outside
this age range received anti-TNFalpha monotherapy (controls). Before and at weeks
12 and 54 of treatment the total Mayo score was calculated. Mucosal healing was
defined as endoscopic subscore of 0. Mucosal expression of T helper (Th) cell
lineage specific transcription factors (Tbet, Gata3, Rorc, FoxP3) before
treatment was also associated with mucosal healing. RESULTS: Of 67 patients, 58
(86.6%) received combination and 9 (13.4%) anti-TNFalpha monotherapy. Overall 29
(43.3%) patients achieved mucosal healing; rates were higher in patients
receiving combination therapy vs. monotherapy (p=0.03) and in azathioprine naive
vs. exposed patients in the combination group (p=0.01). Mucosal healing was
associated with lower pre-treatment mucosal expression of transcription factor
Th1-Tbet (p<0.05) and higher expression of Th17-Rorc (p<0.05). CONCLUSIONS:
Mucosal healing was associated with combination therapy, especially in biologic
and azathioprine-naive patients and pre-treatment mucosal expression of specific
Th specific transcripting factors (Tbet and Rorc).
PMID- 27866816
TI - Nitric oxide-donating derivatives of hederacolchiside A1: Synthesis and
biological evaluation in vitro and in vivo as potential anticancer agents.
AB - A series of nitric oxide (NO) donating derivatives of hederacolchiside A1 bearing
triterpenoid saponin motif were designed, synthesized and evaluated for their
anticancer activity. All of the tested furoxan-based NO releasing compounds
showed significant proliferation inhibitory activities. Especially compound 6a
exhibited strong cytotoxicity (IC50=1.6-6.5MUM) against four human tumor cell
lines (SMMC-7721, NCI-H460, U251, HCT-116) in vitro and the highest level of NO
releasing. Furthermore, compound 6a was revealed low acute toxicity to mice and
weak haemolytic activity with potent tumor growth inhibition against mice H22
hepatocellular cells in vivo (51.5%).
PMID- 27866815
TI - N-[11CH3]Dimethylaminoparthenolide (DMAPT) uptake into orthotopic 9LSF
glioblastoma tumors in the rat.
AB - The aim of this study was to determine the uptake of intravenously administered N
[11CH3]-dimethylaminoparthenolide (DMAPT) into orthotopic 9LSF glioblastoma brain
tumors in Fisher 344 rats from positron emission tomography (PET) imaging
studies. [11C]methyl iodide (11CH3I) was utilized as a [11C]-labeling reagent to
label the precursor methylaminoparthenolide (MAPT) intermediate. From PET imaging
studies it was found that brain uptake of N-[11CH3]DMAPT into brain tumor tissue
was rapid (30min), and considerably higher than that in the normal brain tissue.
PMID- 27866817
TI - Discovery of novel N-phenyl 1,4-dihydropyridines with a dual mode of
antimycobacterial activity.
AB - There is an urgent need for novel drugs for the treatment of tuberculosis (TB)
due to the increasing prevalence of antibiotic resistance among Mycobacterium
tuberculosis (Mtb) strains against first-line and second-line therapeutics. We
developed novel N-phenyl 1,4-dihydropyridines as potential antituberculotic
agents. The observed activity depends on the substitution patterns of the
aromatic residues. N-unsubstituted 1,4-dihydropyridines are known inhibitors of
the cancer-relevant transmembrane efflux pump ABCB1. Based on the similarity of
ABCB1 amino acids sequences relevant to 1,4-dihydropyridine binding and the MTb
efflux pump Rv0194, we determined ABCB1-inhibitory properties of our compounds in
a cell line model. We identified one compound, which substantially increased the
activity of two antituberculotic drugs which are substrates of ABCB1. The data
indicate that our N-phenyl 1,4-dihydropyridines represent a novel compound class
which improves the efficacy of anti-TB drugs by interfering with transmembrane
efflux pumps in Mtb.
PMID- 27866818
TI - The discovery of quinoline based single-ligand human H1 and H3 receptor
antagonists.
AB - A novel series of potent quinoline-based human H1 and H3 bivalent histamine
receptor antagonists, suitable for intranasal administration for the potential
treatment of allergic rhinitis associated nasal congestion, were identified.
Compound 18b had slightly lower H1 potency (pA2 8.8 vs 9.7 for the clinical
goldstandard azelastine), and H3 potency (pKi 9.1vs 6.8 for azelastine), better
selectivity over alpha1A, alpha1B and hERG, similar duration of action, making
18b a good back-up compound to our previous candidate, but with a more desirable
profile.
PMID- 27866819
TI - Endocrinology of human female sexuality, mating, and reproductive behavior.
AB - Hormones orchestrate and coordinate human female sexual development, sexuality,
and reproduction in relation to three types of phenotypic changes: life history
transitions such as puberty and childbirth, responses to contextual factors such
as caloric intake and stress, and cyclical patterns such as the ovulatory cycle.
Here, we review the endocrinology underlying women's reproductive phenotypes,
including sexual orientation and gender identity, mate preferences, competition
for mates, sex drive, and maternal behavior. We highlight distinctive aspects of
women's sexuality such as the possession of sexual ornaments, relatively cryptic
fertile windows, extended sexual behavior across the ovulatory cycle, and a
period of midlife reproductive senescence-and we focus on how hormonal mechanisms
were shaped by selection to produce adaptive outcomes. We conclude with
suggestions for future research to elucidate how hormonal mechanisms subserve
women's reproductive phenotypes.
PMID- 27866820
TI - Cutaneous fungal infections are commonly misdiagnosed: A survey-based study.
PMID- 27866821
TI - Advanced Analysis Techniques Improve Infant Bone and Body Composition Measures by
Dual-Energy X-Ray Absorptiometry.
AB - OBJECTIVE: To evaluate a novel technique designed to reduce the negative impact
of motion artifacts in infant dual-energy X-ray absorptiometry (DXA) scans. STUDY
DESIGN: Using cross-sectional data from a large multicenter study, we developed
and tested advanced methods for infant scan analysis. Newborns (n = 750) received
spine and whole-body DXA scans with up to 3 attempts to acquire a motion free
scan. Precision of infant DXA was estimated from visits with multiple valid
scans. Accuracy of regional reflection, fusion, and omission techniques was
estimated by comparing modified scans to unmodified valid scans. The
effectiveness of the acquisition and analysis protocol was represented by the
reduction in rate of failure to acquire valid results from infant visits.
RESULTS: For infant whole-body DXA, arm reflection and all fusion techniques
caused no significant changes to bone mineral content, bone mineral density, bone
area, total mass, fat mass, lean mass, and percentage fat. Leg reflection and
arm/leg dual-reflection caused significant changes to total mass, but the
percentage change remained small. For infant spine DXA, fusion and omission
caused no significant changes. Advanced analysis techniques reduced the failure
rate of whole-body scanning from 20.8% to 9.3% and the failure rate of spine
scanning from 8.9% to 2.4%. CONCLUSIONS: Advanced analysis techniques
significantly reduced the impact of motion artifacts on infant DXA scans. We
suggest this protocol be used in future infant DXA research and clinical
practice.
PMID- 27866823
TI - Relative Risk and Incidence for Developmental Dysplasia of the Hip.
AB - OBJECTIVE: To determine the incidence and associated risk factors of
developmental dysplasia of the hip (DDH) in a modern population without universal
screening. STUDY DESIGN: Children with DDH were identified from the Manitoba
Centre for Health Policy's Data Repository by the use of International
Classification of Diseases diagnosis codes as well as physician billing tariffs
for surgical procedures for DDH for all children born between 1995 and 2012. To
identify the outpatient-treated patients, ultrasound scans and radiographic
imaging for DDH were reviewed for 2004-2012. Overall incidence was calculated on
the basis of birth rate for the province per year. Relative risks of sex, first
born, breech position, clubfoot deformity, multiple gestations, as well as
regional health areas were analyzed with chi2 tests. RESULTS: We identified 1716
cases of DDH of 258 499 newborns. The incidence of DDH was calculated at 6.6/1000
newborns. Late-presenting DDH was detected in 2.2/1000 newborns. Female first
born children, clubfoot deformity, and breech position were associated
significantly with an increased risk. Children with DDH born in rural areas of
the Northern and Central part of Manitoba presented at a later age than those who
are born in the urban areas (P < .0001) CONCLUSION: This study shows the need for
improved early detection and awareness at well-baby clinics of risk factors and
regional differences for DDH.
PMID- 27866822
TI - Correlates of Physical Activity among Young Children with Moderate Acute
Malnutrition.
AB - OBJECTIVE: To assess the levels of physical activity among young children with
moderate acute malnutrition and to identify clinical, biochemical,
anthropometric, and sociodemographic correlates of physical activity. STUDY
DESIGN: In a cross-sectional study, 1609 children aged 6-23 months wore a
triaxial accelerometer (ActiGraph GT3x+; ActiGraph, Pensacola, Florida) for 6
consecutive days, from which total physical activity were determined. Data on
morbidity were collected based by history and physical examination, and serum C
reactive protein and alpha1-acid glycoprotein were measured. RESULTS: A total of
1544 (96%) children had physical activity measured, of whom 1498 (97%) completed
6 consecutive days of physical activity recording with a daily median wear time
of 24 hours. The mean (+/-SD) total physical activity was 707 (+/-180) vector
magnitude counts per minute (cpm). Age was negatively correlated with physical
activity; compared with children below 12 months of age, those 12-17 months of
age, and 18-23 months of age had 51 (95% CI, 26; 75) and 106 (95% CI, 71; 141)
cpm lower physical activity, respectively. Fever and malaria were associated with
49 (95% CI, 27; 70) and 44 (95% CI, 27; 61) cpm lower activity, respectively.
Elevated serum C-reactive protein and alpha1-acid glycoprotein were both negative
correlates of physical activity, and hemoglobin was a positive correlate.
CONCLUSIONS: Physical activity declines with age in children with moderate acute
malnutrition and is also inversely related to infection and inflammatory status.
Future studies are needed to ascertain cause and effect of these associations.
TRIAL REGISTRATION: Controlled-Trials.com: ISRCTN42569496.
PMID- 27866824
TI - Detection of Her2-overexpressing cancer cells using keyhole shaped chamber array
employing a magnetic droplet-handling system.
AB - An on-chip gene expression analysis compartmentalized in droplets was developed
for detection of cancer cells at a single-cell level. The chip consists of a
keyhole-shaped reaction chamber with hydrophobic modification employing a
magnetic bead-droplet-handling system with a gate for bead separation. Using
three kinds of water-based droplets in oil, a droplet with sample cells, a lysis
buffer with magnetic beads, and RT-PCR buffer, parallel magnetic manipulation and
fusion of droplets were performed using a magnet-handling device containing small
external magnet patterns in an array. The actuation with the magnet offers a
simple system for droplet manipulation that allows separation and fusion of
droplets containing magnetic beads. After reverse transcription and amplification
by thermal cycling, fluorescence was obtained for detection of overexpressing
genes. For clinical detection of gastric cancer cells in peritoneal washing, the
Her2-overexpressing gastric cancer cells spiked within normal cells was detected
by gene expression analysis of droplets containing an average of 2.5 cells. Our
developed droplet-based cancer detection system manipulated by external magnetic
force without pumps or valves offers a simple and flexible set-up for
transcriptional detection of cancer cells, and will be greatly advantageous for
less-invasive clinical diagnosis and prognostic prediction.
PMID- 27866825
TI - Comparative Effectiveness of Wellness Programs: Impact of Incentives on
Healthcare Costs for Obese Enrollees.
AB - INTRODUCTION: Employee wellness programs show mixed effectiveness results. This
study examined the impact of an insurer's lifestyle modification program on
healthcare costs of obese individuals. METHODS: This nonrandomized comparative
effectiveness study evaluated changes in healthcare costs for participants in two
incentivized programs, an Internet-mediated pedometer-based walking program
(WalkingSpree, n=7,594) and an in-person weight-loss program (Weight Watchers,
n=5,764). The primary outcome was the change in total healthcare costs from the
baseline year to the year after program participation. Data were collected from
2009 to 2011 and the analysis was done in 2014-2015. RESULTS: After 1 year,
unadjusted mean costs decreased in both programs, with larger decreases for
Weight Watchers participants than WalkingSpree participants (-$1,055.39 vs
$577.10, p=0.019). This difference was driven by higher rates of women in Weight
Watchers, higher baseline total costs among women, and a greater decrease in
costs for women in Weight Watchers (-$1,037.60 vs -$388.50, p=0.014). After
adjustment for baseline costs, there were no differences by program or gender.
CONCLUSIONS: Comparable cost reductions in both programs suggest that employers
may want to offer more than one choice of incentivized wellness program with
monitoring to meet the diverse needs of employees.
PMID- 27866826
TI - The impact of online therapeutic feedback on outcome measures in Internet-CBTI
for adolescents with insomnia.
AB - BACKGROUND: Guided Internet cognitive behavioral therapy for insomnia (CBTI)
offers an effective treatment for adolescents, but little is known about the
active ingredients of therapeutic feedback on outcomes. OBJECTIVE: This study
aims to identify which factors can be distinguished in written therapeutic
feedback in Internet CBTI, and examine whether these factors and participation in
a chat session contribute to sleep outcomes. METHODS: Internet CBTI was applied
to 57 adolescents (mean age 15.43 years, SD 1.74, 82.5% girls). Symptoms of
insomnia and chronic sleep reduction, and total sleep time, time in bed, and
sleep efficiency from seven day sleep logs were measured at baseline, post
treatment, and at two month follow-up. With a coding instrument developed for
this study, two independent researchers coded transcripts of the written
therapeutic feedback of the Internet CBTI sessions with an event sampling method.
RESULTS: Principal component analysis of the initial 17 items from the coding
instrument yielded four distinct factors of therapeutic feedback, of which only
Sleep expertise seemed to contribute to improvements after Internet CBTI. The
other factors, indicating forms of encouragement, and participation in a chat
session seemed counterproductive. CONCLUSIONS: This first longitudinal study into
effects of therapeutic feedback in adolescent Internet CBTI indicated that
emphasizing knowledge about sleep might contribute to insomnia improvement. The
structured nature of the preprogrammed treatment content, delay of therapeutic
feedback due to standardized timing, and unintentional reinforcement of
undesirable behavior by giving attention to failures might explain the negative
results of encouraging behavior. Further research to identify effective
therapeutic factors in Internet therapy is warranted.
PMID- 27866827
TI - Nucleoprotein supplementation enhances the recovery of rat soleus mass with
reloading after hindlimb unloading-induced atrophy via myonuclei accretion and
increased protein synthesis.
AB - Hindlimb unloading results in muscle atrophy and a period of reloading has been
shown to partially recover the lost muscle mass. Two of the mechanisms involved
in this recovery of muscle mass are the activation of protein synthesis pathways
and an increase in myonuclei number. The additional myonuclei are provided by
satellite cells that are activated by the mechanical stress associated with the
reloading of the muscles and eventually incorporated into the muscle fibers.
Amino acid supplementation with exercise also can increase skeletal muscle mass
through enhancement of protein synthesis and nucleotide supplements can promote
cell cycle activity. Therefore, we hypothesized that nucleoprotein
supplementation, a combination of amino acids and nucleotides, would enhance the
recovery of muscle mass to a greater extent than reloading alone after a period
of unloading. Adult rats were assigned to 4 groups: control, hindlimb unloaded
(HU; 14 days), reloaded (5 days) after hindlimb unloading (HUR), and reloaded
after hindlimb unloading with nucleoprotein supplementation (HUR + NP). Compared
with the HUR group, the HUR + NP group had larger soleus muscles and fiber cross
sectional areas, higher levels of phosphorylated rpS6, and higher numbers of
myonuclei and myogenin-positive cells. These results suggest that nucleoprotein
supplementation has a synergistic effect with reloading in recovering skeletal
muscle properties after a period of unloading via rpS6 activation and satellite
cell differentiation and incorporation into the muscle fibers. Therefore, this
supplement may be an effective therapeutic regimen to include in rehabilitative
strategies for a variety of muscle wasting conditions such as aging, cancer
cachexia, muscular dystrophy, bed rest, and cast immobilization.
PMID- 27866828
TI - High-fat Western diet-induced obesity contributes to increased tumor growth in
mouse models of human colon cancer.
AB - Strong epidemiologic evidence links colon cancer to obesity. The increasing
worldwide incidence of colon cancer has been linked to the spread of the Western
lifestyle, and in particular consumption of a high-fat Western diet. In this
study, our objectives were to establish mouse models to examine the effects of
high-fat Western diet-induced obesity on the growth of human colon cancer tumor
xenografts, and to examine potential mechanisms driving obesity-linked human
colon cancer tumor growth. We hypothesize that mice rendered insulin resistant
due to consumption of a high-fat Western diet will show increased and accelerated
tumor growth. Homozygous Rag1tm1Mom mice were fed either a low-fat Western diet
or a high-fat Western diet (HFWD), then human colon cancer xenografts were
implanted subcutaneously or orthotopically. Tumors were analyzed to detect
changes in receptor tyrosine kinase-mediated signaling and expression of
inflammatory-associated genes in epididymal white adipose tissue. In both models,
mice fed an HFWD weighed more and had increased intra-abdominal fat, and tumor
weight was greater compared with in the low-fat Western diet-fed mice. They also
displayed significantly higher levels of leptin; however, there was a negative
correlation between leptin levels and tumor size. In the orthotopic model, tumors
and adipose tissue from the HFWD group displayed significant increases in both c
Jun N-terminal kinase activation and monocyte chemoattractant protein 1
expression, respectively. In conclusion, this study suggests that human colon
cancer growth is accelerated in animals that are obese and insulin resistant due
to the consumption of an HFWD.
PMID- 27866829
TI - Lutein and zeaxanthin supplied by red/orange foods and fruits are more closely
associated with macular pigment optical density than those from green vegetables
in Spanish subjects.
AB - Lutein and zeaxanthin (L+Z) accumulate in the retina. Although vegetables are
major contributors to their intake, a stronger association between fruits and
macular pigment optical density (MPOD) has been reported. We hypothesized that
L+Z intake from fruits would have a stronger association with L+Z status markers
(MPOD, serum concentrations) than intake from vegetables or eggs, and that those
associations would also differ according to plant foods color. One hundred eight
subjects (57 men; age groups, 20-35 and 45-65 years) were enrolled in a cross
sectional study. L+Z intake from fruits, vegetables, and eggs was determined
using three 24-hour diet recalls and a country-specific carotenoid database.
Vegetables were the major contributors (75%) to L+Z intake, followed by eggs
(10%) and fruits (4%). Vegetables supplied 86% and 84% of the LandZ intake,
respectively, and fruits supplied 3% and 16%. Green foods supplied 78% and 52% of
LandZ, respectively, followed by red/orange (9% and 38%) and white/yellow (14%
and 9%). Factorial analysis showed associations in older subjects. The explained
variance of the first 2 principal components was 54% considering L+Z intake from
fruits, vegetables, and eggs, and 55% considering L+Z intake from plant foods
grouped by color. Macular pigment optical density is related to L+Z intake from
fruits (0.264, P=.003) and is independent of that from vegetables and eggs. It is
related to L+Z intake from red/orange foods (0.320, P=.000) and the serum
concentrations to that from green foods (0.222, P=.11). Although vegetables and
green foods of plant origin are the major contributors to L+Z intake, red/orange
foods and fruits have the strongest relationship to MPOD in study participants
(45-65 years of age).
PMID- 27866831
TI - The debate: Treatment after the first seizure - The CONTRA.
AB - In many instances antiepileptic drug (AED) treatment of epilepsy patients is
sustained for a long time and is even a lifelong therapy. Chronic drug treatment
naturally means the potential burden of adverse effects. The prognosis to remain
seizure-free is good after a first seizure even without AEDs. Therefore one has
to consider the possibility that freedom from seizures when AED treatment has
been initiated after the first seizure may not in fact be the result of the AED
treatment. On the other hand seizure-free patients without severe side effects
most probably will not consider discontinuing AEDs. Therefore in these cases it
will not be possible to discover whether AEDs are really necessary to maintain
freedom from seizures. Furthermore it has been shown that the long-term prognosis
is independent of whether AED treatment started after the first or a following
seizure. Therefore in most instances AED treatment after a first seizure should
be avoided.
PMID- 27866830
TI - A high-fat diet differentially regulates glutathione phenotypes in the obesity
prone mouse strains DBA/2J, C57BL/6J, and AKR/J.
AB - The ubiquitous tripeptide glutathione (GSH) is a critical component of the
endogenous antioxidant defense system. Tissue GSH concentrations and redox status
(GSH/GSSG) are genetically controlled, but it is unclear whether interactions
between genetic background and diet affect GSH homeostasis. The current study
tested the hypothesis that a high-fat diet regulates GSH homeostasis in a manner
dependent on genetic background. At 4 months of age, female mice representing 3
obesity-prone inbred strains-C57BL/6J (B6), DBA/2J (D2), and AKR/J (AKR)-were
randomly assigned to consume a control (10% energy from fat) or high-fat (62%
energy from fat) diet for 10 weeks (n=5/diet per strain). Tissue GSH levels, GSSG
levels, and GSH/GSSG were quantified, and hepatic expression of GSH-related
enzymes was evaluated by quantitative reverse transcription polymerase chain
reaction. The high-fat diet caused a decrease in hepatic GSH/GSSG in D2 mice. In
contrast, B6 mice exhibited a decrease in GSSG levels in the liver and kidney, as
well as a resultant increase in renal GSH/GSSG. AKR mice also exhibited increased
renal GSH/GSSG on a high-fat diet. Finally, the high-fat diet induced a unique
gene expression response in D2 mice compared with B6 and AKR. The D2 response was
characterized by up-regulation of glutamate-cysteine ligase modifier subunit and
down-regulation of glutathione reductase, whereas the B6 and AKR responses were
characterized by up-regulation of glutathione peroxidase 1. Two-way analysis of
variance analyses confirmed several diet-strain interactions within the GSH
system, and linear regression models highlighted relationships between body mass
and GSH outcomes as well. Overall, our data indicate that dietary fat regulates
the GSH system in a strain-dependent manner.
PMID- 27866833
TI - TP53 and 53BP1 Reunited.
AB - Identified as a TP53-binding protein, 53BP1 is a key regulator of the cellular
response to double-strand breaks, a TP53-independent activity. Recent data have
established a new TP53-dependent function for 53BP1 in mitotic surveillance after
centrosome loss.
PMID- 27866832
TI - Roscoe Owen Brady, MD: Remembrances of co-investigators and colleagues.
AB - To celebrate the research visions and accomplishments of the late Roscoe O. Brady
(1923-2016), remembrance commentaries were requested from several of his
postdoctoral research fellows and colleagues. These commentaries not only reflect
on the accomplishments of Dr. Brady, but they also share some of the backstories
and experiences working in the Brady laboratory. They provide insights and
perspectives on Brady's research activities, and especially on his efforts to
develop an effective treatment for patients with Type 1 Gaucher disease. These
remembrances illuminate Brady's efforts to implement the latest scientific
advances with an outstanding team of young co-investigators to develop and
demonstrate the safety and effectiveness of the first enzyme replacement therapy
for a lysosomal storage disease. Brady's pursuit and persistence in accomplishing
his research objectives provide insights into this remarkably successful
physician scientist who paved the way for the development of treatments for
patients with other lysosomal storage diseases.
PMID- 27866834
TI - 'Patient 0' and the Origin of HIV/AIDS in America.
AB - The origin of the HIV/AIDS epidemic in North America remains contentious. A
recent study uses phylogenetic and historical approaches to investigate the early
history of HIV-1 group M subtype B in North America and shows that 'Patient 0' is
not the source of the North American HIV/AIDS epidemic.
PMID- 27866835
TI - Over-using chemotherapy in the adjuvant setting.
AB - Avoidance of unnecessary or ineffective treatment should be one of the main goals
in adjuvant breast oncology today. Unfortunately, both patients and doctors hunt
for tiny statistical differences in survival curves. This search could not only
lead to an oncological approach of unlimited addition that we will not be able to
afford, but would also end inevitably in indeterminate overtreatment with
substantial risks of unexpected toxic effects eating away whatever progress we
might make. "Do not harm" remains the main principle in medicine. To be able to
follow this rule, we need to better understand the biology of breast cancer. The
mistake of "one treatment fits all" can only be changed when we critically review
trial designs of adjuvant breast oncology. The risk of overtreatment is there and
selection of precisely defined cohorts for phase 3 trials is necessary, despite
pressure of scientific ambition, pragmatism, and demands of industry. The "add
on" clinical trial design model accepts the inability to confirm that standard
therapy is still necessary if a positive result from the addition of the new
therapy is obtained. The same model can be applied to "extended" adjuvant
treatments in breast cancer subtypes. Addition of "miraculin" to the standard of
care should generate a new standard. Such trials that show a modest benefit on
average at a population level take us a step away from refining care for the
individual, and might support the use of multiple and costly interventions with
potential short and long term side effects. It is essential to escalate treatment
when necessary and to de-escalate when un-necessary.
PMID- 27866836
TI - Physiological Suppression of Lipotoxic Liver Damage by Complementary Actions of
HDAC3 and SCAP/SREBP.
AB - Liver fat accumulation precedes non-alcoholic steatohepatitis, an increasing
cause of end-stage liver disease. Histone deacetylase 3 (HDAC3) is required for
hepatic triglyceride homeostasis, and sterol regulatory element binding protein
(SREBP) regulates the lipogenic response to feeding, but the crosstalk between
these pathways is unknown. Here we show that inactivation of SREBP by hepatic
deletion of SREBP cleavage activating protein (SCAP) abrogates the increase in
lipogenesis caused by loss of HDAC3, but fatty acid oxidation remains defective.
This combination leads to accumulation of lipid intermediates and to an energy
drain that collectively cause oxidative stress, inflammation, liver damage, and,
ultimately, synthetic lethality. Remarkably, this phenotype is prevented by
ectopic expression of nuclear SREBP1c, revealing a surprising benefit of de novo
lipogenesis and triglyceride synthesis in preventing lipotoxicity. These results
demonstrate that HDAC3 and SCAP control symbiotic pathways of liver lipid
metabolism that are critical for suppression of lipotoxicity.
PMID- 27866837
TI - High-Density Lipoproteins Exert Pro-inflammatory Effects on Macrophages via
Passive Cholesterol Depletion and PKC-NF-kappaB/STAT1-IRF1 Signaling.
AB - Membrane cholesterol modulates a variety of cell signaling pathways and
functions. While cholesterol depletion by high-density lipoproteins (HDLs) has
potent anti-inflammatory effects in various cell types, its effects on
inflammatory responses in macrophages remain elusive. Here we show overt pro
inflammatory effects of HDL-mediated passive cholesterol depletion and lipid raft
disruption in murine and human primary macrophages in vitro. These pro
inflammatory effects were confirmed in vivo in peritoneal macrophages from apoA-I
transgenic mice, which have elevated HDL levels. In line with these findings, the
innate immune responses required for clearance of P. aeruginosa bacterial
infection in lung were compromised in mice with low HDL levels. Expression
analysis, ChIP-PCR, and combinatorial pharmacological and genetic intervention
studies unveiled that both native and reconstituted HDL enhance Toll-like
receptor-induced signaling by activating a PKC-NF-kappaB/STAT1-IRF1 axis, leading
to increased inflammatory cytokine expression. HDL's pro-inflammatory activity
supports proper functioning of macrophage immune responses.
PMID- 27866839
TI - Markers for toxicity to HepG2 exposed to cadmium sulphide quantum dots; damage to
mitochondria.
AB - Interaction of living organisms with quantum dots (QDs) is certainly more focused
on environment and occupational exposure associated with production and release
or disposal. Here, the transcription of genes involved in mitochondrial
organization and function in HepG2 cells exposed to cadmium sulphide (CdS) QDs
has been profiled to highlight biomarkers of exposure and effect to be tested for
other cadmium based QDs. At low concentrations, exposure to CdS QDs induced only
minor damage to nuclear DNA, and none to mitochondrial DNA. However, the stress
caused an increase in the production of reactive oxygen species (ROS), which
triggered the mitochondria-mediated intrinsic apoptotic pathway involving a
cascade of transcriptomic events, finally prompting the activation of a rescue
pathway. The transcriptomic analysis confirmed the involvement in the response to
CdS QDs of genes related to apoptosis (AIFM2 and APAF1), oxidative stress
response (OXR1 and AOX1) and autophagy (ATG3 and ATG7), as potential biomarkers.
Other possible biomarkers specific for mitochondria function were LONP1 and
HSPD1.
PMID- 27866838
TI - Glutaminolysis and Fumarate Accumulation Integrate Immunometabolic and Epigenetic
Programs in Trained Immunity.
AB - Induction of trained immunity (innate immune memory) is mediated by activation of
immune and metabolic pathways that result in epigenetic rewiring of cellular
functional programs. Through network-level integration of transcriptomics and
metabolomics data, we identify glycolysis, glutaminolysis, and the cholesterol
synthesis pathway as indispensable for the induction of trained immunity by beta
glucan in monocytes. Accumulation of fumarate, due to glutamine replenishment of
the TCA cycle, integrates immune and metabolic circuits to induce monocyte
epigenetic reprogramming by inhibiting KDM5 histone demethylases. Furthermore,
fumarate itself induced an epigenetic program similar to beta-glucan-induced
trained immunity. In line with this, inhibition of glutaminolysis and cholesterol
synthesis in mice reduced the induction of trained immunity by beta-glucan.
Identification of the metabolic pathways leading to induction of trained immunity
contributes to our understanding of innate immune memory and opens new
therapeutic avenues.
PMID- 27866840
TI - The role of human leukocyte antigen DRB1-DQB1 haplotypes in the susceptibility to
acquired idiopathic thrombotic thrombocytopenic purpura.
AB - The acquired form of idiopathic thrombotic thrombocytopenic purpura (TTP) is an
autoimmune disease, in which the underlying ADAMTS13-deficiency is caused by
inhibitory autoantibodies against the protease. Human leukocyte antigens (HLA),
responsible for antigen presentation, play an important role in the development
of antibodies. The loci coding HLA DR and DQ molecules are inherited in linkage
as haplotypes. The c.1858C>T polymorphism of the PTPN22 gene, which codes a
protein tyrosine phosphatase important in lymphocyte activation, predisposes to a
number of autoimmune diseases. We determined the HLA-DRB1-DQB1 haplotypes and the
PTPN22 c.1858C>T genotypes in 75 patients with acquired idiopathic TTP and in
healthy controls, in order to assess the role of these genetic factors and their
interactions in the susceptibility to TTP. We found that the carrier frequencies
of the DRB1*11-DQB1*03 and DRB1*15-DQB1*06 haplotypes were higher, while those of
the DRB1*07-DQB1*02 and DRB1*13-DQB1*06 haplotypes were lower in TTP patients.
There was no difference in the overall frequency of the PTPN22 c.1858T allele
between TTP patients and controls. In conclusion, we identified four HLA-DRB1
DQB1 haplotypes associated with an increased (DRB1*11-DQB1*03 and DRB1*15
DQB1*06) or a decreased (DRB1*07-DQB1*02 and DRB1*13-DQB1*06) susceptibility to
acquired idiopathic TTP.
PMID- 27866841
TI - Thai Yoga improves physical function and well-being in older adults: A randomised
controlled trial.
AB - OBJECTIVES: Compare two 12-week low-intensity exercise regimens on components of
physical function and quality of life in community-dwelling healthy yet sedentary
adults aged over 60. DESIGN: This study used a randomised, multi-arm, controlled
trial design. METHODS: Thirty-nine sedentary participants (29 women), aged 67.7+/
6.7 years were randomly allocated to either a 12-week Thai Yoga (TY) or Tai Chi
(TC) for 90min twice per week, or telephone counselling Control (C). A Senior
Fitness Test (chair-stand, arm-curl, sit-&-reach, back-scratch, 8-foot up-&-go
and 6-min walk) and Short-Form 36 Health Survey, Centre for Epidemiological
Studies of Depression, Physical Activity Scale for the Elderly and the Physical
Activity Enjoyment Scale were assessed at baseline, six, 12 weeks, and three
months after the completion of the regimen. RESULTS: After 12 weeks, chair-stand
(mean difference, 2.69; 95% CI, 0.97-4.41; P<0.001), arm-curl (2.23; 95% CI, 0.06
4.52; P=0.009), sit-&-reach (1.25; 95% CI, 0.03-2.53; P=0.013), back-scratch
(2.00; 95% CI, 0.44-3.56; P=0.005), 8-foot up-&-go (-0.43; 95% CI, -0.85 to 0.01;
P=0.013), 6-min walk (57.5; 95% CI, 20.93-94.07; P<0.001), vitality (13.27; 95%
CI, 2.88-23.66; P=0.050) and enjoyment (7.96; 95% CI, 3.70-12.23; P=0.001)
significantly improved in TY compared to C, however no change was observed in TC
compared to C. TY improved in chair-stand (2.31; 95% CI, 0.59-4.03; P=0.007), sit
&-reach (1.38; 95% CI, 0.10-2.66; P=0.007), 6-min walk (32.31; 95% CI, -4.26
68.88; P=0.015), vitality (12.88; 95% CI, 2.50-23.27; P=0.040) and enjoyment
(5.65; 95% CI, 1.39-9.92; P=0.010) compared to TC after 12 weeks. CONCLUSIONS:
The findings suggest that older adults can make significant improvements in their
health and well-being by engaging in low intensity Thai Yoga exercise.
PMID- 27866842
TI - Upholding Rigorous Standards: Comparable Patterns and Rates of Recurrence Between
Open and Robot-assisted Radical Cystectomy.
PMID- 27866843
TI - Postoperative Radiotherapy in Locally Advanced Prostate Cancer: A Question of Who
and When.
PMID- 27866844
TI - New materials for sample preparation techniques in bioanalysis.
AB - The analysis of biological samples is a complex and difficult task owing to two
basic and complementary issues: the high complexity of most biological matrices
and the need to determine minute quantities of active substances and contaminants
in such complex sample. To succeed in this endeavor samples are usually subject
to three steps of a comprehensive analytical methodological approach: sample
preparation, analytes isolation (usually utilizing a chromatographic technique)
and qualitative/quantitative analysis (usually with the aid of mass spectrometric
tools). Owing to the complex nature of bio-samples, and the very low
concentration of the target analytes to be determined, selective sample
preparation techniques is mandatory in order to overcome the difficulties imposed
by these two constraints. During the last decade new chemical synthesis
approaches has been developed and optimized, such as sol-gel and molecularly
imprinting technologies, allowing the preparation of novel materials for sample
preparation including graphene and derivatives, magnetic materials, ionic
liquids, molecularly imprinted polymers, and much more. In this contribution we
will review these novel techniques and materials, as well as their application to
the bioanalysis niche.
PMID- 27866845
TI - Untargeted serum metabolomics reveals Fu-Zhu-Jiang-Tang tablet and its optimal
combination improve an impaired glucose and lipid metabolism in type II diabetic
rats.
AB - Fu-Zhu-Jiang-Tang tablet, a six-herb preparation, was proved to show beneficial
effects on type II diabetes patients in clinical. This study aims to optimize the
component proportion of the six-herb preparation and explore the serum metabolic
signatures of type II diabetes rats after treatment with Fu-Zhu-Jiang-Tang tablet
and its optimal combination. The component proportion of the preparation was
optimized using uniform experimental design and machine learning techniques.
Untargeted GC-MS metabolomic experiments were carried out with serum samples from
model group and treatment groups. Data were normalized, multivariate and
univariate statistical analysis performed and metabolites of interest putatively
identified. 23 metabolites were significantly changed by Fu-Zhu-Jiang-Tang tablet
treatment and the majority of these were decreased, including various
carbohydrates (glucose, mannose, fructose, allose and gluconic acid), unsaturated
fatty acids (palmitic acid, 9-octadecenoic acid, oleic acid, arachidonic acid),
alanine, valine, propanoic acid, 3-hydroxybutyrate, along with pyrimidine and
cholesterol. Increased concentrations of oxalic acid, leucine, glycine, serine,
threonine, proline, lysine and citrate were observed. In the optimal combination
fed group, 21 metabolites were significantly affected and strikingly, the
magnitudes of changes here were generally much greater than that of Fu-Zhu-Jiang
Tang tablet treated rats. 18 metabolites affected in both groups included various
carbohydrates (mannose, glucose, allose, fructose and gluconic acid), unsaturated
fatty acids (palmitic acid, 9-octadecenoic acid, oleic acid and arachidonic
acid), short-chain fatty acids (oxalic acid, 3-hydroxybutyrate), and amino acids
(alanine, valine, leucine, glycine, proline and lysine), as well as pyrimidine.
Metabolites exclusively affected in optimal combination treated rat included
succinic acid, cysteine and phenylalanine, whilst four metabolites (propanoic
acid, citrate, serine and threonine) were only altered in Fu-Zhu-Jiang-Tang
tablet treated rat. Our investigation demonstrated Fu-Zhu-Jiang-Tang tablet and
its optimal combination treatments were able to ameliorate impaired glucose and
lipid metabolism, down- regulate the high level of glucose to a lower level and
reverse abnormal levels of metabolites in serum of type II diabetes rats.
However, the optimal combination treatment was able to maximize the magnitudes of
changes in some metabolites. These findings may be helpful in clarifying the anti
diabetic mechanism of FZJT tablet and its optimal combination.
PMID- 27866846
TI - Determination of enantiomeric vigabatrin by derivatization with diacetyl-l
tartaric anhydride followed by ultra-high performance liquid chromatography
quadrupole-time-of-flight mass spectrometry.
AB - Vigabatrin, one of the most widely used antiepileptic drugs, is marketed and
administered as a racemic mixture, while only S-enantiomer is therapeutically
effective. In the present study, diacetyl-l-tartaric acid anhydride was used as
an inexpensive and effective chiral derivatization reagent to produce tartaric
acid monoester derivatives of vigabatrin enantiomers that could be readily
resolved by reversed phase chromatography. Derivatization conditions were
statistically optimized by response surface methodology, resulting in an optimal
reaction temperature of 44 degrees C and an optimal reaction time of 30min. The
derivatized diastereomers of vigabatrin and internal standard (gabapentin) were
analyzed using ultra-high performance liquid chromatography coupled to quadrupole
time-of-flight mass spectrometry. For this analysis, an Agilent ZORBAX Rapid
Resolution High Definition Eclipse Plus C18 column (100mm*2.1mm, 1.8MUm) was
employed for chromatographic separation using 10mM ammonium formate (pH 3.0) and
methanol as mobile phase at a flow rate of 0.2mLmin-1. The established method was
validated in terms of specificity, linearity, precision, accuracy, dilution
integrity, recovery, matrix effect, stability, and incurred sample reanalysis. It
was linear over a range of 0.25-100.0mgL-1 for both S- and R-enantiomers
(R2>=0.9987 for both). Intra- and inter-day precisions and accuracies were within
acceptable ranges. The method was successfully applied to determine the levels of
vigabatrin enantiomers in mouse serum after administration of vigabatrin
racemate.
PMID- 27866847
TI - Detection of trans-fatty acids by high performance liquid chromatography coupled
with in-tube solid-phase microextraction using hydrophobic polymeric monolith.
AB - Based on in-tube solid-phase microextraction (in-tube SPME) using a hydrophobic
poly (octadecyl methacrylate-co-ethylene dimethacrylate) [poly (OMA-co-EDMA)]
monolith, a simple high performance liquid chromatography (HPLC) method has been
developed for detection of trans-fatty acids (TFAs) as their fatty acid methyl
esters (FAMEs). The poly (OMA-co-EDMA) monolithic column with high hydrophobicity
was specially prepared for simultaneous microextraction, pre-separation and
purification for the analytes. The pre-separation selectivity, the extraction
efficiency, and the purification effect for FAMEs were investigated respectively.
Furthermore, some operation parameters have been optimized in detail with respect
to satisfactory extraction efficiency of the target compounds. Under the
optimized conditions, the enrichment factors for model FAMEs were ranged from
58.3 to 70.9, wide linear range (0.01-1.00mg/kg) and low detection limits (LODs)
(3.0-7.1MUg/kg) were achieved, respectively. In addition, recoveries of the
method were in the range from 83.0 to 106.4% with low relative standard
deviations (RSDs) of 3.2-4.7% (n=4) at spiking levels of 0.05, 0.25 and 0.5mg/kg,
respectively. Finally, the proposed method was successfully applied for detection
of TFAs in practical samples, which possesses short time-consuming, low
disturbance and high detection sensitivity.
PMID- 27866848
TI - Analysis of GTV reduction during radiotherapy for oropharyngeal cancer:
Implications for adaptive radiotherapy.
AB - BACKGROUND AND PURPOSE: Adaptive field size reduction based on gross tumor volume
(GTV) shrinkage imposes risk on coverage. Fiducial markers were used as surrogate
for behavior of tissue surrounding the GTV edge to assess this risk by evaluating
if GTVs during treatment are dissolving or actually shrinking. MATERIALS AND
METHODS: Eight patients with oropharyngeal tumors treated with chemo-radiation
were included. Before treatment, fiducial markers (0.035*0.2cm2, n=40) were
implanted at the edge of the primary tumor. All patients underwent planning-CT,
daily cone beam CT (CBCT) and MRIs (pre-treatment, weeks 3 and 6). Marker
displacement on CBCT was compared to local GTV surface displacement on MRIs.
Additionally, marker displacement relative to the GTV surfaces during treatment
was measured. RESULTS: GTV surface displacement derived from MRI was larger than
derived from fiducial markers (average difference: 0.1cm in week 3). During
treatment, the distance between markers and GTV surface on MRI in week 3
increased in 33%>0.3cm and in 10%>0.5cm. The MRI-GTV shrank faster than the
surrounding tissue represented by the markers, i.e. adapting to GTV shrinkage may
cause under-dosage of microscopic disease. CONCLUSIONS: We showed that adapting
to primary tumor GTV shrinkage on MRI mid-treatment is potentially not safe since
at least part of the GTV is likely to be dissolving. Adjustment to clear
anatomical boundaries, however, may be done safely.
PMID- 27866849
TI - Inter-observer variation in delineating the coronary arteries as organs at risk.
AB - PURPOSE: To determine the inter-observer variation in delineating the coronary
arteries as organs at risk (OAR) in breast cancer (BC) radiotherapy (RT) and how
this variation affects the estimated coronary artery radiation dose. METHOD:
Delineation of the left main and the left anterior descending coronary artery
(LMCA and LAD), and the right coronary artery (RCA), by using the heart atlas by
Feng et al., was performed by three radiation oncologists in 32 women who had
received adjuvant RT for BC. Centres of the arteries were calculated and
distances between artery centres were measured and the artery radiation doses
were estimated. The intraclass correlation coefficient (ICC) was used to quantify
the variability in doses. RESULTS: Along the extent of RCA, the median distance
between centres of arteries varied from 2 to 9mm with similar patterns over pairs
of oncologists. For the LMCA-LAD the median distance varied from 1 to 4mm. The
estimated maximum radiation doses showed an ICC variation from 0.82 to 0.97.
CONCLUSION: The coronary arteries can be reliably identified and delineated as
OARs in BC RT. The spatial variance is limited and the total variation in
radiation dose is almost completely determined by the between patient variation.
PMID- 27866850
TI - Deubiquitination and Stabilization of PD-L1 by CSN5.
AB - Pro-inflammatory cytokines produced in the tumor microenvironment lead to
eradication of anti-tumor immunity and enhanced tumor cell survival. In the
current study, we identified tumor necrosis factor alpha (TNF-alpha) as a major
factor triggering cancer cell immunosuppression against T cell surveillance via
stabilization of programmed cell death-ligand 1 (PD-L1). We demonstrated that
COP9 signalosome 5 (CSN5), induced by NF-kappaB p65, is required for TNF-alpha
mediated PD-L1 stabilization in cancer cells. CSN5 inhibits the ubiquitination
and degradation of PD-L1. Inhibition of CSN5 by curcumin diminished cancer cell
PD-L1 expression and sensitized cancer cells to anti-CTLA4 therapy.
PMID- 27866852
TI - OmpA: A Flexible Clamp for Bacterial Cell Wall Attachment.
AB - The envelope of Gram-negative bacteria is highly complex, containing separate
outer and inner membranes and an intervening periplasmic space encompassing a
peptidoglycan (PGN) cell wall. The PGN scaffold is anchored non-covalently to the
outer membrane via globular OmpA-like domains of various proteins. We report
atomically detailed simulations of PGN bound to OmpA in three different states,
including the isolated C-terminal domain (CTD), the full-length monomer, or the
complete full-length dimeric form. Comparative analysis of dynamics of OmpA CTD
from different bacteria helped to identify a conserved PGN-binding mode. The
dynamics of full-length OmpA, embedded within a realistic representation of the
outer membrane containing full-rough (Ra) lipopolysaccharide, phospholipids, and
cardiolipin, suggested how the protein may provide flexible mechanical support to
the cell wall. An accurate model of the heterogeneous bacterial cell envelope
should facilitate future efforts to develop antibacterial agents.
PMID- 27866851
TI - Inhibition of the Glycolytic Activator PFKFB3 in Endothelium Induces Tumor Vessel
Normalization, Impairs Metastasis, and Improves Chemotherapy.
AB - Abnormal tumor vessels promote metastasis and impair chemotherapy. Hence, tumor
vessel normalization (TVN) is emerging as an anti-cancer treatment. Here, we show
that tumor endothelial cells (ECs) have a hyper-glycolytic metabolism, shunting
intermediates to nucleotide synthesis. EC haplo-deficiency or blockade of the
glycolytic activator PFKFB3 did not affect tumor growth, but reduced cancer cell
invasion, intravasation, and metastasis by normalizing tumor vessels, which
improved vessel maturation and perfusion. Mechanistically, PFKFB3 inhibition
tightened the vascular barrier by reducing VE-cadherin endocytosis in ECs, and
rendering pericytes more quiescent and adhesive (via upregulation of N-cadherin)
through glycolysis reduction; it also lowered the expression of cancer cell
adhesion molecules in ECs by decreasing NF-kappaB signaling. PFKFB3-blockade
treatment also improved chemotherapy of primary and metastatic tumors.
PMID- 27866854
TI - Source apportionment of fine and coarse particles at a roadside and urban
background site in London during the 2012 summer ClearfLo campaign.
AB - London, like many major cities, has a noted air pollution problem, and a better
understanding of the sources of airborne particles in the different size
fractions will facilitate the implementation and effectiveness of control
strategies to reduce air pollution. Thus, the trace elemental composition of the
fine and coarse fraction were analysed at hourly time resolution at urban
background (North Kensington, NK) and roadside (Marylebone Road, MR) sites within
central London. Unlike previous work, the current study focuses on measurements
during the summer providing a snapshot of contributing sources, utilising the
high time resolution to improve source identification. Roadside enrichment was
observed for a large number of elements associated with traffic emissions (Al, S,
Ca, Ti, V, Cr, Mn, Fe, Ni, Cu, Zn, As, Rb and Zr), while those elements that are
typically from more regional sources (e.g. Na, Cl, S and K) were not found to
have an appreciable increment. Positive Matrix Factorization (PMF) was applied
for the source apportionment of the particle mass at both sites with similar
sources being identified, including sea salt, airborne soil, traffic emissions,
secondary inorganic aerosols and a Zn-Pb source. In the fine fraction, traffic
emissions was the largest contributing source at MR (31.9%), whereas it was
incorporated within an "urban background" source at NK, which had contributions
from wood smoke, vehicle emissions and secondary particles. Regional sources were
the major contributors to the coarse fraction at both sites. Secondary inorganic
aerosols (which contained influences from shipping emissions and coal combustion)
source factors accounted for around 33% of the PM10 at NK and were found to have
the highest contributions from regional sources, including from the European
mainland. Exhaust and non-exhaust sources both contribute appreciably to PM10
levels at the MR site, highlighting the continuing importance of vehicle-related
air pollutants at roadside.
PMID- 27866853
TI - Functional Annotation of Ion Channel Structures by Molecular Simulation.
AB - Ion channels play key roles in cell membranes, and recent advances are yielding
an increasing number of structures. However, their functional relevance is often
unclear and better tools are required for their functional annotation. In sub
nanometer pores such as ion channels, hydrophobic gating has been shown to
promote dewetting to produce a functionally closed (i.e., non-conductive) state.
Using the serotonin receptor (5-HT3R) structure as an example, we demonstrate the
use of molecular dynamics to aid the functional annotation of channel structures
via simulation of the behavior of water within the pore. Three increasingly
complex simulation analyses are described: water equilibrium densities; single
ion free-energy profiles; and computational electrophysiology. All three
approaches correctly predict the 5-HT3R crystal structure to represent a
functionally closed (i.e., non-conductive) state. We also illustrate the
application of water equilibrium density simulations to annotate different
conformational states of a glycine receptor.
PMID- 27866855
TI - Possible emissions of POPs in plain and hilly areas of Nepal: Implications for
source apportionment and health risk assessment.
AB - Ambient air is a core media chosen for monitoring under the Stockholm Convention
on POPs. While extensive monitoring of POPs in ambient air has been carried out
in some parts of the globe, there are still regions with very limited information
available, such as some developing countries as Nepal. This study therefore aims
to target the occurrence of selected POPs in Nepal in suspected source areas/more
densely populated regions. Four potential source regions in Nepal were
furthermore targeted as it was hypothesized that urban areas at lower altitudes
(Birgunj and Biratnagar located at approximately 86 and 80 m.a.s.l.) would be
potentially more affected by OCPs because of more intensive agricultural
activities in comparison to urban areas at higher altitudes (Kathmandu, Pokhara
located 1400 and 1135 m.a.s.l). As some of these areas could also be impacted by
LRAT, air mass back trajectories during the sampling period were additionally
evaluated using HYSPLIT. The concentrations of overall POPs were twice as high in
plain areas in comparison to hilly areas. DDTs and HCHs were most frequently
detected in the air samples. The high p,p'-DDT/(pp'-DDE + pp'-DDD) ratio as well
as the low o,p'-DDT/p,p'-DDT ratio observed in this study was inferred as
continuing use of technical DDT. High levels of ?26PCBs were linked to proximity
to highly urbanized and industrial areas, indicating the potential source of
PCBs. The measured concentrations of legacy POPs in air from this study is
assumed to represent a negligible health risk through inhalation of ambient air,
however, other modes of human exposure could still be relevant in Nepal. The air
mass backward trajectory analysis revealed that most of the air masses sampled
originated from India and the Bay of Bengal.
PMID- 27866856
TI - Free tissue transfer in patients with sickle cell disease: Considerations for
multi-disciplinary peri-operative management.
AB - INTRODUCTION AND AIMS: Sickle cell disease (SCD) is an increasingly common
condition in the UK. The safety of free tissue transfer in these patients is
controversial, and no specific guidelines exist. The aim of this paper is to
create recommendations for the plastic surgical multidisciplinary team for use in
the assessment and management of SCD patients undergoing free tissue transfer and
reconstruction. METHOD: A literature review was performed in PubMed of 'sickle
[TiAb] AND plast* adj3 surg*. RESULTS: Sickle cell disease is explained, as is
the relative peri-operative risk in different genotypes of SCD. Acute and chronic
manifestations of SCD are described by system, for consideration at pre-operative
assessment and post-operative review. The evidence surrounding free tissue
transfer and SCD is discussed and the outcomes in published cases summarised. An
algorithm for peri-operative multi-disciplinary management is outlined and
justified. CONCLUSION: Free tissue transfer theoretically carries a high risk of
a crisis, due not only to long anaesthetic times, but the potential requirement
for tourniquet use, and the relatively hypoxic state of the transferred tissue.
This paper outlines a useful, practical algorithm to optimise the safety of free
tissue transfer in patients with SCD.
PMID- 27866857
TI - Cognitive impairment in Parkinson's disease.
PMID- 27866859
TI - Trastuzumab emtansine for HER2-positive breast cancer.
PMID- 27866858
TI - Clinical variables and biomarkers in prediction of cognitive impairment in
patients with newly diagnosed Parkinson's disease: a cohort study.
AB - BACKGROUND: Parkinson's disease is associated with an increased incidence of
cognitive impairment and dementia. Predicting who is at risk of cognitive decline
early in the disease course has implications for clinical prognosis and for
stratification of participants in clinical trials. We assessed the use of
clinical information and biomarkers as predictive factors for cognitive decline
in patients with newly diagnosed Parkinson's disease. METHODS: The Parkinson's
Progression Markers Initiative (PPMI) study is a cohort study in patients with
newly diagnosed Parkinson's disease. We evaluated cognitive performance (Montreal
Cognitive Assessment [MoCA] scores), demographic and clinical data, APOE status,
and biomarkers (CSF and dopamine transporter [DAT] imaging results). Using change
in MoCA scores over 2 years, MoCA scores at 2 years' follow-up, and a diagnosis
of cognitive impairment (combined mild cognitive impairment or dementia) at 2
years as outcome measures, we assessed the predictive values of baseline clinical
variables and separate or combined additions of APOE status, DAT imaging, and CSF
biomarkers. We did univariate and multivariate linear analyses with MoCA change
scores between baseline and 2 years, and with MoCA scores at 2 years as dependent
variables, using backwards linear regression analysis. Additionally, we
constructed a prediction model for diagnosis of cognitive impairment using
logistic regression analysis. FINDINGS: 390 patients with Parkinson's disease
recruited between July 1, 2010, and May 31, 2013, and for whom data on MoCA
scores at baseline and 2 years were available. In multivariate analyses, baseline
age, University of Pennsylvania Smell Inventory Test (UPSIT) scores, CSF amyloid
(Abeta42) to t-tau ratio, and APOE status were associated with change in MoCA
scores over time. Baseline age, MoCA and UPSIT scores, and CSF Abeta42 to t-tau
ratio were associated with MoCA score at 2 years (using a backwards p-removal
threshold of 0.1). Accuracy of prediction of cognitive impairment using age alone
(area under the curve 0.68, 95% CI 0.60-0.76) significantly improved by addition
of clinical scores (UPSIT, Rapid Eye Movement Sleep Behaviour Disorder Screening
Questionnaire [RBDSQ], Geriatric Depression Scale, and Movement Disorder Society
Unified Parkinson's Disease Rating Scale motor scores; 0.76, 0.68-0.83), CSF
variables (0.74, 0.68-0.81), or DAT imaging results (0.76, 0.68-0.83). In
combination, the five variables showing the most significant associations with
cognitive impairment (age, UPSIT, RBDSQ, CSF Abeta42, and caudate uptake on DAT
imaging) allowed prediction of cognitive impairment at 2 years (0.80, 0.74-0.87;
p=0.0003 compared to age alone). INTERPRETATION: In newly diagnosed Parkinson's
disease, the occurrence of cognitive impairment at 2 year follow-up can be
predicted with good accuracy using a model combining information on age, non
motor assessments, DAT imaging, and CSF biomarkers. FUNDING: None.
PMID- 27866860
TI - Hyperprogressive disease with anti-PD-1 and anti-PD-L1.
PMID- 27866861
TI - Outcomes after transplantation of lungs preserved for more than 12 h: a
retrospective study.
AB - BACKGROUND: Ex-vivo lung perfusion (EVLP) can be used to extend overall lung
preservation time by splitting one long cold ischaemic time into two shorter ones
and interposing an additional EVLP time. We assessed the outcomes after clinical
transplantation of lungs with more than 12 h of preservation time. METHODS: For
this retrospective study, we searched the Toronto Lung Transplant Program
database for patients who had received at least one lung transplant between Jan
1, 2006, and April 30, 2015, at a single hospital in Toronto, Canada. We split
the identified patients into those with a total preservation time of more than 12
h and those with a total preservation time of less than 12 h to act as the
control group. Total preservation time was defined as the sum of first cold
ischaemic time, EVLP time, and second cold ischaemic time. We excluded patients
if they had received a heart-lung transplant or were younger than 18 years. In
bilateral lung transplantations, we used the longer preservation time of the two
lungs for analysis. Lung preservation was done according to present standards of
care and EVLP was done according to the Toronto EVLP technique. The primary
outcomes were survival and International Society for Heart and Lung
Transplantation Primary Graft Dysfunction (PGD) grade at 72 h post
transplantation. We compared outcomes with our control group using univariable
and multivariable models. FINDINGS: We identified 906 patients who met
eligibility criteria and had sufficient data for analysis (<12 h group [n=809];
mean lung preservation time 400.8 min [SD 121.8] vs >12 h group [n=97]; 875.7 min
[109.0]). Median hospital and intensive-care unit length of stay were similar
between the less than 12 h group and the more than 12 h group (hospital stay: 23
days [16-42] vs 25.5 days [17-50.25], p=0.60; intensive-care unit stay: 4 days [2
14] vs 4 days [2-16], p=0.53). PGD grade was also not different between the two
groups at 72 h post-transplantation (p=0.85). There was also no difference in
survival between the two groups as shown on Kaplan-Meier survival curves
(p=0.61). Multivariable survival analysis using Cox's model showed increasing
recipient age to be a significant variable affecting survival. INTERPRETATION:
Extension of graft preservation time beyond 12 h with EVLP does not negatively
affect early lung transplantation outcomes. Extension of clinical lung
preservation times might allow for more transplantations to be done as a result
of improved facilitation and increased flexibility around timing of lung
transplantation operations. FUNDING: None.
PMID- 27866863
TI - IL-2 complex treatment amplifies CD8+ T cell mediated immunity following herpes
simplex virus-1 infection.
AB - CD8+ T cells play an important role in controlling numerous virus infections and
some tumors and therefore several strategies have been adopted to modulate CD8+ T
cell responses. One such approach includes treatment with IL-2 bound to a
monoclonal antibody against IL-2 (IL-2 complex) which was shown to enhance CD8+ T
cell responses and provide protection against some cancers and pathogens. This
report analyses the value of IL-2 complex therapy to protect against a cutaneous
virus infection as occurs with herpes simplex virus-1 (HSV-1) infection.
Treatment with IL-2 complex after infection reduced virus levels and lesion
severity in a zosteriform model of HSV infection in mice. Furthermore, IL-2
complex treatment expanded HSV-1-gB epitope-specific CD8+ T cells, IFN-gamma and
TNF-alpha producing CD8+ T cells as well as cells that produced more than one
cytokine. In addition, IL-2 complex therapy recipients showed enhanced cytolytic
activity of CD8+ T cells as shown by increased granzyme B expression and lytic
granule release. Taken, together, these studies demonstrate that IL-2 complex
therapy can be useful to boost protection against a cutaneous virus infection.
PMID- 27866864
TI - Anatomical, histochemical and immunohistochemical characterisation of the cardiac
outflow tract of the silver arowana, Osteoglossum bicirrhosum (Teleostei:
Osteoglossiformes).
AB - The cardiac outflow tract of chondrichthyans and actinopterygians is composed of
a myocardial conus arteriosus and a non-myocardial bulbus arteriosus. In
teleosts, the conus has been subjected to a reduction in size over the evolution
in conjunction with the further development of the bulbus. Most studies on the
outflow tract of the teleost heart refer to species of modern groups and are
mainly devoted to the bulbus. Knowledge on the outflow tract of species belonging
to early teleost groups is scarce. The aim here was to characterise the structure
of the cardiac outflow tract of the silver arowana, a representative of the
ancient teleost clade of the Osteoglossomorpha. The material consisted of hearts
from six juvenile animals. The cardiac outflow tract of the silver arowana is
composed of a conus, which supports two conal valves, and a bulbus. Both
components are lined externally by the epicardium and internally by the
endocardium. The conus is immunoreactive to antibodies against myosin heavy
chains and is composed of compact myocardium, thus contrasting with the
ventricle, which has exclusively trabeculated myocardium. The bulbus is
immunoreactive to antibodies against smooth muscle alpha-actin and mainly
consists of elastic fibres and smooth muscle cells, both arranged in three
layers, outer, middle and inner. The most remarkable feature of the bulbus is the
presence of two prominent longitudinal ridges, dorsal and ventral, at the luminal
side, which serve to anchor the commissures of the conal valves. This arrangement
has not been described so far in any fish species. Pigment cells, presumably of
neural crest origin, are present in the subepicardium of the bulbus and anterior
part of the ventricle.
PMID- 27866862
TI - Extraordinary long-term and fluctuating persistence of Ebola virus RNA in semen
of survivors in Guinea: implications for public health.
PMID- 27866865
TI - Accelerated Partial Breast Irradiation: Executive summary for the update of an
ASTRO Evidence-Based Consensus Statement.
AB - PURPOSE: To update the accelerated partial breast irradiation Consensus Statement
published in 2009 and provide guidance on use of intraoperative radiation therapy
(IORT) for partial breast irradiation in early-stage breast cancer, based on
published evidence complemented by expert opinion. METHODS AND MATERIALS: A
systematic PubMed search using the same terms as the original Consensus Statement
yielded 419 articles; 44 articles were selected. The authors synthesized the
published evidence and, through a series of conference calls and e-mails, reached
consensus regarding the recommendations. RESULTS: The new recommendations include
lowering the age in the "suitability group" from 60 to 50 years and in the
"cautionary group" to 40 years for patients who meet all other elements of
suitability (Table 1). Patients with low-risk ductal carcinoma in situ, as per
Radiation Therapy Oncology Group 9804 criteria, were categorized in the
"suitable" group. The task force agreed to maintain the current criteria based on
margin status. Recommendations for the use of IORT for breast cancer patients
include: counseling patients regarding the higher risk of ipsilateral breast
tumor recurrence with IORT compared with whole breast irradiation; the need for
prospective monitoring of long-term local control and toxicity with low-energy
radiograph IORT given limited follow-up; and restriction of IORT to women with
invasive cancer considered "suitable." CONCLUSION: These recommendations will
provide updated clinical guidance regarding use of accelerated partial breast
irradiation for radiation oncologists and other specialists participating in the
care of breast cancer patients.
PMID- 27866866
TI - Assessment of oral ivermectin versus shampoo in the treatment of pediculosis
(head lice infestation) in rural areas of Sine-Saloum, Senegal.
AB - Reports of treatment failure and the emergence of resistance to topical head lice
treatments have become increasingly common, driving the need for continued
development of new therapeutic options for pediculosis. Ivermectin has been
proposed as a potential alternative for the treatment of pediculosis but has not
been sufficiently evaluated. In this study, the effectiveness of oral ivermectin
versus shampoo in the treatment of pediculosis in Senegal was compared. The study
was conducted in two neighbouring villages of Sine-Saloum, Senegal: Dielmo
(ivermectin trial group; 201 female participants) and Ndiop (shampoo trial group;
239 female participants). In the ivermectin group, patients received two doses of
oral ivermectin (400 ug/kg body weight; Mectizan(r)) 7 days apart. In contrast,
the shampoo group received a shampoo treatment based on d-phenothrin (0.23%;
Hegor(r)). At the beginning of the study, 70 (34.8%) of 201 participants in the
ivermectin group were infested by head lice versus 145 (60.7%) of 239
participants in the shampoo group. At Day 15 post-treatment, the efficacy of the
treatment against head lice reached 41/53 (77.4%) in the ivermectin group (53
patients were tested in this group) versus 42/130 (32.3%) in the shampoo group
(130 patients were tested in this group) (P <10-7). However, 4 (7.5%) of the 53
females in the ivermectin group exhibited probable ivermectin treatment failure,
suggesting the emergence of ivermectin-resistant lice. This study demonstrates
that oral ivermectin is highly effective for the treatment of pediculosis
compared with shampoo, but also suggests that ivermectin resistance may emerge
during treatment.
PMID- 27866867
TI - Impact of mild patient prosthesis mismatch on quality of life in patients with
preserved ejection fraction after isolated aortic valve replacement for aortic
stenosis.
AB - AIM: To analyze whether PPM affects QOL and functional status in patients after
isolated AVR for aortic stenosis (AS) with preserved left ventricular ejection
fraction (LVEF). METHODS: Consecutive patients who underwent AVR in University
Hospital Center Zagreb for isolated severe symptomatic AS and preserved EF were
enrolled. Echo data was obtained from complete transthoracic examinations prior
and after surgery by offline analysis. Patients were divided into two groups
according to the presence of PPM (effective orifice area (EOA)/body surface area
(BSA)<0,85cm2/m2). QOL was assessed by telephone interview using Short Form 36
Item Health Survey (SF-36) along with functional NYHA status estimation. RESULTS:
A total of 45 pts were included (23 female), and divided in PPM (n=26), and non
PPM group (n=19). Both groups were similar in pts age, LVEF, AVA/BSA prior
surgery. After surgery, 57% of pts had PPM categorized as mild PPM. During follow
up of 2,5years, 3 pts had died and 10 were lost from following. There was no
difference in NYHA status after surgery between groups (p=0,758). SF36 results
showed no difference between groups. However, there was a significant improvement
in Physical functioning (47,50% vs 75,47%,p=0,000) and Role limitation due to
physical health (41,41% vs 81,25%, p=0,007) scores in the whole study population
after AVR. Males had significantly better Energy/fatigue (p=0,034), Social
functioning (p=0,004) and Pain (p=0,017) scores. CONCLUSIONS: Mild to moderate
PPM showed no clinical relevance. All patients revealed improvement in QOL after
AVR, while male sex was related to better functioning scores irrespectively of
PPM.
PMID- 27866870
TI - Commentary to "Management of undescended testes: European Association of
Urology/European Society for Paediatric Urology Guidelines".
PMID- 27866869
TI - Clinical characteristics and outcomes of Yemeni patients with acute heart failure
aged 50years or younger: Data from Gulf Acute Heart Failure Registry (Gulf CARE).
AB - AIMS: There is a shortage of data about acute heart failure (AHF) in the young,
including its underlying causes, clinical presentation and outcomes. We aim to
describe clinical characteristics, causes and outcomes of AHF in Yemeni patients
aged 50years or younger. METHODS AND RESULTS: we evaluated Yemeni patients with
AHF enrolled in Gulf CARE registry. Patients were divided into two groups: young
patients (<=50years) and older patients (>50years). A total of 1536 patients with
AHF were enrolled, of whom 635 (41.3%) were 50years old or younger. The mean age
for this group was 38.8 (+/-9.5) years; and 399 (62.8%) were males. Younger
patients had a higher prevalence of non-ischemic cardiomyopathy (41% vs 11.1%,
p<0.001), primary valvular disease (27.9% vs 3.2%, p<0.001), viral myocarditis
(0.8% vs 0, p<0.001). Ischemic heart disease (61.6% vs 25.5%, p<0.001) and
hypertensive heart disease (18.3% vs 6.3%, p<0.001) were more frequent in the
elderly group. Cardiogenic shock was more frequent among younger patients (13.7%
vs 7.0, p<0.001). In-hospital mortality was higher in patient aged <=50years (12%
vs 7.6%, p=0.002) while no difference in all-cause mortality was present at
3months (17.8 vs 14.5, p=0.089) and after 1year (21.9% vs 20.6%, p=0.56).
CONCLUSION: This analysis of Gulf CARE registry represents the largest report of
patients admitted with AHF in Yemen. There were differences among cause of HF and
precipitating factors of AHF among younger and elderly patients. Younger patients
had higher in-hospital mortality and more severe clinical condition at admission.
PMID- 27866868
TI - Lack of evidence of lower 30-day all-cause readmission in Medicare beneficiaries
with heart failure and reduced ejection fraction discharged on spironolactone.
AB - BACKGROUND: Therapy with evidence-based heart failure (HF) medications has been
shown to be associated with lower risk of 30-day all-cause readmission in
patients with HF and reduced ejection fraction (HFrEF). METHODS: We examined the
association of aldosterone antagonist use with 30-day all-cause readmission in
this population. Of the 2443 Medicare beneficiaries with HF and left ventricular
EF <=35% discharged home from 106 Alabama hospitals during 1998-2001, 2060 were
eligible for spironolactone therapy (serum creatinine <=2.5 for men and <=2mg/dl
for women, and serum potassium <5mEq/L). After excluding 186 patients already
receiving spironolactone on admission, the inception cohort consisted of 1874
patients eligible for a new discharge prescription for spironolactone, of which
329 received one. Using propensity scores for initiation of spironolactone
therapy, we assembled a matched cohort of 324 pairs of patients receiving and not
receiving spironolactone balanced on 34 baseline characteristics (mean age
72years, 42% women, 33% African American). RESULTS: Thirty-day all-cause
readmission occurred in 17% and 19% of matched patients receiving and not
receiving spironolactone, respectively (hazard ratio [HR], 0.92; 95% confidence
interval [CI], 0.64-1.32; p=0.650). Spironolactone had no association with 30-day
all-cause mortality (HR, 0.84; 95% CI, 0.38-1.88; p=0.678) or HF readmission (HR,
0.74; 95% CI, 0.41 1.31; p=0.301). These associations remained unchanged during
12months of post-discharge follow-up. CONCLUSION: A discharge prescription for
spironolactone had no association with 30-day all-cause readmission among older,
hospitalized Medicare beneficiaries with HFrEF eligible for spironolactone
therapy.
PMID- 27866871
TI - Endoscopic ultrasound of pancreatic tumors.
AB - Computed tomography (CT) and endoscopic ultrasound (EUS) are the two most
effective techniques for the assessment of pancreatic cancers. CT has
revolutionized the field of tumor imaging in pancreatic cancer and is now a well
established imaging technique for diagnosis and staging. However, EUS still plays
an important role in several situations, especially when the diagnosis is
uncertain or when histopathological confirmation of the lesion is needed.
Similarly, regarding cystic lesions, magnetic resonance imaging and CT have very
good performances but are often inadequate because the diagnosis can be difficult
to establish, while the consequences for the patient are major. New biopsy
needles, the use of elastography and ultrasonographic contrast agents, and
confocal laser endomicroscopy can also provide additional and essential
information to improve diagnosis confidence of pancreatic lesions with EUS.
PMID- 27866872
TI - Commitment issues: PrEP adherence in injecting drug users.
PMID- 27866873
TI - Factors associated with the uptake of and adherence to HIV pre-exposure
prophylaxis in people who have injected drugs: an observational, open-label
extension of the Bangkok Tenofovir Study.
AB - BACKGROUND: Results of the randomised, double-blind, placebo-controlled Bangkok
Tenofovir Study (BTS) showed that taking tenofovir daily as pre-exposure
prophylaxis (PrEP) can reduce the risk of HIV infection by 49% in people who
inject drugs. In an extension to the trial, participants were offered 1 year of
open-label tenofovir. We aimed to examine the demographic characteristics, drug
use, and risk behaviours associated with participants' uptake of and adherence to
PrEP. METHODS: In this observational, open-label extension of the BTS
(NCT00119106), non-pregnant, non-breastfeeding, HIV-negative BTS participants,
all of whom were current or previous injecting drug users at the time of
enrolment in the BTS, were offered daily oral tenofovir (300 mg) for 1 year at 17
Bangkok Metropolitan Administration drug-treatment clinics. Participant
demographics, drug use, and risk behaviours were assessed at baseline and every 3
months using an audio computer-assisted self-interview. HIV testing was done
monthly and serum creatinine was assessed every 3 months. We used logistic
regression to examine factors associated with the decision to take daily
tenofovir as PrEP, the decision to return for at least one PrEP follow-up visit,
and greater than 90% adherence to PrEP. FINDINGS: Between Aug 1, 2013, and Aug
31, 2014, 1348 (58%) of the 2306 surviving BTS participants returned to the
clinics, 33 of whom were excluded because they had HIV (n=27) or grade 2-4
creatinine results (n=6). 798 (61%) of the 1315 eligible participants chose to
start open-label PrEP and were followed up for a median of 335 days (IQR 0-364).
339 (42%) participants completed 12 months of follow-up; 220 (28%) did not return
for any follow-up visits. Participants who were 30 years or older (odds ratio
[OR] 1.8, 95% CI 1.4-2.2; p<0.0001), injected heroin (OR 1.5, 1.1-2.1; p=0.007),
or had been in prison (OR 1.7, 1.3-2.1; p<0.0001) during the randomised trial
were more likely to choose PrEP than were those without these characteristics.
Participants who reported injecting heroin or being in prison during the 3 months
before open-label enrolment were more likely to return for at least one open
label follow-up visit than those who did not report injecting heroin (OR 3.0, 95
% CI 1.3-7.3; p=0.01) or being in prison (OR 2.3, 1.4-3.7; p=0.0007).
Participants who injected midazolam or were in prison during open-label follow-up
were more likely to be greater than 90% adherent than were those who did not
inject midazolam (OR 2.2, 95% CI 1.2-4.3; p=0.02) or were not in prison (OR 4.7,
3.1-7.2; p<0.0001). One participant tested positive for HIV, yielding an HIV
incidence of 2.1 (95% CI 0.05-11.7) per 1000 person-years. No serious adverse
events related to tenofovir use were reported. INTERPRETATION: More than 60% of
returning, eligible BTS participants started PrEP, which indicates that a
substantial proportion of PWID who are knowledgeable about PrEP might be
interested in taking it. Participants who had injected heroin or been in prison
were more likely to choose to take PrEP, suggesting that participants based their
decision to take PrEP, at least in part, on their perceived risk of incident HIV
infection. FUNDING: US Centers for Disease Control and Prevention and the Bangkok
Metropolitan Administration.
PMID- 27866874
TI - PAR1 Scaffolds TGFbetaRII to Downregulate TGF-beta Signaling and Activate ESC
Differentiation to Endothelial Cells.
AB - We studied the function of the G-protein-coupled receptor PAR1 in mediating the
differentiation of mouse embryonic stem cells (mESCs) to endothelial cells (ECs)
that are capable of inducing neovascularization. We observed that either deletion
or activation of PAR1 suppressed mouse embryonic stem cell (mESC) differentiation
to ECs and neovascularization in mice. This was mediated by induction of
TGFbetaRII/TGFbetaRI interaction, forming an active complex, which in turn
induced SMAD2 phosphorylation. Inhibition of TGF-beta signaling in PAR1-deficient
mESCs restored the EC differentiation potential of mESCs. Thus, PAR1 in its
inactive unligated state functions as a scaffold for TGFbetaRII to downregulate
TGF-beta signaling, and thereby promote ESC transition to functional ECs. The
PAR1 scaffold function in ESCs is an essential mechanism for dampening TGF-beta
signaling and regulating ESC differentiation.
PMID- 27866875
TI - p53 and p73 Regulate Apoptosis but Not Cell-Cycle Progression in Mouse Embryonic
Stem Cells upon DNA Damage and Differentiation.
AB - Embryonic stem cells (ESCs) are fast proliferating cells capable of
differentiating into all somatic cell types. In somatic cells, it is well
documented that p53 is rapidly activated upon DNA damage to arrest the cell cycle
and induce apoptosis. In mouse ESCs, p53 can also be functionally activated, but
the precise biological consequences are not well characterized. Here, we
demonstrated that doxorubicin treatment initially led to cell-cycle arrest at
G2/M in ESCs, followed by the occurrence of massive apoptosis. Neither p53 nor
its target gene p73 was required for G2/M arrest. Instead, p53 and p73 were fully
responsible for apoptosis. p53 and p73 were also required for differentiation
induced apoptosis in mouse ESCs. In addition, doxorubicin treatment induced the
expression of retinoblastoma protein in a p53-dependent manner. Therefore, both
p53 and p73 are critical in apoptosis induced by DNA damage and differentiation.
PMID- 27866877
TI - [Resistant or refractory arterial hypertension?]
PMID- 27866876
TI - PRDM14 Drives OCT3/4 Recruitment via Active Demethylation in the Transition from
Primed to Naive Pluripotency.
AB - Primordial germ cells (PGCs) are specified from epiblast cells in mice. Genes
associated with naive pluripotency are repressed in the transition from inner
cell mass to epiblast cells, followed by upregulation after PGC specification.
However, the molecular mechanisms underlying the reactivation of pluripotency
genes are poorly characterized. Here, we exploited the in vitro differentiation
of epiblast-like cells (EpiLCs) from embryonic stem cells (ESCs) to elucidate the
molecular and epigenetic functions of PR domain-containing 14 (PRDM14). We found
that Prdm14 overexpression in EpiLCs induced their conversion to ESC-like cells
even in the absence of leukemia inhibitory factor in adherent culture. This was
impaired by the loss of Kruppel-like factor 2 and ten-eleven translocation (TET)
proteins. Furthermore, PRDM14 recruited OCT3/4 to the enhancer regions of naive
pluripotency genes via TET-base excision repair-mediated demethylation. Our
results provide evidence that PRDM14 establishes a transcriptional network for
naive pluripotency via active DNA demethylation.
PMID- 27866878
TI - [Integrated Management Area of Vascular Risk: A new organisational model for
global control of risk factors].
AB - Cardiovascular disease (CVD), is a major cause of morbidity and mortality that
increases the cost of care. Currently there is a low degree of control of the
main cardiovascular risk factors, although we have a good therapeutic arsenal. To
achieve the improvement of this reality, a good coordination and
multidisciplinary participation are essential. The development of new
organizational models such as the Integrated Management Area of Vascular Risk can
facilitate the therapeutic harmonization and unification of the health messages
offered by different levels of care, based on clinical practice guidelines, in
order to provide patient-centred integrated care.
PMID- 27866879
TI - Differences in bite force between dolichofacial and brachyfacial individuals:
Side of mastication, gender, weight and height.
AB - PURPOSE: Due to the bite force importance in functionality of the masticatory
system, this study aimed to characterize it in dolichofacial and brachyfacial
individuals. METHODS: A sample comprised by 190 patients was divided into two
groups: 90 severe dolichofacial, and 100 severe brachyfacial individuals
classified according to the VERT index and the face height ratio (Jarabak
quotient). Bite force was measured by using an adjusted digital dynamometer and
proper methodology. RESULTS: The sample met the parametric assumptions and
presented statistical significance when right and left sides of dolichofacial and
brachyfacial individuals were compared. However, within the same group, no
differences between the left and right sides were found. Generally, bite force
was higher for male, left masticator, age between 41-50 years, weighing over
100kg and between 1.81 and 1.90m tall. CONCLUSIONS: Based on the results of this
cross-sectional study, it was possible to conclude that the bite force in severe
brachyfacial individuals was significantly higher than in severe dolichofacial
individuals, being influenced by gender, weight and height.
PMID- 27866880
TI - Efficacy of Sterile Fecal Filtrate Transfer for Treating Patients With
Clostridium difficile Infection.
AB - BACKGROUND & AIMS: Fecal microbiota transplantation (FMT) is a highly effective
therapy for recurrent Clostridium difficile infection (CDI). However,
transferring undefined living bacteria entails uncontrollable risks for
infectious and metabolic or malignant diseases, particularly in immunocompromised
patients. We investigated whether sterile fecal filtrates (containing bacterial
debris, proteins, antimicrobial compounds, metabolic products, and
oligonucleotides/DNA), rather than intact microorganisms, are effective in
patients with CDI. METHODS: We performed a clinical case series to investigate
the effects of fecal filtrate transfer (FFT) in 5 patients with symptomatic
chronic-relapsing CDI at the Department of Internal Medicine I at the University
Hospital Schleswig-Holstein (Kiel, Germany). Patients were followed up for at
least 6 months and for up to 33 months. Stool was collected from 5 donors
selected by the patients, and fully characterized according to FMT standards.
Stool was sterile-filtered to remove small particles and bacteria; the filtrate
was transferred to patients in a single administration via nasojejunal tube.
Fecal samples were collected from patients before and at 1 week and 6 weeks after
FFT. Microbiome, virome, and proteome profiles of donors and patients were
compared. RESULTS: In all 5 patients, FFT restored normal stool habits and
eliminated symptoms of CDI for a minimum period of 6 months. Proteome analyses of
selected FFT filtrates showed no obvious protein candidates associated with
therapeutic efficacy. 16S ribosomal RNA gene sequencing detected diverse
bacterial DNA signatures in the filtrates. Analysis of virus-like particles from
a filtrate found to reduce symptoms of CDI showed a complex signature of
bacteriophages. Bacterial phylogeny and virome profile analyses of fecal samples
from recipients indicated longitudinal changes in microbial and viral community
structures after FFT. CONCLUSIONS: A preliminary investigation of 5 patients with
CDI shows that transfer of sterile filtrates from donor stool (FFT), rather than
fecal microbiota, can be sufficient to restore normal stool habits and eliminate
symptoms. This finding indicates that bacterial components, metabolites, or
bacteriophages mediate many of the effects of FMT, and that FFT might be an
alternative approach, particularly for immunocompromised patients.
PMID- 27866881
TI - [Failure to patients with appointments in primary care].
PMID- 27866882
TI - Commentary on "The Endovascular Sealing Device in Combination with Parallel
Grafts for Treatment of Juxta/Suprarenal Abdominal Aortic Aneurysms: Short-term
Results of a Novel Alternative".
PMID- 27866883
TI - Comparison of contraction among three dermal substitutes: Morphological
differences in scaffolds.
AB - Various kinds of synthetic dermal substitutes are produced and used in clinical
application and contribute to wound bed preparation for subsequent skin grafting.
Although their appearance and outcomes are different, the criteria for the
selection of proper synthetic dermal substitutes is not well defined yet. In this
study, we focused on the contraction of dermal substitutes and compared the
morphological differences in scaffolds. A marked contraction was observed with
Pelnac(r) compared to Integra(r) and Terudermis(r) in vitro. We also showed that
the pore size of Pelnac(r) was smaller than that of Integra(r) and Terudermis(r).
The shape of the pore was oval in Pelnac(r), whereas those in Integra(r) and
Terudermis(r) were more circular. Differences in the morphological structure may
have affected the contraction of the synthetic dermal substitutes.
PMID- 27866884
TI - MicroRNA biomarkers of pancreatic injury in a canine model.
AB - Pancreas-enriched microRNAs have been experimentally investigated in rodents as
candidate serum biomarkers of pancreatic injury with several different acute
pancreatic injury models. In the present study, temporal and magnitude responses
of exocrine pancreas-enriched miR-216a, miR-216b, and miR-217 and endocrine
enriched miR-375 and miR-148a were measured by droplet digital PCR in serum in a
caerulein model of pancreatic injury in the dog. All 5 microRNAs followed a
similar time course that mirrored the responses of the conventional serum
pancreatic injury biomarkers, amylase and lipase. Detection was improved through
the use of assays designed against microRNA isomers (isomirs) identified by
sequencing. Serum biomarker increases were concordant with histopathology defined
acinar cell injury. Minimal islet cell changes were noted. The pancreas-enriched
microRNAs demonstrated similar or greater sensitivity, a larger range of
response, and a higher correlation to acinar cell injury compared to amylase and
lipase. Our results further support the translational potential of pancreas
enriched microRNAs as sensitive biomarkers of acinar cell injury with evidence
from an additional non-clinical model system.
PMID- 27866885
TI - Surgical Planning for Flexor Digitorum Longus Tendon Transfers: An Anatomic
Study.
AB - Posterior tibial tendon dysfunction is often coupled with various degrees of
hindfoot valgus and equinus. Preoperative planning is essential to appropriate
procedure choice and surgical efficiency. The purpose of the present study was to
assess the anatomy at the harvest site for flexor digitorum longus tendon
transfer, specifically at the master knot of Henry. Thirty fresh-frozen below-the
knee cadavers were used for dissection. A standard anatomic approach was
performed for posterior tibial tendon debridement and flexor digitorum longus
tendon transfer. The flexor digitorum longus tendon was harvested and measured at
the master knot of Henry. The present anatomic study evaluated the tendon width
of the flexor digitorum longus tendon at a common harvest site. Of the 30
specimens, 20 (67%) measured 5 mm and 10 (33%) measured 4 mm. A 5.0-mm
interference screw would be acceptable in each specimen and therefore would be
the safest choice. A 4.0-mm interference screw would be acceptable in only 33% of
the specimens. Males have a slightly more robust flexor digitorum longus tendon
than females at the harvest site. This information will assist surgeons in
preoperative planning during stage II flatfoot correction for posterior tibial
tendon dysfunction.
PMID- 27866886
TI - Concomitant Contracture of the Knee and Ankle Joint After Gastrocnemius Muscle
Rupture: A Case Report.
AB - Injury of the medial head of the gastrocnemius, also called "tennis leg," is
known to heal uneventfully in most cases with compression and immobilization
therapy. Failure to heal or long-term complications, including ongoing pain and
pes equinus, have been documented in only a limited number of case reports. To
the best of our knowledge, a severe concomitant contracture of the knee and ankle
joint as a consequence of a maltreated gastrocnemius muscle rupture has not been
previously reported in English-language reports. The purpose of the present study
was to report a serious complication of neglected tennis leg with a review of the
published data.
PMID- 27866887
TI - Quality of Early Union After First Metatarsophalangeal Joint Arthrodesis.
AB - The aim of the present retrospective cohort study was to assess the quality of
union and the clinical outcomes in patients who had undergone first
metatarsophalangeal joint (MTPJ) fusion using a dorsal plate and plantar lag
screw. From March 2011 to December 2012, the clinical and radiographic data of 39
patients (41 feet) who had undergone first MTPJ fusion using a compressive
locking plate were retrospectively reviewed. All patients had undergone
postoperative computed tomography at 6 weeks postoperatively to assess union. The
average metatarsophalangeal angles improved from 23 degrees +/- 16 degrees
preoperatively to 14 degrees +/- 5 degrees postoperatively. The dorsiflexion of
the hallux at the preoperative assessment averaged 17 degrees +/- 11 degrees
and 23 degrees +/- 5 degrees postoperatively. At 6 weeks postoperatively, the
computed tomography scans demonstrated 3 complete fusions (7.3 %) and 38 partial
unions (92.7%). Also at 6 weeks, the mean +/- standard deviation joint bridging
was 54% +/- 14.6%. The forefoot American Orthopaedic Foot and Ankle Society scale
score had improved significantly from 50 +/- 13 preoperatively to 80 +/- 7 at >1
year of follow-up (p = .001). Hardware removal was performed in 8 cases because
of pain in 7 and infection in 1. Revision arthrodesis was required in 2 cases
because of nonunion. At 6 weeks postoperatively, partial bony joint bridging
could be observed in most cases after arthrodesis of the first MTPJ with the
dorsal fusion plate.
PMID- 27866888
TI - Comparative Analysis of Ciliary Membranes and Ectosomes.
AB - Primary and motile cilia/flagella function as cellular antennae, receiving
signals from the environment and subsequently activating signaling pathways that
are critical for cellular homeostasis and differentiation [1-3]. Recent work with
the green alga Chlamydomonas and the nematode C. elegans demonstrated that
ectosomes can be released from the cilium and can mediate the intercellular
communication [4-9]. To better understand the function of flagellar ectosomes, we
have compared their protein composition to that of the flagellar membrane from
which they are derived. Ectosomes released from flagella have a unique protein
composition, being enriched in a subset of flagellar membrane proteins,
proteases, proteins from the endosomal sorting complex required for transport
(ESCRT) [10-12], small GTPases, and ubiquitinated proteins. Live imaging showed
that an ESCRT-related protein (PDCD6) was enriched in ectosomes released from
flagella during gamete activation. We devised a sensitive and rapid assay to
monitor ectosome release using luciferase fused to PDCD6 and a mutated ubiquitin.
Ectosome release increased when cells underwent flagellar resorption. Knockdown
of two ESCRT-related proteins, PDCD6 and VPS4, attenuated ectosome release during
flagellar shortening and shortening was slowed. These data suggest that the ESCRT
proteins mediate ectosome release and thereby influence flagellar shortening in
Chlamydomonas. In addition, the prevalence of receptors such as agglutinin and
ubiquitinated proteins in ciliary ectosomes suggests that they are involved in
cell signaling and turnover of ciliary proteins.
PMID- 27866890
TI - Genome Sequence of a 5,310-Year-Old Maize Cob Provides Insights into the Early
Stages of Maize Domestication.
AB - The complex evolutionary history of maize (Zea mays L. ssp. mays) has been
clarified with genomic-level data from modern landraces and wild teosinte grasses
[1, 2], augmenting archaeological findings that suggest domestication occurred
between 10,000 and 6,250 years ago in southern Mexico [3, 4]. Maize rapidly
evolved under human selection, leading to conspicuous phenotypic transformations,
as well as adaptations to varied environments [5]. Still, many questions about
the domestication process remain unanswered because modern specimens do not
represent the full range of past diversity due to abandonment of unproductive
lineages, genetic drift, on-going natural selection, and recent breeding
activity. To more fully understand the history and spread of maize, we
characterized the draft genome of a 5,310-year-old archaeological cob excavated
in the Tehuacan Valley of Mexico. We compare this ancient sample against a
reference panel of modern landraces and teosinte grasses using D statistics,
model-based clustering algorithms, and multidimensional scaling analyses,
demonstrating the specimen derives from the same source population that gave rise
to modern maize. We find that 5,310 years ago, maize in the Tehuacan Valley was
on the whole genetically closer to modern maize than to its wild counterpart.
However, many genes associated with key domestication traits existed in the
ancestral state, sharply contrasting with the ubiquity of derived alleles in
living landraces. These findings suggest much of the evolution during
domestication may have been gradual and encourage further paleogenomic research
to address provocative questions about the world's most produced cereal.
PMID- 27866889
TI - The Mechanism Forming the Cell Surface of Tip-Growing Rooting Cells Is Conserved
among Land Plants.
AB - To discover mechanisms that controlled the growth of the rooting system in the
earliest land plants, we identified genes that control the development of
rhizoids in the liverwort Marchantia polymorpha. 336,000 T-DNA transformed lines
were screened for mutants with defects in rhizoid growth, and a de novo genome
assembly was generated to identify the mutant genes. We report the identification
of 33 genes required for rhizoid growth, of which 6 had not previously been
functionally characterized in green plants. We demonstrate that members of the
same orthogroup are active in cell wall synthesis, cell wall integrity sensing,
and vesicle trafficking during M. polymorpha rhizoid and Arabidopsis thaliana
root hair growth. This indicates that the mechanism for constructing the cell
surface of tip-growing rooting cells is conserved among land plants and was
active in the earliest land plants that existed sometime more than 470 million
years ago [1, 2].
PMID- 27866891
TI - Confidence Is the Bridge between Multi-stage Decisions.
AB - Demanding tasks often require a series of decisions to reach a goal. Recent
progress in perceptual decision-making has served to unite decision accuracy,
speed, and confidence in a common framework of bounded evidence accumulation,
furnishing a platform for the study of such multi-stage decisions. In many
instances, the strategy applied to each decision, such as the speed-accuracy
trade-off, ought to depend on the accuracy of the previous decisions. However, as
the accuracy of each decision is often unknown to the decision maker, we
hypothesized that subjects may carry forward a level of confidence in previous
decisions to affect subsequent decisions. Subjects made two perceptual decisions
sequentially and were rewarded only if they made both correctly. The speed and
accuracy of individual decisions were explained by noisy evidence accumulation to
a terminating bound. We found that subjects adjusted their speed-accuracy setting
by elevating the termination bound on the second decision in proportion to their
confidence in the first. The findings reveal a novel role for confidence and a
degree of flexibility, hitherto unknown, in the brain's ability to rapidly and
precisely modify the mechanisms that control the termination of a decision.
PMID- 27866893
TI - Effective Connectivity Reveals Largely Independent Parallel Networks of Face and
Body Patches.
AB - The primate brain processes objects in the ventral visual pathway. One object
category, faces, is processed in a hierarchical network of interconnected areas
along this pathway. It remains unknown whether such an interconnected network is
specific for faces or whether there are similar networks for other object
classes. For example, the primate inferotemporal cortex also contains a set of
body-selective patches, adjacent to the face-selective patches, but it is not
known whether these body-selective patches form a similar discretely connected
network or whether cross-talk exists between the face- and body-processing
systems. To address these questions, we combined fMRI with electrical
microstimulation to determine the effective connectivity of fMRI-defined face and
body patches. We found that microstimulation of face patches caused increased
fMRI activation throughout the face-processing system; microstimulation of the
body patches gave similar results restricted to the body-processing system.
Critically, our results revealed largely segregated connectivity patterns for the
body and face patches. These results suggest that face and body patches form two
interconnected hierarchical networks that are largely separated within the monkey
inferotemporal cortex. Only a restricted number of voxels were activated by
stimulation of both the body and face patches. The latter regions may be
important for the integration of face and body information. Our findings are not
only essential to advance our understanding of the neural circuits that enable
social cognition, but they also provide further insights into the organizing
principles of the inferotemporal cortex.
PMID- 27866892
TI - Tropomyosin and Profilin Cooperate to Promote Formin-Mediated Actin Nucleation
and Drive Yeast Actin Cable Assembly.
AB - Tropomyosins comprise a large family of actin-binding proteins with critical
roles in diverse actin-based processes [1], but our understanding of how they
mechanistically contribute to actin filament dynamics has been limited. We
addressed this question in S. cerevisiae, where tropomyosins (Tpm1 and Tpm2),
profilin (Pfy1), and formins (Bni1 and Bnr1) are required for the assembly of an
array of actin cables that facilitate polarized vesicle delivery and daughter
cell growth. Formins drive cable formation by promoting actin nucleation and by
accelerating actin filament elongation together with profilin [2]. In contrast,
how tropomyosins contribute mechanistically to cable formation has been unclear,
but genetic studies demonstrate that Tpm1 plays a more important role than Tpm2
[3, 4]. Here, we found that loss of TPM1 in strains lacking BNR1, but not BNI1,
leads to severe defects in cable formation, polarized secretion, and cell growth,
suggesting that TPM1 function is required for proper Bni1-mediated cable
assembly. Furthermore, in vitro total internal reflection fluorescence (TIRF)
microscopy demonstrated that Tpm1 strongly enhances Bni1-mediated, but not Bnr1
mediated, actin nucleation without affecting filament elongation rate, whereas
Tpm2 has no effects on Bni1 or Bnr1. Tpm1 stimulation of Bni1-mediated nucleation
also requires profilin and its interactions with both G-actin and formins.
Together, these results demonstrate that yeast Tpm1 works in concert with
profilin to promote formin-dependent nucleation of actin cables, thus expanding
our understanding of how specific tropomyosin isoforms influence actin dynamics.
PMID- 27866894
TI - SYP73 Anchors the ER to the Actin Cytoskeleton for Maintenance of ER Integrity
and Streaming in Arabidopsis.
AB - The endoplasmic reticulum (ER) is an essential organelle that spreads throughout
the cytoplasm as one interconnected network of narrow tubules and dilated
cisternae that enclose a single lumen. The ER network undergoes extensive
remodeling, which critically depends on membrane-cytoskeleton interactions [1].
In plants, the ER is also highly mobile, and its streaming contributes
significantly to the movement of other organelles [2, 3]. The remodeling and
motility of the plant ER rely mainly on actin [4] and to a minor extent on
microtubules [5]. Although a three-way interaction between the ER, cytosolic
myosin-XI, and F-actin mediates the plant ER streaming [6], the mechanisms
underlying stable interaction of the ER membrane with actin are unknown. Early
electron microscopy studies suggested a direct attachment of the plant ER with
actin filaments [7, 8], but it is plausible that yet-unknown proteins facilitate
anchoring of the ER membrane with the cytoskeleton. We demonstrate here that
SYP73, a member of the plant Syp7 subgroup of SNARE proteins [9] containing actin
binding domains, is a novel ER membrane-associated actin-binding protein. We show
that overexpression of SYP73 causes a striking rearrangement of the ER over actin
and that, similar to mutations of myosin-XI [4, 10, 11], loss of SYP73 reduces ER
streaming and affects overall ER network morphology and plant growth. We propose
a model for plant ER remodeling whereby the dynamic rearrangement and streaming
of the ER network depend on the propelling action of myosin-XI over actin coupled
with a SYP73-mediated bridging, which dynamically anchors the ER membrane with
actin filaments.
PMID- 27866895
TI - Empty Niches after Extinctions Increase Population Sizes of Modern Corals.
AB - Large environmental fluctuations often cause mass extinctions, extirpating
species and transforming communities [1, 2]. While the effects on community
structure are evident in the fossil record, demographic consequences for
populations of individual species are harder to evaluate because fossils reveal
relative, but not absolute, abundances. However, genomic analyses of living
species that have survived a mass extinction event offer the potential for
understanding the demographic effects of such environmental fluctuations on
extant species. Here, we show how environmental variation since the Pliocene has
shaped demographic changes in extant corals of the genus Orbicella, major extant
reef builders in the Caribbean that today are endangered. We use genomic
approaches to estimate previously unknown current and past population sizes over
the last 3 million years. Populations of all three Orbicella declined around 2-1
million years ago, coincident with the extinction of at least 50% of Caribbean
coral species. The estimated changes in population size are consistent across the
three species despite their ecological differences. Subsequently, two shallow
water specialists expanded their population sizes at least 2-fold, over a time
that overlaps with the disappearance of their sister competitor species O. nancyi
(the organ-pipe Orbicella). Our study suggests that populations of Orbicella
species are capable of rebounding from reductions in population size under
suitable conditions and that the effective population size of modern corals
provides rich standing genetic variation for corals to adapt to climate change.
For conservation genetics, our study suggests the need to evaluate genetic
variation under appropriate demographic models.
PMID- 27866896
TI - Performance of the irregular surface compensator compared with four-field box and
intensity modulated radiation therapy for gynecologic cancer.
AB - PURPOSE: A retrospective planning study was undertaken to evaluate the dosimetric
advantages of the irregular surface compensator (ISC) technique, a forward
planning technique with electronic compensation algorithm available on Varian
Eclipse treatment planning system. This was extensively compared to the
conventional four-field box (4FB) and intensity modulated radiation therapy using
5 fields (IMRT5F) on gynecologic cancer patients. METHODS: Twenty-two patients
were enrolled. The prescribed dose was 50.4Gy in 28 fractions to the primary
target including pelvic lymph nodes. 4FB treatment plans were generated, then
fluence of anterior and posterior fields were modified to generate ISC plans.
IMRT5F were inversely optimized with equally spaced five coplanar fields. Dose
volume parameters were evaluated for the comparison of three planning techniques.
The MU and delivery time were also estimated. RESULTS: In terms of target
coverage, the conformity and homogeneity index of ISC (1.67 and 1.03,
respectively) were superior to those of 4FB (2.43 and 1.06, respectively) but
slightly inferior to those of IMRT5F (1.10 and 1.02, respectively). ISC also
illustrated an overall improvement in normal organ saving. Compared to 4FB, the
mean dose of the rectum was reduced by about 4.0-5.0Gy with ISC and IMRT5F. The
volume receiving large doses was reduced for bladder with statistical
significance with ISC and more with IMRT5F relative to 4FB. The mean number of MU
per fraction were 200.86 (4FB), 446.09 (ISC) and 895.59 (IMRT5F). CONCLUSION: The
ISC technique has the superior target coverage and healthy tissue sparing in
comparison with conventional 4FB and comparable normal organ saving compared to
IMRT5F. The ISC can be an available option for gynecologic radiotherapy.
PMID- 27866897
TI - Dosimetric and bremsstrahlung performance of a single convergent beam for
teletherapy device.
AB - The present work investigates preliminary feasibility and characteristics of a
new type of radiation therapy modality based on a single convergent beam of
photons. The proposal consists of the design of a device capable of generating
convergent X-ray beams useful for radiotherapy. The main goal is to achieve high
concentrated dose delivery. The first step is an analytical approach in order to
characterize the dosimetric performance of the hypothetical convergent photon
beam. Then, the validated FLUKA Monte Carlo main code is used to perform complete
radiation transport to account also for scattering effects. The proposed method
for producing convergent X-rays is mainly based on the bremsstrahlung effect.
Hence the operating principle of the proposed device is described in terms of
bremsstrahlung production. The work is mainly devoted characterizing the effect
on the bremsstrahlung yield due to accessories present in the device, like anode
material and geometry, filtration and collimation systems among others. The
results obtained for in-depth dose distributions, by means of analytical and
stochastic approaches, confirm the presence of a high dose concentration around
the irradiated target, as expected. Moreover, it is shown how this spot of high
dose concentration depends upon the relevant physical properties of the produced
convergent photon beam. In summary, the proposed design for producing single
convergent X-rays attained satisfactory performance for achieving high dose
concentration around small targets depending on beam spot size that may be used
for some applications in radiotherapy, like radiosurgery.
PMID- 27866899
TI - Potential of compact Compton sources in the medical field.
AB - The exceptional improvement of high power lasers and optical cavity finesses in
the last fifteen years allows today the development of X-ray sources based on
inverse Compton scattering. These compact sources will provide high intensity
beams, with a tunable energy in the range 20-100keV, that can be used in several
application including material sciences, structural biology, cultural heritage
research and preservation and medical or biomedical preclinical and clinical
research. The access to these devices will be easier. Methods currently used only
in synchrotron facilities will be available in dedicated work environment such as
hospitals, laboratories or museums. Several machines are in design or
construction phase, and aim at producing 1012-1014ph/s. The ThomX machine is the
most advanced project and has the potential to be used as the radiation source
for biomedical searches, clinical imaging techniques or radiotherapy programs.
PMID- 27866898
TI - Optimizing dose enhancement with Ta2O5 nanoparticles for synchrotron microbeam
activated radiation therapy.
AB - Microbeam Radiation Therapy (MRT) exploits tumour selectivity and normal tissue
sparing with spatially fractionated kilovoltage X-ray microbeams through the dose
volume effect. Experimental measurements with Ta2O5 nanoparticles (NPs) in 9L
gliosarcoma treated with MRT at the Australian Synchrotron, increased the
treatment efficiency. Ta2O5 NPs were observed to form shells around cell nuclei
which may be the reason for their efficiency in MRT. In this article, our
experimental observation of NP shell formation is the basis of a Geant4 radiation
transport study to characterise dose enhancement by Ta2O5 NPs in MRT. Our study
showed that NP shells enhance the physical dose depending microbeam energy and
their location relative to a single microbeam. For monochromatic microbeam
energies below ~70keV, NP shells show highly localised dose enhancement due to
the short range of associated secondary electrons. Low microbeam energies
indicate better targeted treatment by allowing higher microbeam doses to be
administered to tumours and better exploit the spatial fractionation related
selectivity observed with MRT. For microbeam energies above ~100keV, NP shells
extend the physical dose enhancement due to longer-range secondary electrons.
Again, with NPs selectively internalised, the local effectiveness of MRT is
expected to increase in the tumour. Dose enhancement produced by the shell
aggregate varied more significantly in the cell population, depending on its
location, when compared to a homogeneous NP distribution. These combined
simulation and experimental data provide first evidence for optimising MRT
through the incorporation of newly observed Ta2O5 NP distributions within 9L
cancer cells.
PMID- 27866902
TI - The atypical antipsychotic olanzapine disturbs depotentiation by modulating
mAChRs and impairs reversal learning.
AB - Antipsychotic medication is an essential component for treating schizophrenia,
which is a serious mental disorder that affects approximately 1% of the global
population. Olanzapine (Olz), one of the most frequently prescribed atypical
antipsychotics, is generally considered a first-line drug for treating
schizophrenia. In contrast to psychotic symptoms, the effects of Olz on cognitive
symptoms of schizophrenia are still unclear. In addition, the mechanisms by which
Olz affects the neural circuits associated with cognitive function are unknown.
Here we show that Olz interrupts depotentiation (reversal of long-term
potentiation) without disturbing de novo LTP (long-term potentiation) and LTD
(long-term depression). At hippocampal SC-CA1 synapses, inhibition of NMDARs (N
methyl-d-aspartate receptors), mGluRs (metabotropic glutamate receptors), or
mAChRs (muscarinic acetylcholine receptors) disrupted depotentiation. In
addition, co-activation of NMDARs, mGluRs, and mAChRs reversed stably expressed
LTP. Olz inhibits the activation of mAChRs, which amplifies glutamate signaling
through enhanced NMDAR opening and Gq (Gq class of G protein)-mediated signal
transduction. Behaviorally, Olz impairs spatial reversal learning of mice in the
Morris water maze test. Our results uncover a novel mechanism underpinning the
cognitive modulation of Olz and show that the anticholinergic property of Olz
affects glutamate signaling and synaptic plasticity.
PMID- 27866900
TI - A Viral Deamidase Targets the Helicase Domain of RIG-I to Block RNA-Induced
Activation.
AB - RIG-I detects double-stranded RNA (dsRNA) to trigger antiviral cytokine
production. Protein deamidation is emerging as a post-translational modification
that chiefly regulates protein function. We report here that UL37 of herpes
simplex virus 1 (HSV-1) is a protein deamidase that targets RIG-I to block RNA
induced activation. Mass spectrometry analysis identified two asparagine residues
in the helicase 2i domain of RIG-I that were deamidated upon UL37 expression or
HSV-1 infection. Deamidation rendered RIG-I unable to sense viral dsRNA, thus
blocking its ability to trigger antiviral immune responses and restrict viral
replication. Purified full-length UL37 and its carboxyl-terminal fragment were
sufficient to deamidate RIG-I in vitro. Uncoupling RIG-I deamidation from HSV-1
infection, by engineering deamidation-resistant RIG-I or introducing deamidase
deficient UL37 into the HSV-1 genome, restored RIG-I activation and antiviral
immune signaling. Our work identifies a viral deamidase and extends the paradigm
of deamidation-mediated suppression of innate immunity by microbial pathogens.
PMID- 27866903
TI - Emerging concepts in T follicular helper cell responses to malaria.
AB - Antibody responses to malaria and candidate malaria vaccines are short-lived in
children, leaving them susceptible to repeated malaria episodes. Because T
follicular helper (TFH) cells provide critical help to B cells to generate long
lived antibody responses, they have become the focus of recent studies of
Plasmodium-infected mice and humans. The emerging data converge on common themes,
namely, that malaria-induced TH1 cytokines are associated with the activation of
(i) T-like memory TFH cells with impaired B cell helper function, and (ii) pre
TFH cells that acquire Th1-like features (T-bet expression, IFN-gamma
production), which impede their differentiation into fully functional TFH cells,
thus resulting in germinal center dysfunction and suboptimal antibody responses.
Deeper knowledge of TFH cells in malaria could illuminate strategies to improve
vaccines through modulating TFH cell responses. This review summarizes emerging
concepts in TFH cell responses to malaria.
PMID- 27866901
TI - Histones Are Rapidly Loaded onto Unintegrated Retroviral DNAs Soon after Nuclear
Entry.
AB - Chromosomal structure of nuclear DNA is usually maintained by insertion of
nucleosomes into preexisting chromatin, both on newly synthesized DNA at
replication forks and at sites of DNA damage. But during retrovirus infection, a
histone-free DNA copy of the viral genome is synthesized that must be loaded with
nucleosomes de novo. Here, we show that core histones are rapidly loaded onto
unintegrated Moloney murine leukemia virus DNAs. Loading of nucleosomes requires
nuclear entry, but does not require viral DNA integration. The histones
associated with unintegrated DNAs become marked by covalent modifications, with a
delay relative to the time of core histone loading. Expression from unintegrated
DNA can be enhanced by modulation of the histone-modifying machinery. The data
show that histone loading onto unintegrated DNAs occurs very rapidly after
nuclear entry and does not require prior establishment of an integrated provirus.
PMID- 27866904
TI - Assessment of the national schistosomiasis control program in a typical region
along the Yangtze River, China.
AB - Schistosomiasis remains a major public health problem in eastern China,
particularly along the Yangtze River Basin. The latest national schistosomiasis
control program (NSCP) was implemented in 2005 with the main goal of reducing the
rate of infection to less than 5% by 2008 and 1% by 2015. To assess the progress,
we applied a Bayesian spatio-temporal model to describe dynamics of
schistosomiasis in Guichi, Anhui Province, China, using annual parasitological
and environmental data collected within 41 sample villages for the period 2005
2011. Predictive maps of schistosomiasis showed that the disease prevalence
remains constant and low. Results of uncertainty analysis, in the form of
probability contour maps (PCMs), indicated that the first goal of "infection rate
less than 5% by 2008" was fully achieved in the study area. More longitudinal
data for schistosomiasis are needed for the assessment of the second goal of
"infection rate less than 1% by 2015". Compared with the traditional way of
mapping uncertainty (e.g., variance or mean-square error), our PCMs provide more
realistic information for schistosomiasis control.
PMID- 27866905
TI - KirrelL, a member of the Ig-domain superfamily of adhesion proteins, is essential
for fusion of primary mesenchyme cells in the sea urchin embryo.
AB - In the sea urchin embryo, primary mesenchyme cells (PMCs) adhere to one another
and fuse via filopodia, forming cable-like structures within which skeletal rods
are deposited. Although this process was first described more than a century ago,
molecules that participate in PMC adhesion and fusion have not been identified.
Here we show that KirrelL, a PMC-specific, Ig domain-containing transmembrane
protein, is essential for PMC fusion, probably by mediating filopodial adhesions
that are a pre-requisite for subsequent membrane fusion. We show that KirrelL is
not required for PMC specification, migration, or for direct filopodial contacts
between PMCs. In the absence of KirrelL, however, filopodial contacts do not
result in fusion. kirrelL is a member of a family of closely related, intronless
genes that likely arose through an echinoid-specific gene expansion, possibly via
retrotransposition. Our findings are significant in that they establish a direct
linkage between the transcriptional network deployed in the PMC lineage and an
effector molecule required for a critically important PMC morphogenetic process.
In addition, our results point to a conserved role for Ig domain-containing
adhesion proteins in facilitating cell fusion in both muscle and non-muscle cell
lineages during animal development.
PMID- 27866906
TI - Successful closure of a cryotherapy-induced bleeding jejunal perforation with the
over-the-scope clip system.
PMID- 27866907
TI - Radiofrequency ablation for intraductal extension of ampullary neoplasms.
AB - BACKGROUND AND AIMS: Extension of ampullary adenomas into the common bile duct
(CBD) or pancreatic duct (PD) may be difficult to treat endoscopically. We
evaluated the feasibility, safety, and efficacy of endoscopic radiofrequency
ablation (RFA) in the management of ampullary neoplasms with intraductal
extension. METHODS: This was a multicenter, retrospective analysis of all
patients with intraductal extension of ampullary neoplasms treated with
endoscopic RFA between February 2012 and June 2015. Treatment success was defined
as the absence of detectable intraductal polyps by ductography, visual
inspection, and biopsy sampling. RESULTS: Fourteen patients with adenoma
extension into the CBD (13 +/- 7 mm, n = 14) and PD (7 +/- 2 mm, n = 3) underwent
a median of 1 RFA sessions (range, 1-5). Additional modalities (thermal probes,
argon plasma coagulation, and/or photodynamic therapy) were also used in 7
patients, and prophylactic stents were routinely placed. Thirteen assessable
patients underwent a median of 2 surveillance ERCPs after completion of treatment
over a median follow-up of 16 months (range, 5-46), with intraductal biopsy
specimens showing no neoplasm in 12 patients at the conclusion of endoscopic
treatment. Treatment success was achieved in 92%, including 100% of those treated
with RFA alone. Adverse events occurred in 43% and included ductal strictures (5
patients) and retroduodenal abscess (1 patient), all of which were successfully
treated endoscopically. CONCLUSIONS: Endoscopic RFA, alone or in combination with
other modalities, may effectively treat intraductal extension of ampullary
neoplasms. Ductal strictures were common after RFA but responded to endoscopic
stent therapy. RFA may be appropriate in selected patients, particularly when the
main treatment alternative is pancreaticoduodenectomy.
PMID- 27866908
TI - Biosynthetic Pathway Connects Cryptic Ribosomally Synthesized Posttranslationally
Modified Peptide Genes with Pyrroloquinoline Alkaloids.
AB - In an era where natural product biosynthetic gene clusters can be rapidly
identified from sequenced genomes, it is unusual for the biosynthesis of an
entire natural product class to remain unknown. Yet, the genetic determinates for
pyrroloquinoline alkaloid biosynthesis have remained obscure despite their
abundance and deceptive structural simplicity. In this work, we have identified
the biosynthetic gene cluster for ammosamides A-C, pyrroloquinoline alkaloids
from Streptomyces sp. CNR-698. Through direct cloning, heterologous expression
and gene deletions we have validated the ammosamide biosynthetic gene cluster and
demonstrated that these seemingly simple molecules are derived from a
surprisingly complex set of biosynthetic genes that are also found in the
biosynthesis of lymphostin, a structurally related pyrroloquinoline alkaloid from
Salinispora and Streptomyces. Our results implicate a conserved set of genes
driving pyrroloquinoline biosynthesis that consist of genes frequently associated
with ribosomal peptide natural product biosynthesis, and whose exact biochemical
role remains enigmatic.
PMID- 27866909
TI - Unsaturated Fatty Acid Synthesis in the Gastric Pathogen Helicobacter pylori
Proceeds via a Backtracking Mechanism.
AB - Helicobacter pylori is a Gram-negative bacterium that inhabits the upper
gastrointestinal tract in humans, and the presence of this pathogen in the gut
microbiome increases the risk of peptic ulcers and stomach cancer. H. pylori
depends on unsaturated fatty acid (UFA) biosynthesis for maintaining membrane
structure and function. Although some of the H. pylori enzymes involved in UFA
biosynthesis are functionally homologous with the enzymes found in Escherichia
coli, we show here that an enzyme HP0773, now annotated as FabX, uses an
unprecedented backtracking mechanism to not only dehydrogenate decanoyl-acyl
carrier protein (ACP) in a reaction that parallels that of acyl-CoA
dehydrogenase, the first enzyme of the fatty acid beta-oxidation cycle, but also
isomerizes trans-2-decenoyl-ACP to cis-3-decenoyl-ACP, the key UFA synthetic
intermediate. Thus, FabX reverses the normal fatty acid synthesis cycle in H.
pylori at the C10 stage. Overall, this unusual FabX activity may offer a broader
explanation for how many bacteria that lack the canonical pathway enzymes produce
UFA-containing phospholipids.
PMID- 27866911
TI - Structural Insight into the Enzymatic Formation of Bacterial Stilbene.
AB - In contrast to stilbene biosynthesis by type III polyketide synthase in plants,
in bacteria stilbene is produced by the collaboration of two enzymes in
Photorhabdus luminescens: the unusual beta-ketosynthase StlD catalyzes the
condensation of the beta-ketoacyl starter with an alpha,beta-unsaturated-acyl
substrate (two C-C bond-forming reactions) to produce
isopropylstyrylcyclohexanedione, which is subsequently converted to stilbene by
the aromatase StlC. Here we report the in vitro characterizations of StlD and
StlC, and the X-ray crystal structures of StlD. Interestingly, structure-based
mutagenesis demonstrated that His302, within the conserved Cys-His-Asn triad, is
not essential for the enzyme reaction, while Glu154 functions as a base-catalyst
to activate the beta-ketoacyl intermediate bound to the catalytic Cys126. The
structures also revealed the presence of a putative nucleophilic water molecule
activated by hydrogen bond networks with Glu154 and Ser340, suggesting that StlD
employs novel catalytic machinery for the condensation of two acyl substrates to
produce the cyclohexanedione scaffold.
PMID- 27866910
TI - Proteome-wide Profiling of Clinical PARP Inhibitors Reveals Compound-Specific
Secondary Targets.
AB - Poly(ADP-ribose) polymerase (PARP) inhibitors (PARPi) are a promising class of
targeted cancer drugs, but their individual target profiles beyond the PARP
family, which could result in differential clinical use or toxicity, are unknown.
Using an unbiased, mass spectrometry-based chemical proteomics approach, we
generated a comparative proteome-wide target map of the four clinical PARPi,
olaparib, veliparib, niraparib, and rucaparib. PARPi as a class displayed high
target selectivity. However, in addition to the canonical targets PARP1, PARP2,
and several of their binding partners, we also identified hexose-6-phosphate
dehydrogenase (H6PD) and deoxycytidine kinase (DCK) as previously unrecognized
targets of rucaparib and niraparib, respectively. Subsequent functional
validation suggested that inhibition of DCK by niraparib could have detrimental
effects when combined with nucleoside analog pro-drugs. H6PD silencing can cause
apoptosis and further sensitize cells to PARPi, suggesting that H6PD may be, in
addition to its established role in metabolic disorders, a new anticancer target.
PMID- 27866912
TI - Investigation of candidate genes for osteoarthritis based on gene expression
profiles.
AB - OBJECTIVE: To explore the mechanism of osteoarthritis (OA) and provide valid
biological information for further investigation. METHODS: Gene expression
profile of GSE46750 was downloaded from Gene Expression Omnibus database. The
Linear Models for Microarray Data (limma) package (Bioconductor project,
http://www.bioconductor.org/packages/release/bioc/html/limma.html) was used to
identify differentially expressed genes (DEGs) in inflamed OA samples. Gene
Ontology function enrichment analysis and Kyoto Encyclopedia of Genes and Genomes
(KEGG) pathways enrichment analysis of DEGs were performed based on Database for
Annotation, Visualization and Integrated Discovery data, and protein-protein
interaction (PPI) network was constructed based on the Search Tool for the
Retrieval of Interacting Genes/Proteins database. Regulatory network was screened
based on Encyclopedia of DNA Elements. Molecular Complex Detection was used for
sub-network screening. Two sub-networks with highest node degree were integrated
with transcriptional regulatory network and KEGG functional enrichment analysis
was processed for 2 modules. RESULTS: In total, 401 up- and 196 down-regulated
DEGs were obtained. Up-regulated DEGs were involved in inflammatory response,
while down-regulated DEGs were involved in cell cycle. PPI network with 2392
protein interactions was constructed. Moreover, 10 genes including Interleukin 6
(IL6) and Aurora B kinase (AURKB) were found to be outstanding in PPI network.
There are 214 up- and 8 down-regulated transcription factor (TF)-target pairs in
the TF regulatory network. Module 1 had TFs including SPI1, PRDM1, and FOS, while
module 2 contained FOSL1. The nodes in module 1 were enriched in chemokine
signaling pathway, while the nodes in module 2 were mainly enriched in cell
cycle. CONCLUSION: The screened DEGs including IL6, AGT, and AURKB might be
potential biomarkers for gene therapy for OA by being regulated by TFs such as
FOS and SPI1, and participating in the cell cycle and cytokine-cytokine receptor
interaction pathway.
PMID- 27866913
TI - Minimally invasive plate osteosynthesis with PHILOS plate for proximal humerus
fractures.
AB - OBJECTIVES: The aim of the present study was to evaluate results, including
clinical and radiological outcomes and number of complications, following
minimally invasive plate osteosynthesis (MIPO) of proximal humerus fractures,
using the PHILOS(r) proximal humerus internal locking system (Synthes Holding AG,
Solothurn, Switzerland). METHODS: Retrospectively evaluated were 31 patients
treated with MIPO (12 male, 19 female; average age: 58.4 years). Four patients
had 2-part fractures, 14 patients had 3-part fractures, and 13 patients had 4
part fractures, according to Neer classification. Healing, complications, and
head-shaft angle (HSA) were radiographically evaluated. Clinical outcomes were
assessed at 1-year follow-up with Constant score. RESULTS: Average Constant
scores for fractured and normal shoulders were 73.2 +/- 10.9 and 84.8 +/- 5.1,
respectively. Varus progression, fracture type, and age had no significant effect
on functional outcome. Average postoperative and follow-up HSA's were 130.80 +/-
7.70 and 128.80 +/- 10.00, respectively. Significant varus progression was
observed during follow-up (p = 0.01). Varus progression was more prominent in
patients with postoperative HSA < 130 degrees (p < 0.001). Inferomedial calcar
screw usage, fracture type, and age had no significant effect on varus
progression. Complications included 2 implant failures, 1 case of avascular
necrosis (AVN), 1 primary screw cut-out, 1 axillary nerve injury, and 1 radial
nerve injury (22.6% overall). CONCLUSION: MIPO is a safe and effective option for
the treatment of proximal humerus fractures, with good functional recovery and
fewer complications, which are typically technique dependent. Reduction may be
difficult, resulting in varus progression. Another disadvantage is risk of
axillary nerve injury. Careful surgical technique and correct implant selection
is important in the prevention of nerve injury. LEVEL OF EVIDENCE: Level IV,
Therapeutic study.
PMID- 27866914
TI - Short Form Health Survey version-2.0 Turkish (SF-36v2) is an efficient outcome
parameter in musculoskeletal research.
AB - OBJECTIVE: Although the Short Form Health Survey version-2.0 (SF-36v2) is widely
used since 2000, the researchers and clinicians in Turkey have been still using
the original version. However, the original version includes many deficiencies
and the SF-36v2 was introduced aiming to correct these deficiencies. The purpose
of this study is to indicate differences between SF-36 and SF-36v2 and the
present cross cultural adaptation, reliability and validity of the SF-36v2.
PATIENTS AND METHODS: The SF-36v2 was cross culturally adapted to Turkish and the
measurement properties of the Turkish version of the SF-36v2 were tested in 50
patients (19 males; mean +/- SD age: 36.9 +/- 14.6 years; range: 16-65 years,
BMI; 24.1 +/- 4.6) with a variety of musculoskeletal pathologies. Intraclass
correlation coefficients (ICC) were used to estimate the test-retest reliability.
Construct validity was analyzed with SF-36v2 and EuroQol Group (EQ-5D). The
distribution of ceiling and floor effects was determined. RESULTS: During the
cross-cultural adaptation process many changes were made. The Turkish SF-36v2
subscales showed excellent test-retest reliability which was ranged 0.80 to 0.95.
The highest correlation was found between SF-36v2-PCS and SF-36v2-PF (r = 0.75),
the lowest correlation was found between SF-36v2-PCS and SF-36v2-MH (r = 0.05).
The correlations between EQ-5D and SF-36v2 subscales ranged from 0.10 (SF-36v2
VT) to 0.46 (SF-36v2 -RE). We observed no ceiling and floor effects. CONCLUSION:
The cultural adaptation of the SF-36v2 was successful. The SF-36v2 has sufficient
reliability and validity to measure a variety of musculoskeletal pathologies for
Turkish-speaking individuals.
PMID- 27866915
TI - Trends in Transcranial Doppler Monitoring in Aneurysmal Subarachnoid Hemorrhage:
A 10-Year Analysis of the Nationwide Inpatient Sample.
AB - BACKGROUND: Transcranial Doppler (TCD) is endorsed by national guidelines for use
in aneurysmal subarachnoid hemorrhage (aSAH) for surveillance of cerebral
vasospasm (CV). However, nationwide data on utilization of TCD for CV detection
and monitoring in aSAH are lacking. METHODS: Analysis of nationwide trends in TCD
prevalence was performed using Nationwide Inpatient Sample (NIS) data from 2002
to 2011. Raw counts were converted into weighted counts, which were used to
generate national estimates. Teaching hospitals were examined separately for TCD
utilization rates. All analyses accounted for the complex sampling design and
sample discharge weights of the NIS, following Healthcare Cost and Utilization
Project-NIS recommendations. The objective was to estimate the proportion of
patients with aSAH receiving TCD monitoring using the NIS. RESULTS: Between 2002
and 2011, a total of 256,089 patients were discharged with a diagnosis of aSAH,
of which 3850 underwent TCD monitoring. aSAH accounted for an average of 67.1
discharges per 100,000 annually (95% confidence interval [CI] 61.3-72.8). Of
these, 1.5% (95% CI 0.4-2.6) underwent TCD examination. In teaching hospitals,
aSAH accounted for an average of 108.5 discharges per 100,000 biennially (95% CI,
96.2-120.8), of which 2% (95% CI 1.0-4.0) underwent TCD examination. TCD
utilization increased from <1% during the 2002-2005 period to >=1.5% during the
2006-2011 period (odds ratio 2.3, 95% CI 1.0-5.7), an increase also seen in
teaching hospitals. CONCLUSIONS: TCD is underused nationally in the care of aSAH.
Whereas the prevalence of TCD is low in teaching hospitals, it is nearly
nonexistent in nonteaching hospitals.
PMID- 27866916
TI - Blood coagulation in immunothrombosis-At the frontline of intravascular immunity.
AB - While hemostasis is the physiological process that prevents blood loss after
vessel injury, thrombosis is often portrayed as a pathologic event involving
blood coagulation and platelet aggregation eventually leading to vascular
occlusion and tissue damage. However, recent work suggests that thrombosis can
also be a physiological process, termed immunothrombosis, initiated by the innate
immune system providing a first line of defense to locally control infection.
Fibrin forms the structural basis of immunothrombotic clots and its assembly
involves the concerted action of coagulation factors, platelets and leukocytes.
Here, we summarize the cellular and molecular events that initiate fibrin
formation during the innate immune response and discuss how aberrant activation
of these pathways fosters pathologies associated with thrombosis, including
disseminated intravascular coagulation and atherothrombosis.
PMID- 27866917
TI - PRKAG3 polymorphisms associated with sporadic Wolff-Parkinson-White syndrome
among a Taiwanese population.
AB - BACKGROUND: The aim of this study was to investigate whether mutation in AMP
activated protein kinase (AMPK) subunit genes (PRKAG3-230) is associated with
sporadic, isolated Wolff-Parkinson-White (WPW) syndrome. METHODS: This study
consisted of 87 patients with symptomatic WPW syndrome and 93 healthy controls.
PRKAG3-230 genotypes were determined using real-time polymerase chain reaction
assay. Genotype and allele frequencies of PRKAG3-230 between patients with WPW
syndrome and healthy controls were ascertained using chi-square test or Fisher
exact test when appropriate. RESULTS: PRKAG3-230 were genotyped in 87 patients
(53 men and 34 women; age=24.4+/-18.0 years) with WPW syndrome and 93 healthy
controls (57 men and 36 women; age=16.8+/-4.2 years). There were no significant
differences between the two groups in terms of age and sex. The patients with CG
and CG+CC genotypes had a significantly increased risk of WPW syndrome compared
with those with GG genotype [odds ratio (OR)=1.99, 95% confidence interval
(CI)=1.01-3.89, p=0.045; OR=1.99, 95% CI=1.04-3.78, p=0.037, respectively]. The
allelic types were not associated with the risk of WPW syndrome. The patients
with manifest type with CG and CG+CC genotypes had a significantly increased risk
of WPW syndrome compared with those with GG genotype (OR=2.86, 95% CI=1.16-7.05,
p=0.022; OR=2.84, 95% CI=1.19-6.80, p=0.019, respectively). The patients with
right-side accessory pathways with CG and CG+CC genotypes had a significantly
increased risk of WPW syndrome compared with those with GG genotype (OR=3.07, 95%
CI=1.25-7.51, p=0.014; OR=2.84, 95% CI=1.19-6.80, p=0.019, respectively). The
allelic types were not associated with the risk of WPW types and locations.
CONCLUSION: This study shows that PRKAG3-230 may be associated with sporadic WPW
syndrome among a Taiwanese population. Further studies are warranted to elucidate
the role of mutations in AMPK subunit genes other than PRKAG3-230 in sporadic WPW
syndrome.
PMID- 27866918
TI - [Children and adolescents' anxiety disorders].
AB - Anxiety disorders were long underestimated in children by healthcare
professionals, but they are now better diagnosed. They account for the most
frequent psychiatric diagnosis between 6 and 18 years of age, with differences in
prevalence or risk factors related to the clinical forms. Different clinical
subtypes of anxiety disorders are detailed in this article: separation anxiety,
specific phobia, generalized anxiety, social anxiety, panic disorder, post
traumatic stress syndrome, and obsessional-compulsive disorder. The repercussions
of anxiety are often major on the psychological, relational, and developmental
dimensions, as well as academics. Refusing school for reasons of anxiety is one
of the possible and severe consequences of anxiety disorders, possibly resulting
in total removal from school and the risk of early and permanent cessation of
schooling. Other frequent complications are depression, and substance abuse
during adolescence, as well as chronification of the disorders until adulthood.
Indeed, adults affected by anxiety disorders frequently place the onset of their
disorders at the beginning of adolescence. It is therefore essential to diagnose
these disorders as soon as possible to set up an adapted therapeutic strategy.
The main first-line treatment currently recommended in the pediatric population
is cognitive and behavioral therapy, the efficacy of which has been the most
clearly demonstrated. Psychoactive drugs can be used as a complement in severe or
resistant cases, mainly serotonin recapture inhibitors.
PMID- 27866919
TI - In vitro sensitivity of antimalarial drugs and correlation with clinico
parasitological response following treatment with a 3-day artesunate-mefloquine
combination in patients with falciparum malaria along the Thai-Myanmar border.
AB - A 3-day artesunate-mefloquine combination therapy has been using as first-line
treatment for acute uncomplicated Plasmodium falciparum malaria in Thailand since
1995 on the background of mefloquine resistance. The aim of the present study was
to assess sensitivity of P. falciparum isolates (n=44) in an area along the Thai
Myanmar border (year 2009) to artesunate, mefloquine, chloroquine and quinine,
including their correlation with clinico-parasitological response. Twenty, 19,
and 5 isolates were collected from patients with 'Adequate Clinical and
Parasitological Response (ACPR)', 'Late Parasitological Failure (LPF)' and 're
infection', respectively. The IC50 of artesunate and mefloquine were
significantly higher in patients with LPF compared with ACPR and re-infection.
The proportion of isolates with declined artesunate or mefloquine sensitivity in
the LPF group (47.4%) was significantly higher than the ACPR group (5.0%). A weak
but statistical significant correlation (r=0.384, p=0.01) was observed between
IC50 values of artesunate and parasite clearance time (PCT). There was no
significant relationship between in vitro sensitivity of parasite isolates to
chloroquine or quinine and clinical response. In vitro susceptibility of P.
falciparum isolates to artesunate and mefloquine may be used as a useful reliable
tool to predict clinico-pathological response following a 3-day artesunate
mefloquine combination therapy.
PMID- 27866921
TI - Models of evolution and evolutionary game theory: A comment on "Evolutionary game
theory using agent based models" by Christoph Adami, Jory Schossau, Arend Hintze.
PMID- 27866920
TI - The orphan nuclear receptor COUP-TFII coordinates hypoxia-independent
proangiogenic responses in hepatic stellate cells.
AB - BACKGROUND & AIMS: Hepatic stellate cell (HSC) transdifferentiation into collagen
producing myofibroblasts is a key event in hepatic fibrogenesis, but the
transcriptional network that controls the acquisition of the activated phenotype
is still poorly understood. In this study, we explored whether the nuclear
receptor chicken ovalbumin upstream promoter-transcription factor II (COUP-TFII)
is involved in HSC activation and in the multifunctional role of these cells
during the response to liver injury. METHODS: COUP-TFII expression was evaluated
in normal and cirrhotic livers by immunohistochemistry and Western blot. The role
of COUP-TFII in HSC was assessed by gain and loss of function transfection
experiments and by generation of mice with COUP-TFII deletion in HSC. Molecular
changes were determined by gene expression microarray and RT-qPCR. RESULTS: We
showed that COUP-TFII is highly expressed in human fibrotic liver and in mouse
models of hepatic injury. COUP-TFII expression rapidly increased upon HSC
activation and it was associated with the regulation of genes involved in cell
motility, proliferation and angiogenesis. Inactivation of COUP-TFII impairs
proliferation and invasiveness in activated HSC and COUP-TFII deletion in mice
abrogate HSC activation and angiogenesis. Finally, co-culture experiments with
HSC and liver sinusoidal endothelial cells (SEC) showed that COUP-TFII expression
in HSC influenced SEC migration and tubulogenesis via a hypoxia-independent and
nuclear factor kappaB-dependent mechanism. CONCLUSION: This study elucidates a
novel transcriptional pathway in HSC that is involved in the acquisition of the
proangiogenic phenotype and regulates the paracrine signals between HSC and SEC
during hepatic wound healing. LAY SUMMARY: In this study, we identified an
important regulator of HSC pathobiology. We showed that the orphan receptor COUP
TFII is an important player in hepatic neoangiogenesis. COUP-TFII expression in
HSC controls the crosstalk between HSC and endothelial cells coordinating
vascular remodelling during liver injury. TRANSCRIPT PROFILING: ArrayExpress
accession E-MTAB-1795.
PMID- 27866922
TI - Disease control framework based on spatial epidemiology: Reply to comments on
"Pattern transitions in spatial epidemics: Mechanisms and emergent properties".
PMID- 27866923
TI - How to avoid plagiarism, dual publication and data fragmentation.
PMID- 27866927
TI - Mesenchymal stem cells transplantation during ex vivo lung perfusion.
PMID- 27866925
TI - Extracorporeal membrane oxygenation support and post-heart transplant outcomes
among United States adults.
AB - BACKGROUND: Patients supported with extracorporeal membrane oxygenation (ECMO)
are given priority listing status for heart transplant (HT). Data on post-HT
outcomes for adults with ECMO support at the time of HT are limited. METHODS: We
analyzed data from the United Network for Organ Registry (UNOS) registry for 157
ECMO-supported adults (age >= 18 years) undergoing HT after January 1, 2000. Data
at the time of HT were examined for their association with post-transplant
mortality using multivariable Cox proportional hazard analyses. RESULTS: Patients
(69.4% males; mean age, 46.0 +/- 15.6 years; 15.9% African Americans) were
monitored for median of 0.55 years (interquartile range, 0.04-4.5). Seventy
patients (44.6%) died during follow-up (survival at 1 year was 57.8%), of which
43 (61.4%) died within 30 days post-HT. For patients surviving the first 30 days
after transplant, long-term survival was acceptable (82.3% at 1 year and 76.2% at
5 years). Prevalence of immediate post-HT complications, such as stroke and need
for dialysis, were 10.1% and 28.1%, respectively. Post-HT survival did not differ
between those who received an allograft before and after January 1, 2009
(univariate hazard ratio, 0.84; 95% confidence interval, 0.51-1.38; p = 0.48).
Among the predictors identified for 30-day and long-term mortality were recipient
history of renal insufficiency (RI; defined as estimated glomerular filtration
rate < 45 ml/min/1.73 m2 or dialysis) and mechanical ventilation (MV; interaction
p < 0.05); those with both MV and RI had significantly poorer post-transplant
survival (29.4% and 12.5% for 30-day and 1-year survival, respectively) compared
with those without (78.7% and 71.4% for 30-day and 1-year survival,
respectively). CONCLUSIONS: Post-HT mortality did not change for ECMO-supported
adults in the contemporary era, and those with RI and MV had significantly poorer
post-transplant survival. A critical review of priority listing status for ECMO
supported patients is warranted for optimal allocation and outcomes of cardiac
allografts.
PMID- 27866928
TI - Improved waitlist and transplant outcomes for pediatric lung transplantation
after implementation of the lung allocation score.
AB - BACKGROUND: Although the lung allocation score (LAS) has not been considered
valid for lung allocation to children, several additional policy changes for
pediatric lung allocation have been adopted since its implementation. We compared
changes in waitlist and transplant outcomes for pediatric and adult lung
transplant candidates since LAS implementation. METHODS: The United Network for
Organ Sharing database was reviewed for all lung transplant listings during the
period 1995 to June 2014. Outcomes were analyzed based on date of listing (pre
LAS vs post-LAS) and candidate age at listing (adults >18 years, adolescents 12
to 17 years, children 0 to 11 years). RESULTS: Of the 39,962 total listings,
2,096 (5%) were for pediatric candidates. Median waiting time decreased after LAS
implementation for all age groups (adults: 379 vs 83 days; adolescents: 414 vs
104 days; children: 211 vs 109 days; p < 0.001). The proportion of candidates
reaching transplant increased after LAS (adults: 52.6% vs 71.6%, p < 0.001;
adolescents: 40.3% vs 61.6%, p < 0.001; children: 42.4% vs 50.9%, p = 0.014),
whereas deaths on the waitlist decreased (adults: 28.0% vs 14.4%, p < 0.001;
adolescents: 33.1% vs 20.9%, p < 0.001; children: 32.2% vs 25.0%; p = 0.025),
despite more critically ill candidates in all groups. Median recipient survival
increased after LAS for adults and children (adults: 5.1 vs 5.5 years, p < 0.001;
children: 6.5 vs 7.6 years, p = 0.047), but not for adolescents (3.6 vs 4.3
years, p = 0.295). CONCLUSIONS: Improvements in waiting time, mortality and post
transplant survival have occurred in children after LAS implementation. Continued
refinement of urgency-based allocation to children and broader sharing of
pediatric donor lungs may help to maximize these benefits.
PMID- 27866926
TI - Evaluation of humoral immunity profiles to identify heart recipients at risk for
development of severe infections: A multicenter prospective study.
AB - BACKGROUND: New biomarkers are necessary to improve detection of the risk of
infection in heart transplantation. We performed a multicenter study to evaluate
humoral immunity profiles that could better enable us to identify heart
recipients at risk of severe infections. METHODS: We prospectively analyzed 170
adult heart recipients at 8 centers in Spain. Study points were before
transplantation and 7 and 30 days after transplantation. Immune parameters
included IgG, IgM, IgA and complement factors C3 and C4, and titers of specific
antibody to pneumococcal polysaccharide antigens (anti-PPS) and to
cytomegalovirus (CMV). To evaluate potential immunologic mechanisms leading to
IgG hypogammaglobulinemia, before heart transplantation we assessed serum B-cell
activating factor (BAFF) levels using enzyme-linked immunoassay. The clinical
follow-up period lasted 6 months. Clinical outcome was need for intravenous anti
microbials for therapy of infection. RESULTS: During follow-up, 53 patients
(31.2%) developed at least 1 severe infection. We confirmed that IgG
hypogammaglobulinemia at Day 7 (defined as IgG <600 mg/dl) is a risk factor for
infection in general, bacterial infections in particular, and CMV disease. At Day
7 after transplantation, the combination of IgG <600 mg/dl + C3 <80 mg/dl was
more strongly associated with the outcome (adjusted odds ratio 7.40; 95%
confidence interval 1.48 to 37.03; p = 0.014). We found that quantification of
anti-CMV antibody titers and lower anti-PPS antibody concentrations were
independent predictors of CMV disease and bacterial infections, respectively.
Higher pre-transplant BAFF levels were a risk factor of acute cellular rejection.
CONCLUSION: Early immunologic monitoring of humoral immunity profiles proved
useful for the identification of heart recipients who are at risk of severe
infection.
PMID- 27866924
TI - Bacterial Pathogens versus Autophagy: Implications for Therapeutic Interventions.
AB - Research in recent years has focused significantly on the role of selective
macroautophagy in targeting intracellular pathogens for lysosomal degradation, a
process termed xenophagy. In this review we evaluate the proposed roles for
xenophagy in controlling bacterial infection, highlighting the concept that
successful pathogens have evolved ways to subvert or exploit this defense,
minimizing the actual effectiveness of xenophagy in innate immunity. Instead,
studies in animal models have revealed that autophagy-associated proteins often
function outside of xenophagy to influence bacterial pathogenesis. In light of
current efforts to manipulate autophagy and the development of host-directed
therapies to fight bacterial infections, we also discuss the implications
stemming from the complicated relationship that exists between autophagy and
bacterial pathogens.
PMID- 27866930
TI - Finding Ms or Mr Right: Which miRNA to target in AF?
PMID- 27866929
TI - Long-term outcomes of pulmonary arterial hypertension under specific drug therapy
in Eisenmenger syndrome.
AB - BACKGROUND: The long-term effectiveness of pulmonary arterial hypertension
specific drug therapy (PAH-SDT) in Eisenmenger syndrome is controversial. We
investigated short-term and long-term hemodynamic changes under PAH-SDT and their
associations with outcomes in a bicentric cohort. METHODS: Over 20 years, we
included 69 patients with congenital heart disease, an indexed pulmonary vascular
resistance (PVRi) >8 WU.m2, and 292 standardized catheterizations at baseline and
after PAH-SDT initiation or intensification. Oxygen consumption was measured and
the Fick principle applied to calculate indexed pulmonary output (Qpi) and PVRi.
RESULTS: After PAH-SDT initiation or intensification, median (interquartile
range) PVRi decrease was 5.1 WU.m2 (-1.4, -12.6) (p < 0.0001). Median Qpi and 6
minute walk test increases were +0.4 liter/min/m2 (0.0, +0.9) (p < 0.0001) and
+49 m (+15, +93) (p = 0.0003), respectively. Hemodynamic response combining
increased Qpi with decreases in transpulmonary gradient and PVRi occurred in
68.0% of patients. After a median of 4.9 years, PVRi and Qpi changes were no
longer significant. Over a median of 7.2 years, 23 (33.3%) patients met a
composite criterion (death, n = 8; heart-lung transplantation or listing for
transplantation, n = 15). The 15-year cumulative event rate was 49.2%. By
multivariate analysis, independent predictors of events were superior vena cava
oxygen saturation and hemodynamic response (p = 0.048 and p < 0.0001).
CONCLUSIONS: In Eisenmenger syndrome, PAH-SDT induces early hemodynamic
improvements, which decline over time. Hemodynamic changes under PAH-SDT vary
across patients. Hemodynamic parameters at baseline and under PAH-SDT are
associated with events. PAH-SDT may need to be individualized based on
hemodynamic changes.
PMID- 27866931
TI - Key role of endothelium in the eNOS-dependent cardioprotection with exercise
training.
AB - Modulation of endothelial nitric oxide synthase (eNOS) activation is recognized
as a main trigger of the cardioprotective effects of exercise training on heart
vulnerability to ischemia-reperfusion (IR). However, this enzyme is expressed
both in coronary endothelial cells and cardiomyocytes and the contribution of
each one to such cardioprotection has never been challenged. The aim of this
study was to investigate the role of eNOS from the cardiomyocytes vs. the
endothelium in the exercise cardioprotection. Male Wistar rats were assigned to a
chronic aerobic training (Ex) (vs. sedentary group; Sed) and we investigated the
role of eNOS in the effects of exercise on sensitivity to IR or anoxia
reoxygenation (A/R) at whole heart, isolated cardiomyocytes and left coronary
artery (LCA) levels. We observed that exercise increased eNOS activation (Ser1177
phosphorylation) and protein S-nitrosylation in whole heart but not at
cardiomyocyte level, suggesting the specific target of endothelial cells by
exercise. Consistently, in isolated cardiomyocytes submitted to the A/R
procedure, exercise reduced cell death and improved cells contractility, but
independently of the eNOS pathway. Next, to evaluate the contribution of
endothelial cells in exercise cardioprotection, LCA were isolated before and
after an IR procedure performed on Langendorff hearts. Exercise improved basal
relaxation sensitivity to acetylcholine and markedly reduced the alteration of
endothelium-dependent coronary relaxation induced by IR. Furthermore,
inactivation of coronary endothelial cells activity just before IR, obtained with
a bolus of Triton X-100, totally suppressed cardioprotective effects of exercise
on both left ventricular functional recovery after IR and infarct size, whereas
no effect of Triton X-100 was observed in Sed group. In conclusion, these results
show that coronary endothelial cells rather than cardiomyocytes play a key role
in the eNOS-dependent cardioprotection of exercise.
PMID- 27866932
TI - Oxytocin attenuates aversive response to nicotine and anxiety-like behavior in
adolescent rats.
AB - Initial tobacco use is initiated with rewarding and aversive properties of
nicotine and aversive response to nicotine plays a critical role in nicotine
dependency. Decrease of nicotine aversion increases the nicotine use that causes
behavioral and neuronal changes of animals. Oxytocin influences drug abuse and
reciprocally affect vulnerability to drug use. To assess the effect of oxytocin
on initial nicotine aversion and anxiety, we examined voluntary oral nicotine
intake and anxiety-like behavior following oxytocin treatment in adolescent rats.
Sprague-Dawley male rats (4 weeks old) were used. For oxytocin administration,
rats were injected subcutaneously with saline or oxytocin (0.01, 0.1 and 1mg/kg)
according to the assigned groups. Voluntary oral nicotine consumption test was
performed by two bottle free-choice paradigm. To examine anxiety-like behavior in
rats, we performed a light/dark box test. Oxytocin not only significantly
increased the nicotine intake but also alleviated nicotine aversion after
acclimation to nicotine solution in a concentration dependent manner. Meanwhile,
oxytocin significantly reduced anxiety-like behavior. We suggest that oxytocin
itself mitigates aversive response toward initial nicotine intake and anxiety
like behavior. These results widen the psychophysiological perspective on
oxytocin for better understanding of nicotine addiction related behaviors
influenced by diverse social factors.
PMID- 27866933
TI - Evaluation of the Tobacco Heating System 2.2 (THS2.2). Part 5: microRNA
expression from a 90-day rat inhalation study indicates that exposure to THS2.2
aerosol causes reduced effects on lung tissue compared with cigarette smoke.
AB - Modified-risk tobacco products (MRTP) are designed to reduce the individual risk
of tobacco-related disease as well as population harm compared to smoking
cigarettes. Experimental proof of their benefit needs to be provided at multiple
levels in research fields. Here, we examined microRNA (miRNA) levels in the lungs
of rats exposed to a candidate modified-risk tobacco product, the Tobacco Heating
System 2.2 (THS2.2) in a 90-day OECD TG-413 inhalation study. Our aim was to
assess the miRNA response to THS2.2 aerosol compared with the response to
combustible cigarettes (CC) smoke from the reference cigarette 3R4F. CC smoke
exposure, but not THS2.2 aerosol exposure, caused global miRNA downregulation,
which may be explained by the interference of CC smoke constituents with the
miRNA processing machinery. Upregulation of specific miRNA species, such as miR
146a/b and miR-182, indicated that they are causal elements in the inflammatory
response in CC-exposed lungs, but they were reduced after THS2.2 aerosol
exposure. Transforming transcriptomic data into protein activity based on
corresponding downstream gene expression, we identified potential mechanisms for
miR-146a/b and miR-182 that were activated by CC smoke but not by THS2.2 aerosol
and possibly involved in the regulation of those miRNAs. The inclusion of miRNA
profiling in systems toxicology approaches increases the mechanistic
understanding of the complex exposure responses.
PMID- 27866934
TI - Pharmacodynamic action and mechanism of Du Liang soft capsule, a traditional
Chinese medicine capsule, on treating nitroglycerin-induced migraine.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Du Liang soft capsule (DL) is a traditional
Chinese medicine for treating migraines; it is made from two Chinese herbs,
including LigusticumstriatumDC., root; Angelica dahurica (Hoffm.) Benth. &
Hook.f. ex Franch. & Sav., root. AIM OF THE STUDY: In the present study, we aimed
to elucidate the pharmacodynamic action of DL and its mechanism in an animal
model of migraines induced by glyceryl trinitrate (GTN). MATERIALS AND METHODS:
Sixty rats were randomly divided into six groups, including a normal control
group, model control group, positive group (Sumatriptan 0.006gkg-1), and three DL
groups (0.44, 1.31 and 3.93gkg-1). All rats were intragastrically treated with
the corresponding treatment for 7 consecutive days, and they were subcutaneously
injected with GTN (10mgkg-1) 30min after the last treatment, except in the normal
control group. After model establishment, the behaviors of all rats, including
head scratching, cage climbing, and the development of red ears were observed
continuously by digital camera every 30min for 3h. Four hours after GTN
treatment, all rats were anaesthetized and the blood and tissue samples were
collected. Plasma calcitonin gene related to peptide (CGRP) and endothelin (ET)
levels were measured using the radioimmunoassay method, and serum NO was
determined by the colorimetric method. Afterwards, the brainstem tissues were
dissected and washed with physiological saline, and divided evenly into two
parts. One part was used to test the monoamine levels, including levels of 5
hydroxytryptamine (5-HT), norepinephrine (NE) and dopamine (DA), by the
fluorometric method, and the other part was used to determine the nuclear factor
kappaB (NF-kappaB) p65, nuclear c-fos, inducible nitric oxide synthase (iNOS),
interleukin (IL)-1beta (IL-1beta), and cyclooxygenase-2 (COX-2) levels by Western
blot analysis. RESULTS: In the pharmacodynamic action assay, DL (1.31 and 3.93gkg
1) greatly improved the abnormal behaviors of migraine rats, including head
scratching and cage climbing, and the development of red ears. In the mechanism
assay, compared with the control group, the plasma CGRP and serum NO levels and
the brainstem 5-HT, NE and DA levels in the DL administration groups were
significantly decreased; and the plasma ET levels were remarkably increased.
Moreover, down-regulation of NF-kappaB p65, c-fos and pro-inflammatory cytokines,
including iNOS, IL-1beta and COX-2 in the brainstem in the DL administration
groups were observed by Western blot analysis. CONCLUSIONS: The above results
suggested that DL has a therapeutic effect on migraines, and its mechanism may be
related to adjusting the level of neurotransmitters and vasoactive substances,
consequently relieving neurogenic inflammation.
PMID- 27866937
TI - Antenatal foetal heart monitoring.
AB - Antenatal foetal heart rate assessment was introduced into clinical medicine
before clear evidence of any benefits had been reported. Ad hoc definitions were
used to define normal and abnormal recordings resulting in a high false-positive
rate for foetal compromise. The understanding of the foetal states resulted in an
improved physiologically based assessment of the antenatal tracings and allowed
their classification as (i) reactive - 2 accelerations in 10 min within a
recording period of 120 min, (ii) unreactive - no accelerations seen in 120 min
of tracing and (iii) decelerative - the presence of repetitive decelerations on
an otherwise unreactive trace. This classification reduces the high rate of false
positive traces associated with recording times of less than 40 min. Traces
performed on pregnancies before 32 weeks predict clinical outcome, but need to be
interpreted in light of the fact the many foetuses will not show a mature
reactive pattern.
PMID- 27866936
TI - Current applications and different approaches for microbial l-asparaginase
production.
AB - l-asparaginase (EC 3.5.1.1) is an enzyme that catalysis mainly the asparagine
hydrolysis in l-aspartic acid and ammonium. This enzyme is presented in different
organisms, such as microorganisms, vegetal, and some animals, including certain
rodent's serum, but not unveiled in humans. It can be used as important
chemotherapeutic agent for the treatment of a variety of lymphoproliferative
disorders and lymphomas (particularly acute lymphoblastic leukemia (ALL) and
Hodgkin's lymphoma), and has been a pivotal agent in chemotherapy protocols from
around 30 years. Also, other important application is in food industry, by using
the properties of this enzyme to reduce acrylamide levels in commercial fried
foods, maintaining their characteristics (color, flavor, texture, security, etc.)
Actually, l-asparaginase catalyzes the hydrolysis of l-asparagine, not allowing
the reaction of reducing sugars with this aminoacid for the generation of
acrylamide. Currently, production of l-asparaginase is mainly based in
biotechnological production by using some bacteria. However, industrial
production also needs research work aiming to obtain better production yields, as
well as novel process by applying different microorganisms to increase the range
of applications of the produced enzyme. Within this context, this mini-review
presents l-asparaginase applications, production by different microorganisms and
some limitations, current investigations, as well as some challenges to be
achieved for profitable industrial production.
PMID- 27866938
TI - Ovulation induction in polycystic ovary syndrome: Current options.
AB - There are a variety of effective treatment options to induce ovulation in women
with polycystic ovary syndrome (PCOS). The most effective treatments are
primarily reproductive and target the hypothalamic-pituitary-ovarian (HPO) axis.
Letrozole, an aromatase inhibitor, is headed toward replacing clomiphene, a
selective estrogen receptor modulator, as the first-choice option. Metabolic
treatments likely work indirectly through the HPO axis. Many metabolic treatments
have shown initial promise and later failed (troglitozone or d-chiro-inositol) or
disappointed (metformin); further studies are needed of newer agents to treat
type 2 diabetes. Weight loss interventions, lifestyle related, through obesity
drugs or through bariatric surgery have shown mixed results on pregnancy
outcomes. With both reproductive and metabolic treatments, combination therapies
(such as metformin and clomiphene together) may offer greater benefit to distinct
subgroups of patients.
PMID- 27866939
TI - [First case report of Streptococcus equi subsp. zooepidemicus post-infectious
acute glomerulonephritis in France].
AB - Post-infectious glomerulonephritis has become exceptional in France because
streptococcus infections are well-treated. When they appear, clinical and
biological symptoms are mostly typical and associate acute nephritic syndrome,
acute renal failure, proteinuria, hematuria and low complement. We are reporting
the first French case of acute post-infectious glomerulonephritis related to
Streptococcus equi subsp. zooepidemicus, which is commonly found in horses and
rarely in human pathology, and of which contamination is by direct contact with
sick horses or by ingestion of non-pasteurized milk.
PMID- 27866940
TI - Anterior pre-tensioned external fixator for pelvic fractures and dislocations.
Initial clinical series.
AB - In the treatment of unstable pelvic ring fractures, external fixators have the
limitation of not adequately stabilizing the injured posterior elements. This
article presents a novel and simple technique of temporary external fixation of
the pelvic ring, able to produce compression of both the anterior and posterior
pelvic elements. A curved flexible carbon-fiber rod is used, pre-tensioned before
attachment to supra-acetabular Schanz screws. Although more extensive clinical
experience is required, favorable preliminary results in a series of 13 patients
with unstable pelvic fracture were encouraging: the aim of closing the posterior
and anterior elements of the pelvic ring was achieved in all cases treated with
this technique, and 12 patients survived. Radiological results were excellent in
3 cases and good in 9 cases. No major complications, such as secondary
displacement, vertical re-displacement or deep infection, were observed. Mean
operative time was 25min, compatible with emergency management.
PMID- 27866941
TI - Vayg1 is required for microsclerotium formation and melanin production in
Verticillium dahliae.
AB - The fungus Verticillium dahliae causes vascular wilt disease on many plant
species, including economically important crop and ornamental plants worldwide.
It produces darkly pigmented resting structures known as microsclerotia, which
are able to survive for up to 14years in soil, and represent one of the defining
characteristics of this species. The pigment produced in V. dahliae is
dihydroxynaphthalene (DHN)-melanin, a form of melanin common among fungi and
named so for the intermediary of this melanin biosynthetic pathway. In this
study, we characterized the function of the V. dahliae Vayg1 gene, whose homologs
were involved in melanin biosynthesis in Exophiala dermatitidis (Wayg1) and
Aspergillus fumigatus (Aayg1), by deletion and complementation of the gene and co
incubating deletion mutant with wild-type strain. Results showed that melanin
production and microsclerotial formation in deletion mutants are inhibited. The
Vayg1 deletion mutant also exhibited reduced pathogenicity. These results showed
that Vayg1 is necessary for melanin and microsclerotium production, and we may
thus hypothesize that the Vayg1 product may catalyze two different precursors,
one of which is essential for DHN melanin production and the other one is
involved in a signal network for microsclerotial formation in V. dahliae.
PMID- 27866942
TI - A review of molecular genetic studies of neurocognitive deficits in
schizophrenia.
AB - Schizophrenia is a complex and debilitating illness with strong genetic loading.
In line with its heterogeneous symptomatology, evidence suggests genetic
etiologies for the phenotypes in schizophrenia. A search across endophenotypes
has pointed towards consistent findings in its neurocognitive deficits. Extensive
literature has demonstrated impaired cognition including executive function,
attention, and memory in schizophrenia patients when compared to healthy
subjects. This review (1) provides an overview of recent studies and (2) develops
an up-to-date conceptualization of genetic variations influencing neurocognitive
functions in schizophrenia patients. Several neurotransmitter system genes have
been examined given knowledge of their role in brain functions and their reported
genetic associations with schizophrenia and cognition. Several genetic variations
have emerged as having preliminary effects on neurocognitive deficits in
schizophrenia. These include genes in the neurotrophic, serotonin, cell adhesion,
and sodium channel systems. Limited evidence also suggests the dopaminergic
system genes, with the most studied catechol-o-methytransferase (COMT) gene
showing inconsistent findings. Further investigations with larger samples and
replications are required to elucidate genetic risk for cognitive deficits in
schizophrenia.
PMID- 27866943
TI - Enterobacteriaceae: joining the dots with pan-European epidemiology.
PMID- 27866945
TI - A global call from five countries to collaborate in antibiotic stewardship:
united we succeed, divided we might fail.
AB - In February, 2016, WHO released a report for the development of national action
plans to address the threat of antibiotic resistance, the catastrophic
consequences of inaction, and the need for antibiotic stewardship. Antibiotic
stewardship combined with infection prevention comprises a collaborative,
multidisciplinary approach to optimise use of antibiotics. Efforts to mitigate
overuse will be unsustainable without learning and coordinating activities
globally. In this Personal View, we provide examples of international
collaborations to address optimal prescribing, focusing on five countries that
have developed different approaches to antibiotic stewardship-the USA, South
Africa, Colombia, Australia, and the UK. Although each country's approach
differed, when nurtured, individual efforts can positively affect local and
national antimicrobial stewardship programmes. Government advocacy, national
guidelines, collaborative research, online training programmes, mentoring
programmes, and social media in stewardship all played a role. Personal
relationships and willingness to learn from each other's successes and failures
continues to foster collaboration. We recommend that antibiotic stewardship
models need to evolve from infection specialist-based teams to develop and use
cadres of health-care professionals, including pharmacists, nurses, and community
health workers, to meet the needs of the global population. We also recommend
that all health-care providers who prescribe antibiotics take ownership and
understand the societal burden of suboptimal antibiotic use, providing examples
of how countries can learn, act globally, and share best antibiotic stewardship
practices.
PMID- 27866935
TI - Diarrheagenic Escherichia coli.
AB - Most Escherichia coli strains live harmlessly in the intestines and rarely cause
disease in healthy individuals. Nonetheless, a number of pathogenic strains can
cause diarrhea or extraintestinal diseases both in healthy and immunocompromised
individuals. Diarrheal illnesses are a severe public health problem and a major
cause of morbidity and mortality in infants and young children, especially in
developing countries. E. coli strains that cause diarrhea have evolved by
acquiring, through horizontal gene transfer, a particular set of characteristics
that have successfully persisted in the host. According to the group of virulence
determinants acquired, specific combinations were formed determining the
currently known E. coli pathotypes, which are collectively known as diarrheagenic
E. coli. In this review, we have gathered information on current definitions,
serotypes, lineages, virulence mechanisms, epidemiology, and diagnosis of the
major diarrheagenic E. coli pathotypes.
PMID- 27866944
TI - Occurrence of carbapenemase-producing Klebsiella pneumoniae and Escherichia coli
in the European survey of carbapenemase-producing Enterobacteriaceae (EuSCAPE): a
prospective, multinational study.
AB - BACKGROUND: Gaps in the diagnostic capacity and heterogeneity of national
surveillance and reporting standards in Europe make it difficult to contain
carbapenemase-producing Enterobacteriaceae. We report the development of a
consistent sampling framework and the results of the first structured survey on
the occurrence of carbapenemase-producing Klebsiella pneumoniae and Escherichia
coli in European hospitals. METHODS: National expert laboratories recruited
hospitals with diagnostic capacities, who collected the first ten carbapenem non
susceptible clinical isolates of K pneumoniae or E coli and ten susceptible same
species comparator isolates and pertinent patient and hospital information.
Isolates and data were relayed back to national expert laboratories, which made
laboratory-substantiated information available for central analysis. FINDINGS:
Between Nov 1, 2013, and April 30, 2014, 455 sentinel hospitals in 36 countries
submitted 2703 clinical isolates (2301 [85%] K pneumoniae and 402 (15%) E coli).
850 (37%) of 2301 K pneumoniae samples and 77 (19%) of 402 E coli samples were
carbapenemase (KPC, NDM, OXA-48-like, or VIM) producers. The ratio of K
pneumoniae to E coli was 11:1. 1.3 patients per 10 000 hospital admissions had
positive clinical specimens. Prevalence differed greatly, with the highest rates
in Mediterranean and Balkan countries. Carbapenemase-producing K pneumoniae
isolates showed high resistance to last-line antibiotics. INTERPRETATION: This
initiative shows an encouraging commitment by all participants, and suggests that
challenges in the establishment of a continent-wide enhanced sentinel
surveillance for carbapenemase-producing Enterobacteriaeceae can be overcome.
Strengthening infection control efforts in hospitals is crucial for controlling
spread through local and national health care networks. FUNDING: European Centre
for Disease Prevention and Control.
PMID- 27866946
TI - Systems Genetics Approach Identifies Gene Pathways and Adamts2 as Drivers of
Isoproterenol-Induced Cardiac Hypertrophy and Cardiomyopathy in Mice.
AB - We previously reported a genetic analysis of heart failure traits in a population
of inbred mouse strains treated with isoproterenol to mimic catecholamine-driven
cardiac hypertrophy. Here, we apply a co-expression network algorithm, wMICA, to
perform a systems-level analysis of left ventricular transcriptomes from these
mice. We describe the features of the overall network but focus on a module
identified in treated hearts that is strongly related to cardiac hypertrophy and
pathological remodeling. Using the causal modeling algorithm NEO, we identified
the gene Adamts2 as a putative regulator of this module and validated the
predictive value of NEO using small interfering RNA-mediated knockdown in
neonatal rat ventricular myocytes. Adamts2 silencing regulated the expression of
the genes residing within the module and impaired isoproterenol-induced cellular
hypertrophy. Our results provide a view of higher order interactions in heart
failure with potential for diagnostic and therapeutic insights.
PMID- 27866947
TI - Integrating GWAS and Co-expression Network Data Identifies Bone Mineral Density
Genes SPTBN1 and MARK3 and an Osteoblast Functional Module.
AB - Bone mineral density (BMD) is a highly heritable predictor of osteoporotic
fracture. Genome-wide association studies (GWAS) for BMD have identified dozens
of associations; yet, the genes responsible for most associations remain elusive.
Here, we used a bone co-expression network to predict causal genes at BMD GWAS
loci based on the premise that genes underlying a disease are often functionally
related and functionally related genes are often co-expressed. By mapping genes
implicated by BMD GWAS onto a bone co-expression network, we predicted and
inferred the function of causal genes for 30 of 64 GWAS loci. We experimentally
confirmed that two of the genes predicted to be causal, SPTBN1 and MARK3, are
potentially responsible for the effects of GWAS loci on chromosomes 2p16.2 and
14q32.32, respectively. This approach provides a roadmap for the dissection of
additional BMD GWAS associations. Furthermore, it should be applicable to GWAS
data for a wide range of diseases.
PMID- 27866949
TI - Perioperative Periprosthetic Fractures Associated With Primary Total Hip
Arthroplasty.
AB - BACKGROUND: Periprosthetic fracture (PPF) is a rare but devastating complication
of primary total hip arthroplasty (THA). While PPF is associated with increased
morbidity and mortality, early revision rate, and poor patient outcome, there is
a paucity of data on patient and hospital-dependent risk factors. Using a large
administrative database, we investigated epidemiology and the risk factors
associated with perioperative PPF after primary THA. METHODS: We performed a
retrospective review of the National Inpatient Sample records from 2006 to 2011
and identified 1062 PPFs of 1,187,969 patients using International Classification
of Diseases, Ninth Revision code for PPF (996.44). We then analyzed
sociodemographic characteristics, comorbidities, and hospital characteristics of
our study population. RESULTS: The overall incidence of PPF in National Inpatient
Sample database was 0.089% (8.9 per 10,000 THAs). Patient-dependent risk factors
were: female (odds ratio [OR] 1.93, 95% confidence interval [CI] 1.67-2.22), low
household income (OR 1.4, 95% CI 1.18-1.65), Medicaid (OR 1.89, 95% CI 1.39
2.57), and uninsured (OR 2.74, 95% CI 1.63-4.61). Patients with malnutrition and
hemiparesis/hemiplegia were associated 10-fold and 6-fold risk of PPF.
Nonteaching hospitals (OR 1.15, 95% CI 1.01-1.32), hospitals in northeast (OR
1.29, 95% CI 1.04-1.59), and rural hospitals (OR 1.27, 95% CI 1.06-1.53) had
higher incidence of PPF. CONCLUSION: Our study demonstrates that the incidence of
PPF was low in our study population, and greater awareness is needed when
performing primary THAs in patients with risk factors identified in our study to
prevent PPF.
PMID- 27866948
TI - Pulmonary Embolism Rates Following Total Hip Arthroplasty With Prophylactic
Anticoagulation: Some Pulmonary Emboli Cannot Be Avoided.
AB - BACKGROUND: A symptomatic pulmonary embolism (PE) after total joint arthroplasty
has been described as a "never event." Despite potent anticoagulants and
improvements in patient care, PE continues to occur following total hip
arthroplasty (THA). This study evaluates symptomatic PE rates over time in THA
patients enrolled in multicenter randomized clinical trials (RCTs) assessing the
efficacy of venous thromboembolism prophylaxis regimens. METHODS: The MEDLINE and
Cochrane Central Register of Controlled Trials were searched to identify clinical
trials assessing prophylactic anticoagulation in patients undergoing THA between
January 1995 and December 2015. Inclusion criteria consisted of RCTs evaluating
prophylactic anticoagulation in patients undergoing THA. A random effect model
was used to combine PE rates across studies. RESULTS: A total of 21 studies
(34,764 patients) were included. Patients were administered low molecular weight
heparin (13,590 patients), oral factor Xa inhibitors (6609 patients), oral direct
thrombin inhibitors (5965 patients), indirect factors Xa/IIa inhibitors (3444
patients), aspirin (2427 patients), and warfarin (489 patients). Mobile
compression was used in 199 patients, and placebo was used in 2041 patients.
Across all included studies, the estimated PE rate was 0.21% (95% confidence
interval: 0.13%, 0.32%). Between 1997 and 2013, the proportion of PEs did not
change in regression analysis. CONCLUSION: Although the PE rate was low, it was
consistent throughout the 17 years spanning these RCTs, which excluded patients
with significant morbidity. These results suggest that even healthy THA patients
receiving aggressive anticoagulation still have a risk for PE, and the "never
event" designation requires reassessment.
PMID- 27866950
TI - Cause-Specific Mortality Trends Following Total Hip and Knee Arthroplasty.
AB - BACKGROUND: While studies have demonstrated that mortality after total hip (THA)
and total knee (TKA) arthroplasty is better than the general population, the
causes of death are not well established. We evaluated cause-specific mortality
after THA and TKA. METHODS: The study included population-based cohorts of
patients who underwent THA (N = 2019) and TKA (N = 2259) between 1969 and 2008.
Causes of death were classified using the International Classification of
Diseases 9th and 10th editions. Cause-specific standardized mortality ratios
(SMR) and 95% confidence intervals (CI) were calculated by comparing observed and
expected mortality. Expected mortality was derived from mortality rates in the
United States white population of similar calendar year, age, and sex
characteristics. RESULTS: All-cause mortality was lower than expected following
both THA and TKA. However, there was excess mortality due to mental diseases such
as dementia following both THA (SMR 1.40, 95% CI 1.08, 1.80) and TKA (SMR 1.49,
95% CI 1.19, 1.85). There was also excess mortality from inflammatory
musculoskeletal diseases in THA (SMR 3.50, 95% CI 2.11, 5.46) and TKA (SMR 4.85,
95% CI 3.29, 6.88). When the cohorts were restricted to patients with
osteoarthritis as the surgical indication, the excess risk of death from mental
diseases still persisted in THA (SMR 1.36, 95% CI 1.02, 1.78) and TKA (SMR 1.52,
95% CI 1.20, 1.91). CONCLUSION: THA and TKA patients experience a higher risk of
death from mental and inflammatory musculoskeletal diseases. These findings
warrant further research to identify drivers of mortality and prevention
strategies in arthroplasty patients.
PMID- 27866951
TI - The Incidence and Economic Burden of In-Hospital Venous Thromboembolism in the
United States.
AB - BACKGROUND: Venous thromboembolism (VTE) is a potentially preventable and costly
complication after total hip arthroplasty (THA) and total knee arthroplasty
(TKA). The in-hospital incidence and economic burden of VTE following total joint
arthroplasty (TJA) in the United States is unknown. The aim of this study was to
examine this issue. METHODS: The Nationwide Inpatient Sample was used to estimate
the total number of THA, TKA, and VTE events using International Classification
of Diseases, Ninth Revision procedure codes from years 2002 to 2011. The rate of
in-hospital deep vein thrombosis (DVT) and pulmonary embolism (PE), associated
length of hospitalization, and current and projected in-hospital charges were
obtained. RESULTS: Revision arthroplasties had higher rates of in-hospital VTE
compared to primary TJAs (2.5% vs 1.6%, P < .0001). Among primary TJAs, the
median rate of in-hospital VTE was 0.59% (0.55%-0.63%) for primary THA and 1.01%
(0.94%-1.08%) for primary TKA. Revision THAs developed more VTE events compared
to revision TKAs (1.35% [1.25%-1.46%] vs 1.16% [1.07%-1.26%]). Patients with a
VTE have longer hospitalizations (median primary TKA: 7 vs 3; median primary THA:
6 vs 3, P < .0001). The overall rate of VTE decreased over the last decade;
however, the PE rates have remained relatively constant. Moreover, the associated
costs with VTE events have increased significantly over the last decade.
CONCLUSION: Based on the analysis of the Nationwide Inpatient Sample database,
the rate of in-hospital DVT following TJA appears to have declined over the last
decade while the incidence of PE has remained constant. This may indicate that
the current recommendations by the American Academy of Orthopaedic Surgeons for
VTE prophylaxis are adequate for preventing DVT without increasing the rate of PE
or that institutional screening and reporting of DVT has been reduced because
DVTs became a "never" event.
PMID- 27866952
TI - Recent Trends in Blood Utilization After Primary Hip and Knee Arthroplasty.
AB - BACKGROUND: Blood conservation strategies have evolved greatly over the last 5
years. There is a paucity of large blood utilization studies of total hip
arthroplasty (THA) and total knee arthroplasty (TKA) that include recently
performed surgery. The purpose of this study was to use a large database to
evaluate trends in blood transfusion after THA and TKA, including 2015 data.
METHODS: The Humana data set was reviewed from 2007 to the third quarter of 2015
for all patients undergoing primary THA and TKA. Rates and type of postoperative
blood transfusion were trended through the years of the data set. Further
subgroup analysis was performed to evaluate the impact of patients' age, gender,
geographic location, and obesity on the incidence of blood transfusion using
standard statistical techniques. RESULTS: In total, 69,350 THA patients and
139,804 TKA patients were analyzed. Overall transfusion rate was 18.2% and 12.7%
after TKA and THA, respectively. The most common type of blood transfused was
allogeneic packed red blood cells (88% of all transfusions) followed by
perioperative collected autologous blood (12% of all transfusions). There were no
transfusions of preoperatively collected autologous blood. Transfusion rates
decreased significantly from 21.3%-8.7% and 17.3%-4.4% for THA and TKA,
respectively, over the years 2007-2015 (P < .001). CONCLUSION: Rates of blood
transfusion after primary THA and TKA have fallen precipitously since 2010 and
are now down to 9% and 4% for THA and TKA, respectively. Blood management
strategies instituted over the last 5 years have had a large impact on
transfusion rates after joint arthroplasty.
PMID- 27866953
TI - Triple-tandem high-dose-rate brachytherapy for early-stage medically inoperable
endometrial cancer: Initial report on acute toxicity and dosimetric comparison to
stereotactic body radiation therapy.
AB - PURPOSE: Stereotactic body radiotherapy (SBRT) may be appealing in medically
inoperable endometrial cancer to avoid procedural risks. We performed a
dosimetric comparison to triple-tandem, high-dose-rate (HDR) brachytherapy.
METHODS AND MATERIALS: Six consecutive clinical stage I, grade 1-2, medically
inoperable endometrial cancer patients were treated with triple-tandem HDR
brachytherapy. We report patient factors and acute toxicity. Also, we performed
dosimetric comparison to SBRT using both 3D conformal arc (3DArc) and volumetric
modulated arc therapy. D2cc values for normal tissues were calculated and
compared to the HDR plans. RESULTS: Median age was 57 years. Patient
comorbidities included morbid obesity, congestive heart failure, diabetes, and
pulmonary emboli. In three patients who received prior external beam radiation
(EBRT), median EBRT and HDR doses were 46 Gy and 20 Gy, respectively. The median
dose with HDR brachytherapy monotherapy was 35 Gy. Acute toxicities during EBRT
included gastrointestinal (3/3 with grade 1-2) and genitourinary (3/3 with grade
1-2). Acute toxicities during HDR brachytherapy were gastrointestinal (2/6 total
with grade 1-2) and genitourinary (2/6 total with grade 1). The mean D2cc/Gy of
prescription dose for rectum, sigmoid, and bladder were 0.58, 0.40, and 0.47
respectively. Overall, doses to normal tissues were higher for SBRT plans as
compared to HDR. Also, the R50 (ratio of the 50% prescription isodose volume to
the PTV) was lowest with HDR brachytherapy. CONCLUSIONS: In medically inoperable,
clinical stage I endometrial cancer patients with multiple comorbidities,
definitive triple-tandem, HDR brachytherapy results in mild acute toxicity. In
addition, HDR brachytherapy achieves relatively lower doses to surrounding normal
tissues as compared to SBRT.
PMID- 27866955
TI - Analysis of the power profile of a new soft contact lens for myopia progression.
PMID- 27866954
TI - The Enright phenomenon. Stereoscopic distortion of perceived driving speed
induced by monocular pupil dilation.
AB - PURPOSE: The Enright phenomenon describes the distortion in speed perception
experienced by an observer looking sideways from a moving vehicle when viewing
with interocular differences in retinal image brightness, usually induced by
neutral density filters. We investigated whether the Enright phenomenon could be
induced with monocular pupil dilation using tropicamide. METHODS: We tested 17
visually normal young adults on a closed road driving circuit. Participants were
asked to travel at Goal Speeds of 40km/h and 60km/h while looking sideways from
the vehicle with: (i) both eyes with undilated pupils; (ii) both eyes with
dilated pupils; (iii) with the leading eye only dilated; and (iv) the trailing
eye only dilated. For each condition we recorded actual driving speed. RESULTS:
With the pupil of the leading eye dilated participants drove significantly faster
(by an average of 3.8km/h) than with both eyes dilated (p=0.02); with the
trailing eye dilated participants drove significantly slower (by an average of
3.2km/h) than with both eyes dilated (p<0.001). The speed, with the leading eye
dilated, was faster by an average of 7km/h than with the trailing eye dilated
(p<0.001). There was no significant difference between driving speeds when
viewing with both eyes either dilated or undilated (p=0.322). CONCLUSIONS: Our
results are the first to show a measurable change in driving behaviour following
monocular pupil dilation and support predictions based on the Enright phenomenon.
PMID- 27866957
TI - Gender and the circadian pattern of body temperature in normoxia and hypoxia.
AB - Circadian patterns are at the core of many physiological processes, and their
disruption can have short- and long-term consequences. This essay focuses on one
of the best known patterns, the daily oscillation of body temperature (Tb), and
the possibility of its difference between genders. From human and animal studies
globally considered, the tentative conclusion is reached that differences in Tb
circadian pattern between genders are very small and probably limited to the
timing of the rhythm, not to its amplitude. Such similarity between genders,
despite the differences in hormonal systems, presumably testifies to the
importance that the Tb circadian pattern plays in the economy of the organism and
its survival against environmental challenges. The second part of the article
presents some previously unpublished experimental data from behaving male and
female rats during hypoxia in synchronized conditions. In adult rats hypoxia
(10.5% O2 for three days) caused a profound drop of the Tb daily oscillations; by
day 3 they were 55% (?) and 22% (?) of the normoxic amplitudes, with a
statistically significant gender difference. In pre-puberty rats (26-day old)
hypoxia caused a major disruption of the circadian pattern qualitatively similar
to the adults but not different between genders. Hence, on the basis of this
preliminary set of data, it seems that sex-hormones may be a factor in how the Tb
daily pattern responds to hypoxia. The implications of the effects of hypoxia on
the circadian patterns, and the possibility that such effects may differ between
genders, are matters that could have biological and clinical implications and
deserve further investigations.
PMID- 27866956
TI - Accuracy and completeness of drug information in Wikipedia medication monographs.
AB - OBJECTIVES: The primary objective of this study was to determine the accuracy and
completeness of drug information on Wikipedia and Micromedex compared with U.S.
Food and Drug Administration-approved U.S. product inserts. METHODS: The top 10
brand and top 10 generic medications from the 2012 Institute for Health
Informatics' list of top 200 drugs were selected for evaluation. Wikipedia
medication information was evaluated and compared with Micromedex in 7 sections
of drug information; the U.S. product inserts were used as the standard
comparator. RESULTS: Wikipedia demonstrated significantly lower completeness and
accuracy scores compared with Micromedex (mean composite scores 18.55 vs. 38.4,
respectively; P <0.01). No difference was found between the mean composite scores
for brand versus generic drugs in either reference (17.8 vs. 19.3, respectively
[P = 0.62], for Wikipedia; 39.2 vs. 37.6, [P = 0.06] for Micromedex). Limitations
to these results include the speed with which information is edited on Wikipedia,
that there was no evaluation of off-label information, and the limited number of
drugs that were evaluated. CONCLUSION: Wikipedia lacks the accuracy and
completeness of standard clinical references and should not be a routine part of
clinical decision making. More research should be conducted to evaluate the
rationale for health care providers' use of Wikipedia.
PMID- 27866958
TI - Use of Concomitant Stress Incontinence Surgery at Time of Pelvic Organ Prolapse
Surgery Since Release of the 2011 Notification on Serious Complications
Associated with Transvaginal Mesh.
AB - PURPOSE: There is controversy regarding the performance of concomitant anti
incontinence procedures at the time of pelvic organ prolapse repair. Data support
improvement in stress urinary incontinence with a concomitant sling but increased
adverse events. We assessed trends in preoperative stress urinary incontinence
evaluation, concomitant anti-incontinence procedure at pelvic organ prolapse
surgery and postoperative anti-incontinence procedures at our institution before
and after the 2011 FDA (U.S. Food and Drug Administration) Public Health
Notification pertaining to vaginal mesh. MATERIALS AND METHODS: We
retrospectively reviewed the records of patients who underwent pelvic organ
prolapse surgery from 2009 to 2015. Preoperative workup included assessment of
subjective stress urinary incontinence and/or evaluation for leakage with
reduction of pelvic organ prolapse on physical examination, urodynamics or a
pessary trial. The percentages of concomitant and postoperative anti-incontinence
procedures were compared before and after the 2011 FDA notification. RESULTS: A
total of 775 women underwent pelvic organ prolapse repair. The percentage of anti
incontinence procedures at pelvic organ prolapse repair decreased from 54.8% to
38.0% after the FDA notification (p = 0.002) while the incidence of preoperative
objective stress urinary incontinence on examination, urodynamics and pessary
trials remained constant. The incidence of postoperative anti-incontinence
procedures within 1 year of the index surgery remained low. CONCLUSIONS: We found
a decrease in the incidence of concomitant anti-incontinence procedures at the
time of pelvic organ prolapse repair following the 2011 FDA notification despite
no significant decline in subjective stress urinary incontinence or demonstrable
stress urinary incontinence on preoperative evaluation. Further analysis is
warranted to assess the impact of the FDA notification on treatment patterns in
women with pelvic organ prolapse and stress urinary incontinence.
PMID- 27866959
TI - Evaluating the risk of hypertension according to the metabolic health status
stratified by degree of obesity.
AB - Despite the accumulated evidence showing the significant association between
hypertension and obesity, it remains unclear how metabolic healthy status of
obesity have an impact on the development of hypertension. Thus, this study was
to investigate the risk of hypertension according to the metabolic healthy status
stratified by the degree of obesity. A cohort of 25,442 Korean men without
hypertension at baseline was followed-up from 2005 to 2010. They were divided
into the following 6 phenotypes according to their baseline metabolic health and
obesity status: metabolically healthy normal weight (MH-NW), metabolically
healthy overweight (MH-OW), metabolically healthy obese (MHO), metabolically
unhealthy normal weight (MU-NW), metabolically unhealthy overweight (MU-OW), and
metabolically unhealthy obese (MUO). Cox proportional hazard model was used to
evaluate the risk for hypertension according to the metabolically healthy status
stratified by degree of obesity. During 91,256.4 person-years of follow-up, 4633
participants (18.2%) developed hypertension. The adjusted hazard ratios (95%
confidence intervals) for hypertension in MH-OW, MHO, MU-NW, MU-OW, and MUO
phenotypes compared with MH-NW phenotype were 1.13 (1.04-1.23), 1.43 (1.15-1.69),
1.17 (1.02-1.34), 1.49 (1.27-1.72), and 1.54 (1.36-1.88), respectively. The
interaction between metabolically healthy status and degree of obesity was not
significant (P for interaction = .29). Compared with MH-NW, both the obese
phenotype and metabolically unhealthy phenotype independently had an increased
risk for hypertension. These results imply that both metabolic health status and
obesity status play important roles independently in the risk of hypertension in
Korean men.
PMID- 27866960
TI - Ethanol-seeking behavior is expressed directly through an extended amygdala to
midbrain neural circuit.
AB - Abstinent alcohol-dependent individuals experience an enduring sensitivity to cue
induced craving and relapse to drinking. There is considerable evidence
indicating that structures within the midbrain and extended amygdala are involved
in this process. Individually, the ventral tegmental area (VTA) and the bed
nucleus of the stria terminalis (BNST) have been shown to modulate cue-induced
ethanol-seeking behavior. It is hypothesized that cue-induced seeking is
communicated through a direct projection from the BNST to VTA. In the current
experiments, an intersectional viral strategy was used in DBA/2J mice to
selectively target and inhibit BNST projections to the VTA during a test of
ethanol conditioned place preference (CPP). Inhibitory designer receptors
exclusively activated by designer drugs (hM4Di DREADDs) were expressed in VTA
projecting BNST (BNST-VTA) cells by infusing a retrograde herpes-simplex virus
encoding cre recombinase (HSV-Cre) into VTA and a cre-inducible adeno-associated
virus encoding hM4Di (AAV-DIO-hM4Di) into BNST. Before testing the expression of
preference, clozapine-N-oxide (CNO) was peripherally administered to activate
hM4Di receptors and selectively inhibit these cells. Ethanol CPP expression was
blocked by CNO-mediated inhibition of BNST-VTA cells. A follow-up study revealed
this effect was specific to CNO activation of hM4Di as saline- and CNO-treated
mice infused with a control vector (HSV-GFP) in place of HSV-Cre showed
significant CPP. These findings establish a role for a direct BNST input to VTA
in cue-induced ethanol-seeking behavior.
PMID- 27866961
TI - Paradoxical results of two automated real-time PCR assays in the diagnosis of
pleural tuberculosis.
AB - Tuberculosis (TB) is a major cause of worldwide mortality. We report the case of
a non-HIV-infected woman with clinical suspicion of pleural tuberculosis and
contradictory results between Xpert(r) MTB/RIF and Abbott RealTime MTB assays
from pleural fluid specimen. Liquid and solid cultures for tuberculosis were
performed with negative results. The patient received treatment, and clinical
improvement was observed. Both techniques detect Mycobacterium tuberculosis
complex, but they have different targets and limits of detection. Abbott RealTime
MTB results correlated well with the clinical findings of the patient.
PMID- 27866962
TI - Distribution of muscarinic acetylcholine receptor subtypes in the murine small
intestine.
AB - AIMS: Serotonin stimulates enterocyte turnover in the small intestine and studies
suggest this is mediated by neuronal signaling via a cholinergic pathway.
Distribution of the five known muscarinic receptor subtypes (mAChRs) in the small
intestine has not been fully studied, and their role in intestinal growth is
unknown. We hypothesized that mAChRs have distinct anatomic distributions within
the bowel, and that mAChRs present within intestinal crypts mediate the effects
of acetylcholine on the small intestinal mucosa. MAIN METHODS: Small intestine
from male C57BL/6 mice ages 2, 4, 6, and 8weeks were harvested. RNA was isolated
and cDNA synthesized for PCR-amplification of subtype specific mAChRs. Ileum was
fixed with Nakane, embedded in epon, and immunofluorescence microscopy performed
using polyclonal antibodies specific to each mAChR1-5. KEY FINDINGS: All five
mAChR subtypes were present in the mouse duodenum, jejunum, and ileum at all ages
by RT-PCR. Immunofluorescence microscopy suggested the presence of mAChR1-5 in
association with mature enterocytes along the villus and within the myenteric
plexus. Only mAChR2 clearly localized to the crypt stem cell compartment,
specifically co-localizing with Paneth cells at crypt bases. SIGNIFICANCE:
Muscarinic receptors are widely distributed along the entire alimentary tract.
mAChR2 appears to localize to the crypt stem cell compartment, suggesting it is a
plausible regulator of stem cell activity. The location of mAChR2 to the crypt
makes it a potential therapeutic target for treatment of intestinal disease such
as short bowel syndrome. The exact cellular location and action of each mAChR
requires further study.
PMID- 27866963
TI - Interdialytic Weight Gain: Trends, Predictors, and Associated Outcomes in the
International Dialysis Outcomes and Practice Patterns Study (DOPPS).
AB - BACKGROUND: High interdialytic weight gain (IDWG) is associated with adverse
outcomes in hemodialysis (HD) patients. We identified temporal and regional
trends in IDWG, predictors of IDWG, and associations of IDWG with clinical
outcomes. STUDY DESIGN: Analysis 1: sequential cross-sections to identify
facility- and patient-level predictors of IDWG and their temporal trends.
Analysis 2: prospective cohort study to assess associations between IDWG and
mortality and hospitalization risk. SETTING & PARTICIPANTS: 21,919 participants
on HD therapy for 1 year or longer in the Dialysis Outcomes and Practice Patterns
Study (DOPPS) phases 2 to 5 (2002-2014). PREDICTORS: Analysis 1: study phase,
patient demographics and comorbid conditions, HD facility practices. Analysis 2:
relative IDWG, expressed as percentage of post-HD weight (<0%, 0%-0.99%, 1%
2.49%, 2.5%-3.99% [reference], 4%-5.69%, and >=5.7%). OUTCOMES: Analysis 1:
relative IDWG as a continuous variable using linear mixed models; analysis 2:
mortality; all-cause and cause-specific hospitalization using Cox regression,
adjusting for potential confounders. RESULTS: From phase 2 to 5, IDWG declined in
the United States (-0.29kg; -0.5% of post-HD weight), Canada (-0.25kg; -0.8%),
and Europe (-0.22kg; -0.5%), with more modest declines in Japan and Australia/New
Zealand. Among modifiable factors associated with IDWG, the most notable was
facility mean dialysate sodium concentration: every 1-mEq/L greater dialysate
sodium concentration was associated with 0.13 (95% CI, 0.11-0.16) greater
relative IDWG. Compared to relative IDWG of 2.5% to 3.99%, there was elevated
risk for mortality with relative IDWG>=5.7% (adjusted HR, 1.23; 95% CI, 1.08
1.40) and elevated risk for fluid-overload hospitalization with relative IDWG>=4%
(HRs of 1.28 [95% CI, 1.09-1.49] and 1.64 [95% CI, 1.27-2.13] for relative IDWGs
of 4%-5.69% and >=5.7%, respectively). LIMITATIONS: Possible residual
confounding. No dietary salt intake data. CONCLUSIONS: Reductions in IDWG during
the past decade were partially explained by reductions in dialysate sodium
concentration. Focusing quality improvement strategies on reducing occurrences of
high IDWG may improve outcomes in HD patients.
PMID- 27866965
TI - Proton Pump Inhibitor Use and Risk of Hip Fracture in Kidney Transplant
Recipients.
AB - BACKGROUND: Posttransplantation bone disease is a significant problem, with few
well-evidenced therapeutic options. Proton pump inhibitors (PPIs) are associated
with hip fracture in the general population and are widely prescribed for kidney
transplant recipients. STUDY DESIGN: A case-control study. SETTING &
PARTICIPANTS: From the US Renal Data System, we identified from diagnoses and
procedures 231 kidney transplant recipients with a first hip fracture. Cases were
matched at the hip fracture index date with 15,575 controls on age, sex, race,
and transplantation year. PREDICTOR: PPI use. OUTCOMES: First hip fracture.
RESULTS: In the year prior to the index date, a PPI was prescribed to 65.4% of
cases and 57.4% of controls. Additionally, in 34.6% of cases and 28.9% of
controls, a PPI was prescribed for >80% of the year preceding the index date
(higher PPI users). Unadjusted ORs of hip fracture associated with any and higher
PPI use were 1.55 (95% CI, 1.18-2.05) and 1.65 (95% CI, 1.2-2.27), respectively.
When adjusted for baseline demographic, clinical, and pharmacologic covariables,
any and higher PPI use remained associated with hip fracture, with ORs of 1.39
(95% CI, 1.04-1.84) and 1.41 (95% CI, 1.02-1.95), respectively. LIMITATIONS:
Potential residual confounding through either incorrectly ascertained or
unavailable confounders; cohort limited to Medicare beneficiaries receiving low
income subsidy. CONCLUSIONS: In summary, PPI use was associated with hip fracture
risk in the US kidney transplant population.
PMID- 27866966
TI - Complications of Vascular Access: Superior Vena Cava Syndrome.
AB - Stenosis or occlusion of central veins in hemodialysis patients is common,
especially with previous intravascular catheter or device use. Superior vena cava
(SVC) obstruction is emerging as a frequent chronic complication of central vein
cannulation that not only jeopardizes the availability of vascular access for
hemodialysis, but can become a life-threatening emergency. Clinical features of
SVC syndrome can be subtle or dramatic, including facial swelling and shortness
of breath, which require expeditious attention and intervention. The approach to
SVC syndrome involves judicious use of imaging techniques to define the cause and
location. Early management with endovascular intervention with angioplasty and
stent placement is the usual first choice. The occlusion can often be recanalized
using new techniques such as radiofrequency wire and then salvaged with stents,
providing prompt resolution of symptoms. Limitations to interventions include
requirement of cutting-edge equipment, expertise, expense, and the usually
temporary nature of the resolution. Surgery is considered the treatment of last
resort for refractory cases. SVC syndrome can be prevented by minimizing catheter
and intravascular device use through early recognition of patients with chronic
kidney disease, early referral for education about all choices for kidney
replacement modalities, and early placement of arteriovenous access prior to the
onset of dialysis therapy.
PMID- 27866964
TI - Dialysate Potassium, Serum Potassium, Mortality, and Arrhythmia Events in
Hemodialysis: Results From the Dialysis Outcomes and Practice Patterns Study
(DOPPS).
AB - BACKGROUND: Sudden death is a leading cause of death in patients on maintenance
hemodialysis therapy. During hemodialysis sessions, the gradient between serum
and dialysate levels results in rapid electrolyte shifts, which may contribute to
arrhythmias and sudden death. Controversies exist about the optimal electrolyte
concentration in the dialysate; specifically, it is unclear whether patient
outcomes differ among those treated with a dialysate potassium concentration of 3
mEq/L compared to 2 mEq/L. STUDY DESIGN: Prospective cohort study. SETTING &
PARTICIPANTS: 55,183 patients from 20 countries in the Dialysis Outcomes and
Practice Patterns Study (DOPPS) phases 1 to 5 (1996-2015). PREDICTOR: Dialysate
potassium concentration at study entry. OUTCOMES: Cox regression was used to
estimate the association between dialysate potassium concentration and both all
cause mortality and an arrhythmia composite outcome (arrhythmia-related
hospitalization or sudden death), adjusting for potential confounders. RESULTS:
During a median follow-up of 16.5 months, 24% of patients died and 7% had an
arrhythmia composite outcome. No meaningful difference in clinical outcomes was
observed for patients treated with a dialysate potassium concentration of 3
versus 2 mEq/L (adjusted HRs were 0.96 [95% CI, 0.91-1.01] for mortality and 0.98
[95% CI, 0.88-1.08] for arrhythmia composite). Results were similar across
predialysis serum potassium levels. As in prior studies, higher serum potassium
level was associated with adverse outcomes. However, dialysate potassium
concentration had only minimal impact on serum potassium level measured
predialysis (+0.09 [95% CI, 0.05-0.14] mEq/L serum potassium per 1 mEq/L greater
dialysate potassium concentration). LIMITATIONS: Data were not available for
delivered (vs prescribed) dialysate potassium concentration and postdialysis
serum potassium level; possible unmeasured confounding. CONCLUSIONS: In
combination, these results suggest that approaches other than altering dialysate
potassium concentration (eg, education on dietary potassium sources and
prescription of potassium-binding medications) may merit further attention to
reduce risks associated with high serum potassium levels.
PMID- 27866967
TI - Cryofibrinogen-Associated Glomerulonephritis.
AB - Cryofibrinogen is an under-recognized cryoprotein. Cryofibrinogen is a
cryoprecipitate that develops following plasma refrigeration, but does not occur
in cold serum. People with cryofibrinogenemia may be asymptomatic, but this
cryoprotein can be associated with thromboembolic disease, particularly affecting
the skin. Kidney manifestations are relatively uncommon, but are likely
underestimated. We describe clinical features and kidney biopsy results in 2
patients with cryofibrinogen-related kidney disease. Both patients presented with
proteinuria and hematuria. One had significant cutaneous ulcers and palpable
purpura. Kidney biopsy in both cases showed membranoproliferative
glomerulonephritis with no immunoglobulin deposition. Weak segmental capillary
wall fibrinogen staining was noted in glomeruli. Immunofluorescence studies
following pronase digestion failed to reveal masked immunoglobulin deposits.
Ultrastructural studies were distinctive and characterized by organized deposits
of large-bore with multilayered tubular structures and fine fibrillary structures
in a matrix. To confirm the composition of deposits, we extracted the
cryoprecipitate from plasma of a patient and performed ultrastructural studies,
which showed identical ultrastructural characteristics to those seen on the
kidney biopsy. We also performed proteomic analysis of the cryoprecipitate that
confirmed the presence of fibrinogen. Subsequent laboratory evaluation was
positive for cryofibrinogen in both patients on multiple occasions. Appropriate
therapy was instituted in both patients, which included prednisone,
immunosuppressive therapy, and avoidance of cold exposure. In summary, we present
clinical, kidney biopsy, and laboratory findings and the treatment and follow-up
of cryofibrinogen-associated glomerulonephritis. Awareness of this entity will
result in accurate diagnoses, appropriate investigation, and treatment.
PMID- 27866968
TI - Vasectomy Reversal Surgical Patterns: An Analysis of the American Board of
Urology Case Logs.
AB - OBJECTIVE: To characterize vasectomy reversal practice patterns among American
Board of Urology (ABU) certifying urologists. MATERIALS AND METHODS: We reviewed
the ABU case logs for certifying urologists from 2008 to 2014. Vasectomy reversal
procedures were identified by 3 current procedure terminology (CPT) codes: 55400
(vasovasostomy), 54900 (epididymovasostomy, unilateral), and 54901
(epididymovasostomy, bilateral). Demographic data were obtained and reviewed.
Multivariate analysis determined the factors influencing the performance of
surgical approach. RESULTS: There were 5167 urologists who submitted case logs
for 2008-2014, and 9.4% (486) had performed at least one vasectomy reversal
procedure. General urologists accounted for the highest overall volume of
vasectomy reversal procedures. Andrology-trained urologists performed a higher
volume of vasovasostomy per surgeon, and bilateral epididymovasostomy constituted
a greater portion of their E-V practice. Multivariate analysis demonstrated that
being in recertification years, being younger in age, practicing in the South
Central, Southeast, and Western regions, and practicing in the largest and
smallest practice areas were associated with being more likely to perform a
vasectomy reversal procedure. CONCLUSION: Microsurgical vasectomy reversals are
putatively considered technically challenging and reserved for fellowship-trained
urologists, and the majority of vasectomy reversal surgeries were performed by
general urologists. Given the known association between microsurgical technique
and improved outcomes, greater emphasis should be placed on microsurgical
training during urology residency.
PMID- 27866969
TI - Overexpression of CD109 in the Epidermis Differentially Regulates ALK1 Versus
ALK5 Signaling and Modulates Extracellular Matrix Synthesis in the Skin.
AB - Transforming growth factor-beta (TGF-beta) is a multifunctional growth factor
involved in many physiological processes including wound healing and
inflammation. Excessive TGF-beta signaling in the skin has been implicated in
fibrotic skin disorders such as keloids and scleroderma. We previously identified
CD109 as a TGF-beta co-receptor and inhibitor of TGF-beta signaling and have
shown that transgenic mice overexpressing CD109 in the epidermis display
decreased scarring. In certain cell types, in addition to the canonical type I
receptor, ALK5, which activates Smad2/3, TGF-beta can signal through another type
I receptor, ALK1, which activates Smad1/5. Here we demonstrate that ALK1 is
expressed and co-localizes with CD109 in mouse keratinocytes and that mice
overexpressing CD109 in the epidermis display enhanced ALK1-Smad1/5 signaling but
decreased ALK5-Smad2/3 signaling, TGF-beta expression, and extracellular matrix
production in the skin when compared with wild-type littermates. Furthermore,
treatment with conditioned media from isolated keratinocytes or epidermal
explants from CD109 transgenic mouse skin leads to a decrease in extracellular
matrix production in mouse skin fibroblasts. Taken together, our findings suggest
that CD109 differentially regulates TGF-beta-induced ALK1-Smad1/5 versus ALK5
Smad2/3 pathways, leading to decreased extracellular matrix production in the
skin and that epidermal CD109 expression regulates dermal function through a
paracrine mechanism.
PMID- 27866971
TI - Snapping knee caused by the thickening of the medial hamstrings.
AB - We report a case of symptomatic subluxation of the semitendinosus and gracilis
over the medial condyle of the tibia caused by the thickening of its tendons.
Snapping was reproduced on active extension. Clinical examination and, above all,
dynamic ultrasound were the key for the diagnosis because other imaging tests
were normal. Due to failure of conservative treatment with physiotherapy and
infiltrations, surgery was undertaken, involving desinsertion and excision of
distal 8cm segment of the semitendinosus and gracilis tendons. At the present
time (6 months postoperatively), the patient is symptom-free and has returned to
the previous normal life activities.
PMID- 27866970
TI - Genotype-Phenotype Study of the Middle Gangetic Plain in India Shows Association
of rs2470102 with Skin Pigmentation.
AB - Our understanding of the genetics of skin pigmentation has been largely skewed
towards populations of European ancestry, imparting less attention to South Asian
populations, who behold huge pigmentation diversity. Here, we investigate skin
pigmentation variation in a cohort of 1,167 individuals in the Middle Gangetic
Plain of the Indian subcontinent. Our data confirm the association of rs1426654
with skin pigmentation among South Asians, consistent with previous studies, and
also show association for rs2470102 single nucleotide polymorphism. Our haplotype
analyses further help us delineate the haplotype distribution across social
categories and skin color. Taken together, our findings suggest that the social
structure defined by the caste system in India has a profound influence on the
skin pigmentation patterns of the subcontinent. In particular, social category
and associated single nucleotide polymorphisms explain about 32% and 6.4%,
respectively, of the total phenotypic variance. Phylogeography of the associated
single nucleotide polymorphisms studied across 52 diverse populations of the
Indian subcontinent shows wide presence of the derived alleles, although their
frequencies vary across populations. Our results show that both polymorphisms
(rs1426654 and rs2470102) play an important role in the skin pigmentation
diversity of South Asians.
PMID- 27866972
TI - Letrozole regulates actin cytoskeleton polymerization dynamics in a SRC-1
dependent manner in the hippocampus of mice.
AB - In the hippocampus, local estrogens (E2) derived from testosterone that is
catalyzed by aromatase play important roles in the regulation of hippocampal
neural plasticity, but the underlying mechanisms remain unclear. The actin
cytoskeleton contributes greatly to hippocampal synaptic plasticity; however,
whether it is regulated by local E2 and the related mechanisms remain to be
elucidated. In this study, we first examined the postnatal developmental profiles
of hippocampal aromatase and specific proteins responsible for actin cytoskeleton
dynamics. Then we used aromatase inhibitor letrozole (LET) to block local E2
synthesis and examined the changes of these proteins and steroid receptor
coactivator-1 (SRC-1), the predominant coactivator for steroid nuclear receptors.
Finally, SRC-1 specific RNA interference was used to examine the effects of SRC-1
on the expression of these actin remodeling proteins. The results showed a V-type
profile for aromatase and increased profiles for actin cytoskeleton proteins in
both male and female hippocampus without obvious sex differences. LET treatment
dramatically decreased the F-actin/G-actin ratio, the expression of Rictor,
phospho-AKT (ser473), Profilin-1, phospho-Cofilin (Ser3), and SRC-1 in a dose
dependent manner. In vitro studies demonstrated that LET induced downregulation
of these proteins could be reversed by E2, and E2 induced increase of these
proteins were significantly suppressed by SRC-1 shRNA interference. These results
for the first time clearly demonstrated that local E2 inhibition could induce
aberrant actin polymerization; they also showed an important role of SRC-1 in the
mediation of local E2 action on hippocampal synaptic plasticity by regulation of
actin cytoskeleton dynamics.
PMID- 27866973
TI - Fluorescent rare earth solutions as intrinsic wavelength standards for protein
fluorescence spectroscopy.
AB - Trivalent Gd, Tm, and Dy solutions can be used as intrinsic excitation and
emission standards to validate the UV and violet-blue wavelength accuracy of a
spectrofluorimeter. Europium extends the range into the red. To attain sufficient
sensitivity, these luminescent rare earth ions require deuterated reagents or
carbonate complexation, which allow the use of ordinary water and thus
preparation in virtually any laboratory. Such solutions are particularly valuable
as system suitability standards (SST) for protein fluorescence spectroscopy to
detect red shifts of the intrinsic fluorescence maximum in stability and storage
studies.
PMID- 27866975
TI - Expression of stem cell markers in oral cavity and oropharynx squamous cell
carcinoma.
AB - OBJECTIVES: The aim of this study was to analyze the expression of CD24, CD44,
CD133, ALDH1, CD29 (integrin-beta1), and Ki-67 in squamous cell carcinoma of the
oral cavity and oropharynx. STUDY DESIGN: Fifty-two tumors and 21 metastatic
lymph nodes were evaluated by using immunohistochemistry. RESULTS: Seven of 52
cases (13.5%) showed positive cytoplasmic staining of aldehyde dehydrogenase 1;
integrin-beta1 was expressed in 45 of 50 cases (90%); 30 of 52 cases (57.7%) had
positive membranous staining of CD44; CD24 was expressed in 44 of 50 cases (88%);
and three of 52 cases (5.8%) stained positively for membranous CD133. Median
proliferation rate, measured by Ki-67, was 37.1% for tumors. Five-year cancer
specific survival rates for the CD44-negative and CD44-positive groups were 74%
and 38%, respectively, although this difference did not reach statistical
significance (P = .052). CONCLUSIONS: Our study demonstrated the expression of
putative stem cell markers in squamous cell carcinoma of the oral cavity and
oropharynx, with participation of CD44-positive cells in association with poor
survival outcome.
PMID- 27866976
TI - Protective effect of rosiglitazone against acetaminophen-induced acute liver
injury is associated with down-regulation of hepatic NADPH oxidases.
AB - The peroxisome proliferator-activated receptor gamma (PPAR-gamma) is a ligand
activated nuclear receptor that regulates glucose and lipid metabolism. The aim
of the present study was to investigate the effects of rosiglitazone (RSG), a
synthetic PPAR-gamma agonist, on acetaminophen (APAP)-induced acute liver injury.
Male CD-1 mice were injected with APAP (300mg/kg). Some mice were pretreated with
RSG (20mg/kg) 48, 24 and 1h before APAP injection. As expected, RSG pretreatment
alleviated APAP-induced acute liver injury. Moreover, RSG pretreatment attenuated
APAP-induced hepatic cell death and improved the survival. Although it did not
affect hepatic cytochrome P450 (CYP)2E1 expression, RSG pretreatment attenuated
reduction of hepatic glutathione peroxidase (GSH-Px), glutathione reductase (GSH
Rd) and glutathione S-transferase (GST) activities, inhibited upregulation of
hepatic nicotinamide adenine dinucleotide phosphate (NADPH) oxidase (NOX)-2 and
NOX-4, and alleviated hepatic GSH depletion during APAP-induced acute liver
injury. In addition, RSG pretreatment suppressed activation of hepatic nuclear
factor kappa B (NF-kappaB) and extracellular signal-related kinase (ERK)/mitogen
activated protein kinase (MAPK) signaling during APAP-induced acute liver injury.
These results provide a novel mechanistic explanation for RSG-mediated protection
against APAP-induced acute liver injury. The present results suggest that
synthetic PPAR-gamma agonists might be effective agents for preventing the
progression of APAP-induced acute liver injury.
PMID- 27866977
TI - The anti-hyperplasia, anti-oxidative and anti-inflammatory properties of Qing Ye
Dan and swertiamarin in testosterone-induced benign prostatic hyperplasia in
rats.
AB - Qing Ye Dan (QYD) is the whole plant of Swertia mileensis and used in Chinese
folk medicine for the treatment of prostatitis, benign prostatic hyperplasia
(BPH) and so on. This study was to investigate the effects of QYD and its main
component swertiamarin on BPH induced by testosterone in rats. The prostatic
expressions of vascular endothelial growth factor (VEGF), epidermal growth factor
(EGF), basic fibroblast growth factor (betaFGF) and proliferating cell nuclear
antigen (PCNA) were detected by immunohistochemistry assay. Prostatic levels of
oxidative stress and inflammatory-related factors were also analyzed.
Additionally, the prostatic expressions of androgen receptor (AR), estrogen
receptor (ER)-alpha, ER-beta, hypoxia-inducible factor (HIF)-1alpha, B-cell
CLL/lymphoma (Bcl)-2 and Bcl-2-associated X protein (Bax) were measured by
western blot. The epithelial-mesenchymal transition (EMT) associated factors were
evaluated by quantitative RT-PCR. It showed that QYD and swertiamarin ameliorated
the testosterone-induced prostatic hyperplasia and collagen deposition,
attenuated the over-expressions of HIF-1alpha, VEGF, EGF, betaFGF, PCNA, AR and
ER-alpha, reduced the ratio of Bcl-2/Bax, enhanced the expression of ER-beta,
inhibited the oxidative stress and local inflammation, as well as relieved
prostatic EMT. It suggested that QYD and swertiamarin had prostatic protective
potential against BPH.
PMID- 27866978
TI - Stability and structural properties of gene regulation networks with coregulation
rules.
AB - Coregulation of the expression of groups of genes has been extensively
demonstrated empirically in bacterial and eukaryotic systems. Such coregulation
can arise through the use of shared regulatory motifs, which allow the
coordinated expression of modules (and module groups) of functionally related
genes across the genome. Coregulation can also arise through the physical
association of multi-gene complexes through chromosomal looping, which are then
transcribed together. We present a general formalism for modeling coregulation
rules in the framework of Random Boolean Networks (RBN), and develop specific
models for transcription factor networks with modular structure (including module
groups, and multi-input modules (MIM) with autoregulation) and multi-gene
complexes (including hierarchical differentiation between multi-gene complex
members). We develop a mean-field approach to analyse the dynamical stability of
large networks incorporating coregulation, and show that autoregulated MIM and
hierarchical gene-complex models can achieve greater stability than networks
without coregulation whose rules have matching activation frequency. We provide
further analysis of the stability of small networks of both kinds through
simulations. We also characterize several general properties of the transients
and attractors in the hierarchical coregulation model, and show using simulations
that the steady-state distribution factorizes hierarchically as a Bayesian
network in a Markov Jump Process analogue of the RBN model.
PMID- 27866979
TI - Species tree estimation using Neighbor Joining.
AB - Recent theoretical work has demonstrated that Neighbor Joining applied to
concatenated DNA sequences is a statistically consistent method of species tree
reconstruction. This brief note compares the accuracy of this approach to other
popular statistically consistent species tree reconstruction algorithms including
ASTRAL-II Neighbor Joining using average gene-tree internode distances (NJst) and
SVD-Quartets+PAUP*, as well as concatenation using maximum likelihood (RaxML). We
find that the faster Neighbor Joining, applied to concatenated sequences, is
among the most effective of these methods for accurate species tree
reconstruction.
PMID- 27866980
TI - Spherical agglomerates of lactose with enhanced mechanical properties.
AB - The aim of this study was to prepare spherical agglomerates of lactose and to
evaluate their physicochemical properties, flow properties, particle friability
and compaction properties, and to compare them to commercially available types of
lactose for direct compression (spray-dried, granulated and anhydrous beta
lactose). Porous spherical agglomerates of alpha-lactose monohydrate with
radially arranged prism-like primary particles were prepared exhibiting a high
specific surface area. All types of lactose analysed had passable or better flow
properties, except for anhydrous beta-lactose, which had poor flowability.
Particle friability was more pronounced in larger granulated lactose particles;
however, particle structure was retained in all samples analysed. The mechanical
properties of spherical agglomerates of lactose, in terms of compressibility,
established with Walker analysis, and compactibility, established with a
compactibility profile, were found to be superior to any commercially available
types of lactose. Higher compactibility of spherical agglomerates of lactose is
ascribed to significantly higher particle surface area due to a unique internal
structure with higher susceptibility to fragmentation.
PMID- 27866974
TI - Sphingolipids in neutrophil function and inflammatory responses: Mechanisms and
implications for intestinal immunity and inflammation in ulcerative colitis.
AB - Bioactive sphingolipids are regulators of immune cell function and play critical
roles in inflammatory conditions including ulcerative colitis. As one of the
major forms of inflammatory bowel disease, ulcerative colitis pathophysiology is
characterized by an aberrant intestinal inflammatory response that persists
causing chronic inflammation and tissue injury. Innate immune cells play an
integral role in normal intestinal homeostasis but their dysregulation is thought
to contribute to the pathogenesis of ulcerative colitis. In particular,
neutrophils are key effector cells and are first line defenders against invading
pathogens. While the activity of neutrophils in the intestinal mucosa is required
for homeostasis, regulatory mechanisms are equally important to prevent
unnecessary activation. In ulcerative colitis, unregulated neutrophil
inflammatory mechanisms promote tissue injury and loss of homeostasis. Aberrant
neutrophil function represents an early checkpoint in the detrimental cycle of
chronic intestinal inflammation; thus, dissecting the mechanisms by which these
cells are regulated both before and during disease is essential for understanding
the pathogenesis of ulcerative colitis. We present an analysis of the role of
sphingolipids in the regulation of neutrophil function and the implication of
this relationship in ulcerative colitis.
PMID- 27866981
TI - Multiple coexistence equilibria in a two parasitoid-one host model.
AB - Briggs et al. (1993) introduced a host-parasitoid model for the dynamics of a
system with two parasitoids that attack different juvenile stages of a common
host. Their main result was that coexistence of the parasitoids is only possible
when there is sufficient variability in the maturation delays of the host
juvenile stages. Here, we analyze the phenomenon of coexistence in that model
more deeply. We show that with some distribution families for the maturation
delays, the coexistence equilibrium is unique, while with other distributions
multiple coexistence equilibria can be found. In particular, we find that stable
coexistence does not necessarily require mutual invasibility.
PMID- 27866983
TI - Downregulation of cytochrome c oxidase subunit 7A1 expression is important in
enhancing cell proliferation in adenocarcinoma cells.
AB - Mitochondrial Dysfunction has been implicated in multiple human diseases,
including cancer. Among all cancer, lung cancer is the most common type of cancer
worldwide with low survival rates. Mammals possess multiple subunits of the
mitochondrial enzyme Cytochrome C oxidase (COX). The COX subunits are expressed
in a tissue specific manner and have been implicated in cancer cell metabolism
although their molecular and regulatory mechanisms are not clearly understood. In
this study, we aimed at identifying novel gene signatures in lung cancer. We
performed extensive analysis of seven different Gene Expression Omnibus (GEO)
datasets pertaining to different stages of lung adenocarcinoma and identified
that multiple subunits of COX genes are differentially expressed in these
patients. Amongst all COX genes, the expression of COX7A1 gene was observed to be
highly down regulated in these patients. In order to validate the GEO datasets,
we looked at the expression of multiple COX genes using quantitative real time
PCR (qPCR) using human lung adenocarcinoma cell line A549. Our results confirmed
that COX 7A1 gene expression was indeed highly reduced in these cells.
Overexpression of COX7A1 in human lung cancer cells led to inhibition of cell
proliferation and increase in cell death via apoptosis. These results indicated
that low level of COX7A1 gene expression is essential to regulate cell viability
and inhibit cell death in lung adenocarcinoma. Our study has identified COX7A1 as
a novel gene that might play a crucial role in the etiology of lung
adenocarcinoma and can serve as a biomarker for lung cancer disease progression.
PMID- 27866982
TI - Structure of the NS5 methyltransferase from Zika virus and implications in
inhibitor design.
AB - Recent outbreak of flavivirus Zika virus (ZIKV) in America has urged the basic as
well as translational studies of this important human pathogen. The nonstructural
protein 5 (NS5) of the flavivirus has an N-terminal methyltransferase (MTase)
domain that plays critical roles in viral RNA genome capping. The null mutant of
NS5 MTase is lethal for virus. Therefore, NS5 is a potential drug target for the
treatment of Zika virus infection. In this study, we determined crystal
structures of the ZIKV MTase in complex with GTP and RNA cap analogue 7meGpppA.
Structural analyses revealed highly conserved GTP/cap-binding pocket and S
adenosylmethionine (SAM)-binding pocket. Two conformations of the second base of
the cap were identified, which suggests the flexibility of RNA conformation. In
addition, the ligand-binding pockets identified a continuous region of hotspots
suitable for drug design. Docking calculation shows that the Dengue virus
inhibitor compound 10 may bind to the ZIKV MTase.
PMID- 27866985
TI - Identification of functional bitter taste receptors and their antagonist in
chickens.
AB - Elucidation of the taste sense of chickens is important not only for the
development of chicken feedstuffs for the chicken industry but also to help
clarify the evolution of the taste sense among animals. There are three putative
chicken bitter taste receptors, chicken T2R1 (cT2R1), cT2R2 and cT2R7, which were
identified using genome information and cell-based assays. Previously, we have
shown that cT2R1 is a functional bitter taste receptor through both cell-based
assays and behavioral tests. In this study, therefore, we focused on the
sensitivities of the other two bitter receptors, cT2R2 and cT2R7, by using their
agonists in behavioral tests. We tested three agonists of cT2R2 and three
agonists of cT2R7. In a 10-min drinking study, the intakes of cT2R2 agonist
solutions were not different from that of water. On the other hand, the intakes
of cT2R7 agonist solutions were significantly lower compared to water. In
addition, we constructed cT2R1-and cT2R7-expressing cells in order to search for
an antagonist for these functional bitter taste receptors. By using Ca2+ imaging
methods, we found that 6-methoxyflavanone (6-meth) can inhibit the activities of
both cT2R1 and cT2R7. Moreover, 6-meth also inhibited the reduction of the intake
of bitter solutions containing cT2R1 or cT2R7 agonists in behavioral tests. Taken
together, these results suggested that cT2R7 is a functional bitter taste
receptor like cT2R1, but that cT2R2 is not, and that 6-meth is an antagonist for
these two functional chicken bitter taste receptors. This is the first
identification of an antagonist of chicken bitter receptors.
PMID- 27866984
TI - p53R2 regulates thioredoxin reductase activity through interaction with TrxR2.
AB - Ribonucleotide reductase small subunit p53R2 is a member of the ribonucleotide
reductase family that supplies dNTPs for nuclear and mitochondrial DNA
replication and repair. Here, we have identified a mitochondrial thioredoxin
reductase 2 (TrxR2) as a novel p53R2-binding protein. We demonstrated a direct
interaction between the two, and observed that p53R2 stimulated the enzymatic
activity of TrxR in vitro. Moreover, TrxR2 activity was significantly lower in
p53R2 knockdown cells, and increased when p53R2 was overexpressed, effects that
were independent of p53. Furthermore, p53R2 knockdown suppressed UV-induced TrxR
activity. These findings suggest that p53R2 acts as a positive regulator of TrxR2
activity in mitochondria both under normal physiological conditions and during
the cellular response to DNA damage.
PMID- 27866986
TI - Modelling of in-vitro and in-vivo performance of aerosol emitted from different
vibrating mesh nebulisers in non-invasive ventilation circuit.
AB - Substituting nebulisers by another in non-invasive ventilation circuit (NIV)
involves many process variables which must be adjusted to ensure patient optimum
therapy. However, there is a doubt when nebulisers use the same technology. Data
mining technology based on artificial neural networks and genetic algorithms were
used here to model in-vitro inhalation process and predict bioavailability from
inhaled doses delivered by three different vibrating mesh nebulisers (VMNs) in
NIV. Modelling of data indicated that in-vitro performance of VMNs was dependent
mainly on fine particle fraction, mass median aerodynamic diameter (MMAD), total
emitted dose (TED) and to lesser extent on nebuliser type. Ex-vivo model
indicated that amount of salbutamol collected on facemask filter was directly
affected by TED. In-vivo model showed that amount of salbutamol deposited into
the lung (0.5hQ) and amount absorbed systemically (24hQ) were dependent directly
on MMAD and TED. Female patients showed higher 24hQ values than males. Nebuliser
type affected TED, 0.5hQ but not 24hQ values. Results indicate suitability of
VMNs in achieving appropriate in-vitro inhalation performance model. The results
also, indicate that the three VMNs are comparable and can be interchanged with no
fear of any additional toxicity.
PMID- 27866987
TI - Signalling product healthiness through symbolic package cues: Effects of package
shape and goal congruence on consumer behaviour.
AB - Three studies show that product packaging shape serves as a cue that communicates
healthiness of food products. Inspired by embodiment accounts, we show that
packaging that simulates a slim body shape acts as a symbolic cue for product
healthiness (e.g., low in calories), as opposed to packaging that simulates a
wide body shape. Furthermore, we show that the effect of slim package shape on
consumer behaviour is goal dependent. Whereas simulation of a slim (vs. wide)
body shape increases choice likelihood and product attitude when consumers have a
health-relevant shopping goal, packaging shape does not affect these outcomes
when consumers have a hedonic shopping goal. In Study 3, we adopt a realistic
shopping paradigm using a shelf with authentic products, and find that a slim (as
opposed to wide) package shape increases on-shelf product recognition and
increases product attitude for healthy products. We discuss results and
implications regarding product positioning and the packaging design process.
PMID- 27866988
TI - The effects of partial sleep restriction and altered sleep timing on appetite and
food reward.
AB - : We examined the effects of partial sleep restriction (PSR) with an advanced
wake-time or delayed bedtime on measures of appetite, food reward and subsequent
energy intake (EI). Twelve men and 6 women (age: 23 +/- 4 years, body fat: 18.8
+/- 10.1%) participated in 3 randomized crossover sessions: control (habitual bed
and wake-time), 50% PSR with an advanced wake-time and 50% PSR with a delayed
bedtime. Outcome variables included sleep architecture (polysomnography), ad
libitum EI (validated food menu), appetite sensations (visual analogue scales),
satiety quotient (SQ; mm/100 kcal) and food reward (Leeds Food Preference
Questionnaire and the relative-reinforcing value (RRV) of preferred food task).
Increased fasting and post-standard breakfast appetite ratings were noted
following PSR with an advanced wake-time compared to the control and PSR with a
delayed bedtime sessions (Fasting hunger ratings: 77 +/- 16 vs. 65 +/- 18 and 64
+/- 16; P = 0.01; Post-meal hunger AUC: 5982 +/- 1781 vs. 4508 +/- 2136 and 5198
+/- 2201; P = 0.03). Increased explicit wanting and liking for high- relative to
low-fat foods were also noted during the advanced wake-time vs. control session
(Explicit wanting: -3.5 +/- 12.5 vs. -9.3 +/- 8.9, P = 0.01; Explicit liking:
1.6 +/- 8.5 vs. -7.8 +/- 9.6, P = 0.002). No differences in the RRV of preferred
food, SQ and ad libitum lunch intake were noted between sessions. These findings
suggest that appetite sensations and food reward are increased following PSR with
an advanced wake-time, rather than delayed bedtime, vs. CONTROL: However, this
did not translate into increased EI during a test meal. Given the increasing
prevalence of shift workers and incidences of sleep disorders, additional studies
are needed to evaluate the prolonged effects of voluntary sleep restriction with
altered sleep timing on appetite and EI measurements.
PMID- 27866989
TI - Do interoceptive awareness and interoceptive responsiveness mediate the
relationship between body appreciation and intuitive eating in young women?
AB - The extent to which an individual appreciates their own body is recognised as a
proximal predictor of intuitive eating, but the mechanisms underlying this
relationship are less clearly understood. This study tested whether two partially
independent, self-reported facets of interoceptive ability: 'interoceptive
awareness' (defined as the ability to detect internal bodily cues) and
'interoceptive responsiveness' (the way in which individuals value and respond to
these cues) mediated the relationship between body appreciation and three
subscales of intuitive eating: 'unconditional permission to eat'; 'reliance on
internal hunger and satiety cues', and 'eating for physical rather than emotional
reasons'. Multiple mediation analyses of data from an online survey of Australian
college women (n = 200) showed that: (1) interoceptive awareness partially
mediated the relationship between body appreciation and 'reliance on internal
hunger and satiety cues', and (2) interoceptive responsiveness partially mediated
the relationship between all three subscales of intuitive eating. Although
preliminary, this work lends support to the theoretical framework of the
acceptance model of intuitive eating and extends it by suggesting that the
different facets of intuitive eating may have distinct underlying mechanisms.
PMID- 27866990
TI - Longitudinal auditory learning facilitates auditory cognition as revealed by
microstate analysis.
AB - The current study investigates cognitive processes as reflected in late auditory
evoked potentials as a function of longitudinal auditory learning. A normal
hearing adult sample (n=15) performed an active oddball task at three consecutive
time points (TPs) arranged at two week intervals, and during which EEG was
recorded. The stimuli comprised of syllables consisting of a natural fricative
(/sh/,/s/,/f/) embedded between two /a/ sounds, as well as morphed transitions of
the two syllables that served as deviants. Perceptual and cognitive modulations
as reflected in the onset and the mean global field power (GFP) of N2b- and P3b
related microstates across four weeks were investigated. We found that the onset
of P3b-like microstates, but not N2b-like microstates decreased across TPs, more
strongly for difficult deviants leading to similar onsets for difficult and easy
stimuli after repeated exposure. The mean GFP of all N2b-like and P3b-like
microstates increased more in spectrally strong deviants compared to weak
deviants, leading to a distinctive activation for each stimulus after learning.
Our results indicate that longitudinal training of auditory-related cognitive
mechanisms such as stimulus categorization, attention and memory updating
processes are an indispensable part of successful auditory learning. This
suggests that future studies should focus on the potential benefits of cognitive
processes in auditory training.
PMID- 27866991
TI - Parkinson's disease-like motor and non-motor symptoms in rotenone-treated
zebrafish.
AB - The pesticide rotenone is widely used to produce Parkinson's disease (PD)-like
symptoms in rodents, but few studies have examined whether rotenone-treated
zebrafish can serve as an animal model of PD. Here, we report that 4 weeks of
rotenone treatment induced motor and non-motor PD-like symptoms in adult
zebrafish. Compared with control fish, rotenone-treated fish spent less time
swimming at a fast speed, indicating a deficit in motor function. In the light
dark box test, rotenone-treated fish exhibited longer latencies to enter the dark
compartment and spent more time in the light compartment, reflecting anxiety- and
depression-like behavior. Furthermore, rotenone-treated fish showed less of an
olfactory preference for amino acid, indicating olfactory dysfunction. These
behavioral symptoms were associated with decreased levels of dopamine in the
brains of rotenone-treated fish. Taken together, these results suggest that
rotenone-treated zebrafish are a suitable model of PD.
PMID- 27866992
TI - Comorbidities That Cause Pain and the Contributors to Pain in Individuals With
Chronic Obstructive Pulmonary Disease.
AB - OBJECTIVE: To determine comorbidities that cause pain and the potential
contributors to pain in individuals with chronic obstructive pulmonary disease
(COPD). DESIGN: Prospective cross-sectional survey study. SETTING: Pulmonary
rehabilitation programs of 6 centers. PARTICIPANTS: A convenience sample of
individuals with COPD (N=137) who attended pulmonary rehabilitation programs. In
total, 100 (73%) returned the survey packages. Of those responders, 96
participants (70%) were included in the analyses. INTERVENTIONS: Not applicable.
MAIN OUTCOME MEASURES: Pain was measured using the Brief Pain Inventory. The
questionnaire used to obtain information about health conditions that might
contribute to pain and a medication record asked, in lay terms, about
comorbidities that cause pain. The health conditions that cause pain were then
validated by health professionals. Demographics, fatigue, dyspnea, quality of
life, and self-efficacy were also measured using questionnaires. RESULTS: Pain
was reported in 71% (68/96) of participants. Low back pain was the most common
location (41%). Arthritis (75%), back problems (47%), and muscle cramps (46%)
were the most common comorbidities that caused pain. Lower self-efficacy, and
renting rather than home ownership increased the likelihood of pain (P<.05). Pain
severity and Brief Fatigue Inventory scores contributed to pain interference
scores (P<.05). CONCLUSIONS: Pain was highly prevalent in pulmonary
rehabilitation program participants with COPD. The most common causes of pain
were musculoskeletal conditions. Pain severity and higher levels of fatigue
contributed to how pain interfered with daily aspects of living. The assessment
and management of pain need to be addressed within the overall care of
individuals with COPD.
PMID- 27866993
TI - MicroRNAs 223-3p and 93-5p in patients with chronic kidney disease before and
after renal transplantation.
AB - Chronic kidney disease (CKD) is associated with a multifactorial dysregulation of
bone and vascular calcification and closely linked to increased cardiovascular
mortality and concomitant bone disease. We aimed to investigate specific microRNA
(miRNA) signatures in CKD patients to find indicators for vascular calcification
and/or bone mineralization changes during CKD and after kidney transplantation
(KT). A miRNA array was used to investigate serum miRNA profiles in CKD patients,
then selected miRNAs were quantified in a validation cohort comprising 73
patients in CKD stages 3 to 5, 67 CKD patients after KT, and 36 healthy controls.
A spectrum of biochemical parameters including markers for kidney function,
inflammation, glucose, and mineral metabolism was determined. The relative
expression of miR-223-3p and miR-93-5p was down-regulated in patients with CKD
stage 4 and 5 compared to healthy controls. This down-regulation disappeared
after kidney transplantation even when lower glomerular filtration rates (eGFR)
persisted. MiR-223-3p and miR-93-5p were associated with interleukin-6 (IL-6) and
eGFR levels, and by trend with interleukin-8 (IL-8), C-peptide, hematocrit, and
parathyroid hormone (PTH). This study contributes new knowledge of serum miRNA
expression profiles in CKD, potentially reflecting pathophysiological changes of
bone and calcification pathways associated with inflammation, vascular
calcification, mineral and glucose metabolism. Identified miRNA signatures can
contribute to future risk markers or future therapeutic targets in bone and
kidney disease.
PMID- 27866994
TI - Corrigendum to genome-wide association study in East Asians suggests UHMK1 as a
novel bone mineral density susceptibility gene.
PMID- 27866995
TI - An integrated decision making approach for assessing healthcare waste treatment
technologies from a multiple stakeholder.
AB - With increased worldwide awareness of environmental issues, healthcare waste
(HCW) management has received much attention from both researchers and
practitioners over the past decade. The task of selecting the optimum treatment
technology for HCWs is a challenging decision making problem involving
conflicting evaluation criteria and multiple stakeholders. In this paper, we
develop an integrated decision making framework based on cloud model and MABAC
method for evaluating and selecting the best HCW treatment technology from a
multiple stakeholder perspective. The introduced framework deals with uncertain
linguistic assessments of alternatives by using interval 2-tuple linguistic
variables, determines decision makers' relative weights based on the uncertainty
and divergence degrees of every decision maker, and obtains the ranking of all
HCW disposal alternatives with the aid of an extended MABAC method. Finally, an
empirical example from Shanghai, China, is provided to illustrate the feasibility
and effectiveness of the proposed approach. Results indicate that the methodology
being proposed is more suitable and effective to handle the HCW treatment
technology selection problem under vague and uncertain information environment.
PMID- 27866996
TI - Spatial and temporal characteristics of elevated temperatures in municipal solid
waste landfills.
AB - Elevated temperatures in waste containment facilities can pose health,
environmental, and safety risks because they generate toxic gases, pressures,
leachate, and heat. In particular, MSW landfills undergo changes in behavior that
typically follow a progression of indicators, e.g., elevated temperatures,
changes in gas composition, elevated gas pressures, increased leachate migration,
slope movement, and unusual and rapid surface settlement. This paper presents two
MSW landfill case studies that show the spatial and time-lapse movements of these
indicators and identify four zones that illustrate the transition of normal MSW
decomposition to the region of elevated temperatures. The spatial zones are gas
front, temperature front, and smoldering front. The gas wellhead temperature and
the ratio of CH4 to CO2 are used to delineate the boundaries between normal MSW
decomposition, gas front, and temperature front. The ratio of CH4 to CO2 and
carbon monoxide concentrations along with settlement strain rates and subsurface
temperatures are used to delineate the smoldering front. In addition, downhole
temperatures can be used to estimate the rate of movement of elevated
temperatures, which is important for isolating and containing the elevated
temperature in a timely manner.
PMID- 27866997
TI - Recovery of metallic concentrations from waste printed circuit boards via reverse
floatation.
AB - Efficient disposal of waste printed circuit boards (PCBs) is favorable toward
recovering valuable components and reducing pollution. Reverse floatation was
used to recover metallic concentrations from waste PCBs. Basic properties and
mineralogical characteristics of raw PCBs were tested and analyzed. Results
indicated that the grade of metallic concentrations declined as the size fraction
of PCBs decreased. The major metallic elements found in PCBs were Cu, Pb, and Sn,
as well as trace elements were also found in fine PCB particles. Kerosene and
terpenic oil were used as the collector and frother in the floatation
experiments. The effects of various operational factors, including the feeding
concentration, aeration rate, and agitation speed of floatation machine, on the
floatation performance of -0.25mm PCBs were experimentally studied to determine
optimal range. The floatation results suggested that the yield of sinks and grade
of metallic concentrations diminished significantly with the decrease of size
fraction of PCBs. The maximum yields of sinks and highest grades of metallic
concentrations were 48.72% and 16.86%, 47.96% and 14.61%, 44.36% and 8.81%, with
the optimum recoveries of metallic concentrations of 94.69%, 90.06%, and 75.96%
for size fractions of 0.125-0.25mm, 0.074-0.125mm, and -0.074mm PCBs,
respectively. The recovery efficiency of metallic concentrations declined as the
size fraction decreased. The efficient overall recovery performance of metallic
concentrations from waste PCBs was obtained via reverse floatation. This study
provides an alternative approach for disposing waste PCBs.
PMID- 27866998
TI - Tomographic inversion of time-domain resistivity and chargeability data for the
investigation of landfills using a priori information.
AB - In this paper, we present a new code for the modelling and inversion of
resistivity and chargeability data using a priori information to improve the
accuracy of the reconstructed model for landfill. When a priori information is
available in the study area, we can insert them by means of inequality
constraints on the whole model or on a single layer or assigning weighting
factors for enhancing anomalies elongated in the horizontal or vertical
directions. However, when we have to face a multilayered scenario with numerous
resistive to conductive transitions (the case of controlled landfills), the
effective thickness of the layers can be biased. The presented code includes a
model-tuning scheme, which is applied after the inversion of field data, where
the inversion of the synthetic data is performed based on an initial guess, and
the absolute difference between the field and synthetic inverted models is
minimized. The reliability of the proposed approach has been supported in two
real-world examples; we were able to identify an unauthorized landfill and to
reconstruct the geometrical and physical layout of an old waste dump. The
combined analysis of the resistivity and chargeability (normalised) models help
us to remove ambiguity due to the presence of the waste mass. Nevertheless, the
presence of certain layers can remain hidden without using a priori information,
as demonstrated by a comparison of the constrained inversion with a standard
inversion. The robustness of the above-cited method (using a priori information
in combination with model tuning) has been validated with the cross-section from
the construction plans, where the reconstructed model is in agreement with the
original design.
PMID- 27866999
TI - The 5-HT1A/1B-receptor agonist eltoprazine increases both catecholamine release
in the prefrontal cortex and dopamine release in the nucleus accumbens and
decreases motivation for reward and "waiting" impulsivity, but increases
"stopping" impulsivity.
AB - The 5-HT1A/1B-receptor agonist eltoprazine has a behavioral drug signature that
resembles that of a variety of psychostimulant drugs, despite the differences in
receptor binding profile. These psychostimulants are effective in treating
impulsivity disorders, most likely because they increase norepinephrine (NE) and
dopamine (DA) levels in the prefrontal cortex. Both amphetamine and
methylphenidate, however, also increase dopamine levels in the nucleus accumbens
(NAc), which has a significant role in motivation, pleasure, and reward. How
eltoprazine affects monoamine release in the medial prefrontal cortex (mPFC), the
orbitofrontal cortex (OFC), and the NAc is unknown. It is also unknown whether
eltoprazine affects different forms of impulsivity and brain reward mechanisms.
Therefore, in the present study, we investigate the effects of eltoprazine in
rats in the following sequence: 1) the activity of the monoaminergic systems
using in vivo microdialysis, 2) motivation for reward measured using the
intracranial self-stimulation (ICSS) procedure, and finally, 3) "waiting"
impulsivity in the delay-aversion task, and the "stopping" impulsivity in the
stop-signal task. The microdialysis studies clearly showed that eltoprazine
increased DA and NE release in both the mPFC and OFC, but only increased DA
concentration in the NAc. In contrast, eltoprazine decreased 5-HT release in the
mPFC and NAc (undetectable in the OFC). Remarkably, eltoprazine decreased
impulsive choice, but increased impulsive action. Furthermore, brain stimulation
was less rewarding following eltoprazine treatment. These results further support
the long-standing hypothesis that "waiting" and "stopping" impulsivity are
regulated by distinct neural circuits, because 5-HT1A/1B-receptor activation
decreases impulsive choice, but increases impulsive action.
PMID- 27867000
TI - Neuromodulatory effects of the dorsal hippocampal endocannabinoid system in
dextromethorphan/morphine-induced amnesia.
AB - Dextromethorphan which is an active ingredient in many cough medicines has been
previously shown to potentiate amnesic effect of morphine in rats. However, the
effect of dextromethorphan, that is also a noncompetitive N-methyl-D-aspartate
(NMDA) receptor antagonist, in combination with morphine on hippocampus-based
long term memory has not been well characterized. The aim of the present study
was to assess the possible role of endocannabinoid system of the dorsal
hippocampus in dextromethorphan /morphine-induced amnesia. Our results showed
that intraperitoneal (i.p.) injection of morphine (5mg/kg) or dextromethorphan (5
15mg/kg) before testing the passive avoidance learning induced amnesia.
Combination of ineffective doses of dextromethorphan (7.5mg/kg, i.p.) and
morphine (2mg/kg, i.p.) also produced amnesia, suggesting the enhancing effects
of the drugs. To assess the effect of the activation or inhibition of the dorsal
hippocampal cannabinoid CB1 receptors on this amnesia, ACPA or AM251 as selective
receptor agonists or antagonists were respectively injected into the CA1 regions
before systemic injection of dextromethorphan and morphine. Interestingly, intra
CA1 microinjection of ACPA (0.5-1ng/rat) improved the amnesic effect of
dextromethorphan /morphine combination. The microinjection of AM251 into the CA1
region enhanced the response of the combination of dextromethorphan /morphine in
inducing amnesia. Moreover, Intra-CA1 microinjection of AM251 inhibited the
improving effect of ACPA on dextromethorphan /morphine-induced amnesia. It is
important to note that intra-CA1 microinjection of the same doses of the agonist
or antagonist by itself had no effects on memory formation. Thus, it can be
concluded that the dorsal hippocampal endocannabinoid system, via CB1 receptor
dependent mechanism, may be involved in morphine/dextromethorphan -induced
amnesia.
PMID- 27867001
TI - Comparison of Amrubicin and Weekly Cisplatin/Etoposide/Irinotecan in Patients
With Relapsed Small-cell Lung Cancer.
AB - BACKGROUND: Although several agents have been introduced for the treatment of
relapsed small-cell lung cancer (SCLC), there is still only limited evidence
regarding second- and later-line chemotherapies for these patients. PATIENTS AND
METHODS: Consecutive patients with relapsed SCLC treated at the National Cancer
Center Hospital between 2000 and 2014 were analyzed. Patients' characteristics
and treatments to explore factors associated with the survival outcomes were
reviewed. RESULTS: A total of 580 patients diagnosed as having SCLC received
first-line chemotherapy/chemoradiotherapy, of which 343 (59%) received second
line chemotherapy. Among the 343 patients, 193, 148, and 2 patients were
diagnosed sensitive relapse, refractory relapse, and relapse of unknown
sensitivity status, respectively. Second-line chemotherapy regimens used were as
follows: amrubicin (AMR) in 188 (55%) patients; weekly
cisplatin/etoposide/irinotecan (PEI) in 56 (16%) patients; topotecan in 18 (5.2%)
patients; others in 81 (24%) patients. In the analysis including all patients,
the following outcomes were obtained for the patients treated with AMR and PEI,
respectively: objective response rate: 51% and 73%; median progression-free
survival: 4.5 and 4.2 months; median overall survival: 10.0 and 10.8 months.
Multivariate analysis identified sensitive relapse to first-line treatment (vs.
refractory relapse) (P = .007) and AMR as second-line treatment (vs. PEI) (P =
.005) as independent favorable prognostic factors for survival. CONCLUSION: AMR
showed a favorable trend compared with PEI in terms of the progression-free
survival and feasibility in SCLC patients with relapsed disease. Based on our
findings, we suggest that a randomized trial comparing AMR and PEI is warranted.
PMID- 27867002
TI - Trametinib plus 4-Methylumbelliferone Exhibits Antitumor Effects by ERK Blockade
and CD44 Downregulation and Affects PD-1 and PD-L1 in Malignant Pleural
Mesothelioma.
AB - INTRODUCTION: Malignant pleural mesothelioma (MPM) is a highly aggressive
malignancy in which the mitogen-activated protein kinase pathway plays a critical
role in the regulation of tumorigenesis. Hyaluronic acid (HA) is a major
component of the extracellular matrix, and elevated HA levels with a concurrent
increase in malignant properties are associated with MPM. METHODS: We evaluated
the effects of trametinib, a mitogen-activated protein kinase (MEK) inhibitor,
and 4-methylumbelliferone (4-MU), an HA synthesis inhibitor, alone and in
combination on MPM cells in vitro and in vivo. We studied the effects of
trametinib, 4-MU, and their combination on MPM cells by using cell viability
assays, Western blot analysis, and a mouse xenograft model. RESULTS: Trametinib
and 4-MU exhibited antiproliferative activity in MPM cells. Trametinib blocked
MEK-dependent extracellular signal-regulated kinase (ERK) phosphorylation and
decreased CD44 expression in a concentration-dependent manner. Trametinib
inhibited the expression of Fra-1 (the activator protein 1 [AP1] component),
inhibited ERK phosphorylation, and decreased CD44 expression. 4-MU inhibited ERK
phosphorylation but not CD44 expression. In a mouse xenograft model, trametinib
and 4-MU alone suppressed tumor growth compared with a control. The combination
had a greater inhibitory effect than either monotherapy. Immunohistochemical
analysis showed that trametinib treatment alone significantly reduced expression
of programmed cell death 1 ligand 1. Furthermore, the combination of trametinib
and 4-MU resulted in higher expression of programmed cell death 1 and programmed
cell death 1 ligand 1 than did the 4-MU treatment alone. CONCLUSIONS: Our results
suggest that trametinib and 4-MU are promising therapeutic agents in MPM and that
further study of the combination is warranted.
PMID- 27867003
TI - Prognostic Impact of Newly Proposed M Descriptors in TNM Classification of Non
Small Cell Lung Cancer.
AB - INTRODUCTION: The International Association for the Study of Lung Cancer recently
proposed new M descriptors for the next edition of the TNM classification for
NSCLC, subdividing the current M1b category into two subcategories: M1b, which
indicates a solitary extrathoracic metastasis in a single organ, and M1c, which
indicates multiple extrathoracic metastasis. The purpose of this study was to
validate the prognostic value of the newly proposed M descriptors in an
independent cohort with multivariate and subgroup analysis. METHODS: A total of
1024 patients in a consecutive lung cancer database who had stage IV NSCLC
treated between 2011 and 2014 were analyzed. Newly proposed M staging was used
for classification and comparison of survival. Adjustment for other clinical
covariates and subgroup analysis was conducted. RESULTS: According to the newly
proposed M descriptors, 262 patients (25.6%), 152 patients (14.8%), and 610
patients (59.6%) were classified into the subgroups M1a, M1b, and M1c,
respectively. The median overall survival times were 22.5, 17.8, and 13.6 months
for the M1a, M1b, and M1c groups, respectively (p < 0.001). After adjustment for
other covariates, Cox proportional hazards regression revealed statistically
significantly shorter overall survival for the M1b group than for the M1a group
(hazard ratio = 1.30; 95% confidence interval: 1.03-1.65, p = 0.03) and for the
M1c than the M1b group (hazard ratio = 1.57; 95% confidence interval: 1.28-1.93,
p < 0.001). These differences showed a consistent tendency regardless of
pathologic and molecular subtypes. CONCLUSIONS: The newly proposed M descriptors
have prognostic value in patients with stage IV NSCLC.
PMID- 27867004
TI - Metabolic engineering of Bacillus subtilis fueled by systems biology: Recent
advances and future directions.
AB - By combining advanced omics technology and computational modeling, systems
biologists have identified and inferred thousands of regulatory events and system
wide interactions of the bacterium Bacillus subtilis, which is commonly used both
in the laboratory and in industry. This dissection of the multiple layers of
regulatory networks and their interactions has provided invaluable information
for unraveling regulatory mechanisms and guiding metabolic engineering. In this
review, we discuss recent advances in the systems biology and metabolic
engineering of B. subtilis and highlight current gaps in our understanding of
global metabolism and global pathway engineering in this organism. We also
propose future perspectives in the systems biology of B. subtilis and suggest
ways that this approach can be used to guide metabolic engineering. Specifically,
although hundreds of regulatory events have been identified or inferred via
systems biology approaches, systematic investigation of the functionality of
these events in vivo has lagged, thereby preventing the elucidation of regulatory
mechanisms and further rational pathway engineering. In metabolic engineering,
ignoring the engineering of multilayer regulation hinders metabolic flux
redistribution. Post-translational engineering, allosteric engineering, and
dynamic pathway analyses and control will also contribute to the modulation and
control of the metabolism of engineered B. subtilis, ultimately producing the
desired cellular traits. We hope this review will aid metabolic engineers in
making full use of available systems biology datasets and approaches for the
design and perfection of microbial cell factories through global metabolism
optimization.
PMID- 27867006
TI - Intercellular Coupling of the Cell Cycle and Circadian Clock in Adult Stem Cell
Culture.
AB - Circadian clock-gated cell division cycles are observed from cyanobacteria to
mammals via intracellular molecular connections between these two oscillators.
Here we demonstrate WNT-mediated intercellular coupling between the cell cycle
and circadian clock in 3D murine intestinal organoids (enteroids). The circadian
clock gates a population of cells with heterogeneous cell-cycle times that emerge
as 12-hr synchronized cell division cycles. Remarkably, we observe reduced
amplitude oscillations of circadian rhythms in intestinal stem cells and
progenitor cells, indicating an intercellular signal arising from differentiated
cells governing circadian clock-dependent synchronized cell division cycles.
Stochastic simulations and experimental validations reveal Paneth cell-secreted
WNT as the key intercellular coupling component linking the circadian clock and
cell cycle in enteroids.
PMID- 27867005
TI - Temporal expression of CD184(CXCR4) and CD171(L1CAM) identifies distinct early
developmental stages of human retinal ganglion cells in embryonic stem cell
derived retina.
AB - Human retinal ganglion cells (RGCs) derived from pluripotent stem cells (PSCs)
have anticipated value for human disease study, drug screening, and therapeutic
applications; however, their full potential remains underdeveloped. To
characterize RGCs in human embryonic stem cell (hESC) derived retinal organoids
we examined RGC markers and surface antigen expression and made comparisons to
human fetal retina. RGCs in both tissues exhibited CD184 and CD171 expression and
distinct expression patterns of the RGC markers BRN3 and RBPMS. The retinal
progenitor cells (RPCs) of retinal organoids expressed CD184, consistent with its
expression in the neuroblastic layer in fetal retina. In retinal organoids CD184
expression was enhanced in RGC competent RPCs and high CD184 expression was
retained on post-mitotic RGC precursors; CD171 was detected on maturing RGCs. The
differential expression timing of CD184 and CD171 permits identification and
enrichment of RGCs from retinal organoids at differing maturation states from
committed progenitors to differentiating neurons. These observations will
facilitate molecular characterization of PSC-derived RGCs during differentiation,
critical knowledge for establishing the veracity of these in vitro produced
cells. Furthermore, observations made in the retinal organoid model closely
parallel those in human fetal retina further validating use of retinal organoid
to model early retinal development.
PMID- 27867007
TI - SRF Co-factors Control the Balance between Cell Proliferation and Contractility.
AB - The ERK-regulated ternary complex factors (TCFs) act with the transcription
factor serum response factor (SRF) to activate mitogen-induced transcription.
However, the extent of their involvement in the immediate-early transcriptional
response, and their wider functional significance, has remained unclear. We show
that, in MEFs, TCF inactivation significantly inhibits over 60% of TPA-inducible
gene transcription and impairs cell proliferation. Using integrated SRF ChIP-seq
and Hi-C data, we identified over 700 TCF-dependent SRF direct target genes
involved in signaling, transcription, and proliferation. These also include a
significant number of cytoskeletal gene targets for the Rho-regulated myocardin
related transcription factor (MRTF) SRF cofactor family. The TCFs act as general
antagonists of MRTF-dependent SRF target gene expression, competing directly with
the MRTFs for access to SRF. As a result, TCF-deficient MEFs exhibit
hypercontractile and pro-invasive behavior. Thus, competition between TCFs and
MRTFs for SRF determines the balance between antagonistic proliferative and
contractile programs of gene expression.
PMID- 27867008
TI - Molecular Structures of Transcribing RNA Polymerase I.
AB - RNA polymerase I (Pol I) is a 14-subunit enzyme that solely synthesizes pre
ribosomal RNA. Recently, the crystal structure of apo Pol I gave unprecedented
insight into its molecular architecture. Here, we present three cryo-EM
structures of elongating Pol I, two at 4.0 A and one at 4.6 A resolution, and a
Pol I open complex at 3.8 A resolution. Two modules in Pol I mediate the
narrowing of the DNA-binding cleft by closing the clamp domain. The DNA is bound
by the clamp head and by the protrusion domain, allowing visualization of the
upstream and downstream DNA duplexes in one of the elongation complexes. During
formation of the Pol I elongation complex, the bridge helix progressively folds,
while the A12.2 C-terminal domain is displaced from the active site. Our results
reveal the conformational changes associated with elongation complex formation
and provide additional insight into the Pol I transcription cycle.
PMID- 27867009
TI - A Polar and Nucleotide-Dependent Mechanism of Action for RAD51 Paralogs in RAD51
Filament Remodeling.
AB - Central to homologous recombination in eukaryotes is the RAD51 recombinase, which
forms helical nucleoprotein filaments on single-stranded DNA (ssDNA) and
catalyzes strand invasion with homologous duplex DNA. Various regulatory proteins
assist this reaction including the RAD51 paralogs. We recently discovered that a
RAD51 paralog complex from C. elegans, RFS-1/RIP-1, functions predominantly
downstream of filament assembly by binding and remodeling RAD-51-ssDNA filaments
to a conformation more proficient for strand exchange. Here, we demonstrate that
RFS-1/RIP-1 acts by shutting down RAD-51 dissociation from ssDNA. Using stopped
flow experiments, we show that RFS-1/RIP-1 confers this dramatic stabilization by
capping the 5' end of RAD-51-ssDNA filaments. Filament end capping propagates a
stabilizing effect with a 5'->3' polarity approximately 40 nucleotides along
individual filaments. Finally, we discover that filament capping and
stabilization are dependent on nucleotide binding, but not hydrolysis by RFS
1/RIP-1. These data define the mechanism of RAD51 filament remodeling by RAD51
paralogs.
PMID- 27867010
TI - Quorum Sensing Controls Adaptive Immunity through the Regulation of Multiple
CRISPR-Cas Systems.
AB - Bacteria commonly exist in high cell density populations, making them prone to
viral predation and horizontal gene transfer (HGT) through transformation and
conjugation. To combat these invaders, bacteria possess an arsenal of defenses,
such as CRISPR-Cas adaptive immunity. Many bacterial populations coordinate their
behavior as cell density increases, using quorum sensing (QS) signaling. In this
study, we demonstrate that QS regulation results in increased expression of the
type I-E, I-F, and III-A CRISPR-Cas systems in Serratia cells in high-density
populations. Strains unable to communicate via QS were less effective at
defending against invaders targeted by any of the three CRISPR-Cas systems.
Additionally, the acquisition of immunity by the type I-E and I-F systems was
impaired in the absence of QS signaling. We propose that bacteria can use
chemical communication to modulate the balance between community-level defense
requirements in high cell density populations and host fitness costs of basal
CRISPR-Cas activity.
PMID- 27867013
TI - Characterization of P2X4 receptor agonists and antagonists by calcium influx and
radioligand binding studies.
AB - Antagonists for ATP-activated P2X4 ion channel receptors are currently in the
focus as novel drug targets, in particular for the treatment of neuropathic and
inflammatory pain. We stably expressed the human, rat and mouse P2X4 receptors in
1321N1 astrocytoma cells, which is devoid of functional nucleotide receptors, by
retroviral transfection, and established monoclonal cell lines. Calcium flux
assay conditions were optimized for high-throughput screening resulting in a Z'
factor of >0.8. The application of ready-to-use frozen cells did not negatively
affect the results of the calcium assays, which is of great advantage for the
screening of compound libraries. Species differences were observed, the rat P2X4
receptor being particularly insensitive to many ATP derivatives. Membrane
preparations of the cell lines showed high levels of specific [35S]ATPgammaS
binding with low nonspecific binding (<5% of total binding), while non
transfected cells were devoid of specific binding sites for the radioligand.
Conditions were employed which allow binding studies to be performed at room
temperature. While a variety of nucleotide-derived agonists and the antagonist
TNP-ATP displaced [35S]ATPgammaS from its binding site at human P2X4 receptors,
the non-nucleotidic antagonists paroxetine and 5-BDBD did not compete with
radioligand binding and were therefore characterized as allosteric antagonists.
Homology modeling was applied to find an explanation for the observed species
differences.
PMID- 27867012
TI - A robust sparse-modeling framework for estimating schizophrenia biomarkers from
fMRI.
AB - BACKGROUND: Our goal is to identify the brain regions most relevant to mental
illness using neuroimaging. State of the art machine learning methods commonly
suffer from repeatability difficulties in this application, particularly when
using large and heterogeneous populations for samples. NEW METHOD: We revisit
both dimensionality reduction and sparse modeling, and recast them in a common
optimization-based framework. This allows us to combine the benefits of both
types of methods in an approach which we call unambiguous components. We use this
to estimate the image component with a constrained variability, which is best
correlated with the unknown disease mechanism. RESULTS: We apply the method to
the estimation of neuroimaging biomarkers for schizophrenia, using task fMRI data
from a large multi-site study. The proposed approach yields an improvement in
both robustness of the estimate and classification accuracy. COMPARISON WITH
EXISTING METHODS: We find that unambiguous components incorporate roughly two
thirds of the same brain regions as sparsity-based methods LASSO and elastic net,
while roughly one third of the selected regions differ. Further, unambiguous
components achieve superior classification accuracy in differentiating cases from
controls. CONCLUSIONS: Unambiguous components provide a robust way to estimate
important regions of imaging data.
PMID- 27867011
TI - Tetrameric Acetyl-CoA Acetyltransferase 1 Is Important for Tumor Growth.
AB - Mitochondrial acetyl-CoA acetyltransferase 1 (ACAT1) regulates pyruvate
dehydrogenase complex (PDC) by acetylating pyruvate dehydrogenase (PDH) and PDH
phosphatase. How ACAT1 is "hijacked" to contribute to the Warburg effect in human
cancer remains unclear. We found that active, tetrameric ACAT1 is commonly
upregulated in cells stimulated by EGF and in diverse human cancer cells, where
ACAT1 tetramers, but not monomers, are phosphorylated and stabilized by enhanced
Y407 phosphorylation. Moreover, we identified arecoline hydrobromide (AH) as a
covalent ACAT1 inhibitor that binds to and disrupts only ACAT1 tetramers. The
resultant AH-bound ACAT1 monomers cannot reform tetramers. Inhibition of
tetrameric ACAT1 by abolishing Y407 phosphorylation or AH treatment results in
decreased ACAT1 activity, leading to increased PDC flux and oxidative
phosphorylation with attenuated cancer cell proliferation and tumor growth. These
findings provide a mechanistic understanding of how oncogenic events signal
through distinct acetyltransferases to regulate cancer metabolism and suggest
ACAT1 as an anti-cancer target.
PMID- 27867014
TI - The cave microbiome as a source for drug discovery: Reality or pipe dream?
AB - This review highlights cave habitats, cave microbiomes and their potential for
drug discovery. Such studies face many challenges, including access to remote and
pristine caves, and sample collection and transport. Inappropriate physical and
chemical growth conditions in the laboratory for the isolation and cultivation of
cave microorganisms pose many complications including length of cultivation; some
cave microorganisms can take weeks and even months to grow. Additionally, DNA
extraction from cave environmental samples may be difficult due to the high
concentration of various minerals that are natural DNA blocking agents. Once cave
microorganisms are grown in the lab, other problems often arise, such as
maintenance of pure culture, consistency of antimicrobial activity and
fermentation conditions for antimicrobial production. In this review, we suggest
that, although based on what has been done in the field, there is potential in
using cave microorganisms to produce antimicrobial agents, one needs to be highly
committed and prepared.
PMID- 27867015
TI - CMTM3 decreases EGFR expression and EGF-mediated tumorigenicity by promoting Rab5
activity in gastric cancer.
AB - CMTM3 (CKLF-like MARVEL transmembrane domain containing 3), a tumor suppressor
gene, is involved in multiple types of malignancies. CMTM3 knockdown promotes
metastasis of gastric cancer via the STAT3/Twist1/EMT signaling pathway. Strong
epidermal growth factor receptor1 (EGFR) expression is significantly associated
with tumor metastasis and poor outcomes of gastric cancer patients. In this
paper, we show that CMTM3 suppresses epidermal growth factor (EGF)-mediated
migration and STAT3 signaling, downregulates EGFR expression via accelerating
EGFR degradation in gastric cancer cells. CMTM3 colocalizes with early endosome
markers Rab5 and EEA1. Co-immunoprecipitation (Co-IP) assay further confirms that
CMTM3 interacts with Rab5. More importantly, CMTM3 markedly increases Rab5
activity. The suppressive effects of CMTM3 on EGFR expression and EGF-mediated
migration can be abrogated by the siRNA against Rab5. Finally, we found that the
C-terminal region of CMTM3 plays more important roles in the tumor suppressive
effects of CMTM3. Overall, this study demonstrates that CMTM3 decreases EGFR
expression, facilitates EGFR degradation, and inhibits the EGF-mediated
tumorigenicity of gastric cancer cells via enhancing Rab5 activity.
PMID- 27867016
TI - Vasohibin 2 promotes epithelial-mesenchymal transition in human breast cancer via
activation of transforming growth factor beta 1 and hypoxia dependent repression
of GATA-binding factor 3.
AB - Vasohibin 2 (VASH2) is identified as an angiogenic factor, and has been
implicated in tumor angiogenesis, proliferation and epithelial-mesenchymal
transition (EMT). To investigate the EMT role of VASH2 in breast cancer, we
overexpressed or knocked down expression of VASH2 in human breast cancer cell
lines. We observed that VASH2 induced EMT in vitro and in vivo. The transforming
growth factor beta1 (TGFbeta1) pathway was activated by VASH2, and expression of
a dominant negative TGFbeta type II receptor could block VASH2-mediated EMT. In
clinical breast cancer tissues VASH2 positively correlated with TGFbeta1
expression, but negatively correlated with E-cadherin (a marker of EMT)
expression. Under hypoxic conditions in vitro or in vivo, we found that down
regulation of estrogen receptor 1 (ESR1) in VASH2 overexpressing ESR1 positive
cells suppressed E-cadherin. Correlation coefficient analysis indicated that
VASH2 and ESR1 expression were negatively correlated in clinical human breast
cancer tissues. Further study revealed that a transcription factor of ESR1, GATA
binding factor 3 (GATA3), was down-regulated by VASH2 under hypoxia or in vivo.
These findings suggest that VASH2 drives breast cancer cells to undergo EMT by
activation of the TGFbeta1 pathway and hypoxia dependent repression GATA3-ESR1
pathway, leading to cancer metastasis.
PMID- 27867018
TI - Chitin synthase 1 gene is crucial to antifungal host defense of the model beetle,
Tribolium castaneum.
AB - The importance of the insect cuticle as a primary protective barrier against
entomopathogens has long been noted. In the present study, we addressed this
issue by utilizing an experimental infection system composed of the model beetle
T. castaneum and two entomopathogenic fungal species, Beauveria bassiana and
Metarhizium anisopliae. The pupae were relatively susceptible to these fungi by
the natural route of infection, with some refractoriness developed with age,
while the adults exhibited much higher refractoriness. Whereas M. anisopliae
exhibited seemingly higher infectivity to the pupae compared to B. bassiana when
the natural conidium infection was employed, direct inoculation of cultured
hyphal body cells into the hemocoel was found highly and equally virulent in the
pupae for the both fungal species. These results collectively suggest an
important role of the cuticular integument in antifungal host defense, and we
subsequently conducted the knockdown of chitin synthase 1 gene (CHS1). We
targeted the prepupal and mid-pupal peaks of its expression respectively by using
injection of the dsRNA at very low dosages to avoid lethality. The resulting
pupae looked normal, but the adults showed a mild phenotype with dimpled/wrinkled
elytra. The CHS1 gene knockdown compromised significantly host defense against
the fungal infection via the natural route, except the configuration of knockdown
pupae and M. anisopliae, suggesting an indispensable role of CHS1.
PMID- 27867019
TI - Potential of RNAi applications to control viral diseases of farmed shrimp.
AB - Viral pathogens pose a primary threat to global shrimp aquaculture. Despite the
urgent industry need for them, practical anti-viral control methods are
unavailable due, in part, to lack of an adaptive immune response in crustaceans
that renders conventional vaccination methods ineffective. One currently studied
method of high interest for protecting shrimp against viral infection relies on
the post-transcriptional gene silencing mechanism called RNA interference (RNAi)
that is induced by gene-specific constructs of double stranded RNA (dsRNA).
Although this approach was first described for successful protection of shrimp
against white spot disease (WSD) by injecting dsRNA specific to genes of white
spot syndrome virus (WSSV) into shrimp in the laboratory in 2005 no practical
method for use of dsRNA in shrimp farms has been developed to date. The apparent
bottleneck for farm-scale applications of RNAi-mediated viral control in shrimp
aquaculture is the lack of simple and cost-effective delivery methods. This
review summarizes recent studies on use and delivery of dsRNA to shrimp via
injection and oral routes in hatcheries and on farms and it discusses the
research directions that might lead to development of practical methods for
applications with farmed shrimp. Oral delivery methods tested so far include use
of dsRNA-expressing bacteria as a component of dry feed pellets or use of living
brine shrimp (Artemia) pre-fed with dsRNA before they are fed to shrimp. Also
tested have been dsRNA enclosed in nanocontainers including chitosan, liposomes
and viral-like particles (VLP) before direct injection or use as components of
feed pellets for hatchery or pond-reared shrimp.
PMID- 27867017
TI - Genomic amplification of Fanconi anemia complementation group A (FancA) in head
and neck squamous cell carcinoma (HNSCC): Cellular mechanisms of radioresistance
and clinical relevance.
AB - Radio (chemo) therapy is a crucial treatment modality for head and neck squamous
cell carcinoma (HNSCC), but relapse is frequent, and the underlying mechanisms
remain largely elusive. Therefore, novel biomarkers are urgently needed.
Previously, we identified gains on 16q23-24 to be associated with amplification
of the Fanconi anemia A (FancA) gene and to correlate with reduced progression
free survival after radiotherapy. Here, we analyzed the effects of FancA on
radiation sensitivity in vitro, characterized the underlying mechanisms, and
evaluated their clinical relevance. Silencing of FancA expression in HNSCC cell
lines with genomic gains on 16q23-24 resulted in significantly impaired
clonogenic survival upon irradiation. Conversely, overexpression of FancA in
immortalized keratinocytes conferred increased survival accompanied by improved
DNA repair, reduced accumulation of chromosomal translocations, but no
hyperactivation of the FA/BRCA-pathway. Downregulation of interferon signaling as
identified by microarray analyses, enforced irradiation-induced senescence, and
elevated production of the senescence-associated secretory phenotype (SASP)
appeared to be candidate mechanisms contributing to FancA-mediated
radioresistance. Data of the TCGA HNSCC cohort confirmed the association of gains
on 16q24.3 with FancA overexpression and impaired overall survival. Importantly,
transcriptomic alterations similar to those observed upon FancA overexpression in
vitro strengthened the clinical relevance. Overall, FancA amplification and
overexpression appear to be crucial for radiotherapeutic failure in HNSCC.
PMID- 27867020
TI - A Randomized Dose Escalation Study of Intravenous Baclofen in Healthy Volunteers:
Clinical Tolerance and Pharmacokinetics.
AB - BACKGROUND: Abrupt discontinuation of baclofen can result in a potentially severe
withdrawal syndrome. The current treatment for baclofen withdrawal is inadequate,
resulting in a critical need to develop an alternative method to prevent or treat
this withdrawal syndrome. OBJECTIVE: To evaluate the safety profile and
pharmacokinetics of oral (PO) and investigational intravenous (IV) baclofen
formulations at clinically relevant doses. DESIGN: Randomized, open-label, dose
escalation, crossover study. SETTING: Contract Research Organization (CRO).
METHODS: Three cohorts of 12 healthy adults received single doses of PO baclofen
(10 mg, 15 mg or 20 mg) and 10-minute infusions of IV baclofen (7.5 mg, 11.5 mg,
or 15 mg) with a minimum 48-hour wash-out period. The third cohort also received
a 60-minute infusion of 15 mg IV baclofen after an additional 48-hour wash-out
period. MAIN OUTCOME MEASURES: Subjects were observed in a CRO for 24 hours after
each dose of baclofen, and were assessed for nystagmus, ataxia, and sedation.
Blood samples were collected from 0 to 24 hours and analyzed for baclofen
concentration using high-performance liquid chromatography-mass spectroscopy.
Noncompartmental pharmacokinetic analyses were performed. Dose linearity and
proportionality was assessed using 2-way repeated-measures analysis of variance
and a power model analysis. RESULTS: None of the PO or IV doses resulted in
significant sedation compared to baseline. All subjects could perform tandem gait
after each baclofen dose. The most common side effect, transient mild nystagmus,
was noted in 4 of 36 and in 13 of 36 subjects after PO and IV administration,
respectively. This was likely related to increased maximum concentrations (Cmax).
After the 20 mg PO and 15 mg IV doses, mean Cmax levels were 255 and 722 ng/mL
and half-lives were 5.24 and 5.79 hours for PO and IV baclofen, respectively. The
mean oral bioavailability for the 20-mg PO dose was approximately 80%.
CONCLUSIONS: All PO and IV doses of baclofen were well tolerated clinically. The
80% bioavailability suggests that a 20% reduction in IV dose will produce
comparable total drug exposures to that of the PO dose. When PO therapy is
interrupted, bridging with IV baclofen may be feasible. LEVEL OF EVIDENCE: II.
PMID- 27867022
TI - Choroidal neovascularization secondary to Best vitelliform macular dystrophy
detected by optical coherence tomography angiography.
AB - Best vitelliform macular dystrophy (VMD) is a hereditary condition that causes
childhood-onset macular dystrophy. In rare cases, VMD is accompanied by formation
of a choroidal neovascular membrane (CNVM). We report a case of CNVM secondary to
Best VMD in a 10-year-old boy that was detected using optical coherence
tomography angiography, a new noninvasive diagnostic modality that detects motion
contrast in repeated B-scans to identify blood flow within vessels.
PMID- 27867021
TI - Patient-reported long-term sexual outcomes following plication surgery for penile
curvature: A retrospective 58-patient study.
AB - OBJECTIVE: To evaluate long-term sexual function results following plication
surgery for the correction of penile curvature using patient questionnaires.
METHODS: We performed a single-center, retrospective study in a cohort of
patients with Peyronie's disease or congenital penile curvature. All patients who
underwent plication surgery on the convex aspect using the Nesbit, Yachia or
diamond-shaped techniques were included. At a mean 34 months after the
interventions, the patients were asked to respond to the IIEF5 questionnaire and
a 19-item questionnaire. RESULTS: Forty-six patients operated for Peyronie's
disease and 12 for congenital curvature (total: 58) were included in the study.
The questionnaire response rate was 69% (40/58). The shortened penis bothered
47.5% of patients in their sexuality at least regularly. Involuntary exit from
the vagina occurred for 35% of the patients in at least one out of two sexual
intercourse sessions. Postoperative sexual life was as good as or better than
preoperative sexual life for 35% of the patients and 95% stated that they could
achieve erection at least sometimes, in coherence with the mean IIEF5 result of
19.3/25. CONCLUSION: Our study suggests that even when successful, a relatively
high rate of patients may be unsatisfied with the results of plication surgery,
and there may be a relatively low rate of maintenance or improvement of
postoperative sexual life. Furthermore, our in-house questionnaire, although not
validated, shed light on how bothersome the loss of penis length is in
postoperative sexuality, an aspect the IIEF5 and its sole evaluation of erectile
quality cannot detect. LEVEL OF EVIDENCE: 4.
PMID- 27867024
TI - Bariatric surgery in morbidly obese adolescents.
PMID- 27867023
TI - The role of white matter microstructure in inhibitory deficits in patients with
schizophrenia.
AB - BACKGROUND: Inhibitory-excitatory (I-E) imbalance has increasingly been proposed
as a fundamental mechanism giving rise to many schizophrenia-related
pathophysiology. The integrity of I-E functions should require precise and rapid
electrical signal transmission. OBJECTIVE/HYPOTHESIS: We hypothesized that part
of the I-E abnormality in schizophrenia may originate from their known abnormal
white matter connectivity that may interfere the I-E functions. METHODS: We test
this using short-interval intracortical inhibition (SICI) vs. intracortical
facilitation (ICF) which is a non-invasive measurement of I-E signaling. SICI-ICF
from left motor cortex and white matter microstructure were assessed in
schizophrenia patients and healthy controls. RESULTS: Schizophrenia patients
showed significantly reduced SICI but not ICF. White matter microstructure as
measured by fraction anisotropy (FA) in diffusion tensor imaging had a
significant effect on SICI in patients, such that weaker SICI was associated with
lower FA in several white matter tracts, most strongly with left corona radiata
(r = -0.68, p = 0.0002) that contains the fibers connecting with left motor
cortex. Left corticospinal tract, which carries the motor fibers to peripheral
muscular output, also showed significant correlation with SICI (r = -0.54, p =
0.005). Mediation analysis revealed that much of the schizophrenia disease effect
on SICI can be accounted for by mediation through left corona radiata. SICI was
also significantly associated with the performance of processing speed in
patients. CONCLUSION: This study demonstrated the importance of structural
circuitry integrity in inhibitory signaling in schizophrenia, and encouraged
modeling the I-E dysfunction in schizophrenia from a circuitry perspective.
PMID- 27867025
TI - Comparison of short-segment pedicle fixation with versus without inclusion of the
fracture level in the treatment of mild thoracolumbar burst fractures.
AB - BACKGROUND: A review of the literature showed that posterior short-segment
fixation including the fractured vertebra (PSFFV) has better outcomes in the
treatment of thoracolumbar burst fractures(TBFs) than patients with short-segment
pedicle screw fixation(SSPF) alone. However, its efficacy in mild TBFs with load
sharing scores of 3 and 4 points has not been specifically analyzed. The aim of
this study was to compare the clinical, functional and radiologic results of
PSFFV with SSPF for mild TBFs and to determine whether the screws in the
fractured vetebra were necessary for these patients. METHODS: In this
retrospective study, sixty-nine patients with mild TBFs were divided into 2
groups according to the number of instrumented levels. Group A included 34
patients treated by SSPF (four screws:one level above and below the fracture),
and Group B included 35 patients treated by PSFFV (six screws: including the
fractured vertebra). Clinical and radiologic parameters were evaluated before
surgery, after surgery, and at follow-up. They included clinical outcomes: visual
analog scale (VAS), Oswestry Disability Index (ODI). Being a radiology-based
study, the radiologic measures included vertebral wedge angle (VWA), and anterior
vertebral height (AVH). RESULTS: A sum of 69 patients (34 patients in Group A and
35 patients in Group B) were enrolled in the study. The patients in both the
groups showed similar outcomes with regards to age, gender, fracture type and
site. We did not find any statistically difference between the two groups in
corrected rate of VWA or AVH (P > 0.05). In terms of clinical variants such as
VAS and ODI scores, there were also no significant differences. However, the SSPF
had advantages over PSFFV method in operative time, blood loss, postoperative
drainage and postoperative hospitalization time (P > 0.05). There were no serious
complications occurring during our study, such as infection, blood vessel injury,
spinal cord or nerve root injury. No patient needed revision for loss of
correction or failure of instrumentation. CONCLUSIONS: SSPF alone is a safe and
effective surgical method for restoration and maintenance of vertebral column
stability in treating mild TBFs. It gives excellent clinical and radiological
results regardless of whether the fractured vertebra is included in the fixation
or not.
PMID- 27867027
TI - Therapeutic potential of hepcidin - the master regulator of iron metabolism.
AB - Iron is an essential biogenic element for both prokaryotic and eukaryotic cells.
In humans iron is present in hundreds of different metalloproteins. The peptide
hormone hepcidin serves as a master regulator of iron homeostasis on the level of
single cells and whole organism - by altering cell surface expression of cellular
iron exporter - protein ferroportin. Altered levels of extracellular hepcidin
lead to pathological conditions such as hemochromatosis and iron loading or, on
the other side, iron restrictive anemias. Therapeutic modulation of hepcidin is a
new and promising approach to treatment of these conditions. In this review, a
summary of the current knowledge of hepcidin function, regulation and
pathological involvements are provided, followed by a section covering the
therapeutic potential of hepcidin and the current strategies how to modulate its
levels and biological functions for therapeutic purposes.
PMID- 27867029
TI - Genotypic diversity of porcine circovirus type 2 (PCV2) and genotype shift to
PCV2d in Korean pig population.
AB - Porcine circovirus type 2 (PCV2) is a causative agent of PCV2-associated disease
(PCVAD), which leads to enormous economic losses in the swine industry worldwide.
A high nucleotide substitution rate allows for the continuous evolution of PCV2
and the emergence of novel PCV2 strains. However, the distribution of emerging
PCV2 genotypes and the co-existence of multiple genotypes in Korea have not been
elucidated. The objective of this study was to determine the genetic diversity of
PCV2 in Korean pig herds between 2009 and 2016. The overall prevalence of PCV2,
from various samples originating from commercial pigs, was 53.8% (325/604). Two
cases of a genotype shift to PCV2d at the farm level showed that the genotype
shift started before 2012. In addition, genotype-specific PCR, on pen-based oral
fluid samples for nationwide PCV2 surveillance in 2016, indicated that the
infection pattern of PCV2 genotypes at the farm level was as follows; none
(6/69), PCV2a (6/69), PCV2b (2/69), PCV2d (33/69), PCV2a/b (2/69), PCV2a/d
(4/69), PCV2b/d (11/69), and PCV2a/b/d (2/69), respectively. This suggests that
the genotype shift to PCV2d occurred on a nationwide scale and that the co
existence of different genotypes is common in Korean pig herds. In addition,
seven sites on the capsid protein of Korean PCV2 were identified as being under
positive selection pressure, all of which are related to the epitope region and
neutralization activity. These data provide evidence of increased genetic
diversity and shifts among Korean PCV2 isolates.
PMID- 27867026
TI - Artemisinin and its derivatives in treating protozoan infections beyond malaria.
AB - Parasitic protozoan diseases continue to rank among the world's greatest global
health problems, which are also common among poor populations. Currently
available drugs for treatment present drawbacks, urging the need for more
effective, safer, and cheaper drugs. Artemisinin (ART) and its derivatives are
some of the most important classes of antimalarial agents originally derived from
Artemisia annua L. However, besides the outstanding antimalarial and
antischistosomal activities, ART and its derivatives also possess activities
against other parasitic protozoa. In this paper we review the activities of ART
and its derivatives against protozoan parasites in vitro and in vivo, including
Leishmania spp., Trypanosoma spp., Toxoplasma gondii, Neospora caninum, Eimeria
tenella, Acanthamoeba castellanii, Naegleria fowleri, Cryptosporidium parvum,
Giardia lamblia, and Babesia spp. We conclude that ART and its derivatives may be
good alternatives for treating other non-malarial protozoan infections in
developing countries, although more studies are necessary before they can be
applied clinically.
PMID- 27867030
TI - Gemcitabine treatment enhanced the anti-tumor effect of cytokine induced killer
cells by depletion of CD4+CD25bri regulatory T cells.
AB - Cytokine induced killer (CIK) cells have a powerful tumor cells killing activity
both in vitro and in vivo and transfusion of these cells have become an adjuvant
treatment for tumors. CIK cells are induced and amplified from peripheral blood
mononuclear cells (PBMCs) with multiple cytokines. As CD4+CD25bri regulatory T
cells can be also induced by high dose of interleukin 2 (IL-2) which is used for
CIK cells amplification in the CIK cell culture system, the anti-tumor activity
of CIK cells was suppressed to some extent. In order to overcome this unwanted
suppressive factor, we found that low dose of gemcitabine could reduce the
proportion of CD4+CD25bri regulatory T cells in the CIK cell culture system and
significantly enhance the anti-tumor activity of CIK cells in vitro. The levels
of interleukin-10 (IL-10) and transforming growth factor-beta (TGF-beta) were
also reduced significantly following the depletion of CD4+CD25bri regulatory T
cells in gemcitabine treated CIK cell culture system. In vivo experiment showed
that low dose of gemcitabine treated CIK cells significantly suppressed tumor
growth and prolonged their lifespan in tumor-bearing nude mice, with the
proportion of CD4+CD25bri regulatory T cells reduced. Meanwhile, we detected
lower levels of IL-10, TGF-beta and a higher level of interferon-gamma (IFN
gamma) in tumor-bearing nude mice that received gemcitabine treated CIK cells
transfusion than those in other groups. The possible mechanism involved in the
enhanced anti-tumor activity in vivo was that gemcitabine treated CIK cells
created a strengthened anti-tumor immune microenvironment with the changed levels
of cytokines such as IL-10, TGF-beta and IFN-gamma. These results suggested a
strategy to improve the adoptive immune therapy in recent use by removing the
suppressive factors and a more effective tumor treatment combining chemotherapy
and immunotherapy.
PMID- 27867028
TI - Control of human papillomavirus gene expression by alternative splicing.
AB - Human papillomaviruses possess circular double stranded DNA genomes of around 8kb
in size from which multiple mRNAs are synthesized during an infectious life
cycle. Although at least three viral promoters are used to initiate
transcription, viral mRNAs are largely the product of processing of pre-mRNAs by
alternative splicing and polyadenylation. The HPV life cycle and viral gene
expression are tightly linked to differentiation of the epithelium the virus
infects: there is an orchestrated production of viral mRNAs and proteins. In this
review we describe viral mRNA expression and the roles of the SR and hnRNP
proteins that respectively positively and negatively regulate splicing. We
discuss HPV regulation of splicing factors and detail the evidence that the
papillomavirus E2 protein has splicing-related activities. We highlight the
possibility that HPV-mediated control of splicing in differentiating epithelial
cells may be necessary to accomplish the viral replication cycle.
PMID- 27867031
TI - Marine n-3 fatty acids are incorporated into atrial tissue but do not correlate
with postoperative atrial fibrillation in cardiac surgery.
AB - OBJECTIVES: Postoperative new-onset atrial fibrillation (POAF) in cardiac surgery
is associated with increased morbidity and mortality. Because n-3 polyunsaturated
fatty acids (n-3 PUFA) have an antiarrhythmic effect, we hypothesized that a high
content of marine n-3 PUFA in the atrial wall was associated with a reduced risk
of POAF. DESIGN: Venous blood and tissue from the right atrial appendage were
obtained from 50 patients undergoing elective cardiac surgery. We determined the
content of marine n-3 PUFA in atrial tissue and in plasma phospholipids using gas
chromatography. RESULTS: The mean age of the patients (results available from 49
patients) was 66.0+/-10.4years, and 22, 14, 10 and 3 patients underwent coronary
artery bypass surgery, valve, combined or other cardiac surgery, respectively.
Eighteen patients (36.7%) developed POAF. Concentrations of n-3 PUFA in the
atrial wall and in plasma phospholipids did not predict the development of POAF,
but there were significant correlations between marine n-3 PUFA in atrial tissue
and plasma. CONCLUSION: Levels of marine n-3 PUFA in the atrial wall was not
associated with the risk of POAF following cardiac surgery, despite significant
correlations of marine n-3 PUFA in the atrium and in plasma phospholipids.
PMID- 27867032
TI - Neural correlates of temporal context retrieval for abstract scrambled phrases:
Reducing narrative and familiarity-based strategies.
AB - Temporal context, memory for the timing of events, can be assessed using non
temporal strategies such as relative familiarity or inference from a semantic
narrative. Neuroimaging studies, which have previously encouraged such
strategies, find similar patterns of brain regions involved in both temporal and
non-temporal context memory. The present study aims to investigate whether
previous findings are driven by the use of non-temporal strategies or whether the
same pattern of brain regions is identified when relative familiarity and
semantic narrative strategies are discouraged. We used abstract phrases (e.g.
alone me leave) created by scrambling familiar three-word phrases. The words in
the phrases were less concrete than the object image stimuli used in previous
studies of temporal context memory (Jenkins and Ranganath, 2010) and were
presented quickly while participants read each word aloud. This differed from
previous studies in which participants were encouraged to use narrative
strategies during encoding (Tubridy and Davachi, 2011) and was designed to
discourage use of narrative strategies. The relative familiarity of the words
within each phrase was similar and likely not diagnostic of word order during
encoding, in order to minimize the use of relative familiarity strategies.
Neuroimaging results indicate that temporal context retrieval was associated with
the hippocampus, parahippocampal cortex, ventromedial prefrontal cortex, and
retrosplenial cortex, which are regions consistent with the retrieval of non
temporal context in episodic memory, suggesting that previous findings were not
driven entirely by non-temporal strategies but rather that temporal memory relies
on similar brain regions to non-temporal memory.
PMID- 27867033
TI - Differences in Abeta brain networks in Alzheimer's disease and healthy controls.
AB - The prevailing beta-amyloid (Abeta)-cascade hypothesis is the most classical
Alzheimer's disease (AD) pathogenesis. In this hypothesis, excessive Abeta plaque
deposition in human brain is considered to be the cause of AD. Carbon 11-labeled
Pittsburgh compound B Positron emission tomography (11C-PiB PET) is the latest
technology to detect Abeta plaques in vivo. Thus, it is possible to investigate
the difference of Abeta brain networks between AD patients and Health Controls
(HC) by analyzing 11C-PiB PET images. In this study, a graph-theoretical method
was employed to investigate the topological properties of Abeta networks in 18
Chinese AD patients and 16 HC subjects from Huashan Hospital, Shanghai. The
results showed that both groups demonstrated small-world property, and this
property was more obvious in AD group. Additionally, the clustering coefficients
and path lengths were significantly lower in AD group. The global efficiency was
larger in AD than in HC. A direct comparison between with and without regression
found that sex, age and weight had no significant effect on the Abeta network.
Moreover, three altered regions in AD group were identified, including left
cuneus (CUN.L), right caudate nucleus (CAU.R) and left superior frontal gyrus
(SFGdor. L). A voxel-wise correlation analysis showed that in AD patients, the
regions of strengthened connection with CUN.L were mainly located in frontal
cortex and parietal cortex, the regions of strengthen connection with CAU.R were
mainly located in temporal cortex. Finally, a machine learning based analysis
demonstrated that the three regions could be better biomarkers than the whole
brain for AD classification.
PMID- 27867034
TI - The effects of NBM- lesion on synaptic plasticity in rats.
AB - The nucleus basalis magnocellularis (NBM) is a major source of cholinergic
projections to the neocortex that is vulnerable to degeneration in Alzheimer's
disease. Despite numerous anatomical, pharmacological, behavioral, and
physiological investigations of NBM, there is no in vivo study of its effects on
short- or long-term synaptic plasticity. Hence, this study was devoted to the
assessment of the effects of bilateral lesion of the NBM on synaptic plasticity
in the dentate gyrus of the hippocampus using electrophysiological techniques.
For this purpose, twenty-five male Wistar rats were randomly allocated into the
three Control, Sham, and NBM-lesioned groups. Lesion was made via bilateral
injections of 5ug/ul ibotenic acid. After twenty-one days, the input-output
functions, paired-pulse facilitation/inhibition, and long-term potentiation (LTP)
were evaluated in the dentate gyrus while the perforant pathway was stimulated.
NBM lesion was found to attenuate the basal synaptic responsiveness, paired-pulse
responses, and LTP in the rats' dentate gyrus, indicating that lesions of this
cholinergic nucleus affects both short- and long-term neural plasticity in the
dentate gyrus although NBM does not send direct cholinergic projections to the
hippocampus.
PMID- 27867035
TI - Two-Way Conversion between Lipogenic and Myogenic Fibroblastic Phenotypes Marks
the Progression and Resolution of Lung Fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is a form of progressive interstitial lung
disease with unknown etiology. Due to a lack of effective treatment, IPF is
associated with a high mortality rate. The hallmark feature of this disease is
the accumulation of activated myofibroblasts that excessively deposit
extracellular matrix proteins, thus compromising lung architecture and function
and hindering gas exchange. Here we investigated the origin of activated
myofibroblasts and the molecular mechanisms governing fibrosis formation and
resolution. Genetic engineering in mice enables the time-controlled labeling and
monitoring of lipogenic or myogenic populations of lung fibroblasts during
fibrosis formation and resolution. Our data demonstrate a lipogenic-to-myogenic
switch in fibroblastic phenotype during fibrosis formation. Conversely, we
observed a myogenic-to-lipogenic switch during fibrosis resolution. Analysis of
human lung tissues and primary human lung fibroblasts indicates that this fate
switching is involved in IPF pathogenesis, opening potential therapeutic avenues
to treat patients.
PMID- 27867038
TI - Comparative mitogenomic analysis of three species of periwinkles: Littorina
fabalis, L. obtusata and L. saxatilis.
AB - The flat periwinkles, Littorina fabalis and L. obtusata, offer an interesting
system for local adaptation and ecological speciation studies. In order to
provide genomic resources for these species, we sequenced their mitogenomes
together with that of the rough periwinkle L. saxatilis by means of next
generation sequencing technologies. The three mitogenomes present the typical
repertoire of 13 protein-coding genes, 22 transfer RNA genes, two ribosomal RNA
genes and a putative control region. Although the latter could not be fully
recovered in flat periwinkles using short-reads due to a highly repetitive
fragment, in L. saxatilis this problem was overcome with additional long-reads
and we were able to assemble the complete mitogenome. Both gene order and
nucleotide composition are similar between the three species as well as compared
to other Littorinimorpha. A large variance in divergence was observed across
mitochondrial regions, with six- to ten-fold difference between the highest and
the lowest divergence rates. Based on nucleotide changes on the whole molecule
and assuming a molecular clock, L. fabalis and L. obtusata started to diverge
around 0.8 Mya (0.4-1.1 Mya). The evolution of the mitochondrial protein-coding
genes in the three Littorina species appears mainly influenced by purifying
selection as revealed by phylogenetic tests based on dN/dS ratios that did not
detect any evidence for positive selection, although some caution is required
given the limited power of the dataset and the implemented approaches.
PMID- 27867039
TI - Peritoneal dialysis effluent miR-21 and miR-589 levels correlate with
longitudinal change in peritoneal transport characteristics.
AB - BACKGROUND: The role of microRNA (miRNA) in peritoneal fibrosis and longitudinal
change in transport is uncertain. METHODS: We studied 80 new peritoneal dialysis
(PD) patients. Peritoneal transport was determined by standard peritoneal
equilibration test (PET) of creatinine at baseline. Based on published
literature, PD effluent levels of 10 miRNA targets were quantified. PET and miRNA
quantification were repeated one year later in 46 patients. RESULTS: Baseline PD
effluent levels of all targets tested had modest but significant correlation with
peritoneal transport parameters. PD effluent miR-21 and miR-589 levels correlated
with dialysate-to-plasma creatinine concentration at 4h (D/P4) at baseline
(r=0.377, p=0.001 and r=0.237, p=0.037, respectively) and after one year of PD
(r=0.362, p=0.014 and r=0.402, p=0.007). The change in PD effluent -21 and miR
589 levels over one year correlated with the corresponding change in D/P4
(r=0.470, p=0.001 and r=0.479, p=0.002). The number of peritonitis episodes
during follow up significantly correlated with the change in PD effluent miR-21
(r=0.387, p=0.009) and miR-589 (r=0.336, p=0.027) levels. There was no
significant correlation between PD effluent miRNA level and ultrafiltration
volume. CONCLUSION: Amongst the 10 miRNA targets tested, miR-21 and miR-589
showed consistently significant relation with peritoneal transport. Further
studies are needed to delineate their mechanisms of regulating peritoneal
transport.
PMID- 27867037
TI - Cardiac Fibroblasts Adopt Osteogenic Fates and Can Be Targeted to Attenuate
Pathological Heart Calcification.
AB - Mammalian tissues calcify with age and injury. Analogous to bone formation,
osteogenic cells are thought to be recruited to the affected tissue and induce
mineralization. In the heart, calcification of cardiac muscle leads to conduction
system disturbances and is one of the most common pathologies underlying heart
blocks. However the cell identity and mechanisms contributing to pathological
heart muscle calcification remain unknown. Using lineage tracing, murine models
of heart calcification and in vivo transplantation assays, we show that cardiac
fibroblasts (CFs) adopt an osteoblast cell-like fate and contribute directly to
heart muscle calcification. Small-molecule inhibition of ENPP1, an enzyme that is
induced upon injury and regulates bone mineralization, significantly attenuated
cardiac calcification. Inhibitors of bone mineralization completely prevented
ectopic cardiac calcification and improved post injury heart function. Taken
together, these findings highlight the plasticity of fibroblasts in contributing
to ectopic calcification and identify pharmacological targets for therapeutic
development.
PMID- 27867040
TI - Epilepsy in MELAS.
PMID- 27867036
TI - DNA Methylation Dynamics of Human Hematopoietic Stem Cell Differentiation.
AB - Hematopoietic stem cells give rise to all blood cells in a differentiation
process that involves widespread epigenome remodeling. Here we present genome
wide reference maps of the associated DNA methylation dynamics. We used a meta
epigenomic approach that combines DNA methylation profiles across many small
pools of cells and performed single-cell methylome sequencing to assess cell-to
cell heterogeneity. The resulting dataset identified characteristic differences
between HSCs derived from fetal liver, cord blood, bone marrow, and peripheral
blood. We also observed lineage-specific DNA methylation between myeloid and
lymphoid progenitors, characterized immature multi-lymphoid progenitors, and
detected progressive DNA methylation differences in maturing megakaryocytes. We
linked these patterns to gene expression, histone modifications, and chromatin
accessibility, and we used machine learning to derive a model of human
hematopoietic differentiation directly from DNA methylation data. Our results
contribute to a better understanding of human hematopoietic stem cell
differentiation and provide a framework for studying blood-linked diseases.
PMID- 27867041
TI - Infantile Epileptic Encephalopathy Associated With SCN2A Mutation Responsive to
Oral Mexiletine.
AB - BACKGROUND: Genetic alterations are significant causes of epilepsy syndromes;
especially early-onset epileptic encephalopathies and voltage-gated sodium
channelopathies are among the best described. Mutations in the SCN2A subunit of
voltage-gated sodium channels have been associated with benign familial neonatal
infantile seizures, generalized epilepsy febrile seizures plus, and an early
onset infantile epileptic encephalopathy. METHOD: We describe two infants with
medically refractory seizures due to a de novo SCN2A mutation. RESULTS: The first
child responded to intravenous lidocaine with significant reduction in seizure
frequency and was successfully transitioned to enteral mexiletine. Mexiletine was
subsequently used in a second infant with reduction in seizure frequency.
CONCLUSION: Class 1b antiarrhythmic agents, lidocaine and mexiletine, may be
useful in infants with medically refractory early infantile epileptic
encephalopathy secondary to mutations in SCN2A.
PMID- 27867042
TI - Poly(ADP-ribose)polymerase-1 hyperactivation in neurodegenerative diseases: The
death knell tolls for neurons.
AB - Neurodegeneration is a salient feature of chronic refractory brain disorders like
Alzheimer's, Parkinson's, Huntington's, amyotropic lateral sclerosis and acute
conditions like cerebral ischemia/reperfusion etc. The pathological protein
aggregates, mitochondrial mutations or ischemic insults typifying these disease
conditions collude with and intensify existing oxidative stress and attendant
mitochondrial dysfunction. Interlocking these mechanisms is poly(ADP-ribose)
polymerase (PARP-1) hyperactivation that invokes a distinct form of neuronal cell
death viz., 'parthanatos'. PARP-1, a typical 'moonlighting protein' by virtue of
its ability to poly(ADP-ribosyl)ate a plethora of cellular proteins exerts
diverse functions that impinge significantly on cellular processes. In addition,
its interactions with various nuclear proteins like transcription factors and
chromatin modifiers elicit varied transcriptional outcomes that wield
pathological cellular responses. Further, emerging leitmotifs like mitochondrial
and nucleolar PARPs and the novel aspects of gene expression regulation by PARP-1
and poly(ADP-ribosyl)ation can provide a holistic view of PARP-1's influence on
cell vitality. In this review, we discuss the pathological underpinnings of PARP
1, with a special emphasis on mitochondrial dysfunction and cell death
subroutines, in the realm of neurodegeneration. This would provide a deeper
insight into the functions of PARP-1 in neurodegenerative conditions that would
enable the development of more effective therapeutic strategies.
PMID- 27867043
TI - Acute social stress-induced immunomodulation in pigs high and low responders to
ACTH.
AB - Pig husbandry is known as an intensive breeding system, piglets being submitted
to multiple stressful events such as early weaning, successive mixing, crowding
and shipping. These stressors are thought to impair immune defences and might
contribute, at least partly, to the prophylactic use of antibiotics. Robustness
was recently defined as the ability of an individual to express a high-production
potential in a wide variety of environmental conditions. Increasing robustness
thus appears as a valuable option to improve resilience to stressors and could be
obtained by selecting piglets upon their adrenocortical activity. In this study,
we aimed at depicting the consequences of an acute social stress on the immune
capacity of piglets genetically selected upon divergent hypothalamic-pituitary
adrenocortical (HPA) axis activity. For this purpose, we monitored neuroendocrine
and immune parameters, in high- (HPAhi) and low- (HPAlo) responders to ACTH, just
before and immediately after a one-hour mixing with unfamiliar conspecifics. As
expected, stressed piglets displayed higher levels of circulating cortisol and
norepinephrine. Blood cell count analysis combined to flow cytometry revealed a
stress-induced leukocyte mobilization in the bloodstream with a specific
recruitment of CD8alpha+ lymphocytes. Besides, one-hour mixing decreased LPS
induced IL-8 and TNFalpha secretions in whole-blood assays (WBA) and reduced
mononuclear cell phagocytosis. Altogether, our data demonstrate that acute social
stress alters immune competence of piglets from both groups, and bring new
insights in favour of good farming practices. While for most parameters high- and
low-responders to ACTH behaved similarly, HPAhi piglets displayed higher number
of CD4+ CD8alpha- T cells, as well as increased cytokine production in WBA (LPS
induced TNFalpha and PIL-induced IL-8), which could confer them increased
resistance to pathogens. Finally, a principal component analysis including all
parameters highlighted that overall stress effects were less pronounced on
piglets with a strong HPA axis. Thus, selection upon adrenocortical axis activity
seems to reduce the magnitude of response to stress and appears as a good tool to
increase piglet robustness.
PMID- 27867045
TI - MinVar: A rapid and versatile tool for HIV-1 drug resistance genotyping by deep
sequencing.
AB - Genotypic monitoring of drug-resistance mutations (DRMs) in HIV-1 infected
individuals is strongly recommended to guide selection of the initial
antiretroviral therapy (ART) and changes of drug regimens. Traditionally,
mutations conferring drug resistance are detected by population sequencing of the
reverse transcribed viral RNA encoding the HIV-1 enzymes target by ART, followed
by manual analysis and interpretation of Sanger sequencing traces. This process
is labor intensive, relies on subjective interpretation from the operator, and
offers limited sensitivity as only mutations above 20% frequency can be reliably
detected. Here we present MinVar, a pipeline for the analysis of deep sequencing
data, which allows reliable and automated detection of DRMs down to 5%. We
evaluated MinVar with data from amplicon sequencing of defined mixtures of
molecular virus clones with known DRM and plasma samples of viremic HIV-1
infected individuals and we compared it to VirVarSeq, another virus variant
detection tool exclusively working on Illumina deep sequencing data. MinVar was
designed to be compatible with a diverse range of sequencing platforms and allows
the detection of DRMs and insertions/deletions from deep sequencing data without
the need to perform additional bioinformatics analysis, a prerequisite to a
widespread implementation of HIV-1 genotyping using deep sequencing in routine
diagnostic settings.
PMID- 27867044
TI - Mitochondrial dysfunction in cancer chemoprevention by phytochemicals from
dietary and medicinal plants.
AB - Cancer chemoprevention, a scientific term coined by Dr. Sporn in the late
seventies, implies use of natural or synthetic chemicals to block, delay or
reverse carcinogenesis. Phytochemicals derived from edible and medicinal plants
have been studied rather extensively for cancer chemoprevention using preclinical
models in the past few decades. Nevertheless, some of these agents (e.g.,
isothiocyanates from cruciferous vegetables like broccoli and watercress) have
already entered into clinical investigations. Examples of widely studied and
highly promising phytochemicals from edible and medicinal plants include
cruciferous vegetable constituents (phenethyl isothiocyanate, benzyl
isothiocyanate, and sulforaphane), withaferin A (WA) derived from a medicinal
plant (Withania somnifera) used heavily in Asia, and an oriental medicine plant
component honokiol (HNK). An interesting feature of these structurally-diverse
phytochemicals is that they target mitochondria to provoke cancer cell-selective
death program. Mechanisms underlying cell death induction by commonly studied
phytochemicals have been discussed rather extensively and thus are not covered in
this review article. Instead, the primary focus of this perspective is to discuss
experimental evidence pointing to mitochondrial dysfunction in cancer
chemoprevention by promising phytochemicals.
PMID- 27867046
TI - Efficient production of an avian adeno-associated virus vector using insect
cell/baculovirus expression system.
AB - Recombinant avian adeno-associated virus (rAAAV) is a promising gene transfer
vector for avian cells. Although rAAAV can be produced by co-transfection of
HEK293 cells with three plasmids, both scalability and productivity of the
transient transfection method can not meet the demand for large-scale in vivo
experiments. In this study, a scalable rAAAV production method was established by
using insect cell/baculovirus expression system. Three recombinant baculoviruses,
namely BacARep, BacAVP and BacAGFP, were generated by transfection of Sf9 cells
with the three plasmids expressing AAAV Rep genes, modified VP gene or the
inverted terminal repeats-flanked green fluorescent protein (GFP) gene. After
demonstration of the correct expression of AAAV genes, rAAAV-GFP was produced by
triple infection of insect cells or triple transfection of HEK293 cells for
comparison purpose. Electron microscopy revealed the formation of typical AAAV
particles in the insect cells. Western blotting showed the correct assembly of
rAAAV particles with a VP protein ratio similar to that of AAAV. Quantitative PCR
showed that the insect cell-produced rAAAV yield was almost 25-fold higher than
that produced by HEK293 cells. Fluorescent microscopy showed that the insect cell
produced rAAAV could transfer GFP reporter gene into two avian cell types with
similar transfer efficiency to that of HEK293 cell-produced rAAAV. These data
suggest that insect cell/baculovirus expression system could be used for scalable
production of rAAAV, and the viral vector produced could be used as the gene
transfer vehicle for avian cells.
PMID- 27867047
TI - Assessing the intra-species genetic variability in the clonal pathogen
Campylobacter fetus: CRISPRs are highly polymorphic DNA markers.
AB - Campylobacter fetus is a Gram-negative, microaerophilic bacterium that infects
animals and humans. The subspecies Campylobacter fetus subsp. fetus (Cff) affects
a broad range of vertebrate hosts and induces abortion in cows and sheep.
Campylobacter fetus subsp. venerealis (Cfv) is restricted to cattle and causes
the endemic disease bovine genital campylobacteriosis, which triggers
reproductive problems and is responsible for major economic losses. Campylobacter
fetus subsp. testudinum (Cft) has been isolated mostly from apparently healthy
reptiles belonging to different species but also from ill snakes and humans.
Genotypic differentiation of Cff and Cfv is difficult, and epidemiological
information is scarce because there are few methods to study the genetic
diversity of the strains. We analyze the efficacy of MLST, ribosomal sequences
(23S gene and internal spacer region), and CRISPRs to assess the genetic
variability of C. fetus in bovine and human isolates. Sequences retrieved from
complete genomes were included in the analysis for comparative purposes. MLST and
ribosomal sequences had scarce or null variability, while the CRISPR-cas system
structure and the sequence of CRISPR1 locus showed remarkable diversity. None of
the sequences here analyzed provided evidence of a genetic differentiation of Cff
and Cfv in bovine isolates. Comparison of bovine and human isolates with Cft
strains showed a striking divergence. Inter-host differences raise the
possibility of determining the original host of human infections using CRISPR
sequences. CRISPRs are the most variable sequences analyzed in C. fetus so far,
and constitute excellent representatives of a dynamic fraction of the genome.
CRISPR typing is a promising tool to characterize isolates and to track the
source and transmission route of C. fetus infections.
PMID- 27867048
TI - Feasibility and Learning Curve of Robotic Laparoendoscopic Single-Site Surgery in
Gynecology.
AB - Single-site laparoscopy has proven to be a desirable option for patients
undergoing gynecologic surgery, with some studies indicating improved cosmesis
and less perioperative pain compared with standard approaches. This study
describes the safety and feasibility of a novel robotic laparoendoscopic single
site surgery (R-LESS) platform as it is incorporated into a surgeon's practice
with extensive multiport robotic surgical experience but limited LESS experience.
We reviewed 83 women undergoing R-LESS by a single surgeon from September 2013
through August 2015. Operative times (total operative time, console time, docking
time) were collected prospectively for the first 53 cases, and total operative
time was collected retrospectively for the next 30 cases. Clinical parameters,
including age, estimated blood loss, body mass index (BMI), prior abdominal
surgeries, conversion to laparotomy, procedure type, uterine weight, length of
hospital stay, and complications, were retrospectively collected from medical
charts. Eighty-two of 83 surgeries were completed successfully with a single
incision. One surgery was converted to multiport robotics for para-aortic lymph
node dissection. Twelve surgeries were performed for cancer (ovary 1, uterus 8,
and cervix 3). Eight patients underwent pelvic lymph node biopsy. The median
total operative time for hysterectomies was 128 minutes (range, 60-275). After
the first 13 hysterectomies the total operative time and the console time
decreased significantly from 165.3 to 131.1 minutes (p = .032) and from 84.9 to
57.1 minutes (p = .028), respectively. Mean docking time halved from 7.8 minutes
to 3.4 minutes comparing the first 10 cases to the last 10 cases. Surgical times
were longer with larger BMIs, but the console time decreased with experience
regardless of BMI. The mean uterine weight was 164 g (range, 30-460).
Complications included 2 umbilical hernias (2.4%) and 1 conversion to multiport.
In conclusion, R-LESS is a feasible and safe surgical platform for gynecologic
procedures. A small number of cases are needed to significantly improve operative
times when it is introduced on a surgeon's practice with limited experience in
LESS but familiar with robotic surgery. Further study is needed to investigate
the cost, benefits, and long-term outcomes of R-LESS.
PMID- 27867049
TI - Improving Rates of Post-Essure Hysterosalpingography in an Urban Population Using
Electronic Tracking Reminders.
AB - STUDY OBJECTIVE: To demonstrate the efficacy of electronic reminders for follow
up hysterosalpingography (HSG) after Essure hysteroscopic sterilization in an
urban tertiary care hospital obstetrics and gynecology practice. DESIGN:
Retrospective cohort study (Canadian Task Force classification II-3). SETTING:
Obstetrics and gynecology practice at a university-affiliated urban tertiary care
teaching hospital. PATIENTS: Two hundred and fifty patients who underwent Essure
hysteroscopic sterilization between June 2011 and July 2014. INTERVENTION:
Implementation of electronic reminders for the office staff. MEASUREMENTS AND
MAIN RESULTS: Two hundred and fifty of 259 patients (96.5%) underwent Essure
hysteroscopic sterilization and successful placement of coils into bilateral
Fallopian tubes. Among these 250 patients, 135 (54%) returned for HSG at 3 months
post-Essure as advised at the time of procedure. The use of electronic reminders
prompted another 45 patients (18%) to return for HSG, improving the total post
Essure follow-up rate to 72%. CONCLUSION: Electronic reminders for the office
staff of an urban tertiary care hospital's obstetrics and gynecology practice is
an effective method for improving the rate of post-Essure HSG.
PMID- 27867050
TI - Laparoscopic Resection of Cesarean Scar Ectopic Pregnancy.
AB - STUDY OBJECTIVE: To demonstrate a technique for the laparoscopic surgical
management of cesarean section scar ectopic pregnancy. DESIGN: Step-by-step
presentation of the procedure using video (Canadian Task Force classification
III). SETTING: Cesarean section scar ectopic pregnancy is a rare form of ectopic
pregnancy with an incidence ranging from 1:1800 to 1:2216. Over the last decade,
the incidence seems to be on the rise with increasing rates of cesarean
deliveries and early use of Doppler ultrasound. These pregnancies can lead to
life-threatening hemorrhage, uterine rupture, and hysterectomy if not managed
promptly. Local or systemic methotrexate therapy has been used successfully but
can result in prolonged hospitalization, requires long-term follow-up, and in
some cases treatment can fail. In the hands of a trained operator, laparoscopic
resection can be performed to manage this type of pregnancy. PATIENT: Consent was
obtained from the patient, and exemption was granted from the local Internal
Review Board (The Womens' Hospital, Parkville). INTERVENTIONS, MEASUREMENTS AND
MAIN RESULTS: In this video we describe our technique for laparoscopic management
of a cesarean scar ectopic pregnancy. We present the case of a 34-year-old G4P2T1
with the finding of a live 8-week pregnancy embedded in the cesarean section
scar. The patient had undergone 2 previous uncomplicated cesarean sections at
term. On presentation her beta-human chorionic gonadotropin (beta-hCG) level was
52 405 IU/L. She was initially managed with an intragestational sac injection of
potassium chloride and methotrexate, followed by 4 doses of intramuscular
methotrexate. Despite these conservative measures, the level of beta-hCG did not
adequately fall and an ultrasound showed a persistent 4-cm mass. A decision was
made to proceed with surgical treatment in the form of a laparoscopic resection
of the ectopic pregnancy. The surgery was uneventful, and the patient was
discharged home within 24 hours of her procedure. Her serial beta-hCG levels were
followed until complete resolution. CONCLUSION: Laparoscopic excision of cesarean
section scar ectopic pregnancy is an effective procedure for the management of
this increasingly more common condition. The use of vasopressin intraoperatively
and laparoscopic suturing can prevent hemorrhage and allow for the safe removal
of the ectopic pregnancy with multilayer repair of the uterine defect.
PMID- 27867051
TI - Total Laparoscopic Hysterectomy Versus Vaginal Hysterectomy: A Systematic Review
and Meta-Analysis.
AB - Hysterectomies performed laparoscopically have greatly increased within the last
few decades and even exceed the number of vaginal hysterectomies (VHs). This
systematic review, conducted according to the Meta-analysis of Observational
Studies in Epidemiology guidelines, compares surgical outcomes of total
laparoscopic hysterectomy (TLH) and VH to evaluate which approach offers the most
benefits. A literature search was performed in PubMed, Embase, and Web of Science
for all relevant publications from January 2000 to February 2016. All randomized
controlled trials and cohort studies for benign indication or low-grade
malignancy comparing TLH with VH were considered for inclusion. From the
literature search, 24 articles were found to be relevant and included in this
review. The results of our meta-analysis showed no difference between the 2
groups for overall complications (OR 1.24 [.68, 2.28] for major complications; OR
.83 [.53, 1.28] for minor complications), risk of ureter and bladder injuries (OR
.81 [.34, 1.92]), intraoperative blood loss (mean difference [MD] -30 mL [-67.34,
7.60]), and length of hospital stay (-.61 days [-1.23, -.01]). VH was associated
with a shorter operative time (MD 42 minute [29.34, 55.91]) and a lower rate of
vaginal cuff dehiscence (OR 6.28 [2.38, 16.57]) and conversion to laparotomy (OR
3.89 [2.18, 6.95]). Although not significant, the costs of procedure were lower
for VH (MD 3889.9 dollars [2120.3, 89 000]). Patients in the TLH group had lower
postoperative visual analog scale scores (MD -1.08, [-1.74, -.42]) and required
less analgesia during a shorter period of time (MD -.64 days, [-1.06, -.22]).
Defining the best surgical approach is a dynamic process that requires frequent
re-evaluation as techniques improve. Although TLH and VH result in similar
outcomes, our meta-analysis showed that when both procedures are feasible, VH is
currently still associated with greater benefits, such as shorter operative time,
lower rate of vaginal dehiscence and conversion to laparotomy, and lower costs.
Many factors influence the choice for surgical approach to hysterectomy, and
shared decision-making is recommended.
PMID- 27867052
TI - Accelerating MRI fat quantification using a signal model-based dictionary to
assess gastric fat volume and distribution of fat fraction.
AB - To quantify intragastric fat volume and distribution with accelerated magnetic
resonance (MR) imaging using signal model-based dictionaries (DICT) in comparison
to conventional parallel imaging (CG-SENSE). This study was approved by the local
ethics committee and written informed consent was obtained. Seven healthy
subjects were imaged after intake of a lipid emulsion and data at three different
time points during the gastric emptying process was acquired in order to cover a
range of fat fractions. Fully sampled and prospectively undersampled image data
at a reduction factor of 4 were acquired using a multi gradient echo sequence at
1.5T. Retrospectively and prospectively undersampled data were reconstructed with
DICT and CG-SENSE. Image quality of the retrospectively undersampled data was
assessed relative to the fully sampled reference using the root mean square error
(RMSE). In order to assess the agreement of fat volumes and intragastric fat
distribution, Bland-Altman analysis and linear regression were performed on the
data. The RMSE in intragastric content (DeltaRMSE=0.10+/-0.01, P<0.001) decreased
significantly with DICT relative to CG-SENSE. CG-SENSE overestimated fat volumes
(bias 2.1+/-1.3mL; confidence limits 5.4 and -1.1mL) in comparison to the
prospective DICT reconstruction (bias -0.1+/-0.7mL; confidence limits 1.8 and
2.0mL). There was a good agreement in fat distribution between the images
reconstructed by retrospective DICT and the reference images (regression slope:
1.01, R2=0.961). Accelerating gastric MRI by integrating a dictionary-based
signal model allows for improved image quality and increases accuracy of fat
quantification during breathholds.
PMID- 27867053
TI - Intra voxel analysis in magnetic resonance imaging.
AB - A technique for analyzing the composition of each voxel, in the magnetic
resonance imaging (MRI) framework, is presented. By combining different
acquisitions, a novel methodology, called intra voxel analysis (IVA), for the
detection of multiple tissues and the estimation of their spin-spin relaxation
times is proposed. The methodology exploits the sparse Bayesian learning (SBL)
approach in order to solve a highly underdetermined problem imposing the solution
sparsity. IVA, developed for spin echo imaging sequence, can be easily extended
to any acquisition scheme. For validating the approach, simulated and real data
sets are considered. Monte Carlo simulations have been implemented for evaluating
the performances of IVA compared to methods existing in literature. Two clinical
datasets acquired with a 3T scanner have been considered for validating the
approach. With respect to other approaches presented in literature, IVA has
proved to be more effective in the voxel composition analysis, in particular in
the case of few acquired images. Results are interesting and very promising: IVA
is expected to have a remarkable impact on the research community and on the
diagnostic field.
PMID- 27867054
TI - Thiolated methylated dimethylaminobenzyl chitosan: A novel chitosan derivative as
a potential delivery vehicle.
AB - Chitosan is a natural mucoadhesive, biodegradable, biocompatible and nontoxic
polymer which has been used in pharmaceutical industry for a lot of purposes such
as dissolution enhancing, absorption enhancing, sustained releasing and protein,
gene or drug delivery. Two major disadvantages of chitosan are poor solubility in
physiological pH and low efficiency for protein and gene delivery. In this study
thiolated methylated N-(4-N,N-dimethylaminobenzyl) chitosan was prepared for the
first time in order to improve the solubility and delivery properties of
chitosan. This novel chitosan derivative was characterized using 1H NMR, Ellman
test, TGA and Zetasizer. Cell toxicity studies were performed on Human Embryonic
Kidney 293 (Hek293) cell line using XTT method, to investigate the potential
effect of this new derivative on cell viability. 1H NMR results showed that all
substitution reactions were successfully carried out. Zeta potential of new
derivative at acidic and physiological pHs was greater than chitosan and it
revealed an increase in solubility of the derivative. Furthermore, it had no
significant cytotoxicity against Hek293 cell line in comparison to chitosan.
These findings confirm that this new derivative can be introduced as a suitable
compound for biomedical purposes.
PMID- 27867055
TI - Heterologous expression and functional characterization of phytaspase, a caspase
like plant protease.
AB - Following the cloning and expression of tobacco (Nicotiana tabacum) phytaspase
gene in Escherichia coli BL21, the recombinant protease was purified by affinity
chromatography for further characterization. Circular dichroism (CD) spectroscopy
and in silico analysis revealed structural similarities of recombinant phytaspase
with other plant serine-proteases. Molecular docking studies showed favourable
binding of synthetic peptide substrate for caspase 8 (Ac-VETD-AMC) to the
reactive pocket of recombinant phytaspase indicating its potential in assessing
functional activity of recombinant phytaspase. In silico findings were supported
by caspase 8-like activity of purified phytaspase demonstrated in vitro. The
Michaelis constant (KM) and specificity constant (kcat/KM) of phytaspase for
hydrolyzing Ac-VETD-AMC were found to be 1.587MUM and 4.67*103M-1min-1,
respectively. Transient expression of phytaspase in lung epithelial
adenocarcinoma cells (A549) resulted in reduced IC50 value of doxorubicin. This
is the first report of functional expression of mature phytaspase in bacterial
system as well as its transfection to sensitize A549 cells at lower doxorubicin
concentration.
PMID- 27867056
TI - Manipulation of pH-Sensitive interactions between podophyllotoxin-chitosan for
enhanced controlled drug release.
AB - Podophyllotoxin (PPT) offers a broad-spectrum of anticancer activities, but
little has been reported for its controlled release. This work shows that by
manipulating molecular interactions between PPT and Chitosan, efficient nanoscale
capsulation of PPT can be realized. The drug encapsulation efficiency is as high
as 52%, with a final particle drug loading in the order of 10% (wt/wt). It
further demonstrates that changes in pH can also significantly affect the rate of
drug release from the Chitosan nanoparticles. Upon contact with cancer cells,
chitosan nanoparticles enable efficient internalization and drug release. In
vitro evaluations with HepG-2 and MCF-7 cells indicate that the chitosan
nanoparticle carriers can improve drug efficacy in comparison to free PPT, most
likely by regulating the intrinsic apoptotic signaling pathway to induce
apoptosis. Overall, PPT chitosan nanoparticles promise a safe and efficient drug
delivery system for PPT.
PMID- 27867057
TI - Understanding protein domain-swapping using structure-based models of protein
folding.
AB - In domain-swapping, two or more identical protein monomers exchange structural
elements and fold into dimers or multimers whose units are structurally similar
to the original monomer. Domain-swapping is of biotechnological interest because
inhibiting domain-swapping can reduce disease-causing fibrillar protein
aggregation. To achieve such inhibition, it is important to understand both the
energetics that stabilize the domain-swapped structure and the protein dynamics
that enable the swapping. Structure-based models (SBMs) encode the folded
structure of the protein in their potential energy functions. SBMs have been
successfully used to understand diverse aspects of monomer folding. Symmetrized
SBMs model interactions between two identical protein chains using only intra
monomer interactions. Molecular dynamics simulations of such symmetrized SBMs
have been used to correctly predict the domain-swapped structure and to
understand the mechanism of domain-swapping. Here, we review such models and
illustrate that monomer topology determines key aspects of domain-swapping.
However, in some proteins, specifics of local energetic interactions modulate
domain-swapping and these need to be added to the symmetrized SBMs. We then
summarize some general principles of the mechanism of domain-swapping that emerge
from the symmetrized SBM simulations. Finally, using our own results, we explore
how symmetrized SBMs could be used to design domain-swapping in proteins.
PMID- 27867058
TI - Application of Strep-Tactin XT for affinity purification of Twin-Strep-tagged
CB2, a G protein-coupled cannabinoid receptor.
AB - Human cannabinoid receptor CB2 belongs to the class A of G protein-coupled
receptor (GPCR). CB2 is predominantly expressed in membranes of cells of immune
origin and is implicated in regulation of metabolic pathways of inflammation,
neurodegenerative disorders and pain sensing. High resolution structural studies
of CB2 require milligram quantities of purified, structurally intact protein.
While we previously reported on the methodology for expression of the recombinant
CB2 and its stabilization in a functional state, here we describe an efficient
protocol for purification of this protein using the Twin-Strep-tag/Strep-Tactin
XT system. To improve the affinity of interaction of the recombinant CB2 with the
resin, the double repeat of the Strep-tag (a sequence of eight amino acids
WSHPQFEK), named the Twin-Strep-tag was attached either to the N- or C-terminus
of CB2 via a short linker, and the recombinant protein was expressed in
cytoplasmic membranes of E. coli as a fusion with the N-terminal maltose binding
protein (MBP). The CB2 was isolated at high purity from dilute solutions
containing high concentrations of detergents, glycerol and salts, by capturing
onto the Strep-Tactin XT resin, and was eluted from the resin under mild
conditions upon addition of biotin. Surface plasmon resonance studies performed
on the purified protein demonstrate the high affinity of interaction between the
Twin-Strep-tag fused to the CB2 and Strep-Tactin XT with an estimated Kd in the
low nanomolar range. The affinity of binding did not vary significantly in
response to the position of the tag at either N- or C-termini of the fusion. The
binding capacity of the resin was several-fold higher for the tag located at the
N-terminus of the protein as opposed to the C-terminus- or middle of the fusion.
The variation in the length of the linker between the double repeats of the Strep
tag from 6 to 12 amino acid residues did not significantly affect the binding.
The novel purification protocol reported here enables efficient isolation of a
recombinant GPCR expressed at low titers in host cells. This procedure is
suitable for preparation of milligram quantities of stable isotope-labelled
receptor for high-resolution NMR studies.
PMID- 27867059
TI - Pre- and unplanned walking turns in Parkinson's disease - Effects of dopaminergic
medication.
AB - Although dopaminergic medication improves functional mobility in individuals with
Parkinson's disease (PD), its effects on walking turns are uncertain. Our goals
was to determine whether dopaminergic medication improves preplanned and
unplanned walking turns in individuals with PD, compared to healthy controls.
Nineteen older adults with mild-to-moderate PD and 17 healthy controls performed
one of the following three tasks, presented randomly: walking straight, or
walking and turning 180 degrees to the right or left. The walking direction was
visually cued before starting to walk (preplanned) or after (unplanned, i.e.,
0.6m before reaching the turning point). Subjects with PD were assessed off
dopaminergic medication (OFF) and on dopaminergic medication (ON) medication.
Turning strategy (step and spin turns), turning performance (turning distance and
body rotation) and walking pattern were analyzed for three turning steps.
Irrespective of medication state and turning condition, step and spin turns
followed a nearly 50:50 distribution. After intake of dopaminergic medication,
subjects with PD increased their turning distance but not the amount of body
rotation or their walking pattern. Compared to controls, turning impairments in
subjects with PD remained while ON medication and problems regulating step width
were the most prominent features of their walking pattern. Specifically, subjects
with PD turned with narrower cross-over steps, i.e. when the external foot
crossed over the line of progression of the internal leg. We conclude that
turning impairments remained even after dopaminergic medication and problems
modulating step width appears to be a critical feature for turning in PD.
PMID- 27867061
TI - Interaction between DRD2 variation and sound environment on mood and emotion
related brain activity.
AB - Sounds, like music and noise, are capable of reliably affecting individuals' mood
and emotions. However, these effects are highly variable across individuals. A
putative source of variability is genetic background. Here we explored the
interaction between a functional polymorphism of the dopamine D2 receptor gene
(DRD2 rs1076560, G>T, previously associated with the relative expression of D2S/L
isoforms) and sound environment on mood and emotion-related brain activity.
Thirty-eight healthy subjects were genotyped for DRD2 rs1076560 (G/G=26; G/T=12)
and underwent functional magnetic resonance imaging (fMRI) during performance of
an implicit emotion-processing task while listening to music or noise. Individual
variation in mood induction was assessed before and after the task. Results
showed mood improvement after music exposure in DRD2GG subjects and mood
deterioration after noise exposure in GT subjects. Moreover, the music, as
opposed to noise environment, decreased the striatal activity of GT subjects as
well as the prefrontal activity of GG subjects while processing emotional faces.
These findings suggest that genetic variability of dopamine receptors affects
sound environment modulations of mood and emotion processing.
PMID- 27867060
TI - The role of Efr3a in age-related hearing loss.
AB - Efr3a has been found to be involved in the functional maintenance and structural
degeneration of sensory and motor nervous tissues. Our previous data have
suggested that Efr3a may be associated with the initiation of the degeneration of
spiral ganglion neurons (SGNs). In this study, we used Efr3a knockdown (Efr3a KD)
and Efr3a overexpression (Efr3a OE) mice to determine the role of Efr3a in age
related hearing loss. Measurements of hearing thresholds showed that Efr3a had
little or no influence on the hearing threshold at all frequencies in adult mice,
whereas in an early stage of senescence, Efr3a reduction resulted in better
hearing function, especially at 10 and 12months of age. No significant
differences were observed in hair cell loss among the three groups until
14months. The number of surviving hair cells in the OE mice was lower than that
in the KD mice. As indicated by the density of SGNs in the upper basal turn, the
Efr3a OE mice displayed earlier and more severe degeneration than the KD mice. In
addition, the p-Akt levels in the cochlear spiral ganglions were higher in adult
Efr3a KD mice than in WT and OE mice, although there was no difference in Akt
expression among the three groups. Our study suggests that down-regulation of
Efr3a might improve hearing function and alleviate the degeneration of SGNs in an
early stage of senescence, probably via enhancing the basal expression of
activated Akt.
PMID- 27867062
TI - Evaluation of convalescent whole blood for treating Ebola Virus Disease in
Freetown, Sierra Leone.
AB - BACKGROUND: Convalescent blood therapy has been a promising form of treatment for
Ebola Virus Disease (EVD), but less attention has been focused on it for
treatment. METHOD: We assessed the effectiveness of convalescent whole blood
(CWB) in the treatment of consented EVD patients. We recruited 69 subjects in
December 2014 up to April 2015, at the 34 Military Hospital in Wilberforce and
the PTS 1 Ebola Treatment Unit in Hastings, Freetown. Forty-four were given CWB,
and 25 who consented but preferred to be exempted from the CWB treatment were
used to compare clinical outcomes. All were given routine treatment used at the
Ebola Treatment Unit. RESULTS: One of 44 subjects treated with CWB dropped out of
the study and 31 recovered while 12 succumbed to the disease with a case fatality
rate of 27.9%. For the group that was given routine treatment without CWB, 11
died with a case fatality rate of 44%. There was a significant difference between
admission viral load and viral load after the first 24 h of treatment with
convalescent whole blood (P < 0.01). The odds ratio for survival with CWB was 2.3
(95% CI, 0.8-6.5). CONCLUSION: CWB is promising for treating EVD in resource-poor
settings, especially in the early phases of outbreaks when resource-mobilization
is done. Even though our sample size was small and the evaluation was not
randomised, our results contribute to existing evidence that convalescent whole
blood could be considered as a useful candidate for treating EVD. Further studies
that are randomised will be required to further assess the efficacy of CWB as
treatment option during any EVD outbreak.
PMID- 27867064
TI - ?
PMID- 27867065
TI - Condylar resorptions and orthodontic-surgical treatment: State of the art.
AB - Resorption of the mandibular condyle [RMC] is a disease of the temporomandibular
joints, with multifactorial origins. The clinical manifestations take the form
essentially of joint pain and occlusal disorders, depending on the rate at which
the condyle is affected. X-ray imaging shows that the condyle is reduced in
volume, flattened and displaced backwards, with loss of cortical substance in
advanced forms. The aim of this article is to recall some pathophysiological
features and then to review all the diagnostic and etiological factors and
discuss possible modes of management.
PMID- 27867066
TI - ?
PMID- 27867067
TI - ?
PMID- 27867063
TI - Neonatal listeriosis in the UK 2004-2014.
AB - OBJECTIVE: To define the clinical features and outcomes of neonatal listeriosis,
and identify the maternal risk factors to seek scope for improvement. METHODS:
Neonatal listeriosis was identified prospectively from a United Kingdom neonatal
infection surveillance network (neonIN) between 2004 and 2014. The participating
neonatal units completed a study-specific proforma. RESULTS: The incidence of
neonatal listeriosis was 3.4 per 100,000 live births. Of the 21 cases identified,
19 were confirmed with a median gestational age of 33 weeks and a median birth
weight of 1960 g. The majority had clinical features (95%, 18/19), presented
within the first 24 h (95%, 18/19), and received penicillin empirically (94%,
18/19). The neonatal case-fatality rate was 21% (24% if probable cases were
included). A proportion of mothers were investigated (60%, 12/18) and diagnosed
with listeriosis (58%, 7/12); 32% (6/19) were treated with antibiotics but only
33% (6/12) included penicillin. DISCUSSION: Despite its rarity and the prompt and
appropriate use of antibiotics neonatal listeriosis has a high case-fatality
rate. There is room for improvement in the adherence to the empiric antibiotic
choice for puerperal sepsis, according to the national guidelines as this, would
target listeriosis. Strategies should be in place to prevent pregnancy-associated
listeriosis in higher risk population.
PMID- 27867068
TI - Evaluation of arch forms depending on the angle classification.
AB - : It is generally accepted that each individual has his or her own dental arch
form. However, during orthodontic treatment, industrially preshaped archwires are
widely used. The resulting use of the same arch form for all malocclusions may
compromise occlusal stability after orthodontic treatment. AIM: To determine the
average dental arch form of a non-consulting Moroccan population depending on the
Angle classification, after digitization of plaster models of the dental arches.
MATERIAL AND METHODS: A sample of 50 dental students aged between 21 and 27 was
selected. After digitization of the plaster models to produce a cloud of points,
the barycentric method was applied to this representation, enabling all the arch
shapes of each series to be superimposed with Photoshop CS 5 software (layer
mode) and the average curves for each Angle class to be thereby determined.
RESULTS: The average maxillary and mandibular arch forms were defined for each
class: Class I: a normal maxillary form and an ovoid mandibular form; Class II: a
tapered maxillary form and a narrow tapered mandibular form; Class III: narrow
tapered forms for both upper and lower arches. Globally speaking, in this sample
an ovoid mandibular arch form and a normal maxillary arch form are the dominant
shapes. DISCUSSION AND CONCLUSION: The studied population exhibited specific arch
form features. This study could serve as the basis for the choice and use of
preformed archwires better-adapted to the needs of our patients.
PMID- 27867069
TI - Statistical study on bracket debonding rate with the win lingual technique.
AB - The aim of this study is to present the results of a statistical inquiry
measuring the bracket debonding rate using the WIN lingual technique. This
inquiry was performed in the Odontology Unit at the Rothschild Hospital in the
framework of the Lingual Orthodontics University Diploma at Paris VII university.
Results were gathered by 8 orthodontic practitioners during two years of training
from all their patients treated with the WIN lingual technique. The sample study
comprised 33 patients treated exclusively with the WIN lingual technique. The 8
practitioners filled in a questionnaire relating to bracket bond failure in their
patients in the course of treatment. In all, sixty-two questionnaires were
analyzed. The data were then computed using the statistical tool of R software
version 3.1.3. The results of this analysis demonstrate the reliability of the
WIN system evidenced by an average of 2.1 bracket bond failures over the two-year
treatment period, i.e. approximately 1 bracket per patient per year. Given the
right conditions, (precise prescription, compliance with bonding protocols), the
bond failure rate can be lower still, even compared with the buccal technique or
relative to other lingual techniques. The benefits of lingual appliances,
particularly in the WIN system, are essential to clinicians in their daily
practice in order to optimize the quality and duration of their treatments with a
view to ensuring patient satisfaction.
PMID- 27867071
TI - Evaluation of a novel high-resolution mapping system for catheter ablation of
ventricular arrhythmias.
AB - BACKGROUND: The mapping of ventricular arrhythmias in humans using a minibasket
64-electrode catheter paired with a novel automatic mapping system (Rhythmia) has
not been evaluated. OBJECTIVE: The purpose of this study was to evaluate the
safety and efficacy of mapping ventricular arrhythmias and clinical outcomes
after ablation using this system. METHODS: Electroanatomic maps for ventricular
arrhythmias were obtained during 20 consecutive procedures in 19 patients (12
with ventricular tachycardia [VT] and 2 with ventricular ectopy [VE]). High
density maps were acquired using automatic beat acceptance and automatic system
annotation of electrograms. RESULTS: Forty-seven electroanatomic maps (including
3 right ventricular and 9 epicardial maps) were obtained. Left ventricular
endocardial mapping by transseptal (n = 13) and/or transaortic (n = 11) access
was safe with no complications related to the minibasket catheter. VT substrate
maps (n = 14; median 10,184 points) consistently demonstrated late potentials
with high resolution. VT activation maps (n = 25; median 6401 points) obtained by
automatic annotation included 7 complete maps (covering >=90% of the tachycardia
cycle length) in 5 patients in whom the entire VT circuit was accurately
visualized. VE timing maps (n = 8) successfully localized the origin of VEs in
all, with all accepted beats consistent with clinical VEs. Over a median follow
up of 10 months, no arrhythmia recurrence was noted in 75% after VT ablation and
86% after VE ablation. CONCLUSION: In this first human experience for ventricular
arrhythmias using this system, ultra-high-density maps were created rapidly and
safely, with a reliable automatic annotation of VT and consistent recording of
abnormal electrograms. Medium-term outcomes after ablation were encouraging.
Further larger studies are needed to validate these findings.
PMID- 27867070
TI - The Shh Topological Domain Facilitates the Action of Remote Enhancers by Reducing
the Effects of Genomic Distances.
AB - Gene expression often requires interaction between promoters and distant
enhancers, which occur within the context of highly organized topologically
associating domains (TADs). Using a series of engineered chromosomal
rearrangements at the Shh locus, we carried out an extensive fine-scale
characterization of the factors that govern the long-range regulatory
interactions controlling Shh expression. We show that Shh enhancers act
pervasively, yet not uniformly, throughout the TAD. Importantly, changing intra
TAD distances had no impact on Shh expression. In contrast, inversions disrupting
the TAD altered global folding of the region and prevented regulatory contacts in
a distance-dependent manner. Our data indicate that the Shh TAD promotes distance
independent contacts between distant regions that would otherwise interact only
sporadically, enabling functional communication between them. In large genomes
where genomic distances per se can limit regulatory interactions, this function
of TADs could be as essential for gene expression as the formation of insulated
neighborhoods.
PMID- 27867073
TI - Immune status assessment in adult lung transplant candidates.
AB - BACKGROUND: Lung transplant recipients have an increased susceptibility to a
variety of infections due to immunosuppressive therapy. Current guidelines
recommend pneumococcal and other vaccinations, prior to lung transplantation to
protect against post-transplant infections, but measurement of the antibody
response to vaccination is not advised. Immune status investigation in lung
transplant candidates, including the response to pneumococcal polysaccharide
vaccination, has not been described. METHODS: Immune status investigation,
including measurement of immunoglobulins, complement and the response to 23
valent pneumococcal polysaccharide vaccination (23vPPV) was performed in 81 adult
lung transplant candidates. RESULTS: Eighteen patients had low IgG levels and 32
patients had low IgG1 and/or IgG2 levels. After vaccination with 23vPPV the
median antibody concentration of all serotypes increased significantly. Fifty-two
patients had protective IgG-post-vaccination antibody levels to at least 10
serotypes. Twenty-nine patients had an impaired response to 23vPPV. CONCLUSIONS:
In conclusion, a significant proportion of our cohort of lung transplant
candidates had one or more abnormalities in the immune status. It is likely that
these patients have an increased risk for infections after transplantation.
Revaccination, including measurement of antibody response, and possibly antibody
replacement therapy should be considered to minimize infection risk.
PMID- 27867072
TI - Changes in electrical dyssynchrony by body surface mapping predict left
ventricular remodeling in patients with cardiac resynchronization therapy.
AB - BACKGROUND: Electrical activation is important in cardiac resynchronization
therapy (CRT) response. Standard electrocardiographic analysis may not accurately
reflect the heterogeneity of electrical activation. OBJECTIVE: We compared
changes in left ventricular size and function after CRT to native electrical
dyssynchrony and its change during pacing. METHODS: Body surface isochronal maps
using 53 anterior and posterior electrodes as well as 12-lead electrocardiograms
were acquired after CRT in 66 consecutive patients. Electrical dyssynchrony was
quantified using standard deviation of activation times (SDAT). Ejection fraction
(EF) and left ventricular end-systolic volume (LVESV) were measured before CRT
and at 6 months. Multiple regression evaluated predictors of response. RESULTS:
?LVESV correlated with ?SDAT (P = .007), but not with ?QRS duration (P = .092).
Patients with SDAT >=35 ms had greater increase in EF (13 +/- 8 units vs 4 +/- 9
units; P < .001) and LVESV (-34% +/- 28% vs -13% +/- 29%; P = .005). Patients
with >=10% improvement in SDAT had greater ?EF (11 +/- 9 units vs 4 +/- 9 units;
P = .010) and ?LVESV (-33% +/- 26% vs -6% +/- 34%; P = .001). SDAT >=35 ms
predicted ?EF, while ?SDAT, sex, and left bundle branch block predicted ?LVESV.
In 34 patients without class I indication for CRT, SDAT >=35 ms (P = .015) and
?SDAT >=10% (P = .032) were the only predictors of ?EF. CONCLUSION: Body surface
mapping of SDAT and its changes predicted CRT response better than did QRS
duration. Body surface mapping may potentially improve selection or optimization
of CRT patients.
PMID- 27867074
TI - Toxicity of Cry1A toxins from Bacillus thuringiensis to CF1 cells does not
involve activation of adenylate cyclase/PKA signaling pathway.
AB - Bacillus thuringiensis (Bt) bacteria produce Cry toxins that are able to kill
insect pests. Different models explaining the mode of action of these toxins have
been proposed. The pore formation model proposes that the toxin creates pores in
the membrane of the larval midgut cells after interaction with different
receptors such as cadherin, aminopeptidase N and alkaline phosphatase and that
this pore formation activity is responsible for the toxicity of these proteins.
The alternative model proposes that interaction with cadherin receptor triggers
an intracellular cascade response involving protein G, adenylate cyclase (AC) and
protein kinase A (PKA). In addition, it was shown that Cry toxins induce a
defense response in the larvae involving the activation of mitogen-activated
kinases such as MAPK p38 in different insect orders. Here we analyzed the
mechanism of action of Cry1Ab and Cry1Ac toxins and a collection of mutants from
these toxins in the insect cell line CF1 from Choristoneura fumiferana, that is
naturally sensitive to these toxins. Our results show that both toxins induced
permeability of K+ ions into the cells. The initial response after intoxication
with Cry1Ab and Cry1Ac toxins involves the activation of a defense response that
involves the phosphorylation of MAPK p38. Analysis of activation of PKA and AC
activities indicated that the signal transduction involving PKA, AC and cAMP was
not activated during Cry1Ab or Cry1Ac intoxication. In contrast we show that
Cry1Ab and Cry1Ac activate apoptosis. These data indicate that Cry toxins can
induce an apoptotic death response not related with AC/PKA activation. Since
Cry1Ab and Cry1Ac toxins affected K+ ion permeability into the cells, and that
mutant toxins affected in pore formation are not toxic to CF1, we propose that
pore formation activity of the toxins is responsible of triggering cell death
response in CF1cells.
PMID- 27867075
TI - Sexually dimorphic traits in the silkworm, Bombyx mori, are regulated by
doublesex.
AB - The DM domain genes, doublesex (dsx) in insects, or their structural homologs,
male abnormal 3 (mab-3) in nematodes and Dmrt1 (doublesex and mab-3-related
transcription factor 1) in mammals, are downstream regulators of the sex
determination pathway that control sexually dimorphic development. Despite the
functional importance of dsx and its potential applications in sterile insect
technologies (SITs), the mechanisms by which it controls sexually dimorphic
traits and the subsequent developmental gene networks in insects are poorly
understood. Phylogenetic analyses indicate that insect dsx genes have sex
specific alternative splicing isoforms, whereas other taxa do not. We exploited
genome editing and transgenesis technologies to induce mutations in either the
male-specific isoform (dsxM) or common region (dsxC) of dsx in the somatic
tissues of the lepidopteran model insect Bombyx mori. Disruptions of gene
function produced either male-specific sexually-dimorphic defects or intersexual
phenotypes; these results differ from those observed in other insects, including
Drosophila melanogaster. Our data provide insights into the divergence of the
insect sex determination pathways related to the most conserved downstream
component dsx.
PMID- 27867076
TI - The DNA chaperone HMGB1 potentiates the transcriptional activity of Rel1A in the
mosquito Aedes aegypti.
AB - High Mobility Group protein 1 (HMGB1) is a non-histone, chromatin-associated
nuclear protein that functions in regulating eukaryotic gene expression. We
investigated the influence and mechanism of action of Aedes aegypti HMGB1
(AaHMGB1) on mosquito Rel1A-mediated transcription from target gene promoters.
The DNA-binding domain (RHD) of AaRel1A was bacterially expressed and purified,
and AaHMGB1 dramatically enhanced RHD binding to consensus NF-kB/Rel DNA response
elements. Luciferase reporter analyses using a cecropin gene promoter showed that
AaHMGB1 potentiates the transcriptional activity of AaRel1A in Aag-2 cells.
Moreover, overexpression of AaHMGB1 in Aag-2 cells led to an increase in mRNA
levels of antimicrobial peptide genes. In vitro GST pull-down assays revealed
that the presence of DNA is a pre-requisite for assembly of a possible ternary
complex containing DNA, AaHMGB1 and AaRel1A. Notably, DNA bending by AaHMGB1
enhanced the binding of AaRel1A to a DNA fragment containing a putative NF-kB/Rel
response element. Importantly, AaHMGB1 was identified as a potential immune
modulator in A. aegypti through AaHMGB1 overexpression or RNAi silencing in Aag-2
cells followed by bacterial challenge or through AaHMGB1 RNAi knockdown in
mosquitoes followed by Dengue virus (DENV) infection. We propose a model in which
AaHMGB1 bends NF-kB/Rel target DNA to recruit and allow more efficient AaRel1A
binding to activate transcription of effector genes, culminating in a stronger
Toll pathway-mediated response against DENV infection.
PMID- 27867078
TI - Co-expression of two heterologous lactate dehydrogenases genes in Kluyveromyces
marxianus for l-lactic acid production.
AB - Lactic acid (LA) is a versatile compound used in the food, pharmaceutical,
textile, leather, and chemical industries. Biological production of LA is
possible by yeast strains expressing a bacterial gene encoding l-lactate
dehydrogenase (LDH). Kluyveromyces marxianus is an emerging non-conventional
yeast with various phenotypes of industrial interest. However, it has not been
extensively studied for LA production. In this study, K. marxianus was engineered
to express and co-express various heterologous LDH enzymes that were reported to
have different pH optimums. Specifically, three LDH enzymes originating from
Staphylococcus epidermidis (SeLDH; optimal at pH 5.6), Lactobacillus acidophilus
(LaLDH; optimal at pH 5.3), and Bos taurus (BtLDH; optimal at pH 9.8) were
functionally expressed individually and in combination in K. marxianus, and the
resulting strains were compared in terms of LA production. A strain co-expressing
SeLDH and LaLDH (KM5 La+SeLDH) produced 16.0g/L LA, whereas the strains
expressing those enzymes individually produced only 8.4 and 6.8g/L, respectively.
This co-expressing strain produced 24.0g/L LA with a yield of 0.48g/g glucose in
the presence of CaCO3. Our results suggest that co-expression of LDH enzymes with
different pH optimums provides sufficient LDH activity under dynamic
intracellular pH conditions, leading to enhanced production of LA compared to
individual expression of the LDH enzymes.
PMID- 27867077
TI - Critical phases of viral production processes monitored by capacitance.
AB - Over the last decade industrial manufacturing of viral vaccines and viral vectors
for prophylactic and therapeutic applications is experiencing a remarkable
growth. Currently, the quality attributes of viral derived products are assessed
only at the end-point of the production process, essentially because in-process
monitoring tools are not available or not implemented at industrial scale.
However, to demonstrate process reproducibility and robustness, manufacturers are
strongly advised by regulatory agencies to adopt more on-line process monitoring
and control. Dielectric spectroscopy has been successfully used as an excellent
indicator of the cell culture state in mammalian and yeast cell systems. We
previously reported the use of this technique for monitoring influenza and
lentiviral productions in HEK293 cell cultures. For both viruses, multi-frequency
capacitance measurements allowed not only the on-line monitoring of the
production kinetics, but also the identification of the viral release time from
the cells. The present study demonstrates that the same approach can be
successfully exploited for the on-line monitoring of different enveloped and non
enveloped virus production kinetics in cell culture processes. The on-line
monitoring multi-frequency capacitance method was assessed in human HEK293 and
Sf9 insect cells expression systems, with viral productions initiated by either
infection or transfection. The comparative analyses of all the data acquired
indicate that the characteristic capacitance signals were highly correlated with
the occurrence of viral replication phases. Furthermore the evolution of the cell
dielectric properties (intracellular conductivity and membrane capacitance) were
indicative of each main replication steps. In conclusion, multi-frequency
capacitance has a great potential for on-line monitoring, supervision and control
of viral vector production in cell culture processes.
PMID- 27867079
TI - Variability in diagnostic error rates of 10 MRI centers performing lumbar spine
MRI examinations on the same patient within a 3-week period.
AB - BACKGROUND CONTEXT: In today's health-care climate, magnetic resonance imaging
(MRI) is often perceived as a commodity-a service where there are no meaningful
differences in quality and thus an area in which patients can be advised to
select a provider based on price and convenience alone. If this prevailing view
is correct, then a patient should expect to receive the same radiological
diagnosis regardless of which imaging center he or she visits, or which
radiologist reviews the examination. Based on their extensive clinical
experience, the authors believe that this assumption is not correct and that it
can negatively impact patient care, outcomes, and costs. PURPOSE: This study is
designed to test the authors' hypothesis that radiologists' reports from multiple
imaging centers performing a lumbar MRI examination on the same patient over a
short period of time will have (1) marked variability in interpretive findings
and (2) a broad range of interpretive errors. STUDY DESIGN: This is a prospective
observational study comparing the interpretive findings reported for one patient
scanned at 10 different MRI centers over a period of 3 weeks to each other and to
reference MRI examinations performed immediately preceding and following the 10
MRI examinations. PATIENT SAMPLE: The sample is a 63-year-old woman with a
history of low back pain and right L5 radicular symptoms. OUTCOME MEASURES:
Variability was quantified using percent agreement rates and Fleiss kappa
statistic. Interpretive errors were quantified using true-positive counts, false
positive counts, false-negative counts, true-positive rate (sensitivity), and
false-negative rate (miss rate). METHODS: Interpretive findings from 10 study MRI
examinations were tabulated and compared for variability and errors. Two of the
authors, both subspecialist spine radiologists from different institutions,
independently reviewed the reference examinations and then came to a final
diagnosis by consensus. Errors of interpretation in the study examinations were
considered present if a finding present or not present in the study examination's
report was not present in the reference examinations. RESULTS: Across all 10
study examinations, there were 49 distinct findings reported related to the
presence of a distinct pathology at a specific motion segment. Zero interpretive
findings were reported in all 10 study examinations and only one finding was
reported in nine out of 10 study examinations. Of the interpretive findings,
32.7% appeared only once across all 10 of the study examinations' reports. A
global Fleiss kappa statistic, computed across all reported findings, was 0.20+/
0.06, indicating poor overall agreement on interpretive findings. The average
interpretive error count in the study examinations was 12.5+/-3.2 (both false
positives and false-negatives). The average false-negative count per examination
was 10.9+/-2.9 out of 25 and the average false-positive count was 1.6+/-0.9,
which correspond to an average true-positive rate (sensitivity) of 56.4%+/-11.7
and miss rate of 43.6%+/-11.7. CONCLUSIONS: This study found marked variability
in the reported interpretive findings and a high prevalence of interpretive
errors in radiologists' reports of an MRI examination of the lumbar spine
performed on the same patient at 10 different MRI centers over a short time
period. As a result, the authors conclude that where a patient obtains his or her
MRI examination and which radiologist interprets the examination may have a
direct impact on radiological diagnosis, subsequent choice of treatment, and
clinical outcome.
PMID- 27867081
TI - Defining the number and type of fixation anchors for optimal main curve
correction in posterior surgery for adolescent idiopathic scoliosis.
AB - BACKGROUND CONTEXT: The number and type of fixation anchors to use during
posterior surgery for adolescent idiopathic scoliosis (AIS) is still debated, and
the relationship with curve correction remains unclear. PURPOSE: This study aimed
to determine the number and type of fixation anchors associated with optimal
curve correction following posterior surgery for AIS. STUDY DESIGN: A
retrospective study of the relationship between fixation anchors and main curve
correction in AIS surgery was carried out. PATIENT SAMPLE: A cohort of 137 AIS
patients operated from a posterior-only approach using hooks and pedicle screws
comprised the study sample. OUTCOME MEASURES: Correction of the main scoliotic
curve was the outcome measure. METHODS: Implant density (ID) was defined as the
number of fixation anchors divided by the number of available anchor sites within
the main curve. Pedicle screw ratio (PSR) was defined as the number of pedicle
screws divided by the total number of fixation anchors within the main curve.
Multiple linear regressions were performed to analyze the influence of ID and PSR
on main curve correction, while taking into account age, gender, curve type,
preoperative main Cobb angle, main curve reducibility, number of fused levels,
and number of levels within the main curve. RESULTS: Main coronal curve
correction was significantly related only to ID for all patients and for the
subgroup of patients with a main thoracic curve. Constructs with an ID >=70% and
<90% provided a correction similar to that obtained with an ID >=90%. However,
main coronal curve correction was inferior for constructs with an ID <70%, when
compared with constructs with ID >=90%. Implant density and PSR were not related
to the change in thoracic kyphosis in the multiple linear regressions.
CONCLUSIONS: Implant density is an important predictor of main coronal curve
correction in posterior surgery for AIS. Increasing the number of fixation
anchors within the main curve-rather than favoring screws over hooks-can lead to
better correction in the coronal plane. However, after reaching an ID of >=70% in
the main curve, adding fixation anchors is not likely to result in significantly
greater correction of the main curve in the coronal plane.
PMID- 27867080
TI - Biomechanical advantages of robot-assisted pedicle screw fixation in posterior
lumbar interbody fusion compared with freehand technique in a prospective
randomized controlled trial-perspective for patient-specific finite element
analysis.
AB - BACKGROUND CONTEXT: There have been conflicting results on the surgical outcome
of lumbar fusion surgery using two different techniques: robot-assisted pedicle
screw fixation and conventional freehand technique. In addition, there have been
no studies about the biomechanical issues between both techniques. PURPOSE: This
study aimed to investigate the biomechanical properties in terms of stress at
adjacent segments using robot-assisted pedicle screw insertion technique (robot
assisted, minimally invasive posterior lumbar interbody fusion, Rom-PLIF) and
freehand technique (conventional, freehand, open approach, posterior lumbar
interbody fusion, Cop-PLIF) for instrumented lumbar fusion surgery. STUDY DESIGN:
This is an additional post-hoc analysis for patient-specific finite element (FE)
model. PATIENT SAMPLE: The sample is composed of patients with degenerative
lumbar disease. OUTCOME MEASURES: Intradiscal pressure and facet contact force
are the outcome measures. METHODS: Patients were randomly assigned to undergo an
instrumented PLIF procedure using a Rom-PLIF (37 patients) or a Cop-PLIF (41),
respectively. Five patients in each group were selected using a simple random
sampling method after operation, and 10 preoperative and postoperative lumbar
spines were modeled from preoperative high-resolution computed tomography of 10
patients using the same method for a validated lumbar spine model. Under four
pure moments of 7.5 Nm, the changes in intradiscal pressure and facet joint
contact force at the proximal adjacent segment following fusion surgery were
analyzed and compared with preoperative states. RESULTS: The representativeness
of random samples was verified. Both groups showed significant increases in
postoperative intradiscal pressure at the proximal adjacent segment under four
moments, compared with the preoperative state. The Cop-PLIF models demonstrated
significantly higher percent increments of intradiscal pressure at proximal
adjacent segments under extension, lateral bending, and torsion moments than the
Rom-PLIF models (p=.032, p=.008, and p=.016, respectively). Furthermore, the
percent increment of facet contact force was significantly higher in the Cop-PLIF
models under extension and torsion moments than in the Rom-PLIF models (p=.016
under both extension and torsion moments). CONCLUSIONS: The present study showed
the clinical application of subject-specific FE analysis in the spine. Even
though there was biomechanical superiority of the robot-assisted insertions in
terms of alleviation of stress increments at adjacent segments after fusion,
cautious interpretation is needed because of the small sample size.
PMID- 27867082
TI - Erratum to "BCGitis in children".
PMID- 27867083
TI - Trends in antimicrobial resistance among Bacteroides species and Parabacteroides
species in the United States from 2010-2012 with comparison to 2008-2009.
AB - The susceptibility trends for Bacteroides fragilis and related species against
various antibiotics were determined using data from 3 years of surveillance (2010
2012) on 779 isolates referred by 7 medical centers. The antibiotic test panel
included imipenem, ertapenem, meropenem, ampicillin-sulbactam, piperacillin
tazobactam, cefoxitin, clindamycin, moxifloxacin, tigecycline, linezolid,
chloramphenicol and . MICs were determined using the agar dilution CLSI reference
method. Carbapenem resistance remained low (range 1.1%-2.5%) and unchanged from
2008 to 9 through 2010-2012. Resistance also remained low to the beta-lactam/beta
lactamase inhibitor combinations (1.1%-4.4%). While resistance to clindamycin and
moxifloxacin remained high; rates were lower for B. fragilis in 2010-12 (24% and
19% respectively) compared to the earlier time frame of 2008-9 (29% and 35%
respectively for the earlier time frame). There were notable species and
resistance associations which have been demonstrated previously. No resistance to
metronidazole or chloramphenicol resistance was seen. These data demonstrate the
continued variability in resistance among Bacteroides and Parabacteroides
species, but do demonstrate that carbapenems and beta-lactam/beta-lactamase
inhibitor combinations remain very active throughout the United States.
PMID- 27867084
TI - New concepts in asthma: clinical phenotypes and pathophysiological mechanisms.
AB - Asthma is among the most common chronic inflammatory diseases worldwide. Recent
evidence indicates that the pathogenesis shows a high degree of heterogeneity.
Patient subsets have been identified that exhibit different cellular and
molecular patterns of dysregulation. A prominent example is eosinophilic Th2
driven asthma. These unique and molecular patterns are termed endotypes.
Characterization of endotypes has broad implications for therapeutic
interventions. Although ~80% of asthmatic patients respond well to standard anti
inflammatory therapies, the remaining subset particularly consisting of severe
patients requires a more specialized endotype-specific approach. This
interrelationship between clinical phenotypes, molecular endotypes and endotype
specific therapies is the focus of this review.
PMID- 27867085
TI - Optically active stereoisomers of 5-(1-iodoethyl)-4-(4'
isopropylphenyl)dihydrofuran-2-one: The effect of the configuration of
stereocenters on apoptosis induction in canine cancer cell lines.
AB - Four stereoisomers of delta-iodo-gamma-lactones with p-isopropylphenyl
substituent at beta-position: cis-(4R,5R,6S)-1, cis-(4S,5S,6R)-2, trans
(4R,5S,6R)-3, trans-(4S,5R,6S)-4 with proved antiproliferative activity were
subjected to in vitro tests for a better understanding of their anticancer
activity. The subject of our interest was a possible relationship between a
configuration of chiral centers of the studied lactones and their anticancer
potency against a panel of canine cell lines representing hematopoietic (CLBL-1,
GL-1, CL-1, CLB70) and mammary gland cancers (P114, CMT-U27, CMT-U309). To
determine the anticancer activity of the tested compounds, cell viability and
cell metabolic activity were checked using propidium iodide staining and the MTT
test. To determine whether the studied compounds cause necrotic or apoptotic cell
death, two assays for apoptosis evaluation were performed, annexin V staining and
detection of caspase 3/7 activation. Simultaneously, the effects of the compounds
on the cell cycle were also examined. The conducted research confirmed the
anticancer potential of the tested lactones against canine cancers. The
investigated isomers exerted higher activity against canine lymphoma/leukemia
cell lines than against mammary tumors, whereas the configuration of stereogenic
centers of the examined compounds affected their activity. It has been shown that
stereoisomers with 4S configuration (2,4) were more active, and the most potent
one was the cis-(4S,5S,6R)-2 isomer. The investigated lactones seemed to initiate
the process of apoptosis rather than acting as typical cytostatic agents, as cell
death via apoptosis, and no increase in G2-M population in the cell cycle
analysis were observed. The presented study demonstrated that all four
stereoisomers of delta-iodo-gamma-lactones with p-isopropylphenyl substituent at
beta-position induced apoptosis via a mitochondrial-mediated, caspase-dependent
pathway.
PMID- 27867086
TI - Diazoxide prevents reactive oxygen species and mitochondrial damage, leading to
anti-hypertrophic effects.
AB - Pathological cardiac hypertrophy is characterized by wall thickening or chamber
enlargement of the heart in response to pressure or volume overload,
respectively. This condition will, initially, improve the organ contractile
function, but if sustained will render dysfunctional mitochondria and oxidative
stress. Mitochondrial ATP-sensitive K+ channels (mitoKATP) modulate the redox
status of the cell and protect against several cardiac insults. Here, we tested
the hypothesis that mitoKATP opening (using diazoxide) will avoid isoproterenol
induced cardiac hypertrophy in vivo by decreasing reactive oxygen species (ROS)
production and mitochondrial Ca2+-induced swelling. To induce cardiac
hypertrophy, Swiss mice were treated intraperitoneally with isoproterenol (30
mg/kg/day) for 8 days. Diazoxide (5 mg/kg/day) was used to open mitoKATP and 5
hydroxydecanoate (5 mg/kg/day) was administrated as a mitoKATP blocker.
Isoproterenol-treated mice had elevated heart weight/tibia length ratios and
increased myocyte cross-sectional areas. Additionally, hypertrophic hearts
produced higher levels of H2O2 and had lower glutathione peroxidase activity. In
contrast, mitoKATP opening with diazoxide blocked all isoproterenol effects in a
manner reversed by 5-hydroxydecanoate. Isolated mitochondria from Isoproterenol
induced hypertrophic hearts had increased susceptibility to Ca2+-induced swelling
secondary to mitochondrial permeability transition pore opening. MitokATP opening
was accompanied by lower Ca2+-induced mitochondrial swelling, an effect blocked
by 5-hydroxydecanoate. Our results suggest that mitoKATP opening negatively
regulates cardiac hypertrophy by avoiding oxidative impairment and mitochondrial
damage.
PMID- 27867087
TI - A quantitative immunoassay for lung cancer biomarker CIZ1b in patient plasma.
AB - OBJECTIVES: Non-invasive tests for early detection of lung cancer are an
important unmet clinical need. CIZ1b plasma biomarker can discriminate stage 1
lung cancer from within high-risk groups with clinically useful accuracy, with
ROC AUCs in excess of 0.9 for two independent retrospective cohorts, and could
therefore meet this need. Our aim was to characterise the native state of the
biomarker and develop a quantitative immunoassay. DESIGN AND METHODS: Selective
denaturation, preparative electrophoresis and mass spectrometry of human plasma
were used to characterise the biomarker and interaction partners. A sandwich
ELISA was generated, and specificity for CIZ1b biomarker tested on lung cancer
patient plasma. RESULTS: CIZ1b biomarker is a denaturation-resistant complex
between a C-terminal fragment of CIZ1 bearing the CIZ1b epitope specified by
alternative splicing of exon14, and fibrinogen alpha chain. Reconstitution of the
biomarker epitope with purified fibrinogen and CIZ1b, but not CIZ1a (non
alternatively spliced exon 14) confirmed the specificity of the results. The
endogenous complex is highly stable in lung cancer plasma and can be quantified
by pairing of a CIZ1b exon-junction specific antibody with detection of
fibrinogen. Application of this sandwich ELISA to a prospectively collected
development set of plasmas reveals the same level of accuracy as the western blot
used to validate the discriminatory capability of the biomarker. CONCLUSIONS:
Unexpected and unusual molecular structure of CIZ1b in native plasma has
complicated immunoassay design, and delayed translation of this promising
biomarker. However, CIZ1b can now be measured using a high-throughput, hospital
friendly sandwich ELISA format, overcoming an important barrier to further
clinical development and application of this blood test for early stage lung
cancer.
PMID- 27867088
TI - Techniques for blood volume fMRI with VASO: From low-resolution mapping towards
sub-millimeter layer-dependent applications.
AB - Quantitative cerebral blood volume (CBV) fMRI has the potential to overcome
several specific limitations of BOLD fMRI. It provides direct physiological
interpretability and promises superior localization specificity in applications
of sub-millimeter resolution fMRI applications at ultra-high magnetic fields (7T
and higher). Non-invasive CBV fMRI using VASO (vascular space occupancy),
however, is inherently limited with respect to its data acquisition efficiency,
restricting its imaging coverage and achievable spatial and temporal resolution.
This limitation may be reduced with recent advanced acceleration and
reconstruction strategies that allow two-dimensional acceleration, such as in
simultaneous multi-slice (SMS) 2D-EPI or 3D-EPI in combination with CAIPIRINHA
field-of-view shifting. In this study, we sought to determine the functional
sensitivity and specificity of these readout strategies with VASO over a broad
range of spatial resolutions; spanning from low spatial resolution (3mm) whole
cortex to sub-millimeter (0.75mm) slab-of-cortex (for cortical layer-dependent
applications). In the thermal-noise-dominated regime of sub-millimeter
resolutions, 3D-EPI-VASO provides higher temporal stability and sensitivity to
detect changes in CBV compared to 2D-EPI-VASO. In this regime, 3D-EPI-VASO
unveils task activation located in the cortical laminae with little contamination
from surface veins, in contrast to the cortical surface weighting of GE-BOLD
fMRI. In the physiological-noise-dominated regime of lower resolutions, however,
2D-SMS-VASO shows superior performance compared to 3D-EPI-VASO. Due to its
superior sensitivity at a layer-dependent level, 3D-EPI VASO promises to play an
important role in future neuroscientific applications of layer-dependent fMRI.
PMID- 27867089
TI - Clinical vascular imaging in the brain at 7T.
AB - Stroke and related cerebrovascular diseases are a major cause of mortality and
disability. Even at standard-field-strengths (1.5T), MRI is by far the most
sensitive imaging technique to detect acute brain infarctions and to characterize
incidental cerebrovascular lesions, such as white matter hyperintensities,
lacunes and microbleeds. Arterial time-of-flight (TOF) MR angiography (MRA) can
depict luminal narrowing or occlusion of the major brain feeding arteries, and
this without the need for contrast administration. Compared to 1.5T MRA, the use
of high-field strength (3T) and even more so ultra-high-field strengths (7T),
enables the visualization of the lumen of much smaller intracranial vessels,
while adding a contrast agent to TOF MRA at 7T may enable the visualization of
even more distal arteries in addition to veins and venules. Moreover, with 3T and
7T, the arterial vessel walls beyond the circle of Willis become visible with
high-resolution vessel wall imaging. In addition, with 7T MRI, the brain
parenchyma can now be visualized on a submillimeter scale. As a result, high
resolution imaging studies of the brain and its blood supply at 7T have generated
new concepts of different cerebrovascular diseases. In the current article, we
will discuss emerging clinical applications and future directions of vascular
imaging in the brain at 7T MRI.
PMID- 27867090
TI - Visual cortex responses reflect temporal structure of continuous quasi-rhythmic
sensory stimulation.
AB - Neural processing of dynamic continuous visual input, and cognitive influences
thereon, are frequently studied in paradigms employing strictly rhythmic
stimulation. However, the temporal structure of natural stimuli is hardly ever
fully rhythmic but possesses certain spectral bandwidths (e.g. lip movements in
speech, gestures). Examining periodic brain responses elicited by strictly
rhythmic stimulation might thus represent ideal, yet isolated cases. Here, we
tested how the visual system reflects quasi-rhythmic stimulation with frequencies
continuously varying within ranges of classical theta (4-7Hz), alpha (8-13Hz) and
beta bands (14-20Hz) using EEG. Our findings substantiate a systematic and
sustained neural phase-locking to stimulation in all three frequency ranges.
Further, we found that allocation of spatial attention enhances EEG-stimulus
locking to theta- and alpha-band stimulation. Our results bridge recent findings
regarding phase locking ("entrainment") to quasi-rhythmic visual input and
"frequency-tagging" experiments employing strictly rhythmic stimulation. We
propose that sustained EEG-stimulus locking can be considered as a continuous
neural signature of processing dynamic sensory input in early visual cortices.
Accordingly, EEG-stimulus locking serves to trace the temporal evolution of
rhythmic as well as quasi-rhythmic visual input and is subject to attentional
bias.
PMID- 27867092
TI - Peptide-rich venom from the spider Heteropoda venatoria potently inhibits insect
voltage-gated sodium channels.
AB - Heteropoda venatoria is a venomous spider species distributed worldwide and has a
characteristic habit of feeding on insects. Reverse-phase high-performance liquid
chromatography and matrix-assisted laser-desorption/ionization time-of-flight
mass spectrometry analyses revealed that H. venatoria venom contains hundreds of
peptides with a predominant molecular weights of 3000-5000 Da. Intra-abdominal
injection of the venom had severe toxic effects on cockroaches and caused death
at higher concentrations. The LD50 was 28.18 MUg/g of body weight in the
cockroach. It was found that the venom had potent inhibitory effect on voltage
gated sodium channels (VGSCs) in Periplaneta americana cockroach dorsal unpaired
median (DUM) neurons with an IC50 values of 6.25 +/- 0.02 MUg/mL. However, 100
MUg/mL venom only partially blocked VGSC currents in rat dorsal root ganglion
cells, a much lower inhibitory effect than that on DUM VGSCs. Our results
indicate that the venom of H. venatoria contains diverse neurotoxins that might
become new leads for bioinsecticides.
PMID- 27867091
TI - Development and aging of a brain neural stem cell niche.
AB - In the anterior forebrain, along the lateral wall of the lateral ventricles, a
neurogenic stem cell niche is found in a region referred to as the ventricular
subventricular zone (V-SVZ). In rodents, robust V-SVZ neurogenesis provides new
neurons to the olfactory bulb throughout adulthood; however, with increasing age
stem cell numbers are reduced and neurogenic capacity is significantly
diminished, but new olfactory bulb neurons continue to be produced even in old
age. Humans, in contrast, show little to no new neurogenesis after two years of
age and whether V-SVZ neural stem cells persist in the adult human brain remains
unclear. Here, we review functional and organizational differences in the V-SVZ
stem cell niche of mice and humans, and examine how aging affects the V-SVZ niche
and its associated functions.
PMID- 27867093
TI - Relationship between food and venom production in the estuarine stonefish
Synanceia horrida.
AB - BACKGROUND: The potential costs of venom production may be significant to many
marine venomous taxa. In general, the parameters that influence the rate of venom
production are poorly understood, but seem to be related to feeding frequency.
METHODS: This study examines the effects of starvation on venom profile and venom
yield on the estuarine stonefish (Synanceia horrida). In total, the venom of
eight stonefishes was tested under two feeding regimes. Over a four week period,
one of the two groups underwent an episode of suspended feeding, while the other
was fed on a daily basis. The effect of time on venom replacement was determined
by a paired T-test. ANOVA was performed to analyze differences in venom weight
between fed and unfed treatments. RESULTS: Nutritional suspension was found to
have a significant effect on the quantity of venom produced. SDS-PAGE gel and
FPLC revealed that the components of the venom collected from both groups were
similar, indicating that four weeks is an adequate time to regenerate key venom
components but not replenish initial venom quantities. CONCLUSIONS: Venom
production was found to be affected by starvation.
PMID- 27867094
TI - Differences between renal effects of venom from two Bothrops jararaca populations
from southeastern and southern Brazil.
AB - Components from animal venoms may vary according to the snake's age, gender and
region of origin. Recently, we performed a proteomic analysis of Bothrops
jararaca venom from southern (BjSv) and southeastern (BjSEv) Brazil, showing
differences in the venom composition, as well as its biological activity. To
continue the study, we report in this short communication the different effects
induced by the BjSEv and BjSv on isolated kidney and MDCK renal cells. BjSEv
decreased perfusion pressure (PP) and renal vascular resistance (RVR) and
increased urinary flow (UF) and glomerular filtration rate (GFR), while BjSv did
not alter PP and RVR and reduced UF and GFR. Both types of venom, more
expressively BjSEv, reduced %TNa+, %TK+ and %Cl-. In MDCK cells, the two types of
venom showed cytotoxicity with IC50 of 1.22 MUg/mL for BjSv and 1.18 MUg/mL for
BjSEv and caused different profiles of cell death, with BjSv being more necrotic.
In conclusion, we suggest that BjSv is more nephrotoxic than BjSEv.
PMID- 27867095
TI - Identification of snake venom allergens by two-dimensional electrophoresis
followed by immunoblotting.
AB - This allergic reaction to snake venom was described to occur in patients after
recurrent exposure through bites in amateur and professional snake handlers,
which might be underestimated and contribute to fatal snakebites in victim,
independently from the toxicity of the venom itself. Few allergens were
identified from snake venoms by normal SDS-PAGE, which cannot separate the snake
venom completely. In the present study, we identified nine potential allergens by
two-dimensional (2D) electrophoresis followed by immunoblotting (named as
allergenomics) in Protobothrops mucrosquamatus venom. By multidimensional liquid
chromatography-ion trap mass spectrometry (MDLC-ESI-LTQ-MS/MS) analysis, six
allergens showed sequence similarity to snake venom serine proteinases. Other
allergens showed sequence similarity to snake venom metalloproteinase. These
allergic reactions to snake venom allergens might contribute to fatal snakebites
in victim, independently.
PMID- 27867096
TI - Ptgr1 expression is regulated by NRF2 in rat hepatocarcinogenesis and promotes
cell proliferation and resistance to oxidative stress.
AB - Prostaglandin reductase-1 (Ptgr1) is an alkenal/one oxidoreductase that is
involved in the catabolism of eicosanoids and lipid peroxidation such as 4
hydroxynonenal (4-HNE). Recently, we reported that Ptgr1 is overexpressed in
human clinical and experimentally induced samples of hepatocellular carcinoma
(HCC). However, how the expression of this gene is regulated and its role in
carcinogenesis are not yet known. Here, we studied parameters associated with
antioxidant responses and the mechanisms underlying the induction of Ptgr1
expression by the activation of Nuclear Factor (erythroid-derived-2)-like-2
(NRF2). For these experiments, we used two protocols of induced
hepatocarcinogenesis in rats. Furthermore, we determined the effect of PTGR1 on
cell proliferation and resistance to oxidative stress in cell cultures of the
epithelial liver cell line, C9. Ptgr1 was overexpressed during the early phase in
altered hepatocyte foci, and this high level of expression was maintained in
persistent nodules until tumors developed. Ptgr1 expression was regulated by
NRF2, which bound to an antioxidant response element at -653bp in the rat Ptgr1
gene. The activation of NRF2 induced the activation of an antioxidant response
that included effects on proteins such as glutamate-cysteine ligase, catalytic
subunit, NAD(P)H dehydrogenase quinone-1 (NQO1) and glutathione-S-transferase-P
(GSTP1). These effects may have produced a reduced status that was associated
with a high proliferation rate in experimental tumors. Indeed, when Ptgr1 was
stably expressed, we observed a reduction in the time required for proliferation
and a protective effect against hydrogen peroxide- and 4-HNE-induced cell death.
These data were consistent with data showing colocalization between PTGR1 and 4
HNE protein adducts in liver nodules. These findings suggest that Ptgr1 and
antioxidant responses act as a metabolic adaptation and could contribute to
proliferation and cell-death evasion in liver tumor cells. Furthermore, these
data indicate that Ptgr1 could be used to design early diagnostic tools or
targeted therapies for HCC.
PMID- 27867097
TI - Mitochondrial remodeling in the liver following chronic alcohol feeding to rats.
AB - The feeding of alcohol orally (Lieber-DeCarli diet) to rats has been shown to
cause declines in mitochondrial respiration (state III), decreased expression of
respiratory complexes, and decreased respiratory control ratios (RCR) in liver
mitochondria. These declines and other mitochondrial alterations have led to the
hypothesis that alcohol feeding causes "mitochondrial dysfunction" in the liver.
If oral alcohol feeding leads to mitochondrial dysfunction, one would predict
that increasing alcohol delivery by intragastric (IG) alcohol feeding to rats
would cause greater declines in mitochondrial bioenergetics in the liver. In this
study, we examined the mitochondrial alterations that occur in rats fed alcohol
both orally and intragastrically. Oral alcohol feeding decreased glutamate/malate
, acetaldehyde- and succinate-driven state III respiration, RCR, and expression
of respiratory complexes (I, III, IV, V) in liver mitochondria, in agreement with
previous results. IG alcohol feeding, on the other hand, caused a slight increase
in glutamate/malate-driven respiration, and significantly increased acetaldehyde
driven respiration in liver mitochondria. IG feeding also caused liver
mitochondria to experience a decline in succinate-driven respiration, but these
decreases were smaller than those observed with oral alcohol feeding.
Surprisingly, oral and IG alcohol feeding to rats increased mitochondrial
respiration using other substrates, including glycerol-3-phosphate (which
delivers electrons from cytoplasmic NADH to mitochondria) and octanoate (a
substrate for beta-oxidation). The enhancement of glycerol-3-phosphate- and
octanoate-driven respiration suggests that liver mitochondria remodeled in
response to alcohol feeding. In support of this notion, we observed that IG
alcohol feeding also increased expression of mitochondrial glycerol phosphate
dehydrogenase-2 (GPD2), transcription factor A (TFAM), and increased
mitochondrial NAD+-NADH and NADP+-NADPH levels in the liver. Our findings suggest
that mitochondrial dysfunction represents an incomplete picture of mitochondrial
dynamics that occur in the liver following alcohol feeding. While alcohol feeding
causes some mitochondrial dysfunction (i.e. succinate-driven respiration), our
work suggests that the major consequence of alcohol feeding is mitochondrial
remodeling in the liver as an adaptation. This mitochondrial remodeling may play
an important role in the enhanced alcohol metabolism and other adaptations in the
liver that develop with alcohol intake.
PMID- 27867100
TI - The independent influence of concussive and sub-concussive impacts on soccer
players' neurophysiological and neuropsychological function.
AB - Accumulating research demonstrates that repetitive sub-concussive impacts can
alter the structure, function and connectivity of the brain. However, the
functional significance of these alterations as well as the independent
contribution of concussive and sub-concussive impacts to neurophysiological and
neuropsychological health are unclear. Accordingly, we compared the
neurophysiological and neuropsychological function of contact athletes with
(concussion group) and without (sub-concussion group) a history of concussion, to
non-contact athletes. We evaluated event-related brain potentials (ERPs) elicited
during an oddball task and performance on a targeted battery of
neuropsychological tasks. Athletes in the sub-concussion and concussion groups
exhibited similar amplitude reductions in the ERP indices of attentional resource
allocation (P3b) and attentional orienting (P3a) relative to non-contact
athletes. However, only athletes in the concussion group exhibited reduced
amplitude in the ERP index of perceptual attention (N1). Athletes in the sub
concussion and concussion groups also exhibited deficits in memory recall
relative to non-contact athletes, but athletes in the concussion group also
exhibited significantly more recall errors than athletes in the sub-concussion
group. Additionally, only athletes in the concussion group exhibited response
delays during the oddball task. The current findings suggest that sub-concussive
impacts are associated with alterations in the neurophysiological and
neuropsychological indices of essential cognitive functions, albeit to a lesser
degree than the combination of sub-concussive and concussive impacts.
PMID- 27867098
TI - Ammonia promotes endothelial cell survival via the heme oxygenase-1-mediated
release of carbon monoxide.
AB - Although endothelial cells produce substantial quantities of ammonia during cell
metabolism, the physiologic role of this gas in these cells is not known. In this
study, we investigated if ammonia regulates the expression of heme oxygenase-1
(HO-1), and if this enzyme influences the biological actions of ammonia on
endothelial cells. Exogenously administered ammonia, given as ammonium chloride
or ammonium hydroxide, or endogenously generated ammonia stimulated HO-1 protein
expression in cultured human and murine endothelial cells. Dietary
supplementation of ammonia also induced HO-1 protein expression in murine
arteries. The increase in HO-1 protein by ammonia in endothelial cells was first
detected 4h after ammonia exposure and was associated with the induction of HO-1
mRNA, enhanced production of reactive oxygen species (ROS), and increased
expression and activity of NF-E2-related factor-2 (Nrf2). Ammonia also activated
the HO-1 promoter and this was blocked by mutating the antioxidant responsive
element or by overexpressing dominant-negative Nrf2. The induction of HO-1
expression by ammonia was dependent on ROS formation and prevented by N
acetylcysteine or rotenone. Finally, prior treatment of endothelial cells with
ammonia inhibited tumor necrosis factor-alpha-stimulated cell death. However,
silencing HO-1 expression abrogated the protective action of ammonia and this was
reversed by the administration of carbon monoxide but not bilirubin or iron. In
conclusion, this study demonstrates that ammonia stimulates the expression of HO
1 in endothelial cells via the ROS-Nrf2 pathway, and that the induction of HO-1
contributes to the cytoprotective action of ammonia by generating carbon
monoxide. Moreover, it identifies ammonia as a potentially important signaling
gas in the vasculature that promotes endothelial cell survival.
PMID- 27867099
TI - Risky repair: DNA-protein crosslinks formed by mitochondrial base excision DNA
repair enzymes acting on free radical lesions.
AB - Oxygen is both necessary and dangerous for aerobic cell function. ATP is most
efficiently made by the electron transport chain, which requires oxygen as an
electron acceptor. However, the presence of oxygen, and to some extent the
respiratory chain itself, poses a danger to cellular components. Mitochondria,
the sites of oxidative phosphorylation, have defense and repair pathways to cope
with oxidative damage. For mitochondrial DNA, an essential pathway is base
excision repair, which acts on a variety of small lesions. There are instances,
however, in which attempted DNA repair results in more damage, such as the
formation of a DNA-protein crosslink trapping the repair enzyme on the DNA. That
is the case for mitochondrial DNA polymerase gamma acting on abasic sites
oxidized at the 1-carbon of 2-deoxyribose. Such DNA-protein crosslinks presumably
must be removed in order to restore function. In nuclear DNA, ubiquitylation of
the crosslinked protein and digestion by the proteasome are essential first
processing steps. How and whether such mechanisms operate on DNA-protein
crosslinks in mitochondria remains to be seen.
PMID- 27867101
TI - Regulation of fear extinction by long-term depression: The roles of
endocannabinoids and brain derived neurotrophic factor.
AB - The extinction of a conditioned fear response is of great interest in the search
for a means of ameliorating adverse neurobiological changes resulting from
stress. The discovery that endocannibinoid (EC) levels are inversely related to
the extent of such stress, and that the amygdala is a primary site mediating
stress, suggests that ECs in this brain region might play a major role in
extinction. Supporting this are the observations that the basolateral complex of
the amygdala shows an increase in ECs only during extinction and that early
clinical trials indicate that cannabinoid-like agents, when taken orally by
patients suffering from post traumatic stress disorder (PTSD), reduce insomnia
and nightmares. In order to optimize the potential of these agents to ameliorate
symptoms of PTSD four important questions need to be answered: first, what is the
identity of the cells that release ECs in the amygdala during extinction; second,
what are their sites of action; third, what roles do the ECs play in the
alleviation of long- depression (LTD), a process central to extinction; and
finally, to what extent does brain derived neurotrophic factor (BDNF) facilitate
the release of ECs? A review of the relevant literature is presented in an
attempt to answer these questions. It is suggested that the principal cell
involved in EC synthesis and release during extinction is the so-called
excitatory extinction neuron in the basal nucleus of the amygdala. Furthermore
that the main site of action of the ECs is the adjacent calcitonin gene-related
peptide inhibitory interneurons, whose normal role of blocking the excitatory
neurons is greatly diminished. The molecular pathways leading (during extinction
trials) to the synthesis and release of ECs from synaptic spines of extinction
neurons, that is potentiated by BDNF, are also delineated in this review.
Finally, consideration is given to how the autocrine action of BDNF, linked to
the release of ECs, can lead to the sustained release of these, so maintaining
extinction over long times.
PMID- 27867102
TI - Neural correlates of response inhibition in current and former smokers.
AB - Loss of behavioral control is a hallmark of addiction. Individual differences in
basic cognitive processes such as response inhibition may be important for
interrupting automatic behaviors associated with smoking and supporting prolonged
abstinence. To examine how response inhibition and error monitoring processes
differ as a function of smoking status, current smokers, former smokers and never
smokers (N=126) completed a simple Go/No-Go task while undergoing functional
magnetic resonance imaging. All groups performed similarly on the task and
similarly engaged the inferior frontal gyrus and dorsal anterior cingulate
cortex, regions traditionally associated with response inhibition and error
monitoring, respectively. During response inhibition (i.e., Correct Rejects>Hits
contrast), overall group differences emerged in the recruitment of the
cerebellum, while individual group differences in error monitoring (False
Alarms>Hits contrast) were seen for regions of the parietal lobe and thalamus
(current smokers>former smokers), as well as regions of the bilateral cerebellum,
parahippocampal gyrus and superior parietal lobe (i.e., ever smokers>never
smokers). We discuss how our results replicate two previous large-sample studies
that used the same Go/No-Go task and review these data in terms of network models
of inhibitory and error monitoring abnormalities in addiction.
PMID- 27867103
TI - Lymphoblastic lymphoma with a triple-hit profile: a rare but distinct and
relevant entity.
AB - Follicular lymphoma with progression to a high-grade lymphoma bears a poor
prognosis. We describe a case of a 60-year-old man who presented in 2012 with an
epidural mass, diagnosed as a diffuse large B-cell lymphoma (DLBCL) with
concurrent low-grade follicular lymphoma. Three years later, the patient
presented with a cervical mass, diagnosed as a lymphoblastic lymphoma (LBL). Both
the DLBCL and LBL contained a "triple hit" with BCL2, BCL6, and cMYC
translocations demonstrated by fluorescence in situ hybridization analysis and a
complex karyotype by single-nucleotide polymorphism array analysis. Furthermore,
the 2 lymphomas were shown to be clonally related by clonality analysis and
single-nucleotide polymorphism array analysis. This case report presents a highly
unusual case of an LBL with a triple hit, originating from a DLBCL, which has
rarely been described in the literature and deserves further exploration.
PMID- 27867105
TI - Arabidopsis NahG Plants as a Suitable and Efficient System for Transient
Expression using Agrobacterium tumefaciens.
PMID- 27867104
TI - Identification of transcripts involved in digestion, detoxification and immune
response from transcriptome of Empoasca vitis (Hemiptera: Cicadellidae) nymphs.
AB - Tea production has been significantly impacted by the false-eye leafhopper,
Empoasca vitis (Gothe), around Asia. To identify the key genes which are
responsible for nutrition absorption, xenobiotic metabolism and immune response,
the transcriptome of either alimentary tracts or bodies minus alimentary tract of
E. vitis was sequenced and analyzed. Over 31 million reads were obtained from
Illumina sequencing. De novo sequence assembly resulted in 52,182 unigenes with a
mean size of 848nt. The assembled unigenes were then annotated using various
databases. Transcripts of at least 566 digestion-, 224 detoxification-, and 288
immune-related putative genes in E. vitis were identified. In addition, relative
expression of highly abundant transcripts was verified through quantitative real
time PCR. Results from this investigation provide genomic information about E.
vitis, which will be helpful in further study of E. vitis biology and in the
development of novel strategies to control this devastating pest.
PMID- 27867106
TI - The Mystery of Mendel's Stay-Green: Magnesium Stays Chelated in Chlorophylls.
PMID- 27867107
TI - Draft Genome Sequence of Mentha longifolia and Development of Resources for Mint
Cultivar Improvement.
AB - The genus Mentha encompasses mint species cultivated for their essential oils,
which are formulated into a vast array of consumer products. Desirable oil
characteristics and resistance to the fungal disease Verticillium wilt are top
priorities for the mint industry. However, cultivated mints have complex
polyploid genomes and are sterile. Breeding efforts, therefore, require the
development of genomic resources for fertile mint species. Here, we present draft
de novo genome and plastome assemblies for a wilt-resistant South African
accession of Mentha longifolia (L.) Huds., a diploid species ancestral to
cultivated peppermint and spearmint. The 353 Mb genome contains 35 597 predicted
protein-coding genes, including 292 disease resistance gene homologs, and nine
genes determining essential oil characteristics. A genetic linkage map ordered
1397 genome scaffolds on 12 pseudochromosomes. More than two million simple
sequence repeats were identified, which will facilitate molecular marker
development. The M. longifolia genome is a valuable resource for both metabolic
engineering and molecular breeding. This is exemplified by employing the genome
sequence to clone and functionally characterize the promoters in a peppermint
cultivar, and demonstrating the utility of a glandular trichome-specific promoter
to increase expression of a biosynthetic gene, thereby modulating essential oil
composition.
PMID- 27867108
TI - Poly (3,4-ethylenedioxythiophene) graphene oxide composite coatings for
controlling magnesium implant corrosion.
AB - : Magnesium (Mg) is a promising biodegradable implant material because of its
appropriate mechanical properties and safe degradation products. However, in vivo
corrosion speed and hydrogen gas production need to be controlled for uses in
biomedical applications. Here we report the development of a conducting polymer
3,4-ethylenedioxythiphene (PEDOT) and graphene oxide (GO) composite coating as a
corrosion control layer. PEDOT/GO was electropolymerized on Mg samples in ethanol
media. The coated Mg samples were subjected to various corrosion tests. The
PEDOT/GO coating significantly reduced the rate of corrosion as evidenced by
lower Mg ion concentration and pH of the corrosion media. In addition, the
coating decreased the evolved hydrogen. Electrochemical analysis of the corroding
samples showed more positive corrosion potential, a decreased corrosion current,
and an increase in the polarization resistance. PEDOT/GO corrosion protection is
attributed to three factors; an initial passive layer preventing solution
ingress, buildup of negative charges in the film, and formation of corrosion
protective Mg phosphate layer through redox coupling with Mg corrosion. To
explore the biocompatibility of the coated implants in vitro, corrosion media
from PEDOT/GO coated or uncoated Mg samples were exposed to cultured neurons
where PEDOT/GO coated samples showed decreased toxicity. These results suggest
that PEDOT/GO coating will be an effective treatment for controlling corrosion of
Mg based medical implants. STATEMENT OF SIGNIFICANCE: Coating Mg substrates with
a PEDOT/GO composite coating showed a significant decrease in corrosion rate.
While conducting polymer coatings have been used to prevent corrosion on various
metals, there has been little work on the use of these coatings for Mg.
Additionally, to our knowledge, there has not been a report of the combined used
of conducting polymer and GO as a corrosion control layer. Corrosion control is
attributed to an initial barrier layer followed by electrochemical coupling of
the PEDOT/GO coating with the substrate to facilitate the formation of a
protective phosphate layer. This coupling also resulted in a decrease in hydrogen
produced during corrosion, which could further improve the host tissue
integration of Mg implants. This work elaborates on the potential for
electroactive polymers to serve as corrosion control methods.
PMID- 27867109
TI - Towards long lasting zirconia-based composites for dental implants:
Transformation induced plasticity and its consequence on ceramic reliability.
AB - : Zirconia-based composites were developed through an innovative processing route
able to tune compositional and microstructural features very precisely. Fully
dense ceria-stabilized zirconia ceramics (84vol% Ce-TZP) containing equiaxed
alumina (8vol%Al2O3) and elongated strontium hexa-aluminate (8vol% SrAl12O19)
second phases were obtained by conventional sintering. This work deals with the
effect of the zirconia stabilization degree (CeO2 in the range 10.0-11.5mol%) on
the transformability and mechanical properties of Ce-TZP-Al2O3-SrAl12O19
materials. Vickers hardness, biaxial flexural strength and Single-edge V-notched
beam tests revealed a strong influence of ceria content on the mechanical
properties. Composites with 11.0mol% CeO2 or above exhibited the classical
behaviour of brittle ceramics, with no apparent plasticity and very low strain to
failure. On the contrary, composites with 10.5mol% CeO2 or less showed large
transformation-induced plasticity and almost no dispersion in strength data.
Materials with 10.5mol% of ceria showed the highest values in terms of biaxial
bending strength (up to 1.1GPa) and fracture toughness (>10MPa?m). In these
ceramics, as zirconia transformation precedes failure, the Weibull modulus was
exceptionally high and reached a value of 60, which is in the range typically
reported for metals. The results achieved demonstrate the high potential of using
these new strong, tough and stable zirconia-based composites in structural
biomedical applications. STATEMENT OF SIGNIFICANCE: Yttria-stabilized (Y-TZP)
zirconia ceramics are increasingly used for developing metal-free restorations
and dental implants. Despite their success related to their excellent mechanical
resistance, Y-TZP can undergo Low Temperature Degradation which could be
responsible for restoration damage or even worst the failure of the implant.
Current research is focusing on strategies to improve the LTD resistance of Y-TZP
or to develop alternative composites with better stability in vivo. In this work
the mechanical characterization of a new type of very-stable zirconia-based
composites is presented. These materials are composed of ceria-stabilized
zirconia (84vol%Ce-TZP) containing two second phases (alpha-alumina and strontium
hexa-aluminate) and exhibit exceptional strength, toughness and ductility, which
may allow the processing of dental implants with a perfect reliability and longer
lifetime.
PMID- 27867110
TI - Poly(epsilon-benzyloxycarbonyl-L-lysine)-grafted branched polyethylenimine as
efficient nanocarriers for indomethacin with enhanced oral bioavailability and
anti-inflammatory efficacy.
AB - : Star-block copolymers PEI-g-PZLL with a branched polyethylenimine (PEI) core
and multiple grafted poly(epsilon-benzyloxycarbonyl-L-lysine) (PZLL) peripheral
chains were designed, synthesized, and evaluated as nanocarriers for indomethacin
(IND). In an aqueous solution, PEI-g-PZLL self-assembled into spherical
nanoparticles capable of encapsulating IND at high loading capacity and loading
efficiency. Differential scanning calorimetry and X-ray diffraction measurements
indicated that IND was molecularly or amorphously dispersed in the nanoparticles.
Fourier transform infrared spectra revealed the presence of multiple
intermolecular interactions, including hydrogen bonding, electrostatic forces, pi
pi stacking and hydrophobic interactions, between the block copolymer and the IND
molecules. IND-loaded nanoparticles exhibited fast release under intestinal pH.
Compared with raw IND, the utilization of PEI-g-PZLL as a carrier significantly
enhanced the oral bioavailability of IND and improved its protective effect on
renal ischemia-reperfusion injury, as evidenced by in vivo pharmacokinetic and
pharmacodynamic studies. Cytotoxicity assay, histological observation and
cellular uptake study suggested that PEI-g-PZLL was fairly biocompatible. All
these results indicated that star-block copolymers PEI-g-PZLL could be used as
efficient nanocarriers for IND and other poorly water-soluble drugs. STATEMENT OF
SIGNIFICANCE: The use of polyethylenimine (PEI) as an oral drug delivery carrier
is limited because it is not biodegradable and the use of higher molecular weight
PEI leads to improved efficiency but also increased cytotoxicity. The design of
functionalized PEIs with low cytotoxicity and high efficiency is crucial for
developing a successful oral drug delivery system. In our study, poly(epsilon
benzyloxycarbonyl-L-lysine) (PZLL)-grafted branched PEI (PEI-g-PZLL) was reported
as an oral nanocarrier for indomethacin (IND). The low cytotoxicity and
biodegradability, well-defined self-assembled nano-sized polymeric micelles, high
loading capacity and loading efficiency, amorphous state of the encapsulated IND,
as well as the enhanced oral bioavailability of IND, makes the copolymer PEI-g
PZLL a promising nanocarrier for the oral administration of IND and possibly
other poorly water-soluble drugs.
PMID- 27867111
TI - Anti-inflammatory activity of Punica granatum L. (Pomegranate) rind extracts
applied topically to ex vivo skin.
AB - Coadministered pomegranate rind extract (PRE) and zinc (II) produces a potent
virucidal activity against Herpes simplex virus (HSV); however, HSV infections
are also associated with localised inflammation and pain. Here, the objective was
to determine the anti-inflammatory activity and relative depth penetration of
PRE, total pomegranate tannins (TPT) and zinc (II) in skin, ex vivo. PRE, TPT and
ZnSO4 were dosed onto freshly excised ex vivo porcine skin mounted in Franz
diffusion cells and analysed for COX-2, as a marker for modulation of the
arachidonic acid inflammation pathway, by Western blotting and
immunohistochemistry. Tape stripping was carried out to construct relative depth
profiles. Topical application of PRE to ex vivo skin downregulated expression of
COX-2, which was significant after just 6h, and maintained for up to 24h. This
was achieved with intact stratum corneum, proving that punicalagin penetrated
skin, further supported by the depth profiling data. When PRE and ZnSO4 were
applied together, statistically equal downregulation of COX-2 was observed when
compared to the application of PRE alone; no effect followed the application of
ZnSO4 alone. TPT downregulated COX-2 less than PRE, indicating that tannins alone
may not be entirely responsible for the anti-inflammatory activity of PRE.
Punicalagin was found throughout the skin, in particular the lower regions,
indicating appendageal delivery as a significant route to the viable epidermis.
Topical application of TPT and PRE had significant anti-inflammatory effects in
ex vivo skin, confirming that PRE penetrates the skin and modulates COX-2
regulation in the viable epidermis. Pomegranates have potential as a novel
approach in ameliorating the inflammation and pain associated with a range of
skin conditions, including cold sores and herpetic stromal keratitis.
PMID- 27867113
TI - Glycosylation and antiproliferative activity of hyperglycosylated IFN-alpha2
potentiate HEK293 cells as biofactories.
AB - Both CHO and HEK cells are interesting hosts for the production of
biotherapeutics due to their ability to introduce post-translational
modifications such as glycosylation. Even though oligosaccharide structures
attached to proteins are conserved among eukaryotes, many differences have been
found between therapeutic glycoproteins expressed in hamster and human derived
cells. In this work, a hyperglycosylated IFN-alpha2b mutein (IFN4N) was produced
in CHO and HEK cell lines and an extensive characterization of their properties
was performed. IFN4NCHO exhibited a higher average molecular mass and more acidic
isoforms compared to IFN4NHEK. In agreement with these results, a 2-times higher
sialic acid content was found for IFN4NCHO in comparison with the HEK-derived
protein. This result was in agreement with monosaccharide quantification and
glycan's analysis using WAX chromatography and HILIC coupled to mass
spectrometry; all methods supported the existence of highly sialylated and also
branched structures for IFN4NCHO glycans, in contrast with smaller and truncated
structures among IFN4NHEK glycans. Unexpectedly, those remarkable differences in
the glycosylation pattern had not a considerable impact on the clearance rate of
both molecules in rats. In fact, although IFN4NHEK reached maximum plasma
concentration 3-times faster than IFN4NCHO, their elimination profile did not
differ significantly. Also, despite the in vitro antiviral specific biological
activity of both proteins was the same, IFN4NHEK was more efficient as an
antiproliferative agent in different tumor-derived cell lines. Accordingly,
IFN4NHEK showed a higher in vivo antitumor activity in animal models. Our results
show the importance of an appropriate host selection to set up a bioprocess and
potentiate the use of HEK293 cells for the production of a new hyperglycosylated
protein-based pharmaceutical.
PMID- 27867112
TI - Hydrogel-thickened nanoemulsions based on essential oils for topical delivery of
psoralen: Permeation and stability studies.
AB - Nanoemulsions (NE) have attracted much attention due to their as dermal delivery
systems for lipophilic drugs such as psoralens. However, NE feature low viscosity
which might be unsuitable for topical application. In this work, we produced
hydrogel-thickened nanoemulsions (HTN) using chitosan as thickening polymer to
overcome the low viscosity attributed to NE. The aim of this study is to develop
and characterize oil-in-water (o/w) HTN based on sweet fennel and clove essential
oil to transdermal delivery of 8-methoxsalen (8-MOP). NE components (oil,
surfactant) were selected on the basis of solubility and droplet size and
processed in a high-pressure homogenizer (HPH). Drug loaded NE and HTN were
characterized for particle size, stability under storage and centrifugation,
rheological behavior, transdermal permeation and skin accumulation. Transdermal
permeation of 8-MOP from HTN was determined by using Franz diffusion cell.
Transdermal permeation from HTN using clove essential oil showed strong
dependency chitosan molecular weight. On the other hand, HTN using sweet fennel
oil showed an unexpected pH-dependent behavior not fully understood at the
moment. These results need further investigation, nevertheless HTN revealed to be
interesting and complex dermal delivery systems for poorly soluble drugs.
PMID- 27867116
TI - Automatic and rapid identification of glycopeptides by nano-UPLC-LTQ-FT-MS and
proteomic search engine.
AB - : Here we demonstrate the potential of nano-UPLC-LTQ-FT-MS and the ByonicTM
proteomic search engine for the separation, detection, and identification of N-
and O-glycopeptide glycoforms in standard glycoproteins. The use of a BEH C18
nanoACQUITY column allowed the separation of the glycopeptides present in the
glycoprotein digest and a baseline-resolution of the glycoforms of the same
glycopeptide on the basis of the number of sialic acids. Moreover, we evaluated
several acquisition strategies in order to improve the detection and
characterization of glycopeptide glycoforms with the maximum number of
identification percentages. The proposed strategy is simple to set up with the
technology platforms commonly used in proteomic labs. The method allows the
straightforward and rapid obtention of a general glycosylated map of a given
protein, including glycosites and their corresponding glycosylated structures.
The MS strategy selected in this work, based on a gas phase fractionation
approach, led to 136 unique peptides from four standard proteins, which
represented 78% of the total number of peptides identified. Moreover, the method
does not require an extra glycopeptide enrichment step, thus preventing the bias
that this step could cause towards certain glycopeptide species. Data are
available via ProteomeXchange with identifier PXD003578. SIGNIFICANCE: We propose
a simple and high-throughput glycoproteomics-based methodology that allows the
separation of glycopeptide glycoforms on the basis of the number of sialic acids,
and their automatic and rapid identification without prior knowledge of protein
glycosites or type and structure of the glycans.
PMID- 27867114
TI - Serine protease inhibitor Kazal-type 2 is expressed in the male reproductive
tract of carp with a possible role in antimicrobial protection.
AB - The presence of the low-molecular-mass serine protease inhibitor Kazal-type
(Spink) is a characteristic feature of vertebrate semen. Its main function is
control of the serine protease in the acrosome, acrosin. Here we showed for the
first time that Spink is present in the seminal plasma of carp, which have
anacrosomal spermatozoa. Using a three-step isolation procedure that consisted in
gel filtration and RP-HPLC and re-RP-HPLC, we isolated this inhibitor and
identified it as serine protease inhibitor Kazal-type 2 (Spink2), a reproductive
derived member of the Spink family. The cDNA sequence of this inhibitor obtained
from carp testis encoded 77 amino acids, including a 17 amino acids signal
peptide; this sequence was distinct from fish Kazal-type inhibitors. The mRNA
expression analysis showed that Spink2 is expressed predominantly in carp testis
and spermatic duct. Immunohistochemical analysis demonstrated its localization in
testis in Sertoli, Leydig and germ cells at all developmental stages (with the
exception of spermatozoa) and in the epithelium of the spermatic duct. Aside from
strong inhibition of trypsin, this inhibitor acts strongly against subtilisin and
possesses bacteriostatic activities against Lactobacillus subtilis, Escherichia
coli and Aeromonas hydrophila. The localization of Spink2 in carp reproductive
tract suggests an important function in spermatogenesis and in maintenance of the
microenvironment in which sperm maturation occurs and sperm are stored. Our
results suggest that Spink2 from carp seminal plasma may play a role in
antibacterial semen defense, protecting semen against unwanted proteolysis within
the reproductive tract.
PMID- 27867115
TI - Central Chemoreceptor Sensitivity Is Not Enhanced in Contemporary Patients With
Chronic Systolic Heart Failure Receiving Optimal Treatment.
AB - BACKGROUND: Clinical and prognostic consequences of enhanced central
chemosensitivity in the contemporary optimally treated patients with chronic
heart failure (CHF) are unknown. METHODS AND RESULTS: We studied central
chemosensitivity (defined as hypercapnic ventilatory response [HCVR; L/min/mmHg])
in 161 CHF patients (mean left ventricular ejection fraction [LVEF] 31 +/- 6%,
all receiving a combination of angiotensin-converting enzyme
inhibitor/angiotensin receptor blocker and beta-blocker) and 55 sex- and age
matched healthy controls. HCVR did not differ between CHF patients and controls
(median 0.63 vs 0.57 L/min-1/mmHg-1, P = .76). When the CHF patients were divided
into tertiles according to their HCVR values, there were no significant
differences in clinical characteristics (except for ischemic etiology, which was
more frequent in those with the highest HCVR), results of the cardiopulmonary
exercise testing, and indices of heart rate variability. During the follow-up
(median 28 months, range 1-48 months, >=15 months in all survivors), 21 patients
died. HCVR was not related to survival in the Cox proportional hazards analysis.
CONCLUSIONS: Central chemosensitivity is not enhanced in contemporary, optimally
treated CHF patients and its assessment does not provide significant clinical or
prognostic information.
PMID- 27867117
TI - Extramedullary Cavernous Hemangioma with Intradural and Extradural Growth and
Clinical Symptoms of Brown-Sequard Syndrome: Case Report and Review of the
Literature.
AB - BACKGROUND: Primary spinal tumors are rare. Symptoms depend on the size and
location of the tumor. CASE DESCRIPTION: A patient presented with a rare clinical
finding, Brown-Sequard syndrome. The symptoms were caused by an extramedullary
tumor compressing on the thoracic spinal cord. Pathologic examination showed
cavernous hemangioma with growth both intradurally and extradurally. CONCLUSIONS:
This is an extremely rare finding; to our knowledge, only 1 case report has been
published before in which a spinal cavernous hemangioma had intradural and
extradural growth. The clinical symptoms of Brown-Sequard syndrome have not been
described before in the findings of spinal cavernous hemangiomas.
PMID- 27867118
TI - Giant Parietal Encephalocele with Massive Brain Herniation and Suboccipital
Encephalocele in a Neonate: An Unusual Form of Double Encephalocele.
AB - Double encephalocele is extremely rare. We present an unusual form of double
encephalocele including giant supratentorial and small infratentorial
encephalocele in a neonate.
PMID- 27867119
TI - Glioblastoma Secondary to Meningioma: A Case Report and Literature Review.
AB - BACKGROUND: The pathophysiologies underlying meningioma and glioma are distinct.
The coexistence of those 2 lesions in the same patient is rare, and at the same
location, it is even more exceptional. CASE DESCRIPTION: We report a case of a 79
year-old man initially presenting with a meningioma that was treated by complete
excision of the lesion. The patient had 2 relapses at the same site, in which
glioblastoma was confirmed histopathologically. CONCLUSIONS: Glial transformation
meningiomas remain a contentious issue, with coincidental occurrence being the
most prevalent explanation. Nevertheless, impairment of the same molecular
signaling pathways in both tumor types suggests a common origin. Another
hypothesis is that perilesional parenchymal damage from radiotherapy or surgery
may lead to glial transformation in the tissues surrounding the original
meningioma lesion. Further research is needed to determine if the original tumor
or surgery has an oncogenic effect on the adjacent tissue.
PMID- 27867120
TI - Transforaminal Resection of Cervical Dumbbell Schwannomas in Patients with
Additional Tumors.
AB - BACKGROUND: It is rare for 2 primary tumors to occur simultaneously in a patient.
Management of cervical dumbbell schwannomas (CDSs) with concurrent tumors (CTs)
requires a specific neurosurgical strategy. The primary objective of this study
is to investigate surgical strategies for CDSs with CTs while preserving as much
of the mechanically relevant bone structures as possible. METHODS: Twelve
patients with concurrent CTs and CDSs were identified from 3 medical centers.
Surgical strategies for CDSs were based on accurate preoperative images and
subsequent treatment considerations for CTs. All patients received surgical
treatment for CDSs and CTs. Clinical features, surgical considerations for a
transforaminal approach (TA), and ultimate outcome were studied retrospectively.
RESULTS: Gross total resection of CDSs was achieved with endoscopic and
microscopic assistance in 9 cases, and subtotal resection was achieved in 3 cases
after the head and neck surgeons exposed and removed the extraforaminal anatomy.
One patient required an additional hemilaminectomy for the resection of the
intraspinal segment. After wound healing, patients were transferred to the
appropriate surgical department for surgery on CTs with cervical spine
stabilization after a transforaminal approach. CONCLUSIONS: In most patients, the
stability of the cervical spine can be preserved with low invasive microsurgical
or endoscopic transforaminal resection. CTs could be surgically treated
sequentially after microscopic- and endoscopic-assisted resection of CDSs.
PMID- 27867121
TI - Effect of Direct Stimulation of the Cochleovestibular Nerve on Tinnitus: A Long
Term Follow-Up Study.
AB - OBJECTIVE: Tinnitus is a common entity that may lead to severe impairment in
quality of life. An adequate treatment modality for severe tinnitus is currently
lacking. Neurostimulation of the auditory tract may serve as a promising adjunct
in tinnitus treatment. The aim is to investigate the effect of direct stimulation
on the cochleovestibular nerve for intractable tinnitus. METHODS: This study was
conducted at the University Medical Center Groningen, The Netherlands. We studied
10 patients with severe, unilateral, intractable tinnitus, who were implanted
with a cuff electrode around the cochleovestibular nerve between 2001 and 2013.
All patients had preoperative ipsilateral hearing loss. Tinnitus Handicap
Inventory (THI) scores and audiometric values were collected. Treatment success
was determined based on the self-assessment of satisfactory usage by each
patient. RESULTS: The mean preoperative tinnitus duration was 8.0 +/- 5.9 years.
The preoperative THI score was 71 +/- 18 points. During mean follow-up of 49
months, the mean THI reduction was 24 +/- 26 points (P = 0.02). Treatment was
regarded successful in 6 patients (60%). In these patients, tinnitus did not
disappear, but transformed into a more bearable sound. In 4 patients, transient
complications occurred, and 1 patient experienced permanent vertigo
postoperatively. Furthermore, hearing deterioration was a result of implantation
in 86% of the patients. CONCLUSIONS: Direct neurostimulation resulted in
treatment success in a small majority of the patients, with a significant
decrease in THI score. However, because of a high risk of additional hearing
damage, this technique seems not viable for patients with moderate hearing loss.
PMID- 27867122
TI - Resolution of Trigeminal Neuralgia After Transvenous Embolization of a Cavernous
Sinus Dural Arteriovenous Fistula.
AB - BACKGROUND: Trigeminal neuralgia (TN) resulting from a dural arteriovenous
fistula (DAVF) are rare. A case of cavernous sinus dural arteriovenous fistula
(CSDAVF) in a patient with TN that resolved immediately after transvenous
embolization (TVE) is described. CASE DESCRIPTION: A 75-year-old woman presented
with continuous facial pain. On time-of-flight magnetic resonance angiography
(MRA), a CSDAVF was suspected, and digital subtraction angiography (DSA) showed a
CSDAVF. The TN completely resolved immediately after TVE, with no recurrence.
Follow-up MRA showed complete obliteration of the fistula 13 months after TVE.
CONCLUSIONS: The pulsatile flow at the posteromedial part of the cavernous sinus
might have compressed the upper aspect of the Gasserian ganglion, causing TN.
Disappearance of pulsatile flow after TVE might result in complete resolution of
TN.
PMID- 27867123
TI - Significance of the Extent of Resection in Modern Neurosurgical Practice of World
Health Organization Grade I Meningiomas.
AB - OBJECTIVE: Since the prognostic importance of radical resection was introduced in
1957, the neurosurgery practice has undergone several technologic advancements.
The aim of this study was to evaluate whether the prognostic value of the extent
of resection is still relevant in modern neurosurgical practice. METHODS: Over a
10-year period, all patients with histologic-confirmed World Health Organization
grade I meningiomas and who underwent meningioma surgery were retrospectively
analyzed. Survival analyses were performed using Kaplan-Meier analysis and
univariate and multivariate Cox proportional-hazards regression analyses.
RESULTS: There were 113 patients included in this study. A better Simpson grade
was associated with recurrence-free survival (RFS) 5, 10, and 15 years after
surgery (P < 0.001). Comparing Simpson grade I with Simpson grades III and IV,
13.1 and 36.6 times higher hazard ratios were revealed with respect to RFS,
respectively. A 7.5 times higher hazard ratio was revealed when comparing Simpson
grades II and IV. Additional survival analyses were performed within specific
locations and groups with low and high mitotic indices, demonstrating that the
extent of resection can add additional information about RFS. CONCLUSIONS:
Simpson grade remains a highly significant predictor of RFS in meningioma
resected patients in modern neurosurgical practice. Extent of resection should
therefore be emphasized when predicting prognosis and considering postoperative
treatment and frequency of radiologic follow-up after surgery.
PMID- 27867125
TI - Choosing a Prescription Isodose in Stereotactic Radiosurgery for Brain
Metastases: Implications for Local Control.
AB - OBJECTIVE: Stereotactic radiosurgery (SRS) achieves excellent local control (LC)
with limited toxicity for most brain metastases. SRS dose prescription variables
influence LC; therefore, we evaluated the impact of prescription isodose line
(IDL) on LC after SRS. METHODS: A retrospective analysis of patients with brain
metastases treated on a Gamma Knife platform from 2004 to 2014 was conducted.
Clinical, toxicity, radiographic, and dosimetric data were collected. Cox
proportional hazards regression was used to determine progression-free survival
(PFS) and competing risks analysis was used to determine predictive factors for
LC. RESULTS: A total of 134 patients with 374 brain metastases were identified
with a median survival of 8.7 months (range, 0.2-64.8). The median tumor maximum
dimension was 8 mm (range, 2-62 mm), median margin dose was 20 Gy (range, 5-24
Gy), and 12-month LC rate was 88.7%. On multivariate analysis, PFS improved with
increasing IDL (P = 0.003) and decreased with non-non-small-cell lung cancer
histology (P = 0.001). Margin dose, tumor size, conformality, and previous whole
brain irradiation failed to independently affect PFS. When adjusting for death as
a competing risk, the cumulative likelihood of LC improved with higher IDL (P =
0.04). The rate of SRS-induced radiographic and clinical toxicity was low (16.6%
and 1.5%, respectively), and neither was affected by IDL. CONCLUSIONS: Our
results confirm that SRS for brain metastases results in favorable LC,
particularly for patients with smaller tumors. We noted that dose delivery to a
higher prescription IDL is associated with small but measurable improvements in
LC. This finding could be related to higher dose just beyond the radiographically
apparent tumor.
PMID- 27867124
TI - Ventral Dural Injury After Oblique Lumbar Interbody Fusion.
AB - BACKGROUND: Oblique lumbar interbody fusion (OLIF) through the oblique corridor
between the aorta and anterior border of psoas muscle is favored among spinal
surgeons who employ minimally invasive techniques. We report a case of ventral
dural tear after OLIF that was associated with the inaccurate trajectory
direction of endplate preparation. This is the first report to our knowledge of
ventral dural tear associated with OLIF. CASE DESCRIPTION: A 72-year-old woman
presented with right leg pain and numbness. X-rays showed degenerative
spondylolisthesis and loss of disc height at L4-L5 and L5-S1 levels. Magnetic
resonance imaging revealed right-sided paracentral disc herniation at the L3-L4
level and foraminal disc herniation at L4-L5. The initial surgical plan was OLIF
of L3-L4 and L4-L5 after percutaneous screw fixation without laminectomy. With
the patient in the lateral position, discectomy and endplate preparation were
done successfully at the L3-L4 level, and the same procedure was done at the L4
L5 level for OLIF. A sharp Cobbs elevator for endplate preparation triggered a
ventral dural defect at the L4-L5 level. We changed the patient's position to
attempt dural repair. The ventral dural defect could not be repaired because it
was too large. After the herniated rootlets were repositioned, TachoComb was
patched over the defect site. Postoperatively, the patient has no definite
neurologic deficits. CONCLUSIONS: When a surgeon performs OLIF, ventral dural
injury should be avoided during the procedure of endplate preparation and
contralateral annular release.
PMID- 27867126
TI - Coiling Is Not Superior to Clipping in Patients with High-Grade Aneurysmal
Subarachnoid Hemorrhage: Systematic Review and Meta-Analysis.
AB - BACKGROUND: Outcomes of coiling embolization versus clipping for patients with
high-grade aneurysmal subarachnoid hemorrhage (aSAH) have not been previously
compared. We reviewed current evidence regarding the safety and efficacy of
clipping versus coiling for high-grade aSAH. METHODS: We conducted a meta
analysis of studies that compared clipping with coiling in patients with high
grade aSAH published from January 1999 to February 2016 in Medline, Embase, and
Cochrane databases based on PRISMA inclusion and exclusion criteria. Binary
outcome comparisons between clipping and coiling were described using odds ratios
(ORs). RESULTS: Three randomized controlled trials (RCTs) and 16 observational
studies were included. There was no statistical difference in good outcome rates
between the clipping and coiling groups (OR, 1.44; 95% confidence interval [CI],
0.97-2.13). Subgroup analysis showed no significant difference between the 2
treatments in non-RCTs (OR, 1.49; 95% CI, 0.95-2.36) and RCTs (OR, 1.15; 95% CI,
0.59-2.25). Coiling was associated with higher mortality (OR, 0.55; 95% CI, 0.41
0.75). Lower mortality was associated with clipping in non-RCTs (OR, 0.54; 95%
CI, 0.40-0.74), but there was no difference in the RCTs (OR, 0.79; 95% CI, 0.19
3.39). Coiling was not associated with lower rates of complications including
rebleeding (OR, 0.62; 95% CI, 0.30-1.29), ischemic infarct (OR, 0.89; 95% CI,
0.53-1.49), symptomatic vasospasm (OR, 0.76; 95% CI, 0.45-1.29), or shunt
dependent hydrocephalus (OR, 1.33; 95% CI, 0.52-3.40). CONCLUSION: The outcome
with coiling is not superior to clipping in patients with high-grade aSAH;
moreover, coiling has a greater risk of mortality.
PMID- 27867127
TI - Salvage Revision Surgery After Inappropriate Approach for Primary Spine Tumors:
Long Term Follow-Up in 56 Cases.
AB - BACKGROUND: The mainstay treatment of primary malignant bone tumors is wide
surgery in the spine. Unfortunately, most cases undergo the first approach in a
nonspecialized center; this often means adopting an inappropriate approach with
contamination, which consistently decreases the effectiveness of a second
surgery. The aim of the present paper is to evaluate recurrence and survival
rates after en-bloc resection. METHODS: All patients underwent wide resection by
the senior author from January 1997 to December 2013 after the first
inappropriate approach was reviewed. Fifty-six patients were included in the
present evaluation. Epidemiologic and clinical characteristics, surgeries, early
and late complications, and survival rate were reported. RESULTS: The margin
obtained was wide, marginal, and intralesional in 9, 28, and 19 cases,
respectively. The complication rates were 55.4% and 44.6% for early and late
complications, respectively. Most (73.2%) of the patients had complications. The
survival rate is 82.1% at 1 year and then decreases 10% each year until 42.1% at
5 years from surgeries. No statistically significant correlation was found
between margin and local recurrence and survival. CONCLUSION: In our series, the
first inappropriate approach had already compromised patient prognosis, so in
case of suspicious primary spine tumor, the patient had to be referred to a
specialized center. The margin obtained during salvage surgery does not appear to
influence recurrence and survival, probably because it is already compromised by
the first surgery. More prospective studies are necessary to confirm our data and
verify the impact of the margin obtained during salvage surgery on patients'
survival.
PMID- 27867128
TI - Evaluation of Meropenem Penetration into Cerebrospinal Fluid in Patients with
Meningitis After Neurosurgery.
AB - OBJECTIVE: Meropenem is important for management of postneurosurgical meningitis,
but the data about its penetration into cerebrospinal fluid (CSF) are inadequate.
This prospective, open-label study investigated the pharmacokinetic profile of
meropenem in patients with postneurosurgical meningitis, especially its CSF
penetration. METHODS: A total of 82 patients with postneurosurgical meningitis
were included to receive meropenem intravenously according to a regimen of 2 g
every 8 hours, 1 g every 8 hours, or 1 g every 6 hours. After infusion of 4
doses, blood and CSF samples were collected simultaneously at predefined time
points. The high-performance liquid chromatography ultraviolet method was used to
determine the concentration of meropenem. RESULTS: The peak meropenem
concentration in blood and CSF was 43.2 +/- 5.3 and 2.4 +/- 0.3 mg/L in the group
who received 2 g every 8 hours; 28.9 +/- 2.7 and 1.2 +/- 0.2 mg/L in the group
who received 1g every 8 hours; and31.5 +/- 3.4 and 1.6 +/- 0.2 mg/L in the group
who received 1g every 6 hours. The maximal percent penetration into CSF was 17.6%
+/- 7.3%, 14.3% +/- 1.7%, and 30.9% +/- 24.2%, respectively. CONCLUSIONS: Dosing
regimens of meropenem 1 g every 6 hours and 2 g every 8 hours provided higher CSF
penetration than 1 g every 8 hours. A higher dose and shorter dosing interval of
meropenem may be more useful for clearance of pathogens.
PMID- 27867129
TI - Interventions for Lumbar Synovial Facet Joint Cysts: A Comparison of
Percutaneous, Surgical Decompression and Fusion Approaches.
AB - OBJECTIVE: Facet joint cysts (FJCs) of the lumbar spine are an increasingly
reported cause of radiculopathy, lower back pain, and neurologic deficits.
Currently, there is a lack of conclusive evidence outlining when a particular
treatment should be undertaken and what patient indications suit a particular
approach. The present systematic review and meta-analysis aims to evaluate the
efficacy of percutaneous treatment and surgical decompression with or without
fusion. METHODS: A systematic literature search of scientific databases from
their inception to February 2016 was performed by 2 reviewers. Studies pertaining
to percutaneous procedures, decompressive surgeries, or decompressive surgeries
with fusion for the treatment of lumbar facet joint cysts were identified. Data
for resolution of symptoms, repeat procedures, and subsequent fusion were
extracted and analyzed. RESULTS: Fifty studies comprising 870 patients with
lumbar FJCs were identified for inclusion. Decompressive procedures were
performed in 62.8% of patients, whereas 36.1% were treated by percutaneous
aspiration or rupture, and only 1.1% were treated by decompression with fusion.
Pooled analysis showed the rate of cyst resolution to be 90% for decompressive
procedures with or without fusion and 58% for percutaneous procedures. Repeat
procedures were required in 29% of percutaneous procedures, but less than 1% for
all decompression operations. CONCLUSIONS: Evaluation of the literature shows
surgical intervention to be advantageous over percutaneous procedures for the
treatment of lumbar FJCs. There is no evidence suggesting when fusion should be
undertaken because of the limited data available.
PMID- 27867130
TI - Bilateral Ossified Chronic Subdural Hematoma Presenting as Diabetes Insipidus
Case Report and Literature Review.
AB - Calcified chronic subdural hematomas are an occurrence rarely seen in
neurosurgical clinical practice. And when they occur bilaterally, the radiologic
image they present is fascinating, as is the clinical presentation, but their
management may be challenging. They have been reported to present with a
multitude of neurologic deficits but never with diabetes insipidus, which is
described here. Due to the rarity of this pathology, the management protocol is
not well defined, though there have been quite a few papers on this condition.
This review article gathers information published over the years on this rare
entity to suggest a treatment protocol.
PMID- 27867132
TI - Learning curve for real-time ultrasound-guided percutaneous tracheostomy.
AB - OBJECTIVES: The objective of this study was to demonstrate and quantify the
ultrasound-guided percutaneous tracheostomy (UPDT) learning curve in a single
team since the first UPDT. STUDY DESIGN AND PATIENTS: This was a cohort of all
consecutive patients undergoing UPDT in the Amiens teaching hospital surgical
intensive care unit between 2010 and 2014. METHODS: The learning process was
evaluated according to three aspects: duration of the various steps involved in
UPDT, incidence of consecutive complications, and procedure difficulty. RESULTS:
During the study period, 85 consecutive patients underwent UPDT with no deaths.
The mean total procedure time was 22 (10) minutes (range: 7 to 60). Analysis of
mean cumulative UPDT procedure times showed that total UPDT time decreased to a
stable duration of 25minutes after 54 procedures. Complications were observed in
24 (28%) of the 85 patients. The overall complication rate decreased to below a
stable percentage of 30% after 70 procedures. The minor complication rate
decreased below 25% after 64 procedures. The moderate complication rate decreased
to below a stable percentage of 10% after 10 procedures. The major complication
rate decreased to below a stable percentage of 5% after 20 procedures. Most
complications were observed in the first 50 patients (25 [50%] versus 6 [13%],
P<0.05). CONCLUSIONS: Our study demonstrated that UPDT is associated with a
fairly long learning curve. At least 50 procedures are necessary to perform UPDT
with an acceptable complication rate and procedure time.
PMID- 27867131
TI - Neuronavigation Based on Track Density Image Extracted from Deterministic High
Definition Fiber Tractography.
AB - BACKGROUND: Diffusion tensor imaging-based navigation is unable to resolve
crossing fibers or to determine with accuracy the fanning, origin, and
termination of fibers. It is important to improve the accuracy of localizing
white matter fibers for improved surgical approaches. We propose a solution to
this problem using navigation based on track density imaging extracted from high
definition fiber tractography (HDFT). CASE DESCRIPTION: A 28-year-old
asymptomatic female patient with a left-lateral ventricle meningioma was enrolled
in the present study. Language and visual tests, magnetic resonance imaging
findings, both preoperative and postoperative HDFT, and the intraoperative
navigation and surgery process are presented. Track density images were extracted
from tracts derived using full q-space (514 directions) diffusion spectrum
imaging (DSI) and integrated into a neuronavigation system. Navigation accuracy
was verified via intraoperative records and postoperative DSI tractography, as
well as a functional examination. DSI successfully represented the shape and
range of the Meyer loop and arcuate fasciculus. Extracted track density images
from the DSI were successfully integrated into the navigation system. The
relationship between the operation channel and surrounding tracts was consistent
with the postoperative findings, and the patient was functionally intact after
the surgery. CONCLUSIONS: DSI-based TDI navigation allows for the visualization
of anatomic features such as fanning and angling and helps to identify the range
of a given tract. Moreover, our results show that our HDFT navigation method is a
promising technique that preserves neural function.
PMID- 27867133
TI - Fiber optic bronchoscopy and remifentanil target-controlled infusion in
critically ill patients with acute hypoxaemic respiratory failure: A descriptive
study.
AB - INTRODUCTION: Sedation optimizes patient comfort and ease of execution during
fiber optic bronchoscopy (FOB). Our objective was to describe the safety and
efficacy of remifentanil-TCI during FOB in non-intubated, hypoxaemic, thoracic
surgery ICU patients. METHODS: Consecutive spontaneously breathing adults
requiring FOB after thoracic surgery were included if they had hypoxaemia
(PaO2/FiO2<300mmHg or need for non-invasive ventilation [NIV]) and prior FOB
failure under topical anaesthesia. The remifentanil initial target was chosen at
1ng/mL brain effect-site concentration (Cet), then titrated to 0.5ng/mL Cet
increments according to patient comfort and coughing. Outcomes were patient
reported pain and discomfort (Visual Analogue Scale scores), ventilatory support
intensification within 24hours after bronchoscopy, and ease of FOB execution.
RESULTS: Thirty-nine patients were included; all had a successful FOB. Their
median PO2/FiO2 before starting FOB was 187+/-84mmHg and 24 patients received
NIV. Median [interquartile range] pain scores were not different before and after
FOB (1.0 [0.0-3.0] and 0.0 [0.0-2.0], respectively). Discomfort was reported as
absent or minimal by 27 patients (69%; 95% confidence interval [95% CI], 54-81%)
and as bothersome but tolerable by 12 patients (31%; 95% CI, 19-46%). Mean FiO2
returned to baseline within 2hours after FOB in 30 patients; the remaining 9
patients (23%; 95% CI, 13-38%) received ventilatory support intensification. Ease
of execution was good or very good in 34 patients (87%; 95% CI, 73-94%),
acceptable in 4 patients, and poor in 1 patient (persistent cough). CONCLUSION:
Sedation with remifentanil-TCI during FOB with prior failure under topical
anaesthesia alone was effective and acceptably safe in non-intubated hypoxaemic
thoracic surgery patients.
PMID- 27867134
TI - Residual anxiety after high fidelity simulation in anaesthesiology: An
observational, prospective, pilot study.
AB - BACKGROUND: High fidelity simulation (HFS) in anaesthesiology intentionally
provides stress on students, but anxiety may be detrimental if it goes on through
debriefing. The primary goal of this study was to estimate the proportion of
students with significant anxiety remaining after debriefing (residual anxiety
[RA]). Secondary goals were to evaluate the instructors' ability to estimate
students' RA and to identify potential risk factors for high RA. SUBJECTS AND
METHODS: Following IRB approval and informed consent, data from a cohort of
subjects were prospectively collected by an independent expert. State-anxiety
after debriefing (RA) was prospectively measured using the State-Trait Anxiety
Inventory (a score varying from 20 to 80/80). RA was considered significant
when>=36/80. Instructors simultaneously estimated the levels of subjects' RA via
a visual analogue scale. Data about subjects, stress during scenarios (including
continuous heart rate monitoring), and debriefings (including DASH(c) quality
scores) were also collected. RESULTS: Seventy study subjects (30 residents, 26
nurses and 14 anaesthetists) were enrolled during 52 HFS sessions. As concerns
the primary endpoint, RA was>=36/80 in 15 subjects (21%; 95% CI: 13-32). The
median RA was 30/80 [25-35]. For secondary endpoints, the instructors'
estimations poorly correlated with measurements: rho=0.36 (P<0.01); limits of
agreement: -16 and 22. Subjects with RA>=36/80 had significantly higher trait
anxiety (P<0.01). An easy scenario (P=0.04) and low quality debriefing (P=0.04)
were associated with higher RAs. CONCLUSION: Most students experienced low
anxiety after debriefing. Instructors seem to be unable to reliably estimate
students' RA. Students with an anxious personality are more likely to be anxious
after debriefing.
PMID- 27867135
TI - Comparison of capillary and arterial lactate levels in patients with shock.
AB - OBJECTIVE: Several guidelines recommend point-of-care lactate measurements for
therapeutic decision-making in patients with shock. The aim of the study was to
validate capillary lactate measurements with a bedside micromethod in patients
with shock. STUDY DESIGN: Prospective observational study. PATIENTS AND
MEASUREMENTS: Capillary lactate levels measured by a micromethod (CAPI) and
arterial lactate levels measured by a standard laboratory method (ARTs) were
simultaneously and repeatedly assayed in consecutive ICU patients with shock. The
validity and clinical acceptability of the CAPI method was assessed from its
reproducibility, the arterio-capillary lactate difference (ACLD) and conventional
diagnostic indicators. MAIN RESULTS: Lactate measurements were available for 139
time-points in 37 patients. CAPI values correlated well with ARTs values
(intraclass coefficient correlation: r2=0.92, P<0.001). CAPI had a sensitivity of
98%, a specificity of 36%, an accuracy of 88% and a positive predictive value of
89% to detect lactate values>=2mmol/L (P<0.0001). The mean bias between the two
methods (ACLD: 0.56+/-2.21mmol/L) was mainly due to higher lactate concentration
in capillary blood. CONCLUSION: CAPI was correctly correlated to ARTs. The bias
between the two methods is probably acceptable for triage purpose. Patients with
elevated capillary lactate or in shock should be monitored with atrial-based
lactate.
PMID- 27867136
TI - Pediatric orthopedic surgery in humanitarian aid.
AB - Pediatric orthopedic surgery in humanitarian aid is conducted mainly in
cooperation with emerging countries. Each mission is different, and depends on
numerous parameters such as the country, the frequency of such missions, the
pathologies encountered, the local structure and team, and the non-governmental
organization (NGO) involved. Pathologies vary in etiology (tuberculosis,
poliomyelitis) and severity. Each mission requires the presence of an experienced
surgeon. Working conditions are often rudimentary. Surgical indications should be
restricted to procedures that are going to be effective, with minimal
postoperative complications, without any surgical "acrobatics". Teaching should
be in association with the local university, and adapted to local needs. Mission
objectives need to be realistic. Surgical indications should be adapted to local
conditions, and the surgeon needs to be able to say "no" to procedures involving
undue risk. The surgeon on mission should cooperate with local teams and be able
to adapt to unusual situations. Assessment of results is essential to improving
efficacy and evaluating the success of the mission.
PMID- 27867137
TI - Minimally invasive internal fixation of distal femur fractures.
AB - Fractures of the distal femur remain a daunting challenge. Since 1970, operative
treatment has been recommended. Unfortunately, it is fraught with complications,
and techniques have been developed to limit incidence of non-union, infection and
stiffness. A soft-tissue friendly approach is the key point, with minimally
invasive surgery as the ultimate goal: its biological and anatomical advantages
have been demonstrated, but clinical studies have been less convincing, being
based on historical series. At present, retrograde nailing and minimally invasive
percutaneous plate osteosynthesis (ideally by locking plate) are the two main
techniques. Unfortunately, reports tend to compare implants rather than operative
techniques, hindering solid conclusions. Lastly, the delineation of "distal femur
fracture" is quite variable, sometimes situated well above the AO epiphyseal
square. Meta-analyses find almost no difference between the two implants in
minimally invasive procedures. The main advantage of the plate is its
versatility, whereas nailing can be impossible in case of certain hip or knee
prostheses, compound articular fracture or medullary canal obstruction by
fixation material (nail, stem, screw, etc.). The role of arthroscopy is limited.
Only a few case reports describe its use in reduction of epiphyseal fracture. In
the last analysis, the surgeon's experience is more relevant to outcome than any
particular implant.
PMID- 27867138
TI - Are the AMPLATZER Duct Occluder II Additional Sizes devices dedicated only for
smaller children?
AB - AIMS: The aim of this study was to present our experience with the AMPLATZER Duct
Occluder II Additional Sizes (ADOIIAS) for the closure of different types of
patent ductus arteriosus (PDA) in patients of various age groups. METHODS AND
RESULTS: A group of 103 patients, in whom the PDA (diameter below 3.5 mm) was
closed using the ADOIIAS, was analysed. The median age of treated patients was
3.0 years (from 0.1 to 24 years), and 55 patients (53.4%) were older than three
years. Ductal anatomy defined by angiography showed type A in 42 patients
(40.8%), type C in six patients (5.8%), type D in 21 patients (20.5%), and type E
in 34 patients (33.0%). In two cases, embolisation of the device occurred shortly
after implantation. Both occluders were retrieved percutaneously. One death
occurred in a neonate four days after ADOIIAS implantation (not related to the
procedure: multi-organ failure). Total occlusion of PDA was confirmed in all
patients the day after the procedure. No protrusion of the device into the aorta
or pulmonary artery was observed in any patient during follow-up. CONCLUSIONS:
The use of the ADOIIAS is a good therapeutic option for the treatment of selected
PDA. The implant may be successfully substituted for coil implantation in all age
groups.
PMID- 27867141
TI - Sex differences in plaque characteristics by intravascular imaging in patients
with coronary artery disease.
AB - AIMS: We aimed to study sex differences in coronary plaque burden and plaque
composition in patients with coronary artery disease (CAD). METHODS AND RESULTS:
Virtual histology intravascular ultrasound (VH-IVUS) and near-infrared
spectroscopy (NIRS) imaging of a non-culprit coronary artery was performed in 178
(23.5%) women and 581 (76.5%) men who underwent invasive management of stable
angina pectoris (SAP) or acute coronary syndrome (ACS). Women were older and had
a worse cardiovascular risk profile than men, but less extended angiographic
coronary disease. Irrespective of the presenting diagnosis, women had lower VH
IVUS-derived plaque burden than men (38.1% vs. 40.5% in SAP, and 35.9% vs. 38.8%
in ACS). Standardised (mean 211 vs. 263 mm3 in SAP, and 199 vs. 245 mm3 in ACS)
total plaque volume was lower in women. Volumes of fibrous tissue, fibro-fatty
tissue, necrotic core and dense calcium were also lower in women. NIRS-derived
lipid core burden index (LCBI) was lower in women, in particular in SAP (mean
LCBI in the worst 4 mm 220 vs. 240). The observed differences remained
significant after adjustment for clinical characteristics. CONCLUSIONS: Women had
more favourable plaque characteristics than men, despite their worse risk
profile. Long-term follow-up studies are required to evaluate the clinical
consequences.
PMID- 27867140
TI - Impact of calcified bifurcation lesions in patients undergoing percutaneous
coronary intervention using drug-eluting stents: results from the COronary
BIfurcation Stent (COBIS) II registry.
AB - AIMS: Few data regarding clinical outcomes according to severity of calcification
in patients with coronary bifurcation target lesions are available. We therefore
aimed to evaluate the clinical outcomes according to severity of calcification in
patients with coronary bifurcation target lesions after percutaneous coronary
intervention (PCI) with drug-eluting stents (DES) using a large-scale multicentre
Korean registry. METHODS AND RESULTS: This prospective, multicentre,
observational registry enrolled 2,897 patients undergoing PCI with DES for
coronary bifurcation lesions. We compared target lesion failure (TLF), defined as
a composite of cardiac death, non-fatal myocardial infarction (MI), and target
lesion revascularisation (TLR), according to severity of calcification in
coronary bifurcation target lesions, assessed by an angiographic core laboratory
using quantitative coronary angiography. Moderate or severe calcification of
target bifurcation lesions was observed in 608 (20.9%) patients. During a median
follow-up period of 36 months, moderate or severe calcification increased the
adjusted risks of TLF (hazard ratio [HR] 1.31, 95% confidence interval [CI]: 1.03
1.68, p=0.031), TLR (HR 1.36, 95% CI: 1.04-1.79, p=0.027), and revascularisation
(HR 1.39, 95% CI: 1.09-1.78, p=0.009). However, it was not associated with an
increased risk of cardiac death, MI, or stent thrombosis. CONCLUSIONS: Moderate
or severe calcification of coronary bifurcation lesions is not uncommon and is
associated with unfavourable long-term clinical outcomes, driven mainly by an
increased frequency of repeat revascularisation.
PMID- 27867142
TI - Impact of plaque type and side branch geometry on side branch compromise after
provisional stent implantation: a simulation study.
AB - AIMS: Mechanisms of lumen compromise after provisional side branch (SB) stenting
are poorly understood. In this study we aimed to investigate the impact of
bifurcation angle, plaque composition, and procedural strategy on SB compromise.
METHODS AND RESULTS: Computer simulations of stent implantation were performed in
Medina (1,1,1) bifurcation models. Provisional SB stenting was replicated
including post-dilation after main branch stenting. Two bifurcation angles (45
degrees , 70 degrees ) and four plaque types (fully lipid, fully fibrous, lipid
with half and fully calcified ring distal to the carina) were tested. Two post
dilation balloons of different lengths (15 mm and 9 mm) were also investigated.
Provisional stenting caused an ovalisation of the SB ostium (i.e., increase of
ellipticity from 0.27 to 0.58+/-0.21, p<0.05) that might appear as a significant
stenosis on two-dimensional angiography, although SB ostium area was preserved (
3.3+/-10.3%) in the absence of calcifications. However, in the presence of
calcifications, SB lumen volume compromise was evident (-0.89+/-0.15 mm3). Plaque
type had a higher impact than bifurcation angle on SB ostium shape. A shorter
balloon (9 mm) for proximal optimisation reduced SB lumen volume compromise from
1.11 mm3 to -0.72 mm3. CONCLUSIONS: Simulations showed ovalisation of the SB
ostium, generally without significant lumen compromise. Provisional stenting in
the presence of calcifications resulted in a more severe outcome for the SB
ostium.
PMID- 27867143
TI - Survival after percutaneous coronary intervention for chronic total occlusion in
elderly patients.
AB - AIMS: Few data are available on outcomes of percutaneous coronary intervention
(PCI) for coronary chronic total occlusions (CTO) in very elderly patients in the
drug-eluting stent (DES) era. We aimed to investigate long-term survival in a
single-centre cohort of elderly patients following CTO PCI using DES. METHODS AND
RESULTS: A total of 2,002 consecutive patients who underwent PCI of a CTO at our
centre between January 2005 and December 2013 were followed for a median of 2.6
years (interquartile range 1.1-3.1 years). Four hundred and nine (409) patients
were older than 75 years. The absolute reduction in all-cause mortality by
successful CTO PCI was numerically greater in elderly patients as compared to
younger patients (22.1% vs. 7.2% at three years). In multivariate models,
successful CTO PCI was significantly associated with improved survival in both
elderly (adjusted hazard ratio [HR] 0.58, 95% confidence interval [CI]: 0.39 to
0.87; p=0.009) and younger patients (adjusted HR 0.59, 95% CI: 0.40 to 0.86;
p=0.006). CONCLUSIONS: In the DES era, elderly patients (>=75 years) derive a
similar survival benefit from successful CTO PCI to younger patients. These
findings suggest that CTO PCI, when indicated, should not be withheld from the
elderly.
PMID- 27867144
TI - Palliative care in Pakistan.
AB - Pakistan is a developing country of South East Asia, with all the incumbent
difficulties currently being faced by the region. Insufficient public healthcare
facilities, poorly regulated private health sector, low budgetary allocation for
health, improper priority setting while allocating limited resources, have
resulted essentially in an absence of palliative care from the healthcare scene.
Almost 90% of healthcare expenditure is out of the patient's pocket with more
than 45% of population living below the poverty line. All these factors have a
collective potential to translate into an end-of-life care disaster as a large
percentage of population is suffering from chronic debilitating/terminal
diseases. So far, such a disaster has not materialised, the reason being a family
based culture emphasising the care of the sick and old at home, supported by
religious teachings. This culture is not limited to Pakistan but subsists in the
entire sub-continent, where looking after the sick/elderly at home is considered
to be the duty of the younger generation. With effects of globalisation, more and
more older people are living alone and an increasing need for palliative care is
being realised. However, there does not seem to be any plan on the part of the
public or private sectors to initiate palliative care services. This paper seeks
to trace the social and cultural perspectives in Pakistan with regards to
accessing palliative care in the context of healthcare facilities available.
PMID- 27867145
TI - Human papillomavirus vaccines, complex regional pain syndrome, postural
orthostatic tachycardia syndrome, and autonomic dysfunction - a review of the
regulatory evidence from the European Medicines Agency.
AB - Recent concerns about a possible association between exposure of young women to
human papillomavirus (HPV) vaccines and two "dysautonomic syndromes" (a
collection of signs and symptoms thought to be caused by autoimmunity) - complex
regional pain syndrome (CRPS) and postural orthostatic tachycardia syndrome
(POTS) - led the European Medicines Agency (EMA) to review existing evidence. The
review was announced by the EMA on July 13, 2015, and was completed on November
4, 2015.
PMID- 27867146
TI - Genomics: disclose the influence of human specific genetic variation on the
evolution and development of cerebral cortex.
AB - Cerebral cortex, whose complexity of structure and function has derived from
human specific genetic variation, is the most advanced nerve center of human,
controlling the cognitive ability which distinguishes human from any other
creatures. Using genomics technology, molecular mechanisms of cerebral cortex
development and evolution have been disclosed. In this review, we summarize how
genomics technologies are used in exploring the influence of human specific
genetic variation on cerebral cortex development and evolution, including the
genomics methods to study the gene expression differences among the cerebral
cortex of human beings, chimpanzee and other mammals; as well as the role of the
significant non-coding regulatory sequences-human accelerated regions (HARs) in
the process of brain development. We also discuss the future research trends on
the human specific genetic variation in the field of neurobiology.
PMID- 27867147
TI - Evolution of KRAB-containing zinc finger proteins and their roles in species
evolution.
AB - The C2H2 zinc finger protein family, one of the largest families of transcription
factor/transcriptional regulator in mammal, arose from a small ancestral group of
eukaryotic zinc finger transcription factors through many repeated gene
duplications accompanied by functional divergence. As the biggest subfamily of
C2H2 zinc finger protein family, Kruppel-associated box-containing zinc finger
proteins (KRAB-ZFPs) appeared at the period oftetrapod, expand rapidly along with
species evolution, and take about 60% of the total C2H2 zinc finger proteins in
human. During species evolution, the DNA binding ability of KRAB-ZFPs is changed
while the KRAB-ZFPs-mediate transcriptional repression ability maintains stable
under the evolution pressure. Moreover, multiple KRAB-ZFPs function
synergistically with KAP1 on transcriptional silencing of retroelements, and the
coevolution between KRAB-ZFPs and target retrotransposons restrict the jumping
ability of the retroelements. In this review, we summarize the roles of KRAB-ZFPs
duplication, the flexibility of zinc finger structure, transcriptional repression
of KRAB-ZFPs/KAP1 and retroelement "jump" in promoting the divergence in
regulatory network, stable genome change and species evolution, in order to
reveal the characters and functions of KRAB-ZFPs in driving species evolution
stably.
PMID- 27867148
TI - Generation, identification, formation mechanism and application of plant
haploids.
AB - Haploids are individuals with gametophytic chromosome numbers. Homozygous doubled
haploids can be quickly gained by genome doubling. Haploids and doubled haploids
play an important role on crop breeding, mutant screening, gene functional
analysis, cytological studies, construction of genetic population and so on, and
have been a research hotspot of plants in recent years. In this review, we
summarize the production means, identification, the genetic mechanism and the
applications of haploids and doubled haploids. We hope to provide a reference for
study and application of haploids and doubled haploids.
PMID- 27867149
TI - Research progress on identification of QTLs and functional genes involved in salt
tolerance in soybean.
AB - The yield of soybean is substantially reduced when the crop is grown in salinity
affected soil. This review summarizes the progress achieved in defining the
genetic basis of salinity tolerance. Both forward (uncovering the genetic basis
of a phenotype by exploiting natural or induced mutations) and reverse (defining
the phenotype which arises as a result of an altered DNA sequence) genetics
methods have been used to reveal the function of key salinity response genes.
Quantitative trait locus analysis has identified six regions of the genome which
harbor loci influencing salinity tolerance, and positional cloning has succeeded
in isolating one important salt tolerant gene. Meanwhile the application of the
genome-wide association study technique has led to the isolation of a second gene
involved in salinity tolerance. Reverse genetics experiments have highlighted a
number of salinity response genes, mainly including ion transporter genes and
transcription factor genes. These studies lay the foundations for understanding
the mechanistic basis of salinity tolerance in soybean, knowledge of which would
be essential to enable the breeding of highly salinity tolerant soybean cultivars
through the use of marker-assisted selection or transgenesis.
PMID- 27867150
TI - Generation and analysis of the Rett syndrome-associated MeCP2- null rat model.
AB - MeCP2 mutations are associated with the Rett syndrome (RTT). Currently, there is
an urgent need for new animal models for RTT as the existing MeCP2 knockout mouse
models fail to fully mimic the pathogenesis and symptoms of RTT patients. In
order to investigate the role of MeCP2 in brain development and RTT pathogenesis,
we aimed to set up the MeCP2-null rat model using the CRISPR/Cas9 technology.
Firstly we constructed the MeCP2 targeting vector and then microinjected Cas9
mRNA and sgRNA mixtures into fertilized ova of SD rats. The sgRNA was designed to
target the exon 2 of MeCP2. Next, knockout rats were confirmed using DNA
sequencing and Western blotting. Lastly, phenotypes including growth and
behaviors of MeCP2 knockout rats were analyzed. The results indicated that the
MeCP2 knockout rats showed body weight loss, anxiety tendency and cognitive
deficits. The MeCP2-null rat model established in this study recapitulates the
major symptoms of RTT patients and provides an alternative tool for future
studies of MeCP2 functions.
PMID- 27867151
TI - Genetic analysis and gene mapping of the glabrous leaf and hull mutant glr3 in
rice (Oryza sativa L.).
AB - We obtained a glabrous leaf and hull mutant from a population of radiation
mutagenesis of an indica rice cultivar R401. The mutant produced smooth leaves
and hairless glumes under normal growth conditions. An F2 population was
developed from a cross between a japonica cultivar Nipponbare and the glabrous
leaf and hull mutant. By investigating the performance of the F2 population, we
found that the mutant phenotype was controlled by a single recessive gene,
temporarily designated GLR3. Bulked segregant analysis (BSA) based on the F2
mapping population revealed that GLR3 is located on chromosome 6. By analyzing
417 typical glabrous leaf F2 plants using molecular markers, GLR3 was mapped to a
0.2 cM interval between InDel markers ID27101 and ID27199, and the physical
distance between the two markers is 98 kb. Thus we have mapped the gene GLR3, and
our work will provide basis for future mechanistic analysis of GLR3 function.
PMID- 27867152
TI - Transmitting characters of individual E chromosomes of Thinopyrum elongatum in
Triticum turgidum background.
AB - The transmission patterns of Thinopyrum elongatum chromosomes in the background
of Triticum turgidum were investigated through cytogenetic and molecular marker
analysis based on the F2 and F3 plants derived from a cross between Triticum
trititrigia (AABBEE) and T. turgidum L. ssp. durum (AABB). An additional
objective was to develop durum-Th. elongatum E chromosome addition lines. Among
218 F2 plants, individuals with 2n=28 accounted for 41.7%, those with 2n=29
accounted for 18.3%, and the remaining 40.0% had 2n=31-42. Molecular marker
analysis of the F2 monosomic addition plants with 2n=29 showed significant
differences in transmission rate among Th. elongatum chromosomes. Chromosome 1E
had the highest transmission rates, while 3E and 6E had the lowest. Among F2
double monosomic addition plants with 2n=30, joint transmission was frequent
between 1E, 4E, 7E and 5E; 6E was less frequent in joint transmission with other
chromosomes, while 2E and 3E never transmitted along with other chromosomes.
Among F3 plants derived from F2 monosomic addition plants, the transmission rates
of E chromosomes varied widely, from the minimum of 9.1% for 6E to the maximum of
27.5% for 1E, consistent with observations from F2 plants. A full set of durum
Th. elongatum 1E-7E monosomic addition lines and several disomic addition lines
were selected from F3 plants, and all monosomic addition plants were fertile.
These chromosome E addition lines will be useful intermediate materials for
developing substitution lines and translocation lines.
PMID- 27867153
TI - Cultivating the scientific research ability of undergraduate students in teaching
of genetics.
AB - The classroom is the main venue for undergraduate teaching. It is worth pondering
how to cultivate undergraduate's research ability in classroom teaching. Here we
introduce the practices and experiences in teaching reform in genetics for
training the research quality of undergraduate students from six aspects: (1)
constructing the framework for curriculum framework systematicaly, (2) using the
teaching content to reflect research progress, (3) explaining knowledge points
with research activities, (4) explaining the scientific principles and
experiments with PPT animation, (5) improving English reading ability through
bilingual teaching, and (6) testing students' analysing ability through
examination. These reforms stimulate undergraduate students' enthusiasm for
learning, cultivate their ability to find, analyze and solve scientific problems,
and improve their English reading and literature reviewing capacity, which lay a
foundation for them to enter the field of scientific research.
PMID- 27867154
TI - Life Sciences Discovery and Technology Highlights.
PMID- 27867155
TI - Handgrip Strength Is Positively Associated with Mildly Elevated Serum Bilirubin
Levels among Community-Dwelling Adults.
AB - Handgrip strength (HGS) is a useful measure of health-related quality of life and
general muscle strength. Serum total bilirubin (T-B) may present potential
beneficial effects in preventing oxidative changes which are associated with a
risk of metabolic syndrome and the development of cardiovascular disease. Limited
information is available regarding whether HGS is an independent confounding
factor for serum T-B. The study participants were 214 men aged 71 +/- 8 (mean +/-
standard deviation) years and 302 women aged 71 +/- 7 years that were enrolled
consecutively from among paticipants aged >= 50 years through an annual check-up
process. We evaluated the relationship between serum T-B and confounding factors
within each sex. HGS related significantly with serum T-B in both men (r = 0.156,
p = 0.023) and women (r = 0.173, p = 0.003). Multiple linear regression analysis
showed that in men, HGS (beta = 0.173) as well as smoking status (beta = -0.147),
exercise habit (beta = 0.138), low-density lipoprotein cholesterol (beta =
0.146), and hemoglobin A1c (HbA1c) (beta = -0.198) were significantly and
independently associated with serum T-B. In women, HGS (beta = 0.159) as well as
smoking status (beta = -0.116), high-density lipoprotein cholesterol (beta =
0.159), and HbA1c (beta = -0.161) were significantly and independently associated
with serum T-B. Multivariate-adjusted serum T-B levels were significantly lower
in subjects with the lowest HGS level in both sexes. Increased HGS is strongly
associated with increased serum T-B, independent of confounding factors in both
sexes.
PMID- 27867156
TI - Transcriptional Analysis of Intravenous Immunoglobulin Resistance in Kawasaki
Disease Using an Induced Pluripotent Stem Cell Disease Model.
AB - BACKGROUND: Approximately 10-20% of Kawasaki disease (KD) patients are resistant
to intravenous immunoglobulin (IVIG) treatment. Further, these patients are at a
particularly high risk of having coronary artery abnormalities. The mechanisms of
IVIG resistance in KD have been analyzed using patient leukocytes, but not
patient vascular endothelial cells (ECs). The present study clarifies the
mechanisms of IVIG resistance in KD using an induced pluripotent stem cell (iPSC)
disease model.Methods and Results:Dermal fibroblasts or peripheral blood
mononuclear cells from 2 IVIG-resistant and 2 IVIG-responsive KD patients were
reprogrammed by the episomal vector-mediated transduction of 6 reprogramming
factors. KD patient-derived iPSCs were differentiated into ECs (iPSC-ECs). The
gene expression profiles of iPSC-ECs generated from IVIG-resistant and IVIG
responsive KD patients were compared by RNA-sequencing analyses. We found that
the expression ofCXCL12was significantly upregulated in iPSC-ECs from IVIG
resistant KD patients. Additionally, Gene Set Enrichment Analysis (GSEA) revealed
that gene sets involved in interleukin (IL)-6 signaling were also upregulated.
CONCLUSIONS: The first iPSC-based model for KD is reported here. Our mechanistic
analyses suggest thatCXCL12, which plays a role in leukocyte transmigration, is a
key molecule candidate for IVIG resistance and KD severity. They also indicate
that an upregulation of IL-6-related genes may be involved in this pathogenesis.
PMID- 27867157
TI - Effects of Salt Loading on Plasma Osteoprotegerin Levels and Protective Role of
Potassium Supplement in Normotensive Subjects.
AB - BACKGROUND: Excess dietary salt is strongly correlated with cardiovascular
disease, morbidity, and mortality. Conversely, potassium likely elicits favorable
effects on cardiovascular disorders. In epidemiological studies, increased plasma
osteoprotegerin (OPG) concentrations are associated with atherosclerosis and
vascular deaths. Our study was designed to examine the effects of salt intake and
potassium supplementation on plasma OPG levels in normotensive subjects.Methods
and Results:The 18 normotensive subjects were selected from a rural community in
China. They were sequentially maintained on low-salt diet for 7 days (3 g/day,
NaCl), high-salt diet for 7 days (18 g/day), and high-salt diet with potassium
supplementation for 7 days (18 g/day of NaCl+4.5 g/day of KCl). High-salt intake
enhanced plasma OPG levels (252.7+/-13.9 vs. 293.4+/-16.1 pg/mL). This phenomenon
was abolished through potassium supplementation (293.4+/-16.1 vs. 235.1+/-11.3
pg/mL). Further analyses revealed that the OPG concentration positively
correlated with 24-h urinary sodium excretion (r=0.497, P<0.01). By contrast, OPG
concentration negatively correlated with 24-h urinary potassium excretion
(r=0.594, P<0.01). CONCLUSIONS: Salt loading can enhance the production of
circulating OPG. Potassium supplementation can reverse the effects of excessive
OPG. Our study results may improve our understanding of the roles of salt and
potassium in the risk of cardiovascular disorders.
PMID- 27867158
TI - Preprocedural High-Sensitivity C-Reactive Protein Predicts Long-Term Outcome of
Percutaneous Coronary Intervention.
AB - BACKGROUND: High-sensitivity C-reactive protein (hs-CRP) has been used to predict
the risk of adverse cardiac events in patients with coronary artery disease (CAD)
after percutaneous coronary intervention (PCI). Less is known, however, about the
association between hs-CRP and long-term outcome after PCI in the Japanese
population.Methods and Results:We studied 3,039 all-comer patients with CAD who
underwent their first PCI and had data available for preprocedural hs-CRP at
Juntendo University between 2000 and 2011. Patients were assigned to tertiles
based on preprocedural hs-CRP concentration. We evaluated the incidence of major
adverse cardiac events (MACE) including all-cause death, acute coronary syndrome
(ACS), and target vessel revascularization (TVR). Patients with higher hs-CRP had
a higher prevalence of current smoking, chronic kidney disease and ACS, and a
lower prevalence of statin use. During a median follow-up period of 6.5 years,
ongoing divergence in MACE with hs-CRP tertile was noted on Kaplan-Meier curves
(hs-CRP <0.08 mg/L, 26.4%; 0.08-0.25 mg/L, 38.2%; >0.25 mg/L, 45.6%; log-rank
P<0.001). After adjustment for established cardiovascular risk factors, hs-CRP
was associated with higher incidence of MACE (hazard ratio [HR], 1.10; 95% CI:
1.04-1.16, P<0.001) and higher all-cause mortality (HR, 1.14; 95% CI: 1.06-1.22,
P<0.001). CONCLUSIONS: Preprocedural hs-CRP measurement is clinically useful for
long-term risk assessment in Japanese patients with established CAD and
undergoing PCI.
PMID- 27867159
TI - Culture-Dependent and -Independent Identification of Polyphosphate-Accumulating
Dechloromonas spp. Predominating in a Full-Scale Oxidation Ditch Wastewater
Treatment Plant.
AB - The oxidation ditch process is one of the most economical approaches currently
used to simultaneously remove organic carbon, nitrogen, and also phosphorus (P)
from wastewater. However, limited information is available on biological P
removal in this process. In the present study, microorganisms contributing to P
removal in a full-scale oxidation ditch reactor were investigated using culture
dependent and -independent approaches. A microbial community analysis based on
16S rRNA gene sequencing revealed that a phylotype closely related to
Dechloromonas spp. in the family Rhodocyclaceae dominated in the oxidation ditch
reactor. This dominant Dechloromonas sp. was successfully isolated and subjected
to fluorescent staining for polyphosphate, followed by microscopic observations
and a spectrofluorometric analysis, which clearly demonstrated that the
Dechloromonas isolate exhibited a strong ability to accumulate polyphosphate
within its cells. These results indicate the potential key role of Dechloromonas
spp. in efficient P removal in the oxidation ditch wastewater treatment process.
PMID- 27867160
TI - Morphological and Taxonomic Properties of Tokyovirus, the First Marseilleviridae
Member Isolated from Japan.
AB - Members of the Marseilleviridae family are large DNA viruses with icosahedral
particle structures that infect Acanthamoeba cells. The first Marseillevirus to
be discovered was isolated in 2009. Since then, several other members of the
Marseilleviridae family have been reported, including Lausannevirus,
Senegalvirus, Cannes 8 virus, Insectomime virus, Tunisvirus, Melbournevirus, Port
Miou virus, and Brazilian Marseillevirus, which have been isolated from Europe,
Africa, Australia, and South America. The morphological and genomic properties of
a new Marseilleviridae family member, Tokyovirus, discovered in a water/soil
sample from a Japanese river in Tokyo, were described in the present study.
Tokyovirus possesses icosahedral particles of up to 200 nm in diameter, as
revealed by a transmission electron microscopy (TEM) analysis, which form a giant
virion factory in Acanthamoeba cells. A preliminary genome analysis predicted 487
coding sequences. A dot plot analysis and phylogenetic analysis using family B
DNA polymerase, proliferating cell nuclear antigen (PCNA), and DNA-directed RNA
polymerase alpha subunit genes revealed that Tokyovirus shares similarities with
Marseillevirus, Melbournevirus, and Cannes 8 virus (Marseilleviridae subclade A),
but not with Lausannevirus and Port-Miou virus (subclade B), Tunisvirus and
Insectomime virus (subclade C), or Brazilian Marseillevirus (subclade D),
suggesting that Tokyovirus has evolved separately from the previously described
Marseilleviridae members.
PMID- 27867162
TI - A unique mechanism regulating gene expression in 1-cell embryos.
AB - After fertilization, the genome of zygotes is transcriptionally silent. The
timing of the initiation of transcription is species-specific and occurs at the
mid-1-cell stage in mice. Recent analyses using high-throughput sequencing (HTS)
have identified thousands of genes transcribed at the 1-cell stage, and the
pattern of expression among these genes appears to be unique. In this article, we
show the result of an additional analysis using HTS data from a previous study,
and present the hypothesis that an extremely loose chromatin structure causes
promiscuous gene expression in 1-cell embryos.
PMID- 27867161
TI - Departure from optimal O2 level for mouse trophoblast stem cell proliferation and
potency leads to most rapid AMPK activation.
AB - Previous studies showed that cultured mouse trophoblast stem cells (mTSCs) have
the most rapid proliferation, normal maintenance of stemness/potency, the least
spontaneous differentiation, and the lowest level of stress-activated protein
kinase (SAPK) when incubated at 2% O2 rather than at the traditional 20% O2 or
hypoxic (0.5% and 0% O2) conditions. Switching from 2% O2 induced fast SAPK
responses. Here we tested the dose response of AMP-activated protein kinase
(AMPK) in its active form (pAMPK Thr172P) at O2 levels from 20-0%, and also
tested whether pAMPK levels show similar rapid changes when mTSC cultures were
switched from the optimal 2% O2 to other O2 conditions. There was a delayed
increase in pAMPK levels ~6-8 h after switching conditions from 20% to 2%, 0.5%,
or 0% O2. Altering O2 conditions from 2% to either 20%, 0.5%, or 0% led to rapid
increase in pAMPK levels within 1 h, similar to the previously reported SAPK
response in mTSC cells removed from 2% O2. Twelve hours of 0.5% O2 exposure led
to cell program changes in terms of potency loss and suppressed biosynthesis, as
indicated by levels of phosphorylated inactive acetyl CoA carboxylase (pACC).
Phosphorylation of ACC was inhibited by the AMPK inhibitor Compound C. However,
unlike other stressors, AMPK does not mediate hypoxia-induced potency loss in
mTSCs. These results suggest an important aspect of stem cell biology, which
demands rapid stress enzyme activation to cope with sudden changes in external
environment, e.g., from least stressful (2% O2) to more stressful conditions.
PMID- 27867163
TI - Anatomical variations of the arterial supply to the adrenal gland in the rat.
AB - The adrenal gland is an essential endocrine organ for the stress response. The
functions of this organ may be studied by ligation of the adrenal artery or
adrenalectomy. However, in prior studies, descriptions of the anatomical
variations of the adrenal artery were insufficient and inconsistent. Therefore,
anatomical variations of the arterial supply to the adrenal gland were studied in
18 male and 18 female Wistar rats by colored latex injection into the arteries.
The vascularization pattern was categorized into 4 types based on the origin of
each adrenal artery. The cranial and middle adrenal arteries arose from the
caudal phrenic artery in Types 1-3, but the caudal adrenal artery emerged from
the caudal phrenic artery in Type 1, from the renal artery in Type 2 and from the
abdominal aorta in Type 3. In Type 4, the cranial and middle adrenal arteries
stemmed from the cranial phrenic artery, and the caudal adrenal artery arose from
the caudal phrenic artery. The number of adrenal arteries varied from 3 to 11 on
the left side and from 4 to 12 on the right side, and the total varied from 9 to
20 (predominantly 14) in each individual. There was no sex difference in the
vascularization pattern. The results show that more individual variations occur
in the adrenal arteries of rats than was previously reported. Such variations
should always be considered when experimental treatments of the rat adrenal gland
are performed.
PMID- 27867164
TI - Preface for Special Issue on the Field of the Abdomen.
PMID- 27867165
TI - Evaluation of a New Motion-correction Algorithm Using On-rigid Registration in
Respiratory-gated PET/CT Images of Liver Tumors.
AB - OBJECTIVE: The present study aimed to determine the qualitative and quantitative
accuracy of the Q.Freeze algorithm in PET/CT images of liver tumors. METHODS: A
body phantom and hot spheres representing liver tumors contained 5.3 and 21.2
kBq/mL of a solution containing 18F radioactivity, respectively. The phantoms
were moved in the superior-inferior direction at a motion displacement of 20 mm.
Conventional respiratory-gated (RG) and Q.Freeze images were sorted into 6, 10,
and 13 phase-groups. The SUVave was calculated from the background of the body
phantom, and the SUVmax was determined from the hot spheres of the liver tumors.
Three patients with four liver tumors were also clinically assessed by whole-body
and RG PET. The RG and Q.Freeze images derived from the clinical study were also
sorted into 6, 10 and 13 phase-groups. Liver signal-to-noise ratio (SNR) and
SUVmax were determined from the RG and Q.Freeze clinical images. RESULTS: The
SUVave of Q.Freeze images was the same as those derived from the body phantom
using RG. The liver SNR improved with Q.Freeze, and the SUVsmax was not
overestimated when Q.Freeze was applied in both the phantom and clinical studies.
Q.Freeze did not degrade the liver SNR and SUVmax even though the phase number
was larger. CONCLUSIONS: Q.Freeze delivered qualitative and quantitative motion
correction than conventional RG imaging even in 10-phase groups.
PMID- 27867166
TI - The Usefulness of Using Fiducial Marker in Proton Therapy for Locally Advanced
Pancreatic Cancer.
AB - BACKGROUND: Though the dosimetric criteria for the gastrointestinal tract were
met, late gastrointestinal toxicity was seen in several cases. Therefore, we
thought that it was caused by the positional variation of gastrointestine
surrounding pancreatic cancer because of peristalsis. METHOD: They were confirmed
by CT image regularly. And we evaluated that how much the difference of matching
methods for correcting the positional variation influenced dose distribution.
RESULT: The fiducial markers could follow the position of pancreatic cancer and
the duodenum. But it could reproduce the dose distribution to pancreatic cancer
and the duodenum. DISCUSSION: In proton therapy, the reproducible improvement of
the duodenum position did not make the dose of the duodenum same as planning dose
because the matching of fiducial markers made the positional relations between
beam compensator and the duodenum change. CONCLUSION: The fiducial markers are
useful for correcting the position of pancreatic cancer and the duodenum. But in
proton therapy, it could not reproduce the dose distribution to pancreatic cancer
and the duodenum.
PMID- 27867167
TI - Evaluation of Fat Quantification in the Liver Using Dual Energy CT.
AB - BACKGROUND AND PURPOSE: Recently, the number of patients with nonalcoholic fatty
liver disease (NAFLD) has been increasing, and some of them progresses to
cirrhosis and hepatocellular carcinoma. Dual energy CT allows the discrimination
of substance using monochromatic image (MI), and steatosis exhibit specifically
the CT value of each energy level. The purpose is to evaluate the fat
quantification in the liver using spectral HU curve and CT value compare to a
conventional image diagnosis. METHODS: Dual energy CT and liver biopsy were
performed in 54 patients between October 2014 and April 2016. The CT value of 40
keV MI was measured by spectral HU curve setting 3 points ROI on the right and
left liver. The CT value of 40 keV MI was compared with steatosis area and the
NAFLD activity score (NAS). Additionally, steatosis area was compared with the
conventional CT value scan and hepatorenal echo contrast value. RESULTS AND
DISCUSSION: The CT value of 40 keV MI exhibited a negative correlation for the
stenosis area (R2=0.619), and NAS (R2=0.147). Steatosis area exhibited
correlation for the conventional CT value (R2=0.407), and hepatorenal echo
contrast (R2=0.135). This study suggests that the evaluation of the fat
quantification in the liver using the spectral HU curve and CT value improved in
comparison to the conventional image diagnosis.
PMID- 27867168
TI - Clinical Experience of Dual-phase Cone Beam Computed Tomography during Hepatic
Arteriography to Apply 3D-DSA.
AB - We report on the methods and experiences of the dual-phase cone beam computed
tomography during hepatic arteriography (CBCTHA) to apply the 3D-DSA. A total of
32 ml contrast medium (150 mgI/ml) was injected at the rate of 2.0 ml/s for 16 s.
The early phase scan was initiated 10 s after the start of contrast media
injection. The delayed phase scan was started 40 s after that (24 s after the end
of CM injection). When using the dual phase CBCTHA, it was able to obtain the
classical hepatocellular carcinoma (HCC) images same as computed tomography
during hepatic arteriography (CTHA). In the early phase, the tumor can be highly
enhanced against the liver parenchyma. In delayed phase, corona enhancement was
clearly appeared at the liver parenchyma. Of 58 cases of acquisitions, we
experienced six cases with miss breath holding and 14 cases with over the field
of view (FOV) due to hepatomegaly. We evaluated the tumor contrast in 18 cases
because the other 40 cases were not applied to our criteria. The pixel values of
ROIs on the tumor, coronal enhancement, and liver parenchyma were measured,
respectively. Then, we calculated tumor-parenchyma contrast (T-P contrast),
corona-tumor contrast (C-T contrast), and corona-parenchyma contrast (C-P
contrast). The T-P contrast was 358+/-112, the C-T contrast was 132+/-51, and the
C-P contrast was 168+/-66. The contrast was clearly visualized among them. The
dual-phase CBCTHA that applies the 3D-DSA is a simple and useful technique for
hepatocellular carcinoma treatment.
PMID- 27867169
TI - Optimization of the Timing of the Portal Venous Phase in Preoperative 3DCT for
Malignant Liver Tumors.
AB - Preoperative three-dimensional computed tomography (3DCT) of the liver is the
most important examination in performing preoperative simulation. Detailed
visualization of the portal vein using the workstation is critical to enable
accurate liver segmentation. However, the timing of imaging in the portal venous
phase has mostly been reported equivalent to that of the liver screening
examinations commonly performed. The purpose of this study was to examine the
optimal timing of image capture to create the best portal vein visualization in
preoperative 3DCT of the liver. Seventy-nine patients who underwent hepatectomy
for malignant liver tumors were enrolled in this study. All patients were
preoperatively examined using protocol A (imaging method separated into a portal
venous phase and a hepatic venous phase) and then examined 1 week after surgery
using protocol B (normal liver screening protocol). We first established the
regions of interest in the portal vein and the hepatic vein and then compared CT
values for these regions under protocol A and protocol B. The average CT value of
the portal vein in protocol A and B was 239.8+/-28.1 HU and 202.2+/-18.5 HU,
respectively. The average CT value of the portal vein in protocol A was
significantly higher compared with protocol B (p<0.01). By introducing separate
timing for portal venous phase imaging before preoperative 3DCT (protocol A), it
is possible to satisfactorily depict the portal vein.
PMID- 27867170
TI - Evaluation of Appropriate Readout Sequence for Renal MRI Perfusion Using ASTAR
(ASL) Technique.
AB - The aim of this study was to compare true-steady state free precession (True
SSFP) with fast field echo (FFE) as readout imaging sequences for renal arterial
spin labeling (ASL), and to optimize the imaging condition. Renal ASL perfusion
images were acquired using signal targeting with alternated radio frequency using
asymmetric inversion slab (ASTAR) technique with respiratory triggering at 3T MRI
system, using either 3D True-SSFP or FFE as the readout sequence. Inversion time
(TI) varied from 800 to 2400 ms. Appropriate flip angles were estimated for each
sequence by simulating signal intensity (SI). The SI of the renal cortex,
vertebral body, and intestinal tract were measured, and the contrast ratio of the
cortex (CRcortex) or intestine (CRintestine) related to vertebra was calculated.
The image quality of the kidneys, background signal suppression, and
misregistration were evaluated by four-point scales. As a result, in quantitative
evaluation, the average of CRcortex of each TI (800, 1200, 1600, 2000, and 2400
msec) were 0.49, 0.57, 0.63, 0.63, and 0.56 in FFE, and 0.59, 0.71, 0.73, 0.73,
and 0.68 in True-SSFP, respectively. IN qualitative evaluation, ASL images with
True-SSFP readout were significantly better than those with FFE readout. In
conclusion, True-SSFP sequences will be recommended as read out imaging sequence
for obtaining ASL image compared with FFE image.
PMID- 27867171
TI - Optimal Imaging Parameters and the Advantage of Renal Artery Image Using Time
spatial Labeling Inversion Pulse at 3 Tesla Magnetic Resonance Imaging:
Comparison of Image Quality for 1.5 Tesla Magnetic Resonance Imaging.
AB - With the recent spread of three tesla (3 T) magnetic resonance imaging (MRI),
time-spatial labeling inversion pulse (Time-SLIP) technique at high magnetic
field can be used. The purpose of this study was to determine appropriate renal
artery imaging parameters and to compare with the 1.5 T MRI image quality of a
renal artery using the Time-SLIP technique. The imaging sequence was 3D true
steady-state free precession (True SSFP), and using respiratory gated by the
voice instructions of breath interval 2, 4, 6 seconds. We measured the fat
signals when changing the values of short TI inversion recovery (STIR TI), the
renal artery and renal parenchyma signals when changing the values of black blood
time interval (BBTI), and contrast-to-noise ratio (CNR) between renal artery and
background in 11 healthy volunteers. Visual evaluation using a 4-stage score at
renal artery in clinical cases was performed. 3 T MRI is compared with a 1.5 T
MRI, and the null point of STIR TI value is 60 ms extension, null point of BBTI
value in the renal parenchyma was an extension of 250 ms in any of the breath
interval. In flow effect, there is no difference in the 1.5 T MRI and 3 T MRI,
peaked at BBTI value 1500 ms. CNR and visual evaluation were better than 3 T MRI.
3 T MRI showed a better image quality by the background signal suppression effect
of the extension of the T1 value.
PMID- 27867172
TI - Evaluation of Image Quality in Three-dimensional Fat-suppressed T1-weighted
Images with Fast Acquisition Mode for Upper Abdomen.
AB - We compared the uniformity of fat-suppression and image quality using three
dimensional fat-suppressed T1-weighted gradient-echo sequences that are liver
acquisition with volume acceleration (LAVA) and Turbo-LAVA at 3.0T-MRI. The
subjects were seven patients with liver disease (mean age, 66.7+/-8.2 years). The
axial slices of two LAVA sequences were used for the comparison of the uniformity
of fat-suppression and image quality at a region-of-interest (ROI) of the liver
dome, the porta, and the renal hilum. To yield a quantitative measurement of the
uniformity of fat suppression, the percentage standard deviation (%SD) was
calculated by comparing two sequences. For image signal to noise ratio (SNR), the
contrast between the liver and fat (Cliver-fat), and the liver and muscle (Cliver
muscle), the other ROIs were placed in the superficial fat, liver, spleen,
pancreas, and muscle. The %SD in Turbo-LAVA (28.1+/-16.8%) was lower than that in
LAVA (41.5+/-13.4%). The SNRs in Turbo-LAVA (17.8+/-4.1 [liver], 12.5+/-3.0
[pancreas], 14.7+/-1.6 [spleen], 8.2+/-3.5 [fat]) were lower than those in LAVA
(20.9+/-6.1 [liver], 16.8+/-4.1 [pancreas], 17.4+/-2.4 [spleen], 12.0+/-4.5
[fat]). While, the Cliver-fat in the Turbo-LAVA (0.72+/-0.06) was significantly
higher than that in LAVA (0.59+/-0.07). Turbo-LAVA sequence offers superior and
more homogenous fat-suppression in comparison to LAVA sequence.
PMID- 27867174
TI - Operator Dose Measurements and Image Quality Assessment in Computed Tomography
Fluoroscopy Using Bismuth Sheet.
AB - OBJECTIVE: The purpose of this study was to assess the dose reduction and the
image quality using bismuth sheets during the computed tomography fluoroscopy
(CTF). MATERIALS AND METHODS: The bismuth sheets of 1-mm thick were put on the
upper mylar ring to reduce the frontal X-ray. The dose rates of an operator were
measured using a torso phantom in the patient position during the CTF. The torso
phantom was set on the gantry rotation center (center) and the lower position
from the center (off-center). The image quality of the CTF image was assessed
using an original phantom that mimics the normal liver parenchyma and the low
attenuation lesions. The image contrast and contrast-to-noise ratio (CNR) were
compared with and without the bismuth sheets. RESULTS: The bismuth sheets reduced
the dose rate of the operator, regardless of whether the torso phantom was set at
the center or the off-center. The reduction rate of exposure at the center and
the off-center were 42.3% and 34.5%, respectively. There were no significant
differences in the image contrast and the CNR, although the bismuth sheets
increased the CT values of the liver parenchyma and the low attenuation lesions.
CONCLUSION: The bismuth sheets were effective for the reduction of exposure to
the operator without degrading the image quality of CTF images.
PMID- 27867175
TI - Understanding the Scatter Radiation Distribution during C-arm CT Examination: A
Body Phantom Study.
AB - The purpose of this study was to understand the scatter radiation distribution
during C-arm CT examination in the interventional radiography (IVR) room to show
the escaped area and the radiation protective method. The C-arm rotates 200
degrees in 5 s. The tube voltage was 90 kV, and the entrance dose to the
detector was 0.36 MUGy/frame during C-arm CT examination. The scattered doses
were measured each 50 cm from the isocenter like a grid pattern. The heights of
the measurement were 50, 100, and 150 cm from the floor. The maximum scattered
doses were 38.23+/-0.60 MUGy at 50 cm, 43.86+/-0.20 MUGy at 100 cm, and 25.78+/
0.37 MUGy at 150 cm. The scatter radiation distribution at 100 cm was the highest
scattered dose. The operator should protect their reproductive gland, thyroid,
and lens. The scattered dose was low behind the C-arm body and the bed, so they
will be able to become the escaped area for staff.
PMID- 27867173
TI - Evaluation of In Vivo Volumetric Dosimetry for Prostate Cancer Using Electronic
Portal Imaging Device.
AB - PURPOSE: Volumetric modulated arc therapy (VMAT) is capable of acquiring
projection images using electronic portal imaging device (EPID). Commercial EPID
based dosimetry software, dosimetry check (DC), allows in vivo dosimetry using
projection images. The purpose of this study was to evaluate in vivo dosimetry
for prostate cancer using VMAT. METHOD: VMAT plans were generated for eight
patients with prostate cancer using treatment planning system (TPS), and patient
quality assurances (QAs) were carried out with phantom. We analyzed five plans as
phantom study and five plans as patient study. Projection images were acquired
during VMAT delivery. DC converted acquired images into fluence images and used a
pencil beam algorithm to calculate dose distributions delivered on the CT images
of the phantom and the patients. We evaluated isocenter point doses and gamma
analysis in both studies and dose indexes of planning target volume (PTV),
bladder and rectum in patient study. RESULTS AND DISCUSSION: Dose differences at
the isocenter were less than a criterion in both studies. Pass rates of the gamma
analysis were less than a criterion by two plans in the phantom study. Dose
indexes of reconstructed distribution were lower than original plans and standard
deviations of PTV in reconstructed distribution were larger than original plans.
The errors were caused by some issues, such as the commissioning of DC,
variations in patient anatomy, and patient positioning. CONCLUSION: The method
was feasible to non-invasively perform in vivo dose evaluation for prostate
cancer using VMAT.
PMID- 27867176
TI - Impact of Pelvic Rotational Setup Error on Lymph Nodal Dose in Whole Pelvic IMRT
Using Fiducial Markers.
AB - PURPOSE: The aim of this study was to investigate the impact of pelvic rotational
setup error on lymph nodal dose in the whole pelvic intensity-modulated radiation
therapy using the fiducial marker. METHODS: The dose differences of clinical
target volume for pelvic lymph node (CTVLN) due to isocenter (IC) shift and
pelvic rotation were evaluated using the radiation treatment planning system. The
rotated computed tomography (CT) images were created for the simulation of the
pelvic rotation. The original CT images were rotated around the IC of the
original plan in the pitch and roll directions up to+/-3.0 deg. at 1.0 deg.
intervals. As simulated plans, IC positions were shifted in the anterior
posterior and superior-inferior directions up to+/-10 mm at 2 mm intervals in the
original and rotated CT images, and the dose distributions were calculated. The
dose calculation was performed for each CT image while keeping the movement of
multi leaf collimator and the monitor unit of the original plan. The differences
between D98% of CTVLN in the original plan and simulated plans were calculated.
RESULTS: In the posterior direction shifts of 4, 6, 8, and 10 mm, the dose
reduction of 0.7, 2.1, 6.1, and 11.9% from the original plan were found for D98%
of CTVLN, respectively. The dose reductions due to the rotation of pitch
direction were greater than the rotation of roll direction. In the posterior
direction shifts of 4, 6, 8, and 10 mm with 3.0 deg. rotation of pitch direction,
the dose reduction of 2.2, 6.8, 12.8, and 19.0% from the original plan were
found, respectively. CONCLUSION: The dose reduction of CTVLN might be occurred
due to the rotational setup error of pitch direction.
PMID- 27867177
TI - Image Quality Characteristics of the 3D-parallel Imaging Method (CAIPIRINHA) in
Abdominal MRI.
AB - This study investigated the image quality using controlled aliasing in parallel
imaging results in higher acceleration (CAIPIRINHA) to shorten the imaging time
in dynamic abdominal examinations. Comparisons with the conventional generalized
autocalibrating partially parallel acquisitions (GRAPPA) method were made by
changing the sampling shift in CAIPIRINHA using a 3.0 T MRI. The measurements
included the visual evaluation of five stages, the signal-to-noise ratio (SNR),
and contrast-to-noise ratio (CNR) in phantom experiments. The visual evaluation
(five stages) and SNR were determined using a nickel sulfate bottle phantom
attached to the MRI device. Each evaluation was performed on the middle slice of
the 3D image. The SNR was compared with the mean region of interest value
calculated from five locations within the phantom. The CNR was determined using
custommade phantoms that mimic the T1 and T2 values of the liver and spleen. In
the results, at reduction factor (Rf) = 6 and 8, the SNR per unit imaging time
was reduced with GRAPPA, while there was no decrease in SNR and CNR with
CAIPIRINHA. By performing imaging using an appropriate sampling shift, it is
possible to acquire an equivalent GRAPPA in a short period of time using
CAIPIRINHA.
PMID- 27867178
TI - MTF Measurement of MR Blurring in Liver Dynamic MRI with Gd-EOB-DTPA.
AB - During the arterial phase acquisition of Gd-EOB-DTPA examinations, use of a small
volume of the Gd-EOB-DTPA may make it difficult the encoding center of the k
space, and produce blurring. The previous studies revealed the encoding technique
of the k-space was one of the most important reasons. However, there is no report
to discuss the reasons with quantitative evaluations. The purpose of this study
was to quantitatively evaluate the characteristics of the artifacts using
different k-space encoding techniques (centric-view ordering (CVO) and sequential
view ordering (SVO)) for liver dynamic MRI in computer simulation study. This
simulation study consists of the following steps. First of all, the creation of a
time intensity curve, and original simulation images at certain points among the
one phase dynamic scanning. Secondly, creation-simulated MR echo data from the
created original images using FFT, and encoding simulated k-space using the
simulated MR echo data. Finally, a reconstruction of simulated dynamic MR images
from the simulated k-space, and to evaluate each simulated MR images, we measured
modulation transfer functions (MTFs) from the bar patterns of the reconstructed
images. The results of the CVO simulation indicated that the bar patterns were
blurring compared to the images encoded by the SVO. The results of the SVO
simulation indicated that the bar patterns were not enhanced at late scan
timings. In addition, the results of MTFs indicated that there was no edge
enhancement at all scan timings and both encoding techniques. In conclusion, it
is possible to quantitatively evaluate the characteristics of artifacts using
MTF, which was measured by the bar patterns, in liver dynamic MRI.
PMID- 27867179
TI - Required Work for a Radiology Technologist in IR.
PMID- 27867180
TI - Ultrasound Examination of the Abdomen.
PMID- 27867181
TI - IMDRF/DITTA Activity for Harmonization and Convergence of Medical Device
Regulations.
PMID- 27867182
TI - To Support Safety and High Quality Medical Service from the Aspect of the
Radiation Technology.
PMID- 27867183
TI - Serum Calcium and Risk of Nonmedullary Thyroid Cancer in Patients with Primary
Hyperparathyroidism.
AB - BACKGROUND Clinical cases of nonmedullary thyroid carcinoma (NMTC) in combination
with primary hyperparathyroidism (PHPT) have been reported occasionally. However,
the clinical characteristics and risk factors of concomitant NMTC in PHPT
patients remain unclear. This study aimed to assess the association between PHPT
and NMTC, and evaluate the clinical characteristics and risk factors of NMTC in
Chinese patients with PHPT. MATERIAL AND METHODS This was a retrospective cohort
analysis. We reviewed the medical records of 155 patients who underwent surgery
for PHPT in two large medical centers in China between 2009 and 2014. The
clinical manifestations, biochemical abnormalities, and histological
characteristics of PHPT patients were analyzed. RESULTS Of the 155 patients with
PHPT, 58 patients (37.4%) had thyroid nodules and 12 patients (7.7%) were ill
with concomitant NMTC. PHPT patients with NMTC demonstrated significantly lower
preoperative serum calcium levels compared to PHPT patients with benign thyroid
nodules (p<0.05). A significantly negative association between preoperative serum
calcium levels and the presence of NMTC was found in PHPT patients (p<0.05).
Furthermore, ROC analysis revealed that albumin-corrected serum calcium levels
<2.67 mmol/L had good capacity to differentiate the PHPT patients with NMTC from
those with benign thyroid nodules. CONCLUSIONS Compared with the reported much
lower prevalence of thyroid carcinoma in the general population, our results
suggest that PHPT might be a risk factor for the malignancy of thyroid nodules; a
lower level of serum calcium may predict the existence of NMTC in PHPT patients
with thyroid nodules.
PMID- 27867184
TI - A Rare Case of Tubulointerstitial Nephritis and Uveitis Syndrome Treated with a
Multi-Specialty Approach.
AB - BACKGROUND It is important for an ophthalmologist and nephrologist to look for
hidden causes of uveitis and nephritis, respectively. Delay in diagnosis leads to
increased morbidity and failure to systemically manage the patient results in
future recurrence of disease. It is likely that TINU remains underdiagnosed and
could potentially account for some of the cases of idiopathic uveitis, especially
when greater than 50% of uveitis cases have no identifiable cause. Fewer than 300
cases of tubulointerstitial nephritis and uveitis (TINU) syndrome have been
reported. In TINU syndrome, inflammation affects the renal tubules, interstitial
tissue, and uveal tract. Its pathogenesis remains poorly understood. CASE REPORT
We report a rare case of TINU syndrome in a 23-year-old female who was treated
using a multispecialty approach. Her primary care physician diagnosed her with
proteinuria and acute kidney injury and referred her to the nephrologist, who
later referred her to the ophthalmologist. A left kidney biopsy confirmed acute
interstitial nephritis. Following the discovery of a "pink eye", the patient was
referred to ophthalmology and diagnosed with anterior uveitis, confirming TINU
syndrome. Without the additional findings of uveitis, the diagnosis would have
been missed. Resolution was obtained through steroid therapy. CONCLUSIONS
Correctly diagnosing TINU syndrome requires a multispecialty approach and may not
be obvious upon initial presentation. Therefore, the ophthalmologist needs to
consider TINU in the differential diagnosis for a patient with bilateral uveitis
and evaluate a urinalysis for proteinuria as part of the work up.
PMID- 27867185
TI - Absorption mechanism of DHP107, an oral paclitaxel formulation that forms a
hydrated lipidic sponge phase.
AB - Paclitaxel is a most widely used anticancer drug with low oral bioavailability,
thus it is currently administered via intravenous infusion. DHP107 is a lipid
based paclitaxel formulation that can be administered as an oral solution. In
this study, we investigated the mechanism of paclitaxel absorption after oral
administration of DHP107 in mice and rats by changing the dosing interval, and
evaluated the influence of bile excretion. DHP107 was orally administered to mice
at various dosing intervals (2, 4, 8, 12, 24 h) to examine how residual DHP107
affected paclitaxel absorption during subsequent administration. Studies with
small-angle X-ray diffraction (SAXS) and cryo-transmission electron microscopy
(cryo-TEM) showed that DHP107 formed a lipidic sponge phase after hydration. The
AUC values after the second dose were smaller than those after the first dose,
which was correlated to the induction of expression of P-gp and CYP in the livers
and small intestines from 2 h to 7 d after the first dose. The smaller AUC value
observed after the second dose was also attributed to the intestinal adhesion of
residual formulation. The adhered DHP107 may have been removed by ingested food,
thus resulting in a higher AUC. In ex vivo and in vivo mucoadhesion studies, the
formulation adhered to the villi for up to 24 h, and the amount of DHP107 that
adhered was approximately half that of monoolein. The paclitaxel absorption after
administration of DHP107 was not affected by bile in the cholecystectomy mice.
The dosing interval and food intake affect the oral absorption of paclitaxel from
DHP107, which forms a mucoadhesive sponge phase after hydration. Bile excretion
does not affect the absorption of paclitaxel from DHP107 in vivo.
PMID- 27867186
TI - Shenmai injection enhances the cytotoxicity of chemotherapeutic drugs against
colorectal cancers via improving their subcellular distribution.
AB - Shenmai injection (SMI) is a Chinese patent-protected injection, which was mainly
made of Red Ginseng and Radix Ophiopogonis and widely used for treating coronary
heart disease and tumors by boosting Qi and nourishing Yin. In this study we
examined whether SMI could produce direct synergetic effects on the cytoxicity of
adriamycin (ADR) and paclitaxel (PTX) in colorectal cancers in vivo and in vitro,
and explored the underlying pharmacokinetic mechanisms. BALB/c nude mice with
LoVo colon cancer xenografts were intraperitoneally injected with ADR (2 mg.kg
1.3d-1) or PTX (7.5 mg.kg-1.3d-1) with or without SMI (0.01 mL.g-1.d-1) for 13 d.
Co-administration of SMI significantly enhanced the chemotherapeutic efficacy of
ADR and PTX, whereas administration of SMI alone at the given dosage did not
produce visible anti-cancer effects, The chemosensitizing action of SMI was
associated with increased concentrations of ADR and PTX in the plasma and tumors.
In Caco-2 and LoVo cells in vitro, co-treatment with SMI (2 MUL/mL) significantly
enhanced the cytotoxicity of ADR and PTX, and resulted in some favorable
pharmacokinetic changes in the subcellular distribution of ADR and PTX. In
addition, SMI-induced intracellular accumulation of ADR was closely correlated
with the increased expression levels of P-glycoprotein in 4 colon cancer cell
lines (r2=+0.8558). SMI enhances the anti-cancer effects of ADR and PTX in colon
cancers in vivo and in vitro by improving the subcellular distributions of ADR
and PTX.
PMID- 27867187
TI - Crosstalk of ROS/RNS and autophagy in silibinin-induced apoptosis of MCF-7 human
breast cancer cells in vitro.
AB - Reactive oxygen species (ROS) and reactive nitrogen species (RNS) play important
roles in regulating cell survival and death. Silibinin is a natural polyphenolic
flavonoid isolated from milk thistle with anti-tumor activities, but it was found
to induce cytoprotective ROS/RNS in human breast cancer MCF-7 cells. Furthermore,
treatment with silibinin down-regulates ERalpha expression in MCF-7 cells, and
inducing both autophagy and apoptosis. In this study we explored the relationship
between ER-associated pathways and RNS/ROS in MCF-7 cells. We also investigated
the molecular mechanisms underlying the reciprocal regulation between ROS/RNS
levels and autophagy in the death signaling pathways in silibinin-treated MCF-7
cells. Silibinin (100-300 MUmol/L) dose-dependently increased ROS/RNS generation
in MCF-7 cells (with high expression of ERalpha and low expression of ERbeta) and
MDA-MB-231 cells (with low expression of ERalpha and high expression of ERbeta).
Scavenging ROS/RNS significantly enhanced silibinin-induced death of MCF-7 cells,
but not MDA-MB231 cells. Pharmacological activation or blockade of ERalpha in MCF
7 cells significantly enhanced or decreased, respectively, silibinin-induced
ROS/RNS generation, whereas activation or block of ERbeta had no effect. In
silibinin-treated MCF-7 cells, exposure to the ROS/RNS donators decreased the
autophagic levels, whereas inhibition of autophagy with 3-MA significantly
increased ROS/RNS levels. We further showed that increases in ROS/RNS generation,
ERalpha activation or autophagy down-regulation had protective roles in silibinin
treated MCF-7 cells. Under a condition of ERalpha activation, scavenging ROS/RNS
or stimulating autophagy enhanced the cytotoxicity of silibinin. These results
demonstrate the existence of two conflicting pathways in silibinin-induced death
of MCF-7 cells: one involves the down-regulation of ERalpha and thereby
augmenting the pro-apoptotic autophagy downstream, leading to cell death; the
other involves the up-regulation of pro-survival ROS/RNS; and that the generation
of ROS/RNS and autophagy form a negative feedback loop whose balance is regulated
by ERalpha.
PMID- 27867188
TI - A historic study that opened a new chapter in nutritional science.
PMID- 27867190
TI - Hypertension: Microbiota under pressure.
PMID- 27867191
TI - Sepsis: Preventing organ failure in sepsis - the search continues.
PMID- 27867192
TI - DNA repair: RNA-DNA hybrids: double-edged swords.
PMID- 27867189
TI - The role of phosphate in kidney disease.
AB - The importance of phosphate homeostasis in chronic kidney disease (CKD) has been
recognized for decades, but novel insights - which are frequently relevant to
everyday clinical practice - continue to emerge. Epidemiological data
consistently indicate an association between hyperphosphataemia and poor clinical
outcomes. Moreover, compelling evidence suggests direct toxicity of increased
phosphate concentrations. Importantly, serum phosphate concentration has a
circadian rhythm that must be considered when interpreting patient phosphate
levels. Detailed understanding of dietary sources of phosphate, including food
additives, can enable phosphate restriction without risking protein malnutrition.
Dietary counselling provides an often underestimated opportunity to target the
increasing exposure to dietary phosphate of both the general population and
patients with CKD. In patients with secondary hyperparathyroidism, bone can be an
important source of serum phosphate, and adequate appreciation of this fact
should impact treatment. Dietary and pharmotherapeutic interventions are
efficacious strategies to lower phosphate intake and serum concentration.
However, strong evidence that targeting serum phosphate improves patient outcomes
is currently lacking. Future studies are, therefore, required to investigate the
effects of modern dietary and pharmacological interventions on clinically
meaningful end points.
PMID- 27867193
TI - From profiles to function in epigenomics.
AB - Myriads of epigenomic features have been comprehensively profiled in health and
disease across cell types, tissues and individuals. Although current epigenomic
approaches can infer function for chromatin marks through correlation, it remains
challenging to establish which marks actually have causative roles in gene
regulation and other processes. After revisiting how classical approaches have
addressed this question in the past, we discuss the current state of epigenomic
profiling and how functional information can be indirectly inferred. We also
present new approaches that promise definitive functional answers, which are
collectively referred to as 'epigenome editing'. In particular, we explore CRISPR
based technologies for single-locus and multi-locus manipulation. Finally, we
discuss which level of function can be achieved with each approach and introduce
emerging strategies for high-throughput progression from profiles to function.
PMID- 27867196
TI - Extracellular ADP facilitates monocyte recruitment in bacterial infection via ERK
signaling.
AB - As the most prominent clinical drug targets for the inhibition of platelet
aggregation, P2Y12 and P2Y13 have been found to be highly expressed in both
platelets and macrophages. However, the roles and function of P2Y12/13 in the
regulation of macrophage-mediated innate immune responses remain unclear. Here,
we demonstrate that adenosine 5'-diphosphate (ADP), the endogenous ligand of
P2Y1, P2Y12 and P2Y13, was released both in E. coli-infected mice and from
macrophages treated with either lipopolysaccharide (LPS) or Pam3CSK4.
Furthermore, the expression of P2Y13 was clearly increased in both LPS-treated
macrophages and tuberculosis patients. ADP protected mice from E. coli 0111
induced peritonitis by recruiting more macrophages to the infected sites.
Consistent with this, ADP and ADP-treated cell culture medium attracted more
macrophages in the transwell assay by enhancing the expression of MCP-1.
Nevertheless, P2Y1 is dispensable for ADP-mediated protection against bacterial
infection. However, either P2Y12/P2Y13 deficiency or blocking the downstream
signaling of P2Y12/P2Y13 blocked the ADP-mediated immune response and allowed
more bacteria to persist in the infected mice. Furthermore, extracellular signal
regulated kinase (ERK) phosphorylation was clearly increased by ADP, and this
type of activation could be blocked by either forskolin or analogs of cyclic AMP
(cAMP) (for example, 8-bromo-cAMP). Accordingly, ADP-induced MCP-1 production and
protection against bacterial infection could also be reduced by U0126, forskolin
and 8-bromo-cAMP. Overall, our study reveals a relationship between danger
signals and innate immune responses, which suggests the potential therapeutic
significance of ADP-mediated purinergic signaling in infectious diseases.
PMID- 27867194
TI - Regulatory activities of transposable elements: from conflicts to benefits.
AB - Transposable elements (TEs) are a prolific source of tightly regulated,
biochemically active non-coding elements, such as transcription factor-binding
sites and non-coding RNAs. Many recent studies reinvigorate the idea that these
elements are pervasively co-opted for the regulation of host genes. We argue that
the inherent genetic properties of TEs and the conflicting relationships with
their hosts facilitate their recruitment for regulatory functions in diverse
genomes. We review recent findings supporting the long-standing hypothesis that
the waves of TE invasions endured by organisms for eons have catalysed the
evolution of gene-regulatory networks. We also discuss the challenges of
dissecting and interpreting the phenotypic effect of regulatory activities
encoded by TEs in health and disease.
PMID- 27867197
TI - p38alpha has an important role in antigen cross-presentation by dendritic cells.
AB - The role of the p38 signaling pathway in the innate and adaptive immune responses
has been well documented, especially in inflammatory cytokine production by
dendritic cells (DCs). However, whether the p38 signaling pathway affects the
important antigen (Ag) presentation function of DCs remains largely unknown. In
this study, we reported that the deletion of p38alpha resulted in an impaired
cross-presentation ability of CD8+ conventional DCs (cDCs) and a reduction in the
direct presentation ability of CD8- cDCs ex vivo. Further study revealed that
p38alpha had a crucial role in Ag processing by CD8+ cDCs but did not affect the
Ag uptake or co-stimulation of T cells. Moreover, p38alpha deficiency led to
reduced cross-priming of T cells in vivo. The production of the IL-12p40 and IL
12p70 cytokines by p38alpha-deficient cDCs was also significantly reduced. Our
study identified a new role for p38alpha in modulating the important antigen
cross-presentation function of DCs.
PMID- 27867195
TI - Order from clutter: selective interactions at mammalian replication origins.
AB - Mammalian chromosome duplication progresses in a precise order and is subject to
constraints that are often relaxed in developmental disorders and malignancies.
Molecular information about the regulation of DNA replication at the chromatin
level is lacking because protein complexes that initiate replication seem to bind
chromatin indiscriminately. High-throughput sequencing and mathematical modelling
have yielded detailed genome-wide replication initiation maps. Combining these
maps and models with functional genetic analyses suggests that distinct DNA
protein interactions at subgroups of replication initiation sites (replication
origins) modulate the ubiquitous replication machinery and supports an emerging
model that delineates how indiscriminate DNA-binding patterns translate into a
consistent, organized replication programme.
PMID- 27867200
TI - Antifungals: Uncovering new drugs and targets.
PMID- 27867198
TI - Probing the evolution, ecology and physiology of marine protists using
transcriptomics.
AB - Protists, which are single-celled eukaryotes, critically influence the ecology
and chemistry of marine ecosystems, but genome-based studies of these organisms
have lagged behind those of other microorganisms. However, recent transcriptomic
studies of cultured species, complemented by meta-omics analyses of natural
communities, have increased the amount of genetic information available for
poorly represented branches on the tree of eukaryotic life. This information is
providing insights into the adaptations and interactions between protists and
other microorganisms and macroorganisms, but many of the genes sequenced show no
similarity to sequences currently available in public databases. A better
understanding of these newly discovered genes will lead to a deeper appreciation
of the functional diversity and metabolic processes in the ocean. In this Review,
we summarize recent developments in our understanding of the ecology, physiology
and evolution of protists, derived from transcriptomic studies of cultured
strains and natural communities, and discuss how these novel large-scale genetic
datasets will be used in the future.
PMID- 27867201
TI - Does body mass index modify the association between physical activity and screen
time with cardiometabolic risk factors in adolescents? Findings from a country
wide survey.
AB - BACKGROUND: Moderate and vigorous physical activity (MVPA) and screen time (ST)
have been associated with cardiometabolic health in youth. However, previous
studies are conflicting whether these associations are independent of each other
and it is unknown if they are modified by adiposity. We aimed to examine the
independent and joint associations between MVPA and ST with cardiometabolic risk
across body mass index (BMI) categories. METHODS: A total of 36 956 Brazilian
adolescents (12-17 years) from the Study of Cardiovascular Risks in Adolescents
were included. Information on time spent in MVPA and ST were assessed by self
reports. Blood pressure, Homeostasis Model Assessment of Insulin Resistance,
triglycerides, high-density lipoprotein-cholesterol and waist circumference were
used to calculate a cardiometabolic risk score (sex-age-specific top-risk
quintile for each biomarker). Ordered logistic regression was used to examine the
associations. RESULTS: In final adjusted models, both higher MVPA (proportional
odds ratio (POR)=0.80; 95% confidence interval (CI): 0.67-0.95) and ST (POR=1.23;
95% CI: 1.10-1.37) were independently associated with cardiometabolic risk. After
stratification by normal weight vs overweight/obese, the inverse independent
association for MVPA remained unchanged, whereas ST was positively associated
with cardiometabolic risk only in overweight/obese adolescents (POR=1.62; 95% CI:
1.18-2.22). Participants who met the recommendations for both MVPA and ST had
lower odds for cardiometabolic risk, especially if they were overweight/obese
(POR=0.46; 95% CI: 0.31-0.68). CONCLUSIONS: MVPA and ST are independently
associated with cardiometabolic risk; the association with ST, however, appears
modified by BMI. Normal-weight adolescents should be encouraged to increase MVPA,
whereas a combination of increasing MVPA and decreasing ST is recommended in
those who are overweight or obese.
PMID- 27867199
TI - Candida albicans cell-type switching and functional plasticity in the mammalian
host.
AB - Candida albicans is a ubiquitous commensal of the mammalian microbiome and the
most prevalent fungal pathogen of humans. A cell-type transition between yeast
and hyphal morphologies in C. albicans was thought to underlie much of the
variation in virulence observed in different host tissues. However, novel yeast
like cell morphotypes, including opaque(a/alpha), grey and gastrointestinally
induced transition (GUT) cell types, were recently reported that exhibit marked
differences in vitro and in animal models of commensalism and disease. In this
Review, we explore the characteristics of the classic cell types - yeast, hyphae,
pseudohyphae and chlamydospores - as well as the newly identified yeast-like
morphotypes. We highlight emerging knowledge about the associations of these
different morphotypes with different host niches and virulence potential, as well
as the environmental cues and signalling pathways that are involved in the
morphological transitions.
PMID- 27867203
TI - Epac2a-null mice exhibit obesity-prone nature more susceptible to leptin
resistance.
AB - BACKGROUND: The exchange protein directly activated by cAMP (Epac), which is
primarily involved in cAMP signaling, has been known to be essential for
controlling body energy metabolism. Epac has two isoforms: Epac1 and Epac2. The
function of Epac1 on obesity was unveiled using Epac1 knockout (KO) mice.
However, the role of Epac2 in obesity remains unclear. METHODS: To evaluate the
role of Epac2 in obesity, we used Epac2a KO mice, which is dominantly expressed
in neurons and endocrine tissues. Physiological factors related to obesity were
analyzed: body weight, fat mass, food intake, plasma leptin and adiponectin
levels, energy expenditure, glucose tolerance, and insulin and leptin resistance.
To determine the mechanism of Epac2a, mice received exogenous leptin and then
hypothalamic leptin signaling was analyzed. RESULTS: Epac2a KO mice appeared to
have normal glucose tolerance and insulin sensitivity until 12 weeks of age, but
an early onset increase of plasma leptin levels and decrease of plasma
adiponectin levels compared with wild-type mice. Acute leptin injection revealed
impaired hypothalamic leptin signaling in KO mice. Consistently, KO mice fed a
high-fat diet (HFD) were significantly obese, presenting greater food intake and
lower energy expenditure. HFD-fed KO mice were also characterized by greater
impairment of hypothalamic leptin signaling and by weaker leptin-induced decrease
in food consumption compared with HFD-fed wild-type mice. In wild-type mice,
acute exogenous leptin injection or chronic HFD feeding tended to induce
hypothalamic Epac2a expression. CONCLUSIONS: Considering that HFD is an inducer
of hypothalamic leptin resistance and that Epac2a functions in pancreatic beta
cells during demands of greater work load, hypothalamic Epac2a may have a role in
facilitating leptin signaling, at least in response to higher metabolic demands.
Thus, our data indicate that Epac2a is critical for preventing obesity and thus
Epac2a activators may be used to manage obesity and obesity-mediated metabolic
disorders.
PMID- 27867202
TI - Generalization and fine mapping of European ancestry-based central adiposity
variants in African ancestry populations.
AB - BACKGROUND/OBJECTIVES: Central adiposity measures such as waist circumference
(WC) and waist-to-hip ratio (WHR) are associated with cardiometabolic disorders
independently of body mass index (BMI) and are gaining clinically utility.
Several studies report genetic variants associated with central adiposity, but
most utilize only European ancestry populations. Understanding whether the
genetic associations discovered among mainly European descendants are shared with
African ancestry populations will help elucidate the biological underpinnings of
abdominal fat deposition. SUBJECTS/METHODS: To identify the underlying functional
genetic determinants of body fat distribution, we conducted an array-wide
association meta-analysis among persons of African ancestry across seven
studies/consortia participating in the Population Architecture using Genomics and
Epidemiology (PAGE) consortium. We used the Metabochip array, designed for fine
mapping cardiovascular-associated loci, to explore novel array-wide associations
with WC and WHR among 15 945 African descendants using all and sex-stratified
groups. We further interrogated 17 known WHR regions for African ancestry
specific variants. RESULTS: Of the 17 WHR loci, eight single-nucleotide
polymorphisms (SNPs) located in four loci were replicated in the sex-combined or
sex-stratified meta-analyses. Two of these eight independently associated with
WHR after conditioning on the known variant in European descendants (rs12096179
in TBX15-WARS2 and rs2059092 in ADAMTS9). In the fine-mapping assessment, the
putative functional region was reduced across all four loci but to varying
degrees (average 40% drop in number of putative SNPs and 20% drop in genomic
region). Similar to previous studies, the significant SNPs in the female
stratified analysis were stronger than the significant SNPs from the sex-combined
analysis. No novel associations were detected in the array-wide analyses.
CONCLUSIONS: Of 17 previously identified loci, four loci replicated in the
African ancestry populations of this study. Utilizing different linkage
disequilibrium patterns observed between European and African ancestries, we
narrowed the suggestive region containing causative variants for all four loci.
PMID- 27867206
TI - Structural Effects in the Recognition of DNA by Circular Oligonucleotides.
AB - It was recently reported that certain pyrimidine-rich circular DNA oligomers can
bind strongly and specifically to purine-rich DNA or RNA strands by forming
bimolecular triple helical complexes.1-3 In this study are investigated the
effects of structural variations on the strength of binding for this new class of
nucleotide-binding ligand. The number of loop nucleotides (nt) which is optimum
for bridging the two binding domains of a circle is examined. Comparing loop
sizes of 3, 4, 5, 6, and 10 nt, the optimum number of nucleotides in a loop is
found to be five for the sequences studied. In order to test the method of
construction and the ability of these compounds to bind sites of varied length,
we attempted to synthesize circles of varied size. Circles over the size range 24
46 nt were successfully constructed. Varying the target site length shows that
oligomers of four, eight, twelve, and eighteen nucleotides can be complexed
strongly by circles, with melting temperatures (Tm) 17 degrees to >33 degrees C
higher at pH 7.0 than the corresponding Watson-Crick duplexes of the same length.
Also studied is the effect of the covalently closed circular structure in
comparison to linear oligomers having the same sequence; it is shown that a
covalently closed circle has considerably higher binding affinity than do three
different "nicked" circles (linear oligomers) which contain the same bases. The
high binding affinities of these circles are thus attributed to the entropic
benefit of preorganization. Finally, the ability of such circles to bind to
complementary sites within longer oligomers, the ends of which must pass beyond
the loops of a circle, is confirmed by melting studies with synthetic target
strands 36 bases in length.
PMID- 27867204
TI - A brief intervention for weight control based on habit-formation theory delivered
through primary care: results from a randomised controlled trial.
AB - BACKGROUND: Primary care is the 'first port of call' for weight control advice,
creating a need for simple, effective interventions that can be delivered without
specialist skills. Ten Top Tips (10TT) is a leaflet based on habit-formation
theory that could fill this gap. The aim of the current study was to test the
hypothesis that 10TT can achieve significantly greater weight loss over 3 months
than 'usual care'. METHODS: A two-arm, individually randomised, controlled trial
in primary care. Adults with obesity were identified from 14 primary care
providers across England. Patients were randomised to either 10TT or 'usual care'
and followed up at 3, 6, 12, 18 and 24 months. The primary outcome was weight
loss at 3 months, assessed by a health professional blinded to group allocation.
Difference between arms was assessed using a mixed-effect linear model taking
into account the health professionals delivering 10TT, and adjusted for baseline
weight. Secondary outcomes included body mass index, waist circumference, the
number achieving a 5% weight reduction, clinical markers for potential
comorbidities, weight loss over 24 months and basic costs. RESULTS: Five-hundred
and thirty-seven participants were randomised to 10TT (n=267) or to 'usual care'
(n=270). Data were available for 389 (72%) participants at 3 months and for 312
(58%) at 24 months. Participants receiving 10TT lost significantly more weight
over 3 months than those receiving usual care (mean difference =-0.87kg; 95%
confidence interval: -1.47 to -0.27; P=0.004). At 24 months, the 10TT group had
maintained their weight loss, but the 'usual care' group had lost a similar
amount. The basic cost of 10TT was low, that is, around L23 ($32) per
participant. CONCLUSIONS: The 10TT leaflet delivered through primary care is
effective in the short-term and a low-cost option over the longer term. It is the
first habit-based intervention to be used in a health service setting and offers
a low-intensity alternative to 'usual care'.
PMID- 27867205
TI - Interactive effects of obesity and physical fitness on risk of ischemic heart
disease.
AB - BACKGROUND/OBJECTIVES: Obesity and low physical fitness are known risk factors
for ischemic heart disease (IHD), but their interactive effects are unclear.
Elucidation of interactions between these common, modifiable risk factors may
help inform more effective preventive strategies. We examined interactive effects
of obesity, aerobic fitness and muscular strength in late adolescence on risk of
IHD in adulthood in a large national cohort. SUBJECTS/METHODS: We conducted a
national cohort study of all 1 547 407 military conscripts in Sweden during 1969
1997 (97-98% of all 18-year-old males each year). Aerobic fitness, muscular
strength and body mass index (BMI) measurements were examined in relation to IHD
identified from outpatient and inpatient diagnoses through 2012 (maximum age 62
years). RESULTS: There were 38 142 men diagnosed with IHD in 39.7 million person
years of follow-up. High BMI or low aerobic fitness (but not muscular strength)
was associated with higher risk of IHD, adjusting for family history and
socioeconomic factors. The combination of high BMI (overweight/obese vs normal)
and low aerobic fitness (lowest vs highest tertile) was associated with highest
IHD risk (incidence rate ratio, 3.11; 95% confidence interval (CI), 2.91-3.31;
P<0.001). These exposures had no additive and a negative multiplicative
interaction (that is, their combined effect was less than the product of their
separate effects). Low aerobic fitness was a strong risk factor even among those
with normal BMI. CONCLUSIONS: In this large cohort study, low aerobic fitness or
high BMI at age 18 was associated with higher risk of IHD in adulthood, with a
negative multiplicative interaction. Low aerobic fitness appeared to account for
a similar number of IHD cases among those with normal vs high BMI (that is, no
additive interaction). These findings suggest that interventions to prevent IHD
should begin early in life and include not only weight control but aerobic
fitness, even among persons of normal weight.
PMID- 27867207
TI - Purification of Bioactive Lipopeptides Produced by Bacillus subtilis Strain BIA.
AB - Bacillus subtilis strain BIA was used for the production of bioactive
lipopeptides. Different extraction and purification methods were assayed as
liquid-liquid extraction, and acid and ammonium sulfate precipitation followed by
TLC, SPE, and gel filtration. Active fractions were further purified using RP
HPLC. The molecular mass of the purified product from HPLC was determined through
Tris-Tricine SDS-PAGE and MALDI-TOF-MS. The results revealed that Bacillus
subtilis strain BIA produced surfactin and iturin like compounds. Coproduction of
surfactin and iturin like compounds by this strain is a remarkable trait for a
potential biocontrol agent. This paper also includeds techniques that have been
developed for the optimal and convenient extraction of bioactive lipopeptides
from microbial origin.
PMID- 27867208
TI - ANTIBIOTIC SUSCEPTIBILITY OF ORGANISMS CAUSING URINARY TRACT INFECTION IN
PATIENTS PRESENTING AT KENYATTA NATIONAL HOSPITAL, NAIROBI.
AB - BACKGROUND: Changes in susceptibility patterns of bacterial pathogens isolated
from urinary tract infections emphasize the need for regional surveillance to
generate information that can be used in management of patients. Knowledge on the
current status of antimicrobial resistance in uropathogens, and the prevalence of
expanding spectrum beta-lactamases (ESBLs) in the isolates will guide policy
formulations and encourage prudent use of antimicrobials. OBJECTIVE: Identify
bacterial pathogens causing UTI and determine the association between the
pathogens isolated from patients attending KNH. Determine antimicrobial
susceptibility patterns of the UTI pathogens and the prevalence of ESBL in the
isolated pathogens. DESIGN: Laboratory-based study. SETTING: Department of
Medical Microbiology University of Nairobi and Kenyatta National Hospital
microbiology laboratory, Nairobi, Kenya. SUBJECTS: Nine hundred and forty eight
patients presenting directly to the Kenyatta National Hospital's diagnostic lab.
Patients were only classified as in-patients if at the time of specimen
collection they were being admitted to one of KNH wards. RESULTS: Out of the 948
urine samples processed, 189 in-patients and 37 out-patients samples had
significant bacterial growth. The uropathogens identified from in-patient
specimens were Escherichia coli (56), Klebsiellapneumoniae (33), Enterococcus
spp. (34) and Entrobacter (16) making up 30%, 18%, 18% and 9% respectively. ESBL
isolates were found to be resistant to the locally administered antibiotics;
Augmentin (37%), Levofloxacin (37%), Cefoperazone (37%), Ampicillin (39%),
Doxycyline (41%), Gentamicin (30%) and Nalidixic Acid (38%). CONCLUSION: The
increased prevalence of multidrug resistant ESBL pathogens poses challenges for
health care providers at KNH and signifies the need for new approach to treat
UTI. It would be prudent for laboratories to include specialized tests for
detection of ESBL producing pathogens from isolates obtained from in-patients.
Further studies on the mechanisms and pathways utilized by these bacteria to
cause UTI will highlight other avenues in patient management.
PMID- 27867209
TI - Adsorption and desorption of arsenic to aquifer sediment on the Red River
floodplain at Nam Du, Vietnam.
AB - The adsorption of arsenic onto aquifer sediment from the Red River floodplain,
Vietnam, was determined in a series of batch experiments. Due to water supply
pumping, river water infiltrates into the aquifer at the field site and has
leached the uppermost aquifer sediments. The leached sediments, remain anoxic but
contain little reactive arsenic and iron, and are used in our experiments. The
adsorption and desorption experiments were carried out by addition or removal of
arsenic from the aqueous phase in sediment suspensions under strictly anoxic
conditions. Also the effects of HCO3, Fe(II), PO4 and Si on arsenic adsorption
were explored. The results show much stronger adsorption of As(V) as compared to
As(III), full reversibility for As(III) adsorption and less so for As(V). The
presence or absence of HCO3 did not influence arsenic adsorption. Fe(II) enhanced
As(V) sorption but did not influence the adsorption of As(III) in any way. During
simultaneous adsorption of As(III) and Fe(II), As(III) was found to be fully
desorbable while Fe(II) was completely irreversibly adsorbed and clearly the two
sorption processes are uncoupled. Phosphate was the only solute that
significantly could displace As(III) from the sediment surface. Compiling
literature data on arsenic adsorption to aquifer sediment in Vietnam and
Bangladesh revealed As(III) isotherms to be almost identical regardless of the
nature of the sediment or the site of sampling. In contrast, there was a large
variation in As(V) adsorption isotherms between studies. A tentative conclusion
is that As(III) and As(V) are not adsorbing onto the same sediment surface sites.
The adsorption behavior of arsenic onto aquifer sediments and synthetic Fe-oxides
is compared. Particularly, the much stronger adsorption of As(V) than of As(III)
onto Red River as well as on most Bangladesh aquifer sediments, indicates that
the perception that arsenic, phosphate and other species compete for the same
surface sites of iron oxides in sediments with properties similar to those of,
for example a synthetic goethite, probably is not correct. A simple two-component
Langmuir adsorption model was constructed to quantitatively describe the reactive
transport of As(III) and PO4 in the aquifer.
PMID- 27867210
TI - A model for the evolution in water chemistry of an arsenic contaminated aquifer
over the last 6000 years, Red River floodplain, Vietnam.
AB - Aquifers on the Red River flood plain with burial ages ranging from 500 to 6000
years show, with increasing age, the following changes in solute concentrations;
a decrease in arsenic, increase in Fe(II) and decreases in both pH, Ca and
bicarbonate. These changes were interpreted in terms of a reaction network
comprising the kinetics of organic carbon degradation, the reduction kinetics of
As containing Fe-oxides, the sorption of arsenic, the kinetics of siderite
precipitation and dissolution, as well as of the dissolution of CaCO3. The
arsenic released from the Fe-oxide is preferentially partitioned into the water
phase, and partially sorbed, while the released Fe(II) is precipitated as
siderite. The reaction network involved in arsenic mobilization was analyzed by 1
D reactive transport modeling. The results reveal complex interactions between
the kinetics of organic matter degradation and the kinetics and thermodynamic
energy released by Fe-oxide reduction. The energy released by Fe-oxide reduction
is strongly pH dependent and both methanogenesis and carbonate precipitation and
dissolution have important influences on the pH. Overall it is the rate of
organic carbon degradation that determines the total electron flow. However, the
kinetics of Fe-oxide reduction determines the distribution of this flow of
electrons between methanogenesis, which is by far the main pathway, and Fe-oxide
reduction. Modeling the groundwater arsenic content over a 6000 year period in a
20 m thick aquifer shows an increase in As during the first 1200 years where it
reaches a maximum of about 600 MUg/L. During this initial period the release of
arsenic from Fe-oxides actually decreases but the adsorption of arsenic onto the
sediment delays the build-up in the groundwater arsenic concentration. After 1200
years the groundwater arsenic content slowly decreases controlled both by
desorption and continued further, but diminishing, release from Fe-oxide being
reduced. After 6000 years the arsenic content has decreased to 33 MUg/L. The
modeling enables a quantitative description of how the aquifer properties, the
reactivity of organic carbon and Fe-oxides, the number of sorption sites and the
buffering mechanisms change over a 6000 year period and how the combined effect
of these interacting processes controls the groundwater arsenic content.
PMID- 27867211
TI - Teaching tools to engage Anishinaabek First Nations women in cervical cancer
screening: Report of an educational workshop.
AB - OBJECTIVE: To explore educational strategies for engaging First Nations women in
Canada to attend cervical cancer screening. DESIGN: Within a participatory action
research framework, semi-structured interviews with health-care providers in
First Nations communities revealed that education about the value of screening is
perceived as being a key factor to promote cervical cancer screening. SETTING: To
obtain feedback from workshop informants, a 1-day educational workshop was held
to identify appropriate educational intervention strategies, which would be
applied in a forthcoming randomised controlled cervical screening trial. METHODS:
Common discussion and discussion groups, which were facilitated by a First
Nations workshop moderator and a note taker. RESULTS: This workshop helped to
strengthen the ethical space dialogue with the First Nations communities with
whom the study team had established research partnerships. The workshop
atmosphere was relaxed and the invited informants decided that an educational
health promotion event for community women needed to be held prior to inviting
them to the cervical screening trial. Such an event would provide an opportunity
to communicate the importance of attending regular cervical screening allowing
women to make informed decisions about screening participation. Complementary
promotional items, including an eye-catching pamphlet and storytelling, were also
suggested. CONCLUSION: The key messages from the events and promotional items can
help to destigmatise women who develop a type of cancer that is caused by a
sexually transmitted virus that affects both men and women. Developing and
implementing positive health education that respectfully depicts female bodies,
sexuality and health behaviours through a First Nations lens is strongly
warranted.
PMID- 27867213
TI - Screening for a Chronic Disease: A Multiple Stage Duration Model with Partial
Observability.
AB - We estimate a dynamic multi-stage duration model to investigate how early
detection of diabetes can delay the onset of lower extremity complications and
death. We allow for partial observability of the disease stage, unmeasured
heterogeneity, and endogenous timing of diabetes screening. Timely diagnosis
appears important. We evaluate the effectiveness of two potential policies to
reduce the monetary costs of frequent screening in terms of lost longevity.
Compared to the status quo, the more restrictive policy yields an implicit value
for an additional year of life of about $50,000, while the less restrictive
policy implies a value of about $120,000.
PMID- 27867212
TI - Adaptive Angular Sampling for SPECT Imaging.
AB - This paper presents an analytical approach for performing adaptive angular
sampling in single photon emission computed tomography (SPECT) imaging. It allows
for a rapid determination of the optimum sampling strategy that minimizes image
variance in regions-of-interest (ROIs). The proposed method consists of three key
components: (a) a set of close-form equations for evaluating image variance and
resolution attainable with a given sampling strategy, (b) a gradient-based
algorithm for searching through the parameter space to find the optimum sampling
strategy and (c) an efficient computation approach for speeding up the search
process. In this paper, we have demonstrated the use of the proposed analytical
approach with a single-head SPECT system for finding the optimum distribution of
imaging time across all possible sampling angles. Compared to the conventional
uniform angular sampling approach, adaptive angular sampling allows the camera to
spend larger fractions of imaging time at angles that are more efficient in
acquiring useful imaging information. This leads to a significantly lowered image
variance. In general, the analytical approach developed in this study could be
used with many nuclear imaging systems (such as SPECT, PET and X-ray CT) equipped
with adaptive hardware. This strategy could provide an optimized sampling
efficiency and therefore an improved image quality.
PMID- 27867214
TI - Immersive virtual reality in computational chemistry: Applications to the
analysis of QM and MM data.
AB - The role of Virtual Reality (VR) tools in molecular sciences is analyzed in this
contribution through the presentation of the Caffeine software to the quantum
chemistry community. Caffeine, developed at Scuola Normale Superiore, is
specifically tailored for molecular representation and data visualization with VR
systems, such as VR theaters and helmets. Usefulness and advantages that can be
gained by exploiting VR are here reported, considering few examples specifically
selected to illustrate different level of theory and molecular representation.
PMID- 27867215
TI - Resolving large-scale pressures on species and ecosystems: propensity modelling
identifies agricultural effects on streams.
AB - Although agriculture is amongst the world's most widespread land uses, studies of
its effects on stream ecosystems are often limited in spatial extent. National
monitoring data could extend spatial coverage and increase statistical power, but
present analytical challenges where covarying environmental variables confound
relationships of interest.Propensity modelling is used widely outside ecology to
control for confounding variables in observational data. Here, monitoring data
from over 3000 English and Welsh river reaches are used to assess the effects of
intensive agricultural land cover (arable and pastoral) on stream habitat, water
chemistry and invertebrates, using propensity scores to control for potential
confounding factors (e.g. climate, geology). Propensity scoring effectively
reduced the collinearity between land cover and potential confounding variables,
reducing the potential for covariate bias in estimated treatment-response
relationships compared to conventional multiple regression.Macroinvertebrate
richness was significantly greater at sites with a higher proportion of improved
pasture in their catchment or riparian zone, with these effects probably mediated
by increased algal production from mild nutrient enrichment. In contrast,
macroinvertebrate richness did not change with arable land cover, although
sensitive species representation was lower under higher proportions of arable
land cover, probably due to greatly elevated nutrient concentrations. Synthesis
and applications. Propensity modelling has great potential to address questions
about pressures on ecosystems and organisms at the large spatial extents relevant
to land-use policy, where experimental approaches are not feasible and broad
environmental changes often covary. Applied to the effects of agricultural land
cover on stream systems, this approach identified reduced nutrient loading from
arable farms as a priority for land management. On this specific issue, our data
and analysis support the use of riparian or catchment-scale measures to reduce
nutrient delivery to sensitive water bodies.
PMID- 27867216
TI - Investigating the impacts of field-realistic exposure to a neonicotinoid
pesticide on bumblebee foraging, homing ability and colony growth.
AB - The ability to forage and return home is essential to the success of bees as both
foragers and pollinators. Pesticide exposure may cause behavioural changes that
interfere with these processes, with consequences for colony persistence and
delivery of pollination services.We investigated the impact of chronic exposure
(5-43 days) to field-realistic levels of a neonicotinoid insecticide (2.4 ppb
thiamethoxam) on foraging ability, homing success and colony size using radio
frequency identification (RFID) technology in free-flying bumblebee
colonies.Individual foragers from pesticide-exposed colonies carried out longer
foraging bouts than untreated controls (68 vs. 55 min). Pesticide-exposed bees
also brought back pollen less frequently than controls indicating reduced
foraging performance.A higher proportion of bees from pesticide-exposed colonies
returned when released 1 km from their nests; this is potentially related to
increased orientation experience during longer foraging bouts. We measured no
impact of pesticide exposure on homing ability for bees released from 2 km, or
when data were analysed overall.Despite a trend for control colonies to produce
more new workers earlier, we found no overall impacts of pesticide exposure on
whole colony size. Synthesis and applications. This study shows that field
realistic neonicotinoid exposure can have impacts on both foraging ability and
homing success of bumblebees, with implications for the success of bumblebee
colonies in agricultural landscapes and their ability to deliver crucial
pollination services. Pesticide risk assessments should include bee species other
than honeybees and assess a range of behaviours to elucidate the impact of
sublethal effects. This has relevance for reviews of neonicotinoid risk
assessment and usage policy world-wide.
PMID- 27867217
TI - Avoidance of wind farms by harbour seals is limited to pile driving activities.
AB - As part of global efforts to reduce dependence on carbon-based energy sources
there has been a rapid increase in the installation of renewable energy devices.
The installation and operation of these devices can result in conflicts with
wildlife. In the marine environment, mammals may avoid wind farms that are under
construction or operating. Such avoidance may lead to more time spent travelling
or displacement from key habitats. A paucity of data on at-sea movements of
marine mammals around wind farms limits our understanding of the nature of their
potential impacts.Here, we present the results of a telemetry study on harbour
seals Phoca vitulina in The Wash, south-east England, an area where wind farms
are being constructed using impact pile driving. We investigated whether seals
avoid wind farms during operation, construction in its entirety, or during piling
activity. The study was carried out using historical telemetry data collected
prior to any wind farm development and telemetry data collected in 2012 during
the construction of one wind farm and the operation of another.Within an
operational wind farm, there was a close-to-significant increase in seal usage
compared to prior to wind farm development. However, the wind farm was at the
edge of a large area of increased usage, so the presence of the wind farm was
unlikely to be the cause.There was no significant displacement during
construction as a whole. However, during piling, seal usage (abundance) was
significantly reduced up to 25 km from the piling activity; within 25 km of the
centre of the wind farm, there was a 19 to 83% (95% confidence intervals)
decrease in usage compared to during breaks in piling, equating to a mean
estimated displacement of 440 individuals. This amounts to significant
displacement starting from predicted received levels of between 166 and 178 dB re
1 MUPa(p-p). Displacement was limited to piling activity; within 2 h of cessation
of pile driving, seals were distributed as per the non-piling scenario. Synthesis
and applications. Our spatial and temporal quantification of avoidance of wind
farms by harbour seals is critical to reduce uncertainty and increase robustness
in environmental impact assessments of future developments. Specifically, the
results will allow policymakers to produce industry guidance on the likelihood of
displacement of seals in response to pile driving; the relationship between sound
levels and avoidance rates; and the duration of any avoidance, thus allowing far
more accurate environmental assessments to be carried out during the consenting
process. Further, our results can be used to inform mitigation strategies in
terms of both the sound levels likely to cause displacement and what temporal
patterns of piling would minimize the magnitude of the energetic impacts of
displacement.
PMID- 27867218
TI - Predictive Validity of an Empirical Approach for Selecting Promising Message
Topics: A Randomized-Controlled Study.
AB - Several message topic selection approaches propose that messages based on beliefs
pretested and found to be more strongly associated with intentions will be more
effective in changing population intentions and behaviors when used in a
campaign. This study aimed to validate the underlying causal assumption of these
approaches which rely on cross-sectional belief-intention associations. We
experimentally tested whether messages addressing promising themes as identified
by the above criterion were more persuasive than messages addressing less
promising themes. Contrary to expectations, all messages increased intentions.
Interestingly, mediation analyses showed that while messages deemed promising
affected intentions through changes in targeted promising beliefs, messages
deemed less promising also achieved persuasion by influencing nontargeted
promising beliefs. Implications for message topic selection are discussed.
PMID- 27867220
TI - Unsafe at Any Age: Linking Childhood and Adolescent Maltreatment to Delinquency
and Crime.
PMID- 27867219
TI - Finite magnetic relaxation in x-space magnetic particle imaging: Comparison of
measurements and ferrohydrodynamic models.
AB - Magnetic Particle Imaging (MPI) is an emerging tomographic imaging technology
that detects magnetic nanoparticle tracers by exploiting their non-linear
magnetization properties. In order to predict the behavior of nanoparticles in an
imager, it is possible to use a non-imaging MPI relaxometer or spectrometer to
characterize the behavior of nanoparticles in a controlled setting. In this paper
we explore the use of ferrohydrodynamic magnetization equations for predicting
the response of particles in an MPI relaxometer. These include a magnetization
equation developed by Shliomis (Sh) which has a constant relaxation time and a
magnetization equation which uses a field-dependent relaxation time developed by
Martsenyuk, Raikher and Shliomis (MRSh). We compare the predictions from these
models with measurements and with the predictions based on the Langevin function
that assumes instantaneous magnetization response of the nanoparticles. The
results show good qualitative and quantitative agreement between the
ferrohydrodynamic models and the measurements without the use of fitting
parameters and provide further evidence of the potential of ferrohydrodynamic
modeling in MPI.
PMID- 27867221
TI - Molecular Recognition by Circular Oligonucleotides. Strong Binding of Single
stranded DNA and RNA.
AB - Pyrimidine-rich circular DNA oligonucleotides 1 and 2 display very high binding
affinities for complementary DNA and RNA oligomers by forming bimolecular triple
helical complexes.
PMID- 27867222
TI - Strong between-site variation in New Caledonian crows' use of hook-tool-making
materials.
AB - Functional tool use requires the selection of appropriate raw materials. New
Caledonian crows Corvus moneduloides are known for their extraordinary tool
making behaviour, including the crafting of hooked stick tools from branched
vegetation. We describe a surprisingly strong between-site difference in the
plant materials used by wild crows to manufacture these tools: crows at one study
site use branches of the non-native shrub Desmanthus virgatus, whereas only
approximately 7 km away, birds apparently ignore this material in favour of the
terminal twigs of an as-yet-unidentified tree species. Although it is likely that
differences in local plant communities drive this striking pattern, it remains to
be determined how and why crows develop such strong site-specific preferences for
certain raw materials.
PMID- 27867223
TI - Thermomechanical Formation-Structure-Property Relationships in Photopolymerized
Copper-Catalyzed Azide-Alkyne (CuAAC) Networks.
AB - Bulk photopolymerization of a library of synthesized multifunctional azides and
alkynes was carried out toward developing structure-property relationships for
CuAAC-based polymer networks. Multifunctional azides and alkynes were formulated
with a copper catalyst and a photoinitiator, cured, and analyzed for their
mechanical properties. Material properties such as the glass transition
temperatures (Tg) show a strong dependence on monomer structure with Tg values
ranging from 41 to 90 degrees C for the series of CuAAC monomers synthesized in
this study. Compared to the triazoles, analogous thioether-based polymer networks
exhibit a 45-49 degrees C lower Tg whereas analogous monomers composed of ethers
in place of carbamates exhibit a 40 degrees C lower Tg. Here, the formation of
the triazole moiety during the polymerization represents a critical component in
dictating the material properties of the ultimate polymer network where material
properties such as the rubbery modulus, cross-link density, and Tg all exhibit
strong dependence on polymerization conversion, monomer composition, and
structure postgelation.
PMID- 27867224
TI - Approximating the Little Grothendieck Problem over the Orthogonal and Unitary
Groups.
AB - The little Grothendieck problem consists of maximizing Sigma ij Cijxixj for a
positive semidef-inite matrix C, over binary variables xi ? {+/-1}. In this paper
we focus on a natural generalization of this problem, the little Grothendieck
problem over the orthogonal group. Given C ? R dn * dn a positive semidefinite
matrix, the objective is to maximize [Formula: see text] restricting Oi to take
values in the group of orthogonal matrices [Formula: see text], where Cij denotes
the (ij)-th d * d block of C. We propose an approximation algorithm, which we
refer to as Orthogonal-Cut, to solve the little Grothendieck problem over the
group of orthogonal matrices [Formula: see text] and show a constant
approximation ratio. Our method is based on semidefinite programming. For a given
d >= 1, we show a constant approximation ratio of alphaR(d)2, where alphaR(d) is
the expected average singular value of a d * d matrix with random Gaussian
[Formula: see text] i.i.d. entries. For d = 1 we recover the known alphaR(1)2 =
2/pi approximation guarantee for the classical little Grothendieck problem. Our
algorithm and analysis naturally extends to the complex valued case also
providing a constant approximation ratio for the analogous little Grothendieck
problem over the Unitary Group [Formula: see text]. Orthogonal-Cut also serves as
an approximation algorithm for several applications, including the Procrustes
problem where it improves over the best previously known approximation ratio of
[Formula: see text]. The little Grothendieck problem falls under the larger class
of problems approximated by a recent algorithm proposed in the context of the non
commutative Grothendieck inequality. Nonetheless, our approach is simpler and
provides better approximation with matching integrality gaps. Finally, we also
provide an improved approximation algorithm for the more general little
Grothendieck problem over the orthogonal (or unitary) group with rank
constraints, recovering, when d = 1, the sharp, known ratios.
PMID- 27867225
TI - TOTAL SYNTHESES OF PANCRATISTATIN. A REVIEW.
PMID- 27867227
TI - A Pattern-Based Definition of Urban Context Using Remote Sensing and GIS.
AB - In Sub-Saharan Africa rapid urban growth combined with rising poverty is creating
diverse urban environments, the nature of which are not adequately captured by a
simple urban-rural dichotomy. This paper proposes an alternative classification
scheme for urban mapping based on a gradient approach for the southern portion of
the West African country of Ghana. Landsat Enhanced Thematic Mapper Plus (ETM+)
and European Remote Sensing Satellite-2 (ERS-2) synthetic aperture radar (SAR)
imagery are used to generate a pattern based definition of the urban context.
Spectral mixture analysis (SMA) is used to classify a Landsat scene into Built,
Vegetation and Other land covers. Landscape metrics are estimated for Built and
Vegetation land covers for a 450 meter uniform grid covering the study area. A
measure of texture is extracted from the SAR imagery and classified as Built/Non
built. SMA based measures of Built and Vegetation fragmentation are combined with
SAR texture based Built/Non-built maps through a decision tree classifier to
generate a nine class urban context map capturing the transition from unsettled
land at one end of the gradient to the compact urban core at the other end.
Training and testing of the decision tree classifier was done using very high
spatial resolution reference imagery from Google Earth. An overall classification
agreement of 77% was determined for the nine-class urban context map, with user's
accuracy (commission errors) being lower than producer's accuracy (omission
errors). Nine urban contexts were classified and then compared with data from the
2000 Census of Ghana. Results suggest that the urban classes appropriately
differentiate areas along the urban gradient.
PMID- 27867226
TI - Testing the Impact of Child Characteristics * Instruction Interactions on Third
Graders' Reading Comprehension by Differentiating Literacy Instruction.
AB - There is accumulating correlational evidence that the effect of specific types of
reading instruction depends on children's initial language and literacy skills,
called child characteristics * instruction (C*I) interactions. There is, however,
no experimental evidence beyond first grade. This randomized control study
examined whether C*I interactions might present an underlying and predictable
mechanism for explaining individual differences in how students respond to third
grade classroom literacy instruction. To this end, we designed and tested an
instructional intervention (Individualizing Student Instruction [ISI]). Teachers
(n = 33) and their students (n = 448) were randomly assigned to the ISI
intervention or a vocabulary intervention, which was not individualized. Teachers
in both conditions received professional development. Videotaped classroom
observations conducted in the fall, winter, and spring documented the instruction
that each student in the classroom received. Teachers in the ISI group were more
likely to provide differentiated literacy instruction that considered C*I
interactions than were the teachers in the vocabulary group. Students in the ISI
intervention made greater gains on a standardized assessment of reading
comprehension than did students in the vocabulary intervention. Results indicate
that C*I interactions likely contribute to students' varying response to literacy
instruction with regard to their reading comprehension achievement and that the
association between students' profile of language and literacy skills and
recommended instruction is nonlinear and dependent on a number of factors. Hence,
dynamic and complex theories about classroom instruction and environment impacts
on student learning appear to be warranted and should inform more effective
literacy instruction in third grade.
PMID- 27867228
TI - Simplexolides A-E and plakorfuran A, six butyrate derived polyketides from the
marine sponge Plakortis simplex.
AB - Six new polyketides, simplexolides A-E (1-5) and a furan ester, plakorfuran A
(6), together with four known furanylidenic methyl esters (7-10) were isolated
from the marine sponge Plakortis simplex. Compounds 1-5 feature a tetrahydrofuran
ring opened seco-plakortone skeleton. These new structures, including relative
configurations, were determined on the basis of extensive analysis of
spectroscopic data. The absolute configurations of 1-6 were established by the
modified Mosher's method, and the CD exciton chirality method. However,
configurations of the remote stereocenters at C-8 in compounds 1-5 were not
determined. Antifungal, cytotoxicity, antileismanial, and antimalarial activities
of these poly-ketides were evaluated.
PMID- 27867229
TI - Eucalyptals D and E, new cytotoxic phloroglucinols from the fruits of Eucalyptus
globulus and assignment of absolute configuration.
AB - Two new phloroglucinols, named eucalyptals D (1) and E (2), along with a related
known compound (euglobal-In-3, 3) were isolated from the fruits of Eucalyptus
globulus. Their structures were established on the basis of extensive
spectroscopic studies, revealing that they share a common 3,5-diformyl-isopentyl
phloroglucinol unit, but each is instead coupled to a different sesquiterpenoid
skeleton (aromadendrene in 1, cadinene in 2, and a spirosesquiterpene in 3).
Compound 1 possessed an unusual seven-membered D ring with an ether bridge
between C-2 of the aromadendrene moiety and C-2' of the aromatic unit. The
absolute configuration of the isolates was defined by the comparison of
experimental and calculated electronic circular dichroism (ECD) spectra.
Compounds 1-3 exhibited significant in vitro cytotoxicities against a few human
cancer cell lines (Huh-7, Jurkat, BGC-823, and KE-97) using the CellTiter-GloTM
luminescent cell viability assay method.
PMID- 27867230
TI - Isospora scinci (Apicomplexa: Eimeriidae) from Five-Lined Skinks, Plestiodon
fasciatus (Sauria: Scincidae): Additional Records from Arkansas and First Report
from Oklahoma.
PMID- 27867231
TI - Interviewing Practices, Conversational Practices, and Rapport: Responsiveness and
Engagement in the Standardized Survey Interview.
AB - "Rapport" has been used to refer to a range of positive psychological features of
an interaction -- including a situated sense of connection or affiliation between
interactional partners, comfort, willingness to disclose or share sensitive
information, motivation to please, or empathy. Rapport could potentially benefit
survey participation and response quality by increasing respondents' motivation
to participate, disclose, or provide accurate information. Rapport could also
harm data quality if motivation to ingratiate or affiliate caused respondents to
suppress undesirable information. Some previous research suggests that motives
elicited when rapport is high conflict with the goals of standardized
interviewing. We examine rapport as an interactional phenomenon, attending to
both the content and structure of talk. Using questions about end-of-life
planning in the 2003-2005 wave of the Wisconsin Longitudinal Study, we observe
that rapport consists of behaviors that can be characterized as dimensions of
responsiveness by interviewers and engagement by respondents. We identify and
describe types of responsiveness and engagement in selected question-answer
sequences and then devise a coding scheme to examine their analytic potential
with respect to the criterion of future study participation. Our analysis
suggests that responsive and engaged behaviors vary with respect to the goals of
standardization-some conflict with these goals, while others complement them.
PMID- 27867232
TI - Professional boundaries and the identity of counselling psychology in South
Africa.
PMID- 27867233
TI - Influence of anthropogenic aerosol deposition on the relationship between oceanic
productivity and warming.
AB - Satellite data and models suggest that oceanic productivity is reduced in
response to less nutrient supply under warming. In contrast, anthropogenic
aerosols provide nutrients and exert a fertilizing effect, but its contribution
to evolution of oceanic productivity is unknown. We simulate the response of
oceanic biogeochemistry to anthropogenic aerosols deposition under varying
climate from 1850 to 2010. We find a positive response of observed chlorophyll to
deposition of anthropogenic aerosols. Our results suggest that anthropogenic
aerosols reduce the sensitivity of oceanic productivity to warming from -15.2 +/-
1.8 to -13.3 +/- 1.6 Pg C yr-1 degrees C-1 in global stratified oceans during
1948-2007. The reducing percentage over the North Atlantic, North Pacific, and
Indian Oceans reaches 40, 24, and 25%, respectively. We hypothesize that
inevitable reduction of aerosol emissions in response to higher air quality
standards in the future might accelerate the decline of oceanic productivity per
unit warming.
PMID- 27867234
TI - Revisiting the hemispheric asymmetry in midlatitude ozone changes following the
Mount Pinatubo eruption: A 3-D model study.
AB - Following the eruption of Mount Pinatubo, satellite and in situ measurements
showed a large enhancement in stratospheric aerosol in both hemispheres, but
significant midlatitude column O3 depletion was observed only in the north. We
use a three-dimensional chemical transport model to determine the mechanisms
behind this hemispheric asymmetry. The model, forced by European Centre for
Medium-Range Weather Forecasts ERA-Interim reanalyses and updated aerosol surface
area density, successfully simulates observed large column NO2 decreases and the
different extents of ozone depletion in the two hemispheres. The chemical ozone
loss is similar in the Northern (NH) and Southern Hemispheres (SH), but the
contrasting role of dynamics increases the depletion in the NH and decreases it
in the SH. The relevant SH dynamics are not captured as well by earlier ERA-40
reanalyses. Overall, the smaller SH column O3 depletion can be attributed to
dynamical variability and smaller SH background lower stratosphere O3
concentrations.
PMID- 27867235
TI - Transient, small-scale field-aligned currents in the plasma sheet boundary layer
during storm time substorms.
AB - We report on field-aligned current observations by the four Magnetospheric
Multiscale (MMS) spacecraft near the plasma sheet boundary layer (PSBL) during
two major substorms on 23 June 2015. Small-scale field-aligned currents were
found embedded in fluctuating PSBL flux tubes near the separatrix region. We
resolve, for the first time, short-lived earthward (downward) intense field
aligned current sheets with thicknesses of a few tens of kilometers, which are
well below the ion scale, on flux tubes moving equatorward/earthward during
outward plasma sheet expansion. They coincide with upward field-aligned electron
beams with energies of a few hundred eV. These electrons are most likely due to
acceleration associated with a reconnection jet or high-energy ion beam-produced
disturbances. The observations highlight coupling of multiscale processes in PSBL
as a consequence of magnetotail reconnection.
PMID- 27867236
TI - Direct observation of the thermal demagnetization of magnetic vortex structures
in nonideal magnetite recorders.
AB - The thermal demagnetization of pseudo-single-domain (PSD) magnetite (Fe3O4)
particles, which govern the magnetic signal in many igneous rocks, is examined
using off-axis electron holography. Visualization of a vortex structure held by
an individual Fe3O4 particle (~250 nm in diameter) during in situ heating is
achieved through the construction and examination of magnetic-induction maps.
Stepwise demagnetization of the remanence-induced Fe3O4 particle upon heating to
above the Curie temperature, performed in a similar fashion to bulk thermal
demagnetization measurements, revealed that its vortex state remains stable under
heating close to its unblocking temperature and is recovered upon cooling with
the same or reversed vorticity. Hence, the PSD Fe3O4 particle exhibits
thermomagnetic behavior comparable to a single-domain carrier, and thus, vortex
states are considered reliable magnetic recorders for paleomagnetic
investigations.
PMID- 27867238
TI - Comment: Addressing the Need for Portability in Big Data Model Building and
Calibration.
PMID- 27867237
TI - Facets of Arctic energy accumulation based on observations and reanalyses 2000
2015.
AB - Various observation- and reanalysis-based estimates of sea ice mass and ocean
heat content trends imply that the energy imbalance of the Arctic climate system
was similar [1.0 (0.9,1.2) Wm-2] to the global ocean average during the 2000-2015
period. Most of this extra heat warmed the ocean, and a comparatively small
fraction went into sea ice melt. Poleward energy transports and radiation
contributed to this energy increase at varying strengths. On a seasonal scale,
stronger radiative energy input during summer associated with the ice-albedo
feedback enhances seasonal oceanic heat uptake and sea ice melt. In return, lower
sea ice extent and higher sea surface temperatures lead to enhanced heat release
from the ocean during fall. This weakens meridional temperature gradients,
consequently reducing atmospheric energy transports into the polar cap. The
seasonal cycle of the Arctic energy budget is thus amplified, whereas the
Arctic's long-term energy imbalance is close to the global mean.
PMID- 27867239
TI - Legal Status and Health Care: Mexican-Origin Children in California, 2001-2014.
AB - Using restricted data from the 2001-2014 California Health Interview Surveys,
this research illuminates the role of legal status in health care among Mexican
origin children. The first objective is to provide a population-level overview of
trends in health care access and utilization, along with the legal statuses of
parents and children. The second objective is to examine the nature of
associations between children's health care and legal status over time. We
identify specific status-based distinctions that matter and investigate how their
importance is changing. Despite the continuing significance of child nativity for
health care, the descriptive analysis shows that the proportion of Mexican-origin
children who are foreign born is declining. This trend suggests a potentially
greater role of parental legal status in children's health care. Logistic
regression analyses demonstrate that the importance of parental legal status
varies with the health care indicator examined and the inclusion of child
nativity in models. Moreover, variation in some aspects of children's health care
coalesced more around parents' citizenship than documentation status in the past.
With one exception, the salience of such distinctions has dissipated over time.
PMID- 27867240
TI - Internal and International Migration Across the Urban Hierarchy in Albania.
AB - The interactions between the processes of urbanization and international
migration in less developed and transition countries have important repercussions
for socioeconomic development, but are not well understood. Based on the
retrospective data from the Albanian Living Standards Measurement Survey 2008, we
first assess the geography of migration in terms of the rural-urban continuum,
the urban hierarchy and the outside world since 1990. We then investigate the
spatio-temporal diffusion of rural-to-urban and international movements using
survival models. Results reveal an immediate onset of large-scale rural exodus,
despite the post-communist crisis. Internal migrants mainly moved to the capital,
bypassing secondary cities, and were predominantly female. Initially,
international migrants were primarily men who tended to originate from the main
urban agglomerations. The diffusion of opportunities to emigrate down the urban
hierarchy and across the sexes then redirected the rural exodus abroad, despite
domestic economic development. This evolution in population mobility is related
to the gendered patterns and interlinkages of the two flows, as well as to rising
inequalities within the urban hierarchy.
PMID- 27867241
TI - Family Profiles and Educational Attainment.
AB - The educational attainment of participants in the Chicago Longitudinal Study (93%
Black and 7% Hispanic) was examined. Cluster analysis on measures of human
capital resources, family dynamics and demographics was used to identify four
distinct profiles of families. In general, children who had family profiles
characterized by higher levels of human capital resources and more favorable
scores on indictors of family functioning were more likely than other children to
have higher educational attainment. Moreover, children who experienced a positive
change in family profile characteristics between the ages of 8 and 12 were more
likely than other children to have higher educational attainment. Implications
for intervention and research are discussed.
PMID- 27867242
TI - Childhood Predictors of Adult Substance Abuse.
AB - Identification of the early determinants of substance abuse is a major focus of
life course research. In this study, we investigated the child, family, and
school-related antecedents of the onset and prevalence of substance abuse by age
26 for a cohort of 1,208 low-income minority children in the Chicago Longitudinal
Study. Data onon well-being have been collected prospectively since birth from
administrative records, parents, teachers, and children. Results indicated that
the prevalence of substance abuse by age 26 was 32 percent (self reports or
criminal justice system records) with a median age of first use of 17. Probit
regression analysis indicated that substance abuse prevalence was primarily
determined by gender (males had a higher rate), trouble making behavior by age
12, school mobility, and previous substance use. Family and peer predictors
included involvement in the child welfare system by age 9, parent expectations
for school success at age 9, parent substance abuse by children's age 15, and
deviant peer affiliation by age 16. Age of first substance use was predicted by
gender and race/ethnicity (males and Blacks had earlier incidence), involvement
in the child welfare system, and family risk status at age 8. As with prevalence,
the pattern of predictors for males was similar to the overall sample but the
magnitude of effects was stronger.. The predictors of the timing of substance use
dependency were gender, family conflict by age 5, involvement in the child
welfare system, social maturity at age 9, adolescent school mobility, and school
dropout by age 16. Findings indicate that the promotion of family involvement and
positive school and social behavior can reduce the risk of substance abuse.
PMID- 27867243
TI - Maltreatment prevention through early childhood intervention: A confirmatory
evaluation of the Chicago Child-Parent Center preschool program.
AB - Increased recognition of the consequences associated with child maltreatment has
led to greater emphasis on its prevention. Promising maltreatment prevention
strategies have been identified, but research continues to suffer from
methodological limitations and a narrow focus on select prevention models. This
investigation uses data from the Chicago Longitudinal Study to examine mediating
mechanisms that link the Chicago Child-Parent Center preschool program to a
reduction in overall child maltreatment and, more specifically, child neglect. We
use structural equation modeling to test child, family, and school measures
hypothesized to mediate the effects of CPC participation on maltreatment and
neglect. Results indicate that a substantial proportion of the program's impacts
can be accounted for by family support processes, including increased parent
involvement in school and maternal educational attainment as well as decreased
family problems. The CPC program's association with reduced school mobility and
increased attendance in higher-quality schools also significantly mediated its
effects on maltreatment and neglect. Further, a decrease in troublemaking
behavior contributed modestly to mediating the program's association with
maltreatment but not neglect. We discuss the implications of these results for
the field of maltreatment prevention.
PMID- 27867244
TI - Community-based organisations for vulnerable children in South Africa: Reach,
psychosocial correlates, and potential mechanisms.
AB - Community-based organisations (CBOs) have the potential to provide high quality
services for orphaned and vulnerable children in resource-limited settings.
However, evidence is lacking as to whether CBOs are reaching those who are most
vulnerable, whether attending these organisations is associated with greater
psychosocial wellbeing, and how they might work. This study addressed these three
questions using cross-sectional data from 1848 South African children aged 9-13.
Data were obtained from the Young Carers and Child Community Care studies, which
both investigated child wellbeing in South Africa using standardised self-report
measures. Children from the Child Community Care study were all CBO attenders,
whereas children from Young Carers were not receiving any CBO services, thereby
serving as a comparison group. Multivariable regression analyses were used to
test whether children attending CBOs were more deprived on socio-demographic
variables (e.g., housing), and whether CBO attendance was in turn associated with
better psychosocial outcomes (e.g., child depression). Mediation analysis was
conducted to test whether more positive home environments mediated the
association between CBO attendance and significantly higher psychological
wellbeing. Overall, children attending CBOs did show greater vulnerability on
most socio-demographic variables. For example, compared to children not attending
any CBO, CBO-attending children tended to live in more crowded households (OR
1.22) and have been exposed to more community violence (OR 2.06). Despite their
heightened vulnerability, however, children attending CBOs tended to perform
better on psychosocial measures: for instance, showing fewer depressive symptoms
(B=-0.33) and lower odds of experiencing physical (OR 0.07) or emotional abuse
(OR 0.22). Indirect effects of CBO attendance on significantly higher child
psychological wellbeing (lower depressive symptoms) was observed via lower rates
of child abuse (B=-0.07) and domestic conflict/violence (B=-0.03) and higher
rates of parental praise (B=-0.03). Null associations were observed between CBO
attendance and severe psychopathology (e.g., suicidality). These cross-sectional
results provide promising evidence regarding the potential success of CBO reach
and impact but also highlight areas for improvement.
PMID- 27867245
TI - Diamond coated artificial cardiovascular devices.
AB - Ultrananocrystalline diamond (UNCD), an extremely smooth, low cost diamond
coating was successfully developed herein for antithrombogenic application which
requires high biocompatibility, low wear, low friction, and chemical inertness.
The substrate materials utilized in the Jarvik 2000 ventricular assist device
(VAD), silicon carbide and titanium alloy, provide an excellent substrate match
for UNCD integration. The paper addresses the development of medical-quality UNCD
films to significantly improve the knowledge base regarding the defect mechanisms
of UNCD films, to reduce or eliminate known wear-inducing imperfections in the
film, and to thoroughly characterize and test the films as well as assembled UNCD
coated VADs. After the defect reduction and seeding experiments to improve film
adhesion and coating quality, the best candidate deposition method has been down
selected for coating and assembly of VAD parts from Jarvik Heart. The coated and
assembled devices have been tested with mechanical and blood-simulating fluid
hydrodynamic testing at Jarvik Heart for full verification of the new coating
technology. UNCD interface takes advantage of combining unmatched durability and
antithrombogenicity.
PMID- 27867246
TI - Predicting stability and change in loneliness in later life.
AB - This study examined potential discriminators of groups of older adults showing
different patterns of stability or change in loneliness over 5 years: those who
became lonely, overcame loneliness, were persistently lonely, and were
persistently not lonely. Discriminant function analysis results showed that the
persistently lonely, compared with the persistently not lonely, were more often
living alone, widowed, and experiencing poorer health and perceived control.
Moreover, changes in living arrangements and perceived control predicted
loneliness change. In conclusion, perceiving that one is able to meet social
needs is a predictor of loneliness and loneliness change and appears to be more
important than people's friendships. Because the predictors were better able to
predict entry into loneliness, results point to the promise of prevention
approaches to loneliness interventions.
PMID- 27867247
TI - "Extra Oomph:" Addressing Housing Disparities through Medical Legal Partnership
Interventions.
AB - Low-income households face common and chronic housing problems that have known
health risks and legal remedies. The Medical Legal Partnership (MLP) program
presents a unique opportunity to address housing problems and improve patient
health through legal assistance offered in clinical settings. Drawn from in-depth
interviews with 72 patients, this study investigated the outcomes of MLP
interventions and compares results to similarly disadvantaged participants with
no access to MLP services. Results indicate that participants in the MLP group
were more likely to achieve adequate, affordable and stable housing than those in
the comparison group. Study findings suggest that providing access to legal
services in the healthcare setting can effectively address widespread health
disparities rooted in problematic housing. Implications for policy and
scalability are discussed with the conclusion that MLPs can shift professionals'
consciousness as they work to improve housing and health trajectories for
indigent groups using legal approaches.
PMID- 27867248
TI - Comparability of Spot Versus Band Electrodes for Impedance Cardiography.
AB - Although band and spot electrodes have been compared in prior research, they have
not been evaluated (a) at identical anatomical locations, (b) during a single
laboratory session, (c) with measures taken in close temporal proximity, (d)
using a single impedance cardiograph unit, or (e) using sufficiently powerful
statistical tests. Thirty-one healthy young adults completed a
psychophysiological assessment which consisted of baseline, mental arithmetic
stressor, and recovery conditions. Data from spot and band electrodes were
collected by alternating between electrode types every minute of the experiment.
Correlations between spot and band electrodes at absolute levels of all
cardiovascular measures (cardiac output, impedance derivative, basal impedance
level, Heather index, heart rate, left ventricular ejection time, pre-ejection
period, stroke volume) were of high magnitude (ravg = .78), while the
correlations for difference scores were lower (ravg = .50). Analyses of mean
levels indicated spot electrodes yielded significantly lower values for the
impedance derivative, Heather index, and basal impedance, and higher values for
cardiac output and stroke volume, than band electrodes. The advantages and
disadvantages associated with spot and band electrode configurations, as well as
their use in ambulatory recording, are discussed.
PMID- 27867250
TI - Approaches to the Estimation of the Local Average Treatment Effect in a
Regression Discontinuity Design.
AB - Regression discontinuity designs (RD designs) are used as a method for causal
inference from observational data, where the decision to apply an intervention is
made according to a 'decision rule' that is linked to some continuous variable.
Such designs are being increasingly developed in medicine. The local average
treatment effect (LATE) has been established as an estimator of the intervention
effect in an RD design, particularly where a design's 'decision rule' is not
adhered to strictly. Estimating the variance of the LATE is not necessarily
straightforward. We consider three approaches to the estimation of the LATE: two
stage least squares, likelihood-based and a Bayesian approach. We compare these
under a variety of simulated RD designs and a real example concerning the
prescription of statins based on cardiovascular disease risk score.
PMID- 27867249
TI - Elevated Omentin Serum Levels Predict Long-Term Survival in Critically Ill
Patients.
AB - Introduction. Omentin, a recently described adipokine, was shown to be involved
in the pathophysiology of inflammatory and infectious diseases. However, its role
in critical illness and sepsis is currently unknown. Materials and Methods.
Omentin serum concentrations were measured in 117 ICU-patients (84 with septic
and 33 with nonseptic disease etiology) admitted to the medical ICU. Results were
compared with 50 healthy controls. Results. Omentin serum levels of critically
ill patients at admission to the ICU or after 72 hours of ICU treatment were
similar compared to healthy controls. Moreover, circulating omentin levels were
independent of sepsis and etiology of critical illness. Notably, serum
concentrations of omentin could not be linked to concentrations of inflammatory
cytokines or routinely used sepsis markers. While serum levels of omentin were
not predictive for short term survival during ICU treatment, low omentin
concentrations were an independent predictor of patients' overall survival.
Omentin levels strongly correlated with that of other adipokines (e.g., leptin
receptor or adiponectin), which have also been identified as prognostic markers
in critical illness. Conclusions. Although circulating omentin levels did not
differ between ICU-patients and controls, elevated omentin levels were predictive
for an impaired patients' long term survival.
PMID- 27867251
TI - Linear Increments with Non-monotone Missing Data and Measurement Error.
AB - Linear increments (LI) are used to analyse repeated outcome data with missing
values. Previously, two LI methods have been proposed, one allowing non-monotone
missingness but not independent measurement error and one allowing independent
measurement error but only monotone missingness. In both, it was suggested that
the expected increment could depend on current outcome. We show that LI can allow
non-monotone missingness and either independent measurement error of unknown
variance or dependence of expected increment on current outcome but not both. A
popular alternative to LI is a multivariate normal model ignoring the missingness
pattern. This gives consistent estimation when data are normally distributed and
missing at random (MAR). We clarify the relation between MAR and the assumptions
of LI and show that for continuous outcomes multivariate normal estimators are
also consistent under (non-MAR and non-normal) assumptions not much stronger than
those of LI. Moreover, when missingness is non-monotone, they are typically more
efficient.
PMID- 27867252
TI - Sample Heterogeneity and the Measurement Structure of the Multidimensional
Students' Life Satisfaction Scale.
AB - Several measurement assumptions were examined with the goal of assessing the
validity of the Multidimensional Students' Life Satisfaction Scale (MSLSS), a
measure of adolescents' satisfaction with their family, friends, living
environment, school, self, and general quality of life. The data were obtained
via a cross-sectional survey of 8,225 adolescents in British Columbia, Canada.
Confirmatory factor and factor mixture analyses of ordinal data were used to
examine the measurement assumptions. The adolescents did not respond to all the
MSLSS items in a psychometrically equivalent manner. A correlated five-factor
model for an abridged version of the MSLSS resulted in good fit when all
negatively worded items and several positively worded items (the least invariant)
were excluded. The abridged 18-item version of the MSLSS provides a promising
alternative for the measurement of five life domains that are pertinent to
adolescents' quality of life.
PMID- 27867253
TI - Drosophila ezoana uses an hour-glass or highly damped circadian clock for
measuring night length and inducing diapause.
AB - Insects inhabiting the temperate zones measure seasonal changes in day or night
length to enter the overwintering diapause. Diapause induction occurs after the
duration of the night exceeds a critical night length (CNL). Our understanding of
the time measurement mechanisms is continuously evolving subsequent to Bunning's
proposal that circadian systems play the clock role in photoperiodic time
measurement (Bunning, 1936). Initially, the photoperiodic clocks were considered
to be either based on circadian oscillators or on simple hour-glasses, depending
on 'positive' or 'negative' responses in Nanda-Hamner and Bunsow experiments
(Nanda & Hammer, 1958; Bunsow, 1960). However, there are also species whose
responses can be regarded as neither 'positive', nor as 'negative', such as the
Northern Drosophila species Drosophila ezoana, which is investigated in the
present study. In addition, modelling efforts show that the 'positive' and
'negative' Nanda-Hamner responses can also be provoked by circadian oscillators
that are damped to different degrees: animals with highly sustained circadian
clocks will respond 'positive' and those with heavily damped circadian clocks
will respond 'negative'. In the present study, an experimental assay is proposed
that characterizes the photoperiodic oscillators by determining the effects of
non-24-h light/dark cycles (T-cycles) on critical night length. It is predicted
that there is (i) a change in the critical night length as a function of T-cycle
period in sustained-oscillator-based clocks and (ii) a fixed night-length
measurement (i.e. no change in critical night length) in damped-oscillator-based
clocks. Drosophila ezoana flies show a critical night length of approximately 7 h
irrespective of T-cycle period, suggesting a damped-oscillator-based
photoperiodic clock. The conclusion is strengthened by activity recordings
revealing that the activity rhythm of D. ezoana flies also dampens in constant
darkness.
PMID- 27867254
TI - Network sampling coverage II: The effect of non-random missing data on network
measurement.
AB - Missing data is an important, but often ignored, aspect of a network study.
Measurement validity is affected by missing data, but the level of bias can be
difficult to gauge. Here, we describe the effect of missing data on network
measurement across widely different circumstances. In Part I of this study (Smith
and Moody, 2013), we explored the effect of measurement bias due to randomly
missing nodes. Here, we drop the assumption that data are missing at random: what
happens to estimates of key network statistics when central nodes are more/less
likely to be missing? We answer this question using a wide range of empirical
networks and network measures. We find that bias is worse when more central nodes
are missing. With respect to network measures, Bonacich centrality is highly
sensitive to the loss of central nodes, while closeness centrality is not;
distance and bicomponent size are more affected than triad summary measures and
behavioral homophily is more robust than degree-homophily. With respect to types
of networks, larger, directed networks tend to be more robust, but the relation
is weak. We end the paper with a practical application, showing how researchers
can use our results (translated into a publically available java application) to
gauge the bias in their own data.
PMID- 27867255
TI - Bone Formation in Maxillary Sinus Lift Using Autogenous Bone Graft at 2 and 6
Months.
AB - The aim of this study is to compare the bone formation in maxillary sinus lift
with an autogenous bone graft in histological evaluation at 2 or 6 months. A
comparative study was designed where 10 patients with missing teeth bilaterally
in the posterior zone of the maxilla were selected. Patients received a
particulate autogenous bone graft under the same surgical conditions, selecting a
site to collect a biopsy and histological study at two months and another at six
months postoperatively. Histomorphometry was performed and were used Kolmogorov
Smirnov test, student's t-test and Spearman's correlation coefficient,
considering a value of p<0.05. Differences were observed in inflammatory
infiltrate and vascularization characteristics; however, the group analyzed at
two months presented 38.12% +/- 6.64 % of mineralized tissue, whereas the group
studied at 6 months presented an average of 38.45 +/- 9.27 %. There were no
statistical differences between the groups. It is concluded that the bone
formation may be similar in intrasinus particulate autogenous bone grafts in
evaluations at two or six months; under these conditions, early installation of
implants is viable.
PMID- 27867256
TI - Modular Polymer Biosensors by Solvent Immersion Imprint Lithography.
AB - We recently demonstrated Solvent Immersion Imprint Lithography (SIIL), a rapid
benchtop microsystem prototyping technique, including polymer functionalization,
imprinting and bonding. Here, we focus on the realization of planar polymer
sensors using SIIL through simple solvent immersion without imprinting. We
describe SIIL's impregnation characteristics, including an inherent mechanism
that not only achieves practical doping concentrations, but their unexpected 2
fold enhancement compared to the immersion solution. Subsequently, we developed
and characterized optical sensors for detecting molecular O2. To this end, a
substantially high dynamic range is reported, including its control through the
immersion duration, a manifestation of SIIL's modularity. Overall, SIIL exhibits
the potential of improving the operating characteristics of polymer sensors,
while significantly accelerating their prototyping, as it requires a few seconds
of processing and no need for substrates or dedicated instrumentation. These are
critical for O2 sensing as probed by way of example here, as well as any polymer
permeable reactant.
PMID- 27867257
TI - LearningRx Cognitive Training Effects in Children Ages 8-14: A Randomized
Controlled Trial.
AB - In a randomized controlled study, we examined the effects of a one-on-one
cognitive training program on memory, visual and auditory processing, processing
speed, reasoning, attention, and General Intellectual Ability (GIA) score for
students ages 8-14. Participants were randomly assigned to either an experimental
group to complete 60 h of cognitive training or to a wait-list control group. The
purpose of the study was to examine changes in multiple cognitive skills after
completing cognitive training with ThinkRx, a LearningRx program. Results showed
statistically significant differences between groups on all outcome measures
except for attention. Implications, limitations, and suggestions for future
research are examined.
PMID- 27867258
TI - Principle of Care and Giving to Help People in Need.
AB - Theories of moral development posit that an internalized moral value that one
should help those in need-the principle of care-evokes helping behaviour in
situations where empathic concern does not. Examples of such situations are
helping behaviours that involve cognitive deliberation and planning, that benefit
others who are known only in the abstract, and who are out-group members.
Charitable giving to help people in need is an important helping behaviour that
has these characteristics. Therefore we hypothesized that the principle of care
would be positively associated with charitable giving to help people in need, and
that the principle of care would mediate the empathic concern-giving
relationship. The two hypotheses were tested across four studies. The studies
used four different samples, including three nationally representative samples
from the American and Dutch populations, and included both self-reports of giving
(Studies 1-3), giving observed in a survey experiment (Study 3), and giving
observed in a laboratory experiment (Study 4). The evidence from these studies
indicated that a moral principle to care for others was associated with
charitable giving to help people in need and mediated the empathic concern-giving
relationship. (c) 2016 The Authors. European Journal of Personality published by
John Wiley & Sons Ltd on behalf of European Association of Personality
Psychology.
PMID- 27867259
TI - Personality and Other Lifelong Influences on Older-Age Health and Wellbeing:
Preliminary Findings in Two Scottish Samples.
AB - Recent observations that personality traits are related to later-life health and
wellbeing have inspired considerable interest in exploring the mechanisms
involved. Other factors, such as cognitive ability and education, also show
longitudinal influences on health and wellbeing, but it is not yet clear how all
these early-life factors together contribute to later-life health and wellbeing.
In this preliminary study, we assessed hypothesised relations among these
variables across the life course, using structural equation modelling in a sample
assessed on dependability (a personality trait related to conscientiousness) in
childhood, cognitive ability and social class in childhood and older age,
education, and health and subjective wellbeing in older age. Our models indicated
that both health and subjective wellbeing in older age were influenced by
childhood IQ and social class, via education. Some older-age personality traits
mediated the effects of early-life variables, on subjective wellbeing in
particular, but childhood dependability did not show significant associations.
Our results therefore did not provide evidence that childhood dependability
promotes older-age health and wellbeing, but did highlight the importance of
other early-life factors, particularly characteristics that contribute to
educational attainment. Further, personality in later life may mediate the
effects of early-life factors on health and subjective wellbeing. (c) 2016 The
Authors. European Journal of Personality published by John Wiley & Sons Ltd on
behalf of European Association of Personality Psychology.
PMID- 27867260
TI - Tracking sentence comprehension: Test-retest reliability in people with aphasia
and unimpaired adults.
AB - PURPOSE: Visual-world eyetracking is increasingly used to investigate online
language processing in normal and language impaired listeners. Tracking changes
in eye movements over time also may be useful for indexing language recovery in
those with language impairments. Therefore, it is critical to determine the test
retest reliability of results obtained using this method. METHODS: Unimpaired
young adults and people with aphasia took part in two eyetracking sessions spaced
about one week apart. In each session, participants completed a sentence-picture
matching task in which they listened to active and passive sentences (e.g., The
[N1+Auxwoman was] [Vvisiting/visited] [NP/PP2(by) the man]) and selected between
two pictures with reversed thematic roles. We used intraclass correlations (ICCs)
to examine the test-retest reliability of response measures (accuracy, reaction
time (RT)) and online eye movements (i.e., the likelihood of fixating the target
picture in each region of the sentence) in each participant group. RESULTS: In
the unimpaired adults, accuracy was at ceiling (thus ICCs were not computed),
with moderate ICCs for RT (i.e., 0.4 - 0.58) for passive sentences and low (<0.4)
for actives. In individuals with aphasia, test-retest reliability was strong
(0.590.75) for RT for both sentence
types. Similarly, for the unimpaired listeners, reliability of eye movements was
moderate for passive sentences (NP/PP2 region) and low in all regions for active
sentences. But, for the aphasic participant group, eye movement reliability was
excellent for passive sentences (in the first second after sentence end) and
strong for active sentences (V and NP/PP2 regions). CONCLUSION: Results indicated
moderate-to-low reliability for unimpaired listeners; however, reliable eye
movement patterns were detected for processes specific to passive sentences
(e.g., thematic reanalysis). In contrast, individuals with aphasia exhibited
strong and stable performance across sentence types in response measures and
online eye movements. These findings indicate that visual-world eyetracking
provides a reliable measure of online sentence comprehension, and thus may be
useful for investigating sentence processing changes over time.
PMID- 27867261
TI - Counselling Psychology in South Africa.
AB - The origin and development of counselling psychology in South Africa has been
profoundly influenced by the country's socio-political history and the impact of
apartheid. As a result of this, counselling psychologists in the country face a
number of challenges and opportunities for the future. In this paper we provide a
portrait of counselling psychology in South Africa by describing the current
character of the specialty and the context in which South African psychologists
work. We critically discuss the challenges that the specialty faces to meet the
country's mental health care needs, contest the current Scope of Practice; affirm
multiculturalism without essentializing or reifying race and ethnicity, and build
an evidence base for community interventions in the country. We also consider
how, in the future, counselling psychologists in South Africa may make a more
meaningful contribution within public health and the country's health care and
education systems.
PMID- 27867262
TI - Colonial legacy and the experience of First Nations women in cervical cancer
screening: a Canadian multi-community study.
AB - Regular Papanicolaou (Pap) screening has dramatically reduced cervical cancer
incidence in Canada since the 1950s. However, Indigenous women's rates of
cervical cancer remain disproportionately high, a factor which is not
acknowledged in national media or in educational materials reporting Canada's new
cervical cancer screening guidelines. Here, we present findings from a cervical
cancer screening initiative in Northwestern Ontario. Based on participatory
action research, we worked with 10 First Nations communities in the Robinson
Superior Treaty area to increase awareness of cervical cancer risk, develop
culturally sensitive tools for screening and education and test the efficacy of
human papillomavirus (HPV) self-sampling as an alternative to Pap cytology. We
conducted 16 interviews with health care professionals and 9 focus groups with 69
women from the communities. A central theme for both health care providers (HCPs)
and community members was the colonial legacy and its influence on women's
experiences of cervical cancer screening. This was evidenced by a strong sense of
body shyness, including shame related to sexuality and sexually transmitted
infections, concerns about confidentiality in clinical encounters and distrust or
caution around HCPs. Reaffirming women's traditional caregiving and educational
roles, enhancing mother and daughter communication, improving cultural
sensitivity in health care and education and adoption of HPV self-sampling to
increase women's privacy and control of the cervical cancer screening experience
were endorsed. We argue that education and screening initiatives must reflect the
cultural preferences of Indigenous women, empowering them to take control of
their experiences of health and body in cervical cancer screening.
PMID- 27867264
TI - Traditional Herbal Formulas to as Treatments for Musculoskeletal Disorders: Their
Inhibitory Effects on the Activities of Human Microsomal Cytochrome P450s and UDP
glucuronosyltransferases.
AB - OBJECTIVE: The aim of this study was to assess the influence of traditional
herbal formulas, including Bangpungtongseong-san (BPTSS; Fangfengtongsheng-san,
Bofu-tsusho-san), Ojeok-san (OJS; Wuji-san, Goshaku-san), and Oyaksungi-san
(OYSGS; Wuyaoshungi-san, Uyakujyunki-san), on the activities of the human
cytochrome P450s (CYP450s) and UDP-glucuronosyltransferases (UGTs), which are
drug-metabolizing enzymes. MATERIALS AND METHODS: The activities of the major
human CYP450 isozymes (CYP1A2, CYP3A4, CYP2B6, CYP2C9, CYP2C19, CYP2D6, and
CYP2E1) and UGTs (UGT1A1, UGT1A4, and UGT2B7) were investigated using in vitro
fluorescence-based and luminescence-based enzyme assays, respectively. The
inhibitory effects of the herbal formulas were characterized, and their IC50
values were determined. RESULTS: BPTSS inhibited the activities of CYP1A2,
CYP2C19, CYP2E1, and UGT1A1 while it exerted relatively weak inhibition on
CYP2B6, CYP2C9, CYP2D6, and CYP3A4. BPTSS also negligibly inhibited the
activities of UGT1A4 and UGT2B7, with IC50 values in the excess of 1000 MUg/mL.
OJS and OYSGS inhibited the activity of CYP2D6, whereas they exhibited no
inhibition of the UGT1A4 activity at doses <1000 MUg/mL. In addition, OJS
inhibited the CYP1A2 activity but exerted a relatively weak inhibition on the
activities of CYP2C9, CYP2C19, CYP2E1, and CYP3A4. Conversely, OJS negligibly
inhibited the activities of CYP2B6, UGT1A1, and UGT2B7 with IC50 values in excess
of 1000 MUg/mL. OYSGS weakly inhibited the activities of CYP1A2, CYP2C19, CYP2E1,
CYP3A4, and UGT1A1, with a negligible inhibition on the activities of CYP2B6,
CYP2C9, and UGT2B7, with IC50 values in excess of 1000 MUg/mL. CONCLUSIONS: These
results provide information regarding the safety and effectiveness of BPTSS, OJS,
and OYSGS when combined with conventional drugs. SUMMARY: Bangpungtongseong-san
inhibited the activities of human microsomal CYP1A2, CYP2C19, CYP2E1, and UGT1A1,
with a negligibly inhibition on the activities of CYP2B6, CYP2C9, CYP2D6, CYP3A4,
UGT1A4, and UGT2B7Ojeok-san (OJS) inhibited the CYP1A2 and CYP2D6 mediated
metabolism while showing a comparatively weak inhibition against CYP2B6, CYP2C9,
CYP2C19, CYP2E1, CYP3A4, and UGT1A1 in human microsomesOyaksungi-san (OYSGS)
inhibited the activities of human microsomal CYP2D6, with a relatively weak
inhibition on the activities of CYP1A2, CYP2B6, CYP2C9, CYP2C19, CYP2E1, CYP3A4,
UGT1A1, and UGT2B7OJS showed no inhibition on the activities of human microsomal
UGT1A4 and UGT2B7, and OYSGS did not affect the human microsomal UGT1A4 activity.
Abbreviations used: BPTSS: Bangpungtongseong-san, OJS: Ojeok-san, OYSGS:
Oyaksungi-san, CYP450s: cytochrome P450s, UGTs: UDP-glucuronosyltransferases,
MSDs: Musculoskeletal disorders, NSAIDs: nonsteroidal anti-inflammatory drugs,
EOMCC: 7-ethoxy-methyloxy-3-cyanocoumarin, DBOMF:
di(benzyloxymethoxy)fluorescein, BOMCC: 7-benzyloxy-4-trifluoromethylcoumarin,
HPLC: High-performance liquid chromatography, PDA: photo diode array, SEM:
standard error of the mean, UDPGA: uridine 5'-diphosphoglucuronic acid.
PMID- 27867265
TI - Alpha-glucosidase Inhibitory and Antioxidant Potential of Antidiabetic Herb
Alternanthera sessilis: Comparative Analyses of Leaf and Callus Solvent
Fractions.
AB - BACKGROUND: Alternanthera sessilis is a medicinal herb which is consumed as
vegetable and used as traditional remedies of various ailments in Asia and
Africa. OBJECTIVE: This study aimed to investigate the antiglucosidase and
antioxidant activity of solvent fractions of A. sessilis leaf and callus.
MATERIALS AND METHODS: Leaf and callus methanol extracts were fractionated to
produce hexane, chloroform, ethyl acetate, butanol, and water fractions.
Antiglucosidase and 1,1-diphenyl-2-picrylhydrazyl scavenging activities as well
as total phenolic (TP), total flavonoid (TF), and total coumarin (TC) contents
were evaluated. Lineweaver-Burk plot analysis was performed on leaf and callus
fractions with the strongest antiglucosidase activity. RESULTS: Leaf ethyl
acetate fraction (LEF) had the strongest antiglucosidase (EC50 0.55 mg/mL) and
radical scavenging (EC50 10.81 MUg/mL) activity among leaf fractions. Callus
ethyl acetate fraction (CEF) and chloroform fraction had the highest
antiglucosidase (EC50 0.25 mg/mL) and radical scavenging (EC50 34.12 MUg/mL)
activity, respectively, among callus fractions. LEF and CEF were identified as
noncompetitive and competitive alpha-glucosidase inhibitors, respectively. LEF
and CEF had greater antiglucosidase activity than acarbose. Leaf fractions had
higher phytochemical contents than callus fractions. LEF had the highest TP, TF,
and TC contents. Antiglucosidase and antioxidant activities of leaf fractions
correlated with phytochemical contents. CONCLUSION: LEF had potent
antiglucosidase activity and concurrent antioxidant activity. CEF had the highest
antiglucosidase activity among all fractions. Callus culture is a promising tool
for enhancing production of potent alpha-glucosidase inhibitors. SUMMARY: Leaf
ethyl acetate fraction (LEF) had the strongest antiglucosidase (EC50 0.55 mg/mL)
and radical scavenging (EC50 10.81 MUg/mL) activity among leaf fractionsCallus
ethyl acetate fraction (CEF) and chloroform fraction had the highest
antiglucosidase (EC50 0.25 mg/mL) and radical scavenging (EC50 34.12 MUg/mL)
activity, respectively, among callus fractionsLEF and CEF were identified as
noncompetitive and competitive a-glucosidase inhibitors,
respectivelyAntiglucosidase and antioxidant activities of leaf fractions
correlated with phytochemical contents. Abbreviations used: LHF: Leaf hexane
fraction, LCF: Leaf chloroform fraction, LEF: Leaf ethyl acetate fraction, LBF:
Leaf butanol fraction, LWF: Leaf water fraction, CHF: Callus hexane fraction,
CCF: Callus chloroform fraction, CEF: Callus ethyl acetate fraction, CBF: Callus
butanol fraction, CWF: Callus water fraction, TP: Total phenolic, TF: Total
flavonoid, TC: Total coumarin.
PMID- 27867263
TI - Interferon-Stimulated Gene 15 Conjugation Stimulates Hepatitis B Virus Production
Independent of Type I Interferon Signaling Pathway In Vitro.
AB - Hepatitis B virus (HBV) is an important account of infectious hepatitis and
interferon (IFN) remains one of the best treatment options. Activation of type I
IFN signaling pathway leads to expressions of IFN-stimulated genes (ISGs) which
play important roles in antiviral and immunomodulatory responses to HBV or
hepatitis C virus (HCV) infection. Our previous studies indicated that ISG15 and
its conjugation (ISGylation) were exploited by HCV to benefit its replication and
persistent infection. This study was designed to assess the role of ISG15 and
ISGylation in HBV infection in vitro. The levels of ISG15 and ISGylation were
upregulated by ISG15 plasmid transfection into HepG2.2.15 cells. Decreased
ISGylation was achieved by siRNA targeting UBE1L, the only E1 activating enzyme
for ISGylation. Overexpression of ISG15 and subsequent ISGylation significantly
increased the levels of HBV DNA in the culture supernatants although the
intracellular viral replication remained unaffected. Silencing UBE1L, with
decreased ISGylation achieved, abrogated this ISGylation-mediated promoting
effect. Our data indicated that overexpression of ISG15 stimulated HBV production
in an ISGylation-dependent manner. Identification of ISG15-conjugated proteins
(either HBV viral or host proteins) may reveal promising candidates for further
antiviral drug development.
PMID- 27867266
TI - A New Antifungal Isocoumarin from The Endophytic Fungus Trichoderma Sp. 09 of
Myoporum bontioides A. Gray.
AB - BACKGROUND: Myoporum bontioides A. Gray is a commonly used medicinal plant in
China. Recently, the chemical and bioactive investigations to the endophytic
fungi of this plant have led to several new compounds with antimicrobial and
cytotoxic activities. To find out more active molecules, the metabolites of an
endophytic fungus, Trichoderma sp. 09 from the root of Myoporum bontioides were
investigated. MATERIALS AND METHODS: The metabolites were isolated by column
chromatography on silica gel, and their structures were elucidated on the basis
of spectroscopic analysis[one-dimensional (1D), two-dimensional (2D)-nuclear
magnetic resonance (NMR), Mass spectrometry (MS)], and by comparison with the
published data. The dilution method was used for the evaluation of antifungal
activity. RESULTS: Four metabolites were isolated and identified as:
dichlorodiaportinolide (1), dichlorodiaportin (2), diaportinol (3), and diaportin
(4). Compounds 1 and 2 showed weak to high antifungal activities against
Colletotrichum musae (Berk. and M. A. Curtis) Arx and Rhizoctonia solani Kuhn, as
compared with the positive control. CONCLUSIONS: Compound 1 was a new isocoumarin
being worthy of consideration for the development and research of antifungal
agents. SUMMARY: A new isocoumarin named dichlorodiaportinolide, along with
dichlorodiaportin, diaportinol, and diaportin were isolated from the endophytic
fungus Trichoderma sp. 09 of the root of Myoporum
bontioides.Dichlorodiaportinolide and dichlorodiaportin showed weak to high
antifungal activities against musae and R. solani (MIC values from 6.25 to 150
MUg/mL).Dichlorodiaportinolide and dichlorodiaportin were inactive to P. italic
and F. graminearum (MIC values > 200 MUg/mL). Abbreviations used: IR: Infrared
Radiation, HR-ESI-MS: High resolution electrospray ionization mass spectroscopy,
LCMS-IT-TOF: Liquid chromatography mass spectroscopy-Ion trap-Time-of-flight, UV:
Ultraviolet-visible, HMBC: Heteronuclear multiple bond correlation, NOE: Nuclear
Overhauser effect.
PMID- 27867267
TI - Essential Oil Composition, Antimicrobial and Pharmacological Activities of Lippia
sidoides Cham. (Verbenaceae) From Sao Goncalo do Abaete, Minas Gerais, Brazil.
AB - BACKGROUND: Lippia sidoides (Verbenaceae) is used in Brazilian folk medicine as
an antiseptic, and it is usually applied topically on skin, mucous membranes,
mouth, and throat, or used for vaginal washings. OBJECTIVES: To analyze the
chemical composition of the essential oil from L. sidoides collected in Sao
Goncalo do Abaete, Minas Gerais and grown in Hidrolandia, Goias; to evaluate the
antimicrobial activity of the essential oil, crude ethanol extract, and hexane,
dichloromethane, ethyl-acetate, and aqueous fractions (AFs); to study the
antinociceptive, anti-inflammatory, and central nervous system activities of the
crude ethanol extract. MATERIALS AND METHODS: The essential oils were obtained by
hydro-distillation using a Clevenger-type apparatus and analyzed by GC/MS. The
antimicrobial activity in vitro was performed by broth microdilution method. The
pharmacological tests were performed using female Swiss albino mice. RESULTS: The
major components of the essential oil were isoborneol (14.66%), bornyl acetate
(11.86%), alpha-humulene (11.23%), alpha-fenchene (9.32%), and 1.8-cineole
(7.05%), supporting the existence of two chemotypes of this species. The hexane
fraction (HF) had good antifungal activity against Cryptococcus sp. ATCC D (MIC =
31.25 MUg/mL) and Cryptococcus gatti L48 (MIC = 62.5 MUg/mL). In the
pharmacological tests, the crude ethanol extract presented antinociceptive and
anti-inflammatory activities. CONCLUSION: Given that the ethanol extract of L.
sidoides is included in the Formulary of Phytotherapeutic Agents of the Brazilian
Pharmacopeia as an anti-inflammatory for oral cavities, the present work provides
scientific evidence to back this use and highlight the importance of selecting
the appropriate chemotype on the basis of the expected biological response.
SUMMARY: The major components of the essential oil of L. sidoides were isoborneol
bornyl acetate, alpha-humulene, alpha-fenchene, and 1.8-cineole. The HF had good
antifungal activity against Cryptococcus sp. ATCC D and C. gatti L4.The crude
ethanol extract of L. sidoides presented antinociceptive and anti-inflammatory
activities.The present work provides scientific evidence of the importance of
selecting the appropriate chemotype on the basis of the expected biological
response. Abbreviations used: UFG: Universidade Federal de Goias; HF: hexane
fraction; DF: dichloromethane fraction; EAF: ethyl acetate fraction; AF: aqueous
fraction; MeOH: methanol; MIC: minimum inhibitory concentration; ATCC: American
Type Culture Collection; MH: Muller Hinton; DMSO: dimethyl sulfoxide; RPMI:
Roswell Park Memorial Institute; NaCl: sodium chloride; MUL: microliters; mL:
milliliters; MUg: microgram; kg: kilogram; h: hour; min: minute; cm: centimeter;
COBEA: Brazilian College of Animal Experiments; p.o.:, oral; i.p.:
intraperitoneal; s.c.: subcutaneous; SEM: standard error of the mean; RI:
retention indices.
PMID- 27867269
TI - Platyphylloside Isolated From Betula platyphylla Inhibit Adipocyte
Differentiation and Induce Lipolysis Via Regulating Adipokines Including
PPARgamma in 3T3-L1 Cells.
AB - BACKGROUND: Obesity causes or aggravates many health problems, both independently
and in association with several pathological disorders, including Type II
diabetes, hypertension, atherosclerosis, and cancer. Therefore, we screened small
compounds isolated from natural products for the development of anti-obesity
drugs. OBJECTIVE: The purpose of this study was to investigate the anti
adipogenic activities of platyphylloside, diarylheptanoid isolated from Betula
platyphylla, which was selected based on the screening using 3T3-L1 cells.
MATERIALS AND METHODS: To evaluate the inhibition of adipocyte differentiation
and lipolysis, lipid contents of BPP on were measured using Oil Red O staining in
3T3-L1 cells. The mRNA and protein expression levels of various adipokines were
measured by Quantitative real-time PCR and Western blotting analysis,
respectively. RESULTS: Platyphylloside showed significant inhibitory activity on
adipocyte differentiation in 3T3-L1 cells and suppressed adipocyte
differentiation even in the presence of troglitazone, a PPARgamma agonist.
Platyphylloside might suppress adipocyte differentiation through PPARgamma,
C/EBPalpha, and SREBP1-induced adipogenesis, which is synergistically associated
with downstream adipocyte-specific gene promoters such as aP2, FAS, SCD-1, LPL,
and Adiponectin. In addition, platyphylloside affected lipolysis by down
regulating perilipin and HSL and up-regulating TNFalpha. CONCLUSION: Taken
together, the results reveal that platyphylloside has anti-adipogenic activity
and highlight its potential in the prevention and treatment of obesity. SUMMARY:
The extract of B. platyphylla bark and its isolate, BPP, had anti-adipogenic
activity in 3T3-L1 cells via suppression of adipocyte differentiation from
preadipocytes.Treatment with BPP significantly down-regulated the expression of
PPARgamma, C/EBP, C/EBPbeta, C/EBPdelta, SREBP1c, SCD-1, FAS, aP2 and LPL.BPP
induced a lipolytic response in mature adipocytes via up-regulation krof TNFa and
down-regulation of HSL, perilipin, PPARgamma, PDE3B, and Gia1.BPP is a novel
potential agent in the prevention and treatment of obesity through its anti
adipogenic activities and lipolysis. Abbreviations used: DMEM: Dulbecco's
modified Eagle's medium, FBS: fetal bovine serum, ORO: Oil Red O, PBS: phosphate
buffered saline, RT: room temperature, PPAR: peroxisome proliferator-activated
receptor, C/EBP: CCAAT/enhancer-binding protein, SREBP1: sterol regulatory
element binding protein 1, SCD-1: steroyl-coenzyme A desaturase 1, LPL:
lipoprotein lipase, aP2: adipocyte fatty acid binding protein, FAS: fatty acid
synthase, HSL: hormone sensitive lipase, Gialpha1: GPT binding protein, PDE3B:
phosphodiesterase 3B, TNFalpha: tumor necrosis factor alpha, GAPDH:
glyceraldehyde 3-phosphate dehydrogenase, SD: standard deviation, EGCG:
epigallocatechin-3-gallate, TZD: thiazolidinediones.
PMID- 27867268
TI - Composition of The Essential Oil From Danggui-zhiqiao Herb-Pair and Its Analgesic
Activity and Effect on Hemorheology in Rats With Blood Stasis Syndrome.
AB - BACKGROUND: Angelica sinensis and Aurantii fructu used in a pair, named Danggui
Zhiqiao herb-pair (DZHP), which was rich in essential oil and has been adopted to
promote blood circulation, dispel blood stasis, and relieve pain in traditional
Chinese medicine (TCM). OBJECTIVE: To analyze the composition and pharmacological
effects of essential oil from DZHP. MATERIALS AND METHODS: The composition of the
essential oil from DZHP was analyzed by gas chromatography/mass spectrometry
(GC/MS). Its analgesic activity was evaluated by acetic acid-induced writhing
test and hot plate test. The hemorheology test was carried out to evaluate the
effect on hemorheology in rats with blood stasis syndrome. RESULTS: Twenty-eight
components were identified and the main components were alpha-pinene (3.07%),
beta-pinene (2.0%), beta-myrcene (3.71%), D-limonene (49.28%), gamma-terpinen
(9.53%), alpha-terpinolene (1.80%), alpha-terpineol (2.02%), beta-bisabolene
(1.13%), butylidenephthalide (1.43%), and Z-ligustilide (16.08%). The
pharmacology test showed that the essential oil significantly inhibited the
number of writhes induced by acetic acid with inhibition rate of 44.64% and
significantly increased hot-plate latency compared with control group from 30 to
90 min after oral administration of drugs in mice. It could significantly
decrease plasma viscosity, whole blood relative index at high and low shear rate,
whole blood reduced viscosity at high and low shear rate, and erythrocyte
rigidity index in hemorheology test. CONCLUSION: The composition of the essential
oil of DZHP was determined successfully and it had analgesic and promoting blood
circulation activities. SUMMARY: Angelica sinensis and Aurantii fructu used in a
pair, named Danggui-Zhiqiao herb-pair (DZHP), which was rich in Essential oil and
has been adopted to promote blood circulation, dispel blood stasis and relieve
pain in traditional Chinese medicine (TCM).Twenty-eight components were
identified and the main components were alpha-pinene (3.07%), beta-pinene (2.0%),
beta-myrcene (3.71%), D-limonene (49.28%), gamma-terpinen (9.53%), alpha
terpinolene (1.80%), alpha-terpineol (2.02%), beta-bisabolene (1.13%),
butylidenephthalide (1.43%), and Z-ligustilide (16.08%).The essential oil
significantly inhibited the number of writhes induced by acetic acid and
increased hot-plate latency compared with control group from 30 min to 90 min
after oral administration of drugs in mice.The essential oil could significantly
decrease plasma viscosity (PV), whole blood relative index (WBRI) at high and low
shear rate, whole blood reduced viscosity (WBRV) at high and low shear rate, and
erythrocyte rigidity index (ERI) in hemorheology test. Abbreviations used: DZHP:
Danggui-Zhiqiao herb-pair; TCM: traditional Chinese medicine; GC/MS: gas
chromatography /mass spectrometry; PV: plasma viscosity; WBRI: whole blood
relative index; WBRV: whole blood reduced viscosity; ERI: erythrocyte rigidity
index.
PMID- 27867270
TI - Preparation of Sesquiterpenoids from Tussilago farfara L. by High-speed Counter
current Chromatography.
AB - BACKGROUND: Sesquiterpenoids, such as tussilagone, has effects of raising blood
pressure, antiplatelet aggregation, and anti-inflammation activities, which is
regarded as index compound for quality control of Tussilago farfara L. OBJECTIVE:
This study was aimed to obtain an effective method for fast isolation of
sesquiterpenoids from T. farfara L. by high-speed counter-current chromatography
(HSCCC). MATERIALS AND METHODS: A solvent optimization method for HSCCC was
presented, i.e., the separation factors of compounds after the K values of
solvent system should be investigated. RESULTS: A ternary solvent system of n
hexane:methanol:water (5:8:2, v/v/v) was selected and applied for the HSCCC, and
56 mg of tussilagone (2) was isolated from T. farfara L., along with two other
sesquiterpenoids 5.6 mg of 2,2-dimethyl-6-acetylchromanone (1) and 22 mg of 14
acetoxy-7 beta-(3'-ethyl cis-crotonoyloxy)-lalpha-(2'-methylbutyryloxy)
notonipetranone (3) by HSCCC with high purities. Their chemical structures were
elucidated by liquid chromatography-mass spectrometry and nuclear magnetic
resonance experiments. CONCLUSION: These results offered an efficient strategy
for preparation of potentially health-relevant phytochemicals from T. farfara L.,
which might be used for further chemical research and pharmacological studies by
preparative HSCCC. SUMMARY: The real separation efficiency has been verified by
analytical HSCCC.A solvent optimization method for HSCCC was presented and
applied to separate and prepare active compounds.A method for rapid and effective
separation of target compound Tussilagone with high yield and purity from the
flower buds of Tussilago farfara.Two other compounds 2,2-Dimethyl-6
acetylchromanone and 14-acetoxy-7beta-(3'-ethyl cis-crotonoyloxy) -lalpha- (2'
methylbutyryloxy). notonipetranone hasbeen obtained with high purities from
flower buds of Tussilago farfara. Abbreviations used: HSCCC: High-Speed Counter
Current Chromatography; LC-MS: Liquid Chromatograph-Mass Spectrometer; NMR:
Nuclear Magnetic Resonance; TCM: Traditional Chinese Medicine; HPLC: High
Performance Liquid Chromatography; ESI-MS: Electrospray Ionization Mass
Spectrometry; PE: petroleum ether.
PMID- 27867271
TI - Wound Healing Activity and Chemical Standardization of Eugenia pruniformis
Cambess.
AB - BACKGROUND: Eugenia pruniformis is an endemic species from Brazil. Eugenia genus
has flavonoids as one of the remarkable chemical classes which are related to the
improvement of the healing process. AIMS: To evaluate of wound healing activity
of E. pruniformis leaves and to identify and quantify its main flavonoids
compounds. MATERIALS AND METHODS: Wound excision model in rats was used to verify
the hydroethanolic and ethyl acetate extracts potential. The animals were divided
in four groups of six and the samples were evaluated until the 15 degrees day of
treatment. Hydroxyproline dosage and histological staining with hematoxilin-eosin
and Sirius Red were used to observe the tissue organization and quantify the
collagen deposition, respectively. Chemical compounds of the ethyl acetate
extract were identified by chromatographic techniques and mass spectrometry
analysis and total flavonoids content was determined by spectrophotometric
method. The antioxidant activity was determined by oxygen radical absorbing
capacity (ORAC) and 2,2-diphenyl-1-picrylhydrazylhydrate radical photometric
(DPPH) assays. RESULTS: The treated group with the ethyl acetate extract showed
collagen deposition increase, higher levels of hidroxyproline, better tissue
reorganization and complete remodeling of epidermis. Quercetin, kaempferol and
hyperoside were identified as main compounds and flavonoids content value was 43%
(w/w). The ORAC value of the ethyl acetate extract was 0.81+/- 0.05 mmol TE/g
whereas the concentration to produce 50% reduction of the DPPH was 7.05+/- 0.09
MUg/mL. CONCLUSION: The data indicate a wound healing and antioxidant activities
of E. pruniformis. This study is the first report of flavonoids and wound healing
activity of E. pruniformis. KEY MESSAGES: Eugenia pruniformis extract accelerates
wound healing in skin rat model, probably due to its involvement with the
collagen deposition increase, higher levels of hidroxyproline, dermal remodelling
and potent antioxidant activity. Chemical standardization of the active wound
healing extract was done. The total flavonoid content was 43% (w/w) and
quercetin, kaempferol and hyperoside were identified as main compounds. SUMMARY:
Wound excision model in rats showed the potential wound healing activity of E.
pruniformis by collagen deposition increase, higher levels of hidroxyproline,
better tissue reorganization and complete remodeling of epidermis.Flavonoids are
the main compounds of the endemic E. pruniformis and quercetin, kaempferol and
hyperoside were identified in ethyl acetate extract by TLC, HPLC-PDA and HRESI-MS
analysis.The ethyl acetate extract of E. pruniformis showed a potent antioxidant
activity by ORAC and DPPH assays Abbreviation used: NC: Negative control, PC:
Positive control, CH: Crude hydroethanolic extract, EA: Ethyl acetate extract,
TE: Trolox equivalent, mg: Milligram, mM: Millimolar, mL: Milliliter, HPLC-PDA:
High performance liquid chromatography with a photodiode array detector, HRESI
MS: High-resolution electrospray ionization mass spectrometry analysis, TLC: Thin
layer chromatography, ORAC: Oxygen radical absorbance capacity, w/v: Weight per
volume.
PMID- 27867272
TI - Oryza sativa (Rice) Hull Extract Inhibits Lipopolysaccharide-Induced Inflammatory
Response in RAW264.7 Macrophages by Suppressing Extracellular Signal-regulated
Kinase, c-Jun N-terminal Kinase, and Nuclear Factor-kappaB Activation.
AB - BACKGROUND: Rice (Oryza sativa) is a major cereal crop in many Asian countries
and an important staple food source. Rice hulls have been reported to possess
antioxidant activities. MATERIALS AND METHODS: In this study, we evaluated the
antiinflammatory effects of rice hull extract and associated signal transduction
mechanisms in lipopolysaccharide (LPS)-stimulated RAW 264.7 macrophages. RESULTS:
We found that rice hull extract inhibited nitric oxide (NO) and prostaglandin E2
by suppressing the expression of inducible NO synthase and cyclooxygenase-2,
respectively. The release of interleukin-1beta and tumor necrosis factor-alpha
was also reduced in a dose-dependent manner. Furthermore, rice hull extract
attenuated the activation of nuclear factor-kappa B (NF-kappaB), as well as the
phosphorylation of mitogen-activated protein kinases, extracellular signal
regulated kinase (ERK), and c-Jun N-terminal kinase (JNK), in LPS-stimulated
RAW264.7 cells. CONCLUSION: This suggests that rice hull extract decreases the
production of inflammatory mediators by downregulating ERK and JNK and the NF
kappaB signal pathway in RAW 264.7 cells. SUMMARY: Rice hull extract inhibits the
lipopolysaccharide-induced inflammatory response in RAW264.7 macrophages.Rice
hull extract inhibited nitric oxide and prostaglandin E2 by suppressing the
expression of inducible NO synthase and cyclooxygenase-2, respectively.Rice hull
extract exerted anti-inflammatory effect through inhibition of nuclear factor
kappa B, extracellular signal-regulated kinase and c-Jun N-terminal kinase
signaling pathways.Rice hull extract may provide a potential therapeutic approach
for inflammatory diseases. Abbreviations used: COX-2: cyclooxygenase-2, ERK:
extracellular signal-regulated kinase, IkappaB: inhibitory kappa B, IL-1beta:
interleukin-1beta, iNOS: inducible NO synthase, JNK: c-Jun N-terminal kinase,
LPS: lipopolysaccharide, MAPKs: mitogen-activated protein kinases, NF-kappaB:
nuclear factor-kappaB, NO: nitric oxide, PGE2: prostaglandin E2, RHE: rice hull
extract, ROS: reactive oxygen species, TNF-alpha: tumor necrosis factor-alpha.
PMID- 27867273
TI - Soyasaponin Bb Protects Rat Hepatocytes from Alcohol-Induced Oxidative Stress by
Inducing Heme Oxygenase-1.
AB - BACKGROUND: It has been known that oxidative stress induced by alcohol played a
crucial role in the formation of alcoholic liver disease. Although the formation
mechanisms underlying liver injury induced by alcohol still remained largely
unknown, it has been considered that oxidative stress played a core role in the
pathogenesis of hepatocyte damage. OBJECTIVE: The aim of this study was to
investigate the effects of soyasaponin Bb (Ss-Bb) on oxidative stress in alcohol
induced rat hepatocyte injury. RESULTS: It has been shown that the administration
of Ss-Bb could significantly restore antioxidant activity in BRL 3A cells.
Moreover, the impaired liver function and morphology changes resulting from
ethanol exposure were improved by Ss-Bb treatment. Treatment with a
pharmacological inhibitor of haem oxygenase-1 (HO-1) indicated a critical role of
HO-1 in mediating the protective role. Finally, we found that pretreatment with
Ss-Bb to ethanol exposure cells increased the expression level of HO-1.
CONCLUSION: It was suggested that Ss-Bb may protect against alcohol-induced
hepatocyte injury through ameliorating oxidative stress, and the induction of HO
1 was an important protective mechanism. SUMMARY: Effects of soyasaponin Bb was
investigated on oxidative stress in rat hepatocytesCell viability and antioxidant
capacities were evaluated to determine the effectsThe expression level of HO-1
was measured to reveal the proptective mechanisms.
PMID- 27867274
TI - Pharmacognostical Analysis and Protective Effect of Standardized Extract and
Rizonic Acid from Erythrina velutina against 6-Hydroxydopamine-Induced
Neurotoxicity in SH-SY5Y Cells.
AB - BACKGROUND: Erythrina velutina is a tree common in the northeast of Brazil
extensively used by traditional medicine for the treatment of central nervous
system disorders. OBJECTIVE: To develop a standardized ethanol extract of E.
velutina (EEEV) and to investigate the neuroprotective potential of the extract
and rizonic acid (RA) from E. velutina on neuronal cells. MATERIALS AND METHODS:
The plant drug of E. velutina previously characterized was used for the
production of EEEV. Three methods were evaluated in order to obtain an extract
with higher content of phenols. The neuroprotective effect of standardized EEEV
(HPLC-PDA) and RA was investigated on SH-SY5Y cell exposure to the neurotoxin 6
hydroxydopamine (6-OHDA). RESULTS: The powder of the plant drug was classified as
moderately coarse and several quality control parameters were determined. EEEV
produced by percolation gave the highest phenol content when related to others
extractive methods, and its HPLC-PDA analysis allowed to identify four flavonoids
and RA, some reported for the first time for the species. EEEV and RA reduced
significantly the neurotoxicity induced by 6-OHDA in SH-SY5Y cells determined by
the MTT assay and the nitrite concentration. EEEV also showed a free radical
scavenging activity. CONCLUSION: This is the first pharmacological study about E.
velutina which used a controlled standardized extract since the preparation of
the herbal drug. This extract and RA, acting as an antioxidant, presents a
neuroprotective effect suggesting that they have potential for future development
as a therapeutic agent in neurodegenerative disease as Parkinson. SUMMARY: The
powder of Erythrina velutina was classified as moderately coarse and several
quality-control parameters were determined.Ethanolic extract from E. velutina
(EEEV) produced by percolation gave the highest phenol content when related to
others extractive methods and its HPLC-PDA analysis of EEEV allowed to identify
four flavonoids and rizonic acid (RA), some reported for the first time for the
species.The EEEV and RA reduced significantly the neurotoxicity induced by 6-OHDA
in SH-SY5Y cells determined by the MTT assay and the nitrite concentration.The
EEEV also showed a free radical scavenging activity. Abbreviations used: +/-:
More or less, %: Percentage, degrees C: Degree Celsius, <: Less than, MUg:
Microgram, MUL: Microliter, MUM: Micromol, [1D] MNR: One-dimensional nuclear
magnetic resonance spectroscopy, [2D] MNR:Two-dimensional nuclear magnetic
resonance spectroscopy, 6-OHDA: [6-] Hydroxydopamine. Abs: Absorbance, CFU:
Colony forming units, CH2Cl2: Dichloromethane, CHCl3: Chloroform cmCentimeter,
DMEM/F12: Dulbecco's Modified Eagle's Medium: Nutrient Mixture F-12. DMSO:
Dimethyl sulfoxide, DPPH: 1,1-Diphenyl-2-picrylhydrazyl, EAG: Gallic acid
equivalents, EEEV: Ethanolic extract of Erythrina velutina, EtOAc: Ethyl acetate,
g: Gram, h: Hour, H2O: Water, HPLC: High-performance liquid chromatography, H
REIMS: Hydrogen rapid evaporative ionization mass spectrometry, Kg: Kilogram M:
Molar, m: Metro, MeOH: Methanol, mg: Milligram, min: Minute, mL: Milliliter, mm:
Millimeter, MTT: Bromide 3 [4,5-dimethylthiazol-2-yl] -2,5-diphenyltetrazolium,
N: Normal, NBT: Nitroblue tetrazolium, nm: Nanometer, PDA: Photodiode array
detector, TPC: Total polyphenol content, RA: Rizonic acid, RP: Reverse phase,
SOD: Superoxide dismutase, v/v: Volume per volume, Vs: Versus W: Watts.
PMID- 27867275
TI - Improved Oral Bioavailability of Total Flavonoids of Dracocephalum moldavica via
Composite Phospholipid Liposomes: Preparation, in-vitro Drug Release and
Pharmacokinetics in Rats.
AB - BACKGROUND: Dracocephalum moldavica L is a traditional Uygur medicine for
centuries, total flavonoids extracted from Dracocephalum moldavica are the major
active ingredients of herbs, which possesses significant medicinal values to
treat coronart disease and hypertension, due to the glycosyl group on the ring,
total flavonoids of Dracocephalum moldavica has low hydrophilic and poorly
absorbed after oral administration, so one way is the formulation of poorly water
soluble and permeabledrugs with lipids containing formulations such as Composite
phospholipid liposomes to improve the absorption profile of drug. OBJECTIVES: To
prepare composite phospholipid liposome (CPL) encapsulatetotal flavonoids extract
from Dracocephalum moldavica (TFDM), determine its physicochemical properties,
investigate its in-vitro release and evaluate the pharmacokinetics in Sprague
Dawley (SD) rats to increase the bioavailability of TFDM-CPL. MATERIAL AND
METHODS: The TFDMCPL was prepared by the method of ammonium sulfate transmembrane
gradients. The CPL and TFDM were separated by Sephadex-G50 chromatography. The
concentration of TFDM in the CPL was detected by HPLC, then the entrapment
efficiency (EE) was evaluated. And the shape, particle size, zeta potential, drug
release in vitro of TFDMCPL were investigated, and the pharmacokinetics was
evaluated by rat jugular vein intubation tube in SD rats. RESULTS: The EE of TFDM
was 84.17+/-2.2%, mean size of TFDMCPL was 136.2+/-3.7nm, polymey disperse index
(PDI) was 0.158+/-0.015 and zeta potential was -19.8+/-1.2mV. TFDM-CPLwere found
to enhance the release of drugs more effectively than TFDM based on the in vitro
model and Following oral administration of TFDM, the plasma exposures of TFDM-CPL
was significantly extended, and the mean concentration of TFDM-CPL was
significantly higher compared to TFDM-solution. TheCmax, t1/2, AUC0-12 h values
of TFDM for group of TFDM-CPL were siginificantly increased. CONCLUSION: The
method of ammonium sulfate transmembrane gradients is suitable for preparingTFDM
CPL. And TFDM-CPL have potential to be used to improve the bioavailability of
poorly soluble drugs after oral administration. SUMMARY: For the first time,
composite phospholipid liposomes (CPL) containing total flavonoids of
Dracocephalum moldavica (TFDM) were developed by method of ammonium sulfate
transmembrane gradients.The TFDM-CPL was a significant improvement in
bioavailability compared to the TFDM-solution, with a 10-fold increase in
relative bioavailability in vivo.The TFDM-CPL was still stable during storage at
4oC for 6 months. Abbreviations Used: CPL: composite phospholipid liposome.;
TFDM: Total Flavonoids Extract from Dracocephalum moldavica; SD:Sprague-Dawley;
EE:entrapment efficiency; PDI: polymey disperse index; TFDM-CPL: Total flavonoid
extract from Dracocephalum moldavica - composite phospholipid liposome; DM:
Dracocephalum moldavica L.; SPC: Soybean phospholipid; HSPC: Hydrogenated soya
phosphatide; PBS: phosphate buffered saline; HPLC: high performance liquid
chromatography; TEM: transmission electron microscopy; CMC-Na: Carboxy Methyl
Cellulose-Natrium; AUC: area under the curve.
PMID- 27867276
TI - Ursolic Acid, a Natural Pentacylcic Triterpene from Ochrosia elliptica and Its
Role in The Management of Certain Neglected Tropical Diseases.
AB - BACKGROUND: Leishmaniasis and African trypanosomiasis are recognized as the
leading causes of mortality and morbidity with the greatest prevalence in the
developing countries. They affect more than one billion of the poorest people on
the globe. OBJECTIVE: To find a cheap, affordable, safe, and efficacious
antileshmanial and antitrypanosomal natural drug and to elucidate its probable
mode of action. MATERIALS AND METHODS: Phytochemical investigation of the non
polar fraction of the methanol extract of leaves of Ochrosia elliptica Labill.
(Apocyanaceae) resulted in the isolation of ursolic acid, which was unambiguously
determined based on HR-ESI-FTMS, extensive 1D and 2D NMR spectroscopy. It was
further tested for its cytotoxicity, antimicrobial, antimalarial,
antileishmanial, and trypanocidal potency. in-silico molecular modeling studies
were conducted on six vital parasitic enzymes including farnesyl diphosphate
synthase, N-myristoyl transferase, pteridine reductase 1, trypanothione
reductase, methionyl-tRNA synthetase, and inosine-adenosine-guanosine nucleoside
hydrolase to discover its potential mode of action as antitrypanosomal and
antileishmanial agent. RESULTS: Ursolic acid displayed considerable
antitrypanosomal and antileishmanial activities with IC50 values ranging between
1.53 and 8.79 MUg/mL. It showed superior antitrypanosomal activity as compared to
the standard drug difluoromethylornithine (DFMO), with higher binding affinities
towards trypanothione reductase and pteridine reductase 1. It displayed free
binding energy of -30.73 and -50.08 kcal/mole towards the previously mentioned
enzymes, respectively. In addition, ursolic acid exhibited considerable
affinities to farnesyl diphosphate synthase, N-myristoyl transferase and
methionyl-tRNA synthetase with free binding energies ranging from -42.54 to
63.93 kcal/mole. CONCLUSION: Ursolic acid offers a safe, effective and cheap
antitrypanosomal and antileishmanial candidate acting on several key parasitic
enzymes. SUMMARY: The fresh leaves of Ochrosia elleptica Labill., family
Apocyanaceae are a reliable source of ursolic acid.Ursolic acid displayed
considerable antitrypanosomal and antileishmanial activities. It showed superior
antitrypanosomal activity as compared to difluoromethylornithine (DFMO), potent
antitrypanosomal reference drug.In silico molecular modeling studies revealed
that the antileishmanial and antitrypanosomal activities of ursolic acid could be
partially explained in view of its multiple inhibitory effects on vital parasitic
enzymes with the highest potency exerted in the inhibition of pteridine reductase
1 and trypanothione reductase. Abbreviations used: AHT: African Human
Trypanosomiasis, ATCC: American type cell culture, BuOH: n-butanol, DCM:
dichloromethane, DFMO: difluoromethylornithine, EtOAc: ethyl acetate, FCS: fetal
calf serum, HMBC: Heteronuclear Multiple Bond Correlation, HMQC: Heteronuclear
Multiple-Quantum Correlation, HR-ESI-FTMS: High Resolution Electrospray
ionozation Mass Spectrometry, MENA: Middle East and North Africa, MeOH: Methanol,
MRSA: Methicillin-resistant Staphylococcus aureus, NTDs: Neglected tropical
diseases, TLC: Thin layer chromatography, UA: Ursolic acid, UV: Ultra violet,
WHO: World Health Organization.
PMID- 27867277
TI - Characterization and Bioavailability Study of Baicalin-mesoporous Carbon
Nanopowder Solid Dispersion.
AB - BACKGROUND: Baicalin is the main bioactive constitute of the dried roots of
Scutellaria baicalensis and possesses various biological activities. However, the
poor water solubility and low oral bioavailability limit its efficacy. OBJECTIVE:
The present study was conducted to enhance the dissolution and oral
bioavailability of baicalin (BA) through a novel mesoporous carbon nanopowder
(MCN) drug carrier. MATERIALS AND METHODS: Solid dispersions (SDs) of BA with MCN
were prepared using a solvent evaporation method. The physical state of the
formulations was investigated using SEM, differential scanning calorimetry (DSC)
and powder X-ray diffraction (XRD). The pharmaceutical performance of pure BA,
physical mixture (PM) and SDs was evaluated by performing an in-vitro dissolution
test. The pharmacokinetic studies were conducted in SD rats and the analysis of
the biological samples was performed on an Acquity UPLC-MS system. The intestinal
and renal toxicity test of MCN was also evaluated. RESULTS: The drug release
profile indicated that the BA dissolution rate from SDs with a BA/MCN ratio of
1:6 greatly increased in comparison with that of the pure crystalline drug.
Furthermore, a pharmacokinetic analysis in rats showed that the BA area under the
concentration-time curve for SDs of MCN/BA was 1.83 times larger than that of
pure BA. In comparison with the pure drug, the MCN-BA system significantly
shortened the time to Tmax and generated higher Cmax. There was no intestinal and
renal toxicity of MCN. CONCLUSION: These results indicated that the oral
bioavailability of BA was remarkably improved by the MCN carrier. Additionally,
intestinal toxicity test showed that MCN produced no toxicity in the
gastrointestinal tract. Our results show that MCN-based SDs could be used to
enhance the bioavailability of drugs with poor water solubility. SUMMARY: The
drug release profile indicated that the BA dissolution rate from SDs with a
BA/MCN ratio of 1:6 greatly increased in comparison with that of the pure
crystalline drug.Furthermore, a pharmacokinetic analysis in rats showed that the
BA area under the concentration-time curve for SDs of MCN/BA was 1.83 times
larger than that of pure BA.In comparison with the pure drug, the MCN-BA system
significantly shortened the time to Tmax and generated higher CmaxAbbreviations
used: BA: baicalin, MCN: mesoporous carbon nanopowder, SDs: solid dispersions,
SEM: scanning electron microscopy, DSC: differential scanning calorimetry, XRD:
powder X-ray diffraction, HPLC: high-performance liquid chromatography, PM:
physical mixture, S.D.: standard deviation, ANOVA: analysis of variance, RSD:
relative standard deviation, ESI: electrospray ionization, IS: internal standard,
MRM: multiple reaction monitoring.
PMID- 27867278
TI - Effect of Methanolic Leaf Extract of Talinum triangulare (Jacq). Willd. on
Biochemical Parameters in Diet induced Dyslipidemia Wistar Rats.
AB - OBJECTIVE: To investigate the effect of methanolic leaf extract of Talinum
triangulare on hematological parameters, enzymatic and non-enzymatic antioxidant
status, and serum lipid in Wistar rats fed standard laboratory, or 2% cholesterol
enrich diet. MATERIAL AND METHODS: Wistar rats (180-210g) divided into six groups
of six animals (males) each were fed 2% cholesterol-enriched diet and orally
treated with 0.9% saline or extract of Talinum triangulare (250, 500, and 1000
mg/kg per body weight) daily for eight weeks. Lipid profile, lipid peroxidation
(MDA), hematological parameters, and their functional indices and serum
antioxidant enzymes (catalase, glutathione -S-transferase, and superoxide
dismutase) activities and glutathione status were assessed in normal and diet
induced hypercholesterolemic extract treated rats and compared with the rats
treated with 100 mg/kg per bwt standard drug gemfibrozil. RESULTS: A significant
(P < 0.05) increase in lipid profile (total glyceride, total cholestrol, low
density lipoprotein, and very low-density lipoprotein), MDA and reduction (P <
0.05) in enzymatic and nonenzymatic antioxidant status coupled with alterations
in hematological parameters was observed in the serum of hypercholesterolemic
rats when compared with animals on a normal diet. Coadministration of methanolic
leaf extracts of Talinum triangulare or gemfibrozil significantly (P < 0.05)
restored the elevated serum lipid profile, MDA, and the deranged hematological
parameters to near normal. The extract also protected against
hypercholesterolemic-induced diminished enzymatic and nonenzymatic antioxidant
status. The activities of the plant extract are dose (250, 500, and 1000 mg/kg)
dependent and it compared favorably with the standard drug gemfibrozil.
CONCLUSION: The present study suggested that the extract of Talinum triangulare
might protect against hypercholesterolemic-induced altered lipid profiles,
oxidative stress, and also improve the status of antioxidant defense system and
hematopoiesis. SUMMARY: Elevated lipid profile (total glyceride, total
cholestrol, low-density lipoprotein, and very low-density lipoprotein), lipid
peroxidation (MDA), and reduced enzymatic and nonenzymatic antioxidant status
coupled with alterations in hematological parameters was observed in the serum of
hypercholesterolemic rats when compared with animals on a normal
dietCoadministration of methanolic leaf extracts of Talinum triangulare
significantly (P < 0.05) restored the elevated serum lipid profile, MDA, and the
deranged hematological parameters to near normal.The extract also protected
against hypercholesterolemic-induced diminished enzymatic and bnonenzymatic
antioxidant status.The activities of the plant extract was dose-dependent and it
compared favorably with the standard drug gemfibrozil. Abbreviations used: Lipid
peroxidation (MDA), (catalase (CAT), glutathione-S-transferase (GST), superoxide
dismutase (SOD), glutathione (GSH), Thrombocytes indices (PLT), Red blood cell
(RBC), Packed cell volume (PVC), Mean corpuscular hemoglobin(MCH), Mean
corpuscular hemoglobin concentration (MCHC), Total glyceride (TG), Very low
density lipoprotein (VLDL), Total cholesterol (TC), Low density lipoprotein
(LDL), High density lipoprotein (HDL) and 3-Hydroxy-3-methyl-glutaryl-CoA
reductase(HMG-CoA).
PMID- 27867279
TI - Noise Shaping in Neural Populations with Global Delayed Feedback.
AB - The interplay between intrinsic and network dynamics has been the focus of many
investigations. Here we use a combination of theoretical and numerical approaches
to study the effects of delayed global feedback on the information transmission
properties of neural networks. Specifically, we compare networks of neurons that
display intrinsic interspike interval correlations (nonrenewal) to networks that
do not (renewal). We find that excitatory and inhibitory delays can tune
information transmission by single neurons but not by the entire network. Most
surprisingly, addition of a delay can change the dependence of the information on
the coupling strength for renewal neurons and not for nonrenewal neurons. Our
results show that intrinsic ISI correlations can have nontrivial interactions
with network-induced phenomena.
PMID- 27867280
TI - Anti-cancerous triterpenoid saponins from Lecaniodiscus cupanioides.
AB - From the ethanol extract of the stem of Lecaniodiscus cupanioides Planch, two
known compounds 1 and 2 were isolated and identified as triterpenoid saponins 3-O
[alpha-L-arabinofuranosyl- (1->3)-alpha-L-rhamnopyranosyl- (1->2)-alpha-L
arabinopyranosyl-]-hederagenin and 3-O- [alpha-L-arabinopyranosyl- (1->3)-alpha-L
rhamnopyranosyl (1->2)-alpha-L-arabinopyranosyl-]-hederagenin. The structures
were established by physicochemical and spectroscopic investigations (MS and NMR)
as well as comparison of literature data. The compound 1 exhibited anticancer
activity against human colon carcinoma H-116, human lung carcinoma A-549 and
human lung carcinoma HT-29 cell lines with IC50 5.0, 2.5 and 2.5MUg/ml
respectively and compound 2 exhibited similar activities with IC505.0, 5.0 and
2.5MUg/ml respectively. This suggests that the isolated triterpenoid saponins may
be considered as potential anticancer leads for further studies.
PMID- 27867281
TI - Visiting Mom: A pilot evaluation of a prison-based visiting program serving
incarcerated mothers and their minor children.
AB - We describe an evaluation of a prison visiting program, Extended Visiting (EV),
for incarcerated mothers and their children. Mothers (N = 24) and caregivers (N =
19) were interviewed regarding experiences with the program. Mothers identified
benefits including maintaining a relationship with children, physical contact,
motivation, privacy, peer support, and personal growth. Caregivers echoed
mothers' appreciation for the opportunity to maintain mother-child relationships
and physical contact. Mothers identified barriers including desire for overnight
visits and more age-appropriate activities. Caregivers perceived travel time and
costs and children's adverse reactions as barriers. When comparing EV to typical
visiting, participants unanimously preferred EV.
PMID- 27867282
TI - Is group singing special? Health, well-being and social bonds in community-based
adult education classes.
AB - Evidence demonstrates that group singing improves health and well-being, but the
precise mechanisms remain unknown. Given that cohesive social networks also
positively influence health, we focus on the social aspects of singing, exploring
whether improvements in health and well-being are mediated by stronger social
bonds, both to the group as a whole (collective-bonding) and to individual
classmates (relational-bonding). To do so, seven newly-formed community-based
adult education classes (four singing, N=84, and three comparison classes
studying creative writing or crafts, N=51) were followed over seven months. Self
report questionnaire data on mental and physical health, well-being, and social
bonding were collected at Months 1, 3 and 7. We demonstrate that physical and
mental health and satisfaction with life significantly improved over time in both
conditions. Path analysis did not show any indirect effects via social bonding of
Condition on health and well-being. However, higher collective-bonding at
timepoint 3 significantly predicted increased flourishing, reduced anxiety and
improved physical health independently of baseline levels. In contrast,
relational-bonding showed no such effects, suggesting that it is feeling part of
a group that particularly yields health and well-being benefits. Moreover, these
results indicate that singing may not improve health and well-being more than
other types of activities. Nonetheless, these findings encourage further work to
refine our understanding of the social aspects of community-based adult education
classes in promoting health, well-being and community cohesion.
PMID- 27867283
TI - From 'Virgin Births' to 'Octomom': Representations of Single Motherhood via Sperm
Donation in the UK News.
AB - The use of sperm donation by single women has provoked public, professional and
political debate. Newspapers serve as a critical means of both broadcasting this
debate and effecting a representation of this user group within the public
sphere. This study uses the theory of social representations to examine how
single motherhood by sperm donation has been represented in the UK news over
time. The study sampled news coverage on this topic in eight British newspapers
during three 4-year periods between the years 1988 and 2012. The dataset of news
reports (n = 406) was analysed using a qualitative approach. Findings indicated
that UK media reports of single women using donor sperm are underpinned by
conventional categories of the 'personal', the 'traditional' and the 'natural'
that when paired with their corollaries produce a representation of this user
group as the social 'other'. The amount of coverage on this topic over time was
found to vary according to the political orientation of different media sources.
Using key concepts from social representations theory, this article discusses the
relationship between themata and anchoring in the maintenance of representations
of the social 'other' in mass mediated communication. Findings are explained in
relation to theoretical conceptions of the mass media and its position within the
public sphere. It is argued that the use of personal narratives in news reports
of single mothers by sperm donation may have significant implications for public
understandings of this social group. (c) 2016 The Authors. Journal of Community &
Applied Social Psychology published by John Wiley & Sons Ltd.
PMID- 27867284
TI - EkoSonic Thrombolysis as a Therapeutic Adjunct in Venous Occlusive Disease.
AB - The use of ultrasound waves in conjunction with local thrombolysis may accelerate
clot resolution and serve as an important therapeutic adjunct in the treatment of
venous occlusive disease. Our goal was to provide a larger sample population over
a 5-year period to evaluate our experience with the EkoSonic endovascular system
(EKOS, EKOS Corporation, Bothell, WA). We suspected that ultrasound-accelerated
thrombolysis (UAT) using EKOS would provide excellent thrombolysis and midterm
patency rates with minimal thrombolytic complications. A retrospective study was
conducted to provide a case series with UAT using EKOS. Data were collected over
a 5-year period. Primary end points included degree of thrombolysis. Secondarily,
we analyzed thrombolytic usage, complication rates, and midterm patency, over a 1
year period. A total of 48 limbs were treated with UAT. Forty cases were
diagnosed as acute, whereas the remaining 8 were chronic. Complete thrombolysis
was successful in 38/48 (79%) of patients, and partial thrombolysis was
accomplished in 10/48 (21%) of patients. Overall mean infusion time was 22.4
hours +/-3.6. There were a total of three complications (6%), all of which were
minor bleeding. One-year patency was shown to be 87% with no signs of valvular
reflux. UAT using EKOS demonstrated effective rates of thrombolysis with very few
complications. In addition, our 1-year patency rates were comparable to published
data using conventional catheter-directed thrombolysis. UAT provides lytic
therapy by utilizing the benefits of ultrasonic waves to help augment the
fibrinolytic process. Our institution currently favors the use of EKOS as the
treatment of choice in patients that are appropriate thrombolytic candidates.
PMID- 27867285
TI - Lower Platelet Reactivity Is Associated with Presentation of Unstable Coronary
Artery Disease.
AB - In patients with acute coronary syndrome, high platelet reactivity (PR) is
associated with an increased risk of secondary thrombotic events. However, in
patients undergoing elective percutaneous coronary intervention (PCI), no
association between high PR and outcome has been demonstrated. At present, the
relation of PR and clinical symptoms is unknown. To examine the association of PR
with clinical indication for diagnostic angiography (stable or unstable coronary
artery disease [CAD]), taking into account the influence of P2Y12 inhibitors. A
platelet function score (PFS) was determined in 195 patients by quantifying
fibrinogen binding and P-selectin expression with flow cytometry. We evaluated
the PFS with clinical presentation of stable or unstable CAD, angiographic
severity of CAD, and the incidence of cardiovascular events during 2 years of
follow-up. All data were analyzed stratified by P2Y12 inhibitor use (long-term
and preprocedural versus none). Surprisingly, among non-P2Y12 inhibitor users,
the PFS was lower in patients with unstable CAD compared with stable CAD (5.6 +/-
1.8 vs. 7.4 +/- 1.6; p = 0.001). Angiographic CAD severity showed no relation
with PFS. The SYNTAX score tended to be inversely related with PFS: low PFS, 13.2
(IQR, 11.9-19.1); median PFS, 10.0 (IQR, 5.0-14.0); and high PFS, 8.0 (IQR, 5.0
13.0), without significance (p = 0.304). Patients with low PFSs required more re
PCIs than those with median and high PFSs (11.1 vs. 4.7 vs. 0.0%, p = 0.004).
This association was modified for patients using P2Y12 inhibitors. Among patients
without P2Y12 inhibitors undergoing coronary angiography, presentation of
unstable CAD is independently associated with lower PR.
PMID- 27867286
TI - Basilic Vein Elevation for Arteriovenous Fistula Creation: Results of 60 Cases
Following 1 Year.
AB - Basilic vein elevation (BVE) is a procedure where the basilic vein (BV) is
surgically exposed, mobilized, and elevated into a more superficial position for
the purpose of facilitating arteriovenous fistula (AVF) cannulation. The purpose
of this study is to review the use of BVE as an adjunct to fistula maturation.
Between January 2009 and December 2013, 60 patients received BVE. After
performing the anastomosis between brachial artery and BV, the elevated vein was
placed just anterior to the surgical incision, 3 to 4 mm deep. Patients'
morbidity, mortality, and patency rates were evaluated. The 90-day mortality was
0%. Maturation rates were 91.6%. The mean time to maturation was 52 days (range,
25-75 days). Primary patency rate at 12 months was 90% and secondary patency rate
was 95%. The mean vein size was 4.5 +/- 0.5 mm. AVF surgery via BVE offers
satisfactory results in patients with chronic hemodialysis.
PMID- 27867287
TI - Atherosclerosis in Psoriatic Arthritis: A Multiparametric Analysis Using Imaging
Technique and Laboratory Markers of Inflammation and Vascular Function.
AB - Cardiovascular disease is one of the leading causes of death in psoriatic
arthritis (PsA). Pathogenesis of accelerated atherosclerosis in PsA remains to be
elucidated. Endothelial dysfunction (ED) often precedes manifesting
atherosclerosis. This study aims to assess carotid intima-media thickness (CIMT),
a marker of atherosclerosis in PsA, in context of markers of inflammation and
vascular function. A cross-sectional study was performed in 18 PsA patients who
were compared with 18 controls matched for age and sex. Flow-mediated dilatation
(FMD) assessed by AngioDefender (Everist Health, Ann Arbor, MI), endothelial
progenitor cells (EPCs) quantified by flow cytometry and CIMT measured
ultrasonographically. Inflammatory measures included disease activity score of 28
joints count and disease activity index in psoriatic arthritis. We also assayed
markers of inflammation, including C-reactive protein (CRP), erythrocyte
sedimentation rate (ESR), proinflammatory cytokines (interleukin [IL]-1, IL-6,
and tumor necrosis factor [TNF]-alpha), and endothelial dysfunction, including
lipids, intercellular adhesion molecule 1 (ICAM-1), vascular cell adhesion
molecule 1 (VCAM-1), and EPCs. CIMT is significantly higher in PsA patients
compared with controls (0.062 +/- 0.18 vs. 0.045 +/- 0.10 cm, p < 0.01) whereas
FMD%, EPCs%, and high-density lipoproteins (HDL) cholesterol are significantly
reduced in PsA compared with controls (p < 0.05). Compared with controls, PsA
patients had significantly increased concentrations of ESR, CRP, TNF-alpha, IL-6,
ICAM-1, and VCAM-1. In PsA, CIMT positively correlated with IL-6 and ICAM-1 and
inversely correlated with FMD, HDL, and EPCs (p < 0.05). In PsA, FMD and CIMT
were impaired, indicating endothelial dysfunction and accelerated
atherosclerosis, respectively. PsA-related inflammatory mechanisms (TNF-alpha, IL
6) and markers of vascular function (CRP, ICAM-1, and EPCs) may all be involved
in the development of vascular disease in PsA. Cytokine-triggered inflammation
upregulates expression of adhesion molecules, depletes EPCs with endothelial
dysfunction, and increased CIMT in PsA.
PMID- 27867288
TI - Visual-Functional Mismatch Between Coronary Angiography, Fractional Flow Reserve,
and Quantitative Coronary Angiography.
AB - Anatomical and functional mismatches are not uncommon in the assessment of
coronary lesions. The aim of this study was to identify clinical and lesion
specific factors affecting angiographic, anatomical, and functional mismatch in
intermediate coronary lesions. In patients who underwent coronary angiography for
clinical reasons, fractional flow reserve (FFR), and quantitative coronary
angiography (QCA) analyses for intermediate stenotic lesions were performed
simultaneously. Mismatches between the measured values were analyzed. A total of
95 intermediate lesions were assessed simultaneously by visual angiography, FFR,
and QCA. The visual-FFR mismatch was found in 40% of the lesions while reverse
visual-FFR mismatch was determined in nearly 14% of the lesions. Mismatch and
reverse mismatch between FFR and QCA parameters were observed in 10 and 23% of
the lesions. FFR value was significant in 32% of the lesions while visually
significant stenosis was shown in 61% of the lesions. Among the visual-FFR
reverse mismatch group, the prevalence of culprit lesions within the left
anterior descending (LAD) was significantly higher than other vessels (p value <
0.02). There were high frequencies of angiographic, QCA, and functional
mismatches in analyses of intermediate coronary lesions. LAD lesions showed the
highest mismatch. Angiographic or QCA estimation of lesion severity has
consistently resulted in inappropriate stenting of functionally nonsignificant
lesions or undertreatment of significant lesions based on FFR.
PMID- 27867289
TI - Amplatzer Cardiac Plug for Stroke Prevention in Patients with Atrial Fibrillation
and Bigger Left Atrial Appendix Size.
AB - Left atrial appendage (LAA) dimensions have been shown as an independent
predictor of higher risk for stroke in AF patients. Little data exist on the
outcomes after LAA closure in patients with nonvalvular atrial fibrillation
(NVAF) who have relatively bigger LAA size. This study aims to evaluate the
results associated with LAA closure with the Amplatzer cardiac plug (ACP, AGA,
St. Jude Medical, Minneapolis, MN) in bigger LAA size. A total of 25 patients
with NVAF underwent LAA closure with the ACP device. All patients received short
term (up to 3 months) dual-antiplatelet therapy (clopidogrel and aspirin) after
the procedure and aspirin only thereafter. A transesophageal echocardiography was
performed in all patients at the 3- and 6-month follow-ups. No patient was lost
to follow-up (>= 12 months in all patients). The mean age, CHA2DS2-VASc score,
and HAS-BLED score were 66.2 +/- 8.79 years; 3.2 +/- 1.46 and 2.4 +/- 1.0,
respectively. The average sizes of the LAA landing zone and ostium were 23.08 +/-
5.0 and 24.9 +/- 4.4 mm, respectively. The procedure was successful in 23 (92%)
patients and was canceled in 2 (8%) patients due to huge LAA dimensions. In 56%
of the patients "pull and release" technique is needed to appropriately implant
the ACP. During a mean follow-up of 12 months, no cases of periprocedural stroke
and no mortality were observed. In patients with NVAF at high risk of
cardioembolic events and big LAA size, LAA closure using the ACP device is safe
and effective.
PMID- 27867290
TI - Ranolazine is an Effective and Safe Treatment of Adults with Symptomatic
Premature Ventricular Contractions due to Triggered Ectopy.
AB - Early and delayed afterdepolarizations (EAD/DAD) cause triggered ventricular
ectopy. Because ranolazine (RAN) suppresses EAD/DAD, we postulated that RAN might
be effective in reducing premature ventricular contractions (PVCs). To assess the
effect of RAN in patients with symptomatic PVCs due to triggered ectopy and its
safety and tolerability. A total of 59 patients with symptomatic PVCs were
identified from full-disclosure Holters. Doses of 500 and 1,000 mg offlabel RAN,
daily, were given to 34 and 66% patients, respectively, and repeat Holters were
performed prospectively during mean followup of 3.1 months. The two Holters were
retrospectively compared. Congestive heart failure (CHF) was defined as symptoms
including dyspnea, orthopnea, paroxysmal nocturnal dyspnea, and fatigue, with a
brain natriuretic peptide > 400. Systolic (heart failure with reduced ejection
fraction) versus diastolic (heart failure with preserved ejection fraction,
HFpEF) CHF depended upon an echocardiographic left ventricular ejection fraction
(LVEF) at least 50% by apical two- and four-chamber Simpson's method (HFpEF). The
mean age of the patients was 63 years, 60% were males, mean left ventricular
ejection fraction was 60%, with 34% having coronary artery disease, 73% were
hypertensive, 24% had type 2 diabetic, and 34% were on beta blockers. Upon repeat
Holters at a mean of 3.1 months after initiating RAN, 95% (56/59) of the patients
had their PVC count reduced as follows: 24% (14/59) had more than 90% decrease,
34% (20/59) had 71 to 90% decrease, and 17% (10/59) had 50 to 70% decrease. In
the entire group, RAN reduced PVCs by 71% (mean: 13,329 to 3,837; p < 0.001).
Ventricular bigeminy was reduced by 80% (4,168 to 851; p < 0.001), ventricular
coupletswere reduced by 78% (374 to 81; p < 0.001), and ventricular
tachycardiawas reduced by 91% (56 to 5; p < 0.001). The PVC reduction was dose
dependent. Off-label RAN offers an effective and safe pharmacologic treatment for
symptomatic triggered PVCs. A large, prospective randomized study is needed.
PMID- 27867291
TI - Ubiquitous Nature of Distal Athero/Thromboembolic Events during Lower Extremity
Atherectomy Procedures Involving the Superficial Femoral Artery.
AB - This study aims to evaluate occurrence, size, composition, and clinical
significance of embolized debris during superficial femoral artery atherectomy
using all commercially available atherectomy devices. Distal
athero/thromboembolic events (DATE) are a universal phenomenon in lower extremity
atherectomy procedures (LEAPs) due to the sheer volume of atheroma and the
thrombus burden in peripheral arterial disease. Some of these events can be
clinically significant. We prospectively gathered clinical and histopathological
data on all commercially available atherectomy devices by using embolic
protection devices (EPD) in every case. After intervention, the contents of EPD
were examined both microscopically and macroscopically. Data from 59 consecutive
patients undergoing LEAP were analyzed. DATE occurred 100% of the time. The
composition of particulate debris varied with the device used. Grossly visible
agglomerated debris was captured by the filter in the majority of patients 54/59
(91.5%). Clinically significant debris, defined by the Preventing Lower Extremity
Distal Embolization Using Embolic Filter Protection registry as particle diameter
> 0.2 cm, was found in 33/59 (56%) patients. The size of captured debris
particles ranged from 0.1 to 2.4 mm. While DATE occurred in all patients,
clinically significant DATE occurred in 56% patients undergoing LEAP regardless
of the atherectomy device. In spite of a large fraction of the clinically
significant debris occurring on our routine dual antiplatelet regimen, no patient
suffered an amputation. Although DATE was prevented by the use of EPD in all 59
cases, more data are needed to determine whether the use of EPD translates into a
long-term clinical benefit. Use of EPD and optimal thromboprophylaxis should be
considered in patients, especially in the setting of compromised distal runoff.
PMID- 27867292
TI - New Impella Cardiac Power Device Used in Patient with Cardiogenic Shock due to
Nonischemic Cardiomyopathy.
AB - The new percutaneous Impella CP (Cardiac Power; Abiomed, Inc., Danvers, MA) was
designed to provide a higher level of support than Impella 2.5 (Abiomed, Inc.).
We present the first documented case of a patient that was transitioned from the
Impella 2.5 to Impella CP. A 48-year-old male patient with no medical history was
transferred to our institution with a one day history of worsening shortness of
breath. The patient was unstable and found to have monomorphic ventricular
tachycardia at 220 beats/min that was cardioverted to normal sinus rhythm. An
emergent right and left heart catheterization was performed showing
nonobstructive coronary artery disease, biventricular failure with a left
ventricular ejection fraction (LVEF) of 5 to 10%, high pulmonary capillary wedge
pressure (PCWP) 22 mm Hg, right atrial (RA) pressure 22 mm Hg, and a very low
cardiac index of 1.0 L/min/m2. Because of severe cardiogenic shock, Impella 2.5
was inserted providing flow up to 2.1 L/min; however, the patient remained
unstable and critically ill with severe multiorgan failure. To provide better
mechanical support, the device was upgraded to the new Impella CP that can
provide up to 3.5 L/min of cardiac output. Over the course of the next 72 hours,
the patient showed significant improvement in hemodynamics and cardiac function
(LVEF 45%), with recovery of liver function. The Impella CP was removed with no
complications. The new Impella CP was shown to be safe and effective for
prolonged use in critically ill patients and may significantly improve their
prognosis.
PMID- 27867293
TI - Type VI Choledochal Cyst-An Unusual Presentation of Jaundice.
AB - Choledochal cysts involving the cystic duct are extremely rare, and are usually
associated with cystic dilatations of the extrahepatic biliary tract. We describe
a patient who presented with jaundice and was found to have a dilatation of the
common bile duct on computed tomographic imaging, consistent with a choledochal
cyst. He underwent a laparoscopic-converted-to-open cholecystectomy with excision
of the choledochal cyst which was found to involve the cystic duct. Choledochal
cysts involving the cystic duct are notably missing from the Todani
classification. Although exceedingly rare, new cases of these types of cysts are
being reported, in part due to advancement of diagnostic imaging modalities. We
discuss the current classification scheme for choledochal cysts and we propose an
expansion of this scheme.
PMID- 27867294
TI - Novel Technique to Treat Common Femoral Artery Pseudoaneurysm using Angio-Seal
Closure Device.
AB - Iatrogenic common femoral artery pseudoaneurysm is a well-described vascular
access complication. Several methods have been proposed to treat these
pseudoaneurysms. In this report, we present three cases of successful
pseudoaneurysm closure using a novel method of retrograde pseudoaneurysm access
and thrombosis with Angio-Seal (St Jude Medical, St Paul, MN) closure device.
This technique appears safe, effective, and reduces patient discomfort.
PMID- 27867295
TI - Siblings' Perceptions of Differential Treatment, Fairness, and Jealousy and
Adolescent Adjustment: A Moderated Indirect Effects Model.
AB - Youth's perception of parents' differential treatment (PDT) are associated with
maladjustment during adolescence. Although the direct relations between PDT and
youth's maladjustment have been well established, the mechanisms underlying these
associations remain unclear. We addressed this gap by examining whether sibling
jealousy accounted for the links between PDT and youth's depressive symptoms,
self-worth, and risky behaviors. Additionally, we examined whether youth's
perceptions of fairness regarding their treatment as well as the gender
constellation of the dyad moderated these indirect relations (i.e., moderated
indirect effects). Participants were first- and second-born adolescent siblings
(M = 15.96, SD = .72 years for older siblings, M = 13.48, SD = 1.02 years for
younger siblings) and their parents from 197 working and middle class European
American families. Data were collected via home interviews. A series of
Conditional Process Analyses revealed significant indirect effects of PDT through
sibling jealousy to all three adjustment outcomes. Furthermore, perceptions of
fairness moderated the relations between PDT and jealousy, such that the indirect
effects were only significant at low (-1 SD) and average levels of fairness. At
high levels of fairness (+1 SD) there was no association between PDT, jealousy,
and youth adjustment. Taken together, results indicate that youth and parents
would benefit from engaging in clear communication regarding the reasoning for
the occurrence of differential treatment, likely maximizing youth and parent
perceptions of that treatment as being fair, and in turn mitigating sibling
jealousy and maladjustment.
PMID- 27867296
TI - Micropositioning and Control of an Underactuated Platform for Microscopic
Applications.
AB - For automation of biological experiments at the micro-scale, highly precise
manipulator equipped with a microscope is required. However, current
micropositioning stages have several limitations, such as: 1) manual operation,
2) lack of rotational capability, 3) incompatibility with a microscope, and 4)
small range of motion (RoM). This research aims to develop a microscope
compatible XYtheta micropositioning stage with large RoM for phenotyping multiple
biological samples rapidly for various microscopic applications. An underactuated
planar mechanism, kinematic analysis, and control of the XYtheta stage are
presented in this paper. The planar mechanism consists of two piezoelectric
linear actuators for translational motion capability and two passive revolute
joints at the tip of each linear actuator for rotational capability. Based on the
kinematic analysis of the stage, controllability and control strategy of the
underactuated stage is described. Finally, the feasibility of the
micropositioning stage for a general positioning and orienting task is verified
by both simulation and tissue core experiments.
PMID- 27867298
TI - Prophylactic Bilateral Nipple-sparing Mastectomy and a Staged Breast
Reconstruction Technique: Preliminary Results.
AB - More high-risk women with breast cancer are identified using genetic testing at a
younger age. These young women often opt for prophylactic surgery. Most patients
are reluctant for extra donor-site scars besides infections and necrosis. In
order to reduce these risks, a two-stage breast reconstruction technique is used
for high-risk women with large or ptotic breasts. We presume that this procedure
will reduce the risk of skin envelope and nipple-areola complex (NAC) necrosis to
less than 1%. In the first stage, an inferior pedicle reduction is performed to
obtain large volume reduction with maximal safety for the NAC. The ptosis, skin
excess, and malpositioning of the NAC are corrected safely at this stage. In the
second stage, the skin-sparing mastectomy is performed with or without nipple
sparing. During this procedure, the areola is never removed. A bilateral breast
reconstruction is then performed with an immediate subpectoral prothesis or
delayed with the use of a subpectoral tissue expander. In this way, we aim to
meet the patient's wish to undergo bilateral risk reducing mastectomy in breasts
that need ptosis correction without donor-site scarring. This article describes
the procedure and reports the preliminary data.
PMID- 27867297
TI - Soil stabilization linked to plant diversity and environmental context in coastal
wetlands.
AB - BACKGROUND: Plants play a pivotal role in soil stabilization, with above-ground
vegetation and roots combining to physically protect soil against erosion. It is
possible that diverse plant communities boost root biomass, with knock-on
positive effects for soil stability, but these relationships are yet to be
disentangled. QUESTION: We hypothesize that soil erosion rates fall with
increased plant species richness, and test explicitly how closely root biomass is
associated with plant diversity. METHODS: We tested this hypothesis in salt marsh
grasslands, dynamic ecosystems with a key role in flood protection. Using step
wise regression, the influences of biotic (e.g. plant diversity) and abiotic
variables on root biomass and soil stability were determined for salt marshes
with two contrasting soil types: erosion-resistant clay (Essex, southeast UK) and
erosion-prone sand (Morecambe Bay, northwest UK). A total of 132 (30-cm depth)
cores of natural marsh were extracted and exposed to lateral erosion by water in
a re-circulating flume. RESULTS: Soil erosion rates fell with increased plant
species richness (R2 = 0.55), when richness was modelled as a single explanatory
variable, but was more important in erosion-prone (R2 = 0.44) than erosion
resistant (R2 = 0.18) regions. As plant species richness increased from two to
nine species.m-2, the coefficient of variation in soil erosion rate decreased
significantly (R2 = 0.92). Plant species richness was a significant predictor of
root biomass (R2 = 0.22). Step-wise regression showed that five key variables
accounted for 80% of variation in soil erosion rate across regions. Clay-silt
fraction and soil carbon stock were linked to lower rates, contributing 24% and
31%, respectively, to variation in erosion rate. In regional analysis, abiotic
factors declined in importance, with root biomass explaining 25% of variation.
Plant diversity explained 12% of variation in the erosion-prone sandy region.
CONCLUSION: Our study indicates that soil stabilization and root biomass are
positively associated with plant diversity. Diversity effects are more pronounced
in biogeographical contexts where soils are erosion-prone (sandy, low organic
content), suggesting that the pervasive influence of biodiversity on
environmental processes also applies to the ecosystem service of erosion
protection.
PMID- 27867300
TI - QUIC Transport and Dispersion Modeling of Vehicle Emissions in Cities for Better
Public Health Assessments.
AB - The Quick Urban and Industrial Complex (QUIC) plume modeling system is used to
explore how the transport and dispersion of vehicle emissions in cities are
impacted by the presence of buildings. Using downtown Philadelphia as a test
case, notional vehicle emissions of gases and particles are specified as line
source releases on a subset of the east-west and north-south streets. Cases were
run in flat terrain and with 3D buildings present in order to show the
differences in the model-computed outdoor concentration fields with and without
buildings present. The QUIC calculations show that buildings result in regions
with much higher concentrations and other areas with much lower concentrations
when compared to the flat-earth case. On the roads with vehicle emissions, street
level concentrations were up to a factor of 10 higher when buildings were on
either side of the street as compared to the flat-earth case due to trapping of
pollutants between buildings. However, on roads without vehicle emissions and in
other open areas, the concentrations were up to a factor of 100 times smaller as
compared to the flat earth case because of vertical mixing of the vehicle
emissions to building height in the cavity circulation that develops on the
downwind side of unsheltered buildings. QUIC was also used to calculate
infiltration of the contaminant into the buildings. Indoor concentration levels
were found to be much lower than outdoor concentrations because of deposition
onto indoor surfaces and particulate capture for buildings with filtration
systems. Large differences in indoor concentrations from building to building
resulted from differences in leakiness, air handling unit volume exchange rates,
and filter type and for naturally ventilated buildings, whether or not the
building was sheltered from the prevailing wind by a building immediately upwind.
PMID- 27867299
TI - Circulating Phospholipids as Biomarkers of Breast Cancer: A Review.
AB - Breast cancer is the most common cancer in women and the second leading cause of
cancer deaths in women. The key to surviving breast cancer is early detection and
treatment. Current technologies rely heavily on imaging of the breast, and
although considered the gold standard, they have their limitations. There is a
need for a more accurate screening test for women of all ages, which can detect
the cancer at a cellular level and before metastasis. There have been extensive
studies into markers for breast cancer including protein and nucleic acid
biomarkers, but to date, these have been unsuccessful. A growing field of
interest is the association between breast cancer (tissue and cells) and lipids,
which is documented in the literature, and may be considered as a leading
candidate in the breast cancer detection space.
PMID- 27867301
TI - Perioperative Evaluation of Patients with Pulmonary Conditions Undergoing Non
Cardiothoracic Surgery.
AB - This review describes the perioperative management of patients with suspected or
established pulmonary conditions undergoing non-cardiothoracic surgery, with a
focus on common pulmonary conditions such as obstructive airway disease,
pulmonary hypertension, obstructive sleep apnea, and chronic hypoxic respiratory
conditions. Considering that postoperative pulmonary complications are common and
given the increasing number of surgical procedures and the size of the aging
population, familiarity with current guidelines for preoperative risk assessment
and intra- and postoperative patient management is recommended to decrease the
morbidity and mortality. In particular, smoking cessation and pulmonary
rehabilitation are perioperative strategies for improving patients' short- and
long-term outcomes. Understanding the potential risk for pulmonary complications
allows the medical team to appropriately plan the intra- and postoperative care
of each patient.
PMID- 27867303
TI - DNA Methylation of Cellular Retinoic Acid-Binding Proteins in Cervical Cancer.
AB - This study determined the methylation status of cellular retinoic acid-binding
protein (CRABP) gene promoters and associated them with demographic
characteristics, habits, and the presence of human papilloma virus (HPV) in
patients with cervical cancer (CC), low and high squamous intraepithelial
lesions, and no intraepithelial lesion. Women (n = 158) were selected from the
Colposcopy Clinic of Sanitary Jurisdiction II in Ciudad Juarez, Chihuahua,
Mexico. Demographic characteristics and habit information were collected.
Cervical biopsy and endocervical scraping were used to determine methylation in
promoter regions by methylation-specific polymerase chain reaction technique. We
found hemi-methylation patterns in the promoter regions of CRABP1 and CRABP2;
there was 28.5% hemi-methylation in CRABP1 and 7.0% in that of CRABP2.
Methylation in CRABP1 was associated with age (>=35 years, P = 0.002), family
history of cancer (P = 0.032), the presence of HPV-16 (P = 0.013), and no alcohol
intake (P = 0.035). These epigenetic changes could be involved in the CC process,
and CRABP1 has the potential to be a predictive molecular marker of retinoid
therapy response.
PMID- 27867304
TI - Relationships of Reproductive Traits With the Phylogeny of the African Noctuid
Stem Borers.
AB - The display of the reproductive behavior in most noctuid Lepidoptera follows a
diel periodicity and is limited to a precise period of either the day or the
night. These behavioral traits and the sex pheromone chemistry can be species
specific and thus might be linked to the phylogeny. The objective of this study
was to test the relationship of these reproductive traits with phylogeny. The
study was undertaken using eight closely related species of noctuid stem borers,
which are easy to rear under artificial conditions, namely, Busseola fusca, B.
nairobica, B. sp. nr. segeta, Manga melanodonta, M. sp. nr. nubifera, Pirateolea
piscator, Sesamia calamistis, and S. nonagrioides. For each species, the adult
emergence period, the mating time, and the oviposition period were estimated,
referred as biological traits. The components of the sex pheromones emitted by
the females of each species were also analyzed by gas chromatography-mass
spectrometry. Among the biological traits measured, only those linked to the
oviposition pattern (timing and egg loads per night) were significantly
correlated with the phylogeny of these species. For the sex pheromone components,
among the 13 components identified in all species, only four, namely, Z9
tetradecenyl acetate (Z9-TDA), Z11-TDA, E11-TDA, and Z11-hexadecenyl acetate (Z11
HDA), showed the highest significant correlations with the phylogeny. These
results suggest that among the different reproductive traits evaluated, only few
are phylogenetically constrained. Their involvement in the reinforcement of
ecological speciation in noctuid stem borers is discussed.
PMID- 27867305
TI - Pulmonary Hypertension in Heart Failure Patients Presenting at OAUTHC, Ile-Ife,
Nigeria.
AB - BACKGROUND: Pulmonary hypertension (PH) is common in heart failure patients.
Literature on PH in heart failure is sparse in Nigeria. This study was carried
out to determine the prevalence of PH in heart failure patients and ascertain the
relationship between left ventricular systolic and diastolic function and the
degree of PH. METHODS: A total of 125 heart failure patients had echocardiography
done. PH was diagnosed using tricuspid regurgitation jet and pulmonary ejection
jet profile. RESULTS: PH was present in 70.4% of heart failure patients.
Estimated mean pulmonary arterial pressure increased with increasing severity of
systolic and diastolic dysfunction and had significantly negative correlation
with ejection fraction, fractional shortening, and early mitral annular tissue
diastolic velocity (E'), but positive correlation with left ventricular end
systolic volume, right ventricular dimension, transmitral E to A ratio, and E/E'
ratio. CONCLUSION: PH is very common in heart failure and has significant
relationship with left ventricular function.
PMID- 27867302
TI - Current and Emerging Uses of Statins in Clinical Therapeutics: A Review.
AB - Statins, a class of cholesterol-lowering medications that inhibit 3-hydroxy-3
methyl-glutaryl-coenzyme A reductase, are commonly administered to treat
atherosclerotic cardiovascular disease. Statin use may expand considerably given
its potential for treating an array of cholesterol-independent diseases. However,
the lack of conclusive evidence supporting these emerging therapeutic uses of
statins brings to the fore a number of unanswered questions including
uncertainties regarding patient-to-patient variability in response to statins,
the most appropriate statin to be used for the desired effect, and the efficacy
of statins in treating cholesterol-independent diseases. In this review, the
adverse effects, costs, and drug-drug and drug-food interactions associated with
statin use are presented. Furthermore, we discuss the pleiotropic effects
associated with statins with regard to the onset and progression of autoimmune
and inflammatory diseases, cancer, neurodegenerative disorders, strokes,
bacterial infections, and human immunodeficiency virus. Understanding these
issues will improve the prognosis of patients who are administered statins and
potentially expand our ability to treat a wide variety of diseases.
PMID- 27867306
TI - Case Report of Ectopic Ovarian Pregnancy Following Fresh Embryo Transfer.
AB - BACKGROUND: Ovarian pregnancy is a rare and challenging clinical phenomenon.
Recent studies have identified assisted reproductive treatments and infertility
as risk factors. However, neither a definite mechanism nor clear risk factors
were identified and therefore prevention strategies are yet unavailable. CLINICAL
CASE: In this article, we present a case of ovarian pregnancy occurring following
in vitro fertilization treatment and a fresh embryo transfer. The couple was
diagnosed with unexplained infertility and no identifiable risk factors for
extrauterine pregnancy. The diagnosis of ovarian pregnancy was made during
explorative laparoscopy performed due to suspected extrauterine pregnancy. The
patient had normal intra- and postoperative course. CONCLUSION: Ovarian pregnancy
is an infrequent and a challenging diagnosis. Yet, late diagnosis and lack of
appropriate intervention may have long-term implications. Several mechanisms and
risk factors are proposed, and their acknowledgment may improve early diagnosis
and prevention of complications.
PMID- 27867307
TI - Adequate Vitamin D Intake but Low Serum Levels in Pediatric Asthma Patients: A
Pilot Study, Alberta Children's Hospital.
AB - Background. We assessed vitamin D intakes and serum 25(OH) vitamin D levels in
pediatric asthma patients on moderate-to-high dose inhaled steroids and compared
them to published findings of healthy children in our city. Methods. Parents
and/or patients were interviewed to estimate the children's vitamin D intakes
from foods and supplements (using an adapted validated food frequency
questionnaire) and asthma duration and management. Vitamin D status: serum 25
hyroxy vitamin D (25(OH)D) was obtained from the medical records. Results.
Vitamin D intakes from food and supplements of the asthma patients (n = 20, 742
+/- 185 IU/day) were significantly higher compared to healthy Canadian children
(n = 1442, 229 +/- 121 IU/day). Despite higher vitamin D intakes, the children
had nonsignificantly lower serum 25(OH) vitamin D levels compared to the
comparison group. Serum 25(OH)D levels increased by 3.6 nmol/L with each 100 IU
of vitamin D intake (95% Confidence interval = 2.0-4.0, R2 = 0.931, and p =
0.001). Conclusion. Since adequate vitamin D status in asthma patients is
necessary to support bone mineral accretion, it is important to achieve adequate
vitamin D status by checking serum 25(OH)D status and supplement accordingly.
PMID- 27867308
TI - Effect of N-Methyl-D-Aspartate Receptor Antagonist Dextromethorphan on Opioid
Analgesia in Pediatric Intensive Care Unit.
AB - Objective. Pain control is an essential goal in the management of critical
children. Narcotics are the mainstay for pain control. Patients frequently need
escalating doses of narcotics. In such cases an adjunctive therapy may be
beneficial. Dextromethorphan (DM) is NMDA receptor antagonist and may prevent
tolerance to narcotics; however, its definitive role is still unclear. We sought
whether dextromethorphan addition could decrease the requirements of fentanyl to
control pain in critical children. Design. Double-blind, randomized control trial
(RCT). Setting. Pediatric multidisciplinary ICU in tertiary care center.
Patients. Thirty-six pediatric patients 2-14 years of age in a multidisciplinary
PICU requiring analgesia were randomized into dextromethorphan and placebo. The
subjects in both groups showed similarity in most of the characteristics.
Interventions. Subjects while receiving fentanyl for pain control received
dextromethorphan or placebo through nasogastric/orogastric tubes for 96 hours.
Pain was assessed using FLACC and faces scales. Measurements and Main Results.
This study found no statistical significant difference in fentanyl requirements
between subjects receiving dextromethorphan and those receiving placebo (p =
0.127). Conclusions. Dextromethorphan has no effect on opioid requirement for
control of acute pain in children admitted with acute critical care illness in
PICU. The registration number for this trial is NCT01553435.
PMID- 27867310
TI - The North Wyke Farm Platform: effect of temperate grassland farming systems on
soil moisture contents, runoff and associated water quality dynamics.
AB - : The North Wyke Farm Platform was established as a United Kingdom national
capability for collaborative research, training and knowledge exchange in agro
environmental sciences. Its remit is to research agricultural productivity and
ecosystem responses to different management practices for beef and sheep
production in lowland grasslands. A system based on permanent pasture was
implemented on three 21-ha farmlets to obtain baseline data on hydrology,
nutrient cycling and productivity for 2 years. Since then two farmlets have been
modified by either (i) planned reseeding with grasses that have been bred for
enhanced sugar content or deep-rooting traits or (ii) sowing grass and legume
mixtures to reduce nitrogen fertilizer inputs. The quantities of nutrients that
enter, cycle within and leave the farmlets were evaluated with data recorded from
sensor technologies coupled with more traditional field study methods. We
demonstrate the potential of the farm platform approach with a case study in
which we investigate the effects of the weather, field topography and farm
management activity on surface runoff and associated pollutant or nutrient loss
from soil. We have the opportunity to do a full nutrient cycling analysis, taking
account of nutrient transformations in soil, and flows to water and losses to
air. The NWFP monitoring system is unique in both scale and scope for a managed
land-based capability that brings together several technologies that allow the
effect of temperate grassland farming systems on soil moisture levels, runoff and
associated water quality dynamics to be studied in detail. HIGHLIGHTS: Can meat
production systems be developed that are productive yet minimize losses to the
environment?The data are from an intensively instrumented capability, which is
globally unique and topical.We use sensing technologies and surveys to show the
effect of pasture renewal on nutrient losses.Platforms provide evidence of the
effect of meteorology, topography and farm activity on nutrient loss.
PMID- 27867311
TI - Spatial variation in soil properties and diffuse losses between and within
grassland fields with similar short-term management.
AB - : One of the major challenges for agriculture is to understand the effects of
agricultural practices on soil properties and diffuse pollution, to support
practical farm-scale land management. Three conventionally managed grassland
fields with similar short-term management, but different ploughing histories,
were studied on a long-term research platform: the North Wyke Farm Platform. The
aims were to (i) quantify the between-field and within-field spatial variation in
soil properties by geostatistical analysis, (ii) understand the effects of soil
condition (in terms of nitrogen, phosphorus and carbon contents) on the quality
of discharge water and (iii) establish robust baseline data before the
implementation of various grassland management scenarios. Although the fields
sampled had experienced the same land use and similar management for at least 6
years, there were differences in their mean soil properties. They showed
different patterns of soil spatial variation and different rates of diffuse
nutrient losses to water. The oldest permanent pasture field had the largest soil
macronutrient concentrations and the greatest diffuse nutrient losses. We show
that management histories affect soil properties and diffuse losses. Potential
gains in herbage yield or benefits in water quality might be achieved by
characterizing every field or by area-specific management within fields (a form
of precision agriculture for grasslands). Permanent pasture per se cannot be
considered a mitigation measure for diffuse pollution. The between- and within
field soil spatial variation emphasizes the importance of baseline
characterization and will enable the reliable identification of any effects of
future management change on the Farm Platform. HIGHLIGHTS: Quantification of soil
and water quality in grassland fields with contrasting management
histories.Considerable spatial variation in soil properties and diffuse losses
between and within fields.Contrasting management histories within and between
fields strongly affected soil and water quality.Careful pasture management
needed: the oldest pasture transferred the most nutrients from soil to water.
PMID- 27867309
TI - Gender Differences in Symptoms, Health-Related Quality of Life, Sleep Quality,
Mental Health, Cognitive Performance, Pain-Cognition, and Positive Health in
Spanish Fibromyalgia Individuals: The Al-Andalus Project.
AB - Objective. To test the gender differences in tenderness, impact of fibromyalgia,
health-related quality of life, fatigue, sleep quality, mental health, cognitive
performance, pain-cognition, and positive health in Spanish fibromyalgia patients
and in age-matched nonfibromyalgia individuals from the same region. To test the
optimal cut-off score of the different tender points for women and men. Methods.
A total of 405 (384 women) fibromyalgia versus 247 (195 women) nonfibromyalgia
control participants from southern Spain (Andalusia) took part in this cross
sectional study. The outcomes studied were assessed by means of several tests.
Results. In the fibromyalgia group, men showed better working memory than women
(all, P < 0.01), whereas sleep latency was lower in women compared to men (P =
0.013). In the nonfibromyalgia group, men showed higher pain threshold in all the
tender points (all, P < 0.01), except in right and left lateral epicondyle.
Furthermore, men showed better working memory than women (all, P < 0.01), whereas
memory performance was better in women compared to men (all, P <= 0.01).
Conclusion. The results of the present study do not support consistent evidence
of gender differences in fibromyalgia-related symptoms. However, it seems that
detriment of some symptoms (especially pain) in fibromyalgia men compared with
their nonfibromyalgia counterparts is greater than those of fibromyalgia women
compared with their nonfibromyalgia peers.
PMID- 27867312
TI - Sustainable grassland systems: a modelling perspective based on the North Wyke
Farm Platform.
AB - The North Wyke Farm Platform (NWFP) provides data from the field- to the farm
scale, enabling the research community to address key issues in sustainable
agriculture better and to test models that are capable of simulating soil, plant
and animal processes involved in the systems. The tested models can then be used
to simulate how agro-ecosystems will respond to changes in the environment and
management. In this study, we used baseline datasets generated from the NWFP to
validate the Soil-Plant-Atmosphere Continuum System (SPACSYS) model in relation
to the dynamics of soil water content, water loss from runoff and forage biomass
removal. The validated model, together with future climate scenarios for the
2020s, 2050s and 2080s (from the International Panel on Climate Change (IPCC)
Special Report on Emissions Scenarios (SRES): medium (A1B) and large (A1F1)
emission scenarios), were used to simulate the long-term responses of the system
with three contrasting treatments on the NWFP. Simulation results demonstrated
that the SPACSYS model could estimate reliably the dynamics of soil water
content, water loss from runoff and drainage, and cut biomass for a permanent
sward. The treatments responded in different ways under the climate change
scenarios. More carbon (C) is fixed and respired by the swards treated with an
increased use of legumes, whereas less C was lost through soil respiration with
the planned reseeding. The deep-rooting grass in the reseeding treatment reduced
N losses through leaching, runoff and gaseous emissions, and water loss from
runoff compared with the other two treatments.
PMID- 27867313
TI - Tool-specific performance of vibration-reducing gloves for attenuating fingers
transmitted vibration.
AB - BACKGROUND: Fingers-transmitted vibration can cause vibration-induced white
finger. The effectiveness of vibration-reducing (VR) gloves for reducing hand
transmitted vibration to the fingers has not been sufficiently examined.
OBJECTIVE: The objective of this study is to examine tool-specific performance of
VR gloves for reducing finger-transmitted vibrations in three orthogonal
directions (3D) from powered hand tools. METHODS: A transfer function method was
used to estimate the tool-specific effectiveness of four typical VR gloves. The
transfer functions of the VR glove fingers in three directions were either
measured in this study or during a previous study using a 3D laser vibrometer.
More than seventy vibration spectra of various tools or machines were used in the
estimations. RESULTS: When assessed based on frequency-weighted acceleration, the
gloves provided little vibration reduction. In some cases, the gloves amplified
the vibration by more than 10%, especially the neoprene glove. However, the
neoprene glove did the best when the assessment was based on unweighted
acceleration. The neoprene glove was able to reduce the vibration by 10% or more
of the unweighted vibration for 27 out of the 79 tools. If the dominant vibration
of a tool handle or workpiece was in the shear direction relative to the fingers,
as observed in the operation of needle scalers, hammer chisels, and bucking bars,
the gloves did not reduce the vibration but increased it. CONCLUSIONS: This study
confirmed that the effectiveness for reducing vibration varied with the gloves
and the vibration reduction of each glove depended on tool, vibration direction
to the fingers, and finger location. VR gloves, including certified anti
vibration gloves do not provide much vibration reduction when judged based on
frequency-weighted acceleration. However, some of the VR gloves can provide more
than 10% reduction of the unweighted vibration for some tools or workpieces.
Tools and gloves can be matched for better effectiveness for protecting the
fingers.
PMID- 27867314
TI - DNA Recognition by Hybrid Oligoether-Oligodeoxynucleotide Macrocycles.
PMID- 27867316
TI - Support for international trade law: The US and the EU compared.
AB - In this article we compare US and EU support for bilateral and multilateral
international trade law. We assess the support for international law of both
trading blocs by focusing on the following four dimensions: leadership, consent,
compliance and internalization. Although we find strong support for international
trade law from both the US and the EU in general, we also witness some variation,
most notably in relation to the design of preferential trade agreements (PTAs)
and compliance with World Trade Organization (WTO) law. Turning to explaining
these (moderate) differences, we argue that outcomes in US trade policy can best
be explained by a domestic political factor, namely the direct influence of
interest groups. Although the involvement of societal interests also goes a long
way in explaining EU behavior, it does not tell the entire story. We posit that,
in EU trade policy, institutions are a particular conditioning factor that needs
to be stressed. Moreover, we suggest that foreign policy considerations in
managing trade relations have characterized EU's support for international trade
law.
PMID- 27867315
TI - The Diphosphorus Complex [Cp2Mo2(CO)4(eta2-P2)] as a Building Block for the
Synthesis of Mixed-Hybrid Coordination Polymers.
AB - The three-component reaction of the tetrahedral diphosphorus complex
[Cp2Mo2(CO)4(eta2-P2)] (1), with Ag[BF4] (2) in the presence of 2,2'-bipyrimidine
(3) leads to the formation of the two novel two-dimensional networks 4 and 5.
Compound 4 is a new two-dimensional organometallic-organic hybrid polymer, while
derivative 5 represents a unique two-dimensional organometallic-inorganic-organic
hybrid polymer. These results show the possibility of synthesizing a new class of
coordination polymers, which could not be obtained from two-component reactions
with organic molecules in addition of metal ions.
PMID- 27867317
TI - The Longitudinal Process of Early Parent Involvement on Student Achievement: A
Path Analysis.
AB - This longitudinal study investigated the process whereby early parent involvement
in preschool effects student achievement from kindergarten through 6th grade.
Participants were 1,539 low-income, mainly African American children and their
mothers, in the Chicago Longitudinal Study. Program children (N = 989) received
one or two years of the Child-Parent Center (CPC) program - a preschool
intervention that strongly promoted parents' development of parent involvement
skills within the school and at home. Children from similar backgrounds who did
not attend the CPC, but participated in available local resources (e.g. day
care), were obtained as a comparison group (N = 550). Path analysis revealed an
interactive process between parent involvement, academic achievement, and
children's motivation. Early parent involvement directly influenced kindergarten
achievement, which in turn influenced first grade student motivation. Highly
motivated children then encouraged parents to continue involvement. The cyclic
nature of this process across elementary school was observed. The model accounted
for 61% of the variance in 6th grade achievement. Findings suggest that early
parent involvement promoted in the CPC program, sets the stage for subsequent
parent involvement, student motivation, and academic achievement throughout early
and middle childhood.
PMID- 27867318
TI - The Power of Affirming Group Values: Group Affirmation Buffers the Self-Esteem of
Women Exposed to Blatant Sexism.
AB - Extending the group affirmation literature to the domain of prejudice, this study
investigated whether group affirmation buffers the self-esteem of women exposed
to blatant sexism. In accordance with Self-Affirmation Theory (Steele, 1988) and
group affirmation research (Sherman et al., 2007), we hypothesized that when one
aspect of the collective self is threatened (gender identity), self-esteem can be
maintained via the affirmation of an alternative aspect of the collective self.
In a 2*2 between-participants design, female students were randomly assigned to
read about discrimination directed toward women or a non-self-relevant
disadvantaged group (the Inuit). All then participated in a (fictitious) second
study, in which half completed a group affirmation manipulation (wrote about the
top three values of a self-defining group) and half completed a control writing
exercise. The self-esteem of women who were threatened by sexism, but group
affirmed, was protected from the negative effects of perceiving sexism.
PMID- 27867319
TI - "I Got to Know Them in a New Way": Rela(y/t)ing Rhizomes and Community-Based
Knowledge (Brokers') Transformation of Western and Indigenous Knowledge.
AB - Drawing on three culturally specific research projects, this paper examines how
community-based knowledge brokers' engagement in brokering knowledge shaped the
projects' processes. Informed by Deleuze and Guattari's (1987) conceptualization
of the "rhizome," we discuss how community knowledge brokers' engagement in open
research-creation practices embrace the relational foundation of Indigenous
research paradigms in contrast to mainstream Western research practices that are
engaged as linear, objective, and outcome-oriented activities. In turn, we offer
propositions for building team environments where open research-creation
practices can unfold, informing a periphery of shared space for Indigenous and
Western paradigms.
PMID- 27867320
TI - Changes in the Dose-Response Relationship of One Toxicant Under Simultaneous
Exposure to Another Toxicant.
AB - We considered, in general form for a 22 full factorial experiment, linear
approximations of the organism's dose-response relationship for some factors
operating alone and modification of this relationship by another factor operating
in the background. A typological classification of such modifications is
suggested. An analysis of the outcomes obtained in a number of subchronic animal
experiments on rats in which this response was assessed by changes in a large
number of biomedical indices revealed that all theoretically possible variants
(types) of the modification under consideration are actually observed depending
on a specific index and specific harmful exposure. Statistical significance
estimation procedures are formulated for each of them.
PMID- 27867321
TI - Destroying the Linear No-threshold Basis for Radiation Regulation: A Commentary.
AB - This article suggests five classes of effort that scientists can undertake to
destroy the linear no-threshold hypothesis as the basis of radiation regulation
in the United States. These are (1) pressure on regulators such as the Nuclear
Regulatory Commission, (2) presidential messages, (3) Congressional pressure, (4)
educate physicians about low-dose radiation, and (5) work with journalists and
media people.
PMID- 27867322
TI - A Certified Health Physicist's Reflections on a 40-Year Career in Radiation
Protection.
AB - This is a reflection from a certified health physicist regarding his becoming
aware, during his 40-year career, that the linear no-threshold (LNT) model and
the associated As Low As Reasonably Achievable concept have no scientific basis
and make no positive contribution to radiation safety. They should be replaced by
an alternative, scientifically based model that includes a threshold, below which
there is no harm, and recognition of hormesis and the adaptive response, which
reflect the benefits of low-dose and low-dose-rate radiation exposure. Continued
use of the unscientific LNT model is not conservative, as most regulators
complacently claim but actually harmful. Examples of these harmful impacts in the
areas of nuclear power, nuclear medicine, and environmental management are
included.
PMID- 27867323
TI - Low-Dose-Rate Irradiation for 1 Hour Induces Protection Against Lethal Radiation
Doses but Does Not Affect Life Span of DBA/2 Mice.
AB - Prior findings showed that serum from DBA/2 mice that had been given whole-body
irradiation for 1 hour at a low dose rate (LDR) of 30 cGy/h induced protection
against radiation in reporter cells by a mechanism depending on transforming
growth factor beta3 and inducible nitric oxide synthase activity. In the present
study, the effect of the 1 hour of LDR irradiation on the response of the
preirradiated mice to a subsequent lethal dose and on the life span is examined.
These DBA/2 mice were prime irradiated for 1 hour at 30 cGy/h. Two experiments
with 9 and 9.5 Gy challenge doses given 6 weeks after priming showed increased
survival in primed mice compared to unprimed mice followed up to 225 and 81 days
after challenge irradiation, respectively. There was no overall significant
difference in life span between primed and unprimed mice when no challenge
irradiation was given. The males seemed to have a slight increase in lifespan
after priming while the opposite was seen for the females.
PMID- 27867324
TI - Predicting Adult Criminal Behavior from Juvenile Delinquency: Ex-Ante vs. Ex-Post
Benefits of Early Intervention.
AB - Recent analyses of the long-term societal benefits from early intervention
(prenatal care, home visitation, and high quality preschool) for at-risk children
commonly include significant savings to society in the form of reduced juvenile
delinquency and adult criminal behavior. However, a nontrivial proportion of the
reported benefits of several early intervention programs are based on forecasts
of criminal behavior throughout adulthood conditional on intervention effects on
delinquency in adolescence. Data from the Chicago Longitudinal Study (CLS), an
investigation of the life course of 1,539 children from low-income families born
in 1979-1980, are used to investigate the bias resulting from predicting the
effect of early intervention on adult criminal behavior from the effect on
delinquency in adolescence. The investigation concludes that the general method
used to predict adult criminal behavior results in a conservative estimate of the
reduction in the cost of adult criminal behavior attributed to early
intervention.
PMID- 27867326
TI - Towards a Consistent and Scientifically Accurate Drug Ontology.
AB - Our use case for comparative effectiveness research requires an ontology of drugs
that enables querying National Drug Codes (NDCs) by active ingredient, mechanism
of action, physiological effect, and therapeutic class of the drug products they
represent. We conducted an ontological analysis of drugs from the realist
perspective, and evaluated existing drug terminology, ontology, and database
artifacts from (1) the technical perspective, (2) the perspective of pharmacology
and medical science (3) the perspective of description logic semantics (if they
were available in Web Ontology Language or OWL), and (4) the perspective of our
realism-based analysis of the domain. No existing resource was sufficient.
Therefore, we built the Drug Ontology (DrOn) in OWL, which we populated with NDCs
and other classes from RxNorm using only content created by the National Library
of Medicine. We also built an application that uses DrOn to query for NDCs as
outlined above, available at: http://ingarden.uams.edu/ingredients. The
application uses an OWL-based description logic reasoner to execute end-user
queries. DrOn is available at http://code.google.com/p/dr-on.
PMID- 27867325
TI - PARASITOID VENOM INDUCES METABOLIC CASCADES IN FLY HOSTS.
AB - Parasitoid wasps inject insect hosts with a cocktail of venoms to manipulate the
physiology, development, and immunity of the hosts and to promote development of
the parasitoid offspring. The jewel wasp Nasonia vitripennis is a model
parasitoid with at least 79 venom proteins. We conducted a high-throughput
analysis of Nasonia venom effects on temporal changes of 249 metabolites in pupae
of the flesh fly host (Sarcophaga bullata), over a five-day time course. Our
results show that venom does not simply arrest the metabolism of the fly host.
Rather, it targets specific metabolic processes while keeping hosts alive for at
least five days post venom injection by the wasp. We found that venom: (a)
Activates the sorbitol biosynthetic pathway while maintaining stable glucose
levels, (b) Causes a shift in intermediary metabolism by switching to anaerobic
metabolism and blocking the tricarboxylic acid cycle, (c) Arrests chitin
biosynthesis that likely reflects developmental arrest of adult fly structures,
(d) Elevates the majority of free amino acids, and (e) May be increasing
phospholipid degradation. Despite sharing some metabolic effects with cold
treatment, diapause, and hypoxia, the venom response is distinct from these
conditions. Because Nasonia venom dramatically increases sorbitol levels without
changing glucose levels, it could be a useful model for studying the regulation
of the sorbitol pathway, which is relevant to diabetes research. Our findings
generally support the view that parasitoid venoms are a rich source of bioactive
molecules with potential biomedical applications.
PMID- 27867328
TI - Association Between Erectile Function and Biomarkers of Subclinical
Atherosclerosis: A Study Based on Middle-Aged Healthy Men from the General
Population.
AB - INTRODUCTION: Epidemiological studies suggest atherosclerosis as a common risk
factor between cardiovascular diseases and erectile dysfunction (ED). We aimed to
determine the association between erectile function and the biomarkers of
subclinical atherosclerosis in 119 middle-aged healthy men from the general
population. METHODS: Erectile function was assessed using the International Index
of Erectile Function-5 (IIEF-5). Serum levels of biomarkers of atherosclerosis:
Apolipoprotein A, Apolipoprotein B, fibrinogen, and C-reactive protein (CRP) were
measured. In addition, demographic data was collected. RESULTS: The mean (SD) of
age was 55 years (+/- 4.0). The prevalence of ED was 50%. There was a negative
significant correlation between IIEF-5 and CRP levels (r = -0.20, p = 0.02), and
BMI (r = -0.20, p = 0.03), respectively. No significant correlations between IIEF
5 and serum levels of Apolipoprotein A, Apolipoprotein B, and fibrinogen were
found (p > 0.05). A positive significant correlation was found between BMI and
fibrinogen (r = 0.20, p = 0.01), CRP (r = 0.30, p = 0.001). In a multivariate
logistic regression model with IIEF-5 as the dependent variable, CRP was the only
biomarker that predicted ED (odds ratio = 1.350; 95 % CI: 1.044-1.754).
CONCLUSIONS: These results indicate that CRP is a biomarker of subclinical
atherosclerosis associated with ED. This association seems to be linked to
greater BMI among such men.
PMID- 27867327
TI - Unusual Glomus Tumor of the Penis.
AB - INTRODUCTION: Glomus tumors are benign neoplasms commonly found in subungual
regions of the extremities and rarely located in the penis. Misdiagnosis of
glomus tumors is common; therefore, symptoms and clinical presentations should be
reviewed. OBJECTIVE: The primary objective of this review article is to emphasize
the pathogenesis, pathology, clinical presentation, symptoms, diagnosis, and
treatment methods of glomus tumors in order to better identify and manage the
condition. MATERIALS AND METHODS: Research was conducted using PubMed/Medline.
The inclusion criteria required glomus tumor to be present on the penis. RESULTS:
Glomus tumors, which appear as symptomatic or asymptomatic lesions, are
attributed to dispersion grouping of neoplastic or non-neoplastic lesions in a
particular area. CONCLUSION: Differential diagnosis of glomus tumors includes
hemangiomas, neurofibromatosis, epithelial lesions, and spindle-cell lesions.
Physical examination and histological findings should be used for diagnosis.
Treatment options can be either conservative or invasive, in which the patient
undergoes surgical excision.
PMID- 27867329
TI - Caffeine as a Probable Factor for Increased Risk of OAB Development in Elderly
People.
AB - OBJECTIVE: This study was conducted to compare overactive bladder (OAB)
prevalence among people greater than 60 years of age who intake various doses of
caffeine, as well as those who abstain from caffeine. PATIENTS AND METHODS: A
randomized observational study was carried out in Vladivostok Gerontological
Hospital. A total of 1,098 retired people greater than 60 years of age (659 women
and 439 men, average age 67.1 years) took part in the study. They were admitted
to the in-patient department with the purpose of annual physical examination
performed in accordance with the order of the Ministry of Public Health of the
Russian Federation. People over age 60, who at the moment of examination were in
satisfactory health condition, were included into the study. People in which OAB
had been detected or who used to take antimuscarinic were excluded from the
study. Assessment tools for examining the patients' lower urinary tract condition
were as follows: OAB-q SF, urination diaries, and uroflowmetry. RESULTS: In the
course of the experiment conducted, we found that 1/3 of people, both men and
women greater than 60 years of age, who did not previously seek medical advice
due to urination troubles, had symptoms of detrusor overactivity. These symptoms
were moderate and did not bother patients too much in most cases (63.4%). It was
also found that most patients consumed no more than 300mg caffeine with beverages
per day, with 30% and 10% of patients suffering from OAB or severe detrusor
overactivity, respectively. At the same time, almost 50% of patients taking more
than 300 mg of caffeine per day suffer from OAB. CONCLUSION: 48.1% of people over
60 years of age suffering from overactive detrusor symptoms consume greater than
300 mg caffeine daily, which is significantly higher than that of their peers who
do not intake excessive amounts of caffeine.
PMID- 27867330
TI - Use of Caudal Analgesia Supplemented with Low Dose of Morphine in Children Who
Undergo Renal Surgery.
AB - INTRODUCTION: To test the efficacy and safety of caudal anesthesia (CA)
supplemented by low dose morphine in children who undergo renal surgery.
MATERIALS AND METHODS: Forty patients aged 2 months-14 years were enrolled and
randomly divided into two groups of 20 patients each: Group A (bupivacaine 0.2%
with fentanyl); Group B (bupivacaine with morphine). The duration of surgery and
hospitalization time were recorded. Postoperative pain score was measured by Face
Legs Activity Cry Consolability scale and Wong-Baker Faces scale for those who
are older. Overall use of rescue analgesics was calculated. RESULTS: There was no
statistical difference in the length of surgery, incidence of pruritus,
postoperative nausea, vomiting and urinary retention between the two groups.
However the postoperative opioid requirements were significantly higher in Group
A 1.03 +/- 0.9 mg/kg compared to Group B, in which only one patient required
opioid therapy (p < 0.0001). Moreover the need for non-opioid rescue analgesic
was higher in Group A, (36 +/- 5.7 mg/kg of paracetamol) compared to morphine CA
group there only 26 +/- 3.6 mg/kg required during first 24 h of the postoperative
period (p = 0.0312). The Face Legs Activity Cry Consolability pain score (1, 4,
and 24 h after surgery) and Wong-Baker Faces scale were significantly higher in
Group A. The hospitalization period was shorter in the CA morphine group, but the
difference did not reach statistical significance. None developed hemodynamic
instability or respiratory depression. CONCLUSIONS: Our data show that CA
supplemented with low dose morphine provides a longer duration of analgesia
without significant side-effects in children undergoing renal surgery.
PMID- 27867331
TI - The Effectiveness of a Systematic Algorithm for the Management of Vascular
Injuries during the Laparoscopic Surgery.
AB - OBJECTIVES: Currently, there is no standardized training protocol to teach
surgeons how to deal with vascular injuries during laparoscopic procedures. The
purpose of this study is to develop and evaluate the effectiveness of a
standardized algorithm for managing vascular injury during laparoscopic
nephrectomies. MATERIALS AND METHODS: The performance of 6 surgeons was assessed
during 10 laparoscopic nephrectomies in a porcine model. During the first and
tenth operations, an injury was made in the renal vein without warning the
surgeon. After the first procedure, the surgeons were instructed on how to
proceed in dealing with the vascular injury, according to an algorithm developed
by the designers of this study. The performance of each surgeon before and after
learning the algorithm was assessed. RESULTS: After learning the algorithm there
was a decreased blood loss from 327 +/- 403.11 ml to 37 +/- 18.92 ml (p = 0.031)
and decreased operative time from 43 +/- 14.53 min to 27 +/- 8.27 min (p =
0.015). There was also improvement in the time to start lesion repair from 147 +/
117.65 sec to 51 +/- 39.09 sec (p = 0.025). There was a trend toward improvement
in the reaction time to the injury (22 +/- 21.55 sec vs. 14 +/- 6.39, p = 0.188),
the time required to control the bleeding (50 +/- 94.2 sec vs. 14 +/- 6.95 sec, p
= 0.141), and the total time required to completely repair of the vascular injury
(178 +/- 170.4 sec vs. 119 +/- 183.87 sec, p = 0.302). CONCLUSION: A standardized
algorithm may help to reduce the potential risks associated with laparoscopic
surgery. Further studies will help to refine and determine the benefits of
standardized protocols such as that developed in this study for the management of
life-threatening laparoscopic complications.
PMID- 27867332
TI - Predictive Ability of Preoperative CT Scan in Determining Whether the Adrenal
Gland is Spared at Radical Nephrectomy.
AB - INTRODUCTION: The aim of this study was to assess whether preoperative multiple
detector computed tomography (MDCT) accurately predicts adrenal involvement for
patients undergoing non-adrenal sparing radical nephrectomy for renal cell
carcinoma. METHODS AND MATERIALS: A retrospective observational study based on a
composite patient population of two university teaching hospitals who underwent
radical nephrectomy. Sensitivity, specificity, overall accuracy, positive and
negative predictive values and likelihood ratios were calculated from
radiological reports. RESULTS: Total 579 patients underwent radical nephrectomy,
of which 199 (34.4%) patients underwent a non-adrenal sparing radical
nephrectomy, in which 128 (64.3%) were male and 118 (59.3%) were left side
tumors. Mean tumor size was 8.2 cm (range 1.4-20cm). MDCT was found to have a
sensitivity of 100% and specificity of 95.2% for identifying adrenal invasion.
Total 179 patients (89.9%) had a radiographically normal ipsilateral adrenal
gland, of which none were found to have adrenal involvement. Therefore, the
negative predictive value of preoperative cross-sectional imaging for
identification of adrenal involvement was 100%. CONCLUSION: Cross- sectional MDCT
imaging accurately predicts adrenal involvement and the decision to remove or
spare the adrenal gland should be made preoperative planning regardless of tumour
size or location at the time of multi-disciplinary discussion unless there is
intraoperative evidence of adrenal invasion.
PMID- 27867333
TI - Association between PSA Levels and Biomarkers of Subclinical Systemic
Inflammation in Middle-Aged Healthy Men from the General Population.
AB - INTRODUCTION: This study was aimed to determine the association between PSA
levels and biomarkers of subclinical systemic inflammation based on data from 119
middle-aged healthy men from the general population. MATERIALS AND METHODS: Serum
levels of PSA and biomarkers of systemic inflammation (CRP and fibrinogen) were
measured. Demographic data were also collected. Subjects were divided into two
groups according to PSA levels; < 2 ng/ml and >= 2 ng/ml. RESULTS: The mean (SD)
age of men was 55 +/- 4.0 years. We found a positive significant correlation
between PSA and fibrinogen levels (r = 0.20, p = 0.04), and between CRP and
fibrinogen levels (r = 0.60, p = 0.01). On the other hand, no significant
correlation between PSA and CRP levels was found. Men with PSA values >= 2 ng/ml
had significantly higher levels of fibrinogen as compared to those with PSA < 2
ng/ml (2.9 ng/ml vs. 2.4 ng/ml, p = 0.01). In a multivariate regression analysis
model adjusted for the age of subjects, BMI, marital status, smoking, snuff, and
alcohol intake with serum levels of PSA as a dependent variable, serum level of
fibrinogen predicted higher PSA-values (odds ratio = 3.30, 95% CI = 1.05-10.20, p
= 0.042). CONCLUSIONS: The present results indicate that serum fibrinogen is a
biomarker of subclinical systemic inflammation associated with PSA elevation
among middle-aged healthy men from the general population.
PMID- 27867334
TI - Comparison of the Effect of Lidocaine versus a Lidocaine-Bupivacaine Combination
in a Periprostatic Nerve Block Undergoing Transrectal Ultrasound-Guided Prostate
Biopsy: A Double-Blind Randomized Controlled Trial.
AB - INTRODUCTION: To determine whether a combination of the long acting local
anesthetic, bupivacaine, and lidocaine is better than lidocaine alone in the long
term pain control, which is a short-acting anesthetic. MATERIALS AND METHODS: In
group 1, periprostatic nerve block was applied to both neurovascular areas with
2% lidocaine (5 ml) in an isotonic solution (5 ml). In group 2, the combination
of 2% lidocaine (5 ml) and 5mg/ml bupivacaine (5 ml) was used for the PPNB.
RESULTS: In the first 30 minutes the mean VAS scores of groups 1 and 2 were 2.1
+/- 0.2 and 1.2 +/- 0.1, respectively (p = 0.002). VAS scores of group II
determined at 1, 2, 4, 6, and 8 hours after the biopsy were significantly lower
since it was (p < 0.05). CONCLUSION: While periprostatic nerve block for late
phase pain control, applying a combination of a long-acting local anesthetic,
such as bupivacaine, is effective in terms of pain control and patient comfort.
PMID- 27867335
TI - Pyoderma Gangrenosum in the Urologist Clinic.
AB - Pyoderma gangrenosum is a rare non-infectious skin disorder. It is often
associated with systemic diseases, like the inflammatory bowel disease,
rheumatological disease and (hematological) malignancy. The diagnosis is affirmed
through a process of elimination and is principally based on clinical
presentation and course. We present a 59-year-old male with T-cell large granular
lymphocyte leukemia and pyoderma gangrenosum of penis and scrotum. Finally the
patient was successfully treated with systemic prednisolone.
PMID- 27867336
TI - Erratum.
AB - [This corrects the article DOI: 10.1159/000442846.].
PMID- 27867337
TI - Oligometastatic Growing Teratoma Syndrome: A Case for an Aggressive Surgical
Approach.
AB - Growing teratoma syndrome is an infrequent presentation of testicular cancer. We
present a case of growing teratoma syndrome in a patient who initially presented
with clinical stage I nonseminomatous testicular germ cell tumor, who
subsequently developed large volume oligometastases to the retroperitoneum,
thorax, and thigh. Despite two regimens of chemotherapy, his disease progressed.
Complete surgical extirpation of all gross tumors confirmed mature teratoma. An
aggressive surgical approach, including postchemotherapy resection of all known
metastatic sites, can provide long-term disease-free survival.
PMID- 27867338
TI - Bladder Leiomyosarcoma: A Rare, but Aggressive Diagnosis.
AB - It remains evident in the literature that leiomyosarcomas of the bladder have
continuously been regarded as highly aggressive tumors associated with a poor
prognosis. Immediate surgical therapy by radical cystectomy with wide margins is
warranted as an effective treatment modality and has been associated with longer
survival rates. Herein, we present the case of a high-grade leiomyosarcoma
primarily treated with anterior pelvic exenteration and urinary diversion.
PMID- 27867339
TI - When Recessive Genes Mutate to Dominant Gene Action.
PMID- 27867340
TI - Changing Paradigms in Down Syndrome: The First International Conference of the
Trisomy 21 Research Society.
AB - Down syndrome (DS) is the most common genetic cause of intellectual disability
(ID) in humans with an incidence of ~1:1,000 live births worldwide. It is caused
by the presence of an extra copy of all or a segment of the long arm of human
chromosome 21 (trisomy 21). People with DS present with a constellation of
phenotypic alterations involving most organs and organ systems. ID is present in
all people with DS, albeit with variable severity. DS is also the most frequent
genetic cause of Alzheimer's disease (AD), and ~50% of those with DS will develop
AD-related dementia. In the last few years, significant progress has been made in
understanding the crucial genotype-phenotype relationships in DS, in identifying
the alterations in molecular pathways leading to the various clinical conditions
present in DS, and in preclinical evaluations of potential therapies to improve
the overall health and well-being of individuals with DS. In June 2015, 230
scientists, advocates, patients, and family members met in Paris for the 1st
International Conference of the Trisomy 21 Research Society. Here, we report some
of the most relevant presentations that took place during the meeting.
PMID- 27867341
TI - Disorders of Transcriptional Regulation: An Emerging Category of Multiple
Malformation Syndromes.
AB - Some genetic disorders caused by mutations in genes encoding components of the
transcriptional machinery as well as proteins involved in epigenetic modification
of the genome share many overlapping features, such as facial dysmorphisms,
growth problems and developmental delay/intellectual disability. As a basis for
some shared phenotypic characteristics in these syndromes, a similar
transcriptome disturbance, characterized by global transcriptional dysregulation,
is believed to play a major role. In this review article, a general overview of
gene transcription is provided, and the current knowledge of the mechanisms
underlying some disorders of transcriptional regulation, such as Rubinstein-
Taybi, Coffin-Siris, Cornelia de Lange, and CHOPS syndromes, are discussed.
PMID- 27867342
TI - The Family of Crumbs Genes and Human Disease.
AB - The family of vertebrate Crumbs proteins, homologous to Drosophila Crumbs (Crb),
share large extracellular domains with epidermal growth factor-like repeats and
laminin-globular domains, a single transmembrane domain, and a short
intracellular C-terminus containing a single membrane proximal
4.1/ezrin/radixin/moesin-binding domain and PSD-95/Discs large/ZO-1-binding
motifs. There are 3 Crb genes in humans - Crumbs homolog-1 (CRB1), Crumbs homolog
2 (CRB2), and Crumbs homolog-3 (CRB3). Bilallelic loss-of-function mutations in
CRB1 cause visual impairment, with Leber's congenital amaurosis and retinitis
pigmentosa, whereas CRB2 mutations are associated with raised maternal serum and
amniotic fluid alpha feto-protein levels, ventriculomegaly/hydrocephalus, and
renal disease, ranging from focal segmental glomerulosclerosis to congenital
Finnish nephrosis. CRB3 has not yet been associated with human disease. In this
review, we summarize the phenotypic findings associated with deleterious sequence
variants in CRB1 and CRB2. We discuss the mutational spectrum, animal models of
loss of function for both genes and speculate on the likely mechanisms of
disease.
PMID- 27867343
TI - PARK2 Microduplication: Clinical and Molecular Characterization of a Further Case
and Review of the Literature.
AB - We report on a patient with psychomotor deficits, language delay, dyspraxia,
skeletal anomalies, and facial dysmorphisms (hirsutism, right palpebral ptosis, a
bulbous nasal tip with enlarged and anteverted nares, and a mild prominent
antihelix stem). Using high-resolution SNP array analysis, we identified a 0.49
Mb microduplication in chromosome 6q26 inherited from the mother involving the
PARK2 gene: arr[hg19] 6q26(162,672,821-163,163,143)*3 mat. To the best of our
knowledge, this is the third patient to date described in whom a 6q26
microduplication encompassing only the PARK2 gene has been reported in medical
literature. The PARK2 gene is a neurodevelopmental gene that was initially
discovered as one of the causes of autosomal recessive juvenile Parkinson disease
and subsequently reported to be linked to autism spectrum disorders and attention
deficit hyperactivity disorders. We provide an overview of the literature on
PARK2 microduplications and further delineate the associated phenotype. Taken
together, our findings confirm the involvement of this gene in neurodevelopmental
disorders and are useful to strengthen the hypothesis that, although with
variable expressivity and incomplete penetrance, the PARK2 microduplication is
associated with a new emerging neurodevelopmental delay syndrome. However,
clinical and molecular evaluations of more patients with the microduplication are
needed for full delineation of this syndrome.
PMID- 27867344
TI - Distal 7q11.23 Duplication, an Emerging Microduplication Syndrome: A Case Report
and Further Characterisation.
AB - Chromosome 7q11.23 duplication syndrome is a well-recognised syndrome which
involves the duplication of the same genes located in the Williams-Beuren
critical region. However, in 2010, 4 patients were reported with a
microduplication only in the HIP1 and YWHAG genes. We refer to this as a distal
7q11.23 duplication (dup7q11.23D). Here, we report the fifth de novo patient with
dup7q11.23D, whose symptoms may be explained by YWHAG overexpression as was
demonstrated recently in mice and obese patients. Finally, further studies will
be necessary to delineate this emerging microduplication syndrome.
PMID- 27867345
TI - Language Impairment Resulting from a de novo Deletion of 7q32.1q33.
AB - We report on a girl who presents with hearing loss, behavioral disturbances
(according to the Inventory for Client and Agency Planning) as well as motor and
cognitive delay (according to Battelle Developmental Inventories) which have a
significant impact on her speech and language abilities [according to the Peabody
Picture Vocabulary Test (ed 3), and the Prueba de Lenguaje Oral de Navarra
Revisada (Navarra Oral Language Test, Revised)]. Five copy number variations
(CNVs) were identified in the child: arr[hg18] 7q32.1q33(127109685-132492196)*1,
8p23.1(7156900-7359099) *1, 15q13.1(26215673-26884937)*1, Xp22.33(17245-
102434)*3, and Xp22.33(964441-965024)*3. The pathogenicity of similar CNVs is
mostly reported as unknown. The largest deletion is found in a hot spot for
cognitive disease and language impairment and contains several genes involved in
brain development and function, many of which have been related to developmental
disorders encompassing language deficits (dyslexia, speech-sound disorder, and
autism). Some of these genes interact with FOXP2. The proband's phenotype may
result from a reduced expression of some of these genes.
PMID- 27867346
TI - An Event-Based Neurobiological Recognition System with Orientation Detector for
Objects in Multiple Orientations.
AB - A new multiple orientation event-based neurobiological recognition system is
proposed by integrating recognition and tracking function in this paper, which is
used for asynchronous address-event representation (AER) image sensors. The
characteristic of this system has been enriched to recognize the objects in
multiple orientations with only training samples moving in a single orientation.
The system extracts multi-scale and multi-orientation line features inspired by
models of the primate visual cortex. An orientation detector based on modified
Gaussian blob tracking algorithm is introduced for object tracking and
orientation detection. The orientation detector and feature extraction block work
in simultaneous mode, without any increase in categorization time. An addresses
lookup table (addresses LUT) is also presented to adjust the feature maps by
addresses mapping and reordering, and they are categorized in the trained spiking
neural network. This recognition system is evaluated with the MNIST dataset which
have played important roles in the development of computer vision, and the
accuracy is increased owing to the use of both ON and OFF events. AER data
acquired by a dynamic vision senses (DVS) are also tested on the system, such as
moving digits, pokers, and vehicles. The experimental results show that the
proposed system can realize event-based multi-orientation recognition. The work
presented in this paper makes a number of contributions to the event-based vision
processing system for multi-orientation object recognition. It develops a new
tracking-recognition architecture to feedforward categorization system and an
address reorder approach to classify multi-orientation objects using event-based
data. It provides a new way to recognize multiple orientation objects with only
samples in single orientation.
PMID- 27867347
TI - Susceptibility of Mutant SOD1 to Form a Destabilized Monomer Predicts Cellular
Aggregation and Toxicity but Not In vitro Aggregation Propensity.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disease
characterized by the rapid and progressive degeneration of upper and lower motor
neurons in the spinal cord, brain stem and motor cortex. The first gene linked to
ALS was the gene encoding the free radical scavenging enzyme superoxide dismutase
1 (SOD1) that currently has over 180, mostly missense, ALS-associated mutations
identified. SOD1-associated fALS patients show remarkably broad mean survival
times (<1 year to ~17 years death post-diagnosis) that are mutation dependent. A
hallmark of SOD1-associated ALS is the deposition of SOD1 into large insoluble
aggregates in motor neurons. This is thought to be a consequence of mutation
induced structural destabilization and/or oxidative damage leading to the
misfolding and aggregation of SOD1 into a neurotoxic species. Here we aim to
understand the relationship between SOD1 variant toxicity, structural stability,
and aggregation propensity using a combination of cell culture and purified
protein assays. Cell based assays indicated that aggregation of SOD1 variants
correlate closely to cellular toxicity. However, the relationship between
cellular toxicity and disease severity was less clear. We next utilized mass
spectrometry to interrogate the structural consequences of metal loss and
disulfide reduction on fALS-associated SOD1 variant structure. All variants
showed evidence of unfolded, intermediate, and compact conformations, with
SOD1G37R, SOD1G93A and SOD1V148G having the greatest abundance of intermediate
and unfolded SOD1. SOD1G37R was an informative outlier as it had a high
propensity to unfold and form oligomeric aggregates, but it did not aggregate to
the same extent as SOD1G93A and SOD1V148G in in vitro aggregation assays.
Furthermore, seeding the aggregation of DTT/EDTA-treated SOD1G37R with preformed
SOD1G93A fibrils elicited minimal aggregation response, suggesting that the
arginine substitution at position-37 blocks the templating of SOD1 onto preformed
fibrils. We propose that this difference may be explained by multiple strains of
SOD1 aggregate and this may also help explain the slow disease progression
observed in patients with SOD1G37R.
PMID- 27867348
TI - Better Targeting, Better Efficiency for Wide-Scale Neuronal Transduction with the
Synapsin Promoter and AAV-PHP.B.
AB - Widespread genetic modification of cells in the central nervous system (CNS) with
a viral vector has become possible and increasingly more efficient. We previously
applied an AAV9 vector with the cytomegalovirus/chicken beta-actin (CBA) hybrid
promoter and achieved wide-scale CNS transduction in neonatal and adult rats.
However, this method transduces a variety of tissues in addition to the CNS. Thus
we studied intravenous AAV9 gene transfer with a synapsin promoter to better
target the neurons. We noted in systematic comparisons that the synapsin promoter
drives lower level expression than does the CBA promoter. The engineered adeno
associated virus (AAV)-PHP.B serotype was compared with AAV9, and AAV-PHP.B did
enhance the efficiency of expression. Combining the synapsin promoter with AAV
PHP.B could therefore be advantageous in terms of combining two refinements of
targeting and efficiency. Wide-scale expression was used to model a disease with
widespread pathology. Vectors encoding the amyotrophic lateral sclerosis (ALS)
related protein transactive response DNA-binding protein, 43 kDa (TDP-43) with
the synapsin promoter and AAV-PHP.B were used for efficient CNS-targeted TDP-43
expression. Intracerebroventricular injections were also explored to limit TDP-43
expression to the CNS. The neuron-selective promoter and the AAV-PHP.B enhanced
gene transfer and ALS disease modeling in adult rats.
PMID- 27867349
TI - Baclofen Protects Primary Rat Retinal Ganglion Cells from Chemical Hypoxia
Induced Apoptosis Through the Akt and PERK Pathways.
AB - Retinal ganglion cells (RGCs) consume large quantities of energy to convert light
information into a neuronal signal, which makes them highly susceptible to
hypoxic injury. This study aimed to investigate the potential protection by
baclofen, a GABAB receptor agonist of RGCs against hypoxia-induced apoptosis.
Cobalt chloride (CoCl2) was applied to mimic hypoxia. Primary rat RGCs were
subjected to CoCl2 with or without baclofen treatment, and RNA interference
techniques were used to knock down the GABAB2 gene in the primary RGCs. The
viability and apoptosis of RGCs were assessed using cell viability and terminal
deoxynucleotidyl transferase-mediated dUTP nick end-labeling (TUNEL) assays,
Hoechst staining, and flow cytometry. The expression of cleaved caspase-3, bcl-2,
bax, Akt, phospho-Akt, protein kinase RNA (PKR)-like ER kinase (PERK), phospho
PERK, eIF2alpha, phospho-eIF2alpha, ATF-4 and CCAAT/enhancer-binding protein
homologous protein (CHOP) were measured using western blotting. GABAB2 mRNA
expression was determined using quantitative real-time polymerase chain reaction
(qRT-PCR) analysis. Our study revealed that CoCl2 significantly induced RGC
apoptosis and that baclofen reversed these effects. CoCl2-induced reduction of
Akt activity was also reversed by baclofen. Baclofen prevented the activation of
the PERK pathway and the increase in CHOP expression induced by CoCl2. Knockdown
of GABAB2 and the inactivation of the Akt pathway by inhibitors reduced the
protective effect of baclofen on CoCl2-treated RGCs. Taken together, these
results demonstrate that baclofen protects RGCs from CoCl2-induced apoptosis by
increasing Akt activity and by suppressing the PERK pathway and CHOP activation.
PMID- 27867350
TI - Berlyne Revisited: Evidence for the Multifaceted Nature of Hedonic Tone in the
Appreciation of Paintings and Music.
AB - In his seminal book on esthetics, Berlyne (1971) posited an inverted-U
relationship between complexity and hedonic tone in arts appreciation, however,
converging evidence for his theory is still missing. The disregard of the
multidimensionality of complexity may explain some of the divergent results.
Here, we argue that definitions of hedonic tone are manifold and systematically
examined whether the nature of the relationship between complexity and hedonic
tone is determined by the specific measure of hedonic tone. In Experiment 1, we
studied three picture categories with similar affective and semantic contents: 96
affective environmental scenes, which were also converted into 96 cartoons, and
96 representational paintings. Complexity varied along the dimension of elements.
In a between-subjects design, each stimulus was presented for 5 s to 206 female
participants. Subjective ratings of hedonic tone (either beauty, pleasantness or
liking), arousal, complexity and familiarity were collected in three conditions
per stimulus set. Complexity and arousal were positively associated in all
conditions, with the strongest association observed for paintings. For
environmental scenes and cartoons, there was no significant association between
complexity and hedonic tone, and the three measures of hedonic tone were highly
correlated (all rs > 0.85). As predicted, in paintings the measures of hedonic
tone were less strongly correlated (all rs > 0.73), and when controlling for
familiarity, the association with complexity was significantly positive for
beauty (rs = 0.26), weakly negative for pleasantness (rs = -0.16) and not present
for liking. Experiment 2 followed a similar approach and 77 female participants,
all non-musicians, rated 92 musical excerpts (15 s) in three conditions of
hedonic tone (either beauty, pleasantness or liking). Results indicated a strong
relationship between complexity and arousal (all rs > 0.85). When controlling for
familiarity effects, the relationship between complexity and beauty followed an
inverted-U curve, whereas the relationship between complexity and pleasantness
was negative (rs = -0.26) and the one between complexity and liking positive (rs
= 0.29). We relate our results to Berlyne's theory and the latest findings in
neuroaesthetics, proposing that future studies need to acknowledge the
multifaceted nature of hedonic tone in esthetic experiences of artforms.
PMID- 27867351
TI - Advice Taking from Humans and Machines: An fMRI and Effective Connectivity Study.
AB - With new technological advances, advice can come from different sources such as
machines or humans, but how individuals respond to such advice and the neural
correlates involved need to be better understood. We combined functional MRI and
multivariate Granger causality analysis with an X-ray luggage-screening task to
investigate the neural basis and corresponding effective connectivity involved
with advice utilization from agents framed as experts. Participants were asked to
accept or reject good or bad advice from a human or machine agent with low
reliability (high false alarm rate). We showed that unreliable advice decreased
performance overall and participants interacting with the human agent had a
greater depreciation of advice utilization during bad advice compared to the
machine agent. These differences in advice utilization can be perceivably due to
reevaluation of expectations arising from association of dispositional
credibility for each agent. We demonstrated that differences in advice
utilization engaged brain regions that may be associated with evaluation of
personal characteristics and traits (precuneus, posterior cingulate cortex,
temporoparietal junction) and interoception (posterior insula). We found that the
right posterior insula and left precuneus were the drivers of the advice
utilization network that were reciprocally connected to each other and also
projected to all other regions. Our behavioral and neuroimaging results have
significant implications for society because of progressions in technology and
increased interactions with machines.
PMID- 27867353
TI - Simultaneous Bayesian Estimation of Excitatory and Inhibitory Synaptic
Conductances by Exploiting Multiple Recorded Trials.
AB - Advanced statistical methods have enabled trial-by-trial inference of the
underlying excitatory and inhibitory synaptic conductances (SCs) of membrane
potential recordings. Simultaneous inference of both excitatory and inhibitory
SCs sheds light on the neural circuits underlying the neural activity and
advances our understanding of neural information processing. Conventional
Bayesian methods can infer excitatory and inhibitory SCs based on a single trial
of observed membrane potential. However, if multiple recorded trials are
available, this typically leads to suboptimal estimation because they neglect
common statistics (of synaptic inputs (SIs)) across trials. Here, we establish a
new expectation maximization (EM) algorithm that improves such single-trial
Bayesian methods by exploiting multiple recorded trials to extract common SI
statistics across the trials. In this paper, the proposed EM algorithm is
embedded in parallel Kalman filters or particle filters for multiple recorded
trials to integrate their outputs to iteratively update the common SI statistics.
These statistics are then used to infer the excitatory and inhibitory SCs of
individual trials. We demonstrate the superior performance of multiple-trial
Kalman filtering (MtKF) and particle filtering (MtPF) relative to that of the
corresponding single-trial methods. While relative estimation error of excitatory
and inhibitory SCs is known to depend on the level of current injection into a
cell, our numerical simulations using MtKF show that both excitatory and
inhibitory SCs are reliably inferred using an optimal level of current injection.
Finally, we validate the robustness and applicability of our technique through
simulation studies, and we apply MtKF to in vivo data recorded from the rat
barrel cortex.
PMID- 27867352
TI - Aberrant Functional Connectivity between the Amygdala and the Temporal Pole in
Drug-Free Generalized Anxiety Disorder.
AB - The amygdala and the dorsolateral prefrontal cortex (DLPFC) play important roles
in "emotion dysregulation," which has a profound impact on etiologic research of
generalized anxiety disorder (GAD). The present study analyzed both eyes-open and
eyes-closed resting state functional MRI (rs-fMRI) of 43 subjects (21 GAD
patients with medicine free and 22 matched healthy controls). The amygdala and
the DLPFC were defined as regions of interest (ROI) to analyze functional
connectivity (FC) in GAD patients compared with healthy controls. The main
findings revealed GAD patients had increased FC between the amygdala and the
temporal pole compared to healthy controls, which was found in both eyes-open and
eyes-closed rs-fMRI. And altered FC between the ROIs and brain regions that
mainly belonged to the default mode network (DMN) were found. These findings
suggest that the abnormal FC between the amygdala and the temporal pole may
contribute to the pathophysiology of GAD, and provide insights into the current
understanding of the emotion dysregulation of anxiety disorders.
PMID- 27867355
TI - Methods for Specifying Scientific Data Standards and Modeling Relationships with
Applications to Neuroscience.
AB - Neuroscience continues to experience a tremendous growth in data; in terms of the
volume and variety of data, the velocity at which data is acquired, and in turn
the veracity of data. These challenges are a serious impediment to sharing of
data, analyses, and tools within and across labs. Here, we introduce BRAINformat,
a novel data standardization framework for the design and management of
scientific data formats. The BRAINformat library defines application-independent
design concepts and modules that together create a general framework for
standardization of scientific data. We describe the formal specification of
scientific data standards, which facilitates sharing and verification of data and
formats. We introduce the concept of Managed Objects, enabling semantic
components of data formats to be specified as self-contained units, supporting
modular and reusable design of data format components and file storage. We also
introduce the novel concept of Relationship Attributes for modeling and use of
semantic relationships between data objects. Based on these concepts we
demonstrate the application of our framework to design and implement a standard
format for electrophysiology data and show how data standardization and
relationship-modeling facilitate data analysis and sharing. The format uses HDF5,
enabling portable, scalable, and self-describing data storage and integration
with modern high-performance computing for data-driven discovery. The BRAINformat
library is open source, easy-to-use, and provides detailed user and developer
documentation and is freely available at:
https://bitbucket.org/oruebel/brainformat.
PMID- 27867354
TI - A Symmetry-Based Method to Infer Structural Brain Networks from Probabilistic
Tractography Data.
AB - Recent progress in diffusion MRI and tractography algorithms as well as the
launch of the Human Connectome Project (HCP) have provided brain research with an
abundance of structural connectivity data. In this work, we describe and evaluate
a method that can infer the structural brain network that interconnects a given
set of Regions of Interest (ROIs) from probabilistic tractography data. The
proposed method, referred to as Minimum Asymmetry Network Inference Algorithm
(MANIA), does not determine the connectivity between two ROIs based on an
arbitrary connectivity threshold. Instead, we exploit a basic limitation of the
tractography process: the observed streamlines from a source to a target do not
provide any information about the polarity of the underlying white matter, and so
if there are some fibers connecting two voxels (or two ROIs) X and Y,
tractography should be able in principle to follow this connection in both
directions, from X to Y and from Y to X. We leverage this limitation to formulate
the network inference process as an optimization problem that minimizes the
(appropriately normalized) asymmetry of the observed network. We evaluate the
proposed method using both the FiberCup dataset and based on a noise model that
randomly corrupts the observed connectivity of synthetic networks. As a case
study, we apply MANIA on diffusion MRI data from 28 healthy subjects to infer the
structural network between 18 corticolimbic ROIs that are associated with various
neuropsychiatric conditions including depression, anxiety and addiction.
PMID- 27867356
TI - Cyanidin-3-O-Glucoside Protects against 1,3-Dichloro-2-Propanol-Induced Reduction
of Progesterone by Up-regulation of Steroidogenic Enzymes and cAMP Level in
Leydig Cells.
AB - 1,3-Dichloro-2-propanol (1,3-DCP) is a food processing contaminant and has been
shown to perturb male reproductive function. Cyanidin-3-O-glucoside (C3G), an
anthocyanin antioxidant, is reported to have protective effects on many organs.
However, it remains unclear whether C3G protects against chemical-induced
reproductive toxicity. The present study was therefore to investigate the
intervention of C3G on 1,3-DCP-induced reproductive toxicity in R2C Leydig cells.
Results demonstrated that C3G inhibited the 1,3-DCP-induced cytotoxicity and cell
shape damage with the effective doses being ranging from 10 to 40 MUmol/L. In
addition, 1,3-DCP (2 mmol/L) exposure significantly increased the ROS level and
mitochondrial membrane potential damage ratio, leading to a decrease in
progesterone production, while C3G intervention reduced the ROS level, and
increased the progesterone production after 24 h treatment. Most importantly, C3G
intervention could up-regulate the cyclic adenosine monophosphate (cAMP) level
and protein expression of steroidogenic acute regulatory protein and 3beta
hydroxysteroid dehydrogenase. It was concluded that C3G is effective in reducing
1,3-DCP-induced reproductive toxicity via activating steroidogenic enzymes and
cAMP level.
PMID- 27867357
TI - Machine Perfusion of Porcine Livers with Oxygen-Carrying Solution Results in
Reprogramming of Dynamic Inflammation Networks.
AB - Background:Ex vivo machine perfusion (MP) can better preserve organs for
transplantation. We have recently reported on the first application of an MP
protocol in which liver allografts were fully oxygenated, under dual pressures
and subnormothermic conditions, with a new hemoglobin-based oxygen carrier (HBOC)
solution specifically developed for ex vivo utilization. In those studies, MP
improved organ function post-operatively and reduced inflammation in porcine
livers. Herein, we sought to refine our knowledge regarding the impact of MP by
defining dynamic networks of inflammation in both tissue and perfusate. Methods:
Porcine liver allografts were preserved either with MP (n = 6) or with cold
static preservation (CSP; n = 6), then transplanted orthotopically after 9 h of
preservation. Fourteen inflammatory mediators were measured in both tissue and
perfusate during liver preservation at multiple time points, and analyzed using
Dynamic Bayesian Network (DyBN) inference to define feedback interactions, as
well as Dynamic Network Analysis (DyNA) to define the time-dependent development
of inflammation networks. Results: Network analyses of tissue and perfusate
suggested an NLRP3 inflammasome-regulated response in both treatment groups,
driven by the pro-inflammatory cytokine interleukin (IL)-18 and the anti
inflammatory mediator IL-1 receptor antagonist (IL-1RA). Both DyBN and DyNA
suggested a reduced role of IL-18 and increased role of IL-1RA with MP, along
with increased liver damage with CSP. DyNA also suggested divergent progression
of responses over the 9 h preservation time, with CSP leading to a stable pattern
of IL-18-induced liver damage and MP leading to a resolution of the pro
inflammatory response. These results were consistent with prior clinical,
biochemical, and histological findings after liver transplantation. Conclusion:
Our results suggest that analysis of dynamic inflammation networks in the setting
of liver preservation may identify novel diagnostic and therapeutic modalities.
PMID- 27867358
TI - Biased Agonism of Three Different Cannabinoid Receptor Agonists in Mouse Brain
Cortex.
AB - Cannabinoid receptors are able to couple to different families of G proteins when
activated by an agonist drug. It has been suggested that different intracellular
responses may be activated depending on the ligand. The goal of the present study
was to characterize the pattern of G protein subunit stimulation triggered by
three different cannabinoid ligands, Delta9-THC, WIN55212-2, and ACEA in mouse
brain cortex. Stimulation of the [35S]GTPgammaS binding coupled to specific
immunoprecipitation with antibodies against different subtypes of G proteins
(Galphai1, Galphai2, Galphai3, Galphao, Galphaz, Galphas, Galphaq/11, and
Galpha12/13), in the presence of Delta9-THC, WIN55212-2 and ACEA (submaximal
concentration 10 MUM) was determined by scintillation proximity assay (SPA)
technique in mouse cortex of wild type, CB1 knock-out, CB2 knock-out and CB1/CB2
double knock-out mice. Results show that, in mouse brain cortex, cannabinoid
agonists are able to significantly stimulate not only the classical inhibitory
Galphai/o subunits but also other G subunits like Galphaz, Galphaq/11, and
Galpha12/13. Moreover, the specific pattern of G protein subunit activation is
different depending on the ligand. In conclusion, our results demonstrate that,
in mice brain native tissue, different exogenous cannabinoid ligands are able to
selectively activate different inhibitory and non-inhibitory Galpha protein
subtypes, through the activation of CB1 and/or CB2 receptors. Results of the
present study may help to understand the specific molecular pathways involved in
the pharmacological effects of cannabinoid-derived drugs.
PMID- 27867360
TI - Inflammatory Cytokines and BDNF Response to High-Intensity Intermittent Exercise:
Effect the Exercise Volume.
AB - The purpose of this study was to compare the effects of two similar high
intensity intermittent exercises (HIIE) but different volume 1.25 km (HIIE1.25)
and 2.5 km (HIIE2.5) on inflammatory and BDNF responses. Ten physically active
male subjects (age 25.22 +/- 1.74 years, body mass 78.98 +/- 7.31 kg, height 1.78
+/- 0.06 m, VO2peak 59.94 +/- 9.38 ml.kg.min-1) performed an incremental
treadmill exercise test and randomly completed two sessions of HIIE on a
treadmill (1:1 min at vVO2max with passive recovery). Blood samples were
collected at rest, immediately and 60-min after the exercise sessions. Serum was
analyzed for glucose, lactate, IL-6, IL-10, and BDNF levels. Blood lactate
concentrations was higher immediately post-exercise compared to rest (HIIE1.25:
1.69 +/- 0.26-7.78 +/- 2.09 mmol.L-1, and HIIE2.5: 1.89 +/- 0.26-7.38 +/- 2.57
mmol.L-1, p < 0.0001). Glucose concentrations did not present changes under the
different conditions, however, levels were higher 60-min post-exercise than at
rest only in the HIIE1.25 condition (rest: 76.80 +/- 11.14-97.84 +/- 24.87 mg.dL
1, p < 0.05). BDNF level increased immediately after exercise in both protocols
(HIIE1.25: 9.71 +/- 306-17.86 +/- 8.59 ng.mL-1, and HIIE2.5: 11.83 +/- 5.82-22.84
+/- 10.30 ng.mL-1). Although both exercises increased IL-6, level percent between
rest and immediately after exercise was higher in the HIIE2.5 than HIIE1.25 (30
and 10%; p = 0.014, respectively). Moreover, IL-10 levels percent increase
between immediately and 60-min post-exercise was higher in HIIE2.5 than HIIE1.25
(37 and 10%; p = 0.012, respectively). In conclusion, both HIIE protocols with
the same intensity were effective to increase BDNF and IL-6 levels immediately
after exercise while only IL-10 response was related to the durantion of exercise
indicanting the importance of this exercise prescription variable.
PMID- 27867361
TI - Calcium-Sensing Receptor Regulates Cytosolic [Ca 2+ ] and Plays a Major Role in
the Development of Pulmonary Hypertension.
AB - Pulmonary arterial hypertension (PAH) is a progressive disease characterized by
elevated pulmonary vascular resistance (PVR) leading to right heart failure and
premature death. The increased PVR results in part from pulmonary vascular
remodeling and sustained pulmonary vasoconstriction. Excessive pulmonary vascular
remodeling stems from increased pulmonary arterial smooth muscle cell (PASMC)
proliferation and decreased PASMC apoptosis. A rise in cytosolic free Ca2+
concentration ([Ca2+]cyt) in PASMC is a major trigger for pulmonary
vasoconstriction and a key stimulus for PASMC proliferation and migration, both
contributing to the development of pulmonary vascular remodeling. PASMC from
patients with idiopathic PAH (IPAH) have increased resting [Ca2+]cyt and enhanced
Ca2+ influx. Enhanced Ca2+ entry into PASMC due to upregulation of membrane
receptors and/or Ca2+ channels may contribute to PASMC contraction and
proliferation and to pulmonary vasoconstriction and pulmonary vascular
remodeling. We have shown that the extracellular Ca2+-sensing receptor (CaSR),
which is a member of G protein-coupled receptor (GPCR) subfamily C, is
upregulated, and the extracellular Ca2+-induced increase in [Ca2+]cyt is enhanced
in PASMC from patients with IPAH in comparison to PASMC from normal subjects.
Pharmacologically blockade of CaSR significantly attenuate the development and
progression of experimental pulmonary hypertension in animals. Additionally, we
have demonstrated that dihydropyridine Ca2+ channel blockers (e.g., nifedipine),
which are used to treat PAH patients but are only effective in 15-20% of
patients, activate CaSR resulting in an increase in [Ca2+]cyt in IPAH-PASMC, but
not normal PASMC. Our data indicate that CaSR functionally couples with transient
receptor potential canonical (TRPC) channels to mediate extracellular Ca2+
induced Ca2+ influx and increase in [Ca2+]cyt in IPAH-PASMC. Upregulated CaSR is
necessary for the enhanced extracellular Ca2+-induced increase in [Ca2+]cyt and
the augmented proliferation of PASMC in patients with IPAH. This review will
highlight the pathogenic role of CaSR in the development and progression of PAH.
PMID- 27867362
TI - Association between Myocardial Infarction and Periodontitis: A Meta-Analysis of
Case-Control Studies.
AB - Background and Objective: Many clinical researches have been carried out to
investigate the relationship between myocardial infarction (MI) and
periodontitis. Despite most of them indicated that the periodontitis may be
associated with an increased risk of MI, the findings and study types of these
studies have been inconsistent. The goal of this meta-analysis was to critically
assess the strength of the association between MI and periodontitis in case
control studies. Methods: PubMed and the Cochrane Library were searched for
eligible case-control studies reporting relevant parameters that compared
periodontal status between MI and control subjects. The odds ratios (ORs) and 95%
confidence intervals (CIs) from each study were pooled to estimate the strength
of the association between MI and periodontitis. The mean differences and 95% CIs
for periodontal-related parameters were calculated to determine their overall
effects. Results: Seventeen studies including a total of 3456 MI patients and
3875 non-MI control subjects were included. The pooled OR for the association
between MI and periodontitis was 2.531 (95% CI: 1.927-3.324). The mean
differences (95% CIs) for clinical attachment loss, probing depth, bleeding on
probing, plaque index, and the number of missing teeth were 1.000 (0.726-1.247),
1.209 (0.538-1.880), 0.342 (0.129-0.555), 0.383 (0.205-0.560), and 4.122 (2.012
6.232), respectively. Conclusion: With the current evidence, the results support
the presence of a significant association between MI and periodontitis. Moreover,
MI patients had worse periodontal and oral hygiene status and fewer teeth than
did control subjects. More high-quality and well-designed studies focusing on the
casual relationship between MI and periodontitis should be conducted in the
future.
PMID- 27867359
TI - Physiology and Evolution of Voltage-Gated Calcium Channels in Early Diverging
Animal Phyla: Cnidaria, Placozoa, Porifera and Ctenophora.
AB - Voltage-gated calcium (Cav) channels serve dual roles in the cell, where they can
both depolarize the membrane potential for electrical excitability, and activate
transient cytoplasmic Ca2+ signals. In animals, Cav channels play crucial roles
including driving muscle contraction (excitation-contraction coupling), gene
expression (excitation-transcription coupling), pre-synaptic and neuroendocrine
exocytosis (excitation-secretion coupling), regulation of flagellar/ciliary
beating, and regulation of cellular excitability, either directly or through
modulation of other Ca2+-sensitive ion channels. In recent years, genome
sequencing has provided significant insights into the molecular evolution of Cav
channels. Furthermore, expanded gene datasets have permitted improved inference
of the species phylogeny at the base of Metazoa, providing clearer insights into
the evolution of complex animal traits which involve Cav channels, including the
nervous system. For the various types of metazoan Cav channels, key properties
that determine their cellular contribution include: Ion selectivity, pore gating,
and, importantly, cytoplasmic protein-protein interactions that direct sub
cellular localization and functional complexing. It is unclear when these
defining features, many of which are essential for nervous system function,
evolved. In this review, we highlight some experimental observations that
implicate Cav channels in the physiology and behavior of the most early-diverging
animals from the phyla Cnidaria, Placozoa, Porifera, and Ctenophora. Given our
limited understanding of the molecular biology of Cav channels in these basal
animal lineages, we infer insights from better-studied vertebrate and
invertebrate animals. We also highlight some apparently conserved cellular
functions of Cav channels, which might have emerged very early on during metazoan
evolution, or perhaps predated it.
PMID- 27867364
TI - An Objective Screening Method for Major Depressive Disorder Using Logistic
Regression Analysis of Heart Rate Variability Data Obtained in a Mental Task
Paradigm.
AB - BACKGROUND AND OBJECTIVES: Heart rate variability (HRV) has been intensively
studied as a promising biological marker of major depressive disorder (MDD). Our
previous study confirmed that autonomic activity and reactivity in depression
revealed by HRV during rest and mental task (MT) conditions can be used as
diagnostic measures and in clinical evaluation. In this study, logistic
regression analysis (LRA) was utilized for the classification and prediction of
MDD based on HRV data obtained in an MT paradigm. METHODS: Power spectral
analysis of HRV on R-R intervals before, during, and after an MT (random number
generation) was performed in 44 drug-naive patients with MDD and 47 healthy
control subjects at Department of Psychiatry in Shizuoka Saiseikai General
Hospital. Logit scores of LRA determined by HRV indices and heart rates
discriminated patients with MDD from healthy subjects. The high frequency (HF)
component of HRV and the ratio of the low frequency (LF) component to the HF
component (LF/HF) correspond to parasympathetic and sympathovagal balance,
respectively. RESULTS: The LRA achieved a sensitivity and specificity of 80.0 and
79.0%, respectively, at an optimum cutoff logit score (0.28). Misclassifications
occurred only when the logit score was close to the cutoff score. Logit scores
also correlated significantly with subjective self-rating depression scale scores
(p < 0.05). CONCLUSION: HRV indices recorded during a MT may be an objective tool
for screening patients with MDD in psychiatric practice. The proposed method
appears promising for not only objective and rapid MDD screening but also
evaluation of its severity.
PMID- 27867363
TI - A Comparative Review of microRNA Expression Patterns in Autism Spectrum Disorder.
AB - Autism spectrum disorder (ASD) is a neurodevelopmental disorder characterized by
a wide spectrum of deficits in social interaction, communication, and behavior.
There is a significant genetic component to ASD, yet no single gene variant
accounts for >1% of incidence. Posttranscriptional mechanisms such as microRNAs
(miRNAs) regulate gene expression without altering the genetic code. They are
abundant in the developing brain and are dysregulated in children with ASD.
Patterns of miRNA expression are altered in the brain, blood, saliva, and
olfactory precursor cells of ASD subjects. The ability of miRNAs to regulate
broad molecular pathways in response to environmental stimuli makes them an
intriguing player in ASD, a disorder characterized by genetic predisposition with
ill-defined environmental triggers. In addition, the availability and
extracellular stability of miRNAs make them an ideal candidate for biomarker
discovery. Here, we discuss 27 miRNAs with overlap across ASD studies, including
3 miRNAs identified in 3 or more studies (miR-23a, miR-146a, and miR-106b).
Together, these 27 miRNAs have 1245 high-confidence mRNA targets, a significant
number of which are expressed in the brain. Furthermore, these mRNA targets
demonstrate over-representation of autism-related genes with enrichment of
neurotrophic signaling molecules. Brain-derived neurotrophic factor, a molecule
involved in hippocampal neurogenesis and altered in ASD, is targeted by 6 of the
27 miRNAs of interest. This neurotrophic pathway represents one intriguing
mechanism by which perturbations in miRNA signaling might influence central
nervous system development in children with ASD.
PMID- 27867365
TI - Gender Agreement Attraction in Russian: Production and Comprehension Evidence.
AB - Agreement attraction errors (such as the number error in the example "The key to
the cabinets are rusty") have been the object of many studies in the last 20
years. So far, almost all production experiments and all comprehension
experiments looked at binary features (primarily at number in Germanic, Romance,
and some other languages, in several cases at gender in Romance languages). Among
other things, it was noted that both in production and in comprehension,
attraction effects are much stronger for some feature combinations than for the
others: they can be observed in the sentences with singular heads and plural
dependent nouns (e.g.,"The key to the cabinets..."), but not in the sentences
with plural heads and singular dependent nouns (e.g., "The keys to the
cabinet..."). Almost all proposed explanations of this asymmetry appeal to
feature markedness, but existing findings do not allow teasing different
approaches to markedness apart. We report the results of four experiments (one on
production and three on comprehension) studying subject-verb gender agreement in
Russian, a language with three genders. Firstly, we found attraction effects both
in production and in comprehension, but, unlike in the case of number agreement,
they were not parallel (in production, feminine gender triggered strongest
effects, while neuter triggered weakest effects, while in comprehension,
masculine triggered weakest effects). Secondly, in the comprehension experiments
attraction was observed for all dependent noun genders, but only for a subset of
head noun genders. This goes against the traditional assumption that the features
of the dependent noun are crucial for attraction, showing the features of the
head are more important. We demonstrate that this approach can be extended to
previous findings on attraction and that there exists other evidence for it. In
total, these findings let us reconsider the question which properties of features
are crucial for agreement attraction in production and in comprehension.
PMID- 27867366
TI - The Impact of Perspective Change As a Cognitive Reappraisal Strategy on Affect: A
Systematic Review.
AB - The strategic or deliberate adoption of a cognitively distanced, third-person
perspective is proposed to adaptively regulate emotions. However, studies of
psychological disorders suggest spontaneous adoption of a third-person
perspective reflects counter-productive avoidance. Here, we review studies that
investigate the deliberate adoption of a third- or first-person vantage
perspective and its impact on affect in healthy people, "sub-clinical"
populations and those with psychological disorders. A systematic search was
conducted across four databases. After exclusion criteria were applied, 38
studies were identified that investigated the impact of both imagery and verbal
instructions designed to encourage adoption of a third-person perspective on self
reported affect. The identified studies examined a variety of outcomes related to
recalling memories, imagining scenarios and mood induction. These were associated
with specific negative emotions or mood states (dysphoria/sadness, anxiety,
anger), mixed or neutral affect autobiographical memories, and self-conscious
affect (e.g., guilt). Engaging a third-person perspective was generally
associated with a reduction in the intensity of positive and negative affect.
Studies that included measures of semantic change, suggested that this is a key
mediator in reduction of affect following perspective change. Strategically
adopting a "distanced," third-person perspective is linked to a reduction in
affect intensity across valence, but in addition has the potential to introduce
new information that regulates emotion via semantic change. Such reappraisal
distinguishes deliberate adoption of a distanced perspective from the habitual
and/or spontaneous shift in perspective that occurs in psychopathology.
PMID- 27867367
TI - Mentalizing and Information Propagation through Social Network: Evidence from a
Resting-State-fMRI Study.
AB - Microblogs is one of the main social networking channels by which information is
spread. Among them, Sina Weibo is one of the largest social networking channels
in China. Millions of users repost information from Sina Weibo and share embedded
emotion at the same time. The present study investigated participants' propensity
to repost microblog messages of positive, negative, or neutral valence, and
studied the neural correlates during resting state with the reposting rate of
each type microblog messages. Participants preferred to repost negative messages
relative to positive and neutral messages. Reposting rate of negative messages
was positively correlated to the functional connectivity of temporoparietal
junction (TPJ) with insula, and TPJ with dorsolateral prefrontal cortex. These
results indicate that reposting negative messages is related to conflict
resolution between the feeling of pain/disgust and the intention to repost
significant information. Thus, resposting emotional microblog messages might be
attributed to participants' appraisal of personal and recipient's interest, as
well as their cognitive process for decision making.
PMID- 27867368
TI - How do Co-agents Actively Regulate their Collective Behavior States?
PMID- 27867369
TI - Formal Semantics in the Neurology Clinic: Atypical Understanding of Aspectual
Coercion in ALS Patients.
AB - Amyotrophic lateral sclerosis (ALS) is a neurodegenerative disease of the motor
system with subtle adverse effects on cognition. It is still unclear whether ALS
also affects language and semantics, and if so, what aspects and processes
exactly. We investigated how ALS patients understand verb phrases modified by
temporal preposition phrases, e.g., "To watch TV for half an hour."
Interpretation here requires operations such as aspectual coercion that add or
delete elements from event structures, depending on temporal modifiers, and
constraints on coercion, which make combinations with certain modifiers not
viable. Using a theoretically-motivated experimental design, we observed that
acceptance rates for aspectual coercion were abnormally high in ALS patients. The
effect was largest for the more complex cases of coercion: not those that involve
enrichment of event structures ("To switch on the TV in half an hour," where a
number of failed attempts must be included in the interpretation) but those that,
if applied, would result in deletion of event structure elements ("To repair the
TV for half an hour"). Our experimental results are consistent with a deficit of
constraints on coercion, and not with impaired semantic processes or
representations, in line with recent studies suggesting that verb semantics is
largely spared in ALS.
PMID- 27867370
TI - Theory of Mind Deficits and Social Emotional Functioning in Preschoolers with
Specific Language Impairment.
AB - Children with Specific Language Impairment (SLI) often experience emotional and
social difficulties. In general, problems in social emotional functioning can be
cognitively explained in terms of Theory of Mind (ToM). In this mini-review, an
overview is provided of studies on social-emotional functioning and ToM in
preschoolers (average age from 2.3 to 6.2 years) with SLI. It is concluded that,
similar to school-aged children with SLI, preschoolers with SLI have several
social-emotional problems and that both cognitive and affective aspects of ToM
are impaired in those children. Based hereon, three possible causal models for
the interrelation between language, ToM and social emotional functioning are put
forward. It is proposed that future research on the construct and measurement of
early ToM, social emotional functioning and language development in preschoolers
with SLI is needed to achieve early detection, tailored treatment, and ultimately
insight into the pathogenesis of SLI.
PMID- 27867371
TI - "Candidatus Gortzia shahrazadis", a Novel Endosymbiont of Paramecium
multimicronucleatum and a Revision of the Biogeographical Distribution of
Holospora-Like Bacteria.
AB - Holospora spp. and "Candidatus Gortzia infectiva", known as Holospora-like
bacteria (HLB), are commonly found as nuclear endosymbionts of ciliates,
especially the Paramecium genus. HLB are related by phylogenetic relationships,
morphological features, and life-cycles, which involve two alternating
morphotypes: reproductive and infectious forms (RF, IF). In this paper we
describe a novel species belonging to the "Ca. Gortzia" genus, detected in P.
multimicronucleatum, a ciliate for which infection by an HLB has not been
reported, discovered in India. This novel endosymbiont shows unusual and
surprising features with respect to other HLB, such as large variations in IF
morphology and the occasional ability to reproduce in the host cytoplasm. We
propose the name of "Candidatus Gortzia shahrazadis" for this novel HLB.
Moreover, we report two additional species of HLB from Indian Paramecium
populations: "Ca. Gortzia infectiva" (from P. jenningsi), and H. obtusa (from P.
caudatum); the latter is the first record of Holospora from a tropical country.
Although tropical, we retrieved H. obtusa at an elevation of 706 m corresponding
to a moderate climate not unlike conditions where Holospora are normally found,
suggesting the genus Holospora does exist in tropical countries, but restricted
to higher elevations.
PMID- 27867372
TI - Overlapping Regions in HIV-1 Genome Act as Potential Sites for Host-Virus
Interaction.
AB - More than a decade, overlapping genes in RNA viruses became a subject of research
which has explored various effect of gene overlapping on the evolution and
function of viral genomes like genome size compaction. Additionally, overlapping
regions (OVRs) are also reported to encode elevated degree of protein intrinsic
disorder (PID) in unspliced RNA viruses. With the aim to explore the roles of
OVRs in HIV-1 pathogenesis, we have carried out an in-depth analysis on the
association of gene overlapping with PID in 35 HIV1- M subtypes. Our study
reveals an over representation of PID in OVR of HIV-1 genomes. These disordered
residues endure several vital, structural features like short linear motifs
(SLiMs) and protein phosphorylation (PP) sites which are previously shown to be
involved in massive host-virus interaction. Moreover, SLiMs in OVRs are noticed
to be more functionally potential as compared to that of non-overlapping region.
Although, density of experimentally verified SLiMs, resided in 9 HIV-1 genes,
involved in host-virus interaction do not show any bias toward clustering into
OVR, tat and rev two important proteins mediates host-pathogen interaction by
their experimentally verified SLiMs, which are mostly localized in OVR. Finally,
our analysis suggests that the acquisition of SLiMs in OVR is mutually exclusive
of the occurrence of disordered residues, while the enrichment of PPs in OVR is
solely dependent on PID and not on overlapping coding frames. Thus, OVRs of HIV-1
genomes could be demarcated as potential molecular recognition sites during host
virus interaction.
PMID- 27867374
TI - Editorial: Proteomics of Microbial Human Pathogens.
PMID- 27867373
TI - Two Genetically Similar H9N2 Influenza A Viruses Show Different Pathogenicity in
Mice.
AB - H9N2 Avian influenza virus has repeatedly infected humans and other mammals,
which highlights the need to determine the pathogenicity and the corresponding
mechanism of this virus for mammals. In this study, we found two H9N2 viruses
with similar genetic background but with different pathogenicity in mice. The
A/duck/Nanjing/06/2003 (NJ06) virus was highly pathogenic for mice, with a 50%
mouse lethal dose (MLD50) of 102.83 50% egg infectious dose (EID50), whereas the
A/duck/Nanjing/01/1999 (NJ01) virus was low pathogenic for mice, with a MLD50 of
>106.81 EID50. Further studies showed that the NJ06 virus grew faster and reached
significantly higher titers than NJ01 in vivo and in vitro. Moreover, the NJ06
virus induced more severe lung lesions, and higher levels of inflammatory
cellular infiltration and cytokine response in lungs than NJ01 did. However, only
12 different amino acid residues (HA-K157E, NA-A9T, NA-R435K, PB2-T149P, PB2
K627E, PB1-R187K, PA-L548M, PA-M550L, NP-G127E, NP-P277H, NP-D340N, NS1-D171N)
were found between the two viruses, and all these residues except for NA-R435K
were located in the known functional regions involved in interaction of viral
proteins or between the virus and host factors. Summary, our results suggest that
multiple amino acid differences may be responsible for the higher pathogenicity
of the NJ06 virus for mice, resulting in lethal infection, enhanced viral
replication, severe lung lesions, and excessive inflammatory cellular
infiltration and cytokine response in lungs. These observations will be helpful
for better understanding the pathogenic potential and the corresponding molecular
basis of H9N2 viruses that might pose threats to human health in the future.
PMID- 27867375
TI - Ceftriaxone Pulse Dosing Fails to Eradicate Biofilm-Like Microcolony B.
burgdorferi Persisters Which Are Sterilized by Daptomycin/ Doxycycline/Cefuroxime
without Pulse Dosing.
AB - Although the majority of Lyme disease patients can be cured, at least 10-20% of
the patients continue to suffer from persisting symptoms such as fatigue,
muscular and joint pain, and neurologic impairment after standard 2-4 week
antibiotic treatment. While the causes for this post-treatment Lyme disease
symptoms are unclear, one possibility is due to Borrelia burgdorferi persisters
that are not effectively killed by current antibiotics such as doxycycline or
amoxicillin used to treat Lyme disease. A previous study showed that four rounds
of ceftriaxone pulse dosing treatment eradicated B. burgdorferi persisters in
vitro using a relatively young late log phase culture (5 day old). In this study,
we investigated if ceftriaxone pulse dosing could also eradicate B. burgdorferi
persisters in older stationary phase cultures (10 day old) enriched with more
resistant microcolony form of persisters. We found that ceftriaxone pulse dosing
could only eradicate planktonic log phase B. burgdorferi spirochetal forms and
round body forms but not more resistant aggregated biofilm-like microcolony
persisters enriched in stationary phase cultures. Moreover, we found that not all
drugs are suitable for pulse dosing, with bactericidal drugs ceftriaxone and
cefuroxime being more appropriate for pulse dosing than bacteriostatic drug
doxycycline and persister drug daptomycin. We also showed that drug combination
pulse dosing treatment was more effective than single drug pulse dosing.
Importantly, we demonstrate that pulse dosing treatment impaired the activity of
the persister drug daptomycin and its drug combination against B. burgdorferi
persisters and that the most effective way to kill the more resistant biofilm
like microcolonies is the daptomycin/doxycycline/ceftriaxone triple drug
combination without pulse dosing. Our findings indicate pulse dosing may not
always work as a general principle but rather depends on the specific drugs used,
with cidal drugs being more appropriate for pulse dosing than static or persister
drugs, and that drug combination approach with persister drugs is more effective
at killing the more resistant microcolony form of persisters than pulse dosing.
These observations may have implications for more effective treatment of Lyme
disease. Future studies are required to validate these findings in animal models
of B. burgdorferi persistence.
PMID- 27867376
TI - The Exosporium of Bacillus megaterium QM B1551 Is Permeable to the Red
Fluorescence Protein of the Coral Discosoma sp.
AB - Bacterial spores spontaneously interact and tightly bind heterologous proteins. A
variety of antigens and enzymes have been efficiently displayed on spores of
Bacillus subtilis, the model system for spore formers. Adsorption on B. subtilis
spores has then been proposed as a non-recombinant approach for the development
of mucosal vaccine/drug delivery vehicles, biocatalysts, bioremediation, and
diagnostic tools. We used spores of B. megaterium QM B1551 to evaluate their
efficiency as an adsorption platform. Spores of B. megaterium are significantly
larger than those of B. subtilis and of other Bacillus species and are surrounded
by the exosporium, an outermost surface layer present only in some Bacillus
species and lacking in B. subtilis. Strain QM B1551 of B. megaterium and a
derivative strain totally lacking the exosporium were used to localize the
adsorbed monomeric Red Fluorescent Protein (mRFP) of the coral Discosoma sp.,
used as a model heterologous protein. Our results indicate that spores of B.
megaterium adsorb mRFP more efficiently than B. subtilis spores, that the
exosporium is essential for mRFP adsorption, and that most of the adsorbed mRFP
molecules are not exposed on the spore surface but rather localized in the space
between the outer coat and the exosporium.
PMID- 27867377
TI - Over-Expression of the Mycobacterial Trehalose-Phosphate Phosphatase OtsB2
Results in a Defect in Macrophage Phagocytosis Associated with Increased
Mycobacterial-Macrophage Adhesion.
AB - Trehalose-6-phosphate phosphatase (OtsB2) is involved in the OtsAB trehalose
synthesis pathway to produce free trehalose and is strictly essential for
mycobacterial growth. We wished to determine the effects of OtsB2 expression on
mycobacterial phenotypes such as growth, phagocytosis and survival in
macrophages. Mycobacterium bovis-bacillus calmette-guerin (BCG) over-expressing
OtsB2 were able to better survive in stationary phase. Over-expression of OtsB2
led to a decrease in phagocytosis but not survival in THP-1 macrophage-like
cells, and this was not due to a decrease in general macrophage phagocytic
activity. Surprisingly, when we investigated macrophage-mycobacterial
interactions by flow cytometry and atomic force microscopy, we discovered that
BCG over-expressing OtsB2 have stronger binding to THP-1 cells than wild-type
BCG. These results suggest that altering OtsB2 expression has implications for
mycobacterial host-pathogen interactions. Macrophage-mycobacteria phagocytic
interactions are complex and merit further study.
PMID- 27867378
TI - Improved Pathogenicity of a Beet Black Scorch Virus Variant by Low Temperature
and Co-infection with Its Satellite RNA.
AB - Co-infection of none-coding satellite RNAs (sat-RNAs) usually inhibits
replication and attenuates disease symptoms of helper viruses. However, we find
that the sat-RNA of Beet black scorch virus (BBSV) and low temperature (18
degrees C) additively enhance the systemic infection of BBSV in Nicotiana
benthamiana. Northern blotting hybridization revealed a relatively reduced
accumulation of BBSV-derived small interfering RNAs (siRNAs) in presence of sat
RNA as compared to that of BBSV alone. Cloning and sequencing of total small RNAs
showed that more than 50% of the total small RNAs sequenced from BBSV-infected
plants were BBSV-siRNAs, whereas the abundance of sat-siRNAs were higher than
BBSV-siRNAs in the sat-RNA co-infected plants, indicating that the sat-RNA
occupies most of the silencing components and possibly relieves the RNA silencing
mediated defense against BBSV. Interestingly, the 5' termini of siRNAs derived
from BBSV and sat-RNA were dominated by Uridines (U) and Adenines (A),
respectively. Besides, the infection of BBSV alone and with sat-RNA induce down
regulation of miR168 and miR403, respectively, which leads to high accumulation
of their targets, Argonaute 1 (AGO1) and AGO2. Our work reveals the profiles of
siRNAs of BBSV and sat-RNA and provides an additional clue to investigate the
complicated interaction between the helper virus and sat-RNA.
PMID- 27867380
TI - IMPre: An Accurate and Efficient Software for Prediction of T- and B-Cell
Receptor Germline Genes and Alleles from Rearranged Repertoire Data.
AB - Large-scale study of the properties of T-cell receptor (TCR) and B-cell receptor
(BCR) repertoires through next-generation sequencing is providing excellent
insights into the understanding of adaptive immune responses.
Variable(Diversity)Joining [V(D)J] germline genes and alleles must be
characterized in detail to facilitate repertoire analyses. However, most species
do not have well-characterized TCR/BCR germline genes because of their high
homology. Also, more germline alleles are required for humans and other species,
which limits the capacity for studying immune repertoires. Herein, we developed
"Immune Germline Prediction" (IMPre), a tool for predicting germline V/J genes
and alleles using deep-sequencing data derived from TCR/BCR repertoires. We
developed a new algorithm, "Seed_Clust," for clustering, produced a multiway tree
for assembly and optimized the sequence according to the characteristics of
rearrangement. We trained IMPre on human samples of T-cell receptor beta (TRB)
and immunoglobulin heavy chain and then tested it on additional human samples.
Accuracy of 97.7, 100, 92.9, and 100% was obtained for TRBV, TRBJ, IGHV, and
IGHJ, respectively. Analyses of subsampling performance for these samples showed
IMPre to be robust using different data quantities. Subsequently, IMPre was
tested on samples from rhesus monkeys and human long sequences: the highly
accurate results demonstrated IMPre to be stable with animal and multiple data
types. With rapid accumulation of high-throughput sequence data for TCR and BCR
repertoires, IMPre can be applied broadly for obtaining novel genes and a large
number of novel alleles. IMPre is available at
https://github.com/zhangwei2015/IMPre.
PMID- 27867379
TI - Convergence of Innate and Adaptive Immunity during Human Aging.
AB - Aging is associated with profound changes in the human immune system, a
phenomenon referred to as immunosenescence. This complex immune remodeling
affects the adaptive immune system and the CD8+ T cell compartment in particular,
leading to the accumulation of terminally differentiated T cells, which can
rapidly exert their effector functions at the expenses of a limited proliferative
potential. In this review, we will discuss evidence suggesting that senescent
alphabetaCD8+ T cells acquire the hallmarks of innate-like T cells and use
recently acquired NK cell receptors as an alternative mechanism to mediate rapid
effector functions. These cells concomitantly lose expression of co-stimulatory
receptors and exhibit decreased T cell receptor signaling, suggesting a
functional shift away from antigen-specific activation. The convergence of innate
and adaptive features in senescent T cells challenges the classic division
between innate and adaptive immune systems. Innate-like T cells are particularly
important for stress and tumor surveillance, and we propose a new role for these
cells in aging, where the acquisition of innate-like functions may represent a
beneficial adaptation to an increased burden of malignancy with age, although it
may also pose a higher risk of autoimmune disorders.
PMID- 27867384
TI - Interleukin-27 Early Impacts Leishmania infantum Infection in Mice and Correlates
with Active Visceral Disease in Humans.
AB - The complexity of Leishmania-host interactions, one of the main leishmaniasis
issues, is yet to be fully understood. We detected elevated IL-27 plasma levels
in European patients with active visceral disease caused by Leishmania infantum,
which returned to basal levels after successful treatment, suggesting this
cytokine as a probable infection mediator. We further addressed this hypothesis
recurring to two classical susceptible visceral leishmaniasis mouse models.
BALB/c, but not C57BL/6 mice, showed increased IL-27 systemic levels after
infection, which was associated with an upregulation of IL-27p28 expression by
dendritic cells and higher parasite burdens. Neutralization of IL-27 in acutely
infected BALB/c led to decreased parasite burdens and a transient increase in IFN
gamma+ splenic T cells, while administration of IL-27 to C57BL/6 promoted a local
anti-inflammatory cytokine response at the site of infection and increased
parasite loads. Overall, we show that, as in humans, BALB/c IL-27 systemic levels
are infection dependently upregulated and may favor parasite installation by
controlling inflammation.
PMID- 27867381
TI - A Critical Reappraisal of Neutrophil Extracellular Traps and NETosis Mimics Based
on Differential Requirements for Protein Citrullination.
AB - NETosis, an antimicrobial form of neutrophil cell death, is considered a primary
source of citrullinated autoantigens in rheumatoid arthritis (RA) and immunogenic
DNA in systemic lupus erythematosus (SLE). Activation of the citrullinating
enzyme peptidylarginine deiminase type 4 (PAD4) is believed to be essential for
neutrophil extracellular trap (NET) formation and NETosis. PAD4 is therefore
viewed as a promising therapeutic target to inhibit the formation of NETs in both
diseases. In this review, we examine the evidence for PAD4 activation during
NETosis and provide experimental data to suggest that protein citrullination is
not a universal feature of NETs. We delineate two distinct biological processes,
leukotoxic hypercitrullination (LTH) and defective mitophagy, which have been
erroneously classified as "NETosis." While these NETosis mimics share
morphological similarities with NETosis (i.e., extracellular DNA release), they
are biologically distinct. As such, these processes can be readily classified by
their stimuli, activation of distinct biochemical pathways, the presence of
hypercitrullination, and antimicrobial effector function. NETosis is an
antimicrobial form of cell death that is NADPH oxidase-dependent and not
associated with hypercitrullination. In contrast, LTH is NADPH oxidase
independent and not bactericidal. Rather, LTH represents a bacterial strategy to
achieve immune evasion. It is triggered by pore-forming pathways and equivalent
signals that cumulate in calcium-dependent hyperactivation of PADs, protein
hypercitrullination, and neutrophil death. The generation of citrullinated
autoantigens in RA is likely driven by LTH, but not NETosis. Mitochondrial DNA
(mtDNA) expulsion, the result of a constitutive defect in mitophagy, represents a
second NETosis mimic. In the presence of interferon-alpha and immune complexes,
this process can generate highly interferogenic oxidized mtDNA, which has
previously been mistaken for NETosis in SLE. Distinguishing NETosis from LTH and
defective mitophagy is paramount to understanding the role of neutrophil damage
in immunity and the pathogenesis of human diseases. This provides a framework to
design specific inhibitors of these distinct biological processes in human
disease.
PMID- 27867382
TI - IL-1beta, But Not Programed Death-1 and Programed Death Ligand Pathway, Is
Critical for the Human Th17 Response to Mycobacterium tuberculosis.
AB - The programed death-1 (PD-1)-programed death ligand-1 (PD-L1) and PD-L2 co
inhibitory pathway has been implicated in the evasion strategies of Mycobacterium
tuberculosis. Specifically, M. tuberculosis-induced PD-L1 orchestrates expansion
of regulatory T cells and suppression of Th1 response. However, the role of PD
pathway in regulating Th17 response to M. tuberculosis has not been investigated.
In the present report, we demonstrate that M. tuberculosis and M. tuberculosis
derived antigen fractions have differential abilities to mediate human monocyte-
and dendritic cell (DC)-mediated Th17 response and were independent of expression
of PD-L1 or PD-L2 on aforementioned antigen-presenting cells. Importantly, we
observed that blockade of PD-L1 or PD-1 did not significantly modify either the
frequencies of Th17 cells or the production of IL-17 from CD4+ T cells though IFN
gamma response was significantly enhanced. On the contrary, IL-1beta from
monocytes and DCs were critical for the Th17 response to M. tuberculosis.
Together, our results indicate that IL-1beta, but not members of the programed
death pathway, is critical for human Th17 response to M. tuberculosis.
PMID- 27867383
TI - Environmental Toxicants-Induced Immune Responses in the Olfactory Mucosa.
AB - Olfactory sensory neurons (OSNs) are the receptor cells for the sense of smell.
Although cell bodies are located in the olfactory mucosa (OM) of the nasal
cavity, OSN axons directly project to the olfactory bulb (OB) that is a component
of the central nervous system (CNS). Because of this direct and short connection
from this peripheral tissue to the CNS, the olfactory system has attracted
attention as a port-of-entry for environmental toxicants that may cause
neurological dysfunction. Selected viruses can enter the OB via the OM and
directly affect the CNS. On the other hand, environmental toxicants may induce
inflammatory responses in the OM, including infiltration of immune cells and
production of inflammatory cytokines. In addition, these inflammatory responses
cause the loss of OSNs that are then replaced with newly generated OSNs that re
connect to the OB after inflammation has subsided. It is now known that immune
cells and cytokines in the OM play important roles in both degeneration and
regeneration of OSNs. Thus, the olfactory system is a unique neuroimmune
interface where interaction between nervous and immune systems in the periphery
significantly affects the structure, neuronal circuitry, and immunological status
of the CNS. The mechanisms by which immune cells regulate OSN loss and the
generation of new OSNs are, however, largely unknown. To help develop a better
understanding of the mechanisms involved, we have provided a review of key
research that has investigated how the immune response in the OM affects the
pathophysiology of OSNs.
PMID- 27867385
TI - Expanding Diversity in Molecular Structures and Functions of the IL-6/IL-12
Heterodimeric Cytokine Family.
AB - The interleukin (IL)-6/IL-12 family cytokines have pleiotropic functions and play
critical roles in multiple immune responses. This cytokine family has very unique
characteristics in that they comprise two distinct subunits forming a heterodimer
and each cytokine and receptor subunit shares with each other. The members of
this cytokine family are increasing; currently, there are more than six
cytokines, including the tentatively named cytokines IL-Y (p28/p40), IL-12
(p35/p40), IL-23 (p19/p40), IL-27 [p28/Epstein-Barr virus-induced protein 3
(EBI3)], IL-35 (p35/EBI3), and IL-39 (p19/EBI3). This family of cytokines covers
a very broad range of immune responses, including pro-inflammatory responses,
such as helper T (Th)1, Th2, and Th17, to anti-inflammatory responses, such as
regulatory T (Treg) cells and IL-10-producing Treg cells. IL-12 is the first
member of this family, and IL-12, IL-23, and IL-27 are mainly produced by
activated antigen-presenting cells, such as dendritic cells and macrophages. IL
12 plays a critical role in the promotion of Th1 immune responses by inducing
interferon-gamma production to combat pathogens and malignant tumors. IL-23
induces IL-17 production and is necessary to maintain pathogenic Th17 cells that
cause inflammatory and autoimmune diseases. IL-27 was initially reported to play
a critical role in promotion of Th1 differentiation; however, subsequent studies
revealed that IL-27 has broader stimulatory and inhibitory roles by inducing IL
10-producing Treg cells. IL-35 is produced by forkhead box P3+ Treg cells and
activated B cells and has immunosuppressive functions to maintain immune
tolerance. The most recently identified cytokine, IL-39, is produced by activated
B cells and has pro-inflammatory functions. The cytokine tentatively named IL-Y
seems to have anti-inflammatory functions by inhibiting Th1 and Th17
differentiation. In addition, individual cytokine subunits were also shown to
have self-standing activities. Thus, promiscuity within the IL-6/IL-12 family
cytokines complicates structural and functional clarification and assignment of
individual cytokines. A better understanding of the recent advances and expanding
diversity in molecular structures and functions of the IL-6/IL-12 family
cytokines could allow the creation of novel therapeutic strategies by using them
as tools and targeted molecules.
PMID- 27867386
TI - Immune Regulation by Pericytes: Modulating Innate and Adaptive Immunity.
AB - Pericytes (PC) are mural cells that surround endothelial cells in small blood
vessels. PC have traditionally been credited with structural functions, being
essential for vessel maturation and stabilization. However, an accumulating body
of evidence suggests that PC also display immune properties. They can respond to
a series of pro-inflammatory stimuli and are able to sense different types of
danger due to their expression of functional pattern-recognition receptors,
contributing to the onset of innate immune responses. In this context, PC not
only secrete a variety of chemokines but also overexpress adhesion molecules such
as ICAM-1 and VCAM-1 involved in the control of immune cell trafficking across
vessel walls. In addition to their role in innate immunity, PC are involved in
adaptive immunity. It has been reported that interaction with PC anergizes T
cells, which is attributed, at least in part, to the expression of PD-L1. As
components of the tumor microenvironment, PC can also modulate the antitumor
immune response. However, their role is complex, and further studies will be
required to better understand the crosstalk of PC with immune cells in order to
consider them as potential therapeutic targets. In any case, PC will be looked at
with new eyes by immunologists from now on.
PMID- 27867387
TI - Neutrophils Discriminate between Lipopolysaccharides of Different Bacterial
Sources and Selectively Release Neutrophil Extracellular Traps.
AB - The release of neutrophil extracellular traps (NETs), either during "suicidal" or
"vital" NETosis, represents an important strategy of neutrophils to combat Gram
negative bacteria. Lipopolysaccharide (LPS), a major component of the outer
membrane of Gram-negative bacteria, is a reported stimulus for NET formation.
Although it is widely acknowledged that the structural diversity in LPS
structures can elicit heterogeneous immune responses, species- and serotype
specific differences in the capacity of LPS to trigger NET formation have not yet
been investigated. In the present study, we compared the NET-inducing potential
of LPS derived from Escherichia coli (serotypes O55:B5, O127:B8, O128:B12,
O111:B4, and O26:B6), Salmonella enterica (serotype enteritidis), and Pseudomonas
aeruginosa (serotype 10), under platelet-free and platelet-rich conditions in
vitro, and in whole blood ex vivo. Here, we demonstrate that under serum- and
platelet-free conditions, mimicking tissue circumstances, neutrophils
discriminate between LPS of different bacterial sources and selectively release
NETs only in response to LPS derived from E. coli O128:B12 and P. aeruginosa 10,
which both induced "suicidal" NETosis in an autophagy- and reactive oxygen
species (ROS)-dependent, but TLR4-independent manner. Intriguingly, in whole
blood cultures ex vivo, or in vitro in the presence of platelets, all LPS
serotypes induced "vital" NET formation. This platelet-dependent release of NETs
occurred rapidly without neutrophil cell death and was independent from ROS
formation and autophagy but required platelet TLR4 and CD62P-dependent platelet
neutrophil interactions. Taken together, our data reveal a complex interplay
between neutrophils and LPS, which can induce both "suicidal" and "vital"
NETosis, depending on the bacterial origin of LPS and the presence or absence of
platelets. Our findings suggest that LPS sensing by neutrophils may be a critical
determinant for restricting NET release to certain Gram-negative bacteria only,
which in turn may be crucial for minimizing unnecessary NET-associated
immunopathology.
PMID- 27867388
TI - Transcriptomic Changes of Drought-Tolerant and Sensitive Banana Cultivars Exposed
to Drought Stress.
AB - In banana, drought responsive gene expression profiles of drought-tolerant and
sensitive genotypes remain largely unexplored. In this research, the
transcriptome of drought-tolerant banana cultivar (Saba, ABB genome) and
sensitive cultivar (Grand Naine, AAA genome) was monitored using mRNA-Seq under
control and drought stress condition. A total of 162.36 million reads from
tolerant and 126.58 million reads from sensitive libraries were produced and
mapped onto the Musa acuminata genome sequence and assembled into 23,096 and
23,079 unigenes. Differential gene expression between two conditions (control and
drought) showed that at least 2268 and 2963 statistically significant,
functionally known, non-redundant differentially expressed genes (DEGs) from
tolerant and sensitive libraries. Drought has up-regulated 991 and 1378 DEGs and
down-regulated 1104 and 1585 DEGs respectively in tolerant and sensitive
libraries. Among DEGs, 15.9% are coding for transcription factors (TFs)
comprising 46 families and 9.5% of DEGs are constituted by protein kinases from
82 families. Most enriched DEGs are mainly involved in protein modifications,
lipid metabolism, alkaloid biosynthesis, carbohydrate degradation, glycan
metabolism, and biosynthesis of amino acid, cofactor, nucleotide-sugar, hormone,
terpenoids and other secondary metabolites. Several, specific genotype-dependent
gene expression pattern was observed for drought stress in both cultivars. A
subset of 9 DEGs was confirmed using quantitative reverse transcription-PCR.
These results will provide necessary information for developing drought-resilient
banana plants.
PMID- 27867389
TI - Modeling the Morphometric Evolution of the Maize Shoot Apical Meristem.
AB - The maize (Zea mays subsp. mays L.) shoot apical meristem (SAM) is a self
replenishing pool of stem cells that produces all above-ground plant tissues.
Improvements in image acquisition and processing techniques have allowed high
throughput, quantitative genetic analyses of SAM morphology. As with other large
scale phenotyping efforts, meaningful descriptions of genetic architecture depend
on the collection of relevant measures. In this study, we tested two quantitative
image processing methods to describe SAM morphology within the genus Zea,
represented by 33 wild relatives of maize and 841 lines from a domesticated maize
by wild teosinte progenitor (MxT) backcross population, along with previously
reported data from several hundred diverse maize inbred lines. Approximating the
MxT SAM as a paraboloid derived eight parabolic estimators of SAM morphology that
identified highly overlapping quantitative trait loci (QTL) on eight chromosomes,
which implicated previously identified SAM morphology candidate genes along with
new QTL for SAM morphological variation. Using a Fourier-transform related method
of comprehensive shape analysis, we detected cryptic SAM shape variation that
identified QTL on six chromosomes. We found that Fourier transform shape
descriptors and parabolic estimation measures are highly correlated and
identified similar QTL. Analysis of shoot apex contours from 73 anciently
diverged plant taxa further suggested that parabolic shape may be a universal
feature of plant SAMs, regardless of evolutionary clade. Future high-throughput
examinations of SAM morphology may benefit from the ease of acquisition and
phenotypic fidelity of modeling the SAM as a paraboloid.
PMID- 27867390
TI - The Mechanisms of Maize Resistance to Fusarium verticillioides by Comprehensive
Analysis of RNA-seq Data.
AB - Fusarium verticillioides is the most commonly reported fungal species responsible
for ear rot of maize which substantially reduces grain yield. It also results in
a substantial accumulation of mycotoxins that give rise to toxic response when
ingested by animals and humans. For inefficient control by chemical and agronomic
measures, it thus becomes more desirable to select more resistant varieties.
However, the molecular mechanisms underlying the infection process remain poorly
understood, which hampers the application of quantitative resistance in breeding
programs. Here, we reveal the disease-resistance mechanism of the maize inbred
line of BT-1 which displays high resistance to ear rot using RNA high throughput
sequencing. By analyzing RNA-seq data from the BT-1 kernels before and after F.
verticillioides inoculation, we found that transcript levels of genes associated
with key pathways are dramatically changed compared with the control treatment.
Differential gene expression in ear rot resistant and susceptible maize was
confirmed by RNA microarray and qRT-PCR analyses. Further investigation suggests
that the small heat shock protein family, some secondary metabolites, and the
signaling pathways of abscisic acid, jasmonic acid, or salicylic acids (SA) may
be involved in the pathogen-associated molecular pattern-triggered immunity
against F. verticillioides. These data will not only provide new insights into
the molecular resistant mechanisms against fungi invading, but may also result in
the identification of key molecular factors associated with ear rot resistance in
maize.
PMID- 27867391
TI - Origin of the Autophagosomal Membrane in Plants.
AB - During autophagy, cargo molecules destined for degradation are sequestrated into
a double-membrane structure called autophagosome, which subsequently fuses with
the vacuole. An isolation membrane structure (also called the phagophore)
initiates from the platform termed PAS (phagophore assembly site or
preautophagosomal structure), which then elongates and expands to become the
completed autophagosome. The origin of the membrane for autophagosome formation
has been extensively investigated but remains an enigma in the field of
autophagy. In yeast and mammalian cells multiple membrane sources have been
suggested to contribute to autophagosome formation at different steps, from
initiation through expansion and maturation. Recent studies in plants have
provided a significant advance in our understanding of the conserved role of
autophagy and the underlying mechanism for autophagosome formation. Here, we will
discuss and evaluate these new findings on autophagosome formation in plants,
with a particular focus on the origin of plant autophagosomal membranes.
PMID- 27867393
TI - Melatonin Application to Pisum sativum L. Seeds Positively Influences the
Function of the Photosynthetic Apparatus in Growing Seedlings during Paraquat
Induced Oxidative Stress.
AB - Melatonin, due to its pleiotropic effects plays an important role improving
tolerance to stresses. Plants increase endogenous melatonin synthesis when faced
with harsh environments as well as exogenously applied melatonin limits stress
injuries. Presented work demonstrated that single melatonin application into the
seeds during pre-sowing priming improved oxidative stress tolerance of growing
seedlings exposed to paraquat (PQ). PQ is a powerful herbicide which blocks the
process of photosynthesis under light conditions due to free radicals excess
production, when O2 is rapidly converted to [Formula: see text] and subsequently
to other reactive oxygen species. The parameters of chlorophyll fluorescence
[Fv/Fm, Fv/Fo, Rfd, PhiPSII, qP, and non-photochemical quenching (NPQ)] in all
variants of pea leaves (derived from control non-treated seeds - C, and those
hydroprimed with water - H, and hydroprimed with melatonin water solution 50 or
200 MUM - H-MEL50 and H-MEL200, respectively) were analyzed as a tool for
photosynthetic efficacy testing. Moreover stability of the photosynthetic
pigments (chlorophylls a, b, and carotenoids) was also monitored under oxidative
stress conditions. The results suggest that melatonin applied into the seed
significantly enhances oxidative stress tolerance in growing seedlings. This
beneficial effect was reflected in reduced accumulation of [Formula: see text] in
leaf tissues, preservation of photosynthetic pigments, improved functioning of
the photosynthetic apparatus and higher water content in the tissues during PQ
mediated stress. Our findings provide evidence for the physiological role of this
molecule and serve as a platform for its possible applications in agricultural or
related areas of research.
PMID- 27867394
TI - Association of Tissue Transglutaminase Antibody Titer with Duodenal Histological
Changes in Children with Celiac Disease.
AB - Celiac disease is usually diagnosed by demonstrating gluten enteropathy in small
bowel biopsy. Celiac specific antibodies are used as an initial screening test.
The goal of this study is to test the relationship of the anti-tTG titer and
severity of histological changes in Jordanian children with celiac disease.
Method. The medical records of 81 children who had elevated anti-tTG titer and
had duodenal biopsies available were retrospectively reviewed. Result. Assessing
the association of anti-tTG titer with duodenal histopathological changes, 94% of
those with high anti-tTG titer (>=180 U/mL) had histological evidence of celiac
disease. There was statistically significant positive association between high
anti-tTG titer and Marsh grading as 82% of patients with Marsh III had high anti
tTG titer (Chi2 18.5; P value 0.00; Odds Ratio 8.5). The fraction of patients
with Marsh III who were correctly identified as positive by anti-tTG titer >= 180
U/mL was high (sensitivity = 81.6). Moreover, the fraction of patients with anti
tTG titer >= 180 U/mL who had Marsh III was also high (positive predictive value
= 78.4). Conclusion. Anti-tTG titer >= 180 U/mL had significant positive
association with Marsh III histopathological changes of celiac disease.
PMID- 27867392
TI - The Physcomitrella patens Chloroplast Proteome Changes in Response to
Protoplastation.
AB - Plant protoplasts are widely used for genetic manipulation and functional studies
in transient expression systems. However, little is known about the molecular
pathways involved in a cell response to the combined stress factors resulted from
protoplast generation. Plants often face more than one type of stress at a time,
and how plants respond to combined stress factors is therefore of great interest.
Here, we used protoplasts of the moss Physcomitrella patens as a model to study
the effects of short-term stress on the chloroplast proteome. Using label-free
comparative quantitative proteomic analysis (SWATH-MS), we quantified 479
chloroplast proteins, 219 of which showed a more than 1.4-fold change in
abundance in protoplasts. We additionally quantified 1451 chloroplast proteins
using emPAI. We observed degradation of a significant portion of the chloroplast
proteome following the first hour of stress imposed by the protoplast isolation
process. Electron-transport chain (ETC) components underwent the heaviest
degradation, resulting in the decline of photosynthetic activity. We also
compared the proteome changes to those in the transcriptional level of nuclear
encoded chloroplast genes. Globally, the levels of the quantified proteins and
their corresponding mRNAs showed limited correlation. Genes involved in the
biosynthesis of chlorophyll and components of the outer chloroplast membrane
showed decreases in both transcript and protein abundance. However, proteins like
dehydroascorbate reductase 1 and 2-cys peroxiredoxin B responsible for ROS
detoxification increased in abundance. Further, genes such as thylakoid ascorbate
peroxidase were induced at the transcriptional level but down-regulated at the
proteomic level. Together, our results demonstrate that the initial chloroplast
reaction to stress is due changes at the proteomic level.
PMID- 27867395
TI - Radioactive Iodine Administration Is Associated with Persistent Related Symptoms
in Patients with Differentiated Thyroid Cancer.
AB - Context. Radioiodine (RAI) administration has adverse effects in patients treated
for thyroid cancer (DTC), but there is scarce information regarding their
intensity and duration. Objective. To evaluate frequency and intensity of early
and late RAI-related symptoms in patients with DTC. Design. Observational
prospective study. Patients. DTC patients who underwent thyroidectomy, with or
without RAI. Measurements. Patients answered 2 surveys: (1) from 0 to 6 months
and (2) between 6 and 18 months after initial treatment. Results. 110 patients
answered the first survey and 61 both. Nearly 80 percent received RAI. Among
early symptoms, periorbital edema, excessive tearing, salivary gland
disturbances, dry mouth, taste disorders, and nausea were more frequent and
intense among RAI patients. Regarding late symptoms, periorbital edema, salivary
gland pain and swelling, and dry mouth were more frequent and intense in RAI
patients. Frequency and intensity of adverse effects were not different between
low and high RAI doses (50 versus >=100 mCi). Conclusion. RAI-related symptoms
are frequent and usually persist after 6 months of administration, even when low
doses are given. This finding must be considered when deciding RAI
administration, especially in low risk patients, among whom RAI benefit is
controversial.
PMID- 27867397
TI - Knowledge and Awareness of Cervical Cancer among HIV-Infected Women in Ethiopia.
AB - Introduction. Cervical cancer is one of the leading causes of cancer death among
Ethiopian women. Low awareness of cervical cancer, in combination with low health
care seeking behavior, is a key challenge for cervical cancer prevention. This
study assessed the knowledge of cervical cancer among HIV-infected women in
Ethiopia. Methods. A facility-based cross-sectional survey was conducted from
August to September 2012 among HIV-infected women between 21 and 49 years of age.
Basic descriptive statistics were performed using SPSS. Results. A total of 432
HIV-infected women participated in this study. About 71% of participants had ever
heard of cervical cancer. Among women who had ever heard of cervical cancer, 49%
did not know the cause while 74% were able to identify at least one risk factor
for cervical cancer. Only 33% of women were able to correctly address when women
should seek care and 33% identified at least one treatment option for cervical
cancer. Conclusion. This study revealed that knowledge about cervical cancer was
generally low, in particular for health care seeking behavior and treatment of
cervical cancer. Health awareness programs should be strengthened at both
community and health facility levels with emphasis highlighting the causes, risk
factors, care seeking behaviors, and treatment options for cervical cancer.
PMID- 27867396
TI - Challenges in the Diagnosis and Management of Growth Hormone Deficiency in India.
AB - In clinical practice, every year approximately 150,000 children are referred with
short stature (SS) based on a cut-off of fifth percentile. The most important
endocrine and treatable cause of SS is growth hormone deficiency (GHD). The lack
of reliable data on the prevalence of GHD in India limits estimation of the
magnitude of this problem. The diagnosis and treatment of GHD are hurdled with
various challenges, restricting the availability of growth hormone (GH) therapy
to only a very limited segment of the children in India. This review will firstly
summarize the gaps and challenges in diagnosis and treatment of GHD based on
literature analysis. Subsequently, it presents suggestions from the members at
advisory board meetings to overcome these challenges. The advisory board
suggested that early initiation of the therapy could better the chances of
achieving final adult height within the normal range for the population.
Education and awareness about growth disorders among parents, regular training
for physicians, and more emphasis on using the Indian growth charts for growth
monitoring would help improve the diagnosis and treatment of children with GHD.
Availability of an easy-to-use therapy delivery system could also be beneficial
in improving adherence and achieving satisfactory outcomes.
PMID- 27867399
TI - Biodemographic Analysis of Factors Related to Perinatal Mortality in Portugal
(1988-2011).
AB - Background. The purpose of this paper is to determine the relative mortality
risks at delivery and during the first week of life with regard to maternal and
foetal characteristics. Methods. Yearly individual digital records on live births
and early neonatal mortality were used to infer the possible factors involved in
perinatal deaths. Results. The results show that the number of births per year
declined with time throughout the period studied. At the same time, rates
decreased in 66.4% for stillbirths and in 70.2% for early neonatal mortality.
Logistic regressions modelled the interaction of the two mortality indicators and
covariables such as birth weight and the duration of gestation. Conclusions. This
research provides a first biodemographic approach to the knowledge of factors
influencing perinatal mortality in Portugal based on a set of foetal and maternal
variables. Although the magnitude of the different perinatal mortality rates may
be affected by the criteria used for selecting cases (multiple-singletons;
minimum birth weight or minimum duration of gestation), one of the conclusions of
the present analysis is that the relationship among the maternal and foetal
variables that determine the relative risk remains unaltered. Certain resemblance
with the factors determining negative birth outcomes in Spain is appreciated.
PMID- 27867400
TI - Climate Change Impact: The Experience of the Coastal Areas of Bangladesh Affected
by Cyclones Sidr and Aila.
AB - Bangladesh is considered one of the countries most at risk to the effects of
climate change and its coastal area is most vulnerable. This study tries to
explore the experiences of cyclones Sidr and Aila affected people living in the
coastal areas of Bangladesh. This study was conducted in the cyclone Sidr
affected Amtali Upazila of Barguna District and in the cyclone Aila affected
Koyra Upazila of Khulna District. Primary data collection was done using Focus
Group Interview and then a thematic analysis approach was used for analysis.
Three core themes emerged from the analysis and they are, firstly, impacts of
climate change on the socioeconomic condition of the people, secondly, the impact
on the health status of the population, and finally the impact on vulnerable
people. Findings show that the effects of climate change have serious
consequences on the livelihood patterns of the affected population and on their
overall health status. As a result, the unfavorable health condition of these
affected people makes them more vulnerable to various emerging diseases.
PMID- 27867401
TI - KRAS mutant tumor subpopulations can subvert durable responses to personalized
cancer treatments.
AB - KRAS mutations in colorectal and lung cancers predict failure to respond to
therapies that target the EGFR. Significant percentages of patients with KRAS
wild-type tumors also fail to respond to these therapies. Relapse occurs in
patients with KRAS wild-type and mutant tumors, with moderately longer
progression-free survival in patients with KRAS wild-type tumors. Colon and lung
tumors frequently carry KRAS mutant tumor subpopulations not detected by DNA
sequencing. This suggests detected and undetected KRAS mutant subpopulations in
colon and lung tumors are undermining the efficacy of anti-EGFR therapies.
Therefore, consideration should be given to combining therapies that target KRAS
mutant cells with those that downregulate EGFR signaling. As tumors are
frequently polyclonal in origin and comprised of distinct clonal populations
carrying complementing genetic and/or epigenetic lesions, preclinical models that
assess the efficacy of combination therapies in the context of heterogeneous
tumor cell populations will be essential for progress in this area.
PMID- 27867398
TI - Mesenchymal Stem Cells as a Prospective Therapy for the Diabetic Foot.
AB - The diabetic foot is a serious complication of diabetes. Mesenchymal stem cells
are an abundant source of stem cells which occupy a special position in cell
therapies, and recent studies have suggested that mesenchymal stem cells can play
essential roles in treatments for the diabetic foot. Here, we discuss the
advances that have been made in mesenchymal stem cell treatments for this
condition. The roles and functional mechanisms of mesenchymal stem cells in the
diabetic foot are also summarized, and insights into current and future studies
are presented.
PMID- 27867402
TI - Evaluation of Hypoglycemic and Genotoxic Effect of Polyphenolic Bark Extract from
Quercus sideroxyla.
AB - Quercus sideroxyla is a wood species whose bark has phenolic compound and should
be considered to be bioactive; the hypoglycemic and genotoxic properties of Q.
sideroxyla bark were evaluated in this study. Total phenolic compound was
determined in crude extract (CE) and organic extract (OE). The OE has the highest
amount of phenols (724.1 +/- 12.0 GAE/g). Besides, both CE and OE demonstrated
effect over the inhibition of alpha-amylase in vitro. Hypoglycemic activity was
assessed by glucose tolerance curve and the area under curve (UAC); OE showed the
highest hypoglycemic activity. In addition, diabetes was induced by
streptozotocin (65 mg/kg) and the extracts (50 mg/kg) were administered for 10
days; OE showed hypoglycemic effect compared with diabetic control and decreased
hepatic lipid peroxidation. Acute toxicity and genotoxicity were evaluated in CE;
results of acute toxicity did not show any mortality. Besides, the comet assay
showed that CE at a dose of 100 mg/kg did not show any genotoxic effect when
evaluated at 24 h, whereas it induced slight damage at 200 mg/kg, with the
formation of type 1 comets.
PMID- 27867404
TI - Editorial.
PMID- 27867403
TI - In Vitro TNF-alpha Inhibitory Activity of Brazilian Plants and Anti-Inflammatory
Effect of Stryphnodendron adstringens in an Acute Arthritis Model.
AB - Stryphnodendron species, popularly named "barbatimao," are traditionally used in
Brazil as anti-inflammatory agents. This study aimed to investigate the effect of
barbatimao and 11 other species on the production of tumor necrosis factor-alpha
(TNF-alpha) in lipopolysaccharide- (LPS-) stimulated THP-1 cells, as well as
their anti-arthritis activity. The extracts of Stryphnodendron adstringens,
Stryphnodendron obovatum, Campomanesia lineatifolia, and Terminalia glabrescens
promoted a concentration-dependent inhibition of TNF-alpha. Mice injected with
LPS in the knee joint were treated per os with fractions from the selected
extracts. Both the organic (SAO) and the aqueous (SAA) fractions of S.
adstringens promoted a dose-dependent reduction of leukocyte migration and
neutrophil accumulation into the joint, but none of them reduced CXCL1
concentration in the periarticular tissue. In contrast, treatment with C.
lineatifolia and T. glabrescens fractions did not ameliorate the inflammatory
parameters. Analyses of SAO by Ultra Performance Liquid Chromatography (UPLC)
coupled to electrospray ionization mass spectrometry (ESI-MS) led to the
identification of gallic acid along with 11 prodelphinidins, characterized as
monomers and dimers of the B-type. Our findings contribute to some extent to
corroborating the traditional use of S. adstringens as an anti-inflammatory
agent. This activity is probably related to a decrease of leukocyte migration
into the inflammatory site. Polyphenols like gallic acid and prodelphinidins,
identified in the active fraction, may contribute to the observed activity.
PMID- 27867406
TI - Helping expectant mothers understand inadequate ultrasound images.
AB - BACKGROUND: Obstetric ultrasound scans may fail to provide all the information
that is needed because of poor visualisation. Two main causes of poor
visualisation are addressed. These are poor foetal position and poor quality
imaging due to beam distortion by overlying fatty tissue. METHOD: To improve
communication with patients attending obstetric scans, a poster and leaflet were
designed to explain these causes of inadequate scans. A questionnaire was used to
assess the value of the poster. RESULTS: 57/66 (86%) questionnaires were
completed. 52 (91%) found the information on the poster was helpful and well
explained. For 8 (14%) the information changed their thoughts about the scan.
CONCLUSION: Clear communication aids the expectant mothers understanding of why
scans may be suboptimal. The way this is recorded in the scan results is
discussed.
PMID- 27867405
TI - Development and assessment of inter- and intra-rater reliability of a novel
ultrasound tool for scoring tendon and sheath disease - A pilot study.
AB - BACKGROUND: Tendon and synovial sheath disease is common. A method of monitoring
the status of tendons and sheaths is important for both diagnosis of pathology
and evaluation of the efficacy of treatments. For this study, an ultrasound
scoring tool was developed and its reliability tested between raters. The tool is
novel in that it scores tendons and sheaths separately, an important
consideration since disorders of these structures are not necessarily concurrent.
METHODS: Thirty diseased tendons and sheaths were included in this pilot cross
sectional study. Tendon and sheath measurements were taken and the semi
quantitative five-grade score was applied to assess tendon greyscale, tendon
Doppler activity and sheath Doppler activity. Inter-rater and intra-rater
agreement exercises were undertaken to test the reliability of the scoring tool.
RESULTS: The Intra-class Correlation Coefficient values for both the inter-rater
and intra-rater reliability tests showed excellent agreement for the tendon and
sheath measurements. Unweighted kappa estimations for inter-rater scores showed
excellent agreement for tendon Doppler; good agreement was shown for scoring
sheath Doppler, while poor agreement was shown for tendon grey-scale scoring. The
intra-rater reliability scores demonstrated similar results. CONCLUSION: Overall,
the study strongly supports the use of this scoring tool for the diagnosis and
follow-up of tendon and sheath disorders. The results may be used as a starting
point from which to base further work in this important area. Future studies
should address the limitations found in this research with a strong focus on
improving tendon grey-scale measurement accuracy and agreement.
PMID- 27867407
TI - Twinkle artefact in the ultrasound diagnosis of superficial epidermoid cysts.
AB - AIM: The aim of the study was to evaluate whether the twinkle artefact is a
valuable feature in the sonographic diagnosis of superficial epidermoid cysts.
MATERIALS AND METHODS: A retrospective search was undertaken of our institution's
Radiology Information System and pathology database to identify cases of
superficial masses showing the twinkle artefact that proceeded to surgical
excision. RESULTS: Eighteen superficial masses demonstrating the twinkle artefact
were identified that were submitted for pathological analysis. Of these, 17 were
confirmed to represent epidermoid cysts and only 1 case had an alternative
diagnosis (proliferating trichilemmal cyst). CONCLUSION: The presence of the
twinkle artefact appears to be a specific and valuable ancillary sonographic
feature for the diagnosis of superficial epidermoid cysts.
PMID- 27867408
TI - Reliability of a measurement method for the cross-sectional area of the longus
colli using real-time ultrasound imaging.
AB - OBJECTIVE: Real-time ultrasound imaging is an established objective outcome
measurement with proven reliability. However, it is still largely biased by user
ability. Published research in the area of real-time ultrasound imaging
reliability in the cervical region and in particular the deep cervical flexors is
quite sparse. The purpose of this investigation was to examine if a novice
ultrasound user could agree favourably with an experienced ultrasound sonographer
in measuring the cross-sectional area of the longus colli. METHODS: Ultrasound
images were captured from 22 healthy subjects on two different occasions, one
week apart, by a novice ultrasound user. They were acquired using a GE Healthcare
LOGIQe ultrasound machine, at a depth of 3-4 cm with the transducer frequency set
to 8 MHz, in line with previous research guidelines. Cross-sectional area was
then measured on-screen by both a novice and experienced ultrasound user to
determine inter-rater reliability. Intra-rater reliability was also analysed
using cross-sectional area figures from days one and two. RESULTS: Intra-rater
reliability for real-time ultrasound imaging for the cross-sectional area of the
longus colli was "excellent" (intra-class correlation 0.90, 95% CI 0.82-0.95).
Inter-rater reliability was "moderate" but in keeping with previous published
research (intra-class correlation 0.61, 95% CI 0.37-0.77). CONCLUSION:
Difficulties in identifying the borders of the longus colli muscle due to its
deep anatomical location and surrounding structures make it difficult for
assessors to agree favourably on cross-sectional area measurements, leading to
"moderate" levels of inter-rater reliability and poor agreement. Intra-rater
reliability is excellent, and in this instance indicates that a novice user can
be just as reliable as a more experienced ultrasound user.
PMID- 27867409
TI - The postpartum ultrasound scan.
AB - Ultrasound assessment of the postpartum uterus has a significant role to play in
the evaluation of a large proportion of symptomatic puerperal women. Often the
imaging modality of choice for excluding retained placental tissue, correct
application of postpartum ultrasound could enable more accurate identification of
women requiring surgical intervention, with consequent reduction in patient
morbidity and clinical workload. This article aims to review the current
understanding and application of ultrasound in the puerperium and evaluate the
current evidence investigating the physiological and pathological findings of the
postpartum uterus and its contents.
PMID- 27867410
TI - Closed gastroschisis, vanishing midgut and extreme short bowel syndrome: Case
report and review of the literature.
AB - Gastroschisis alone has excellent survival rates. Occasionally reported is closed
gastroschisis, leading to vanishing small bowel and extreme short bowel syndrome.
It is believed that the abdominal wall defect can contract or close in utero,
which leads to strangulation of the eviscerated bowel and the rare "vanishing gut
syndrome." This has a very poor prognosis with mortality as high as 70%. An 18
year-old primigravid patient's 13 week scan diagnosed a large gastroschisis
affecting the fetus. After counselling, she decided to continue with the
pregnancy. Between 20 and 22 weeks, the gastroschisis disappeared, and the bowel
within the abdomen became markedly dilated. Spontaneous labour occurred at 33 + 3
weeks gestation. There was no abdominal wall defect seen at delivery. Imaging and
an exploratory laparotomy demonstrated absence of most of the midgut. Because
available options for treatment would be very aggressive and risky, palliative
care was thought to be the most feasible and practical option. He died at home on
day 29 after birth. Extreme short gut syndrome (less than 25 cm of remaining
small bowel) is rare. There are 13 reported cases in the literature from year
2000 to 2013. Treatment is aggressive and involves a bowel lengthening procedure
or small bowel transplant. All require total parenteral nutrition and liver
failure, and liver transplant is a common complication. Of these cases, 12 were
born alive and 7 had aggressive treatment. Only two cases were confirmed to still
be alive in infancy. If gastroschisis is seen to be reducing and "disappearing"
antenatally, parents should be made aware of this rare complication so that they
might be prepared if a poor outcome is anticipated.
PMID- 27867411
TI - Ultrasound as a screening tool in the follow-up of asymptomatic congenital cystic
adenomatoid malformation.
AB - Congenital cystic adenomatoid malformation accounts for the vast majority of
congenital cystic lung pathologies. The clinical spectrum of congenital cystic
adenomatoid malformation is wide and ranges from silent incidental lesions to
severe congenital respiratory distress or stillbirths. Management of clinically
silent lesions is still a matter of debate, with conservative follow-up being a
popular approach during the last decade. However, an optimal methodology of
follow-up is yet to be determined. In this study, ultrasound is proposed as a
follow-up tool for clinically silent lesions based on the findings in a case with
known congenital cystic adenomatoid malformation from the antenatal period, which
has been followed up for four years.
PMID- 27867413
TI - A Parent-Based Intervention to Prevent HIV Among Adolescent Children of Mothers
Living with HIV: The Ms. Now! Program.
AB - One group often overlooked by HIV prevention efforts is adolescent children of
mothers living with HIV (MLH). Despite their potential vulnerability, very few
evidence-based prevention programs exist for this population in the United States
(U.S.) and elsewhere. The current study introduces a parent-based program adapted
for families affected by HIV for the purpose of preventing adolescent HIV
infection. Following a structured process of adaptation, 12 African American MLH
adolescent dyads were recruited from HIV clinics and non-governmental
organizations in a southeastern U.S. city to participate in a feasibility pilot
evaluation of the adapted program (Moms Stopping It Now! [Ms. Now]). The
intervention consisted of group and individual sessions implemented in a
university setting and at participants' homes, respectively. We determined
feasibility through assessing participant acceptability and signs of intervention
efficacy. Quantitative and qualitative process data revealed high levels of
acceptability, as participants were largely satisfied and engaged with Ms. Now,
and were willing to attend most sessions. In addition, positive intervention
effects approaching medium to large effect sizes were observed for some
protective parenting outcomes, including increases in parent-child relationship
quality, parental monitoring, maternal HIV disclosure self-efficacy, and
communication about maternal HIV infection. Other outcomes, namely communication
about sex topics, did not show positive shifts due to ceiling effects and may be
indicative of the pre-existing strengths these MLH possess. Ms. Now's approach
and further refinement is discussed in the context of strengthening families
affected by HIV. Moreover, we recommend that policy aimed at program development
consider jointly targeting these populations (MLH and adolescents) due to the
unique benefits of family intervention.
PMID- 27867414
TI - The Role of Family Variables in the Length of Stay of Psychiatric In-patients.
AB - BACKGROUND: In Japan, the number of beds and average length of stay in a
psychiatric ward are greater than in other developed countries. OBJECTIVE: The
present study aimed to investigate the association between family variables and
the length of stay of patients with mental and behavioural disorders in a private
psychiatric hospital in Japan. METHODS: The medical records of patients
discharged during a one-year period (n=56: men 50.0% excepting 27 patients
discharged due to death were re-examined regarding age, laundry type (self
washing of clothes, family washing or supplier washing), number of family visits
per one month while hospitalised, and family structure prior to hospitalisation.
A length of stay greater than six months was considered the cut-off point for a
long hospital stay. Bivariate logistic regression analyses were conducted to
identify factors independently associated with the length of stay, adjusted for
sex, age, and mental and/or behavioural disorders according to the criteria of
the International Statistical Classification of Diseases and Related Health
Problems. RESULTS: The bivariate-adjusted odds ratio (95% confidence intervals)
for in-patients hospitalised for more than six months was 0.08 (0.01, 0.48) for
those who used family washing (p = 0.006) compared with those who used supplier
washing. The number of visits per month and family structures before
hospitalisation were not significantly associated. CONCLUSION: These results
suggest that within a private psychiatric hospital in Japan, family washing is
associated with shortened stays and frequency of family visits, while family
structure is not associated with these factors.
PMID- 27867415
TI - Prior Acute Mental Exertion in Exercise and Sport.
AB - INTRODUCTION: Mental exertion is a psychophysiological state caused by sustained
and prolonged cognitive activity. The understanding of the possible effects of
acute mental exertion on physical performance, and their physiological and
psychological responses are of great importance for the performance of different
occupations, such as military, construction workers, athletes (professional or
recreational) or simply practicing regular exercise, since these occupations
often combine physical and mental tasks while performing their activities.
However, the effects of implementation of a cognitive task on responses to
aerobic exercise and sports are poorly understood. Our narrative review aims to
provide information on the current research related to the effects of prior acute
mental fatigue on physical performance and their physiological and psychological
responses associated with exercise and sports. METHODS: The literature search was
conducted using the databases PubMed, ISI Web of Knowledge and PsycInfo using the
following terms and their combinations: "mental exertion", "mental fatigue",
"mental fatigue and performance", "mental exertion and sports" "mental exertion
and exercise". RESULTS: We concluded that prior acute mental exertion affects
effectively the physiological and psychophysiological responses during the
cognitive task, and performance in exercise. CONCLUSION: Additional studies
involving prior acute mental exertion, exercise/sports and physical performance
still need to be carried out in order to analyze the physiological,
psychophysiological and neurophysiological responses subsequently to acute mental
exertion in order to identify cardiovascular factors, psychological,
neuropsychological associates.
PMID- 27867416
TI - Acute Affective Responses and Frontal Electroencephalographic Asymmetry to
Prescribed and Self-selected Exercise.
AB - OBJECTIVE: Our goal was to compare affective responses and frontal
electroencephalographic alpha asymmetry induced by prescribed exercise (PE) and
self-selected exercise (SS). METHOD: Twenty active participants underwent a
submaximal exercise test to estimate maximal oxygen consumption (VO2max).
Participants enrolled a cross-over randomized study where each participant
completed three conditions: PE (50%PVO2max), SS and Control. The
electroencephalography was performed before and after exercise. The feeling
scale, felt arousal scale and heart rate were recorded before, during and after
each condition. The ratings of perceived exertion were recorded during and after
each condition. RESULTS: The heart rate and ratings of perceived exertion showed
higher values in the PE and SS conditions compared to controls, with no
differences between the PE and SS conditions. For the feeling scale, the SS
presented higher values compared to the PE and Control conditions. The felt
arousal scale presented higher values in the PE and SS conditions compared to
control. There was no interaction between condition and moment, or main effect
for condition and moment for frontal alpha asymmetry (InF4-InF3). CONCLUSION: The
SS provided better affective responses compared to PE, thus can consider self
selected intensity as an appropriate option. In general, no frontal alpha
asymmetry was seen due to an exercise intervention.
PMID- 27867418
TI - CRF-Based Model for Instrument Detection and Pose Estimation in Retinal
Microsurgery.
AB - Detection of instrument tip in retinal microsurgery videos is extremely
challenging due to rapid motion, illumination changes, the cluttered background,
and the deformable shape of the instrument. For the same reason, frequent
failures in tracking add the overhead of reinitialization of the tracking. In
this work, a new method is proposed to localize not only the instrument center
point but also its tips and orientation without the need of manual
reinitialization. Our approach models the instrument as a Conditional Random
Field (CRF) where each part of the instrument is detected separately. The
relations between these parts are modeled to capture the translation, rotation,
and the scale changes of the instrument. The tracking is done via separate
detection of instrument parts and evaluation of confidence via the modeled
dependence functions. In case of low confidence feedback an automatic recovery
process is performed. The algorithm is evaluated on in vivo ophthalmic surgery
datasets and its performance is comparable to the state-of-the-art methods with
the advantage that no manual reinitialization is needed.
PMID- 27867417
TI - From Asperger's Autistischen Psychopathen to DSM-5 Autism Spectrum Disorder and
Beyond: A Subthreshold Autism Spectrum Model.
AB - Growing interest has recently been devoted to partial forms of autism, lying at
the diagnostic boundaries of those conditions previously diagnosed as Asperger's
Disorder. This latter includes an important retrieval of the European classical
psychopathological concepts of adult autism to which Hans Asperger referred in
his work. Based on the review of Asperger's Autistische Psychopathie, from first
descriptions through the DSM-IV Asperger's Disorder and up to the recent DSM-5
Autism Spectrum Disorder, the paper aims to propose a Subthreshold Autism
Spectrum Model that encompasses not only threshold-level manifestations but also
mild/atypical symptoms, gender-specific features, behavioral manifestations and
personality traits associated with Autism Spectrum Disorder. This model includes,
but is not limited to, the so-called broad autism phenotype spanning across the
general population that does not fully meet Autism Spectrum Disorder criteria.
From this perspective, we propose a subthreshold autism as a unique
psychological/behavioral model for research that could help to understand the
neurodevelopmental trajectories leading from autistic traits to a broad range of
mental disorders.
PMID- 27867419
TI - Combined Application of Ultrasound and CT Increased Diagnostic Value in Female
Patients with Pelvic Masses.
AB - Purpose. The current study aimed to evaluate whether combined application of
ultrasound and CT had increased Diagnostic Value in Female Patients with Pelvic
Masses over either method alone. Patients and Methods. 240 female patients with
pelvic masses were detected preoperatively with ultrasound and CT prior to
surgery. The sensitivity, specificity, and accuracy of ultrasound, CT, and
combined ultrasound/CT application were evaluated, respectively. Results. The
sensitivity, specificity, and accuracy of ultrasound were 52.8%, 86.7%, and
68.75%, respectively. The sensitivity, specificity, and accuracy of CT were
80.3%, 90.3%, and 85%, respectively. The sensitivity, specificity, and accuracy
of combined application of ultrasound and CT were 89%, 94.7%, and 91.7%. The
sensitivity, specificity, and accuracy of combined application of ultrasound and
CT were higher than those of either ultrasound or CT. Conclusions. The combined
application of ultrasound and CT had higher Diagnostic Value in Female Patients
with Pelvic Masses than either method alone.
PMID- 27867420
TI - A Lattice Model of the Development of Reading Comprehension.
AB - In this article, I present a developmental model of how children learn to
comprehend what they read, which builds on current models of reading
comprehension and integrates findings from instructional research and evidence
based models of development in early and middle childhood. The lattice model
holds that children's developing reading comprehension is a function of the
interacting, reciprocal, and bootstrapping effects of developing text-specific,
linguistic, and social-cognitive processes, which interact with instruction as
child-characteristic-by-instruction (CXI) interaction effects. The processes
develop over time and in the context of classroom, home, peer, community, and
other influences to affect children's development of proficient reading
comprehension. I first describe models of reading comprehension. I then review
the basic processes in the model, the role of instruction, and CXI interactions
in the context of the lattice model. I then discuss implications for instruction
and research.
PMID- 27867421
TI - Dedicated biomass crops can enhance biodiversity in the arable landscape.
AB - Suggestions that novel, non-food, dedicated biomass crops used to produce
bioenergy may provide opportunities to diversify and reinstate biodiversity in
intensively managed farmland have not yet been fully tested at the landscape
scale. Using two of the largest, currently available landscape-scale biodiversity
data sets from arable and biomass bioenergy crops, we take a taxonomic and
functional trait approach to quantify and contrast the consequences for
biodiversity indicators of adopting dedicated biomass crops on land previously
cultivated under annual, rotational arable cropping. The abundance and community
compositions of biodiversity indicators in fields of break and cereal crops
changed when planted with the dedicated biomass crops, miscanthus and short
rotation coppiced (SRC) willow. Weed biomass was consistently greater in the two
dedicated biomass crops than in cereals, and invertebrate abundance was similarly
consistently higher than in break crops. Using canonical variates analysis, we
identified distinct plant and invertebrate taxa and trait-based communities in
miscanthus and SRC willows, whereas break and cereal crops tended to form a
single, composite community. Seedbanks were shown to reflect the longer term
effects of crop management. Our study suggests that miscanthus and SRC willows,
and the management associated with perennial cropping, would support significant
amounts of biodiversity when compared with annual arable crops. We recommend the
strategic planting of these perennial, dedicated biomass crops in arable farmland
to increase landscape heterogeneity and enhance ecosystem function, and
simultaneously work towards striking a balance between energy and food security.
PMID- 27867422
TI - ClassyFire: automated chemical classification with a comprehensive, computable
taxonomy.
AB - BACKGROUND: Scientists have long been driven by the desire to describe, organize,
classify, and compare objects using taxonomies and/or ontologies. In contrast to
biology, geology, and many other scientific disciplines, the world of chemistry
still lacks a standardized chemical ontology or taxonomy. Several attempts at
chemical classification have been made; but they have mostly been limited to
either manual, or semi-automated proof-of-principle applications. This is
regrettable as comprehensive chemical classification and description tools could
not only improve our understanding of chemistry but also improve the linkage
between chemistry and many other fields. For instance, the chemical
classification of a compound could help predict its metabolic fate in humans, its
druggability or potential hazards associated with it, among others. However, the
sheer number (tens of millions of compounds) and complexity of chemical
structures is such that any manual classification effort would prove to be near
impossible. RESULTS: We have developed a comprehensive, flexible, and computable,
purely structure-based chemical taxonomy (ChemOnt), along with a computer program
(ClassyFire) that uses only chemical structures and structural features to
automatically assign all known chemical compounds to a taxonomy consisting of
>4800 different categories. This new chemical taxonomy consists of up to 11
different levels (Kingdom, SuperClass, Class, SubClass, etc.) with each of the
categories defined by unambiguous, computable structural rules. Furthermore each
category is named using a consensus-based nomenclature and described (in English)
based on the characteristic common structural properties of the compounds it
contains. The ClassyFire webserver is freely accessible at
http://classyfire.wishartlab.com/. Moreover, a Ruby API version is available at
https://bitbucket.org/wishartlab/classyfire_api, which provides programmatic
access to the ClassyFire server and database. ClassyFire has been used to
annotate over 77 million compounds and has already been integrated into other
software packages to automatically generate textual descriptions for, and/or
infer biological properties of over 100,000 compounds. Additional examples and
applications are provided in this paper. CONCLUSION: ClassyFire, in combination
with ChemOnt (ClassyFire's comprehensive chemical taxonomy), now allows chemists
and cheminformaticians to perform large-scale, rapid and automated chemical
classification. Moreover, a freely accessible API allows easy access to more than
77 million "ClassyFire" classified compounds. The results can be used to help
annotate well studied, as well as lesser-known compounds. In addition, these
chemical classifications can be used as input for data integration, and many
other cheminformatics-related tasks.
PMID- 27867423
TI - Marginalized zero-altered models for longitudinal count data.
AB - Count data often exhibit more zeros than predicted by common count distributions
like the Poisson or negative binomial. In recent years, there has been
considerable interest in methods for analyzing zero-inflated count data in
longitudinal or other correlated data settings. A common approach has been to
extend zero-inflated Poisson models to include random effects that account for
correlation among observations. However, these models have been shown to have a
few drawbacks, including interpretability of regression coefficients and
numerical instability of fitting algorithms even when the data arise from the
assumed model. To address these issues, we propose a model that parameterizes the
marginal associations between the count outcome and the covariates as easily
interpretable log relative rates, while including random effects to account for
correlation among observations. One of the main advantages of this marginal model
is that it allows a basis upon which we can directly compare the performance of
standard methods that ignore zero inflation with that of a method that explicitly
takes zero inflation into account. We present simulations of these various model
formulations in terms of bias and variance estimation. Finally, we apply the
proposed approach to analyze toxicological data of the effect of emissions on
cardiac arrhythmias.
PMID- 27867424
TI - Aldehyde and Ketone Synthesis by P450-Catalyzed Oxidative Deamination of Alkyl
Azides.
AB - Heme-containing proteins have recently attracted increasing attention for their
ability to promote synthetically valuable transformations not found in nature.
Following the recent discovery that engineered variants of myoglobin can catalyze
the direct conversion of organic azides to aldehydes, we investigated the azide
oxidative deamination reactivity of a variety of hemoproteins featuring different
heme coordination environments. Our studies show that although several heme
containing enzymes possess basal activity in this reaction, an engineered variant
of the bacterial cytochrome P450 CYP102A1 constitutes a particularly efficient
biocatalyst for promoting this transformation, exhibiting a broad substrate scope
along with high catalytic activity (up to 11,300 TON), excellent
chemoselectivity, and enhanced reactivity toward secondary alkyl azides to yield
ketones. Mechanistic studies and Michaelis-Menten analyses provided insights into
the mechanism of the reaction and the impact of active site mutations on the
catalytic properties of the P450. Altogether, these studies demonstrate that
engineered P450 variants represent promising biocatalysts for the synthesis of
aryl aldehydes and ketones via the oxidative deamination of alkyl azides under
mild reaction conditions.
PMID- 27867426
TI - Fragranced consumer products: exposures and effects from emissions.
AB - Fragranced consumer products, such as cleaning supplies, air fresheners, and
personal care products, are a primary source of indoor air pollutants and
personal exposure. Previous research indicates that fragranced products can
trigger adverse health effects, with implications for workplaces and public
places. This is the first study to examine the multiple dimensions of exposures
related to fragranced products and effects in the US population. The study
investigated the prevalence and types of fragranced product exposures, associated
health effects, awareness of product emissions, and preferences for fragrance
free policies and environments. Data were collected using an online survey with a
nationally representative population (n = 1136) of adults in the USA. Overall,
34.7 % of the population reported health problems, such as migraine headaches and
respiratory difficulties, when exposed to fragranced products. Further, 15.1 %
have lost workdays or a job due to fragranced product exposure in the workplace.
Also, 20.2 % would enter a business but then leave as quickly as possible if they
smell air fresheners or some fragranced product. Over 50 % of the population
would prefer that workplaces, health care facilities and professionals, hotels,
and airplanes were fragrance-free. While prior research found that common
fragranced products, even those called green and organic, emitted hazardous air
pollutants, more than two thirds of the population were not aware of this, and
over 60 % would not continue to use a fragranced product if they knew it emitted
such pollutants. Results from this study provide strong evidence that fragranced
products can trigger adverse health effects in the general population. The study
also indicates that reducing exposure to fragranced products, such as through
fragrance-free policies, can provide cost-effective and relatively simple ways to
reduce risks and improve air quality and health.
PMID- 27867427
TI - Practicalities of mapping PM10 and PM2.5 concentrations on city-wide scales using
a portable particulate monitor.
AB - Fine particulate matter is considered to be the most significant ambient air
pollutant in terms of potential health impacts. Therefore, it is important that
regulators are able to accurately assess the exposure of populations to PM10 and
PM2.5 across municipal areas. We report on the practicalities of using a laser
light scattering portable particulate monitor (Turnkey Instruments DustMate), in
combination with a GPS, to map PM10 and PM2.5 concentrations on city-wide scales
in Newcastle upon Tyne/Gateshead (UK), during a series of walking surveys. A
heated inlet is necessary to remove moisture droplets from the sampled air prior
to analysis by the instrument, though this also results in the loss of volatile
particulate components, particularly from the PM2.5 fraction. A co-location
calibration study was carried out with a reference urban background Tapered
Element Oscillating Micro-Balance/Filter Dynamics Measuring System (TEOM-FDMS)
system in Newcastle that is part of the UK's Automatic Urban and Rural Network
(AURN) of air quality monitoring stations. For PM10, orthogonal regression of the
DustMate against TEOM-FDMS data gave a slope and intercept of 1.02 +/- 0.06 and
3.7 +/- 1.2, respectively (R2 = 0.73), whereas for PM2.5, the respective values
were 0.78 +/- 0.06 and -0.63 +/- 0.55 (R2 = 0.79). These parameters are
comparable to literature calibration studies using this technology. There was
good agreement between simultaneous samples taken using two DustMate instruments:
for PM10, a slope and intercept of 1.05 +/- 0.03 and 0.36 +/- 0.5, respectively
(R2 = 0.73), were obtained, whereas for the PM2.5, the respective values were
0.79 +/- 0.01 and 0.19 +/- 0.06 (R2 = 0.86). Correction factors based on the
slope and intercepts obtained from the calibration exercise were applied to raw
data collected from the DustMate. An annually-normalised correction procedure was
then used to account for different background particulate concentrations on
different sampling days. These corrected PM10 and PM2.5 concentrations and
corresponding GPS coordinates were displayed on a base map using Google Fusion
Tables and Google Earth Professional. Almost all areas surveyed in
Newcastle/Gateshead were well below the EU Air Quality Standards for PM10 and
PM2.5.
PMID- 27867425
TI - Gold nanoparticles for cancer radiotherapy: a review.
AB - Radiotherapy is currently used in around 50% of cancer treatments and relies on
the deposition of energy directly into tumour tissue. Although it is generally
effective, some of the deposited energy can adversely affect healthy tissue
outside the tumour volume, especially in the case of photon radiation (gamma and
X-rays). Improved radiotherapy outcomes can be achieved by employing ion beams
due to the characteristic energy deposition curve which culminates in a
localised, high radiation dose (in form of a Bragg peak). In addition to ion
radiotherapy, novel sensitisers, such as nanoparticles, have shown to locally
increase the damaging effect of both photon and ion radiation, when both are
applied to the tumour area. Amongst the available nanoparticle systems, gold
nanoparticles have become particularly popular due to several advantages:
biocompatibility, well-established methods for synthesis in a wide range of
sizes, and the possibility of coating of their surface with a large number of
different molecules to provide partial control of, for example, surface charge or
interaction with serum proteins. This gives a full range of options for design
parameter combinations, in which the optimal choice is not always clear,
partially due to a lack of understanding of many processes that take place upon
irradiation of such complicated systems. In this review, we summarise the
mechanisms of action of radiation therapy with photons and ions in the presence
and absence of nanoparticles, as well as the influence of some of the core and
coating design parameters of nanoparticles on their radiosensitisation
capabilities.
PMID- 27867428
TI - A class of non-linear exposure-response models suitable for health impact
assessment applicable to large cohort studies of ambient air pollution.
AB - The effectiveness of regulatory actions designed to improve air quality is often
assessed by predicting changes in public health resulting from their
implementation. Risk of premature mortality from long-term exposure to ambient
air pollution is the single most important contributor to such assessments and is
estimated from observational studies generally assuming a log-linear, no
threshold association between ambient concentrations and death. There has been
only limited assessment of this assumption in part because of a lack of methods
to estimate the shape of the exposure-response function in very large study
populations. In this paper, we propose a new class of variable coefficient risk
functions capable of capturing a variety of potentially non-linear associations
which are suitable for health impact assessment. We construct the class by
defining transformations of concentration as the product of either a linear or
log-linear function of concentration multiplied by a logistic weighting function.
These risk functions can be estimated using hazard regression survival models
with currently available computer software and can accommodate large population
based cohorts which are increasingly being used for this purpose. We illustrate
our modeling approach with two large cohort studies of long-term concentrations
of ambient air pollution and mortality: the American Cancer Society Cancer
Prevention Study II (CPS II) cohort and the Canadian Census Health and
Environment Cohort (CanCHEC). We then estimate the number of deaths attributable
to changes in fine particulate matter concentrations over the 2000 to 2010 time
period in both Canada and the USA using both linear and non-linear hazard
function models.
PMID- 27867429
TI - Correlation between Diastolic Function and Endothelial Function in Patients with
Type 2 Diabetes and Hypertension.
AB - BACKGROUND: Endothelial dysfunction may be involved in the pathophysiology of
cardiac abnormalities in patients with diabetes mellitus (DM). A correlation
between endothelial dysfunction and diastolic dysfunction in patients with type 1
DM has been demonstrated, but this relationship has not been well investigated in
type 2 DM. OBJECTIVE: Compare groups of patients with type 2 DM and hypertension
with and without diastolic dysfunction using endothelial function indexes, and to
assess whether correlations exist between the diastolic function and the
endothelial function indexes. METHOD: This was a cross-sectional study of 34 men
and women with type 2 DM and hypertension who were aged between 40 and 70 years
and were categorized based on assessments of their Doppler echocardiographic
parameters as having normal (14 patients) and abnormal (20 patients) diastolic
function. Flow-mediated dilatation (FMD) assessments of the brachial artery
evaluated the patients' endothelial function. RESULTS: The mean maximum FMD was
7.15 +/- 2.80% for the patients with diastolic dysfunction and it was 11.85 +/-
4.77% for the patients with normal diastolic function (p = 0.004). Correlations
existed between the maximum FMD and the E/e' ratio (p = 0.040, r = -0.354) and
the early wave velocity (e') at the lateral mitral annulus (p = 0.002, r =
0.509). CONCLUSION: The endothelial function assessed by FMD was worse in
hypertensive diabetic patients with diastolic dysfunction. There were
correlations between the diastolic function indexes and the endothelial function
indexes in our sample.
PMID- 27867430
TI - Evaluation in Mouse Model of Combined Virus-bacterial Vaccine Based on Attenuated
Influenza A(H7N3) Virus and the Group B Streptococcus Recombinant Polypeptides.
AB - BACKGROUND: Secondary bacterial influenza complications are a common cause of
excesses morbidity and mortality, which determines the need to develop means for
specific prophylaxis. Group B streptococcal infection is especially common cause
of pneumonia among children and the elderly with underlying conditions. Here we
investigate in a mouse model the effects of combined intranasal immunization
using live attenuated influenza vaccine and recombinant polypeptides based on
group B Streptococcus surface proteins. METHODS: Groups of outbred mice received
two doses of the following preparations: 1) the reassortant
A/17/Mallard/Netherlands/00/95 (H7N3) influenza virus; 2) a mixture of P6, ScaAB,
ScpB1 and Stv recombinant GBS proteins (20 ug total); 3) the A(H7N3) influenza
vaccine pooled with the four bacterial peptide preparation; 4) control animals
were treated with PBS. RESULTS: Intranasal vaccination using LAIV in combination
with GBS polypeptides provided advantageous protection against infections with
homologous A/Mallard/Netherlands/12/00 (H7N3) wild type virus or heterologous
A/Puerto Rico/8/34 (H1N1) followed by serotype II GBS infection. Also, combined
vaccination improved bacterial clearance from the lungs of mice. CONCLUSION:
Intranasal immunization with LAIV+GBSV was safe and enabled to induce the
antibody response to each of vaccine components. Thus, the combined vaccine
increased the protective effect against influenza and its bacterial complications
in mice compared to LAIV-only.
PMID- 27867431
TI - The Avise Lupus Test and Cell-bound Complement Activation Products Aid the
Diagnosis of Systemic Lupus Erythematosus.
AB - BACKGROUND: Systemic lupus erythematosus (SLE) is a multifaceted disease, and its
diagnosis may be challenging. A blood test for the diagnosis of SLE, the Avise
Lupus test, has been recently commercialized and validated in clinical studies.
OBJECTIVES: To evaluate the use of the Avise Lupus test by community
rheumatologists. METHODS: The study is a longitudinal, case-control,
retrospective review of medical charts. Cases had a positive test result, and
controls had a negative result; all patients were anti-nuclear antibodies (ANA)
positive but negative for SLE-specific autoantibodies. Features of SLE,
diagnosis, and medications at two time points were recorded. RESULTS: Twenty of
the 23 cases (87%) and 4 of the 23 controls (17%) were diagnosed with SLE
(sensitivity=83%; specificity=86%). More cases than controls (43% vs. 17%)
fulfilled 4 American College of Rheumatology (ACR) classification criteria of
SLE. Sensitivity of the test was significantly higher than the ACR score (83% vs.
42%, p=0.006). A higher percentage of patients who met the classification
criteria had elevated cell-bound complement activation products (CB-CAPs)
compared to patients who did not. Anti-rheumatic medications were used in a
higher percentage of cases than controls (83% vs. 35% at baseline, p=0.002),
suggesting that cases were treated more aggressively early on. CONCLUSION: A
positive Avise Lupus test result aids in formulating a SLE diagnosis when
diagnosis based on standard-of-care tests and clinical features may be
challenging, and impacts patient management. Prospective studies will be
performed to better evaluate the clinical utility of the test and of CB-CAPs as
biomarkers of SLE.
PMID- 27867432
TI - Arthritis of the Knee Joint in Rheumatoid Arthritis - Evaluation of Treatment
Response by Ultrasound in Daily Clinical Practice.
AB - BACKGROUND: Rheumatoid arthritis (RA) commonly involves the knee joint in up to
30% of patients. Musculoskeletal ultrasound enables the skilled clinician to
easily assess disease activity. OBJECTIVE: To evaluate the sensitivity to change
of the sonography score of large joints in Rheumatology (SOLAR) for different
treatments of knee arthritis in RA. METHOD: Joints were assessed by ultrasound at
4 visits. Laboratory, immunological and clinical parameters were recorded.
RESULTS: 225 RA patients were analyzed. The DAS 28 in the subgroup receiving
systemic steroids was significantly higher (p < 0.001) than in patients treated
with intraarticular glucocorticosteroids (GCs) at T0, comparing the values from
T0 to T3 the same appeared (p=0.003). Concerning the acute GC treatment regimens,
the gray scale ultrasound (GSUS) sum score was found to be significantly higher
in patients receiving intraarticular GCs versus no GCs (p=0,035), as well as in
patients receiving systemic versus intraarticular GCs (p=0.001). Regarding the
differences from T0 and T3, similar to the baseline analysis, a high GSUS sum
score was significantly associated with intraarticular GCs, a low to no GC
administration (p=0.035), while a high GSUS sum score was significantly linked to
intraarticular GCs, rather than systemic GCs (p=0.008). CONCLUSION: SOLAR score
is sensitive to change in knee arthritis. Intraarticular GC administration is
performed in patients with high GSUS scores. Systemic administration of GC is
linked to high disease activity (DAS28) rather than GSUS or power Doppler
ultrasound (PDUS) results.
PMID- 27867433
TI - Open-label Study of Initial and Repeat Treatment Cycles of Hylan G-F 20 in
Patients with Symptomatic Knee Osteoarthritis.
AB - OBJECTIVE: To evaluate the efficacy and safety of initial and repeat treatment
with hylan G-F 20 in patients with symptomatic osteoarthritis (OA) of the knee.
METHODS: A prospective, multicenter, open-label study in adult patients with
symptomatic knee OA (Kellgren-Lawrence grades I-III) undergoing repeat (SC group)
or initial (IC group) treatment courses (3 x 2 mL of hylan G-F 20 at weekly
intervals) was conducted with a maximum follow-up of 26 weeks. Reduction of pain
using the Verbal Pain Questionnaire (VPQ) and Patient Global Assessment (PTGA)
scores, concomitant pain medications use, and adverse events (AEs) were
evaluated. RESULTS: A total of 842 patients were included (SC group, n=314; IC
group, n=528), of whom 616 formed the intent-to-treat (ITT) population (SC group,
n=235; IC group, n=381). Of the 462 patients with follow-up at week 26, 311
(67.3%) were defined as responders. In the ITT population, VPQ scores decreased
significantly at 26 weeks (p<0.001) compared with baseline. VPQ and PTGA scores
decreased significantly (p<0.001) from baseline at all time points, without any
significant changes in concomitant medication use. Twenty-four treatment-related
AEs (TEAEs) were reported in 2.9% of patients, with most being mild or moderate
in intensity and resolving without sequelae. CONCLUSION: Initial and repeat
courses of hylan G-F 20 were effective with a favorable safety profile for knee
OA. The large patient population and the study's pragmatic design suggest that
these results could be replicated in routine clinical practice.
PMID- 27867434
TI - Exploring the Neurocircuitry Underpinning Predictability of Threat in Soldiers
with PTSD Compared to Deployment Exposed Controls.
AB - BACKGROUND: Prior work examining emotional dysregulation observed in
posttraumatic stress disorder (PTSD) has primarily been limited to fear-learning
processes specific to anticipation, habituation, and extinction of threat. In
contrast, the response to threat itself has not been systematically evaluated.
OBJECTIVE: To explore potential disruption in fear conditioning neurocircuitry in
service members with PTSD, specifically in response to predictable versus
unpredictable threats. METHOD: In the current study, active-duty U.S. Army
soldiers with (PTSD group; n = 38) and without PTSD (deployment-exposed controls;
DEC; n = 40), participated in a fear-conditioning study in which threat
predictability was manipulated by presenting an aversive unconditioned stimulus
(UCS) that was either preceded by a conditioned stimulus (i.e., predictable) or
UCS alone (i.e., unpredictable). Threat expectation, skin conductance response
(SCR), and functional magnetic resonance imaging (fMRI) signal to predictable and
unpredictable threats (i.e., UCS) were assessed. RESULTS: Both groups showed
greater threat expectancy and diminished threat-elicited SCRs to predictable
compared to unpredictable threat. Significant group differences were observed
within the amygdala, hippocampus, insula, and superior and middle temporal gyri.
Contrary to our predictions, the PTSD group showed a diminished threat-related
response within each of these brain regions during predictable compared to
unpredictable threat, whereas the DEC group showed increased activation.
CONCLUSION: Although, the PTSD group showed greater threat-related diminution,
hypersensitivity to unpredictable threat cannot be ruled out. Furthermore, pre
trauma, trait-like factors may have contributed to group differences in
activation of the neurocircuitry underpinning fear conditioning.
PMID- 27867436
TI - Putamen Activation Represents an Intrinsic Positive Prediction Error Signal for
Visual Search in Repeated Configurations.
AB - We investigated fMRI responses to visual search targets appearing at locations
that were predicted by the search context. Based on previous work in visual
category learning we expected an intrinsic reward prediction error signal in the
putamen whenever the target appeared at a location that was predicted with some
degree of uncertainty. Comparing target appearance at locations predicted with
50% probability to either locations predicted with 100% probability or
unpredicted locations, increased activation was observed in left posterior
putamen and adjacent left posterior insula. Thus, our hypothesis of an intrinsic
prediction error-like signal was confirmed. This extends the observation of
intrinsic prediction error-like signals, driven by intrinsic rather than
extrinsic reward, to memory-driven visual search.
PMID- 27867437
TI - Sero-prevalence and Correlates of Hepatitis B and C Co-infection Among HIV
infected Individuals in Two Regional Hospitals in Cameroon.
AB - BACKGROUND: Liver disease related to Hepatitis B (HBV) and C (HCV) infection has
become a major cause of morbidity and mortality in HIV/AIDS patients. Data on the
prevalence of HBV and HCV in Cameroon remains inconclusive. OBJECTIVE: We aimed
to determine the sero-prevalence and correlates of Hepatitis markers in HIV/AIDS
patients in two Regional Hospitals. METHODS: A cross-sectional study carried out
from December 2014 to March 2015. HIV/AIDS patients aged 21 were included and
above, receiving care at HIV treatment centres. Data was collected using a
structured questionnaire. Blood samples were collected to screen for Hepatitis
with HBsAg and anti HCV antibody rapid immunochromatographic test kits.
Correlates of hepatitis were investigated by logistic regression. STATA was used
for data analysis. RESULTS: We included 833 HIV/AIDS patients,78.8% (657) were
female. Mean age was 44(SD 11) years. Prevalence of Hepatitis in general (total
of two viral markers tested) was 8.9% (74/833), with 6.1% for HBsAg and 2.8% for
Anti-HCV antibodies. From multivariate analysis, the likelihood of having
hepatitis was independently increased by a history of surgical interventions [OR:
1.82(1.06-3.14)], and of sexually transmitted infections [OR: 2.20(1.04-4.67)].
CONCLUSION: Almost one in ten participants with HIV/AIDS attending the BRH and
LRH tested positive for either HBsAg or anti HCV antibodies. Screening for HBV
and HCV should therefore be integrated to the existing guidelines in Cameroon as
it can influence management. More studies are needed to evaluate the extent of
liver disease and magnitude of HIV suppression in hepatitis and HIV coinfection
in this setting.
PMID- 27867438
TI - Total Sleep Deprivation and Pain Perception during Cold Noxious Stimuli in
Humans.
AB - BACKGROUND AND AIMS: A substantial portion of the population suffers from chronic
pain leading to significant health care costs and lost productivity. Loss of
sleep duration and quality are widely reported in patients suffering from a
variety of acute or chronic pain conditions. Conversely, sleep loss has been
known to elevate pain perception; thus a potential bi-directional relationship
exists between sleep deprivation and pain. To date, the majority of studies
examining the relationship between experimentally induced pain and sleep loss
have focused on the measurement of pain threshold. Additionally, despite evidence
of sex differences in ratings of perceived pain, previous studies examining pain
following sleep loss have not probed for sex differences. We examined the effects
of 24-hour total sleep deprivation (TSD) on perceived pain during a 2-minute cold
pressor test (CPT). We hypothesized that TSD would augment perceived pain and
that women would demonstrate an elevated pain response compared to men. METHODS:
Testing was carried out in 14 men and 13 women. All subjects reported to be
nonsmokers with no history of cardiovascular disease, autonomic dysfunction,
asthma, or diabetes. All female subjects were free of oral contraceptive use, and
were tested during the early follicular phase of the menstrual cycle. Trial order
was randomized and testing sessions (Normal sleep (NS) and TSD) were separated by
approximately one month. Subjects immersed their left hand, up to the wrist, in
an ice water bath (~1 degrees C), and perceived pain was recorded every 15
seconds from a modified Borg scale (6-20 arbitrary units a.u.). RESULTS:
Perceived pain responses during CPT were augmented following TSD (Delta1.2 a.u.;
time * condition, p<0.05). The augmented pain response following TSD was noted
when perceived pain was expressed as mean (NS Delta7.0+/-0.5 vs. TSD Delta8.2+/
0.5 a.u.; p<0.05) or peak (NS Delta8.9+/-0.6 vs. TSD Delta10.2+/-0.5 a.u.;
p<0.05) perceived pain. The effects of TSD on perceived pain were similar in both
men and women (condition * time * sex, p>0.05). CONCLUSIONS AND IMPLICATIONS: We
conclude that TSD significantly augments perceived pain during CPT, but this
response was not sex dependent. These findings support emerging evidence that
adequate sleep represents a relevant, and cost effective,
preventative/therapeutic strategy to reduce self-perceived pain in both men and
women.
PMID- 27867440
TI - An Unusual Case of a Metallic Foreign Body per Urethra.
AB - BACKGROUND: Foreign body in the lower urinary tract has a low incidence. Here we
discuss a case of a safety pin within the bulbar urethra inserted by a young boy
for sexual gratification, which was successfully removed under cysto
urethroscopic guidance. CASE REPORT: Herein we present a case of a 14-year-old
boy who presented with complaints of perineal pain and dysuria. On evaluation in
detail and from clinical history, we came to know that he had inserted a safety
pin within the urethra. Urine analysis revealed microscopic haematuria and few
pus cells. X-ray of the pelvis and computerised tomography helped in confirming
the presence of the safety pin within the urethra. CONCLUSIONS: The method of
extraction of a foreign body per urethra depends on the size and shape of the
foreign body. Cysto-urethroscopic removal is successful depending on the physical
characteristics of the foreign body. It has the advantage of minimising
urothelial trauma and also helps in assessing any previous mucosal injury or
thickening. Psychological evaluation and counselling may help to prevent further
such episodes.
PMID- 27867439
TI - Evaluation of Head and Neck Paragangliomas by Computed Tomography in Patients
with Pheochromocytoma-Paraganglioma Syndromes.
AB - BACKGROUND: Hereditary head and neck paragangliomas (HNP) are very often
associated with pheochromocytoma-paraganglioma syndromes, which are caused by
mutations in genes encoding subunits of succinate dehydrogenase (SDHx) complex.
The aim of this study was to determine the frequency and location of HNP among
SDHx carriers. MATERIAL/METHODS: A total of 72 patients with SDHx mutations
underwent computed tomography examinations of the head and neck. HNP were present
in 44 (61.1%) out of 72 patients (31 SDHD, 11 SDHB, 2 SDHC); 113 HNP were found;
the most common were carotid paragangliomas (59) and vagal paragangliomas (27).
RESULTS: The HNP were statistically more frequent in carriers of SDHD mutations
compared to carriers of SDHB mutations (72.1% vs. 43.5%, p=0.033). Multiple
tumors more often occurred in patients with SDHD mutations 26/31 (83.9%) than in
patients with SDHB mutations 6/11 (54.5%) p=0.05. There was a significant
difference in the prevalence of carotid paragangliomas between patients with SDHB
and SDHD mutations (7/11 [63.6%] vs. 30/31 [96.8%], respectively, p=0.004).
Patients with SDHD mutations more often had carotid paragangliomas located on the
left side than on the right side, as compared to SDHB mutations 25/31 (80.6%) vs.
4/11 (36.4%), p=0.006. CONCLUSIONS: SDHx mutations predispose to multifocal and
bilateral HNP. Carotid and vagal paragangliomas occurred most often. Patients
with SDHD mutations are characterized by higher frequency of HNP than patients
with SDHB mutations, which is mainly driven by higher frequency of carotid body
tumors in patients with SDHD mutations. No difference in the frequency of head
and neck paragangliomas in other locations was found.
PMID- 27867441
TI - The Role of Computed Tomography in the Diagnostics of Diaphragmatic Injury After
Blunt Thoraco-Abdominal Trauma.
AB - BACKGROUND: Diaphragmatic injuries occur in 0.8-8% of patients with blunt trauma.
The clinical diagnosis of diaphragmatic rupture is difficult and may be
overshadowed by associated injuries. Diaphragmatic rupture does not resolve
spontaneously and may cause life-threatening complications. The aim of this study
was to present radiological findings in patients with diaphragmatic injury.
MATERIAL/METHODS: The analysis of computed tomography examinations performed
between 2007 and 2012 revealed 200 patients after blunt thoraco-abdominal trauma.
Diaphragmatic rupture was diagnosed in 13 patients. Twelve of these patients had
suffered traumatic injuries and underwent a surgical procedure that confirmed the
rupture of the diaphragm. Most of diaphragmatic ruptures were left-sided (10)
while only 2 of them were right-sided. In addition to those 12 patients there,
another patient was admitted to the emergency department with left-sided
abdominal and chest pain. That patient had undergone a blunt thoracoabdominal
trauma 5 years earlier and complained of recurring pain. During surgery there was
only partial relaxation of the diaphragm, without rupture. The most important
signs of the diaphragmatic rupture in computed tomography include: segmental
discontinuity of the diaphragm with herniation through the rupture, dependent
viscera sign, collar sign and other signs (sinus cut-off sign, hump sign, band
sign). RESULTS: In our study blunt diaphragmatic rupture occurred in 6% of cases
as confirmed intraoperatively. In all patients, coronal and sagittal reformatted
images showed herniation through the diaphragmatic rupture. In left-sided
ruptures, herniation was accompanied by segmental discontinuity of the diaphragm
and collar sign. In right-sided ruptures, predominance of hump sign and band sign
was observed. Other signs were less common. CONCLUSIONS: The knowledge of the CT
findings suggesting diaphragmatic rupture improves the detection of injuries in
thoraco-abdominal trauma patients.
PMID- 27867442
TI - The Slowly Enlarging Ventriculus Terminalis.
AB - BACKGROUND: A cerebral spinal fluid (CSF) cavity within the conus medullaris has
been described by the term ventriculus terminalis (VT) or the fifth ventricle.
The finding of a VT on MRI imaging of the lumbar spine is often incidental but
may be found in patients with low back pain or neuromuscular deficits. These
lesions, when identified, are thought to regress or remain stable in terms of
size, although some have been described to enlarge in the presence of post
traumatic meningeal hemorrhages or deformities of the vertebral canal. CASE
REPORT: We describe a case of a slowly growing VT in a patient with progressing
lower limb weakness without any history or imaging findings of trauma or spinal
canal abnormalities. CONCLUSIONS: We present an intriguing case of a slowly
growing VT in a woman with progressive neurological symptoms. Surgical
fenestration provided complete symptomatic relief and follow-up imaging two years
after surgery demonstrated no evidence of recurrence. This, to our knowledge, is
the first described case of a slowly enlarging VT independent of any other
imaging findings.
PMID- 27867443
TI - The Complexities of Accessing Care and Treatment: Understanding Alcohol Use by
Aboriginal Persons Living with HIV and AIDS.
AB - The role of alcohol in the transmission of HIV and access to health services for
persons living with HIV/AIDS is relatively unexamined across the globe. Our
team's community-based, mixed methods study examined both of these questions from
the perspectives of Aboriginal persons living in Canada with HIV/AIDS (APHA) and
service providers (SP). A bilingual national survey was undertaken with APHAs and
SPs and the findings were followed up on in peer interviews. A complex
relationship was identified between alcohol use, perceptions of alcohol use and
access to services. Nearly half of APHAs surveyed reported that alcohol played a
role in their becoming HIV positive. APHAs and SPs differed in their assessment
of the impact of alcohol in the lives of Aboriginal persons once diagnosed, with
a far greater proportion of SPs identifying it as problematic. Both SPs and APHAs
associated the misuse of alcohol with diminished health. Nearly half of the APHAs
surveyed shared they had been told they were drinking by a SP when they were not,
while over one-third reported ever being denied services because of drinking when
in fact they were not. Both SPs and APHAs identified physical health and
discrimination as key reasons. Notwithstanding these results that point to
shortcomings in service provision, the data also reveal that most APHAs are
recieving care in which their choices are respected and from providers they
trust. The findings point to the need for a nuanced strategy to solidify the
strengths and address the shortcomings in APHA's service provision.
PMID- 27867444
TI - The Indigenous Red Ribbon Storytelling Study: What does it mean for Indigenous
peoples living with HIV and a substance use disorder to access antiretroviral
therapy in Saskatchewan?
AB - Indigenous peoples living with HIV are less likely than non-Indigenous peoples
living with HIV to access antiretroviral therapy; however, there is not enough
contextual information surrounding this issue. The Indigenous Red Ribbon
Storytelling Study was conducted in part to examine how Indigenous peoples living
with HIV construct and understand their experiences accessing antiretroviral
therapy. Our study design was critical Indigenous qualitative research, using the
Behavioral Model of Health Services Use and community-based participatory
research approaches. The study was conducted in partnership with Indigenous and
non-Indigenous organizations. Study participants were adults from two Canadian
cities. The study methods included 20 individual and two Indigenous sharing
circle interviews, six participant observation sessions, a short survey and
thematic analysis. Accessing antiretroviral therapy within the context of living
with a substance use disorder was an overarching theme. Indigenous peoples living
with HIV felt they had to choose between living with their active substance use
disorder and accessing antiretroviral therapy. They felt misunderstood as a
person living with a substance use disorder and often felt coerced into using
antiretroviral therapy. Despite these challenges, they persevered as Indigenous
peoples living with HIV and a substance use disorder. Further research on
antiretroviral therapy access among Indigenous peoples living with HIV and a
substance use disorder, particularly from the perspective of health service
providers, is needed.
PMID- 27867445
TI - Research as Cultural Renewal: Applying Two-Eyed Seeing in a Research Project
about Cultural Interventions in First Nations Addictions Treatment.
AB - This article explores the application of two-eyed seeing in the first year of a
three-year study about the effectiveness of cultural interventions in First
Nations alcohol and drug treatment in Canada. Two-eyed seeing is recognized by
Canada's major health research funder as a starting point for bringing together
the strengths of Indigenous and Western ways of knowing. With the aim of
developing a culture-based measurement tool, our team carried out an Indigenous
centred research process with our interpretation of two-eyed seeing as a guiding
principle. This enabled us to engage in a decolonizing project that prioritized
Indigenous methodologies and ways of knowing and knowledge alongside those of
Western science. By concentrating on Indigenous governance in the research
process, our project supported efforts at Indigenous cultural renewal. Two
illustrations are offered, our team's reconceptualization of Western derived
understandings of data collection through Indigenous storytelling and our
research grant timeframe with Indigenous knowledge gardening. This article
contributes to the Indigenous research and policy literature which is lacking
documentation about how Indigenous communities and research teams are benefitting
from two-eyed seeing.
PMID- 27867446
TI - "So far it's been choosing which side effects I want or I can deal with": A
grounded theory of HIV treatment side effects among people living with HIV.
AB - Despite the availability of new antiretroviral drugs and the simplification of
treatment options, side effects continue to affect people living with HIV. In
this paper, we present the findings of a grounded theory study designed to gain a
critical understanding of the experience of side effects. Three main categories
emerged from the data: the side effects, the experience, and the connections. The
first category suggests that we need to change how we think about side effects in
order to take into account the context in which they are experienced as well as
the types and nature of side effects. The second category puts forward the idea
that the experience of side effects is composed of three interrelated processes:
becoming with, living with, and dealing with. Finally, the third category points
to new connections that are formed with people, things and systems in the
presence of side effects.
PMID- 27867449
TI - Exploring the Potential of Indigenous Foods to Address Hidden Hunger: Nutritive
Value of Indigenous Foods of Santhal Tribal Community of Jharkhand, India.
AB - Traditional foods of indigenous communities can be explored as a sustainable
means of addressing undernutrition. Our study aimed at identifying indigenous
foods of the Santhal tribal community of Godda district of Jharkhand, India,
assessing their nutritive value, and appraising their potential role in
addressing hidden hunger. A cross-sectional survey using qualitative methods like
focus group discussions with women of childbearing age (15-49 years), adult
males, and elderly people was conducted for food identification. This was
followed by taxonomic classification and quantitative estimate of nutritive value
of the identified foods either in a certified laboratory or from secondary data.
The community was well aware of the indigenous food resources in their
environment. More than 100 different types of indigenous foods including a number
of green leafy vegetables were identified. Taxonomic classification was available
for 25 food items and an additional 26 food items were sent for taxonomic
classification. Many indigenous foods (more than 50% of which were green leafy
vegetables) were found to be rich sources of micronutrients like calcium, iron,
vitamin A as beta carotene, and folate. Maximizing utilization of indigenous
foods can be an important and sustainable dietary diversification strategy for
addressing hidden hunger in this indigenous community.
PMID- 27867447
TI - The Roles of School Readiness and Poverty-Related Risk for 6th Grade Outcomes.
AB - Low-income students are at increased risk for grade retention and suspension,
which dampens their chances of high school graduation, college attendance, and
future success. Drawing from a sample of 357 children and their families who
participated in the Chicago School Readiness Project, we examine whether greater
exposure to cumulative poverty-related risk from preschool through 5th grade is
associated with greater risk of student retention and suspension in 6th grade.
Logistic regression results indicate that exposure to higher levels of cumulative
risk across the elementary school years is associated with students' increased
risk of retention in 6th grade, even after controlling for child school readiness
skills and other covariates. Importantly, findings of the association between
average cumulative risk exposure and student suspension are more complex; the
role of poverty-related risk is reduced to non-significance once early indicators
of child school readiness and other covariates are included in regression models.
While, children's early externalizing behavior prior to kindergarten places
children at greater risk of suspension 7 years later, children's higher levels of
internalizing behaviors and early math skills are associated with significantly
decreased risk of suspension in the 6th grade. Together, findings from the study
suggest the complex ways that both early school readiness and subsequent exposure
to poverty-related risk may both serve as compelling predictors of children's
likelihood of "staying on track" academically in the 6th grade.
PMID- 27867448
TI - Management Commitment to Safety, Teamwork, and Hospital Worker Injuries.
AB - Although many studies link teamwork in health care settings to patient safety,
evidence linking teamwork to hospital worker safety is lacking. This study
addresses this gap by providing evidence linking teamwork perceptions in hospital
workers to worker injuries, and further, finds a linkage between manager
commitment to safety and teamwork. Organizational records of worker injuries and
survey responses regarding management commitment to safety and teamwork from 446
hospital workers within 42 work units in a multi-site hospital system were
examined. Results underscored the particular importance of teamwork on worker
injuries as well as the importance of management commitment to safety as relating
to teamwork. To improve worker safety, organizational leaders and unit managers
should work to maintain environments wherein teamwork can thrive.
PMID- 27867450
TI - Preserving Patient Privacy When Sharing Same-Disease Data.
AB - Medical and health data are often collected for studying a specific disease. For
such same-disease microdata, a privacy disclosure occurs as long as an individual
is known to be in the microdata. Individuals in same-disease microdata are thus
subject to higher disclosure risk than those in microdata with different
diseases. This important problem has been overlooked in data-privacy research and
practice, and no prior study has addressed this problem. In this study, we
analyze the disclosure risk for the individuals in same-disease microdata and
propose a new metric that is appropriate for measuring disclosure risk in this
situation. An efficient algorithm is designed and implemented for anonymizing
same-disease data to minimize the disclosure risk while keeping data utility as
good as possible. An experimental study was conducted on real patient and
population data. Experimental results show that traditional reidentification risk
measures underestimate the actual disclosure risk for the individuals in same
disease microdata and demonstrate that the proposed approach is very effective in
reducing the actual risk for same-disease data. This study suggests that privacy
protection policy and practice for sharing medical and health data should
consider not only the individuals' identifying attributes but also the health and
disease information contained in the data. It is recommended that data-sharing
entities employ a statistical approach, instead of the HIPAA's Safe Harbor
policy, when sharing same-disease microdata.
PMID- 27867453
TI - [Individual determinants of the Quebec electronic health record adoption].
AB - AIM: The potential of electronic health records to improve effectiveness, safety
and quality of health care has been shown in several previous studies. However
healthcare professionals remain reticent as for its use, which limits its
potential effect on the health care system. The present study aimed to evaluate
physicians' perceptions towards the electronic health record of Quebec. METHODS:
Based on a literature review of the factors affecting the adoption of information
and communication technologies in general, and e-health in particular,
questionnaire was developed. A total of 12 doctors who represent potential users
of the Quebec electronic health record completed and returned the questionnaire.
Afterwards we performed a thematic analysis of content which was followed by a
theorisation of emerging concepts. RESULTS: Physicians' intention to adopt the
Quebec electronic health record is positively influenced by perceived usefulness,
perceived ease of use, demonstrability of the results, system's compatibility
with practice, and computer self-efficacy. Conversely, resistance to change
negatively influences physicians' adoption of the electronic health record.
CONCLUSION: It is crucial to understand factors that influence the acceptance of
the Quebec electronic health records to inform decision makers. This will allow
identifying potential users' expectations and to adjust implementation strategies
accordingly in order to favour a better integration of this technology into
medical practices.
PMID- 27867451
TI - Thioredoxin-Interacting Protein Mediates NLRP3 Inflammasome Activation Involved
in the Susceptibility to Ischemic Acute Kidney Injury in Diabetes.
AB - Kidney in diabetic state is more sensitive to ischemic acute kidney injury (AKI).
However, the underlying mechanisms remain unclear. Herein, we examined the impact
of diabetes mellitus on thioredoxin-interacting protein (TXNIP) expression and
whether mediated NLRP3 activation was associated with renal ischemia/reperfusion-
(I/R-) induced AKI. In an in vivo model, streptozotocin-induced diabetic rats
showed higher susceptibility to I/R injury with increased TXNIP expression, which
was significantly attenuated by resveratrol (RES) treatment (10 mg/kg
intraperitoneal daily injection for 7 consecutive days prior to I/R induction).
RES treatment significantly inhibited TXNIP binding to NLRP3 in diabetic rats
subjected to renal I/R injury. Furthermore, RES treatment significantly reduced
cleaved caspase-1 expression and production of IL-1beta and IL-18. In an in vitro
study using cultured human kidney proximal tubular cell (HK-2 cells) in high
glucose condition (HG, 30 mM) subjected to hypoxia/reoxygenation (H/R), HG
combined H/R (HH/R) stimulated TXNIP expression which was accompanied by
increased NLRP3 expression, ROS generation, caspase-1 activity and IL-1beta
levels, and aggravated HK-2 cells apoptosis. All these changes were significantly
attenuated by TXNIP RNAi and RES treatment. In conclusion, our results
demonstrate that TXNIP-mediated NLRP3 activation through oxidative stress is a
key signaling mechanism in the susceptibility to AKI in diabetic models.
PMID- 27867452
TI - Renalase as a Novel Biomarker for Evaluating the Severity of Hepatic Ischemia
Reperfusion Injury.
AB - Hepatic ischemia-reperfusion (I/R) injury is a serious complication in clinical
practice. However, no efficient biomarkers are available for the evaluation of
the severity of I/R injury. Recently, renalase has been reported to be implicated
in the I/R injury of various organs. This protein is secreted into the blood in
response to increased oxidative stress. To investigate the responsiveness of
renalase to oxidative stress, we examined the changes of renalase in cell and
mouse models. We observed a significant increase of renalase expression in HepG2
cells in a time- and dose-dependent manner when treated with H2O2. Renalase
expression also increased significantly in liver tissues that underwent the
hepatic I/R process. The increased renalase levels could be efficiently
suppressed by antioxidants in vitro and in vivo. Furthermore, serum renalase
levels were significantly increased in the mouse models and also efficiently
suppressed by antioxidants treatment. The variation trends are consistent between
renalase and liver enzymes in the mouse models. In conclusion, renalase is highly
sensitive and responsive to oxidative stress in vitro and in vivo. Moreover,
renalase can be detected in the blood. These properties make renalase a highly
promising biomarker for the evaluation of the severity of hepatic I/R injury.
PMID- 27867454
TI - Predictors for the Outcome of Aortic Regurgitation After Cardiac Surgery in
Patients with Ventricular Septal Defect and Aortic Cusp Prolapse in Saudi
Patients.
AB - BACKGROUND AND AIM: Aortic valve (AV) prolapse and subsequent aortic
regurgitation (AR) are two complications of ventricular septal defects (VSD) that
are located close to or in direct contact with the AV. This finding is one of the
indications for surgical VSD closure even in the absence of symptoms to protect
the AV integrity. The goal of our study was to assess the outcome and to identify
the predictors for improvement or progression of AR after surgical repair.
MATERIALS AND METHODS: A retrospective study of all children with VSD and AV
prolapse who underwent cardiac surgery at King Abdulaziz Cardiac Centre in Riyadh
between July 1999 and August 2013. RESULTS: A total of 41 consecutive patients,
operated for VSD with prolapsed AV, with or without AR, were reviewed. The
incidence of AV prolapse in the study population was 6.8% out of 655 patients
with VSD. Thirty-six (88%) patients had a perimembranous VSD, and four had doubly
committed VSD. Only one patient had an outlet muscular VSD. Right coronary cusp
prolapse was found in 38 (92.7%) patients. Preoperative AR was absent in five
patients, mild or less in 25 patients, moderate in seven, and severe in four
patients. Twenty-six patients showed improvement in the degree of AR after
surgery (Group A), 14 patients showed no change in the degree of AR (Group B)
while only one patient showed the progression of his AR after surgery. Those with
absent AR before surgery remained with no AR after surgery. Improvement was found
more in those with mild degree of AR preoperatively compared to those with
moderate and severe AR. Female gender also showed a tendency to improve more as
compared to male. CONCLUSION: Early surgical closure is advisable for patients
with VSD and associated AV prolapse to achieve a better outcome after repair and
to prevent progression of AR in future.
PMID- 27867455
TI - Diagnostic Accuracy of Computed Tomography Angiography as Compared to
Conventional Angiography in Patients Undergoing Noncoronary Cardiac Surgery.
AB - OBJECTIVE: To compare the diagnostic accuracy of multi-slice computed tomography
(MSCT) angiography with conventional angiography in patients undergoing major
noncoronary cardiac surgeries. MATERIALS AND METHODS: We studied fifty major
noncoronary cardiac surgery patients scheduled for invasive coronary angiography,
29 (58%) female and 21 (42%) male. Inclusion criteria of the study were age of
the patients >=40 years, having low or intermediate probability of coronary
artery disease (CAD), left ventricular ejection fraction (LVEF) >35%, and patient
giving informed consent for undergoing MSCT and conventional coronary
angiography. The patients with LVEF <35%, high pretest probability of CAD, and
hemodynamically unstable were excluded from the study. RESULTS: The diagnostic
accuracy of CT coronary angiography was evaluated regarding true positive, true
negative values. The overall sensitivity and specificity of CT angiography
technique was 100% (95% confidence interval [CI]: 39.76%-100%) and 91.30% (95%
CI: 79.21%-97.58%). The positive (50%; 95% CI: 15.70%-84.30%) and negative
predictive values (100%; 95% CI: 91.59%-100%) of CT angiography were also fairly
high in these patients. CONCLUSION: Our study suggests that this non-invasive
technique may improve perioperative risk stratification in patients undegoing non
cardiac surgery.
PMID- 27867457
TI - A Heart Set in Stone: A Case of Extensive Cardiac Calcification.
AB - Massive endocardial calcification is a rare entity. We describe a rare disease
endomyocardial fibrosis associated with massive calcification of the left
ventricle suspected on a chest X-ray and confirmed by echocardiography and
computed tomography in an 18-year-old female presenting with breathlessness and
congestive heart failure.
PMID- 27867456
TI - Heart Failure in Children.
AB - Heart failure (HF) in children differs from that in adults in many respects. The
causes and clinical presentations may differ considerably among children of
different age groups and between children and adults. The time of onset of HF
holds the key to the etiological diagnosis. Clinical presentation of HF in
younger children can be nonspecific requiring heightened degree of suspicion. The
overall outcome with HF is better in children than in adults as HF in children is
commonly due to structural heart disease and reversible conditions which are
amenable to therapy. The principles of management include treatment of the cause,
correction of any precipitating event, and treatment of systemic or pulmonary
congestion. Though HF in adults has been the subject of extensive research and
generation of evidence-based guidelines, there is a scarcity of evidence base in
pediatric HF.
PMID- 27867458
TI - Renal Subcapsular Hematoma Caused by Hydrophilic Guide Wire during Patent Ductus
Arteriosus Closure.
AB - Hydrophilic guide wire-associated renal subcapsular hematoma (RSH) during patent
ductus arteriosus (PDA) closure is an extremely rare clinical condition. Herein,
we present the case of a 16-year-old adolescent who suffered accidental
hydrophilic 0.035-inch guide wire-related RSH during PDA closure. This RSH was
diagnosed by computerized tomography and selective renal angiography. It was
successfully treated by conservative treatment.
PMID- 27867459
TI - Successful Treatment of Giant Left Subclavian Artery Pseudoaneurysm Abutting the
Arch of the Aorta and Descending Aorta.
AB - A 28-year-old woman presented with 3-month history of fever of unknown origin and
progressively increasing cough. She was diagnosed with pulmonary tuberculosis on
bronchial lavage cultures. A chest X-ray performed on follow-up showed a new
opacity in the left apical area of the chest. Computed tomography scan of chest
showed a large 10 cm pseudoaneurysm of the left subclavian artery 1 cm from its
take off from the arch of the aorta. The pseudoaneurysm was approached through a
left posterolateral thoracotomy and opened following a proximal and distal
control. A 3 cm longitudinal defect was identified in the subclavian artery
within its intrathoracic portion. This was debrided and repaired with an
autologous pericardial patch. The patient had an uneventful recovery and remained
well on follow-up.
PMID- 27867460
TI - Percutaneous Dual-valve Intervention in a High-risk Patient with Severe Aortic
and Mitral Stenosis.
AB - Aortic stenosis is the most frequent and mitral stenosis is the least frequent
native single-sided valve disease in Europe. Patients with the combination of
severe symptomatic degenerative aortic and mitral stenosis are very rare.
Guidelines for the treatment of heart valve diseases are clear for single-valve
situations. However, there is no common agreement or recommendation for the best
treatment strategy in patients with multiple valve disease and severe concomitant
comorbidities. A 76-year-old female patient with the combination of severe
degenerative symptomatic aortic and mitral stenosis and several comorbidities
including severe obesity, who was found unsuitable surgical candidate by the
heart team and unsuitable for two-time general anesthesia in the case of two-step
single-valve percutaneous approach by anesthesiologists, underwent successful
percutaneous dual-valve single-intervention (transcatheter aortic valve
implantation and percutaneous mitral balloon commissurotomy). Percutaneous dual
valve single-intervention is feasible in selected symptomatic high-risk patients.
PMID- 27867461
TI - Transesophageal Echocardiography and Radiation-induced Damages.
AB - The long-term sequelae of mantle therapy include, especially lung and cardiac
disease but also involve the vessels and the organs in the neck and thorax (such
as thyroid, aorta, and esophagus). We presented the case of 66-year-old female
admitted for congestive heart failure in radiation-induced heart disease. The
patient had undergone to massive radiotherapy 42 years ago for Hodgkin's disease
(type 1A). Transesophageal echocardiography was performed unsuccessfully with
difficulty because of the rigidity and impedance of esophageal walls. Our case is
an extraordinary report of radiotherapy's latency effect as a result of dramatic
changes in the structure of mediastinum, in particular in the esophagus, causing
unavailability of a transesophageal echocardiogram.
PMID- 27867463
TI - Narrative and Dialog.
PMID- 27867462
TI - Ruptured Sinus of Valsalva.
PMID- 27867464
TI - Rheumatic Fever and Rheumatic Heart Disease a Historical Perspective.
PMID- 27867465
TI - Specification of the Myopathy Type may Influence the Management of Noncompaction.
PMID- 27867466
TI - A training paradigm to enhance performance and safe use of an innovative
neuroendovascular device.
AB - Training has been important to facilitate the safe use of new devices designed to
repair vascular structures. This paper outlines the generic elements of a
training program for vascular devices and uses as an example the actual training
requirements for a novel device developed for the treatment of bifurcation
intracranial aneurysms. Critical elements of the program include awareness of the
clinical problem, technical features of device, case selection, and use of a
simulator. Formal proctoring, evaluation of the training, and recording the
clinical outcomes complement these elements. Interventional physicians should
embrace the merits of a training module to improve the user experience, and
vendors, physicians, and patients alike should be aligned in the goal of device
training to improve its success rate and minimize complications of the procedure.
PMID- 27867467
TI - High-throughput monitoring of wild bee diversity and abundance via mitogenomics.
AB - Bee populations and other pollinators face multiple, synergistically acting
threats, which have led to population declines, loss of local species richness
and pollination services, and extinctions. However, our understanding of the
degree, distribution and causes of declines is patchy, in part due to inadequate
monitoring systems, with the challenge of taxonomic identification posing a major
logistical barrier. Pollinator conservation would benefit from a high-throughput
identification pipeline.We show that the metagenomic mining and resequencing of
mitochondrial genomes (mitogenomics) can be applied successfully to bulk samples
of wild bees. We assembled the mitogenomes of 48 UK bee species and then shotgun
sequenced total DNA extracted from 204 whole bees that had been collected in 10
pan-trap samples from farms in England and been identified morphologically to 33
species. Each sample data set was mapped against the 48 reference mitogenomes.The
morphological and mitogenomic data sets were highly congruent. Out of 63 total
species detections in the morphological data set, the mitogenomic data set made
59 correct detections (93.7% detection rate) and detected six more species
(putative false positives). Direct inspection and an analysis with species
specific primers suggested that these putative false positives were most likely
due to incorrect morphological IDs. Read frequency significantly predicted
species biomass frequency (R2 = 24.9%). Species lists, biomass frequencies,
extrapolated species richness and community structure were recovered with less
error than in a metabarcoding pipeline.Mitogenomics automates the onerous task of
taxonomic identification, even for cryptic species, allowing the tracking of
changes in species richness and distributions. A mitogenomic pipeline should thus
be able to contain costs, maintain consistently high-quality data over long time
series, incorporate retrospective taxonomic revisions and provide an auditable
evidence trail. Mitogenomic data sets also provide estimates of species counts
within samples and thus have potential for tracking population trajectories.
PMID- 27867468
TI - Demonstration and quantification of the redistribution and oxidation of carbon
monoxide in the human body by tracer analysis.
AB - Numerous studies have confirmed the role of endogenous carbon monoxide (CO) gas
as a signal transmitter. However, CO is considered an intracellular transmitter,
as no studies have demonstrated the redistribution of CO from the blood to tissue
cells. Tracer analyses of 13CO2 production following 13CO gas inhalation
demonstrated that CO is oxidized to carbon dioxide (CO2) in the body and that CO
oxidation does not occur in the circulation. However, these results could not
clearly demonstrate the redistribution of CO, because oxidation may have occurred
in the airway epithelium. The objective of this study, therefore, was to
definitively demonstrate and quantify the redistribution and oxidation of CO
using time-course analyses of CO and 13CO2 production following 13CO-hemoglobin
infusion. The subject was infused with 0.45 L of 13CO-saturated autologous blood.
Exhaled gas was collected intermittently for 36 hours for measurement of minute
volumes of CO/CO2 exhalation and determination of the 13CO2/12CO2 ratio. 13CO2
production significantly increased from 3 to 28 hours, peaking at 8 hours. Of the
infused CO, 81% was exhaled as CO and 2.6% as 13CO2. Identical time courses of
13CO2 production following 13CO-hemoglobin infusion and 13CO inhalation refute
the hypothesis that CO is oxidized in the airway epithelium and clearly
demonstrate the redistribution of CO from the blood to the tissues. Quantitative
analyses have revealed that 19% of CO in the circulating blood is redistributed
to tissue cells, whereas 2.6% is oxidized there. Overall, these results suggest
that CO functions as a systemic signal transmitter.
PMID- 27867469
TI - Hyperbaric oxygen increases tissue-plasminogen activator-induced thrombolysis in
vitro, and reduces ischemic brain damage and edema in rats subjected to
thromboembolic brain ischemia.
AB - Recent data have shown that normobaric oxygen (NBO) increases the catalytic and
thrombolytic efficiency of recombinant tissue plasminogen activator (rtPA) in
vitro, and is as efficient as rtPA at restoring cerebral blood flow in rats
subjected to thromboembolic brain ischemia. Therefore, in the present study, we
studied the effects of hyperbaric oxygen (HBO) (i) on rtPA-induced thrombolysis
in vitro and (ii) in rats subjected to thromboembolic middle cerebral artery
occlusion-induced brain ischemia. HBO increases rtPA-induced thrombolysis in
vitro to a greater extent than NBO; in addition, HBO treatment of 5-minute
duration, but not of 25-minute duration, reduces brain damage and edema in vivo.
In line with the facilitating effect of NBO on cerebral blood flow, our findings
suggest that 5-minute HBO could have provided neuroprotection by promoting
thrombolysis. The lack of effect of HBO exposure of longer duration is discussed.
PMID- 27867470
TI - Effect of sevoflurane anesthesia on the comprehensive mRNA expression profile of
the mouse hippocampus.
AB - Postoperative nausea and vomiting (PONV) is a common complication after general
anesthesia. Recent studies suggested that the hippocampus is involved in PONV.
Hypothesising that hippocampal dopaminergic neurons are related to PONV, we
examined the comprehensive mRNA profile of the hippocampus, using a sevoflurane
treated mouse model to confirm this. This study was conducted after approval from
our institutional animal ethics committee, the Animal Research Center of Sapporo
Medical University School of Medicine (project number: 12-033). Eight mice were
assigned to two groups: a naive group and a sevoflurane group (Sev group). In the
Sev group, four mice were anesthetised with 3.5% sevoflurane for 1 hour.
Subsequently, mRNA was isolated from their hippocampal cells and RNA sequencing
was performed on an Illumina HiSeq 2500 platform. Mapping of the quality
controlled, filtered paired-end reads to mouse genomes and quantification of the
expression levels of each gene were performed using R software. The Rtn4rl2 gene
that encodes the Nogo receptor was the most up-regulated gene in the present
study. The expression levels of dopamine receptor genes and the tachykinin gene
were increased by sevoflurane exposure, while the genes related to serotonin
receptors were not altered by sevoflurane exposure. The expression levels of LIM
homeodomain-related genes were highly down-regulated by sevoflurane. These
findings suggest that sevoflurane exposure induces dopaminergic stimulation of
hippocampal neurons and triggers PONV, while neuronal inflammation caused by LIM
homeodomain-related genes is down-regulated by sevoflurane.
PMID- 27867471
TI - Commentary on: "Effect of sevoflurane anesthesia on the comprehensive mRNA
expression profile of the mouse hippocampus": Postoperative nausea and vomiting
conundrum: RNA-seq to the rescue.
PMID- 27867472
TI - Correction: Cocktail treatment, a promising strategy to treat acute cerebral
ischemic stroke?
AB - [This corrects the article on p. 33 in vol. 6, PMID: 27826421.].
PMID- 27867473
TI - The role of hydrogen sulfide in stroke.
AB - Stroke is a kind of acute cerebrovascular disease characterized by the focal lack
of neurological function, including ischemic stroke and hemorrhagic stroke. As
society ages rapidly, stroke has become the second leading cause of disability
and death, and also become the main threat to human health and life. In recent
years, findings from increasing animal and clinical trials have supplied
scientific evidences for the treatment of stroke. Hydrogen sulfide (H2S), which
has always been seen as a toxic gas, now has been thought to be the third gaseous
signaling molecule following nitric oxide and carbon monoxide. Accumulating
evidences indicate that H2S plays an important role in stroke. Given that its
neuroprotective effect is dose-dependent, only when its concentration is
relatively low, H2S can yield the neuroprotection, while high dose may lead to
neurotoxicity. All these study results suggest that H2S may offer a new promising
application for the therapy of stroke. Here, our review will present the role of
H2S in stroke from its mechanism to animal and clinical studies.
PMID- 27867475
TI - Characteristics of exogenous carbon monoxide deliveries.
AB - Carbon monoxide (CO) has long been considered an environmental pollutant and a
poison. Exogenous exposure to amounts of CO beyond the physiologic level of the
body can result in a protective or adaptive response. However, as a
gasotransmitter, endogenous CO is important for multiple physiologic functions.
To date, at least seven distinct methods of delivering CO have been utilized in
animal and clinical studies. In this mini-review, we summarize the exogenous CO
delivery methods and compare their advantages and disadvantages.
PMID- 27867474
TI - Nitric oxide and hyperoxic acute lung injury.
AB - Hyperoxic acute lung injury (HALI) refers to the damage to the lungs secondary to
exposure to elevated oxygen partial pressure. HALI has been a concern in clinical
practice with the development of deep diving and the use of normobaric as well as
hyperbaric oxygen in clinical practice. Although the pathogenesis of HALI has
been extensively studied, the findings are still controversial. Nitric oxide (NO)
is an intercellular messenger and has been considered as a signaling molecule
involved in many physiological and pathological processes. Although the role of
NO in the occurrence and development of pulmonary diseases including HALI has
been extensively studied, the findings on the role of NO in HALI are conflicting.
Moreover, inhalation of NO has been approved as a therapeutic strategy for
several diseases. In this paper, we briefly summarize the role of NO in the
pathogenesis of HALI and the therapeutic potential of inhaled NO in HALI.
PMID- 27867476
TI - Hyperbaric oxygen therapy for traumatic brain injury: bench-to-bedside.
AB - Traumatic brain injury (TBI) is a serious public health problem in the United
States. Survivors of TBI are often left with significant cognitive, behavioral,
and communicative disabilities. So far there is no effective
treatment/intervention in the daily clinical practice for TBI patients. The
protective effects of hyperbaric oxygen therapy (HBOT) have been proved in
stroke; however, its efficiency in TBI remains controversial. In this review, we
will summarize the results of HBOT in experimental and clinical TBI, elaborate
the mechanisms, and bring out our current understanding and opinions for future
studies.
PMID- 27867478
TI - Theoretical considerations on the ultimate depth that could be reached by
saturation human divers.
AB - The occurrence of paroxysmal narcotic episodes including psychotic-like symptoms
in divers participating to experimental deep diving programs with various gas
mixtures has constituted, beyond the classical symptoms of the high-pressure
neurological syndrome, the major limitation for deep diving. With the development
of new saturation deep diving programs and experiments by the eastern nations,
such as India and China, we believed that it is of interest to examine what could
be the ultimate depth that could be reached by saturation human divers. Based on
previous data and the critical volume model of inert gas narcosis, we propose
that the ultimate depth for saturation diving could be around 1,000 m.
PMID- 27867477
TI - Hyperbaric oxygen therapy in experimental and clinical stroke.
AB - Stroke, which is defined as a neurologic deficit caused by sudden impaired blood
supply, has been considered as a common cause of death and disability for
decades. The World Health Organization has declared that almost every 5 seconds a
new stroke occurs, placing immense socioeconomic burdens. However, the effective
and available treatment strategies are still limited. Additionally, the most
effective therapy, such as thrombolysis and stenting for ischemic stroke,
generally requires a narrow therapeutic time window after the event. A large
majority of patients cannot be admitted to hospital and receive these effective
treatments for reperfusion timely. Hyperbaric oxygen therapy (HBOT) has been
frequently applied and investigated in stroke since 1960s. Numerous basic and
clinical studies have shown the beneficial efficacy for neurological outcome
after stroke, and meanwhile many underlying mechanisms associated with
neuroprotection have been illustrated, such as cerebral oxygenation promotion and
metabolic improvement, blood-brain barrier protection, anti-inflammation and
cerebral edema, intracranial pressure modulation, decreased oxidative-stress and
apoptosis, increased vascular and neural regeneration. However, HBOT in human
stroke is still not sufficiently evidence-based, due to the insufficient
randomized double-blind controlled clinical studies. To date, there are no
uniform criteria for the dose and session duration of HBOT in different strokes.
Furthermore, the additional effect of HBOT combined with drugs and other
treatment strategies are being investigated recently. Therefore, more
experimental and clinical research is imperative to identify the mechanisms more
clearly and to explore the best protocol of HBOT in stroke treatment.
PMID- 27867479
TI - Donor pretreatment with carbon monoxide prevents ischemia/reperfusion injury
following heart transplantation in rats.
AB - Because inhaled carbon monoxide (CO) provides potent anti-inflammatory and
antioxidant effects against ischemia reperfusion injury, we hypothesized that
treatment of organ donors with inhaled CO would decrease graft injury after heart
transplantation. Hearts were heterotopically transplanted into syngeneic Lewis
rats after 8 hours of cold preservation in University of Wisconsin solution.
Donor rats were exposed to CO at a concentration of 250 parts per million for 24
hours via a gas-exposure chamber. Severity of myocardial injury was determined by
total serum creatine phosphokinase and troponin I levels at three hours after
reperfusion. In addition, Affymetrix gene array analysis of mRNA transcripts was
performed on the heart graft tissue prior to implantation. Recipients of grafts
from CO-exposed donors had lower levels of serum troponin I and creatine
phosphokinase; less upregulation of mRNA for interleukin-6, intercellular
adhesion molecule-1, and tumor necrosis factor-alpha; and fewer infiltrating
cells. Although donor pretreatment with CO altered the expression of 49 genes
expressly represented on the array, we could not obtain meaningful data to
explain the mechanisms by which CO potentiated the protective effects.
Pretreatment with CO gas before organ procurement effectively protected cardiac
grafts from ischemia reperfusion-induced injury in a rat heterotopic cardiac
transplant model. A clinical report review indicated that CO-poisoned organ
donors may be comparable to non-poisoned donors.
PMID- 27867480
TI - Xenon protects left ventricular diastolic function during acute ischemia, less
than ischemic preconditioning.
AB - Anesthetics modify regional left ventricular (LV) dysfunction following
ischemia/reperfusion but their effects on global function in this setting are
less clear. Aim of this study was to test the hypothesis that xenon would limit
global LV dysfunction as caused by acute anterior wall ischemia, comparable to
ischemic preconditioning. In an open-chest model under thiopental anesthesia, 30
pigs underwent 60-minute left anterior descending coronary artery occlusion,
followed by 120 minutes of reperfusion. A xenon group (constant inhalation from
previous to ischemia through end of reperfusion) was compared to control and
ischemic preconditioning. Load-independent measures of diastolic function (end
diastolic pressure-volume relation, time constant of relaxation) and systolic
function (end-systolic pressure-volume relation, preload-recruitable stroke work)
were determined. Heart rate, arterial pressure, cardiac output, and arterial
elastance were recorded. Data were compared in 26 pigs. Ischemia impaired global
diastolic but not systolic function in control, which recovered during
reperfusion. Xenon limited and preconditioning abolished diastolic dysfunction
during ischemia. Arterial pressure decreased during reperfusion while arterial
elastance increased. Tachycardia and antero-septal wall edema during reperfusion
were observed in all groups. In spite of ischemia of 40% of LV mass, global
systolic function was preserved. Deterioration in global diastolic function was
limited by xenon and prevented by preconditioning.
PMID- 27867481
TI - Temporal variation in the response of tumors to hyperoxia with breathing carbogen
and oxygen.
AB - The effect of hyperoxygenation with carbogen (95% O2 + 5% CO2) and 100% oxygen
inhalation on partial pressure of oxygen (pO2) of radiation-induced fibrosarcoma
(RIF-1) tumor was investigated. RIF-1 tumors were innoculated in C3H mice, and
aggregates of oximetry probe, lithium phthalocyanine (LiPc), was implanted in
each tumor. A baseline tumor pO2 was measured by electron paramagnetic resonance
(EPR) oximetry for 20 minutes in anesthetized mice breathing 30% O2 and then the
gas was switched to carbogen or 100 % oxygen for 60 minutes. These experiments
were repeated for 10 days. RIF-1 tumors were hypoxic with a baseline tissue pO2
of 6.2-8.3 mmHg in mice breathing 30% O2. Carbogen and 100% oxygen significantly
increased tumor pO2 on days 1 to 5, with a maximal increase at approximately 32
45 minutes on each day. However, the extent of increase in pO2 from the baseline
declined significantly on day 5 and day 10. The results provide quantitative
information on the effect of hyperoxic gas inhalation on tumor pO2 over the
course of 10 days. EPR oximetry can be effectively used to repeatedly monitor
tumor pO2 and test hyperoxic methods for potential clinical applications.
PMID- 27867485
TI - What's new in Medical Gas Research: Highlights for 2015.
PMID- 27867482
TI - Normobaric oxygen treatment in acute ischemic stroke: a clinical perspective.
AB - Acute ischemic stroke is a common and serious neurological disease. Oxygen
therapy has been shown to increase oxygen supply to ischemic tissues and improve
outcomes after cerebral ischemia/reperfusion. Normobaric hyperoxia (NBO), an
easily applicable and non-invasive method, shows protective effects on acute
ischemic stroke animals and patients in pilot studies. However, many critical
scientific questions are still unclear, such as the therapeutic time window of
NBO, the long-term effects and the benefits of NBO in large clinic trials. In
this article, we review the current literatures on NBO treatment of acute
ischemic stroke in preclinical and clinical studies and try to analyze and
identify the key gaps or unknowns in our understanding about NBO. Based on these
analyses, we provide suggestions for future studies.
PMID- 27867483
TI - Brain globins in physiology and pathology.
AB - Globins are globular proteins for either transport or storage of oxygen which are
critical for cellular metabolism. Four globins have been identified in rodent and
human brains. Among them, neuroglobin, cytoglobin and hemoglobin chains are
constitutively expressed in normal brain, while myoglobin is only expressed in
some neurological disorders. Studies on the molecular structure, expression and
functional features of these brain globins indicated that they may play crucial
roles in maintenance of neural cell survival and activity, including neurons and
astrocytes. Their regulation in neurological disorders may help thoroughly
understand initiation and progression of ischemia, Alzheimer's disease and
glioma, etc. Elucidation of the brain globin functions might remarkably improve
medical strategies that sustain neurological homeostasis and treat neurological
diseases. Here the expression pattern and functions of brain globins and their
involvement in neurological disorders are reviewed.
PMID- 27867484
TI - The production of high dose hydrogen gas by the AMS-H-01 for treatment of
disease.
AB - Hydrogen gas is a new and promising treatment option for a variety of diseases
including stroke. Here, we introduce the AMS-H-01, a medically approved machine
capable of safely producing ~66% hydrogen gas. Furthermore, we propose the
significance of this machine in the future of hydrogen gas research.
PMID- 27867486
TI - Effects of normobaric versus hyperbaric oxygen on cell injury induced by oxygen
and glucose deprivation in acute brain slices.
AB - Normobaric oxygen (NBO) and hyperbaric oxygen (HBO) are emerging as a possible co
treatment of acute ischemic stroke. Both have been shown to reduce infarct
volume, to improve neurologic outcome, to promote endogenous tissue plasminogen
activator-induced thrombolysis and cerebral blood flow, and to improve tissue
oxygenation through oxygen diffusion in the ischemic areas, thereby questioning
the interest of HBO compared to NBO. In the present study, in order to
investigate and compare the oxygen diffusion effects of NBO and HBO on acute
ischemic stroke independently of their effects at the vascular level, we used
acute brain slices exposed to oxygen and glucose deprivation, an ex vivo model of
brain ischemia that allows investigating the acute effects of NBO (partial
pressure of oxygen (pO2) = 1 atmospheres absolute (ATA) = 0.1 MPa) and HBO (pO2 =
2.5 ATA = 0.25 MPa) through tissue oxygenation on ischemia-induced cell injury as
measured by the release of lactate dehydrogenase. We found that HBO, but not NBO,
reduced oxygen and glucose deprivation-induced cell injury, indicating that
passive tissue oxygenation (i.e. without vascular support) of the brain
parenchyma requires oxygen partial pressure higher than 1 ATA.
PMID- 27867487
TI - Hypoxia therapy--a new hope for the treatment of mitochondrial dysfunctions.
AB - Mitochondrial dysfunctions are characteristic features of numerous diseases and
play a critical role in disease pathogenesis. Despite intensive research in this
area, there are no approved therapies that directly target mitochondria. Recently
a study by Jain et al. from Massachusetts General Hospital, USA reported the
effectiveness of hypoxia for treatment of mitochondrial disease in mice. In this
commentary, we summarized the potential mechanisms underlying the therapeutic
effects of hypoxia on mitochondrial dysfunction, and clinical limitations of
hypoxia as a therapy for human patients. We hope that our concerns will be
helpful for further clinical studies addressing moderate hypoxia in mitochondrial
dysfunction.
PMID- 27853502
TI - Evolution of bright colours in animals: worlds of prohibition and oblivion.
AB - Because the ability to hide in plain sight provides a major selective advantage
to both prey and predator species, the emergence of the striking colouration of
some animal species (such as many coral reef fish) represents an evolutionary
conundrum that remains unsolved to date. Here I propose a framework by which
conspicuous colours can emerge when the selective pressures for camouflage are
relaxed (1) because camouflage is not essential under specific prey/predator
conditions or (2) due to the impossibility of reducing the signal-to-background
noise in the environment. The first case is found among non-predator-species that
possess effective defences against predators (hence a "Carefree World"), such as
the strong macaws' beaks and the flight abilities of hummingbirds. The second
case is found in diurnal mobile fish of coral reef communities, which swim in
clear waters against highly contrasting and unpredictable background (hence an
"Hyper-Visible World"). In those contexts the selective pressures that usually
come secondary to camouflage (such as sexual, warning, species recognition or
territorial display) are free to drive the evolution of brilliant and diverse
colouration. This theoretical framework can also be useful for studying the
conditions that allow for conspicuousness in other sensory contexts (acoustic,
chemical, electrical, etc.).
PMID- 27867488
TI - Successful treatment with hydrogen rich water in a case of chronic graft-versus
host-disease.
AB - The incidence of chronic graft-versus-host-disease is rising year by year, which
has become the leading cause of non-transplantation related death and has become
the most difficult complication of allogeneic hematopoietic stem cell
transplantation to deal with. Inflammation and fibrosis play dominant roles in
the pathogenesis of chronic graft-versus-host-disease. Studies have shown that
molecular hydrogen has anti-inflammatory, antioxidant, anti-fibrosis effects.
Therefore, we hypothesized that molecular hydrogen may have therapeutic effects
on chronic graft-versus-host-disease. Here, we report a patient with severe
chronic graft-versus-host-disease successfully treated by drinking hydrogen rich
water which may be a safe and effective method for chronic graft-versus-host
disease.
PMID- 27853504
TI - Case Report: Microsurgical excision of grade 5 cerebral AVM.
AB - In this case report, we discuss the microsurgical management of a Spetzler-Martin
grade 5 arteriovenous malformation (AVM) in a young boy who presented with a
hemorrhagic episode and had a high calculated risk of rebleeding. We also outline
the rationale for choosing the management option.
PMID- 27853503
TI - Adult attention-deficit/hyperactivity disorder: Associations between subtype and
lifetime substance use - a clinical study.
AB - ADHD is the one of the most prevalent childhood disorders and has been associated
with impairments persisting into adulthood. Specifically, childhood ADHD is an
independent clinical risk factor for the development of later substance use
disorders (SUD). Moreover, adults who meet diagnostic criteria for ADHD have
shown high rates of comorbid SUDs. Few studies, however, have reported on the
relationship between ADHD subtypes and SUD in adult samples. The purpose of this
study was to characterize a clinical sample of adults with ADHD and to identify
possible associations between ADHD subtypes, lifetime substance use, and if ADHD
subtypes may be preferentially associated with specific substances of abuse. We
recruited 413 adult ADHD patients, performed an evaluation of their ADHD and
conducted an interview on their use of psychotropic substances. Complete data was
obtained for 349 patients. Lifetime substance abuse or dependence was 26% and
occasional use was 57% in this sample. The inattentive subtype was significantly
less likely to abuse or be dependent on cocaine than the combined subtype. Our
findings underscore the high rate of comorbidity between substance use and ADHD
in adults. The more frequent abuse/dependence of cocaine by adult patients with
hyperactive-impulsive symptoms should be kept in mind when treating this patient
group.
PMID- 27853510
TI - CoNet app: inference of biological association networks using Cytoscape.
AB - Here we present the Cytoscape app version of our association network inference
tool CoNet. Though CoNet was developed with microbial community data from
sequencing experiments in mind, it is designed to be generic and can detect
associations in any data set where biological entities (such as genes,
metabolites or species) have been observed repeatedly. The CoNet app supports
Cytoscape 2.x and 3.x and offers a variety of network inference approaches, which
can also be combined. Here we briefly describe its main features and illustrate
its use on microbial count data obtained by 16S rDNA sequencing of arctic soil
samples. The CoNet app is available at: http://apps.cytoscape.org/apps/conet.
PMID- 27853509
TI - VISIT-TS: A multimedia tool for population studies on tic disorders.
AB - Population-based assessment of Tourette syndrome (TS) and other tic disorders
produces a paradox. On one hand, ideally diagnosis of tic disorders requires
expert observation. In fact, diagnostic criteria for TS explicitly require expert
assessment of tics for a definite diagnosis. On the other hand, large-scale
population surveys with expert assessment of every subject are impracticable.
True, several published studies have successfully used expert assessment to find
tic prevalence in a representative population (e.g. all students in a school
district). However, extending these studies to larger populations is daunting. We
created a multimedia tool to demonstrate tics to a lay audience, discuss their
defining and common attributes, and address features that differentiate tics from
other movements and vocalizations. A first version was modified to improve
clarity and to include a more diverse group in terms of age and ethnicity. The
result is a tool intended for epidemiological research. It may also provide
additional benefits, such as more representative minority recruitment for other
TS studies and increased community awareness of TS.
PMID- 27853511
TI - Molecular docking and molecular dynamics simulation study of inositol
phosphorylceramide synthase - inhibitor complex in leishmaniasis: Insight into
the structure based drug design.
AB - Inositol phosphorylceramide synthase (IPCS) has emerged as an important,
interesting and attractive target in the sphingolipid metabolism of Leishmania.
IPCS catalyzes the conversion of ceramide to IPC which forms the most predominant
sphingolipid in Leishmania. IPCS has no mammalian equivalent and also plays an
important role in maintaining the infectivity and viability of the parasite. The
present study explores the possibility of targeting IPCS; development of suitable
inhibitors for the same would serve as a treatment strategy for the infectious
disease leishmaniasis. Five coumarin derivatives were developed as inhibitors of
IPCS protein. Molecular dynamics simulations of the complexes of IPCS with these
inhibitors were performed which provided insights into the binding modes of the
inhibitors. In vitro screening of the top three compounds has resulted in the
identification of one of the compounds (compound 3) which shows little cytotoxic
effects. This compound therefore represents a good starting point for further in
vivo experimentation and could possibly serve as an important drug candidate for
the treatment of leishmaniasis.
PMID- 27853512
TI - Contextual Hub Analysis Tool (CHAT): A Cytoscape app for identifying contextually
relevant hubs in biological networks.
AB - : Highly connected nodes (hubs) in biological networks are topologically
important to the structure of the network and have also been shown to be
preferentially associated with a range of phenotypes of interest. The relative
importance of a hub node, however, can change depending on the biological
context. Here, we report a Cytoscape app, the Contextual Hub Analysis Tool
(CHAT), which enables users to easily construct and visualize a network of
interactions from a gene or protein list of interest, integrate contextual
information, such as gene expression or mass spectrometry data, and identify hub
nodes that are more highly connected to contextual nodes (e.g. genes or proteins
that are differentially expressed) than expected by chance. In a case study, we
use CHAT to construct a network of genes that are differentially expressed in
Dengue fever, a viral infection. CHAT was used to identify and compare contextual
and degree-based hubs in this network. The top 20 degree-based hubs were enriched
in pathways related to the cell cycle and cancer, which is likely due to the fact
that proteins involved in these processes tend to be highly connected in general.
In comparison, the top 20 contextual hubs were enriched in pathways commonly
observed in a viral infection including pathways related to the immune response
to viral infection. This analysis shows that such contextual hubs are
considerably more biologically relevant than degree-based hubs and that analyses
which rely on the identification of hubs solely based on their connectivity may
be biased towards nodes that are highly connected in general rather than in the
specific context of interest. AVAILABILITY: CHAT is available for Cytoscape 3.0+
and can be installed via the Cytoscape App Store (
http://apps.cytoscape.org/apps/chat).
PMID- 27853513
TI - South-East Asian strains of Plasmodium falciparum display higher ratio of non
synonymous to synonymous polymorphisms compared to African strains.
AB - Resistance to frontline anti-malarial drugs, including artemisinin, has
repeatedly arisen in South-East Asia, but the reasons for this are not
understood. Here we test whether evolutionary constraints on Plasmodium
falciparum strains from South-East Asia differ from African strains. We find a
significantly higher ratio of non-synonymous to synonymous polymorphisms in P.
falciparum from South-East Asia compared to Africa, suggesting differences in the
selective constraints on P. falciparum genome in these geographical regions.
Furthermore, South-East Asian strains showed a higher proportion of non
synonymous polymorphism at conserved positions, suggesting reduced negative
selection. There was a lower rate of mixed infection by multiple genotypes in
samples from South-East Asia compared to Africa. We propose that a lower mixed
infection rate in South-East Asia reduces intra-host competition between the
parasite clones, reducing the efficiency of natural selection. This might
increase the probability of fixation of fitness-reducing mutations including drug
resistant ones.
PMID- 27867497
TI - Murine models of breast cancer bone metastasis.
AB - Bone metastases cause significant morbidity and mortality in late-stage breast
cancer patients and are currently considered incurable. Investigators rely on
translational models to better understand the pathogenesis of skeletal
complications of malignancy in order to identify therapeutic targets that may
ultimately prevent and treat solid tumor metastasis to bone. Many experimental
models of breast cancer bone metastases are in use today, each with its own
caveats. In this methods review, we characterize the bone phenotype of commonly
utilized human- and murine-derived breast cell lines that elicit osteoblastic
and/or osteolytic destruction of bone in mice and report methods for optimizing
tumor-take in murine models of bone metastasis. We then provide protocols for
four of the most common xenograft and syngeneic inoculation routes for modeling
breast cancer metastasis to the skeleton in mice, including the intra-cardiac,
intra-arterial, orthotopic and intra-tibial methods of tumor cell injection.
Recommendations for in vivo and ex vivo assessment of tumor progression and bone
destruction are provided, followed by discussion of the strengths and limitations
of the available tools and translational models that aid investigators in the
study of breast cancer metastasis to bone.
PMID- 27867498
TI - Bone muscle crosstalk targets muscle regeneration pathway regulated by core
circadian transcriptional repressors DEC1 and DEC2.
AB - Deletion of proprotein convertase Mbtps1 in bone osteocytes leads to a
significant postnatal increase in skeletal muscle size and contractile function,
while causing only a 25% increase in stiffness in long bones. Concerns about
leakiness in skeletal muscle were discounted since Cre recombinase expression
does not account for our findings, and, Mbtps1 protein and mRNA is not deleted.
Interestingly, the response of normal skeletal muscle to exercise and the
regenerative response of skeletal muscle to the deletion of Mbtps1 in bone share
some key regulatory features including a preference for slow twitch muscle
fibers. In addition, transcriptional regulators PPAR, PGC-1alpha, LXR, and
repressors DEC1 and DEC2 all occupy central positions within these two pathways.
We hypothesize that the age-dependent muscle phenotype in Dmp1-Cre Mbtps1 cKO
mice is due to bone->muscle crosstalk. Many of the myogenic genes altered in this
larger and functionally improved muscle are regulated by circadian core
transcriptional repressors DEC1 and DEC2, and furthermore, display a temporal
coordination with Dec1 and Dec2 expression consistent with a regulatory co
dependency. These considerations lead us to propose that Dmp1-Cre Mbtps1 cKO
osteocytes activate myogenesis by increased release of an activator of muscle
PPAR-gamma, for example, PGE2 or sphingosine-1-P, or, by diminished release of an
inhibitor of LXR, for example, long-chain polyunsaturated fatty acids. We hope
that further investigation of these interacting pathways in the Dmp1-Cre Mbtps1
cKO model will lead to clinically translatable findings applicable to age-related
sarcopenia and other muscle wasting syndromes.
PMID- 27867499
TI - Lessons on skeletal cell plasticity from studying jawbone regeneration in
zebrafish.
AB - Three major mesenchymal cell types have important roles in determining the shapes
of vertebrate animals: bone-producing osteoblasts, cartilage-producing
chondrocytes, and fat-producing adipocytes. Although often considered discrete
cell types, accumulating evidence is revealing mesenchymal cells of intermediate
identities and interconversion of cell types. Such plasticity is particularly
evident during adult skeletal repair. In this Review, we highlight recent work in
zebrafish showing a role for hybrid cartilage-bone cells in large-scale
regeneration of the adult jawbone, as well as their origins in the periosteum. An
emerging theme is that the unique mechanical and signaling environment of the
adult wound causes skeletal cell differentiation to diverge from the discrete
lineages seen during development, which may aid in rapid and extensive
regeneration of bone.
PMID- 27867501
TI - Challenging regulations: Managing risks in crop biotechnology.
PMID- 27867502
TI - Genetics-based dynamic systems model of canopy photosynthesis: the key to improve
light and resource use efficiencies for crops.
AB - Improving canopy photosynthetic light use efficiency instead of leaf
photosynthesis holds great potential to catalyze the next "green revolution".
However, leaves in a canopy experience different biochemical limitations due to
the heterogeneities of microclimates and also physiological parameters.
Mechanistic dynamic systems models of canopy photosynthesis are now available
which can be used to design the optimal canopy architectural and physiological
parameters to maximize CO 2 uptake. Rapid development of modern crop genetics
research now makes it possible to link such canopy models with genetic variations
of crops to develop genetics-based dynamic systems models of canopy
photosynthesis. Such models can guide marker-assisted breeding or genomic
selection or engineering of crops to enhance light and nitrogen use efficiencies
for different regions under future climate change scenarios.
PMID- 27867503
TI - The CROPROTECT project and wider opportunities to improve farm productivity
through web-based knowledge exchange.
AB - A key global 21st century challenge is to maximize agricultural production while
minimizing use of resources such as land, water, and energy to meet rising demand
for produce. To meet this challenge, while also adapting to climate change,
agriculture will have to become more knowledge intensive and deploy smarter
farming techniques. The intention of this study was to: (1) Highlight the
opportunity for web-based knowledge exchange to increase farm productivity and
thus contribute to achieving food and energy security, (2) Give some examples of
online farming information services such as the "CROPROTECT" tool I am developing
in the UK, the CABI "Plantwise" Knowledge Bank and the IRRI "Rice Doctor," and
(3) Consider lessons learnt so far. There are huge opportunities to facilitate
knowledge exchange through online systems for farmers and people who advise
farmers. CROPROTECT is interacting with users to determine priorities in terms of
the pests, weeds, and diseases covered and is providing key information to assist
with their management. Knowledge is a critical input for farming systems. Crop
protection in particular is becoming more difficult due to evolution of pest
resistance and changes in legislation. Up to date information can be made rapidly
available and shared online through websites and smartphone Apps. Agricultural
extension no longer relies solely on physical meetings and printed documents. The
capacity to share information via the Internet is tremendous with its potential
to reach a wide audience in the farming community, to provide rapid updates and
to interact more with the users. However, in an era of information deluge,
accessing relevant information and ensuring reliability are essential
considerations. There is also a need to bring science and farming communities
together to turn information into relevant farming knowledge.
PMID- 27867500
TI - Neurological complications in chronic kidney disease.
AB - Patients with chronic kidney disease (CKD) are frequently afflicted with
neurological complications. These complications can potentially affect both the
central and peripheral nervous systems. Common neurological complications in CKD
include stroke, cognitive dysfunction, encephalopathy, peripheral and autonomic
neuropathies. These conditions have significant impact not only on patient
morbidity but also on mortality risk through a variety of mechanisms.
Understanding the pathophysiological mechanisms of these conditions can provide
insights into effective management strategies for neurological complications.
This review describes clinical management of neurological complications in CKD
with reference to the contributing physiological and pathological derangements.
Stroke, cognitive dysfunction and dementia share several pathological mechanisms
that may contribute to vascular impairment and neurodegeneration. Cognitive
dysfunction and dementia may be differentiated from encephalopathy which has
similar contributing factors but presents in an acute and rapidly progressive
manner and may be accompanied by tremor and asterixis. Recent evidence suggests
that dietary potassium restriction may be a useful preventative measure for
peripheral neuropathy. Management of painful neuropathic symptoms can be achieved
by pharmacological means with careful dosing and side effect considerations for
reduced renal function. Patients with autonomic neuropathy may respond to
sildenafil for impotence. Neurological complications often become clinically
apparent at end-stage disease, however early detection and management of these
conditions in mild CKD may reduce their impact at later stages.
PMID- 27867505
TI - Personalised medicine and medicines optimisation.
PMID- 27867504
TI - Short rotation plantations policy history in Europe: lessons from the past and
recommendations for the future.
AB - Short rotation plantations (SRPs) are fast-growing trees (such as willow (Salix
spp.), poplar (Populus spp.) and Eucalyptus) grown closely together and harvested
in periods of 2-20 years. There are around 50,000 hectares of SRPs in Europe, a
relatively small area considering that there have been supportive policy measures
in many countries for 30 years. This paper looks at the effect that the policy
measures used in different EU countries have had, and how other external factors
have impacted on the development of the industry. Rokwood was a 3-year European
funded project which attempted to understand the obstacles and barriers facing
the woody energy crops sector using well established methods of SWOT and PESTLE
analysis. Stakeholder groups were formed in six different European regions to
analyze the market drivers and barriers for SRP and propose ways that the
industry could make progress through targeted research and development and an
improved policy framework. Based upon the outcomes of the SWOT and PESTLE
analysis, each region produced a series of recommendations for policymakers,
public authorities, and government agencies to support the development,
production, and use of SRP-derived wood fuel in each of the partner countries.
This study provides details of the SRP policy analysis and reveals that each
region shared a number of similarities with broad themes emerging. There is a
need to educate farmers and policymakers about the multifunctional benefits of
SRPs. Greater financial support from regional and/or national government is
required in order to grow the SRP market. Introducing targeted subsidies as an
incentive for growers could address lack of local supply chains. Long-term policy
initiatives should be developed while increasing clarity within Government
departments. Research funding should enable closer working between universities
and industry with positive research findings developed into supportive policy
measures.
PMID- 27867506
TI - Independent validation of the Pain Management Plan in a multi-disciplinary pain
team setting.
AB - CONTEXT/BACKGROUND: The Pain Management Plan (PP) is a brief cognitive
behavioural therapy (CBT) self-management programme for people living with
persistent pain that can be individually facilitated or provided in a group
setting. Evidence of PP efficacy has been reported previously by the pain centres
involved in its development. OBJECTIVES: To provide a fully independent
evaluation of the PP and compare these with the findings reported by Cole et al.
METHODS: The PP programme was delivered by the County Durham Pain Team (Co.
Durham PT) as outlined in training sessions led by Cole et al. Pre- and post
quantitative/patient experience measures were repeated with reliable and clinical
significant change determined and compared to the original evaluation. RESULTS:
Of the 69 participants who completed the programme, 33% achieved reliable change
and 20% clinical significant change using the Pain Self-Efficacy Questionnaire
(PSEQ). Across the Brief Pain Inventory (BPI) interference domains between 11%
and 22% of participants achieved clinical significant change. There were high
levels of positive patient feedback with 25% of participants scoring 100%
satisfaction. The mean participant satisfaction across the population was 88%.
CONCLUSION: The results from this evaluation validate those reported by Cole et
al. It demonstrates clinically significant improvement in pain and health
functioning and high patient appreciation results. Both evaluations emphasise the
potential of this programme as an early intervention delivered within a
stratified care pain pathway. This approach could optimise the use of finite
resources and improve wider access to pain management.
PMID- 27867507
TI - Creating a better picture of chronic pain: improving pain pictogram designs
through systematic evaluation of user responses.
AB - This article discusses the challenges of visually representing pain qualities in
pictogram design. An existing set of 12 pictograms designed for people with
literacy problems was evaluated to understand more about misunderstandings of
pictogram interpretation. Two sets of university students from different
disciplines were asked to interpret the pictograms, and a novel classification
system was developed to categorise answer types, as 'location', 'affective',
temporal' or 'literal'. Several design recommendations are made as a result that
will help improve the design of pain pictograms as a whole as well as guide
designers of related pictogram work. We demonstrate how, through the robust
classification of incorrect responses, it is possible to extract useful
comprehension error patterns to inform future design.
PMID- 27867508
TI - A feasibility study to determine the benefits of upper extremity virtual
rehabilitation therapy for coping with chronic pain post-cancer surgery.
AB - BACKGROUND: Persistent pain in shoulder and arm following post-surgical breast
cancer treatment can lead to cognitive and physical deficits. Depression is also
common in breast cancer survivors. Virtual reality therapy with integrative
cognitive and physical rehabilitation has not been clinically trialed for this
population. The novel BrightArm Duo technology improved cognition and upper
extremity (UE) function for other diagnoses and has great potential to benefit
individuals coping with post-surgical breast cancer pain. OBJECTIVES: The aim of
this study was to explore the feasibility of BrightArm Duo therapy for coping
with post-surgical chronic pain and associated disability in breast cancer
survivors with depression. METHODS: BrightArm Duo is a robotic rehabilitation
table modulating gravity loading on supported forearms. It tracks arm position
and grasping strength while patients play three-dimensional (3D) custom
integrative rehabilitation games. Community-dwelling women (N = 6) with post
surgical breast cancer pain in the upper arm trained on the system twice a week
for 8 weeks. Training difficulty increased progressively in game complexity,
table tilt and session length (20-50 minutes). Standardized assessments were
performed before and after therapy for pain, cognition, emotion, UE function and
activities of daily living. RESULTS: Subjects averaged upwards of 1300 arm
repetitions and 850 hand grasps per session. Pain intensity showed a 20% downward
trend (p = 0.1) that was corroborated by therapist observations and participant
feedback. A total of 10 out of 11 cognitive metrics improved post-training (p =
0.01) with a significant 8.3-point reduction in depression severity (p = 0.04). A
total of 17 of 18 range of motion metrics increased (p < 0.01), with five
affected-side shoulder improvements above the Minimal Clinically Important
Difference (8 degrees ). In all, 13 out of 15 strength and function metrics
improved (p = 0.02) with lateral deltoid strength increasing 7.4 N on the
affected side (p = 0.05). CONCLUSION: This pilot study demonstrated feasibility
of using the BrightArm Duo Rehabilitation System to treat cancer survivors coping
with upper body chronic pain. Outcomes indicate improvement in cognition,
shoulder range, strength, function and depression.
PMID- 27867509
TI - Comparison of fentanyl iontophoretic transdermal system and routine care with
morphine intravenous patient-controlled analgesia in the management of early
postoperative mobilisation: results from a randomised study.
AB - INTRODUCTION: Fentanyl iontophoretic transdermal system (ITS) (IONSYS(r), The
Medicines Company, Parsippany, NJ, USA) and morphine intravenous (IV) patient
controlled analgesia (PCA) have demonstrated equivalent pain control in several
published studies. The primary objective of the current study was to compare
fentanyl ITS with morphine IV PCA with regard to the patient's ability to
mobilise with acute postoperative pain. METHODS: In this multicentre, open-label,
randomised, active-controlled, prospective phase IV study, postoperative patients
initially received IV morphine and were titrated to pain score ? 4out of 10 on a
Numeric Rating Scale (NRS) and then received fentanyl ITS (up to 240 ug (6
doses)/hour; up to a maximum of 3.2 mg (80 doses)/24 hours) or morphine IV PCA
(doses up to 20 mg morphine/2 hours, up to 240 mg/24 hours). The primary efficacy
measure was ability to mobilise, assessed using patient responses to three
validated questions regarding mobility on a 6-point Likert scale (0 = no
difficulty to mobilise to 5 = a very great deal of difficulty to mobilise). The
study was originally planned to include ~200 patients. However, following the
early suspension and termination of the study, a total of 108 patients were
randomised to study treatment. RESULTS: One hundred and eight patients were
recruited prior to undergoing surgical procedures (orthopaedic surgical
procedures (72%) or underwent major abdominal procedures (28%)). Postoperatively,
58 were randomised to receive fentanyl ITS, and 50 to morphine IV PCA. Fentanyl
ITS patients had a greater ability to mobilise at the time of stopping study
drug, with an adjusted mean ability to mobilise score (95% confidence interval
(CI)) of 0.14 (-0.19, 0.47) for fentanyl ITS patients and 2.37 (1.98, 2.76) for
morphine IV PCA patients (p < 0.001). CONCLUSION: Patients treated with fentanyl
ITS reported that they were better able to mobilise than patients treated with
morphine IV PCA, at all time-points following surgery out to 24 hours.
PMID- 27867511
TI - Is tapentadol different from classical opioids? A review of the evidence.
AB - Tapentadol is a single molecule able to deliver analgesia by two distinct
mechanisms, a feature which differentiates it from many other analgesics. Pre
clinical data demonstrate two mechanisms of action: mu-opioid receptor agonist
activity and noradrenaline re-uptake inhibition. From these, one may predict that
tapentadol would be applicable across a broad spectrum of pain from nociceptive
to neuropathic. The evidence in animal models suggests that norepinephrine re
uptake inhibition (NRI) is a key mechanism and may even predominate over opioid
actions in chronic (and especially neuropathic) pain states, reinforcing that
tapentadol is different to classical opioids and may, therefore, be an a priori
choice for the treatment of neuropathic and mixed pain. The clinical studies and
subsequent practice experience and surveillance support the concept of opioid and
non-opioid mechanisms of action. The reduced incidence of some of the typical
opioid-induced side effects, compared to equianalgesic doses of classical
opioids, supports the hypothesis that tapentadol analgesia is only partially
mediated by opioid agonist mechanisms. Both the pre-clinical and clinical
profiles appear to be differentiated from those of classical opioids.
PMID- 27867510
TI - Effect of adding intrathecal morphine to a multimodal analgesic regimen for
postoperative pain management after laparoscopic bariatric surgery: a
prospective, double-blind, randomized controlled trial.
AB - BACKGROUND: Pain control after bariatric surgery is a major challenge. Our
objective was to study the efficacy and safety of intrathecal (IT) morphine 0.3
mg added to bupivacaine 0.5% for postoperative pain after laparoscopic bariatric
surgery. METHODS: After local ethics committee approval, 100 morbidly obese
patients scheduled for laparoscopic bariatric surgery were enrolled in this
study. Patients were randomly assigned into two groups: Group I received IT 0.3
mg morphine (0.3 mL) added to 1.2 mL of bupivacaine 0.5%; Group II received IT
0.3 mL saline added to 1.2 mL of bupivacaine 0.5%, immediately before induction
of general anaesthesia. For both groups, 60 mg ketorolac and 1000 mg paracetamol
were infused 30 minutes before the end of surgery. After wound closure, 20 mL
bupivacaine 0.25% was infiltrated at wound edges. RESULTS: Visual Analogue Scale
(VAS) score was significantly lower in group I immediately, 30 minutes and 1 hour
postoperatively. Time to first ambulation, return of intestinal sounds and
hospital stay were shorter in group I than group II (p < 0.05); total morphine
consumption was significantly lower in group I than group II (p < 0.05). Sedation
score was significantly higher in group I immediately postoperatively, while at
30 minutes, 1, 2 and 6 hours postoperatively sedation scores were significantly
higher in group II. Itching was significantly higher in group I. CONCLUSION: The
addition of IT morphine to a multimodal analgesic regimen after laparoscopic
bariatric surgery was an effective and safe method that markedly reduced
postoperative pain, systemic opioid consumption and length of hospital stay.
PMID- 27867512
TI - A service improvement project to review prescribing information provided by
general practitioners for new referrals to a UK National Health Service hospital
pain clinic: potential implications of CYP2D6 enzyme inhibition.
AB - INTRODUCTION: Chronic pain is often managed using co-prescription of analgesics
and adjuvants, with concomitant medication prescribed for comorbidities. Patients
may have suboptimal response to some analgesics or be at risk of drug
interactions or adverse drug reactions (ADRs) due to polypharmacy affecting
CYP2D6 enzyme activity. The aim of the service improvement project was to
determine the proportion of patients referred to a specialist pain service in the
UK National Health Service (NHS) by general practitioners (GPs) who may be at
risk of suboptimal analgesic response or ADRs due to CYP2D6 inhibition through
polypharmacy. This was achieved by reviewing clinical prescribing information
provided by GPs at time of referral. It was hoped that the findings could be used
to aid clinical and prescribing decisions without conducting CYP2D6 genotyping or
phenotyping. METHODS: A review of letters from 250 patients referred to an NHS
hospital pain service from GPs over a 3-month period was undertaken. Information
about current and concomitant medications was analysed to identify the potential
for CYP2D6 inhibition and adverse events. RESULTS: Letters failed to provide
information about current pain medication for 20 (8%) patients or non-pain
concomitant medication for 54 (21.6%) patients. Of 176 patients, 52 (29.5%)
patients with information about non-pain concomitant medication had been
prescribed at least one known CYP2D6 inhibitor. A total of 35 (19.9%) patients
were identified as being at risk of an adverse drug reaction and 33 (18.75%)
patients at risk of suboptimal analgesic response due to co-administration of
CYP2D6 inhibitors. CONCLUSION: The review revealed the need for improved detail
in GP referral letters used to transfer care to UK NHS hospital pain clinics.
There is a need to consider an individual's CYP2D6 phenotype when prescribing
analgesic prodrugs to manage persistent pain. Caution is needed when patients are
co-prescribed codeine or tramadol with selective serotonin reuptake inhibitors
(SSRIs).
PMID- 27867513
TI - Ultrasound-guided alcohol neurolysis of lateral femoral cutaneous nerve for
intractable meralgia paresthetica: a case series.
AB - Meralgia paresthetica is a rare sensory entrapment neuropathy which leads to
burning, tingling and numbness in the antero-lateral aspect of thigh. Mostly it
runs a benign course, and responds to conservative measures. We present a case
series of six patients with intractable meralgia paresthetica with severe pain
over antero-lateral thigh along the distribution of lateral cutaneous nerve of
thigh which was further confirmed by nerve conduction study. These patients did
not respond to the oral anti-neuropathic medications. The two successive
diagnostic lateral femoral cutaneous nerve block not only had confirmed the
diagnosis but also provided pain relief for a few days. Then the ultrasound
guided lateral femoral cutaneous nerve neurolysis was done using 50% alcohol. In
all the patients, there were more than 50% decrease in pain intensity and
improvement in quality of life after the procedure, and the relief and
improvement were maintained for up to 12 weeks. This case series shows ultrasound
guided lateral femoral cutaneous nerve neurolysis is a safe and effective
treatment for intractable meralgia paresthetica and also provides prolonged pain
relief and is a good option in avoiding the surgery. Summary points The
literature on neurolysis is rare, with only few case reports. This is the first
case series on this topic, and it will greatly improve the evidence that
ultrasound-guided neurolysis can also be used for intractable meralgia
paresthetica patients who do not respond to conservative measures before
proceeding to surgery.
PMID- 27867514
TI - Hepatitis C virus drives the pathogenesis of hepatocellular carcinoma: from
immune evasion to carcinogenesis.
AB - Persistent hepatitis C virus (HCV) infection is associated with high incidence of
hepatocellular carcinoma (HCC), the most common primary malignancy of the liver
with over half a million new cases diagnosed annually worldwide. The aryl
hydrocarbon receptor (AhR) is a ubiquitously expressed transcription factor and
its activation by environmental chemicals and by its endogenous ligand kynurenine
(Kyn) has been implicated in a variety of tumour-promoting processes such as
transformation, tumorigenesis and in immunosuppression that enables tumour
survival and growth. Kyn is generated constitutively by human tumour cells via
tryptophan (Trp)-2,3-dioxygenase (TDO), a Trp-degrading enzyme expressed in
liver, brain and cancer cells. Notably, it has been shown that TDO-derived Kyn
suppresses anti-tumour immune responses, thus promoting tumour-cell survival
through activation of the AhR pathway. In the context of HCV infection-associated
HCC, it was shown that AhR signalling is increased in HCV-infected hepatocytes,
and that modifications in the expression of AhR pathway-specific genes are
associated with the progression of HCV infection into HCC. Based on these
observations, we present and discuss here the hypothesis that HCV infection
promotes HCC by modulation of the TDO-Kyn-AhR pathway, resulting in tumorigenesis
as well as in suppression of both anti-HCV and anti-tumour immune responses.
PMID- 27867516
TI - Autoantibodies against CD80 in patients with COPD.
AB - Chronic obstructive pulmonary disease (COPD) is an inflammation disorder and
possibly an autoimmune disease. The components of the autoimmune response in the
circulatory system are of considerable interest to clinicians. Because
aberrations of costimulation status have been noted in COPD, the presence of
autoantibodies to B7 costimulatory factor CD80 were investigated in a cohort of
patients. Recombinant rs1CD80 (lacking the transmembrane domain of CD80) was used
for Western blot analysis and ELISA to investigate the presence of autoantibodies
in sera of patients with stable COPD and in controls without COPD. Cytokines IL-6
and IL-8 were detected using ELISA. Western blot revealed a specific band
reacting to rs1CD80 by diluting sera pool of patients, which indicated the
existence of autoantibodies to CD80. The serum level of anti-rs1CD80 was higher
in patients with COPD than in controls(P=0.0185) and was positively correlated to
the serum level of IL-6 (r=0.797, P<0.001) and IL-8 (r=0.608, P<0.001). There was
a tendency that more higher level of anti-rs1CD80, more severe COPD stage. The
existence of autoantibodies to costimulatory factor CD80 may suggest a pathogenic
role of costimulatory factors in COPD.
PMID- 27867515
TI - The CD8 T-cell response during tolerance induction in liver transplantation.
AB - Both experimental and clinical studies have shown that the liver possesses unique
tolerogenic properties. Liver allografts can be spontaneously accepted across
complete major histocompatibility mismatch in some animal models. In addition,
some liver transplant patients can be successfully withdrawn from
immunosuppressive medications, developing 'operational tolerance'. Multiple
mechanisms have been shown to be involved in inducing and maintaining alloimmune
tolerance associated with liver transplantation. Here, we focus on CD8 T-cell
tolerance in this setting. We first discuss how alloreactive cytotoxic T-cell
responses are generated against allografts, before reviewing how the liver
parenchyma, donor passenger leucocytes and the host immune system function
together to attenuate alloreactive CD8 T-cell responses to promote the long-term
survival of liver transplants.
PMID- 27867518
TI - Analyzing heterogeneity in the effects of physical activity in children on social
network structure and peer selection dynamics.
AB - BACKGROUND: Social networks influence children and adolescents' physical
activity. The focus of this paper is to examine the differences in the effects of
physical activity on friendship selection, with eye to the implications on
physical activity interventions for young children. Network interventions to
increase physical activity are warranted but have not been conducted. Prior to
implementing a network intervention in the field, it is important to understand
potential heterogeneities in the effects that activity level have on network
structure. In this study, the associations between activity level and cross
sectional network structure, and activity level and change in network structure
are assessed. METHODS: We studied a real-world friendship network among 81
children (average age 7.96 years) who lived in low SES neighborhoods, attended
public schools, and attended one of two structured aftercare programs, of which
one has existed and the other was new. We used the exponential random graph model
(ERGMs) and its longitudinal extension to evaluate the association between
activity level and various demographic factors in having, forming, and dissolving
friendship. Due to heterogeneity between the friendship networks within the
aftercare programs, separate analyses were conducted for each network. RESULTS:
There was heterogeneity in the effect of physical activity on both cross
sectional network structure and the formation and dissolution processes, both
across time and between networks. CONCLUSIONS: Network analysis could be used to
assess the unique structure and dynamics of a social network before an
intervention is implemented, so as to optimize the effects of the network
intervention for increasing childhood physical activity. Additionally, if peer
selection processes are changing within a network, a static network intervention
strategy for childhood physical activity could become inefficient as the network
evolves.
PMID- 27867520
TI - Consent information leaflets - readable or unreadable?
AB - OBJECTIVE: The objective of this article is to assess the readability of leaflets
about urological procedures provided by the British Association of Urological
Surgeons (BAUS) to evaluate their suitability for providing information. METHODS:
Information leaflets were assessed using three measures of readability: Flesch
Reading Ease, Flesch-Kincaid and Simple Measure of Gobbledygook (SMOG) grade
formulae. The scores were compared with national literacy statistics. RESULTS:
Relatively good readability was demonstrated using the Flesch Reading Ease (53.4
60.1) and Flesch-Kincaid Grade Level (6.5-7.6) methods. However, the average SMOG
index (14.0-15.0) for each category suggests that the majority of the leaflets
are written above the reading level of an 18-year-old. Using national literacy
statistics, at least 43% of the population will have significant difficultly
understanding the majority of these leaflets. CONCLUSIONS: The results suggest
that comprehension of the leaflets provided by the BAUS is likely to be poor.
These leaflets may be used as an adjunct to discussion but it is essential to
ensure that all the information necessary to make an informed decision has been
conveyed in a way that can be understood by the patient.
PMID- 27867519
TI - Force-plate analyses of balance following a balance exercise program during acute
post-operative phase in individuals with total hip and knee arthroplasty: A
randomized clinical trial.
AB - OBJECTIVES: Typical rehabilitation programs following total hip arthroplasty and
total knee arthroplasty include joint range of motion and muscle-strengthening
exercises. Balance and balance exercises following total hip arthroplasty and
total knee arthroplasty have not received much attention. The purpose of this
study was to determine whether an intervention of balance exercises added to a
typical rehabilitation program positively affects patients' balance. METHODS: A
total of 63 patients were provided with outpatient physical therapy at their
home. Patients were randomly assigned to either typical (n = 33) or balance (n =
30) exercise group. The typical group completed seven typical surgery-specific
joint range of motion and muscle-strengthening exercises, while the balance group
completed the typical exercises plus three balance exercises. After 5 weeks of
administering the rehabilitation program, patients' balance was assessed on a
force plate using 95% ellipse area of the center of pressure amplitude. RESULTS:
Patients in the balance group demonstrated significant reduction in the 95%
ellipse area for the anterior and posterior lean standing conditions (p < 0.01).
CONCLUSION: Balance exercises added to the typical outpatient physical therapy
program resulted in significantly greater improvements in balance for
participants with total hip arthroplasty or total knee arthroplasty, compared to
the typical exercise program alone. Physical therapists might consider the use of
balance exercises to improve balance in individuals in the acute post-operative
phase following total hip arthroplasty or total knee arthroplasty.
PMID- 27867517
TI - Protective immunity to liver-stage malaria.
AB - Despite decades of research and recent clinical trials, an efficacious long
lasting preventative vaccine for malaria remains elusive. This parasite infects
mammals via mosquito bites, progressing through several stages including the
relatively short asymptomatic liver stage followed by the more persistent cyclic
blood stage, the latter of which is responsible for all disease symptoms. As the
liver acts as a bottleneck to blood-stage infection, it represents a potential
site for parasite and disease control. In this review, we discuss immunity to
liver-stage malaria. It is hoped that the knowledge gained from animal models of
malaria immunity will translate into a more powerful and effective vaccine to
reduce this global health problem.
PMID- 27867521
TI - Exome-first approach identified a novel gloss deletion associated with Lowe
syndrome.
AB - Lowe syndrome (LS) is an X-linked disorder affecting the eyes, nervous system and
kidneys, typically caused by missense or nonsense/frameshift OCRL mutations. We
report a 6-month-old male clinically suspected to have LS, but without the
Fanconi-type renal dysfunction. Using a targeted-exome sequencing-first approach,
LS was diagnosed by the identification of a deletion involving 1.7 Mb at Xq25
q26.1, encompassing the entire OCRL gene and neighboring loci.
PMID- 27867522
TI - Study protocol - A systematic review and meta-analysis of hypothermia in
experimental traumatic brain injury: Why have promising animal studies not been
replicated in pragmatic clinical trials?
AB - Traumatic brain injury (TBI) is a major cause of death and permanent disability.
Systemic hypothermia, a treatment used in TBI for many decades, has recently been
found to be associated with neutral or unfavourable clinical outcomes despite
apparently promising preclinical research. Systematic review and meta-analysis is
a tool to summarize literature and observe trends in experimental design and
quality that underpin its general conclusions. Here we aim to use these
techniques to describe the use of hypothermia in animal TBI models, collating
data relating to outcome and both study design and quality. From here we intend
to observe correlations between features and attempt to explain any discrepancies
found between animal and clinical data. This protocol describes the relevant
methodology in detail.
PMID- 27867524
TI - Varying effects of recommended treatments for heart failure with reduced ejection
fraction: meta-analysis of randomized controlled trials in the ESC and ACCF/AHA
guidelines.
AB - The aim of this paper is to evaluate the treatment effects of recommended drugs
and devices on key clinical outcomes for patients with heart failure with reduced
ejection fraction (HFREF). Randomized controlled trials (RCTs) listed in the 2012
HF guideline from the European Society of Cardiology as well as the 2013 HF
guideline from the American College of Cardiology Foundation and American Heart
Association were evaluated for use in the meta-analysis. RCTs written in English
evaluating recommended drugs and devices for the treatment of patients with HFREF
were included. Meta-analyses, based on the outcomes of all-cause mortality and
hospitalization because of HF, were performed with relative risk ratio as the
effect size. In the identified 47 RCTs, patients were on average 63 years old and
22% were female. Drugs targeting the renin-angiotensin-aldosterone system, beta
blockers, cardiac resynchronization therapy (CRT), and intracardiac defibrillator
devices (ICDs) significantly reduced the risk of death with reductions of 14-19,
23, 20, and 20%, respectively. Drugs targeting the renin-angiotensin-aldosterone
system, beta-blockers, digoxin, and CRT significantly reduced the risk of HF
hospitalization with reductions of 24-37, 22, 60, and 36%, respectively, while
ICDs significantly increased the risk with 34%. Ivabradine showed no significant
effects on either outcome. As such, the majority of recommended HFREF treatments
offered significant treatment benefits. However, many of the included studies
were from the 1990s or earlier, and one must therefore be cautious when
extrapolating these results to contemporary patients with HF.
PMID- 27867523
TI - Obesity paradox in heart failure: a heavy matter.
AB - Obesity and heart failure are two of the leading causes of morbidity and
mortality in the world. The relationship between obesity and cardiovascular
diseases is complex and not fully understood. While the risk of developing heart
failure has been shown to be higher in patients who are obese, there is a
survival advantage for obese and overweight patients compared with normal weight
or low weight patients. This phenomenon was first described by Horwich et al. and
was subsequently confirmed in other large trials. The advantage exists
irrespective of the type, aetiology, or stage of heart failure. Patients with
morbid obesity (body mass index >40 kg/m2), however, do not have the same
survival advantage of their obese counterparts. There are several alternative
indices of obesity available that may be more accurate than body mass index. The
role of weight loss in patients with heart failure is unclear; thus, providing
sound clinical advice to patients remains difficult. Future prospective trials
designed to evaluate the link between obesity and heart failure will help us
understand more fully this complex relationship.
PMID- 27867525
TI - Barriers to goals of care discussions with hospitalized patients with advanced
heart failure: feasibility and performance of a novel questionnaire.
AB - AIMS: Good end-of-life communication and decision-making are important to
patients with advanced heart failure (HF) and their families, but their needs
remain unmet. In this pilot study, we describe the feasibility and performance of
a novel questionnaire aimed at identifying barriers and solutions to improve
communication and decision-making about goals of care for hospitalized patients
with advanced HF. METHODS: We distributed questionnaires to staff cardiologists,
cardiology trainees, and cardiology nurses who provide care for HF patients at a
Canadian teaching hospital. The questionnaire asked about the importance of
various barriers to goals of care discussions. It also asked participants to rank
their willingness to engage in goals of care discussions and their views on other
clinicians could engage in such discussions. RESULTS: Of 76 clinicians, 44 (58%)
completed the questionnaire (median completion time, 17 min). Individual survey
questions had few missing responses (0% to 2%) for questions about barriers to
goals of care discussions. There was appreciable discrimination of the importance
of different barriers (mean scores 2.2 to 6.0 on a 7-point scale). Preliminary
data suggest that clinicians perceive patient and family factors, such as
difficulty accepting a poor prognosis, as the most important barriers preventing
goals of care discussions. CONCLUSIONS: In this pilot study, we have demonstrated
the feasibility of a novel questionnaire to be used in a larger multi-centre
study of end-of-life HF care. Essential information will be obtained to inform
the design and evaluation of interventions that seek to improve communication and
decision-making about goals of care with HF patients.
PMID- 27867526
TI - Characteristics of patients with haematological and breast cancer (1996-2009) who
died of heart failure-related causes after cancer therapy.
AB - AIMS: To describe the characteristics and time to death of patients with breast
or haematological cancer who died of heart failure (HF) after cancer therapy.
Patients with an index admission for HF who died of HF-related causes (IAHF) and
those with no index admission for HF who died of HF-related causes (NIAHF) were
compared. METHODS AND RESULTS: We performed a linked data analysis of cancer
registry, death registry, and hospital administration records (n = 15 987). Index
HF admission must have occurred after cancer diagnosis. Of the 4894 patients who
were deceased (30.6% of cohort), 734 died of HF-related causes (50.1% female) of
which 279 (38.0%) had at least one IAHF (41.9% female) post-cancer diagnosis.
Median age was 71 years [interquartile range (IQR) 62-78] for IAHF and 66 years
(IQR 56-74) for NIAHF. There were fewer chemotherapy separations for IAHF
patients (median = 4, IQR 2-9) compared with NIAHF patients (median = 6, IQR 2
12). Of the IAHF patients, 71% had died within 1 year of the index HF admission.
There was no significant difference in HF-related mortality in IAHF patients
compared with NIAHF (HR, 1.10, 95% CI, 0.94-1.29, P = 0.225). CONCLUSIONS: The
profile of IAHF patients who died of HF-related causes after cancer treatment
matched the current profile of HF in the general population (over half were aged
>=70 years). However, NIAHF were younger (62% were aged <=69 years), female
patients with breast cancer that died of HF-related causes before hospital
admission for HF-related causes-a group that may have been undiagnosed or
undertreated until death.
PMID- 27867528
TI - Age- and sex-related differences in diastolic function and cardiac dimensions in
a hypertensive population.
AB - AIMS: The prevalence of left ventricular diastolic dysfunction increases with
age, particularly in hypertensive women. We aimed to determine the age- and sex
related differences in diastolic function, and its relation to alterations of
cardiac dimensions in a hypertensive population. METHODS AND RESULTS: We enrolled
479 hypertensive patients with a left ventricular ejection fraction (LVEF) >=50%
(men/women, 267/212) and their echocardiographic parameters regarding LV
performance and vascular function were measured. Left atrial volume index (LAVI)
and operant diastolic elastance (EdI: E/e'/stroke volume index), but not LV mass
index (LVMI), correlated weakly with age in both sexes. The arterial elastance
index (EaI) and EdI did not differ significantly between sexes in any of the
three age groups (A, <65 years; B, >=65 years but <75 years; C, age >=75 years).
The EdI indexed to EaI, EdI/EaI = E/e'/(0.9 * systolic blood pressure), was
significantly more impaired in women than in men only in group C. There were
significant differences in LAVI, LVMI, and EdI/EaI between groups B and C only in
women. CONCLUSIONS: Impairment of diastolic function relative to arterial
elasticity, EdI/EaI, occurred in elderly hypertensive women and was coincident
with the alteration of cardiac dimensions. The coincidence with the changes in
diastolic function and the alterations of cardiac dimensions occurred in a
different time point between the sexes.
PMID- 27867529
TI - Coexistence of apical hypertrophic cardiomyopathy and endomyocardial fibrosis
with calcification: diagnosis using multimodality imaging.
AB - A 48-year-old man from West Africa was evaluated for dyspnea. Echocardiography
showed an echolucent mass at the left ventricular apex surrounded by a dense
ridge of tissue, suggesting endomyocardial fibrosis (EMF). Doppler
echocardiography showed restrictive hemodynamics and intramyocardial coronary
blood flow at the hypertrophied apex, suggesting apical hypertrophic
cardiomyopathy (ApHCM) with calcified thrombus. Cardiac magnetic resonance
imaging showed a thickened myocardium with apical cavity obliteration and
endomyocardial calcification, and gadolinium contrast demonstrated marked bright
subendocardial and diffuse patchy intramyocardial hyperenhancement in the
hypertrophied apical wall segment, confirming coexistence of EMF and ApHCM.
Workup for known disorders of calcification was negative.
PMID- 27867527
TI - The CHA2DS2-VASc score as a predictor of high mortality in hospitalized heart
failure patients.
AB - AIMS: Atrial fibrillation (AF) is common in patients with heart failure (HF).
CHA2DS2-VASc score was originally employed as a risk assessment tool for stroke
in patients with AF; however, it has recently been used to predict not only
stroke but also various cardiovascular diseases beyond the original AF field. We
aimed to verify the CHA2DS2-VASc score as a risk assessment tool to predict
mortality in patients with HF. METHODS AND RESULTS: Consecutive 1011 patients
admitted for treatment of HF were divided into three groups based on their
CHA2DS2-VASc scores: score 1-3 group (n = 317), score 4-6 group (n = 549) and
score 7-9 group (n = 145). Of the 1011 HF patients, 387 (38.3%) had AF. We
compared patient characteristics among the three groups and prospectively
followed for all-cause mortality. Although left ventricular ejection fraction was
similar among all three groups, all-cause mortality was higher in the score 4-6
group and score 7-9 group than in the score 1-3 group (37.9 and 29.3% vs. 15.1%,
log-rank P < 0.001). In the multivariable Cox proportional hazard analysis, the
CHA2DS2-VASc score 7-9 was an independent predictor of all-cause mortality (all
HF patients: hazard ratio (HR) 1.822, P = 0.011; HF patients with AF: HR 1.951, P
= 0.031; HF patients without AF: HR 2.215, P = 0.033). CONCLUSIONS: The CHA2DS2
VASc score was an independent predictor of all-cause mortality in HF patients
with or without AF. This comprehensive risk assessment score may help identify HF
patients who are at high risk for mortality in HF patient.
PMID- 27867530
TI - Continuous renal replacement therapy for haemodynamic collapse and rhabdomyolysis
induced by pheochromocytoma crisis.
AB - Pheochromocytoma associated with pregnancy is not common. Caesarean section may
induce pheochromocytoma crisis, resulting in a lethal condition. The clinical
picture of pheochromocytoma crisis is extremely variable. In this report, we
describe a case of severe pheochromocytoma crisis induced by caesarean section
presenting with hyperpyrexia, haemodynamic collapse, muscle weakness, heart
failure, and acute kidney injury. Furthermore, we report that the muscle weakness
was a manifestation of rhabdomyolysis, resulting from the pheochromocytoma
crisis. Standard medical therapy failed to halt the patient's rapidly
deteriorating condition. Continuous renal replacement therapy removed
catecholamines from the circulation, resulting in improvement of haemodynamics
and abrogation of rhabdomyolysis.
PMID- 27867531
TI - Steroid pulse therapy was effective for cardiac sarcoidosis with ventricular
tachycardia and systolic dysfunction.
AB - A 32-year-old man presented with palpitation. He was diagnosed with pulmonary
sarcoidosis by lung biopsy. The electrocardiogram showed first-degree
atrioventricular block and complete right bundle branch block (CRBBB). We planned
to examine laboratory data, echocardiography, Holter monitoring, and gallium-67
scintigraphy. Before he went through all these exams, he developed ventricular
tachycardia. After defibrillation was performed, his electrocardiogram revealed
complete atrioventricular block. We observed elevation of serum angiotensin
converting enzyme levels. In addition, both of gallium-67 scintigraphy and 18F
fluorodeoxyglucose positron emission tomography showed abnormal uptake in the
ventricular septum. We diagnosed the patient with cardiac sarcoidosis associated
with these arrhythmias. We started treatment with methylprednisolone pulse
therapy (1 g daily). After 3 days of steroid pulse therapy, we administered
prednisolone 30 mg daily. On day 15, electrocardiogram changed from complete
atrioventricular block to first-degree atrioventricular block and CRBBB. He was
discharged with no progression with cardiac sarcoidosis for 2 years.
PMID- 27867532
TI - Left ventricular ejection fraction recovery in patients with heart failure
treated with intravenous iron: a pilot study.
AB - AIMS: In patients with heart failure with reduced ejection fraction (HFrEF) and
iron deficiency, treatment with intravenous iron has shown a clinical improvement
regardless of anaemic status. Cardiac magnetic resonance (CMR) T2* sequence has
shown a potential utility for evaluating myocardial iron deficiency. We aimed to
evaluate whether T2* sequence significantly changes after ferric carboximaltose
(FCM) administration, and if such changes correlate with changes in left
ventricle ejection fraction (LVEF). METHODS AND RESULTS: In this pilot study, we
included eight patients with chronic symptomatic (New York Heart Association II
III) HFrEF and iron deficiency. A CMR, including T2* analysis, was performed
before and at a median of 43 days (interquartile range = 35-48) after intravenous
FCM administration. Pearson or Spearman correlation coefficient (r) was used for
bivariate contrast as appropriate. A partial correlation analysis was performed
between DeltaLVEF and DeltaT2* while controlling for anaemia status at baseline.
Anaemia was present in half of patients. After FCM administration, T2* decreased
from a median of 39.5 (35.9-48) to 32 ms (32-34.5), P = 0.012. Simultaneously, a
borderline increase in median of LVEF [40% (36-44.5) to 48.5% (38.5-53), P =
0.091] was registered. In a bivariate correlational analysis, DeltaT2* was highly
correlated with DeltaLVEF (r = -0.747, P = 0.033). After controlling for anaemia
at baseline, the association between DeltaT2* and DeltaLVEF persisted
[r(partial): -0.865, R2(partial): 0.748, P = 0.012]. A median regression analysis
backed-up these findings. CONCLUSIONS: In a small sample of patients with HFrEF
and iron deficiency, myocardial iron repletion assessed by CMR was associated to
left ventricular remodelling. Further studies are warranted.
PMID- 27867533
TI - The HECT domain ubiquitin ligase HUWE1 targets unassembled soluble proteins for
degradation.
AB - In eukaryotes, many proteins function in multi-subunit complexes that require
proper assembly. To maintain complex stoichiometry, cells use the endoplasmic
reticulum-associated degradation system to degrade unassembled membrane subunits,
but how unassembled soluble proteins are eliminated is undefined. Here we show
that degradation of unassembled soluble proteins (referred to as unassembled
soluble protein degradation, USPD) requires the ubiquitin selective chaperone
p97, its co-factor nuclear protein localization protein 4 (Npl4), and the
proteasome. At the ubiquitin ligase level, the previously identified protein
quality control ligase UBR1 (ubiquitin protein ligase E3 component n-recognin 1)
and the related enzymes only process a subset of unassembled soluble proteins. We
identify the homologous to the E6-AP carboxyl terminus (homologous to the E6-AP
carboxyl terminus) domain-containing protein HUWE1 as a ubiquitin ligase for
substrates bearing unshielded, hydrophobic segments. We used a stable isotope
labeling with amino acids-based proteomic approach to identify endogenous HUWE1
substrates. Interestingly, many HUWE1 substrates form multi-protein complexes
that function in the nucleus although HUWE1 itself is cytoplasmically localized.
Inhibition of nuclear entry enhances HUWE1-mediated ubiquitination and
degradation, suggesting that USPD occurs primarily in the cytoplasm. Altogether,
these findings establish a new branch of the cytosolic protein quality control
network, which removes surplus polypeptides to control protein homeostasis and
nuclear complex assembly.
PMID- 27867535
TI - Erratum: Canonical Wnt signalling regulates nuclear export of Setdb1 during
skeletal muscle terminal differentiation.
AB - [This corrects the article DOI: 10.1038/celldisc.2016.37.].
PMID- 27867534
TI - NANOG reprograms prostate cancer cells to castration resistance via dynamically
repressing and engaging the AR/FOXA1 signaling axis.
AB - The pluripotency transcription factor NANOG has been implicated in tumor
development, and NANOG-expressing cancer cells manifest stem cell properties that
sustain tumor homeostasis, mediate therapy resistance and fuel tumor progression.
However, how NANOG converges on somatic circuitry to trigger oncogenic
reprogramming remains obscure. We previously reported that inducible NANOG
expression propels the emergence of aggressive castration-resistant prostate
cancer phenotypes. Here we first show that endogenous NANOG is required for the
growth of castration-resistant prostate cancer xenografts. Genome-wide chromatin
immunoprecipitation sequencing coupled with biochemical assays unexpectedly
reveals that NANOG co-occupies a distinctive proportion of androgen
receptor/Forkhead box A1 genomic loci and physically interacts with androgen
receptor and Forkhead box A1. Integrative analysis of chromatin
immunoprecipitation sequencing and time-resolved RNA sequencing demonstrates that
NANOG dynamically alters androgen receptor/Forkhead box A1 signaling leading to
both repression of androgen receptor-regulated pro-differentiation genes and
induction of genes associated with cell cycle, stem cells, cell motility and
castration resistance. Our studies reveal global molecular mechanisms whereby
NANOG reprograms prostate cancer cells to a clinically relevant castration
resistant stem cell-like state driven by distinct NANOG-regulated gene clusters
that correlate with patient survival. Thus, reprogramming factors such as NANOG
may converge on and alter lineage-specific master transcription factors broadly
in somatic cancers, thereby facilitating malignant disease progression and
providing a novel route for therapeutic resistance.
PMID- 27867536
TI - Life-threatening MRSA sepsis with bilateral pneumonia, osteomyelitis, and septic
arthritis of the knee in a previously healthy 13-year-old boy: a case report.
AB - The incidence and severity of methicillin resistant Staphylococcus aureus (MRSA)
infections are increasing and cause high mortality and morbidity. We describe the
first pediatric case in Scandinavia with Panton-Valentine leucocidin (PVL)
positive MRSA septicemia who developed bilateral pneumonia, arthritis of the
knee, and osteomyelitis of the tibia. Radiological investigation and
interpretation directed the treatment, especially the surgical debridement, and
combined with clinical and biochemical findings lead to close interdisciplinary
treatment with frequent surgical interventions and antimicrobial combination
therapy. The outcome was a healthy patient without sequelae, a favorable course
unlike those previously described in the literature. This case underlines the
necessity of a close interdisciplinary cooperation in children with severe MRSA
infection encompassing pneumonia, septic arthritis, and osteomyelitis, using
different imaging modalities to guide the surgical and antibiotic treatment.
PMID- 27867537
TI - Aquaporin 3 facilitates chemoresistance in gastric cancer cells to cisplatin via
autophagy.
AB - Cisplatin (cDDP) remains one of the first-line chemotherapeutic agents for
gastric cancer (GC) treatment, and resistance to cDDP is the major limitation in
its clinical application. Mechanisms of cDDP resistance have been shown to be
varied and complicated. Aquaporin 3 (AQP3) has been demonstrated to be
overexpressed in GC tissues and is thought to be involved in GC carcinogenesis
and progression. However, the role of AQP3 in chemosensitivity of GC to cytotoxic
agents remains unknown. In this study, we show that AQP3 overexpression induced
resistance to cDDP in AGS cells (P<0.05), and AQP3 knockdown increased the
chemosensitivity in MGC803 and SGC7901 cells (P<0.05). Moreover, cDDP treatment
enhanced AQP3 expression in MGC803, SGC7901 and AGS cells. AQP3 overexpression
promoted the conversion of LC3-I to LC3-II in AGS cells, whereas AQP3 knockdown
inhibited this conversion in MGC803 and SGC7901 cells. AQP3 upregulation
increased Atg5 and Beclin-1 expression, and inhibited P62 expression in AGS
cells, whereas AQP3 knockdown showed the opposite results in MGC803 and SGC7901
cells. Chloroquine (CQ), an autophagy inhibitor, enhanced the cytotoxicity of
cDDP in GC cells, and CQ reversed the chemoresistance to cDDP caused by AQP3
overexpression in GC cells. Together, our data demonstrate that AQP3 facilitates
cisplatin resistance in gastric cancer cells via autophagy, and suggest that the
development of AQP3-based tumor therapeutics could play a key role in future GC
treatment strategies.
PMID- 27867539
TI - Statistical modeling to unravel multiple predictors of the choice of chemotherapy
for non-small cell lung cancer.
PMID- 27867540
TI - Circulating biomarker for malignant pleural mesothelioma diagnosis: pay attention
to study design.
PMID- 27867538
TI - Classification of drugs to treat multidrug-resistant tuberculosis (MDR-TB):
evidence and perspectives.
PMID- 27867541
TI - Percutaneous coronary intervention versus bypass grafting in left main coronary
artery disease.
PMID- 27867542
TI - The expression of SALL4 is significantly associated with EGFR, but not KRAS or
EML4-ALK mutations in lung cancer.
AB - BACKGROUND: Lung cancer is the leading cause of cancer-related deaths worldwide;
unfortunately, its prognosis is still very poor. Therefore, developing the target
molecular is very important for lung cancer diagnosis and treatment, especially
in the early stage. With this in view, spalt-like transcription factor 4 (SALL4)
is considered a potential biomarker for diagnosis and prognosis in cancers,
including lung cancer. METHODS: In order to better investigate the association
between the expression of SALL4 and driver genes mutation, 450
histopathologically diagnosed patients with lung cancer and 11 non-cancer
patients were enrolled to test the expression of SALL4 and the status of driver
genes mutation. This investigation included epidermal growth factor receptor
(EGFR), kirsten rat sarcoma viral oncogene homolog (KRAS), and a fusion gene of
the echinoderm microtubule-associated protein-like 4 (EML4) and the anaplastic
lymphoma kinase (ALK). RESULTS: The results of the study showed that females
harbored more EGFR mutation in adenocarcinoma (ADC). The mutation rate of KRAS
and EML4-ALK was about 5%, and the double mutations of EGFR/EML4-ALK were higher
than EGFR/KRAS. In the expression analysis, the expression of SALL4 was much
higher in cancer tissues than normally expected, especially in tissues that
carried EGFR mutation (P<0.05), however, there were no significant differences
between different mutation types. Likewise, there were no significant differences
between expression of SALL4 and KRAS and EML4-ALK mutations. CONCLUSIONS: SALL4
is up regulated in lung cancer specimens and harbors EGFR mutation; this finding
indicates that SALL4 expression may be relevant with EGFR, which could provide a
new insight to lung cancer therapy. The mechanism needs further investigation and
analysis.
PMID- 27867543
TI - Primary adenosquamous carcinoma of the esophagus: an analysis of 39 cases.
AB - BACKGROUND: Adenosquamous carcinoma (ASC) of the esophagus is an uncommon type of
malignant esophageal neoplasm containing both squamous cell carcinoma (SCC) and
adenocacinoma (AC) components. The aim of this study was to explore the clinical
characteristics and prognosis of esophageal ASC. METHODS: A retrospective review
of esophageal ASC patients who underwent transthoracic esophagectomy with
lymphadenectomy in our hospital from July 2007 to April 2014. RESULTS: A total of
39 (1.0%) esophageal ASC patients among 3855 patients with esophageal cancers
were collected to analyze. There were 34 men and 5 women with a median age of
61.0 years (range from 39-85). Median follow-up time was 30.0 months and median
survival time was 44.4 months. The 1-, 3- and 5-year overall survival rates were
82.1%, 51.6% and 37.5%, respectively. Compared to esophageal SCC and AC, there
were no significant difference in survive time (P=0.616). Thirty five (92.1%) of
the 38 patients who underwent preoperative endoscopic biopsy were misdiagnosed,
mostly as SCC. Fifteen patients (38.5%) were found to have lymph node metastasis.
Thirty two patients (82.1%) had a poorly differentiated or undifferentiated
tumor. According to the 2009 American Joint Committee on Cancer (AJCC) staging
system for esophageal squamous cell carcinoma, 3 patients were at Stage I, 21
patients at Stage II and 15 patients at Stage III. In univariate analysis, pT
stage, lymph node metastasis and pTNM Stage significantly influenced survive
time. In multivariate analysis, however, only lymph node metastasis (P=0.003; 95%
CI: 1.626-10.972) was found to be the independent prognostic factor. CONCLUSIONS:
Primary ASC of the esophagus is a rare disease with difficultly to be
histologically confirmed by endoscopic biopsy. The prognosis of esophageal ASC
was no worse than esophageal SCC and AC. Lymph node metastasis is the most
influent prognostic factor. The TNM staging system of esophageal SCC is
applicable for esophageal ASC.
PMID- 27867544
TI - A morphologic study of the airway structure abnormalities in patients with asthma
by high-resolution computed tomography.
AB - BACKGROUND: Airway structure changes, termed as airway remodeling, are common in
asthma patients due to chronic inflammation, which can be assessed by high
resolution computed tomography (HRCT). Considering the controversial conclusions
in the correlation of morphologic abnormalities with clinical feature and
outcome, we aimed to further specify and evaluate the structural abnormalities of
Chinese asthmatics by HRCT. METHODS: From August 2012 to February 2015,
outpatients with asthma were recruited consecutively in the Asthma Center of West
China Hospital, Sichuan University. Standard HRCT and pulmonary function test
(PFT) were performed to collect information of bronchial wall thickening,
bronchial dilatation, mucus impaction, emphysema, mosaic perfusion, atelectasis,
and spirometric parameters. We reported the incidence of each structural
abnormality in HRCT and compared it among different asthmatic severities.
RESULTS: A total of 123 asthmatics were enrolled, among which 84 (68.3%) were
female and 39 (31.7%) were male. At least one structural abnormality was detected
by HRCT in 85.4% asthmatics, and the incidence of bronchial wall thickening,
bronchial dilatation, mucus impaction, emphysema, mosaic perfusion, and
atelectasis was 57.7%, 51.2%, 22%, 24.4%, 5.7% and 1.6%, respectively. The
incidences of bronchial wall thickening, bronchial dilation and emphysema were
significantly increased by asthma severity (P<0.05), while incidences of mucus
impaction (26/27, 96.30%), mosaic perfusion (6/7, 85.71%) and atelectasis (2/2,
100%) were mainly found in severe asthma. We found a longer asthma history
(28.13+/-18.55 years, P<0.001, P=0.003), older age (51.30+/-10.70 years, P=0.022,
P=0.006) and lower predicted percentage of forced expiratory volume in one second
(FEV1%) (41.97+/-15.19, P<0.001, P<0.001) and ratio of forced expiratory volume
to forced vital capacity (FEV1/FVC) (48.01+/-9.55, P<0.001, P<0.001) in patients
with severe bronchial dilation compared with those in none and mild bronchial
dilation. A negative correlation was also found between the extent of bronchial
dilation and FEV1% as well as FEV1/FVC (r=-0.359, P=0.004; r=-0.266, P=0.035,
respectively). CONCLUSIONS: The incidences of structural abnormalities detected
by HRCT are fairly high in Chinese asthma populations, especially the bronchial
wall thickening and bronchial dilation, which are significantly increased in
severe asthma, and are potential risk factors of pulmonary function decline in
asthmatics.
PMID- 27867545
TI - An evaluation study of research efficiency of the Guangzhou institute of
respiratory diseases based on malmquist index.
AB - BACKGROUND: This study aimed to analyze the dynamic changes of the scientific
research innovation efficiency of Guangzhou Institute of Respiratory Diseases
(GIRD) during the year 2009-2013 to explore the reason for these changes and give
some suggestions on how to improve the overall efficiency of the Institute.
METHODS: The panel data used in this study were taken from 19 research teams of
GIRD during 2009 to 2013. Data envelopment analysis (DEA) based on Malmquist
index (MI) was used to analyze the performance of each research team in terms of
productivity changes over time. Data were analyzed using DEAP 2.1 software.
RESULTS: The annual average increase rate of total factor productivity (TFP),
technological progress, technical efficiency, pure technical efficiency, and
scale efficiency was 30.4%, 22.5%, 6.4%, 0.9%, and 5.4%, respectively from 2009
to 2013. The scientific research innovation efficiency of the GIRD was generally
high and kept on growing. The increase of TFP was mainly caused by the progress
of tech, the descending of TFP in some teams should be mainly attributable to the
declining pure technical efficiency, and scale efficiency on the whole,
maintaining a stable growth at a low speed. CONCLUSIONS: To achieve higher
scientific research innovation, GIRD not only needs to further improve the
management level and introduce advanced management mode, but also needs to focus
on optimization of resource allocation, as well as to strengthen the talent
introduction, and continue to maintain the absorption of new technologies and
innovation.
PMID- 27867546
TI - Transoral endoscopic mediastinal surgery (TOEMS)-results of a first clinical
study for scarless mediastinal lymph node biopsies.
AB - BACKGROUND: Video-assisted mediastinoscopy (VAM) represents the standard
procedure for mediastinal lymph node biopsies. This operation results in a scar
at prominent position at the anterior neck. Since there is a trend to less
invasive procedures, natural orifice transluminal endoscopic surgery (NOTES) was
introduced to different fields of surgery. Based on NOTES we developed a new
approach for mediastinoscopy: transoral endoscopic mediastinal surgery (TOEMS).
In previous studies using human cadavers and living pigs the feasibility of TOEMS
was shown. It was unclear whether TOEMS could be safely applied in patients
requiring mediastinal lymph node biopsies. METHODS: We conducted a clinical phase
I study recruiting ten patients with unclear mediastinal lymphadenopathy not
resolved by prior bronchoscopy. All patients underwent TOEMS for mediastinal
lymph node biopsy. The duration of the procedure and complications were
monitored. In addition, all patients were examined for pain, swallowing
dysfunction and sensation disturbance. RESULTS: TOEMS was accomplished in eight
patients. In two patients operation was converted to VAM due to technical
problems. Mediastinal lymph nodes were dissected in all patients who finished
with TOEMS. On average, two separate lymph stations were reached by TOEMS.
Duration of the procedure was 159+/-22 min. Permanent palsy of the right
recurrent laryngeal nerve was noticed in one patient postoperatively.
CONCLUSIONS: This is the first report for a human application of NOTES in
thoracic surgery. In fact, transoral endoscopic surgery seems to be a feasible
approach for mediastinal lymph node biopsies. Further studies are needed to show
whether this procedure has an advantage over VAM in terms of pain, complications
and accessibility of mediastinal lymph node stations.
PMID- 27867547
TI - Clinicopathological features and prognosis of patients <45 years old with
esophageal adenocarcinoma comparing to other age groups.
AB - BACKGROUND: To analyze the clinicopathological features and prognosis of younger
patients with esophageal adenocarcinoma (EAC). METHODS: A total of 2,601 patients
diagnosed with EAC between 1988 and 2011 were selected from the Surveillance,
Epidemiology, and End Results (SEER) database. All patients underwent primary
tumor resection and regional lymphadenectomy without preoperative radiotherapy.
The patients were into four age groups (<45, 45-59, 60-74, >=75), with 94, 813,
1,272 and 422 patients in each group respectively. RESULTS: Patients in the age
<45 group were more likely to have lymph node (LN) metastasis (P=0.002),
postoperative radiotherapy (P<0.001) and advanced T and N stage (P=0.003, 0.014)
compared to the other three groups. We then conducted two Cox proportional
hazards model adjusted for the sex, race, number of LNs examined, histological
grade, postoperative radiation. The hazard ratio (HR) was higher in patients <45
y and the survival rate were paradoxically lower compared to the patients between
45-60 years old (P=0.046, 0.039). CONCLUSIONS: The patients <45 y had the most
aggressive clinicopathological features of EAC and poorer survival rate after
radical esophagectomy.
PMID- 27867548
TI - Detection of salivary aspiration using radionuclide salivagram SPECT/CT in
patients with COPD exacerbation: a preliminary study.
AB - BACKGROUND: The aim of this prospective study was to assess the utility of
radionuclide salivagram for detecting salivary aspiration in AECOPD patients and
to evaluate the adding value of SPECT/CT to planar imaging. METHODS: 52
consecutive AECOPD patients underwent radionuclide salivagram SPECT/CT and water
swallow test between April 2012 and March 2014. All images were interpreted
independently by two experienced nuclear medicine physicians. Final diagnosis was
made by consensus of two readers. The radionuclide salivagram and water swallow
test results were compared using kappa values. The relationship between
radionuclide salivagram results and exacerbation frequency was evaluated with the
Person chi2 test. RESULTS: Salivary aspiration was diagnosed by radionuclide
salivagram in 17 of 52 patients. Aspiration into the right main bronchus and
branch was seen in 8 patients, bilateral main bronchi in 6 patients, and left
lung field in 3 patients. SPECT/CT provided more accurate information on the
extent and location of salivary aspiration, in 11 cases, SPECT/CT demonstrated
aspiration of pulmonary segment bronchus and the following areas. Moreover,
SPECT/CT revealed salivary aspiration corresponding to aspiration pneumonia in 8
cases. There was general agreement between the radionuclide salivagram and water
swallow test results (Kappa =0.712; 95% CI, 0.504-0.920; P<0.001). The incidence
of frequent exacerbations was greater among subjects with positive salivagram
than among those with negative salivagram results (RR =3.43; 95% CI, 1.90-6.19;
P<0.001). CONCLUSIONS: Radionuclide salivagram is an objective method for
detecting salivary aspiration in AECOPD patients. Moreover, SPECT/CT can identify
more precise location of salivary aspiration and suggest a possible role for
saliva in the pathophysiology of aspiration pneumonia.
PMID- 27867549
TI - Continuous positive airway pressure therapy in non-sleepy patients with
obstructive sleep apnea: results of a meta-analysis.
AB - BACKGROUND: Although continuous positive airway pressure (CPAP) has become the
first line of therapy for obstructive sleep apnea (OSA), it remains controversial
whether non-sleepy patients could benefit from CPAP treatment. METHODS: We
searched the online databases Medline, Embase, the Cochrane library and the
Cochrane Central Registry of Controlled Trials to select eligible control trials,
including non-sleepy OSA patients and those patients treated by CPAP or either
sham CPAP or no CPAP. RESULTS: Seven eligible studies (1,541 patients) were
included. The pooled estimates of the mean changes after CPAP treatment for the
systolic blood pressure (SBP) and diastolic blood pressure (DBP) were -0.51 mmHg
(95% CI, -3.39 to 2.38 mmHg; P=0.73) and -0.92 mmHg (95% CI, -1.39 to -0.46 mmHg;
P<0.001), respectively. CPAP should not improve subjective sleepiness in the
minimally symptomatic OSA patients, as the change in the Epworth sleepiness scale
(ESS) was -0.51 (95% CI, -1.68 to 0.67; P=0.397). However, CPAP can effectively
reduce AHI or ODI by 15.57 events/h (95% CI, -29.32 to -1.82; P=0.026) compared
to controls. However, the risk of cardiovascular events did not significantly
decrease [odds ratio (OR), 0.80; 95% CI, 0.50 to 1.26; P=0.332] in the end.
CONCLUSIONS: CPAP treatment can reduce OSA severity in non-sleepy patients and
minutely reduce the DBP, but CPAP seems to have no overall beneficial effects on
subjective sleepiness, SBP, or cardiovascular risk.
PMID- 27867550
TI - Amide-linked local anesthetics induce apoptosis in human non-small cell lung
cancer.
AB - BACKGROUND: A retrospective analysis of patients undergoing cancer surgery
suggested that using local anesthetics could reduce cancer recurrence and improve
survival rate. Previous studies have indicated that local anesthetics may induce
apoptosis in several kinds of cells in vitro, but the mechanism is unclear.
METHODS: Cell viability was analyzed by MTS; reactive oxygen species (ROS),
mitochondrial membrane potential (MMP, ?Psim), cell cycle distribution, and cell
apoptosis assay were detected by flow cytometry; DNA damage was measured by comet
assay; cell invasion and migration were observed by microscopy; The expression
level of related proteins was detected by western blot assay. RESULTS: The
results indicated that lidocaine and ropivacaine could decrease viability, induce
G0/G1 phase arrest and apoptosis in human non-small cell lung cancer (NSCLC)
cells A549 and H520. Invasion and migration were suppressed. Western blot
indicated the related apoptotic pathways proteins changed accordingly.
Additionally, lidocaine and ropivacaine downregulated ?Psim, provoked DNA damage,
upregulated ROS production and activated mitogen-activated protein kinase (MAPK)
pathways in A549 and H520 cells. CONCLUSIONS: The cytotoxic effect of amide
linked local anesthetics on NSCLC cells were mainly due to apoptosis. The
antitumor mechanism of lidocaine and ropivacaine may involve apoptotic pathways
and MAPK pathways.
PMID- 27867551
TI - Endobronchial ultrasonography with guide sheath versus computed tomography guided
transthoracic needle biopsy for peripheral pulmonary lesions: a propensity score
matched analysis.
AB - BACKGROUND: Computed tomography guided transthoracic needle aspiration (CT-TTNA)
and endobronchial ultrasonography with guide sheath (EBUS-GS) transbronchial lung
biopsy are important methods for the diagnosis of peripheral pulmonary lesions
(PPLs). Without enough evidence, it is controversial which is a better choice for
diagnosing PPLs. In this study, we hypothesized that the complication rate of
EBUS-GS would be lower than CT-TTNA and the diagnostic accuracy of EBUS-GS were
not inferior to CT-TTNA. METHODS: This study was a retrospective analysis of a
prospective registry with propensity matching. Patients with PPLs were divided
into EBUS-GS group and CT-TTNA group according to patients' intent to treatment.
Pathological results and procedure related complications of EBUS-GS and CT-TTNA
were recorded. Propensity score matching(PSM) was used to eliminate the
intergroup bias. Diagnostic yields and complications of two groups were compared.
Subgroup analysis was performed to conclude the indications of different
procedures. RESULTS: A total of 187 patients (CT-TTNA: 130; EBUS-GS: 57) were
enrolled. After propensity score matching, 54 paired patients were included.
Diagnostic yield was 81% (44/54) for EBUS-GS and 87% (47/54) for CT-TTNA
(P=0.43), respectively. Diagnostic sensitivity in malignancy was 93% (42/45) for
CT-TTNA and 79% (37/47) for EUBS-GS (P=0.04). Subgroup analysis revealed that the
sensitivity of CT-TTNA was significantly higher in diagnosing of lesions close to
the chest wall (100% vs. 80%, P=0.04), and bronchus sign on CT was a predictive
factor for accurate diagnosis by EBUS-GS. The overall complication rate was 13%
(7/54) for CT-TTNA group, which was not significantly higher than that of EBUS-GS
group (2%, P=0.06). Subgroup analysis showed that patients combined with
pulmonary comorbidities and lesions apart from chest wall were risk factors for
complications of CT-TTNA. CONCLUSIONS: Both of the two procedures are effective
for the diagnosis of PPLs, but CT-TTNA has a higher diagnostic sensitivity for
malignancy. EBUS-GS has fewer complications, and it is more suitable for patients
combined with pulmonary comorbidities and lesions with bronchus signs.
PMID- 27867552
TI - Prognostic value of on admission arterial PCO2 in hospitalized patients with
community-acquired pneumonia.
AB - BACKGROUND: There is little data about the correlation between the outcome of
community acquired pneumonia (CAP) and the hypercapnic type respiratory failure.
In this study we prospectively investigated the prognostic significance of first
arterial CO2 tension in patients hospitalized with CAP. METHODS: In this
prospective study patients with CAP, admitted to a general hospital were
included. PaCO2 was measured for each subject in an arterial blood sample drawn
in the first 2 hours and its correlations with three major outcomes were
evaluated: intensive care unit (ICU) admission, duration of admission and
mortality in 30 days. RESULTS: A total of 114 patients (mean age: 60.9+/-18.3;
male: 51.8%) diagnosed with CAP were included. Significant relationship was not
found between PaCO2 and mortality (P=0.544) or ICU admission (P=0.863). However
advanced age, associated CHF, high BUN levels, high CURB-65 scores, associated
pleural effusion in chest X-ray and being admitted to the ICU (P=0.012, 0.004,
0.003, <0.001, 0.045 and <0.001 respectively) were all significant prognostic
factors of higher mortality risks. Prognostic factors for ICU admission were a
history of malignancy (P=0.004), higher CURB-65 (P<0.001) scores and concomitant
pleural effusion (P=0.028) in chest X-ray. Hypercapnic patients hospitalized for
longer duration compared with normocapnic subjects. Furthermore, patients with
lower pH (P=0.041) and pleural effusions (P=0.002) were hospitalized longer than
the others. CONCLUSIONS: There was less prominent prognostic value regarding on
admission PaCO2 in comparison to other factors such as CURB-65. Considering the
inconsistent results of surveys conducted on prognostic value of PaCO2 for CAP
outcomes, further investigations are required to reach a consensus on this
matter.
PMID- 27867553
TI - Using a chemiresistor-based alkane sensor to distinguish exhaled breaths of lung
cancer patients from subjects with no lung cancer.
AB - BACKGROUND: Breath alkanes are reported to be able to discriminate lung cancer
patients from healthy people. A simple chemiresistor-based sensor was designed to
respond to alkanes by a change in resistance measured by a digital multimeter
connected to the sensor. In preclinical experiments, the sensor response was
found to have a strong positive linear relationship with alkane compounds and not
responsive to water. This study aimed to determine the ability of the alkane
sensor to distinguish the exhaled breaths of lung cancer patients from that of
chronic obstructive pulmonary disease (COPD) patients and control subjects
without lung cancer. METHODS: In this cross-sectional study, 12 treatment-naive
patients with lung cancer, 12 ex- or current smokers with COPD and 13 never
smokers without lung disease were asked to exhale through a drinking straw into a
prototype breath-in apparatus made from an empty 125 mL Vitagen(r) bottle with
the chemiresistor sensor attached at its inside bottom to measure the sensor peak
output (percentage change of baseline resistance measured before exhalation to
peak resistance) and the time taken for the baseline resistance to reach peak
resistance. RESULTS: Analysis of multivariate variance and post-hoc Tukey test
revealed that the peak output and the time to peak values for the lung cancer
patients were statistically different from that for both the COPD patients and
the controls without lung disease, Pillai's Trace =0.393, F=3.909, df = (4, 64),
P=0.007. A 2.20% sensor peak output and a 90-s time to peak gave 83.3%
sensitivity and 88% specificity in diagnosing lung cancer. Tobacco smoking did
not affect the diagnostic accuracy of the sensor. CONCLUSIONS: The alkane sensor
could discriminate patients with lung cancer from COPD patients and people
without lung disease. Its potential utility as a simple, cheap and non-invasive
test for early lung cancer detection needs further studies.
PMID- 27867554
TI - Tigecycline combination for ventilator-associated pneumonia caused by extensive
drug-resistant Acinetobacter baumannii.
AB - BACKGROUND: Extensive drug-resistant Acinetobacter baumannii (XDR A. baumannii)
has emerged as an important pathogen in patients with ventilator-associated
pneumonia (VAP) worldwide. This study determined whether or not combination
tigecycline (TGC) treatment improved the short-term outcome of patients with XDR
A. baumannii-induced VAP. Methods: Fifty-eight patients admitted to our intensive
care unit (ICU) with confirmed XDR A. baumannii VAP between January 2011 and June
2013 were retrospectively studied. Fourteen patients were excluded. The included
subjects were classified into two groups depending on treatment regimens with or
without TGC (TGC group, n=20; non-TGC group, n=24). Thirty-day mortality rates,
and clinical and microbiologic responses were reviewed and compared in detail.
RESULTS: Microbiological eradication was observed in 3 patients (15.0%) in the
TGC group and 7 patients (29.2%) in the non-TGC group (P=0.264). The mean time-to
eradication of XDR A. baumannii was 5.3+/-2.1 versus 7.6+/-4.0 days (P=0.395).
Ten of 20 (50%) patients developed resistance to TGC after initiation of TGC
therapy in the TGC group. Clinical cure were achieved in 50.0% of the patients
(10/20) in the TGC group and 45.8% of the patients (7/24) in the non-TGC group
(P=1.000). No differences existed in the 30-day mortality, length of ICU stay,
length of hospital stay (LOS), and length of invasive mechanical ventilation (MV)
between the two groups. The occurrence of septic shock was significantly lower in
the TGC group (20.0% vs. 54.2%; P=0.030). CONCLUSIONS: TGC combination therapy
did not improve the clinical cure and microbiologic eradication in patients with
XDR A. baumannii VAP. TGC combination therapy did not decrease all-cause
mortality in patients with XDR A. baumannii VAP. TGC combination therapy reduced
the incidence of septic shock in patients with XDR A. baumannii VAP, and might
decrease the incidence of poly-microbial VAP. TGC combination therapy can only be
recommended as an option when other optimized therapeutics, such as colistin, are
unavailable.
PMID- 27867555
TI - Functional role of lncRNA DB327252 in lung cancer.
AB - BACKGROUND: Lung cancer becomes a concerning health issue and is considered one
of the most deadly cancers in the worldwide. Most recently, long non-coding RNAs
(lncRNAs) are newfound non-coding RNAs that are thought as one of the major
players in a range of biological processes of human diseases. Although lncRNAs
are involved in numerous cancer types, the precise understandings of lncRNAs'
functional roles and mechanisms in lung cancer are limited. In this study, we
looked for lung cancer related lncRNAs. METHODS: The quantitative reverse
transcriptase polymerase chain reaction (qRT-PCR) technique was utilized to
investigate the lncRNA DB327252 expression in 91 paired clinical lung cancer
tissues and related cell lines. Moreover, its biological functions were also
evaluated in the development of lung cancer. RESULTS: The results indicated that
the expression of DB327252 was up-regulated in lung cancer tissues compared to
the cancer-adjacent normal tissues (P<0.05); and the up-regulated expression is
likely to relate to those with bigger tumor size, adenocarcinoma and advanced TNM
stage (P<0.05). In addition, the knockdown of DB327252 inhibited the growth and
proliferation of tumor cell in vitro and in vivo. According to the observation
from our study, we found that the knockdown of the DB327252 expression, led to
G0/G1 phase cell-cycle arrested, colony formation suppressed in vitro, and tumor
growth inhibited in a nude mouse xenograft model. Our experimental results also
suggest that lncRNA DB327252 may be a lncRNA related to lung cancer and acts an
important role in A549 and 16HBE-T cancer cells, which provides evidence that
DB327252 has an oncogene-like function in lung cancer. CONCLUSIONS: The lncRNA
DB327252 is up-regulated in lung cancer, and its expression implies that it was
probable related to biologic functions of lung cancer.
PMID- 27867556
TI - Recurrent laryngeal nerve lymph node dissection may not be suitable for all early
stage esophageal squamous cell carcinoma patients: an 8-year experience.
AB - BACKGROUND: Recurrent laryngeal nerve (RLN) injury is one of the most frequent
postoperative complications of esophageal squamous cell carcinoma (ESCC) radical
resection. This study aims to develop a novel scoring system to predict recurrent
laryngeal nerve lymph node (RLNLN) metastases in early ESCC and explore the
indications for precise RLN lymphadenectomy. METHODS: Early stage ESCC patients
from 2006 to 2014 were analyzed. Patient and pathologic characteristics were
compared between patients with RLNLN metastases and those without. Univariate and
multivariate analyses were performed to establish a scoring system that estimates
the risks of RLNLN metastases. The indications for RLNLN dissection were
validated by survival rate, postoperative complications, and metastases rate.
RESULTS: A total of 311 cases selected from 1,466 ESCC patients were divided into
the dissection group and the control group. Age, tumor length, macroscopic tumor
type, T stage, tumor location and tumor differentiation were independent
predictors of RLNLN metastases. The weighted scoring system included age (+2 for
<56 years), tumor length (+2 for over 4.45 cm), tumor location (+4 for upper
thoracic, +2 for mid-thoracic) and macroscopic tumor type (+1 for advanced type).
The total number of points estimated the probability of RLNLN metastases [low
risk (0-2 point), 0%; moderate-risk (3-4 points), 9.8%; and high-risk (>4
points), 43.4%]. Besides, the dissection group had more complications and similar
survival rate when compared with the control group. CONCLUSIONS: We developed a
novel scoring system that accurately estimated the risk of RLNLN metastases in
early ESCC patients. RLN lymphadenectomy may be safely omitted for the patients
in the low-risk subgroup.
PMID- 27867557
TI - Clinical analysis of non-AIDS patients pathologically diagnosed with pulmonary
cryptococcosis.
AB - BACKGROUND: Pulmonary cryptococcosis (PC) was not a rare infectious disease in
non-AIDS patients. However, data on the immune status were lacking in southern
China for comparative analysis of differences between immunocompromised and
immunocompetent hosts. This study was to investigate the epidemiological,
clinical, radiological, and treatment profiles for patients with PC. METHODS: We
performed a retrospective review of 88 patients diagnosed with tissue-confirmed
PC who were not HIV-infected from 2003 to 2013. RESULTS: Of 88 patients,
35(39.7%) were immunocompromised host. Fever and CNS symptom were significantly
common in immunocompromised patients compared to immunocompetent patients
(P=0.019 and P=0.036, respectively). The most frequent radiologic abnormalities
were solitary or multiple pulmonary nodules, and masses or consolidations, and
most lesions were located in the peripheral lung field. Cavitations and halo sign
were significantly frequent in immunocompromised patients than in immunocompetent
patients (P<0.05). The most frequently applied and reliable diagnostic procedure
was CT-guided percutaneous translung biopsy. Treatment included antifungal drug
alone in 20 patients, surgery alone in 20 including 3 treated by VATS, surgery
plus antifungal drugs in 20 patients. CONCLUSIONS: PC was not rare in
immunocompetent host in southern China. Special differences remained in clinical
manifestation and radiological findings of PC between immunocompromised and
immunocompetent patients. Future work on the mechanisms of possible differences
is required.
PMID- 27867558
TI - Effectiveness of lung ultrasonography for diagnosis of pneumonia in adults: a
systematic review and meta-analysis.
AB - BACKGROUND: Pneumonia is usually presented as a forgotten killer, and an early
diagnosis could largely improve the prognostic outcomes. Lung ultrasound (LUS)
has been universally applied in evaluating multiple pulmonary diseases including
pneumonia. However, the diagnosis accuracy of LUS for pneumonia in adults is
still uncertain. Hence, we performed a systematic review of the current
literature to assess the diagnosis accuracy of LUS for pneumonia in adults.
METHODS: PubMed and EMBASE were searched for clinical trials that assessed the
detection accuracy of LUS for pneumonia in adult patients. We extracted
descriptive and quantitative information from eligible studies that met strict
inclusion criteria and calculated pooled sensitivity, specificity and pooled
diagnostic likelihood ratios (LR). Summary receiver operating characteristic
(sROC) curve was used to assess the overall performance of LUS-based assays.
RESULTS: We reviewed 1,072 articles and selected 38 for detailed review. 14
articles containing 1,911 participants met all inclusion criteria and were
included in the final analysis. LUS exhibited a pooled sensitivity of 0.904
(0.884-0.921), specificity of 0.884 (0.861-0.904), positive LR of 6.6 (3.7-11.7),
negative LR of 0.08 (0.04-0.19) and the area under curve (AUC) was 0.9611.
Interestingly, when CT alone, CT combined with clinical presentations, and
microbiology was set as the gold standard of pneumonia respectively, LUS
demonstrated a pooled sensitivity of 90.9%, 95.0%, 53.3%, and a pooled
specificity of 89.7%, 91.3% and 67.9%. In extension, we compared the diagnostic
efficiency of LUS for pneumonia with chest X-ray (CXR) in 1,343 patients. The AUC
for LUS and CXR was 0.972 and 0.867 respectively and the Z statistic of the two
sROC curves was 2.31. CONCLUSIONS: Our study indicated that LUS is a robust
diagnostic tool for pneumonia with high accuracy. Utilization of LUS would
facilitate the estimation of pneumonia at bedside.
PMID- 27867559
TI - Impact of anticancer drugs price cut on physician's prescription choices on first
line chemotherapy regimens and health expenditure for advanced non-small cell
lung cancer in China.
AB - BACKGROUND: Increases in insurance coverage and price cut of drugs are two
important measures to make health care more accessible and affordable. As far as
we know, this was the first study to explore the impact of anticancer drug price
cut on health expenses and oncologist's prescription decisions in China. METHODS:
The 511 non-small cell lung cancer (NSCLC) patients were recruited from Qilu
Affiliated Hospital of Shandong University from January 1, 2003 to December 31,
2010. We categorized the patients into five groups based on China's fifth
population census in 2000, including administrative group, workers and services
group, peasants group, professionals group and others group. All statistical
analyses were performed using SPSS (version 16.0), all statistic tests were two
tailed and P value <=0.05 was considered significant. RESULTS: As for the first
line chemotherapy regimens prescribed during the study, 27.6% patients received
vinorelbine + cisplatin (NP), 31.5% and 30.9% patients had gemcitabine +
cisplatin (GC) and docetaxel + cisplatin (DC), respectively, while only 4.3%
patients received paclitaxel + cisplatin or carboplatin (TP). Before price policy
implementation, NP was the most popularly used regimen (44.6%). By contrast,
doctors' prescription choices changed significantly after drug price cut, GC took
first place (42.0%). GC became the most expensive regimen (4,431.40 RMB per
cycle, about 665.15 dollars per cycle), while NP cost the least (1,974.48 RMB per
cycle, about 296.37 dollars per cycle) after price cut. No significant reduction
could be seen for both the pharmaceutical spending and total expense per
inpatient episode after drug price adjustment. One interesting phenomena was that
doctors relied less on patient's sex, age, histology to make their decisions, by
contrast, more on patient's occupation and health insurance type. And, the total
drug cost was closely related to patient occupation and health insurance type.
CONCLUSIONS: The introduction of anticancer drug price control policy was found
to be ineffective on the containment of hospital drug expenditures in one cancer
center in China.
PMID- 27867561
TI - Comparison of robot-assisted esophagectomy and thoracoscopic esophagectomy in
esophageal squamous cell carcinoma.
AB - BACKGROUND: The aim of the study was to compare robot-assisted esophagectomy (RE)
with thoracoscopic esophagectomy (TE) for the treatment of esophageal squamous
cell carcinoma (ESCC). METHODS: A total of 105 patients who underwent RE (n=62)
or TE (n=43) due to ESCC were included in this study. Early postoperative
outcomes and long-term survivals between the two groups were compared. RESULTS:
The RE and TE groups were comparable in preoperative clinical characteristics.
Total operation times were not significantly different between the two groups
(490 minutes in RE vs. 458 minutes in TE; P=0.118). The total number of dissected
lymph nodes was significantly greater in the RE group (37.3+/-17.1 vs. 28.7+/
11.8; P=0.003), and intergroup differences were significant for numbers of lymph
nodes dissected from the upper mediastinum (10.7+/-9.7 in RE vs. 6.3+/-9.3 in TE;
P=0.032) and the abdomen (12.2+/-8.7 in RE vs. 7.8+/-7.1 in TE; P=0.007). Five
year overall survival was not different between the two groups (69% in RE and 59%
in TE; P=0.737). CONCLUSIONS: Better quality lymphadenectomy could be achieved in
RE although survival benefit was not clear. Prospective randomized studies
comparing the RE and TE are necessary.
PMID- 27867560
TI - Should primary tumor be resected for non-small cell lung cancer with malignant
pleural disease unexpectedly found during operation?-a systemic review and meta
analysis.
AB - BACKGROUND: Non-small cell lung cancer (NSCLC) with malignant pleural disease
(MPD) was considered to be contraindication for surgery, but sometimes MPD was
unexpectedly found intraoperatively. There was no consensus on the role of
surgical intervention on the primary tumor in patients with MPD. The object of
this research was to assess whether exairesis of primary tumor could prolong
survival time. METHODS: A systemic research of literature was performed on the
databases of PubMed, Embase and Web of Science. Literatures examining surgical
benefit or other prognostic factors among NSCLC patients with MPD unexpectedly
found during operations were included. Hazard ratio (HR) with 95% confidence
interval (95% CI) as well as P value is applied for prognostic role of surgical
removal or other potential factors. RESULTS: Nine articles with a total number of
861 patients fulfilled the eligibility criteria, five of them compared the
survival benefit between exploration and resection among NSCLC patients with
unexpected MPD, and other studies also investigated the prognostic factors in
these patients. There was a significant survival benefit in patients with primary
tumor resection (HR =0.443; 95% CI: 0.344-0.571; P<0.001). This role was further
detected when stratified by analysis method and ethnicity. Female was an
independent favorable predicted factor (HR =0.788; 95% CI: 0.648-0.959; P=0.017)
while higher N-stage was a risk factor (HR =1.879; 95% CI: 1.307-2.701; P=0.001).
Among patients who received primary tumor resection, higher N-stage was also a
risk factor for poorer survival (HR =2.021; 95% CI: 1.496-2.730; P<0.001).
CONCLUSIONS: Resection of primary tumor, female and lower-N stage were suggested
to be beneficial prognostic factor among NSCLC patients who were detected with
MPD for the first time in the operating room. And among these people who received
surgical removal of primary tumor, lower N-stage also indicated a better
survival.
PMID- 27867562
TI - Analysis of risk factors for and the prognosis of postoperative acute respiratory
distress syndrome in patients with Stanford type A aortic dissection.
AB - BACKGROUND: To explore the risk factors for and the prognosis of postoperative
acute respiratory distress syndrome (ARDS) in patients with Stanford type A
aortic dissection (AD). METHODS: This retrospective nested case-control study
included 527 Stanford type A AD patients who were divided into ARDS groups and
non-ARDS groups. The clinical features of the groups were examined. RESULTS: The
fifty-nine patients in the ARDS group exhibited extended durations of
cardiopulmonary bypass (CPB) (P=0.004), deep hypothermic circulatory arrest
(DHCA) (P=0.000), ventilator support (P=0.013) and intensive care unit (ICU) stay
(P=0.045), higher hospital costs (P=0.000), larger perioperative transfusions
volumes [red blood cells (RBC): P=0.002, platelets (PLT): P=0.040, fresh frozen
plasma (FFP): P=0.001], more frequent pulmonary infection (P=0.018) and multiple
organ dysfunction syndrome (MODS) (P=0.040) and a higher rate of in-hospital
mortality (P=0.020). The ARDS group exhibited worse statuses in terms of
oxygenation index (OI) values (P=0.000) and Apache II scores (P=0.000). DHCA
[P=0.000, odds ratio (OR) =2.589] and perioperative transfusion (RBC: P=0.000, OR
=2.573; PLT: P=0.027, OR =1.571; FFP: P=0.002, OR =1.929) were independent risk
factors for postoperative ARDS. The survival rates and median survival times
after discharge were similar between the two groups (P=0.843). CONCLUSIONS: DHCA
duration and perioperative transfusion volume were independent risk factors for
postoperative ARDS which warrants greater attention by the cardiac surgeons.
PMID- 27867563
TI - Single-port video-assisted thoracic surgery in the treatment of non-small cell
lung cancer: a propensity-matched comparative analysis.
AB - BACKGROUND: Video-assisted thoracic surgery (VATS)-assisted lobectomy is widely
used to treat non-small cell lung carcinoma (NSCLC). There are no reports
concerning the comparison between single-port VATS and two-port VATS in treating
NSCLC. This study aimed to compare the perioperative and short-term follow-up
results between these two methods for treating NSCLC. METHODS: A retrospective
surgical evaluation of patients undergoing either single-port VATS or two-port
VATS for NSCLC between January 2013 and June 2015 was conducted. The propensity
score (PS) matching method was used to reduce selection bias by creating two
groups. After generating the PSs, 1:1 ratio and nearest-neighbor score matching
was completed. The primary outcome measures were surgical time, blood loss,
drainage time, length of hospital stay, postoperative pain score and patient
satisfaction score. The data were analyzed statistically with P<0.05 defined as
statistically significant. RESULTS: Of the 143 patients who met the inclusion
criteria, 66 (46.2%) were operated on using two-port VATS and 77 (53.8%) using
single-port VATS. After 1-to-1 PS matching, 63 pairs were selected. Both groups
were well balanced for age, gender, body mass index, pulmonary function,
preoperative comorbidity, tumor size and tumor type. The single-port VATS group
had less blood loss, less postoperative pain, and a higher satisfaction score
than those in the two-port VATS group, with statistical significance.
Postoperative complications occurred in 2 (2/63, 3.2%) patients in the single
port VATS group and 6 (6/63, 9.5%) patients in the two-port VATS group, not a
significant difference. No deaths occurred during the follow-up period.
CONCLUSIONS: A single-port VATS-assisted lobectomy is suggested to be safe and
feasible for treating NSCLC. Compared with two-port VATS, single-port VATS has
many advantages, including reduced blood loss, less postoperative pain and a
higher satisfaction score.
PMID- 27867564
TI - Psychological impact of revealing a diagnosis of lung cancer to patients in
China.
AB - BACKGROUND: In conventional Chinese culture, awareness of a malignant disease is
believed to increase a patient's psychological pressure, leading to anxiety or
depression. But this notion is in conflict with the patient's right to receive
information about their own disease. METHODS: This study is to investigate
whether disclosure of diagnosis increases the level of anxiety or depression in
patients diagnosed with lung cancer. Seventy patients who underwent lung
resection and diagnosed with lung cancer postoperatively were divided into two
groups-the disclosed group (n=35) and the undisclosed group (n=35), depending on
the awareness of their diagnosis, as decided by their consigned family members.
All patients were asked to fill in a form to evaluate their level of anxiety and
depression before discharge. RESULTS: Disclosure of diagnosis did not affect the
degree of anxiety or depression in patients with lung cancer (P>0.05). Age <=50,
relatively more advanced stage (stage II as compared with stage I) of disease,
extensive surgery and major postoperative complication were risk factors of
anxiety (P<0.05). Major postoperative complication was the only risk factor of
depression (P<0.05). CONCLUSIONS: Disclosure of diagnosis to patients with lung
cancer does not induce or aggravate anxiety or depression in modern Chinese
population. Factors such as complications, age, stage of disease and extent of
surgery do have psychological impacts on patient with lung cancer.
PMID- 27867565
TI - Changes in cholesterol level correlate with the course of pulmonary
nontuberculous mycobacterial disease.
AB - BACKGROUND: Nutritional assessment is important in patients with pulmonary
nontuberculous mycobacterial (PNTM) disease. The therapeutic effect of a
cholesterol-rich diet in tuberculosis (TB) patients has been demonstrated, but
the role of cholesterol in PNTM disease is unclear. This study evaluated the
sequential changes in nutritional markers, including cholesterol, total
lymphocyte count and visceral fat volume, according to the PNTM disease course.
METHODS: This was an age-, sex- and number of comorbid diseases-matched case
control analysis of 89 patients with PNTM disease and 356 controls, who were
participants in a Korean national survey. RESULTS: The median body mass index
(BMI) and cholesterol level in the PNTM group [BMI =19.7 kg/m2; interquartile
range (IQR): 17.8-21.6; cholesterol: 159 mg/dL; IQR, 135-185] were lower than
those in controls (BMI: 23.1 kg/m2; IQR, 21.3-25.3; cholesterol: 188 mg/dL; IQR,
164-217; both P<0.001). In a multivariate analysis, Age more than 70 years (OR
=3.38; 95% CI: 1.13-10.15, P=0.029), BMI <19.5 kg/m2 (OR =5.09; 95% CI: 1.67
15.48; P=0.004) and cavitary lesions (OR: 3.86; 95% CI: 1.30-11.47; P=0.015) were
independently associated with extensive pulmonary lesions involving more than
four lobes. The total cholesterol level, total lymphocyte count showed a tendency
to decrease in PNTM patients with disease progression (both, P value <0.05), but
not in those with a stable disease course. A decrease in cholesterol
concentration of >20 mg/dL and a decrease in lymphocyte count more than 200/uL
were predictive factors for disease progression (cholesterol: OR =10.50, 95% CI:
2.51-43.98, P=0.001; lymphocyte count: OR =5.32, 95% CI: 1.46-19.35, P=0.011).
CONCLUSIONS: These findings suggest that the change in cholesterol level may be a
marker of disease progression in patients with PNTM disease.
PMID- 27867566
TI - Effectiveness of temporary positive expiratory pressure (T-PEP) at home and at
hospital in patients with severe chronic obstructive pulmonary disease.
AB - BACKGROUND: Temporary positive airway pressure (T-PEP) is a tool recently
introduced in the treatment of chronic obstructive pulmonary disease (COPD) or
bronchiectasis. It demonstrated encouraging results also in severe COPD patients.
The aim of this study is verify if adding T-PEP to best bronchodilator therapy
both in clinic and home administering could reduce disease exacerbations and
improve lung function in patients with severe COPD. METHODS: A total of 142
patients with severe COPD (FEV1 <50%) were enrolled; 120 were randomized in three
groups: a group treated with T-PEP at home, a group with T-PEP at hospital and a
group with medical therapy only (control group). Number of acute exacerbations
COPD (AECOPD) after 1 month and 3 months were the primary outcomes. Secondary
outcomes were changes in respiratory function parameters (FVC, FEV1, TLC, RV),
arterial blood gases, dyspnea and health status assessment scales (Modified
Medical Research Council (MMRC), Breathlessness, Cough and Sputum scale (BCSS)
and COPD Assessment Test (CAT). The time of daily use of the T-PEP was registered
as well as its acceptance using a Likert scale. RESULTS: Ninety-nine patients
completed the study. Both the groups who used T-PEP showed a statistical lower
number of AECOPD after 1 month and 3 months (P<0.01). Some respiratory functional
parameters improved in the two groups treated with T-PEP (FVC, FEV1, RV) (P<0.02)
and dyspnea and health status assessment scales (MMRC, BCSS, CAT) (P<0.04;
P<0.01; P<0.009). The time of daily using was similar in the two T-PEP groups.
Patients treated at home showed a greater acceptance than those treated at
hospital (Likert scale 4.7 vs. 5.9) (P<0.01). CONCLUSIONS: Patients treated with
T-PEP showed a lower number of AECOPD. T-PEP improves functional respiratory
parameters and improves dyspnea and health status assessment scales. No adherence
difference in hospital and home treatment was found. Patients preferred home
treatment.
PMID- 27867567
TI - Influence of old pulmonary tuberculosis on the management of secondary
spontaneous pneumothorax in patients over the age of 70 years.
AB - BACKGROUND: This study aimed to investigate the treatment outcomes and influence
of old pulmonary tuberculosis (TB) in elderly patients (over 70 years) with
secondary spontaneous pneumothorax (SSP). METHODS: A retrospective analysis was
performed on patients with SSP between January 2002 and December 2014. Treatment
outcomes including chest tube duration (CTD), type and complication of surgery,
recurrence and survival rate were obtained from the review of medical records and
analyzed statistically. RESULTS: Two hundred and sixteen consecutive cases were
recruited. Of these, 134 (62.0%) did not have old pulmonary TB [non-tuberculosis
(NTB) group], and the other 82 (38.0%) had experienced pulmonary TB (TB group).
More thoracoscopic surgeries had been performed in the NTB group (P=0.038). More
postoperative complications developed in the TB group (P=0.038). Total CTD and
postoperative CTD in the TB group were significantly longer (P=0.015 and 0.030,
respectively). However, recurrence-free survival and overall survival rate were
not significantly different between the TB and NTB groups. CONCLUSIONS: Old
pulmonary TB in elderly patients with SSP made their treatment more complicated.
However, surgery might be considered in highly selected patients regardless of
old pulmonary TB, and could be conducted with acceptable morbidity and mortality.
PMID- 27867569
TI - Does 11.5 mm guided single port surgery has clinical advantage than multi-port
thoracoscopic surgery in spontaneous pneumothorax?
AB - BACKGROUND: Video-assisted thoracoscopic surgery (VATS) has been widely used for
spontaneous pneumothorax (SP). In recent years, thoracic surgeons have attempted
single incision or single port surgery with the development of surgical
technology and skills. Theoretically, single port surgery is expected to provide
benefits such as less pain and early recovery. The purpose of this study was to
determine the benefits of single port surgery in SP. METHODS: The 107 patients
with SP who underwent surgery, between July 2013 and May 2015, were reviewed
retrospectively. The patients with secondary pneumothorax, who underwent open
procedures and lacking of medical records were excluded. Visual analog scale
(VAS), paresthesia and clinical outcomes were reviewed in 86 patients (46
patients: three-port, 40 patients: 11.5 mm guided single-port). RESULTS: The mean
age was 23.4 years in three-port and 22.4 in single-port (P=0.247). The height
and body weight were not significantly difference between two groups. The mean
operation time was 39 minutes (mins) in the three-port and 37.3 mins in the
single port without statistical difference (P=0.204). The pain score in the
single port surgery was significantly lower after postoperative day (POD) 1
(P=0.028). However chest tube duration time was significantly shorter in the
single port group (P<0.001). After exclusion of the patients with chest tube
removal within postoperative 1 day, the pain score was not significantly
different at the POD 1 between two groups (P=0.176). The pain score between two
groups were not different at 1 week after discharge. CONCLUSIONS: The pain score
reduction was found 1 day after operation in the single port group. However, the
chest tube duration time was significantly shorter in the single port group and
the pain score was not different at 1 week after discharge. Considering young age
in primary SP, the benefit of single port surgery in SP was minimal.
PMID- 27867570
TI - Small cervical incision facilitates minimally invasive resection of non-invasive
thoracic inlet tumor.
AB - BACKGROUND: A challenge for resection of thoracic inlet tumors lies in that high
risk of injuring vital blood vessels and brachial plexus still exists during the
resection. And the standard surgical approach for resection of thoracic inlet
tumors has not yet been well established. METHODS: Small cervical incision
assisted minimally invasive surgical technique was developed and carried out in
patients with non-invasive thoracic inlet tumor in our department. RESULTS: We
successfully performed the small cervical incision-assisted minimally invasive
surgery in two patients with thoracic inlet tumors. The thoracic inlet tumors of
the two patients were removed completely without any postoperative complications,
and the patients achieved quick rehabilitation after surgery. This combined
approach compensates the blind area of thoracoscope in visualizing the superior
end of thoracic inlet tumors, and thus enables us to complete the resection
safely and confidently. CONCLUSIONS: Small cervical incision did facilitate the
minimally invasive resection of non-invasive thoracic inlet tumor. Hopefully,
this combined approach of video-assisted thoracoscopic surgery (VATS) with small
cervical incision could be widely utilized in resecting thoracic inlet tumors by
general thoracic surgeon.
PMID- 27867568
TI - The overexpression of KIFC1 was associated with the proliferation and prognosis
of non-small cell lung cancer.
AB - BACKGROUND: The kinesin family member C1 (KIFC1, also known as HSET) is a kinesin
superfamily protein (KIFs). Although KIFC1 acts as a crucial role in the
development of several human cancers, the KIFC1 expression profile and functional
remain unclear in non-small cell lung cancer (NSCLC). METHODS: We collected the
fresh NSCLC samples and paired normal lung tissue in patients with lung cancer
operation, and detected KIFC1 expression using quantitative reverse-transcription
polymerase chain reaction (qRT-PCR) and Western blotting. To expand on previous
smaller-scale studies, NSCLC tissue microarrays (TMA) were analyzed by IHC.
Finally, cell lines were employed to further probe the potential mechanisms.
RESULTS: In this study, we described that KIFC1 was significantly upregulated in
NSCLC tissues compared with the corresponding normal tissues. Moreover, KIFC1
overexpression was associated with the poor overall survival (OS) of NSCLC
patients, and siRNA-mediated knockdown of KIFC1 significantly suppressed tumor
cell proliferation in vitro. Further verification showed that inhibition of KIFC1
gene expression caused the upregulation of the cyclin-dependent kinases inhibitor
p21 and downregulation of the cell cycle driver protein cdc2, which arrested
cells in the G2-M phase. CONCLUSIONS: we report that increased KIFC1 expression
may promote cell proliferation and identified it as a biomarker of unfavorable
prognosis in NSCLC patients.
PMID- 27867571
TI - Role of oxidative stress and outcome of various surgical approaches among
patients with bullous lung disease candidate for surgical interference.
AB - BACKGROUND: Bullous lung disease is characterized by formation of blebs, bullae
and emphysema. We investigate the role of oxidative stress in the pathogenesis of
bullous lung disease and compare between conventional thoracotomy versus video
assisted thoracoscopic approach in surgical management of such patients. METHODS:
This study was a prospective case control study and it was carried out on 21
patients (16 males and 5 females) with bullous lung disease selected as candidate
for surgical interference. This was in addition to 21 apparently healthy age and
sex matched subjects selected as control group. Plasma levels of alpha1
antitrypsin were estimated using commercially available ELISA assay kit, while
plasma levels of malondialdehyde (MDA), beta-carotene, vitamin A, vitamin C and
vitamin E were estimated using spectrophotometric methods. Conventional
thoracotomy approach was done in thirteen patients, while, videothoracoscopic
approach was done in eight patients. RESULTS: There were significant higher
plasma levels of MDA (P<0.001) and lower plasma levels of beta-carotene (P<0.01),
vitamin A, vitamin C and vitamin E (P<0.001 for each) among patients with bullous
lung disease when compared with the control group. There was non-significant
difference regarding the air leakage and the hospital stay among patients with
bullous lung disease who managed via conventional thoracotomy approach when
compared with those managed via videothoracoscopic approach. CONCLUSIONS: This
study proves that the oxidative stress plays an important role in the
pathogenesis of bullous lung disease. Also there are no significant outcome
differences between conventional thoracotomy versus video assisted thoracoscopic
approach in surgical treatment of such patients.
PMID- 27867572
TI - The efficacy of specific neuromodulators on human refractory chronic cough: a
systematic review and meta-analysis.
AB - BACKGROUND: There have been several published reports on the use of orally
administered, specific centrally acting medicines for the treatment of idiopathic
cough; however, there is no extant systematic review of randomized controlled
trials (RCTs) that evaluated their efficacy and safety for the treatment of
idiopathic cough in human beings. METHODS: We conducted a series of definitive
systematic reviews and meta-analyses of RCTs. Claims data from the MEDLINE,
EMBASE, LILACS, CBM, CNKI, VIP, Wan Fang, and Cochrane Library databases were
used. We also reviewed articles and reference lists of relevant articles
pertaining to human subjects published prior to March 26, 2016. No language
restrictions were imposed. Two authors independently reviewed the titles and
abstracts of the retrieved studies, which were matched using Review Manager 5.3
software. Disagreements were resolved by consensus. The outcome data were the
number of subjects whose symptoms declined, measured by cough or Leicester Cough
Questionnaire (LCQ) score. Random effect meta-analyses were used to pool the
findings. Publication bias was assessed using funnel plots. RESULTS: Three RCTs,
regarding the medicines baclofen, amitriptyline, and gabapentin, were conducted
involving 92 persons in total. Our reviews confirmed that baclofen,
amitriptyline, and gabapentin show promise in the treatment of cough for select
cases of refractory chronic cough. After-treatment relief of cough symptoms was
significant (risk ratio =2.41; 95% CI: 1.15-5.04, n=84). Each of the medicines
was well tolerated with minimal side effects. Methodological biases in the design
and execution of cluster randomized trials might contribute to any selection bias
in this review. CONCLUSIONS: Baclofen, amitriptyline, and gabapentin may be
effective 'non-specific' antitussives in clinical settings, although none of them
are used in medical assessments or routinely included in the anatomic diagnostic
protocol.
PMID- 27867573
TI - "Ipsilateral, high, single-hand, sideways"-Ruijin rule for camera assistant in
uniportal video-assisted thoracoscopic surgery.
AB - Camera assistant plays a very important role in uniportal video-assisted
thoracoscopic surgery (VATS), who acts as the eye of the surgeon, providing the
VATS team with a stable and clear operating view. Thus, a good assistant should
cooperate with surgeon and manipulate the camera expertly, to ensure eye-hand
coordination. We have performed more than 100 uniportal VATS in the Department Of
Thoracic Surgery in Ruijin Hospital. Based on our experiences, we summarized the
method of holding camera, known as "ipsilateral, high, single-hand, sideways",
which largely improves the comfort and fluency of surgery.
PMID- 27867574
TI - Non-grasping en bloc mediastinal lymph node dissection through uniportal video
assisted thoracic surgery for lung cancer surgery.
AB - Uniportal video-assisted thoracic surgery (VATS) has been demonstrated to be
feasible, safe, and effective for lung cancer surgery compared with traditional
multi-portal VATS. However, complete mediastinal lymph node dissection (MLND)
through uniportal VATS is still a difficult work due to more limited access, and
most thoracic surgeons use strategies of grasping, accompanied with which are
complicated instrumentation and mutual interference among them. To simplify the
instrumentation and make MLND more comfortable, we developed the method of "non
grasping en bloc MLND" and applied it during uniportal VATS lung cancer surgery.
Two main instruments (suction and energy devices) were developed with diversified
use. And only the two instruments were used during MLND following the strategy of
"non-grasping". This technique incorporates with several advantages, such as:
simplified instrumentation, decreased risk of damages to lymph nodes, and en bloc
dissection. Considering the limited access, this technique fits well with the
uniportal procedure and truly interprets the concept of "less is more" during
uniportal VATS MLND.
PMID- 27867575
TI - Stepwise approaches to optimize strategy for holding thoracoscope during single
port video-assisted thoracoscopic surgery.
AB - Coordination between the thoracoscope assistant and the surgeon was difficult
during single port video-assisted thoracoscopic surgery (SP-VATS). What's more,
holding the thoracoscope was an exhausting work for the assistant and optimized
strategies were intensely needed. This paper aims to share our experience in
making the thoracoscope assistant feel comfortable by illustrating the stepwise
approaches in optimizing the strategies for holding the thoracoscope during our
practice of SP-VATS. The evolution of techniques were divided into four stages:
stage I, traditional 10-mm 30 degrees thoracoscope placed at the posterior part
of the incision; stage II, 5-mm thoracoscope towed and fixed via a silk suture;
stage III, 5-mm thoracoscope placed outside of a plastic wound protector; stage
IV, 5-mm thoracoscope introduced into the thoracic cavity through a 5-mm
laparoscopic trocar outside of a plastic wound protector and the assistant stood
at a foot-stool. After stepwise improvement, the thoracoscope assistant felt more
labor saving and comfortable, and coordination with the surgeon has become
smoother.
PMID- 27867576
TI - Resection with preserved histologic morphology of a rare tumour via bronchoscopic
cryosurgery.
AB - Tracheobronchial leiomyoma is a rare pulmonary neoplasm accounting for <2% of
benign tumours of the lower airway. Published case series reported bronchoscopic
resectability with laser ablation for lesions located in the large airway.
Surgery was performed for tumours with wide-based and tumours located in
segmental bronchus or lung parenchyma. This is the first reported case of
complete bronchoscopic cryoresection of leiomyoma arising from the subsegmental
bronchi and illustrating the cryopreservation of its histologic morphology. A 55
year-old Chinese male who was a life-long non-smoker presented with chronic
cough, left-sided chest pain and loss of weight. Chest radiograph showed left
lower lobe (LLL) collapse, with the accompanying computed tomography scan of the
thorax showing a non-enhancing soft tissue lesion in the LLL bronchus. Rigid
bronchoscopy was performed, with rigid forceps resection followed by cryosurgery
of the tumour to its base. Histology was consistent with a primary bronchial
leiomyoma. Surveillance bronchoscopy performed 6 months later revealed no tumour
recurrence. The patient also had complete resolution of his symptoms. Cryosurgery
is a promising treatment modality, in complement with conventional forceps
resection, for benign airway neoplasms.
PMID- 27867577
TI - When continuous positive airway pressure (CPAP) fails.
AB - Obstructive sleep apnoea (OSA) is increasingly prevalent, particularly in the
context of the obesity epidemic, and is associated with a significant social,
health and economic impact. The gold standard of treatment for moderate to severe
OSA is continuous positive airway pressure (CPAP). However compliance rates can
be low. Methodology to improve patient tolerance to CPAP alongside with
alternative, non-surgical and surgical, management strategies are discussed. All
patients that fail CPAP therapy would benefit from formal upper airway evaluation
by the otolaryngologist to identify any obvious causes and consider site-specific
surgical therapies. Patient selection is integral to ensuring successful
outcomes. A multidisciplinary team is needed to manage these patients.
PMID- 27867579
TI - Three-field lymph node dissection in treating the esophageal cancer.
AB - There are many controversies in lymphadenectomy for thoracic esophageal cancer,
and whether 3-field lymphadenectomy or 2-field lymphadenectomy is better have
still been in doubt. The aim of this article is to review the role of the lymph
node dissection by introducing the merits and demerits in 3-field
lymphadenectomy, and the development in lymphadenectomy's selection, treatment
and diagnosis. All the literatures related to esophageal lymphadenectomy and
minimally invasive surgery (MIE) were searched in PubMed database and the cross
references were added and reviewed to complete the reference list. Several
researches elucidated that better overall survival (OS) in patients with
esophageal cancer after 3-field lymphadenectomy had been reported worldwide, and
3-field lymphadenectomy is more suitable for treating esophageal cancer with
cervical and/or upper mediastinal lymph nodes metastasis than 2-field
lymphadenectomy regardless of the tumor's histology and location. Many approaches
based on the characteristics of esophageal cancer lymph node metastasis are taken
to improve the accuracy of 3-field lymphadenectomy and decrease the postoperative
morbidity and mortality, while every approach needs further studies to
demonstrate its feasibility. The benefits of the recently rapid-developed
techniques performed in treating esophageal cancer: the MIE and the robotic
assisted thoracoscopic esophagectomy are illuminated as well, and both of them
are technically safe and feasible for esophageal cancer, whereas further
evaluations are still necessary.
PMID- 27867578
TI - Relationships between chronic obstructive pulmonary disease and lung cancer:
biological insights.
AB - Lung cancer (LC) has become one of the leading causes of preventable death in the
last few decades. Cigarette smoking (CS) stays as the main etiologic factor of LC
despite that many other causes such as occupational exposures, air pollution,
asbestos, or radiation have also been implicated. Patients with chronic
obstructive pulmonary disease (COPD), which also represents a major cause of
morbidity and mortality in developed countries, exhibit a significantly greater
risk of LC. The study of the underlying biological mechanisms that may predispose
patients with chronic respiratory diseases to a higher incidence of LC has also
gained much attention in the last few years. The present review has been divided
into three major sections in which different aspects have been addressed: (I)
relevant etiologic agents of LC; (II) studies confirming the hypothesis that COPD
patients are exposed to a greater risk of developing LC; and (III) evidence on
the most relevant underlying biological mechanisms that support the links between
COPD and LC. Several carcinogenic agents have been described in the last decades
but CS remains to be the leading etiologic agent in most geographical regions in
which the incidence of LC is very high. Growing evidence has put the line forward
the implications of COPD and especially of emphysema in LC development. Hence,
COPD represents a major risk factor of LC in patients. Different avenues of
research have demonstrated the presence of relevant biological mechanisms that
may predispose COPD patients to develop LC. Importantly, the so far identified
biological mechanisms offer targets for the design of specific therapeutic
strategies that will further the current treatment options for patients with LC.
Prospective screening studies, in which patients with COPD should be followed up
for several years will help identify biomarkers that may predict the risk of LC
among these patients.
PMID- 27867580
TI - Understanding and managing in-stent restenosis: a review of clinical data, from
pathogenesis to treatment.
AB - The lumen diameter reduction after percutaneous coronary intervention (PCI) is
well known as "restenosis". This phenomenon is due to vessel remodeling/recoil in
case of no-stent strategy or, in case of stent employ, "neointimal proliferation"
that consists in an excessive tissue proliferation in the luminal surface of the
stent otherwise by a further new-occurring atherosclerotic process called
"neoatherosclerosis". The exact incidence of in-stent restenosis (ISR) is not
easy to determine caused by different clinical, angiographic and operative
factors. In the pre-stent era the occurrence of restenosis ranged between 32-55%
of all angioplasties, and drop to successively 17-41% in the bare metal stents
(BMS) era. The advent of drug-eluting stent (DES), especially 2nd generation, and
drug-coated balloon (DCB) further reduce restenosis rate until <10%. We here
review the main characteristics of this common complication of coronary
interventions, from its pathogenesis to the most appropriate treatment strategy.
PMID- 27867581
TI - The diseases of airway-tracheal diverticulum: a review of the literature.
AB - Tracheal diverticulum (DV) is a type of paratracheal air cyst (PTAC) that is
often asymptomatic and usually detected incidentally by imaging methods. Tracheal
DV are divided into two subgroups: congenital and acquired. Dysphagia,
odynophagia, neck pain, hoarseness, hemoptysis, choking, and recurrent episodes
of hiccups and burping can also be seen in symptomatic patients. Thin-section
multidetector computed tomography (MDCT) is useful for diagnosis of tracheal
diverticulum. The relationship between DV and tracheal lumen can be demonstrated
by axial, coronal, and sagittal reformat multiplanar images. Bronchoscopy can
also be used in diagnosis for tracheal DV. However, the connection between DV and
tracheal lumen can not be shown easily with bronchoscopy. Conservative treatment
is the preferred treatment in asymptomatic patients. Surgical or conservative
treatment can be performed for symptomatic patients, depending on patient age and
physical condition.
PMID- 27867582
TI - Central airway tumors: interventional bronchoscopy in diagnosis and management.
AB - The diagnosis of central airway tumors is usually challenging because of the
vague presentations. Advances in visualization technology in bronchoscopy aid
early detection of bronchial lesion. Cryotechnology has great impact on
endobronchial lesion sampling and provides better diagnostic yield. Airway tumor
involvements result in significant alteration in life quality and lead to poor
life expectancy. Timely and efficiently use ablation techniques by heat or cold
energy provide symptoms relief for central airway obstruction. Prostheses
implantation is effective in maintaining airway patency after ablative procedure
or external compression. Combined interventional bronchoscopy modalities and
other adjunctive therapies have improvement in quality of life and further
benefit in survival. This review aims to provide a diagnostic approach to central
airway tumors and an overview of currently available techniques of interventional
bronchoscopy in managing symptomatic central airway obstruction.
PMID- 27867584
TI - Birt-Hogg-Dube syndrome accompanied by pulmonary arteriovenous malformation.
AB - A 25-year-old male with Birt-Hogg-Dube (BHD) syndrome who developed hemothorax
caused by ruptured of pulmonary arteriovenous malformation was reported. The
patient was admitted to the hospital due to chest pain. A chest X-ray showed
pleural fluid in his left lung, and a chest CT showed the presence of a tumor
with enhanced contrast in the lower left lobe of approximately 5 cm in a
diameter. Pleural fluid was collected by tap and indicated the presence of blood;
therefore, hemothorax was suspected. Thoracoscopic surgery was performed to
remove the mass for homostasis. Pathological findings indicated that the resected
tumor-like lesion was an intrapulmonary hematoma, and aggregated vascular vessels
of various diameters forming incomplete media elastic lamina was observed around
the hematoma; therefore, it was diagnosed as pulmonary arteriovenous
malformation. Family history of the patient indicated the presence of
pneumothorax and malignant diseases, and the patient presented with papules and
multiple pulmonary cysts. The genetic test revealed a deletion of exon 11 of the
BHD gene; therefore, the patient was diagnosed with BHD syndrome. This report is
the first to describe BHD syndrome accompanied by pulmonary arteriovenous
malformation.
PMID- 27867583
TI - Acute respiratory distress syndrome after cardiac surgery.
AB - Acute respiratory distress syndrome (ARDS) is a leading cause of postoperative
respiratory failure, with a mortality rate approaching 40% in the general
population and 80% in the subset of patients undergoing cardiac surgery. The
increased risk of ARDS in these patients has traditionally been associated with
the use of cardiopulmonary bypass (CPB), the need for blood product transfusions,
large volume shifts, mechanical ventilation and direct surgical insult. Indeed,
the impact of ARDS in the cardiac population is substantial, affecting not only
survival but also in-hospital length of stay and long-term physical and
psychological morbidity. No patient undergoing cardiac surgery can be considered
ARDS risk-free. Early identification of those at higher risk is crucial to
warrant the adoption of both surgical and non-surgical specific preventative
strategies. The present review focuses on epidemiology, risk assessment,
pathophysiology, prevention and management of ARDS in the specific setting of
patients undergoing cardiac surgery.
PMID- 27867585
TI - Successful treatment of suspected organizing pneumonia in a patient with Middle
East respiratory syndrome coronavirus infection: a case report.
AB - A 54-year-old man with Middle East respiratory syndrome coronavirus (MERS-CoV)
infection was transferred to our hospital. We initiated anti-viral drugs and
supportive care. The patient's fever and chills disappeared 3 days after
admission and the results of serial follow-up reverse transcription-polymerase
chain reaction testing for MERS-CoV was negative soon thereafter. He was
discharged from the hospital 14 days after admission with no symptoms; however,
he presented with a fever 7 days after discharge and was re-hospitalized. Chest
radiographs showed newly developed consolidative opacity. His fever persisted for
3 days after commencing empirical antibiotics. Subsequent contrast-enhanced
computed tomography (CT) of the chest showed focal patchy airspace consolidation
and ground-glass opacities (GGOs) in a subpleural lesion of the right lower and
left upper lobes, which was indicative of organizing pneumonia. We initiated
empirical corticosteroid treatment for this illness, and his fever markedly
subsided 1 day later. A chest radiograph showed improvement in the lung lesions,
and he was discharged from the hospital 10 days after re-admission. The
corticosteroid dose was gradually tapered over 2 months at the outpatient clinic,
and a follow-up CT scan showed complete resolution of the consolidation and GGOs.
PMID- 27867586
TI - Autofluorescence imaging bronchoscopy as a novel approach to the management of
tracheobronchopathia osteochondroplastica: a case report.
AB - Tracheobronchopathia osteochondroplastica (TO) is not only rare but also presents
highly varied and unpredictable clinical manifestations. Consequently, the
management and treatment strategies remain unclear. An accurate evaluation tool
is important for the management of individual patients in the absence of standard
guidelines. Although bronchoscopy is the gold standard for diagnosis, it cannot
satisfactorily detect the treatment response and disease progression because
subtle mucosal changes can go undetected. Therefore, improved techniques that can
detect subtle mucosal changes associated with TO are desirable. Autofluorescence
imaging bronchoscopy (AFI) is a recently introduced advanced endoscopic
technology that can detect subtle mucosal changes with the aid of different
colors. Here we report the first case, to the best of our knowledge, involving a
42-year-old man with TO in whom tracheal involvement was evaluated by AFI and
detected as the appearance of a magenta color.
PMID- 27867587
TI - Acute chest pain in a triathlete: rupture of the noncoronary sinus of Valsalva
into the right ventricle.
AB - Sinus of Valsalva (SoV) aneurysm is a rare cardiac disease which can be
congenital or acquired. A ruptured SoV aneurysm presents a clinical emergency and
can lead to progressively deteriorating heart failure. Therefore, appropriate
treatment requires prompt and accurate diagnosis. Most ruptured or nonruptured
SoV aneurysms are diagnosed by the means of echocardiography. However,
cardiovascular magnetic resonance (CMR) can provide information on precise
anatomy and mechanisms due to its excellent soft tissue contrast and high
resolution, and thereby allows for optimized preoperative planning of the repair
strategy. In the present case, we demonstrate the benefit of CMR in emergency
settings.
PMID- 27867588
TI - An unusual case of incomplete Carney triad: an 18-year-old girl suffering from
multiple benign tumors.
AB - Carney triad is a rare syndrome that involves gastrointestinal stromal tumor
(GIST), pulmonary chondroma and extra-adrenal paraganglioma. Patients presenting
GIST and pulmonary chondroma account for 72.7% of all incomplete Carney triad
cases. Clinically, it is mainly diagnosed by radiological images and pathological
results. Some studies have elucidated the pathogenesis of Carney triad. Surgical
resection is the preferred treatment for Carney triad. Generally speaking, the
prognosis of patients with Carney triad has been satisfied. According to current
reports, the rate of 40-year survival is up to 73%. The case reports an 18-year
old girl suffering from pulmonary chondroma and posterior mediastinal
paraganglioma, simultaneously with pulmonary hamartoma, breast fibroma and lower
limb chondroma.
PMID- 27867589
TI - Bruton's agammaglobulinemia in an adult male due to a novel mutation: a case
report.
AB - X-linked agammaglobulinemia (XLA) is caused by mutation in the gene coding for
Bruton's tyrosine kinase (BTK), which impairs peripheral B cell maturation and
hypogammaglobulinemia. In this report, we present a case of XLA in a 22-year-old
adult male. Genetic testing revealed a novel mutation located at the conserved
region (c.383T>C). The patient had a history of recurrent respiratory tract
infection which eventually progressed to chronic type II respiratory failure.
Several pathogenic bacteria were isolated on culture of respiratory secretions
obtained on bronchoscopy. The patient improved on treatment with antibiotics.
PMID- 27867590
TI - Prosthetic valve endocarditis after transcatheter aortic valve implantation
diagnostic and surgical considerations.
AB - Prosthetic valve endocarditis (PVE) after transcatheter aortic valve implantation
(TAVI) or surgical aortic valve replacement (SAVR) is a potential life
threatening complication. Better understanding of the incidence, predictors,
clinical presentation, diagnostic measures, complications and management of PVE
may help improve TAVI long-term outcome. We report a case of TAVI-PVE in an 80
year-old high risk patient in whom SAVR was successfully performed. We have
reviewed literature regarding TAVI-PVE.
PMID- 27867591
TI - Anastomotic leak: an early complication with potentially long-term consequences.
PMID- 27867592
TI - How to deal with subcentimeter lung cancer: a moving target!
PMID- 27867593
TI - Duration of dual antiplatelet therapy (DAPT): a call for personalized medicine.
PMID- 27867594
TI - Onsite cardiac surgery standby during transcatheter aortic valve implantation:
when and why.
PMID- 27867595
TI - Significance of depression in family caregivers of critically ill patients
discharged home.
PMID- 27867596
TI - Does high-dose perioperative use of statins ameliorate acute kidney injury
following cardiac surgery?
PMID- 27867597
TI - From self-awareness to self-actualization: empowering sepsis survivors to a
meaningful and enduring recovery.
PMID- 27867598
TI - Timing of RRT initiation in critically-ill patients: time for precision medicine.
PMID- 27867599
TI - Myocardial revascularization: do age and sex matter?
PMID- 27867600
TI - Emerging strategies for the treatment of advanced small cell lung cancer.
PMID- 27867601
TI - Efficacy and safety of nivolumab combined with standard therapies for first-line
therapy of advanced non-small cell lung cancer.
PMID- 27867602
TI - Lung cancer screening: not all nodules are created equal.
PMID- 27867603
TI - Left atrial appendage exclusion for atrial fibrillation: does the protection from
stroke prevail in the long-term?
PMID- 27867604
TI - Best percutaneous coronary intervention approach for small caliber coronary
arteries.
PMID- 27867605
TI - Should we apply "early" initiation of renal replacement therapy to critically ill
patients with acute kidney injury?
PMID- 27867606
TI - Robotic assisted lung resection needs further evidence.
PMID- 27867607
TI - Liquid biopsy in the practice of neo-oncology.
PMID- 27867608
TI - Changes in biometry and cerebroplacental hemodynamics in fetuses with congenital
heart diseases.
PMID- 27867609
TI - Editorial on the article entitled "brigatinib efficacy and safety in patients
with anaplastic lymphoma kinase (ALK)-positive non-small cell lung cancer in a
phase I/II trial".
PMID- 27867610
TI - Clinical efficacy of EGFR-TKIs in combination with chemotherapy in patients with
advanced non-small cell lung cancer harboring EGFR mutations.
PMID- 27867611
TI - High-flow nasal cannula oxygen therapy: more than a higher amount of oxygen
delivery.
PMID- 27867612
TI - New guidelines on duration of dual antiplatelet therapy in patients with coronary
artery disease: what's the novelty?
PMID- 27867613
TI - Implementing computed tomography-based lung cancer screening in the community.
PMID- 27867614
TI - Anti-arrhythmics in out-of-hospital cardiac arrest: lessons from a randomized
controlled trial.
PMID- 27867616
TI - Measures of outcome in lung cancer screening: maximising the benefits.
PMID- 27867615
TI - Current evidence in support of the second-generation anaplastic lymphoma kinase
(ALK) tyrosine kinase inhibitor alectinib for the treatment of non-small cell
lung cancer positive for ALK translocation.
PMID- 27867617
TI - Bleeding in continuous flow left ventricular assist device recipients: an
acquired vasculopathy?
PMID- 27867618
TI - Bioresorbable scaffolds and drug-eluting balloons for the management of
spontaneous coronary artery dissections.
PMID- 27867619
TI - Editorial on the article entitled "the impact of intimal tear location and
partial false lumen thrombosis in acute type b aortic dissection".
PMID- 27867620
TI - Myocarditis with very high troponins: risk stratification by cardiac magnetic
resonance.
PMID- 27867621
TI - Von Willebrand factor, paravalvular leak, and a new vista for TAVR.
PMID- 27867622
TI - Early enteral nutrition still has advantages in patients undergoing
pancreaticoduodenectomy.
PMID- 27867623
TI - The APPS: an outcome score for the acute respiratory distress syndrome.
PMID- 27867624
TI - Immunotherapy and lung cancer: from therapeutic cancer vaccination to novel
approaches.
PMID- 27867625
TI - Coronary bifurcation lesions: is less more?
PMID- 27867626
TI - Perspectives and implications of the new sepsis clinical practice guidelines.
PMID- 27867627
TI - Endovascular stent graft repair of the ascending aorta-final frontier in the
endovascular treatment of the aorta.
PMID- 27867628
TI - Radiosensitivity in the breast cancer management scenario: another step forward?
PMID- 27867629
TI - A novel function of IL-33: suppression of innate antiviral immunity.
PMID- 27867630
TI - MELK kinase holds promise as a new radiosensitizing target and biomarker in
triple-negative breast cancer.
PMID- 27867631
TI - Optimizing the lung cancer screening interval: the world is waiting.
PMID- 27867632
TI - The modest outcome of clinical trials with bone marrow cells for myocardial
repair: is the autologous source of cells the prime culprit?
PMID- 27867633
TI - A crucial first randomized controlled trial of thymectomy in non-thymomatous
myasthenia gravis.
PMID- 27867634
TI - Quality of life in adults with congenital heart disease: what matters?
PMID- 27867635
TI - Efficacy of crizotinib in ALK fusion variants.
PMID- 27867636
TI - Oligometastases to the liver: predicting outcomes based upon radiation
sensitivity.
PMID- 27867637
TI - Harnessing plasma genotyping for precision therapy against lung cancer.
PMID- 27867639
TI - Using sound advice-intravascular ultrasound as a diagnostic tool.
PMID- 27867638
TI - The appropriate use of risk scores in the prediction of atrial fibrillation.
PMID- 27867640
TI - "The scaffolding must be removed once the house is built"-spontaneous coronary
artery dissection and the potential of bioresorbable scaffolds.
PMID- 27867641
TI - Role of adjuvant therapy in early-stage small-cell lung cancer: comment on a
population-based cohort study of patients with early-stage small-cell lung
cancer.
PMID- 27867642
TI - More options, more considerations: how new treatment options influence clinical
decision making.
PMID- 27867643
TI - High salt diet and caffeine: food for thought.
PMID- 27867644
TI - Contemporary drug-eluting stents and companion polymers: durable is not
synonymous with harm.
PMID- 27867645
TI - Management of screening-detected stage I lung cancer.
PMID- 27867646
TI - Commentary on "Randomized trial of thymectomy in myasthenia gravis".
PMID- 27867647
TI - The omentum flap for empyema treatment: indications and disadvantages.
PMID- 27867648
TI - Firm evidence of complete revascularization with culprit and target vessel
revascularization only after ST-segment elevation myocardial infarction.
PMID- 27867649
TI - Minimising patient harm whilst gaining surgical proficiency.
PMID- 27867650
TI - Reducing reintubation and postextubation respiratory failure: improving high-flow
oxygen support performance.
PMID- 27867652
TI - Is it possible to terminate resuscitation in accordance with the termination of
resuscitation rule?
PMID- 27867651
TI - Dexmedetomidine, agitated delirium, and "off-label" drugs.
PMID- 27867653
TI - Appreciating the shades of gray: a case for Computer-Aided Nodule Assessment and
Risk Yield (CANARY)-based risk stratification of lung adenocarcinomas.
PMID- 27867654
TI - Patient-prosthesis mismatch: surgical aortic valve replacement versus
transcatheter aortic valve replacement in high risk patients with aortic
stenosis.
AB - Patient prosthesis mismatch (PPM) can occur when a prosthetic aortic valve has an
effective orifice area (EOA) less than that of a native valve. A recent study by
Zorn and colleagues evaluated the incidence and significance of PPM in high risk
patients with severe aortic stenosis who were randomized to transcatheter aortic
valve replacement (TAVR) or surgical aortic valve replacement (SAVR). TAVR is
associated with decreased incidence of severe PPM compared to traditional SAVR
valves. Severe PPM increases risk for death at 1 year postoperatively in high
risk patients. The increased incidence of PPM is largely due to differences in
valve design and should encourage development of newer SAVR valves to reduce risk
for PPM. In addition more vigorous approaches to root enlargement in small
annulus should be performed with SAVR to prevent PPM.
PMID- 27867656
TI - Erratum to cardiac obstruction secondary to metastatic invasion - A rare
complication of Human Herpes Virus 8-positive plasmablastic lymphoma in acquired
immunodeficiency syndrome.
AB - [This corrects the article on p. 425 in vol. 4, PMID: 22934146.].
PMID- 27867655
TI - Veno-arterial extracorporeal membrane oxygenation (VA ECMO) in postcardiotomy
cardiogenic shock: how much pump flow is enough?
AB - Post-cardiotomy cardiogenic shock (PCCS) is a complication of heart surgery
associated with a poor prognosis: veno-arterial extracorporeal membrane
oxygenation (VA ECMO) ensures end-organ perfusion while fully replacing heart and
lung function, though it is associated with unsatisfactory results. Few studies
have identified reliable predictors of poor prognosis early in the course of
extracorporeal support. A recent study showed the strong prognostic power of
urine output in the first 24 hours of VA ECMO in predicting early and late
mortality of PCCS. Urine output is a commonly collected parameter in all
intensive care units (ICU) and has a defined role in the diagnosis of acute
kidney injury (AKI) and is inexpensive. These findings offer the possibility to
summarize some aspects regarding the adequacy of extracorporeal support early in
the course of cardiogenic shock and to shed light about cardio-renal interactions
in ECMO patients. Finally, it is our opinion that a timely implantation of
mechanical circulatory support in post cardiotomy shock should be considered if
systemic perfusion is not ensured by low or medium dose inotropic support and
intra-aortic balloon counterpulsation.
PMID- 27867657
TI - Method of Quantifying Size of Retinal Hemorrhages in Eyes with Branch Retinal
Vein Occlusion Using 14-Square Grid: Interrater and Intrarater Reliability.
AB - Purpose. To describe a method of quantifying the size of the retinal hemorrhages
in branch retinal vein occlusion (BRVO) and to determine the interrater and
intrarater reliabilities of these measurements. Methods. Thirty-five fundus
photographs from 35 consecutive eyes with BRVO were studied. The fundus images
were analyzed with Power-Point(r) software, and a grid of 14 squares was laid
over the fundus image. Raters were asked to judge the percentage of each of the
14 squares that was covered by the hemorrhages, and the average of the 14 squares
was taken to be the relative size of the retinal hemorrhage. Results. Interrater
reliability between three raters was higher when a grid with 14 squares was used
(intraclass correlation coefficient (ICC), 0.96) than that when a box with no
grid was used (ICC, 0.78). Intrarater reliability, which was calculated by the
retinal hemorrhage area measured on two different days, was also higher (ICC,
0.97) than that with no grid (ICC, 0.86). Interrater reliability for five fundus
pictures with poor image quality was also good when a grid with 14 squares was
used (ICC, 0.88). Conclusions. Although our method is subjective, excellent
interrater and intrarater reliabilities indicate that this method can be adapted
for clinical use.
PMID- 27867658
TI - Man versus Machine: Software Training for Surgeons-An Objective Evaluation of
Human and Computer-Based Training Tools for Cataract Surgical Performance.
AB - This study aimed to address two queries: firstly, the relationship between two
cataract surgical feedback tools for training, one human and one software based,
and, secondly, evaluating microscope control during phacoemulsification using the
software. Videos of surgeons with varying experience were enrolled and
independently scored with the validated PhacoTrack motion capture software and
the Objective Structured Assessment of Cataract Surgical Skill (OSACCS) human
scoring tool. Microscope centration and path length travelled were also evaluated
with the PhacoTrack software. Twenty-two videos correlated PhacoTrack motion
capture with OSACCS. The PhacoTrack path length, number of movements, and total
procedure time were found to have high levels of Spearman's rank correlation of
0.6792619 (p = 0.001), -0.6652021 (p = 0.002), and -0.771529 (p = 0001),
respectively, with OSACCS. Sixty-two videos evaluated microscope camera control.
Novice surgeons had their camera off the pupil centre at a far greater mean
distance (SD) of 6.9 (3.3) mm, compared with experts of 3.6 (1.6) mm (p ? 0.05).
The expert surgeons maintained good microscope camera control and limited total
pupil path length travelled 2512 (1031) mm compared with novices of 4049 (2709)
mm (p ? 0.05). Good agreement between human and machine quantified measurements
of surgical skill exists. Our results demonstrate that surrogate markers for
camera control are predictors of surgical skills.
PMID- 27867659
TI - Ganglion Cell-Inner Plexiform Layer, Peripapillary Retinal Nerve Fiber Layer, and
Macular Thickness in Eyes with Myopic beta-Zone Parapapillary Atrophy.
AB - Purpose. To assess the correlations of myopic beta-zone parapapillary atrophy
(beta-PPA) with the optic nerve head (ONH) and retina. Methods. We selected 27
myopic patients who showed prominent beta-PPA in one eye and no beta-PPA in the
other eye. We studied their macula, macular ganglion cell-inner plexiform layer
(mGCIPL), peripapillary retinal nerve fiber layer (pRNFL) thickness, and ONH
parameters using optical coherence tomography. Results. The average of five out
of six sectors and minimum values of mGCIPL thicknesses in eyes with prominent
beta-PPA discs were significantly less than those of the control eyes. The
results of clock-hour sector analyses showed significant differences for pRNFL
thickness in one sector. In the ONH analyses, no significant difference was
observed between myopic beta-PPA and control eyes. The macular thickness of the
beta-PPA eyes was thinner than control eyes in all sectors. There was a
significant difference between the two groups in three sectors (the inner
superior macula, inner temporal macula, and inner inferior macula) but there was
no significant difference in the other sectors, including the fovea. Conclusions.
The myopic beta-PPA eyes showed thinner mGCIPL, parafovea, and partial pRNFL
layers compared with myopic eyes without beta-PPA.
PMID- 27867660
TI - Corneal Aberrations, Contrast Sensitivity, and Light Distortion in
Orthokeratology Patients: 1-Year Results.
AB - Purpose. To evaluate the corneal higher-order aberrations (HOA), contrast
sensitivity function (CSF), and light distortion (LD) in patients undergoing
orthokeratology (OK). Methods. Twenty healthy subjects (mean age: 21.40 +/- 8
years) with mean spherical equivalent refractive error M = -2.19 +/- 0.97 D were
evaluated at 1 day, 1 month, and 1 year after starting OK treatment. Monocular
LD, photopic monocular CSF, and corneal HOA for 6 mm pupil size were measured.
Results. LD showed an increase after the first night (p < 0.05) and recovery to
baseline after 1 month, remaining stable after 1 year (p > 0.05). Spherical-like,
coma-like, and secondary astigmatism HOA RMS increased significantly (p <= 0.022)
from baseline to 1-month visit, remaining unchanged over the follow-up. Contrast
sensitivity for medium frequencies (3.0, 4.24, and 6.00 cpd) was significantly
correlated with LD parameters at baseline (r <= -0.529, p < 0.001). However,
after 1 year of treatment, this correlation was only statistically significant
for 12 cpd spatial frequency (r <= -0.565, p < 0.001). Spherical-like RMS for 6
mm pupil size correlated with irregularity of the LD (r = -0.420, p < 0.05) at
the 1-year visit. Conclusion. LD experienced by OK patients recovers after one
month of treatment and remains stable in the long term while optical aberrations
remain significantly higher than baseline.
PMID- 27867661
TI - Insights into Adherence among a Cohort of Adolescents Aged 12-20 Years in South
Africa: Reported Barriers to Antiretroviral Treatment.
AB - Adolescents experience disproportionately high rates of poor ART outcomes
compared to adults despite prolonged use of antiretroviral therapy in Southern
African treatment programs, presenting a significant challenge to national
attempts to meet the UNAIDS 90-90-90 targets for 2020. This cohort study among
adolescents aged 12-20 years accessing ART care at two urban public-sector
clinics in Johannesburg between September and November 2013 aimed to identify
factors potentially associated with poor attendance at clinic visits. Patients
were followed up through routine medical records to identify missed visits
(failing to attend clinic within 30 days of scheduled visit date) up to 2 years
after enrolment. We enrolled 126 adolescents on ART for a median of 6.3 years
(IQR: 2.7-8.4). A total of 47 (38%) adolescents missed a scheduled visit within
24 months of enrolment. Older adolescents (18-20 years) were more likely to miss
a visit compared to adolescents aged 12-14 years (risk ratio (RR) = 1.72; 95% CI:
1.00-2.95). Those who were identified to have difficulty in taking medication (RR
= 1.57; 95% CI: 1.13-2.18) as a barrier to care were more likely to miss a visit
compared to adolescents who did not. Awareness of treatment fatigue, challenges
to taking ART, and caregiver difficulties is important when considering
interventions to improve treatment outcomes among adolescents.
PMID- 27867662
TI - Death Anxiety, Reliability, Validity, and Factorial Structure of the Farsi Form
of the Arabic Scale of Death Anxiety in Iranian Old-Aged Persons.
AB - The present study is aimed at examining the level of death anxiety and the sex
related differences among old-aged Iranian individuals sample to compare the old
aged persons with young college students and to explore the psychometric
properties of the Arabic Scale of Death Anxiety (ASDA) factors in old-aged
sample. A sample of 146 volunteer Iranian individuals took part in the study. The
mean ages were 68.58 (SD = 7.10), men 68.81 (SD = 7.44) and women 68.28 (SD =
6.76), respectively. The mean score of the ASDA was 51.09 (SD = 20.19).
Cronbach's alpha of the ASDA was found to be high (0.94); and Spearman-Brown
coefficient was 0.92. Women had a significantly higher mean total score on the
ASDA. Old-aged individuals had a significantly higher mean ASDA total score than
younger college students (M age = 25.77). The factor analysis of the ASDA items
yielded three factors accounting for 67.88% of the total variance labeled (F1)
fear of dead people and tombs; (F2) fear of lethal disease and postmortem events;
and (F3) death fear. These factors were highly replicable with previous factors
extracted from a middle-aged Kuwaiti sample. On the basis of the present results,
there are the following three general conclusions: death anxiety is not
significantly correlated with age; the sex-related differences on death anxiety
are striking in the Iranian samples; and the ASDA has a highly replicable factor
structure among two Iranian and Arab countries.
PMID- 27867663
TI - Dry Powder Inhalers: A Focus on Advancements in Novel Drug Delivery Systems.
AB - Administration of drug molecules by inhalation route for treatment of respiratory
diseases has the ability to deliver drugs, hormones, nucleic acids, steroids,
proteins, and peptides, particularly to the site of action, improving the
efficacy of the treatment and consequently lessening adverse effects of the
treatment. Numerous inhalation delivery systems have been developed and studied
to treat respiratory diseases such as asthma, COPD, and other pulmonary
infections. The progress of disciplines such as biomaterials science,
nanotechnology, particle engineering, molecular biology, and cell biology permits
further improvement of the treatment capability. The present review analyzes
modern therapeutic approaches of inhaled drugs with special emphasis on novel
drug delivery system for treatment of various respiratory diseases.
PMID- 27867664
TI - The Case for Musical Instrument Training in Cerebral Palsy for
Neurorehabilitation.
AB - Recent imaging studies in cerebral palsy (CP) have described several brain
structural changes, functional alterations, and neuroplastic processes that take
place after brain injury during early development. These changes affect motor
pathways as well as sensorimotor networks. Several of these changes correlate
with behavioral measures of motor and sensory disability. It is now widely
acknowledged that management of sensory deficits is relevant for rehabilitation
in CP. Playing a musical instrument demands the coordination of hand movements
with integrated auditory, visual, and tactile feedback, in a process that
recruits multiple brain regions. These multiple demands during instrument
playing, together with the entertaining character of music, have led to the
development and investigation of music-supported therapies, especially for
rehabilitation with motor disorders resulting from brain damage. We review
scientific evidence that supports the use of musical instrument playing for
rehabilitation in CP. We propose that active musical instrument playing may be an
efficient means for triggering neuroplastic processes necessary for the
development of sensorimotor skills in patients with early brain damage. We
encourage experimental research on neuroplasticity and on its impact on the
physical and personal development of individuals with CP.
PMID- 27867665
TI - Dose-Dependent Differential Effect of Neurotrophic Factors on In Vitro and In
Vivo Regeneration of Motor and Sensory Neurons.
AB - Although peripheral axons can regenerate after nerve transection and repair,
functional recovery is usually poor due to inaccurate reinnervation. Neurotrophic
factors promote directional guidance to regenerating axons and their selective
application may help to improve functional recovery. Hence, we have characterized
in organotypic cultures of spinal cord and dorsal root ganglia the effect of
GDNF, FGF-2, NGF, NT-3, and BDNF at different concentrations on motor and sensory
neurite outgrowth. In vitro results show that GDNF and FGF-2 enhanced both motor
and sensory neurite outgrowth, NGF and NT-3 were the most selective to enhance
sensory neurite outgrowth, and high doses of BDNF selectively enhanced motor
neurite outgrowth. Then, NGF, NT-3, and BDNF (as the most selective factors) were
delivered in a collagen matrix within a silicone tube to repair the severed
sciatic nerve of rats. Quantification of Fluorogold retrolabeled neurons showed
that NGF and NT-3 did not show preferential effect on sensory regeneration
whereas BDNF preferentially promoted motor axons regeneration. Therefore, the
selective effects of NGF and NT-3 shown in vitro are lost when they are applied
in vivo, but a high dose of BDNF is able to selectively enhance motor neuron
regeneration both in vitro and in vivo.
PMID- 27867667
TI - Spontaneous Renal Artery Dissection in a Patient with Neurofibromatosis Type I.
AB - We present a case of spontaneous renal artery dissection (SRAD) in a 28-year-old
female with history of neurofibromatosis type I (NF-1) treated successfully with
endovascular stenting. The clinical presentation, diagnostic testing, and
treatment options are discussed. An endovascular approach with stenting was
successfully performed after failure of medical treatment with subcutaneous low
molecular weight heparin. Patient's blood pressure and symptoms improved
significantly. This may be the first reported case of SRAD in a patient with NF-1
successfully treated with endovascular stenting.
PMID- 27867668
TI - A Rare Clinical Course of Seronegative Pulmonary-Renal Syndrome.
AB - Purpose. Pulmonary-renal syndrome (PRS) is characterized by diffuse alveolar
hemorrhage and rapidly progressive glomerulonephritis mainly due to autoimmune
etiologies. Seronegative PRS is a challenging entity to the clinician, since
early diagnosis may be missed leading to delayed appropriate treatment. Materials
and Methods. We present the clinical course of a 77-year-old patient who was
admitted under the suspected diagnosis of pneumogenic sepsis and septic renal
failure with fever, dyspnea, and elevated CRP levels. The diagnosis of pulmonary
renal syndrome was initially missed because of the absence of autoantibodies in
all serological findings. Results. Despite delayed initiation of
immunosuppressive therapy and a prolonged period of dialysis and extracorporeal
membrane oxygenation the patient recovered well and was released to a
rehabilitation center with nearly normalized creatinine levels. The diagnosis of
PRS was established by renal biopsy. Conclusion. This case illustrates the
important differential diagnosis of seronegative pulmonary-renal syndrome in
patients with pulmonary and renal impairment.
PMID- 27867669
TI - Simplifying the Treatment of Bone Atrophy in the Posterior Regions: Combination
of Zygomatic and Wide-Short Implants-A Case Report with 2 Years of Follow-Up.
AB - The rehabilitation of maxillary and mandibular bone atrophy represents one of the
main challenges of modern oral implantology because it requires a variety of
procedures, which not only differ technically, but also differ in their results.
In the face of limitations such as deficiencies in the height and thickness of
the alveolar structure, prosthetic rehabilitation has sought to avoid large bone
reconstruction through bone grafting; this clinical behavior has become a
treatment system based on evidence from clinical scientific research. In the
treatment of atrophic maxilla, the use of zygomatic implants has been safely
applied as a result of extreme technical rigor and mastery of this surgical
skill. For cases of posterior mandibular atrophy, short implants with a large
diameter and a combination of short and long implants have been recommended to
improve biomechanical resistance. These surgical alternatives have demonstrated a
success rate similar to that of oral rehabilitation with the placing of
conventional implants, allowing the adoption of immediate loading protocol, a
decrease in morbidity, simplification and speed of the treatment, and cost
reduction. This case report presents complete oral rehabilitation in a patient
with bilateral bone atrophy in the posterior regions of the maxilla and mandible
with the goal of developing and increasing posterior occlusal stability during
immediate loading.
PMID- 27867666
TI - Plasma Membrane Targeting of Protocadherin 15 Is Regulated by the Golgi
Associated Chaperone Protein PIST.
AB - Protocadherin 15 (PCDH15) is a core component of hair cell tip-links and crucial
for proper function of inner ear hair cells. Mutations of PCDH15 gene cause
syndromic and nonsyndromic hearing loss. At present, the regulatory mechanisms
responsible for the intracellular transportation of PCDH15 largely remain
unknown. Here we show that PIST, a Golgi-associated, PDZ domain-containing
protein, interacts with PCDH15. The interaction is mediated by the PDZ domain of
PIST and the C-terminal PDZ domain-binding interface (PBI) of PCDH15. Through
this interaction, PIST retains PCDH15 in the trans-Golgi network (TGN) and
reduces the membrane expression of PCDH15. We have previously showed that PIST
regulates the membrane expression of another tip-link component, cadherin 23
(CDH23). Taken together, our finding suggests that PIST regulates the
intracellular trafficking and membrane targeting of the tip-link proteins CDH23
and PCDH15.
PMID- 27867670
TI - Lymphoma Heterogeneity: Three Different Histological Pictures and One Unique
Clone.
AB - We report a patient who developed up to three different lymphomas with the same
clonal IGH rearrangement. She was first diagnosed of splenic zone marginal
lymphoma and relapsed for the first time with Hodgkin lymphoma histology and
later with diffuse large B-cell lymphoma histology. Subsequent biopsies and
analysis of clonally rearranged IGH genes helped to elucidate the clonal
relationship between the three histologies and to confirm a common origin from
the three tissue histologies. An integrated diagnosis should always be performed
in order to achieve the most accurate diagnosis and be able to choose the best
therapeutic options for our patients.
PMID- 27867671
TI - Retreatment with Bendamustine-Bortezomib-Dexamethasone in a Patient with
Relapsed/Refractory Multiple Myeloma.
AB - The clinical management of relapsed/refractory multiple myeloma and the correct
choice of the most suitable therapy in heavily pretreated and fragile patients
are tough clinical issues for clinicians. In advanced phases of disease, the
choice of available therapies becomes very poor, and the retreatment with
previously adopted and effective therapy, although unpredictable, could be an
effective option. In this report, we describe the clinical history of a patient,
previously treated with 9 lines of therapy, refractory to bortezomib and IMIDs,
for whom the retreatment with bendamustine resulted in a stable disease with good
quality of life.
PMID- 27867672
TI - Primary Angiosarcoma of the Spleen: Rare Diagnosis with Atypical Clinical Course.
AB - Primary angiosarcoma of the spleen is a rare diagnosis with poor prognosis.
Morphologically, it demonstrates conventional blood vessel differentiation. We
present a case of 65-year-old female who underwent radical splenectomy for
primary angiosarcoma of the spleen. After three-year disease-free interval, she
was diagnosed with bone-only metastatic disease. Palliative radiotherapy and
bisphosphonates kept her disease reasonably stable for another four years. After
development of lung metastases, six cycles of single agent doxorubicin kept her
progression-free for six years. Upon further progression in lungs, thirteen years
after original diagnosis, lung biopsy confirmed metastatic splenic angiosarcoma
in the lungs. She started weekly paclitaxel chemotherapy. Although splenic
angiosarcoma generally carries grave prognosis, some patients may enjoy prolonged
periods of disease stabilization. Durable benefit can be achieved in some
patients with multimodality management. We review the literature focusing on
systemic treatment for this rare tumor.
PMID- 27867673
TI - Central Retinal Artery Occlusion in Takayasu's Arteritis as the First
Presentation of the Disease.
AB - Takayasu's arteritis (TA) is a chronic inflammatory granulomatous vasculitis
which affects large and medium arterial vessels. The disease involves especially
subclavian arteries and aortic branches but it can consist of any arteries. The
major pathology is granulomatous panarteritis with intima proliferation and
defects of the elastic lamina of the vessels. We present a case of central
retinal artery occlusion in TA as the first presentation of the disease. To the
best of our knowledge, the present case is the first case that demonstrates
central retinal artery occlusion as an initial manifestation in TA. A 48-year-old
woman was admitted to our clinic with the complaint of sudden and painless vision
loss in her right eye for one day. Although retinal artery involvement is a very
rare presentation in TA, it is important to recall TA particularly in young
patients with retinal artery occlusion.
PMID- 27867674
TI - Filariasis of Stensen's Duct: An Index Case.
AB - Filariasis, a neglected tropical disease, is a global health problem and is
endemic to 73 countries including India. It is caused by nematodes of
Filariodidea family, namely, W. bancrofti and B. malayi in India, which have a
predilection for the lower limbs and testis. We report a never before reported
case of filariasis of the main parotid duct in a 25-year-old male that resolved
on medical management, exemplifying the importance of maintaining a high index of
suspicion and careful examination of cytological smears in endemic countries,
allowing for an early diagnosis and treatment, decreasing the morbidity of this
debilitating disease.
PMID- 27867675
TI - A Case of Thyroid Storm Associated with Cardiomyopathy and Poststreptococcal
Glomerulonephritis.
AB - Thyroid storm has a high mortality rate and is often associated with a
precipitating factor such as intercurrent illness or infection. It is rare in
pediatric patients. Cardiac disease in hyperthyroidism mostly manifests itself as
tachycardia but more serious cardiac findings have also been described. A 5-year
old male with recent strep throat infection presented with dilated
cardiomyopathy, hematuria, and symptoms and lab findings consistent with severe
hyperthyroidism. He was diagnosed with thyroid storm secondary to concurrent
Graves' disease and poststreptococcal glomerulonephritis (PSGN). After starting
the treatment with methimazole and a beta-blocker, his cardiac disease gradually
improved and the PSGN resolved over time. There are no specific pediatric
criteria for thyroid storm. Adult criteria can be difficult to apply to pediatric
cases. Criteria for diagnosis of thyroid storm are less clear for pediatric
patients. Dilated cardiomyopathy is a rare cardiac manifestation of
hyperthyroidism. PSGN is due to glomerular immune complexes and can complicate
group A strep infection. Providers should be aware of cardiac disease as a
complication of hyperthyroidism. PSGN should not mechanistically be related to
hyperthyroidism but can precipitate the signs of thyroid storm such as
hypertension. This association has not been previously reported in the
literature.
PMID- 27867676
TI - Cardiac Magnetic Resonance for Evaluating Catheter Related FDG Avidity.
AB - A 53-year-old female with a history of metastatic left arm melanoma presented for
F(18) fluorodeoxyglucose (FDG) positron emission tomography/computed tomography
(PET/CT) which showed a moderately FDG avid focus at her port catheter tip near
the cavoatrial junction. Although catheter tip related FDG avidity has previously
been suggested to be bland thrombus or infection, melanoma can metastasize to
unusual locations including the superior vena cava. In addition, the patient had
an elevated risk of anticoagulation due to a history of hemorrhagic brain
metastases. Therefore, confirmatory cardiac magnetic resonance (CMR) was obtained
and findings were consistent with bland catheter-related thrombus.
PMID- 27867677
TI - Bilateral Simultaneous Pseudoangiomatous Stromal Hyperplasia of the Breasts and
Axillae: Imaging Findings with Pathological and Clinical Correlation.
AB - Pseudoangiomatous stromal hyperplasia (PASH) of the breast is a pathology that is
usually diagnosed by accident during pathological examination of other breast
lesions. PASH is an uncommon and benign tumoral lesion of the mammary stroma that
can be pathologically mistaken for other tumours, such as phyllodes,
fibroadenoma, and sometimes even angiosarcoma. We report the case of a 45-year
old woman with complaints of huge bilateral breast enlargement. This is a rare
case of PASH presenting with gigantomastia and involving bilateral breasts and
axillae simultaneously. Mammography, ultrasonography, and MRI features are
illustrated with histopathological correlation.
PMID- 27867678
TI - Reduced Dental Plaque Formation in Dogs Drinking a Solution Containing Natural
Antimicrobial Herbal Enzymes and Organic Matcha Green Tea.
AB - The results of an exploratory, multicenter clinical study confirmed the
hypothesis that a novel, natural, and safe oral care product (OCP) reduced the
rate of plaque formation on teeth of dogs consuming the OCP (antimicrobial plant
derived enzymes, organic matcha green tea, cultured dextrose, sodium bicarbonate,
and ascorbic acid) compared to controls. Healthy dogs without periodontitis, of
varying breeds, sex, and age, were recruited and enrolled, using nonrandomized
stratification methods, into a control and treatment groups. Treatment group dogs
drank only water into which OCP was suspended, for 28 days. Control group dogs
drank their normal household water. On day 0 all teeth were cleaned by a
veterinarian and gingivitis was assessed. On days 14, 21, and 28 plaque index,
plaque thickness, gingivitis, freshness of breath, and general health were
assessed. Over the 28 days of study, dogs on the OCP had significant reduction in
plaque index and plaque thickness compared to controls. By day 14 OCP reduced
plaque formation by 37%; the 28-day reduction in plaque index and coverage
averaged 22% with no measurable gingivitis or calculus. Conclusion. Using the OCP
attenuated dental plaque formation when consumed as normal drinking water and in
the absence of other modes of oral care.
PMID- 27867680
TI - Bilirubin in coronary artery disease: Cytotoxic or protective?
AB - Bilirubin has traditionally been considered a cytotoxic waste product. However,
recent studies have shown bilirubin to have anti-oxidant, anti-inflammatory,
vasodilatory, anti-apoptotic and anti-proliferative functions. These properties
potentially confer bilirubin a new role of protection especially in coronary
artery disease (CAD), which is a low grade inflammatory process exacerbated by
oxidative stress. In fact, recent literature reports an inverse relationship
between serum concentration of bilirubin and the presence of CAD. In this
article, we review the current literature exploring the association between
levels of bilirubin and risk of CAD. We conclude that current evidence is
inconclusive regarding the protective effect of bilirubin on CAD. A causal
relationship between low serum bilirubin level and increased risk of CAD is not
currently established.
PMID- 27867679
TI - Identification of two novel mutations in the GALNT3 gene in a Chinese family with
hyperphosphatemic familial tumoral calcinosis.
AB - Hyperphosphatemic familial tumoral calcinosis (HFTC) is a rare, autosomal
recessive genetic disease. This disease is characterized by the progressive
calcification of soft tissues leading to symptoms of pressure and
hyperphosphatemia but normal concentrations of serum calcium with or without an
elevation of 1,25-dihydroxyvitamin D3 levels.HFTC is caused by loss-of-function
mutations in the GALNT3, FGF23 or KL genes. Here, we identified two novel
mutations in the GALNT3 gene in a Chinese family with HFTC. Identification of a
novel genotype in HFTC provides clues for understanding the phenotype-genotype
relationships in HFTC and may assist not only in the clinical diagnosis of HFTC
but also in the interpretation of the genetic information used for prenatal
diagnosis and genetic counseling.
PMID- 27867681
TI - Local ablative treatments for hepatocellular carcinoma: An updated review.
AB - Ablative treatments currently represent the first-line option for the treatment
of early stage unresectable hepatocellular carcinoma (HCC). Furthermore, they are
effective as bridging/downstaging therapies before orthotopic liver
transplantation. Contraindications based on size, number, and location of nodules
are quite variable in literature and strictly dependent on local expertise. Among
ablative therapies, radiofrequency ablation (RFA) has gained a pivotal role due
to its efficacy, with a reported 5-year survival rate of 40%-70%, and safety.
Although survival outcomes are similar to percutaneous ethanol injection, the
lower local recurrence rate stands for a wider application of RFA in hepato
oncology. Moreover, RFA seems to be even more cost-effective than liver resection
for very early HCC (single nodule <= 2 cm) and in the presence of two or three
nodules <= 3 cm. There is increasing evidence that combining RFA to transarterial
chemoembolization may increase the therapeutic benefit in larger HCCs without
increasing the major complication rate, but more robust prospective data is still
needed to validate these pivotal findings. Among other thermal treatments,
microwave ablation (MWA) uses high frequency electromagnetic energy to induce
tissue death via coagulation necrosis. In comparison to RFA, MWA has several
theoretical advantages such as a broader zone of active heating, higher
temperatures within the targeted area in a shorter treatment time and the lack of
heat-sink effect. The safety concerns raised on the risks of this procedure, due
to the broader and less predictable necrosis areas, have been recently overcome.
However, whether MWA ability to generate a larger ablation zone will translate
into a survival gain remains unknown. Other treatments, such as high-intensity
focused ultrasound ablation, laser ablation, and cryoablation, are less
investigated but showed promising results in early HCC patients and could be a
valuable therapeutic option in the next future.
PMID- 27867683
TI - Logical hypothesis: Low FODMAP diet to prevent diverticulitis.
AB - Despite little evidence for the therapeutic benefits of a high-fiber diet for
diverticulitis, it is commonly recommended as part of the clinical management.
The ongoing uncertainty of the cause(s) of diverticulitis confounds attempts to
determine the validity of this therapy. However, the features of a high-fiber
diet represent a logical contradiction for colon diverticulitis. Considering that
Bernoulli's principle, by which enlarged diameter of the lumen leads to increased
pressure and decreased fluid velocity, might contribute to development of the
diverticulum. Thus, theoretically, prevention of high pressure in the colon would
be important and adoption of a low FODMAP diet (consisting of fermentable
oligosaccharides, disaccharides, monosaccharides, and polyols) may help prevent
recurrence of diverticulitis.
PMID- 27867682
TI - Treatment of pregnant women with a diagnosis of inflammatory bowel disease.
AB - The frequency of diagnosis of inflammatory bowel disease (IBD) has increased in
younger populations. For this reason, pregnancy in patients with IBD is a topic
of interest, warranting additional focus on disease management during this
period. The main objective of this article is to summarize the latest findings
and guidelines on the management of potential problems from pregnancy to the
breastfeeding stage. Fertility is decreased in patients with active IBD. Disease
remission prior to conception will likely decrease the rate of pregnancy-related
complications. Most of the drugs used for IBD treatment are safe during both
pregnancy and breastfeeding. Two exceptions are methotrexate and thalidomide,
which are contraindicated in pregnancy. Anti-tumor necrosis factor agents are not
advised during the third trimester as they exhibit increased transplacental
transmission and potentially cause immunosuppression in the fetus. Radiological
and endoscopic examinations and surgical interventions should be performed only
when absolutely necessary. Surgery increases the fetal mortality rate. The
delivery method should be determined with consideration of the disease site and
presence of progression or flare up. Treatment planning should be a collaborative
effort among the gastroenterologist, obstetrician, colorectal surgeon and
patient.
PMID- 27867685
TI - How I treat my inflammatory bowel disease-patients with thiopurines?
AB - Thiopurines are essential drugs to maintain remission in patients with
inflammatory bowel disease (IBD). Thiopurines used in IBD are azathioprine (2.0
2.5 mg/kg), mercaptopurine (1.0-1.5 mg/kg) and thioguanine (0.2-0.3 mg/kg).
However, mainly due to numerous adverse events associated with thiopurine use,
almost 50% of the patients have to discontinue conventional thiopurine treatment.
Extensive monitoring and the application of several treatment strategies, such as
split-dose administration, co-administration with allopurinol or dose
reduction/increase, may increase the chance of successful therapy. With this
review, we provide practical information on how thiopurines are initiated and
maintained in two thiopurine research centers in The Netherlands. We provide
clinical information concerning safety issues, indications and management of
therapy that may serve as a guide for the administration of thiopurines in IBD
patients in daily practice.
PMID- 27867684
TI - Eosinophilic gastroenteritis: Approach to diagnosis and management.
AB - Eosinophilic gastroenteritis (EGE) is a rare and benign inflammatory disorder
that predominantly affects the stomach and the small intestine. The disease is
divided into three subtypes (mucosal, muscular and serosal) according to klein's
classification, and its manifestations are protean, depending on the involved
intestinal segments and layers. Hence, accurate diagnosis of EGE poses a
significant challenge to clinicians, with evidence of the following three
criteria required: Suspicious clinical symptoms, histologic evidence of
eosinophilic infiltration in the bowel and exclusion of other pathologies with
similar findings. In this review, we designed and applied an algorithm to clarify
the steps to follow for diagnosis of EGE in clinical practice. The management of
EGE represents another area of debate. Prednisone remains the mainstay of
treatment; however the disease is recognized as a chronic disorder and one that
most frequently follows a relapsing course that requires maintenance therapy.
Since prolonged steroid treatment carries of risk of serious adverse effects,
other options with better safety profiles have been proposed; these include
budesonide, dietary restrictions and steroid-sparing agents, such as leukotriene
inhibitors, azathioprine, anti-histamines and mast-cell stabilizers. Single cases
or small case series have been reported in the literature for all of these
options, and we provide in this review a summary of these various therapeutic
modalities, placing them within the context of our novel algorithm for EGE
management according to disease severity upon presentation.
PMID- 27867686
TI - Widespread use of gastric acid inhibitors in infants: Are they needed? Are they
safe?
AB - Gastroesophageal reflux is a common phenomenon in infants, but the
differentiation between gastroesophageal reflux and gastroesophageal reflux
disease can be difficult. Symptoms are non-specific and there is increasing
evidence that the majority of symptoms may not be acid-related. Despite this,
gastric acid inhibitors such as proton pump inhibitors are widely and
increasingly used, often without objective evidence or investigations to guide
treatment. Several studies have shown that these medications are ineffective at
treating symptoms associated with reflux in the absence of endoscopically proven
oesophagitis. With a lack of evidence for efficacy, attention is now being turned
to the potential risks of gastric acid suppression. Previously assumed safety of
these medications is being challenged with evidence of potential side effects
including GI and respiratory infections, bacterial overgrowth, adverse bone
health, food allergy and drug interactions.
PMID- 27867688
TI - Usefulness of vonoprazan, a potassium ion-competitive acid blocker, for primary
eradication of Helicobacter pylori.
AB - AIM: To investigate usefulness of triple therapy with vonoprazan, a potassium ion
competitive acid blocker and antibiotics, for Helicobacter pylori (H. pylori)
eradication. METHODS: The H. pylori eradication rate was examined in 2507
patients (2055 undergoing primary eradication and 452 undergoing secondary
eradication, excluding patients with subtotal gastrectomy) at the Japanese Red
Cross Kyoto Daiichi Hospital from March 2013 to September 2015. For patients
treated from March 2013 to February 2015, a proton pump inhibitor (PPI) was used
to reduce acid secretion, while vonoprazan was used after March 2015. The success
rates of the 2 regimens (PPI + amoxicillin + clarithromycin/metronidazole, or
vonoprazan + amoxicillin + clarithromycin/metronidazole) were compared. RESULTS:
The success rate of primary H. pylori eradication was significantly higher in the
vonoprazan group. When stratified by the underlying disease, a significant
increase of the H. pylori eradication rate was observed in patients with chronic
gastritis. A significantly lower H. pylori eradication rate was observed in
younger patients compared to older patients in the PPI group, but there was no
difference according to age in the vonoprazan group. On the other hand, the
success rate of secondary eradication was similar at approximately 90% in both
groups. CONCLUSION: Vonoprazan is very useful for primary eradication of H.
pylori, and may become a first-line acid secretion inhibitor instead of PPIs.
PMID- 27867687
TI - A20 inhibits lipopolysaccharide-induced inflammation in enterocytes.
AB - AIM: To examine the role of A20 in the regulation of intestinal epithelial cells
(IECs) inflammation. METHODS: Using gene transfection, both stable overexpression
and knockdown A20-expressed HT-29 cell lines were established. Accordingly, the
cells were divided into the following groups: The control group, the A20
overexpression group, the A20 knockdown group and the respective controls. A20
was stimulated with lipopolysaccharide (LPS) in a dose- and time-dependent manner
and was detected using western blotting and real-time polymerase chain reaction
(PCR) analyses. Immunofluorescence and western blotting analyses were performed
to investigate the role of A20 in the regulation of nuclear factor (NF)-kappaB
activation and translocation into the nucleus. ELISA and real-time PCR were
performed to examine A20 in regulating the release of the following inflammatory
cytokines: Tumor necrosis factor (TNF)-alpha, interleukin (IL)-1beta, IL-6 and IL
8. RESULTS: The expression of A20 in IECs was inducible. When intestinal
epithelial cells were subjected to the stimulation of LPS, the expression of A20
was increased, and the expression of A20 was induced in a dose- and time
dependent manner. The expression of A20 was very low in HT-29 cells without LPS
stimulation but rapidly increased and was maintained at a high level 2-4 h after
stimulation with LPS. These levels gradually declined with a change in time
course, and the expression of A20 increased with increasing LPS stimulation.
Western blotting and immunofluorescence revealed that overexpression of A20 can
inhibit NF-kappaB activation and its translocation to the nucleus. The
overexpression of A20 can reduce the levels of proinflammatory cytokines involved
in the pathophysiology of inflammatory bowel disease. There was no significant
difference in the expression of IL-8 mRNA in the control group, A20
overexpression group or A20 knockdown group without LPS stimulation (P > 0.05);
however, while after 2 h, 4 h and 8 h stimulation with LPS, the expression of IL
8 in the A20 overexpression group was lower than the control group and the A20
knockdown group (P < 0.05 or P < 0.01). The expression of TNF-alpha was different
at different time points after 8 h of LPS stimulation (F = 31.33, DF = 5, P <
0.001), and the expression of TNF-alpha increased as the LPS stimulation time
increased. Upon LPS stimulation, lower levels of TNF-alpha were detected in the
A20 overexpression cell lines (P < 0.05). There were no significant differences
in the induction of IL-6 and IL-1beta among the control group, A20 overexpression
group and A20 knockdown group (P > 0.05). CONCLUSION: A20 plays an important role
in limiting inflammation by inhibiting LPS-induced NF-kappaB responses in the gut
luminal. A20 may be a potential therapeutic tool for inflammatory diseases.
PMID- 27867689
TI - Family history and disease outcomes in patients with Crohn's disease: A
comparison between China and the United States.
AB - AIM: To investigate the differences in family history of inflammatory bowel
disease (IBD) and clinical outcomes among individuals with Crohn's disease (CD)
residing in China and the United States. METHODS: We performed a survey-based
cross-sectional study of participants with CD recruited from China and the United
States. We compared the prevalence of IBD family history and history of ileal
involvement, CD-related surgeries and IBD medications in China and the United
States, adjusting for potential confounders. RESULTS: We recruited 49
participants from China and 145 from the United States. The prevalence of family
history of IBD was significantly lower in China compared with the United States
(China: 4.1%, United States: 39.3%). The three most commonly affected types of
relatives were cousin, sibling, and parent in the United States compared with
child and sibling in China. Ileal involvement (China: 63.3%, United States:
63.5%) and surgery for CD (China: 51.0%, United States: 49.7%) were nearly
equivalent in the two countries. CONCLUSION: The lower prevalence of familial
clustering of IBD in China may suggest that the etiology of CD is less attributed
to genetic background or a family-shared environment compared with the United
States. Despite the potential difference in etiology, surgery and ileal
involvement were similar in the two countries. Examining the changes in family
history during the continuing rise in IBD may provide further insight into the
etiology of CD.
PMID- 27867690
TI - Good adherence to mediterranean diet can prevent gastrointestinal symptoms: A
survey from Southern Italy.
AB - AIM: To evaluate how different levels of adherence to a mediterranean diet (MD)
correlate with the onset of functional gastrointestinal disorders. METHODS: As
many as 1134 subjects (598 M and 536 F; age range 17-83 years) were prospectively
investigated in relation to their dietary habits and the presence of functional
gastrointestinal symptoms. Patients with relevant chronic organic disease were
excluded from the study. The Mediterranean Diet Quality index for children and
adolescents (KIDMED) and the Short Mediterranean Diet Questionnaire were
administered. All subjects were grouped into five categories according to their
ages: 17-24 years; 25-34; 35-49; 50-64; above 64. RESULTS: On the basis of the
Rome III criteria, our population consisted of 719 (63.4%) individuals who did
not meet the criteria for any functional disorder and were classified as controls
(CNT), 172 (13.3%) patients meeting criteria for prevalent irritable bowel
syndrome (IBS), and 243 (23.3%) meeting criteria for prevalent functional
dyspepsia (FD). A significantly lower adherence score in IBS (0.57 +/- 0.23, P <
0.001) and FD (0.56 +/- 0.24, P < 0.05) was found compared to CNT (0.62 +/-
0.21). Females with FD and IBS exhibited significantly lower adherence scores
(respectively 0.58 +/- 0.24, P < 0.05 and 0.56 +/- 0.22, P < 0.05) whereas males
were significantly lower only for FD (0.53 +/- 0.25, P < 0.05). Age cluster
analyses showed a significantly lower score in the 17-24 years and 25-34 year
categories for FD (17-24 years: 0.44 +/- 0.21, P < 0.001; 25-34 years: 0.48 +/-
0.22, P < 0.05) and IBS (17-24 years: 0.45 +/- 0.20, P < 0.05; 24-34 years: 0.44
+/- 0.21, P < 0.001) compared to CNT (17-24 years: 0.56 +/- 0.21; 25-34 years:
0.69 +/- 0.20). CONCLUSION: Low adherence to MD may trigger functional
gastrointestinal symptoms, mainly in younger subjects. Moreover, with increasing
age, patients tend to adopt dietary regimens closer to MD.
PMID- 27867691
TI - Efficacy of small-volume simethicone given at least 30 min before gastroscopy.
AB - AIM: To evaluate the efficacy of 5 mL simethicone solution in decreasing gastric
foam if given at least 30 min before gastroscopy. METHODS: This was a randomized,
placebo controlled, endoscopist blinded study performed at Changi General
Hospital. Patients were at least 21 years old, had no prior surgical resection of
the upper gastrointestinal tract, and scheduled for elective diagnostic
gastroscopies. The primary outcome was the total mucosal visibility score (TMVS)
which was evaluated using McNally score. The sample size was calculated to be 24
per group (SD 2.4, 80% power, P < 0.05, 2-sample t test). RESULTS: Fifty-four
patients were randomised to receive either simethicone [1 mL liquid simethicone
(100 mg) in 5 mL of water] or placebo (5 mL of water) at least 30 min before
their gastroscopy. Six accredited consultants conducted the gastroscopy, and the
interobserver agreement of scoring TMVS was good with a Kappa statistic of 0.73.
The simethicone group had significantly better mean TMVS compared to placebo
(5.78 +/- SD 1.65 vs 8.89 +/- SD 1.97, P < 0.001). The improvement was
statistically significant for the duodenum and the gastric antrum, angularis,
body, and fundus. Percent 51.9 of patients in the simethicone group had a TMVS of
4 (no bubbles at all) to 5 (only 1 area with minimal bubbles), while in the
placebo group 3.7% of patients had TMVS of 4 or 5. The number needed to treat was
2.1 to avoid a TMVS of 6 and more. The simethicone group also had a significantly
shorter procedure time with less volume of additional flushes required during
gastroscopy to clear away obscuring gastric foam. CONCLUSION: With a
premedication time of at least 30 min, 5 mL simethicone can significantly
decrease gastric foam, decrease the volume of additional flushes, and shorten
gastroscopy time.
PMID- 27867692
TI - Osteonecrosis of both knees in a woman with Crohn's disease.
AB - Osteonecrosis is a very rare complication of Crohn's disease (CD). It is not
clear if it is related to corticosteroid therapy or if it occurs as an
extraintestinal manifestation of inflammatory bowel disease. We present the case
of a patient with CD who presented with osteonecrosis of both knees. A 22 years
old woman was diagnosed with CD in April 2012 (Montreal Classification A2L1 +
L4B3p). She was started on prednisolone (40 mg/d), azathioprine (100 mg/d) and
messalazine (3 g/d). In July 2012, due to active perianal disease, infliximab
therapy was initiated. In September 2012, she had a pelvic abscess complicated by
peritonitis and an ileal segmental resection and right hemicolectomy were
performed. In December 2012 she was diagnosed with bilateral septic arthritis of
both knees with walking impairment. She was treated with amoxicillin-clavulanic
acid, started a physical rehabilitation program and progressively improved.
However, then, bilateral knee pain exacerbated by movement developed. Magnetic
resonance imaging showed multiple osseous medullary infarcts in the distal
extremity of the femurs, proximal extremity of the tibiae and patellas and no
signs of subchondral collapse, which is consistent with osteonecrosis. The
patient recovered completely and maintains therapy with azathioprine and
messalazine. A review of the literature is also done.
PMID- 27867693
TI - Gastroenterology, hepatology and movies: A holistic insight.
AB - The Project "Movies and Health in Night talks" took place in Braga and Porto,
northern Portugal, in the last 3 years. This Project demonstrated how medical
knowledge may surround and integrate a cosmopolitan and holistic approach, so
that we as doctors and the general public, are able to become much closer and
much more prone to understand the vital cycles of our society.
PMID- 27867694
TI - TakeCARE, a Video Bystander Program to Help Prevent Sexual Violence on College
Campuses: Results of Two Randomized, Controlled Trials.
AB - OBJECTIVE: The present research reports on two randomized controlled trials
evaluating TakeCARE, a video bystander program designed to help prevent sexual
violence on college campuses. METHOD: In Study 1, students were recruited from
psychology courses at two universities. In Study 2, first-year students were
recruited from a required course at one university. In both studies, students
were randomly assigned to view one of two videos: TakeCARE or a control video on
study skills. Just before viewing the videos, students completed measures of
bystander behavior toward friends and ratings of self-efficacy for performing
such behaviors. The efficacy measure was administered again after the video, and
both the bystander behavior measure and the efficacy measure were administered at
either one (Study 1) or two (Study 2) months later. RESULTS: In both studies,
students who viewed TakeCARE, compared to students who viewed the control video,
reported engaging in more bystander behavior toward friends and greater feelings
of efficacy for performing such behavior. In Study 1, feelings of efficacy
mediated effects of TakeCARE on bystander behavior; this result did not emerge in
Study 2. CONCLUSIONS: This research demonstrates that TakeCARE, a video bystander
program, can positively influence bystander behavior toward friends. Given its
potential to be easily distributed to an entire campus community, TakeCARE might
be an effective addition to campus efforts to prevent sexual violence.
PMID- 27867695
TI - Quantitative photoacoustic image reconstruction improves accuracy in deep tissue
structures.
AB - Photoacoustic imaging (PAI) is emerging as a potentially powerful imaging tool
with multiple applications. Image reconstruction for PAI has been relatively
limited because of limited or no modeling of light delivery to deep tissues. This
work demonstrates a numerical approach to quantitative photoacoustic image
reconstruction that minimizes depth and spectrally derived artifacts. We present
the first time-domain quantitative photoacoustic image reconstruction algorithm
that models optical sources through acoustic data to create quantitative images
of absorption coefficients. We demonstrate quantitative accuracy of less than 5%
error in large 3 cm diameter 2D geometries with multiple targets and within 22%
error in the largest size quantitative photoacoustic studies to date (6cm
diameter). We extend the algorithm to spectral data, reconstructing 6 varying
chromophores to within 17% of the true values. This quantitiative PA tomography
method was able to improve considerably on filtered-back projection from the
standpoint of image quality, absolute, and relative quantification in all our
simulation geometries. We characterize the effects of time step size, initial
guess, and source configuration on final accuracy. This work could help to
generate accurate quantitative images from both endogenous absorbers and
exogenous photoacoustic dyes in both preclinical and clinical work, thereby
increasing the information content obtained especially from deep-tissue
photoacoustic imaging studies.
PMID- 27867696
TI - Quantification of extra-cerebral and cerebral hemoglobin concentrations during
physical exercise using time-domain near infrared spectroscopy.
AB - Fitness is known to have beneficial effects on brain anatomy and function.
However, the understanding of mechanisms underlying immediate and long-term
neurophysiological changes due to exercise is currently incomplete due to the
lack of tools to investigate brain function during physical activity. In this
study, we used time-domain near infrared spectroscopy (TD-NIRS) to quantify and
discriminate extra-cerebral and cerebral hemoglobin concentrations and oxygen
saturation (SO2) in young adults at rest and during incremental intensity
exercise. In extra-cerebral tissue, an increase in deoxy-hemoglobin (HbR) and a
decrease in SO2 were observed while only cerebral HbR increased at high intensity
exercise. Results in extra-cerebral tissue are consistent with thermoregulatory
mechanisms to dissipate excess heat through skin blood flow, while cerebral
changes are in agreement with cerebral blood flow (CBF) redistribution mechanisms
to meet oxygen demand in activated regions during exercise. No significant
difference was observed in oxy- (HbO2) and total hemoglobin (HbT). In addition
HbO2, HbR and HbT increased with subject's peak power output (equivalent to the
maximum oxygen volume consumption; VO2 peak) supporting previous observations of
increased total mass of red blood cells in trained individuals. Our results also
revealed known gender differences with higher hemoglobin in men. Our approach in
quantifying both extra-cerebral and cerebral absolute hemoglobin during exercise
may help to better interpret past and future continuous-wave NIRS studies that
are prone to extra-cerebral contamination and allow a better understanding of
acute cerebral changes due to physical exercise.
PMID- 27867698
TI - Mapping 3D fiber orientation in tissue using dual-angle optical polarization
tractography.
AB - Optical polarization tractography (OPT) has recently been applied to map fiber
organization in the heart, skeletal muscle, and arterial vessel wall with high
resolution. The fiber orientation measured in OPT represents the 2D projected
fiber angle in a plane that is perpendicular to the incident light. We report
here a dual-angle extension of the OPT technology to measure the actual 3D fiber
orientation in tissue. This method was first verified by imaging the murine
extensor digitorum muscle placed at various known orientations in space. The
accuracy of the method was further studied by analyzing the 3D fiber orientation
of the mouse tibialis anterior muscle. Finally we showed that dual-angle OPT
successfully revealed the unique 3D "arcade" fiber structure in the bovine
articular cartilage.
PMID- 27867697
TI - Joint blood flow is more sensitive to inflammatory arthritis than oxyhemoglobin,
deoxyhemoglobin, and oxygen saturation.
AB - Joint hypoxia plays a central role in the progression and perpetuation of
rheumatoid arthritis (RA). Thus, optical techniques that can measure surrogate
markers of hypoxia such as blood flow, oxyhemoglobin, deoxyhemoglobin, and oxygen
saturation are being developed to monitor RA. The purpose of the current study
was to compare the sensitivity of these physiological parameters to arthritis.
Experiments were conducted in a rabbit model of RA and the results revealed that
joint blood flow was the most sensitive to arthritis and could detect a
statistically significant difference (p<0.05, power = 0.8) between inflamed and
healthy joints with a sample size of only four subjects. Considering that this a
quantitative technique, the high sensitivity to arthritis suggests that joint
perfusion has the potential to become a potent tool for monitoring disease
progression and treatment response in RA.
PMID- 27867699
TI - Characterization of autism spectrum disorder with spontaneous hemodynamic
activity.
AB - Functional near-infrared spectroscopy (fNIRS) was used to investigate spontaneous
hemodynamic activity in the temporal cortex for typically developing (TD)
children and children with autism spectrum disorder (ASD). Forty-seven children
participated in the experiments including twenty-five with ASD. Compared with TD
children, children with ASD showed weaker bilateral resting-state functional
connectivity (RSFC), but much stronger fluctuation magnitude in terms of oxy
hemoglobin (HbO2) and deoxy-hemoglobin (Hb). Differentiating between ASD and TD
based on a support vector machine (SVM) model including bilateral RSFC and the
fluctuation power of HbO2 and Hb as variables could achieve high accurate
classification with sensitivity of 81.6% and specificity of 94.6%. This study
demonstrates optical brain imaging has the potential for screening children with
risk of ASD.
PMID- 27867700
TI - Mental stress assessment using simultaneous measurement of EEG and fNIRS.
AB - Previous studies reported mental stress as one of the major contributing factors
leading to various diseases such as heart attack, depression and stroke. An
accurate stress assessment method may thus be of importance to clinical
intervention and disease prevention. We propose a joint independent component
analysis (jICA) based approach to fuse simultaneous measurement of
electroencephalography (EEG) and functional near-infrared spectroscopy (fNIRS) on
the prefrontal cortex (PFC) as a means of stress assessment. For the purpose of
this study, stress was induced by using an established mental arithmetic task
under time pressure with negative feedback. The induction of mental stress was
confirmed by salivary alpha amylase test. Experiment results showed that the
proposed fusion of EEG and fNIRS measurements improves the classification
accuracy of mental stress by +3.4% compared to EEG alone and +11% compared to
fNIRS alone. Similar improvements were also observed in sensitivity and
specificity of proposed approach over unimodal EEG/fNIRS. Our study suggests that
combination of EEG (frontal alpha rhythm) and fNIRS (concentration change of
oxygenated hemoglobin) could be a potential means to assess mental stress
objectively.
PMID- 27867701
TI - Real-time photo-magnetic imaging.
AB - We previously introduced a new high resolution diffuse optical imaging modality
termed, photo-magnetic imaging (PMI). PMI irradiates the object under
investigation with near-infrared light and monitors the variations of temperature
using magnetic resonance thermometry (MRT). In this paper, we present a real-time
PMI image reconstruction algorithm that uses analytic methods to solve the
forward problem and assemble the Jacobian matrix much faster. The new algorithm
is validated using real MRT measured temperature maps. In fact, it accelerates
the reconstruction process by more than 250 times compared to a single iteration
of the FEM-based algorithm, which opens the possibility for the real-time PMI.
PMID- 27867703
TI - Deep imaging of absorption and scattering features by multispectral multiple
scattering low coherence interferometry.
AB - We have developed frequency domain multispectral multiple scattering low
coherence interferometry (ms2/LCI) for deep imaging of absorption and scattering
contrast. Using tissue-mimicking phantoms that match the full scattering phase
function of human dermal tissue, we demonstrate that ms2/LCI can provide a
signal/noise ratio (SNR) improvement of 15.4 dB over conventional OCT at an
imaging depth of 1 mm. The enhanced SNR and penetration depth provided by ms2/LCI
could be leveraged for a variety of clinical applications including the
assessment of burn injuries where current clinical classification of severity
only provides limited accuracy. The utility of the approach was demonstrated by
imaging a tissue phantom simulating a partial-thickness burn revealing good
spectroscopic contrast between healthy and injured tissue regions deep below the
sample surface. Finally, healthy rat skin was imaged in vivo with both a
commercial OCT instrument and our custom ms2/LCI system. The results demonstrate
that ms2/LCI is capable of obtaining spectroscopic information far beyond the
penetration depth provided by conventional OCT.
PMID- 27867702
TI - Evaluation of artifact reduction in optical coherence tomography angiography with
real-time tracking and motion correction technology.
AB - Artifacts introduced by eye motion in optical coherence tomography angiography
(OCTA) affect the interpretation of images and the quantification of parameters
with clinical value. Eradication of such artifacts in OCTA remains a technical
challenge. We developed an algorithm that recognizes five different types of
motion artifacts and used it to evaluate the performance of three motion removal
technologies. On en face maximum projection of flow images, the summed flow
signal in each row and column and the correlation between neighboring rows and
columns were calculated. Bright line artifacts were recognized by large summed
flow signal. Drifts, distorted lines, and stretch artifacts exhibited abnormal
correlation values. Residual lines were simultaneously a local maximum of summed
flow and a local minimum of correlation. Tracking-assisted scanning integrated
with motion correction technology (MCT) demonstrated higher performance than
tracking or MCT alone in healthy and diabetic eyes.
PMID- 27867704
TI - Quantitative chemical imaging with background-free multiplex coherent anti-Stokes
Raman scattering by dual-soliton Stokes pulses.
AB - Coherent anti-Stokes Raman microscopy (CARS) is a quantitative, chemically
specific, and label-free optical imaging technique for studying inhomogeneous
systems. However, the complicating influence of the nonresonant response on the
CARS signal severely limits its sensitivity and specificity and especially limits
the extent to which CARS microscopy has been used as a fully quantitative imaging
technique. On the basis of spectral focusing mechanism, we establish a dual
soliton Stokes based CARS microspectroscopy and microscopy scheme capable of
quantifying the spatial information of densities and chemical composition within
inhomogeneous samples, using a single fiber laser. Dual-soliton Stokes scheme not
only removes the nonresonant background but also allows robust acquisition of
multiple characteristic vibrational frequencies. This all-fiber based laser
source can cover the entire fingerprint (800-2200 cm-1) region with a spectral
resolution of 15 cm-1. We demonstrate that quantitative degree determination of
lipid-chain unsaturation in the fatty acids mixture can be achieved by the
characterization of C = C stretching and CH2 deformation vibrations. For
microscopy purposes, we show that the spatially inhomogeneous distribution of
lipid droplets can be further quantitatively visualized using this quantified
degree of lipid unsaturation in the acyl chain for contrast in the hyperspectral
CARS images. The combination of compact excitation source and background-free
capability to facilitate extraction of quantitative composition information with
multiplex spectral peaks will enable wider applications of quantitative chemical
imaging in studying biological and material systems.
PMID- 27867706
TI - Simultaneous in vivo imaging of diffuse optical reflectance, optoacoustic
pressure and ultrasonic scattering.
AB - We present reflection-mode bioimaging system providing complementary optical,
photoacsoutic and acoustic measurements by acoustic detector after each laser
pulse. While the photons absorbed within the sample provide optoacoustic (OA)
signals, the photons absorbed by the external electrode of a detector provide the
measurable diffuse reflectance (DR) from the sample and the probing ultrasonic
(US) pulse. To demonstrate the in vivo capabilities of the system we present the
results of complementary DR/OA/US imaging of a mouse tumor, head of a newborn
rat, and the back of a newborn rat with 3.5mm/50MUm/35MUm lateral resolution.
Trimodal approach allows visualization of mechanical structures in healthy and
pathological tissues along with peculiarities of blood supply. The system may be
used for diagnostics of diseases accompanied by the defects of vascularization as
well as for assessing the mechanisms of vascular changes when monitoring response
to therapy.
PMID- 27867705
TI - 3D differential phase contrast microscopy.
AB - We demonstrate 3D phase and absorption recovery from partially coherent intensity
images captured with a programmable LED array source. Images are captured through
focus with four different illumination patterns. Using first Born and weak object
approximations (WOA), a linear 3D differential phase contrast (DPC) model is
derived. The partially coherent transfer functions relate the sample's complex
refractive index distribution to intensity measurements at varying defocus.
Volumetric reconstruction is achieved by a global FFT-based method, without an
intermediate 2D phase retrieval step. Because the illumination is spatially
partially coherent, the transverse resolution of the reconstructed field achieves
twice the NA of coherent systems and improved axial resolution.
PMID- 27867707
TI - Scanless functional imaging of hippocampal networks using patterned two-photon
illumination through GRIN lenses.
AB - Patterned illumination through the phase modulation of light is increasingly
recognized as a powerful tool to investigate biological tissues in combination
with two-photon excitation and light-sensitive molecules. However, to date two
photon patterned illumination has only been coupled to traditional microscope
objectives, thus limiting the applicability of these methods to superficial
biological structures. Here, we show that phase modulation can be used to
efficiently project complex two-photon light patterns, including arrays of points
and large shapes, in the focal plane of graded index (GRIN) lenses. Moreover,
using this approach in combination with the genetically encoded calcium indicator
GCaMP6, we validate our system performing scanless functional imaging in rodent
hippocampal networks in vivo ~1.2 mm below the brain surface. Our results open
the way to the application of patterned illumination approaches to deep regions
of highly scattering biological tissues, such as the mammalian brain.
PMID- 27867709
TI - Optimal wavelengths for optoacoustic measurements of blood oxygen saturation in
biological tissues.
AB - The non-invasive measurement of blood oxygen saturation in blood vessels is a
promising clinical application of optoacoustic imaging. Nevertheless, precise
optoacoustic measurements of blood oxygen saturation are limited because of the
complexities of calculating the spatial distribution of the optical fluence. In
the paper error in the determination of blood oxygen saturation, associated with
the use of approximate methods of optical fluence evaluation within the blood
vessel, was investigated for optoacoustic measurements at two wavelengths. The
method takes into account both acoustic pressure noise and the error in
determined values of the optical scattering and absorption coefficients used for
the calculation of the fluence. It is shown that, in conditions of an unknown (or
partially known) spatial distribution of fluence at depths of 2 to 8 mm, minimal
error in the determination of blood oxygen saturation is achieved at wavelengths
of 658 +/- 40 nm and 1069 +/- 40 nm.
PMID- 27867708
TI - In vivo volumetric fluorescence sectioning microscopy with mechanical-scan-free
hybrid illumination imaging.
AB - Optical sectioning microscopy in wide-field fashion has been widely used to
obtain three-dimensional images of biological samples; however, it requires
scanning in depth and considerable time to acquire multiple depth information of
a volumetric sample. In this paper, in vivo optical sectioning microscopy with
volumetric hybrid illumination, with no mechanical moving parts, is presented.
The proposed system is configured such that the optical sectioning is provided by
hybrid illumination using a digital micro-mirror device (DMD) for uniform and non
uniform pattern projection, while the depth of imaging planes is varied by using
an electrically tunable-focus lens with invariant magnification and resolution.
We present and characterize the design, implementation, and experimentally
demonstrate the proposed system's ability through 3D imaging of in vivo
Canenorhabditis elegans' growth cones.
PMID- 27867710
TI - Intraocular scattering compensation in retinal imaging.
AB - Intraocular scattering affects fundus imaging in a similar way that affects
vision; it causes a decrease in contrast which depends on both the intrinsic
scattering of the eye but also on the dynamic range of the image. Consequently,
in cases where the absolute intensity in the fundus image is important,
scattering can lead to a wrong estimation. In this paper, a setup capable of
acquiring fundus images and estimating objectively intraocular scattering was
built, and the acquired images were then used for scattering compensation in
fundus imaging. The method consists of two parts: first, reconstruct the
individual's wide-angle Point Spread Function (PSF) at a specific wavelength to
be used within an enhancement algorithm on an acquired fundus image to compensate
for scattering. As a proof of concept, a single pass measurement with a scatter
filter was carried out first and the complete algorithm of the PSF reconstruction
and the scattering compensation was applied. The advantage of the single pass
test is that one can compare the reconstructed image with the original one and
see the validity, thus testing the efficiency of the method. Following the test,
the algorithm was applied in actual fundus images in human eyes and the effect on
the contrast of the image before and after the compensation was compared. The
comparison showed that depending on the wavelength, contrast can be reduced by
8.6% under certain conditions.
PMID- 27867711
TI - Automated data selection method to improve robustness of diffuse optical
tomography for breast cancer imaging.
AB - Imaging-guided near infrared diffuse optical tomography (DOT) has demonstrated a
great potential as an adjunct modality for differentiation of malignant and
benign breast lesions and for monitoring treatment response of breast cancers.
However, diffused light measurements are sensitive to artifacts caused by
outliers and errors in measurements due to probe-tissue coupling, patient and
probe motions, and tissue heterogeneity. In general, pre-processing of the
measurements is needed by experienced users to manually remove these outliers and
therefore reduce imaging artifacts. An automated method of outlier removal, data
selection, and filtering for diffuse optical tomography is introduced in this
manuscript. This method consists of multiple steps to first combine several data
sets collected from the same patient at contralateral normal breast and form a
single robust reference data set using statistical tests and linear fitting of
the measurements. The second step improves the perturbation measurements by
filtering out outliers from the lesion site measurements using model based
analysis. The results of 20 malignant and benign cases show similar performance
between manual data processing and automated processing and improvement in tissue
characterization of malignant to benign ratio by about 27%.
PMID- 27867712
TI - Enhancement of image quality and imaging depth with Airy light-sheet microscopy
in cleared and non-cleared neural tissue.
AB - We have investigated the effect of Airy illumination on the image quality and
depth penetration of digitally scanned light-sheet microscopy in turbid neural
tissue. We used Fourier analysis of images acquired using Gaussian and Airy light
sheets to assess their respective image quality versus penetration into the
tissue. We observed a three-fold average improvement in image quality at 50 MUm
depth with the Airy light-sheet. We also used optical clearing to tune the
scattering properties of the tissue and found that the improvement when using an
Airy light-sheet is greater in the presence of stronger sample-induced
aberrations. Finally, we used homogeneous resolution probes in these tissues to
quantify absolute depth penetration in cleared samples with each beam type. The
Airy light-sheet method extended depth penetration by 30% compared to a Gaussian
light-sheet.
PMID- 27867713
TI - Wavelength-normalized spectroscopic analysis of Staphylococcus aureus and
Pseudomonas aeruginosa growth rates.
AB - Optical density (OD) measurements are the standard approach used in microbiology
for characterizing bacteria concentrations in culture media. OD is based on
measuring the optical absorbance of a sample at a single wavelength, and any
error will propagate through all calculations, leading to reproducibility issues.
Here, we use the conventional OD technique to measure the growth rates of two
different species of bacteria, Pseudomonas aeruginosa and Staphylococcus aureus.
The same samples are also analyzed over the entire UV-Vis wavelength spectrum,
allowing a distinctly different strategy for data analysis to be performed.
Specifically, instead of only analyzing a single wavelength, a multi-wavelength
normalization process is implemented. When the OD method is used, the detected
signal does not follow the log growth curve. In contrast, the multi-wavelength
normalization process minimizes the impact of bacteria byproducts and
environmental noise on the signal, thereby accurately quantifying growth rates
with high fidelity at low concentrations.
PMID- 27867714
TI - Automatic identification of the temporal retinal nerve fiber raphe from macular
cube data.
AB - We evaluated several approaches for automatic location of the temporal nerve
fiber raphe from standard macular cubes acquired on a Heidelberg Spectralis OCT.
Macular cubes with B-scan separation of 96-122 um were acquired from 15 healthy
participants, and "high density" cubes with scan separation of 11 um were
acquired from the same eyes. These latter scans were assigned to experienced
graders for subjective location of the raphe, providing the ground truth by which
to compare methods operating on the lower density data. A variety of OCT scan
parameters and image processing strategies were trialed. Vertically oriented
scans, purposeful misalignment of the pupil to avoid reflective artifacts, and
the use of intensity as opposed to thickness of the nerve fiber layer were all
critical to minimize error. The best performing approach "cFan" involved
projection of a fan of lines from each of several locations across the foveal
pit; in each fan the line of least average intensity was identified. The centroid
of the crossing points of these lines provided the raphe orientation with an
average error of 1.5 degrees (max = 4.1 degrees ) relative to the human graders.
The disc-fovea-raphe angle was 172.4 +/- 2.3 degrees (range = 168.5-176.2
degrees ), which agrees well with other published estimates.
PMID- 27867715
TI - Changes of collagen ultrastructure in breast cancer tissue determined by second
harmonic generation double Stokes-Mueller polarimetric microscopy.
AB - Second-harmonic generation (SHG) double Stokes-Mueller polarimetric microscopy is
applied to study the alteration of collagen ultrastructure in a tissue microarray
containing three pathological human breast cancer types with differently
overexpressed estrogen receptor (ER), progesterone receptor (PgR), and human
epidermal growth factor receptor 2 (HER2). Kleinman symmetry is experimentally
validated in breast tissue for 1028 nm laser wavelength and it has been shown
that measurements with only linearly polarized incoming and outgoing states can
determine molecular nonlinear susceptibility tensor component ratio, average in
plane orientation of collagen fibers and degree of linear polarization of SHG.
Increase in the susceptibility ratio for ER, PgR, HER2 positive cases, reveals
ultrastructural changes in the collagen fibers while the susceptibility ratio
increase and decrease in degree of linear polarization for ER and PgR positive
cases indicate alteration of the ultrastructure and increased disorder of the
collagen fibers within each focal volume. The study demonstrates a potential use
of polarimetric SHG microscopy for collagen characterization and cancer
diagnostics.
PMID- 27867716
TI - Automatic classification of atherosclerotic plaques imaged with intravascular
OCT.
AB - Intravascular optical coherence tomography (IV-OCT) allows evaluation of
atherosclerotic plaques; however, plaque characterization is performed by visual
assessment and requires a trained expert for interpretation of the large data
sets. Here, we present a novel computational method for automated IV-OCT plaque
characterization. This method is based on the modeling of each A-line of an IV
OCT data set as a linear combination of a number of depth profiles. After
estimating these depth profiles by means of an alternating least square
optimization strategy, they are automatically classified to predefined tissue
types based on their morphological characteristics. The performance of our
proposed method was evaluated with IV-OCT scans of cadaveric human coronary
arteries and corresponding tissue histopathology. Our results suggest that this
methodology allows automated identification of fibrotic and lipid-containing
plaques. Moreover, this novel computational method has the potential to enable
high throughput atherosclerotic plaque characterization.
PMID- 27867717
TI - Holographic tomography with scanning of illumination: space-domain reconstruction
for spatially invariant accuracy.
AB - The paper presents two novel, space-domain reconstruction algorithms for
holographic tomography utilizing scanning of illumination and a fixed detector
that is highly suitable for imaging of living biomedical specimens. The first
proposed algorithm is an adaptation of the filtered backpropagation to the
scanning illumination tomography. Its space-domain implementation enables
avoiding the error-prone interpolation in the Fourier domain, which is a
significant problem of the state-of-the-art tomographic algorithm. The second
proposed algorithm is a modified version of the former, which ensures the
spatially invariant reconstruction accuracy. The utility of the proposed
algorithms is demonstrated with numerical simulations and experimental
measurement of a cancer cell.
PMID- 27867719
TI - Monitoring cerebral oxygenation and local field potential with a variation of
isoflurane concentration in a rat model.
AB - We aimed to investigate experimentally how anesthetic levels affect cerebral
metabolism measured by near-infrared spectroscopy (NIRS) and to identify a robust
marker among NIRS parameters to discriminate various stages of anesthetic depth
in rats under isoflurane anesthesia. In order to record the hemodynamic changes
and local field potential (LFP) in the brain, fiber-optic cannulae and custom
made microelectrodes were implanted in the frontal cortex of the skull. The NIRS
and LFP signals were continuously monitored before, during and after isoflurane
anesthesia. As isoflurane concentration is reduced, the level of oxyhemoglobin
and total hemoglobin concentrations of the frontal cortex decreased gradually,
while deoxyhemoglobin increased. The reflectance ratio between 730nm and 850nm
and burst suppression ratio (BSR) correspond similarly with the change of
oxyhemoglobin during the variation of isoflurane concentration. These results
suggest that NIRS signals in addition to EEG may provide a possibility of
developing a new anesthetic depth index.
PMID- 27867720
TI - Biodegradable polymeric nanoparticles containing gold nanoparticles and
Paclitaxel for cancer imaging and drug delivery using photoacoustic methods.
AB - In this study, optical-triggered multifunctional theranostic agents for
photoacoustic/fluorescent imaging and cancer therapy have been developed. This
system consists of a perfluorohexane liquid and gold nanoparticles (GNPs) in the
core, stabilized by a Poly (lactide-co-glycolic acid) (PLGA) polymer shell. When
cancer cells containing PLGA-GNPs were exposed to laser pulses, cell viability
decreased due to the vaporization of the particles in and around the cells. The
particle chemo drug loading and delivery capacity was also investigated in vitro
experiments. These particles show potential as photoacoustic imaging and therapy
agents for future clinical translation in cancer therapy.
PMID- 27867718
TI - Temporal multiplexing with adaptive optics for simultaneous vision.
AB - We present and test a methodology for generating simultaneous vision with a
deformable mirror that changed shape at 50 Hz between two vergences: 0 D (far
vision) and -2.5 D (near vision). Different bifocal designs, including toric and
combinations of spherical aberration, were simulated and assessed objectively. We
found that typical corneal aberrations of a 60-year-old subject changes the shape
of objective through-focus curves of a perfect bifocal lens. This methodology can
be used to investigate subjective visual performance for different multifocal
contact or intraocular lens designs.
PMID- 27867721
TI - Wide-field optical coherence micro-elastography for intraoperative assessment of
human breast cancer margins.
AB - Incomplete excision of malignant tissue is a major issue in breast-conserving
surgery, with typically 20 - 30% of cases requiring a second surgical procedure
arising from postoperative detection of an involved margin. We report advances in
the development of a new intraoperative tool, optical coherence micro
elastography, for the assessment of tumor margins on the micro-scale. We
demonstrate an important step by conducting whole specimen imaging in
intraoperative time frames with a wide-field scanning system acquiring mosaicked
elastograms with overall dimensions of ~50 * 50 mm, large enough to image an
entire face of most lumpectomy specimens. This capability is enabled by a wide
aperture annular actuator with an internal diameter of 65 mm. We demonstrate
feasibility by presenting elastograms recorded from freshly excised human breast
tissue, including from a mastectomy, lumpectomies and a cavity shaving.
PMID- 27867722
TI - Feasibility of spatial frequency domain imaging (SFDI) for optically
characterizing a preclinical oncology model.
AB - Determination of chemotherapy efficacy early during treatment would provide more
opportunities for physicians to alter and adapt treatment plans. Diffuse optical
technologies may be ideally suited to track early biological events following
chemotherapy administration due to low cost and high information content. We
evaluated the use of spatial frequency domain imaging (SFDI) to characterize a
small animal tumor model in order to move towards the goal of endogenous optical
monitoring of cancer therapy in a controlled preclinical setting. The effects of
key measurement parameters including the choice of imaging spatial frequency and
the repeatability of measurements were evaluated. The precision of SFDI optical
property extractions over repeat mouse measurements was determined to be within
3.52% for move and replace experiments. Baseline optical properties and
chromophore values as well as intratumor heterogeneity were evaluated over 25
tumors. Additionally, tumor growth and chemotherapy response were monitored over
a 45 day longitudinal study in a small number of mice to demonstrate the ability
of SFDI to track treatment effects. Optical scattering and oxygen saturation
increased as much as 70% and 25% respectively in treated tumors, suggesting SFDI
may be useful for preclinical tracking of cancer therapies.
PMID- 27867723
TI - All-optical highly sensitive akinetic sensor for ultrasound detection and
photoacoustic imaging.
AB - A novel all-optical akinetic ultrasound sensor, consisting of a rigid, fiber
coupled Fabry-Perot etalon with a transparent central opening is presented. The
sensing principle relies exclusively on the detection of pressure-induced changes
of the refractive index in the fluid filling the Fabry-Perot cavity. This enables
resonance-free, inherently linear signal detection over a broad bandwidth. We
demonstrate that the sensor achieves a exceptionally low peak noise equivalent
pressure (NEP) values of 2 Pa over a 20 MHz measurement bandwidth (without signal
averaging), while maintaining a flat frequency response, and a detection
bandwidth up to 22.5 MHz (-6 dB). The measured large full field of view of the
sensor is 2.7 mm * 1.3 mm and the dynamic range is [Formula: see text] or 63 dB
at 20 MHz bandwidth. For different required amplitude ranges the upper amplitude
detection limit can be customized from at least 2 kPa to 2 MPa by using cavity
mirrors with a lower optical reflectivity. Imaging tests on a resolution target
and on biological tissue show the excellent suitability of the akinetic sensor
for optical resolution photoacoustic microscopy (OR-PAM) applications.
PMID- 27867724
TI - Dual-color multiple-particle tracking at 50-nm localization and over 100-um range
in 3D with temporal focusing two-photon microscopy.
AB - Nanoscale particle tracking in three dimensions is crucial to directly observe
dynamics of molecules and nanoparticles in living cells. Here we present a three
dimensional particle tracking method based on temporally focused two-photon
excitation. Multiple particles are imaged at 30 frames/s in volume up to 180 *
180 * 100 um3. The spatial localization precision can reach 50 nm. We demonstrate
its capability of tracking fast swimming microbes at speed of ~200 um/s. Two
photon dual-color tracking is achieved by simultaneously exciting two kinds of
fluorescent beads at 800 nm to demonstrate its potential in molecular interaction
studies. Our method provides a simple wide-field fluorescence imaging approach
for deep multiple-particle tracking.
PMID- 27867725
TI - Phase stretch transform for super-resolution localization microscopy.
AB - Super-resolution localization microscopy has revolutionized the observation of
living structures at the cellular scale, by achieving a spatial resolution that
is improved by more than an order of magnitude compared to the diffraction limit.
These methods localize single events from isolated sources in repeated cycles in
order to achieve super-resolution. The requirement for sparse distribution of
simultaneously activated sources in the field of view dictates the acquisition of
thousands of frames in order to construct the full super-resolution image. As a
result, these methods have slow temporal resolution which is a major limitation
when investigating live-cell dynamics. In this paper we present the use of a
phase stretch transform for high-density super-resolution localization
microscopy. This is a nonlinear frequency dependent transform that emulates the
propagation of light through a physical medium with a specific warped diffractive
property and applies a 2D phase function to the image in the frequency domain. By
choosing properly the transform parameters and the phase kernel profile, the
point spread function of each emitter can be sharpened and narrowed. This enables
the localization of overlapping emitters, thus allowing a higher density of
activated emitters as well as shorter data collection acquisition rates. The
method is validated by numerical simulations and by experimental data obtained
using a microtubule sample.
PMID- 27867726
TI - Time-resolved fluorescence spectroscopy for clinical diagnosis of actinic
cheilitis.
AB - Actinic cheilitis is a potentially malignant disorder of the lips. Its first
cause is believed to be UV sun radiation. The lesion is highly heterogeneous,
making the choice of area to be biopsied difficult. This study exploits the
capabilities of time-resolved fluorescence spectroscopy for the identification of
the most representative area to be biopsied. A preliminary study was performed on
fourteen patients. A classification algorithm was used on data acquired on nine
different biopsies. The algorithm discriminated between absent, mild, and
moderate dysplasia with a sensitivity of 92.9%, 90.0%, and 80.0%, respectively.
The false positive rate for healthy tissue (specificity) was 88.8%.
PMID- 27867727
TI - Optical lens-microneedle array for percutaneous light delivery.
AB - The limited penetration depth of light in skin tissues is a practical bottleneck
in dermatologic applications of light-induced therapies, including anti-microbial
blue light therapy and photodynamic skin cancer therapy. Here, we demonstrate a
novel device, termed optical microneedle array (OMNA), for percutaneous light
delivery. A prototype device with a 11 by 11 array of needles at a spacing of 1
mm and a length of 1.6 mm was fabricated by press-molding poly-(lactic acid)
(PLA) polymers. The device also incorporates a matched microlens array that
focuses the light through the needle tips at specific points to achieve an
optimal intensity profile in the tissue. In experiments done with bovine tissues,
the OMNA enabled us to deliver a total of 7.5% of the input photons at a
wavelength of 491 nm, compared to only 0.85% without the device. This 9-fold
enhancement of light delivery was close to the prediction of 10.8 dB by ray
tracing simulation and is expected to increase the effective treatment depth of
anti-microbial blue light therapy significantly from 1.3 to 2.5 mm in human skin.
PMID- 27867728
TI - Label free measurement of retinal blood cell flux, velocity, hematocrit and
capillary width in the living mouse eye.
AB - Measuring blood cell dynamics within the capillaries of the living eye provides
crucial information regarding the health of the microvascular network. To date,
the study of single blood cell movement in this network has been obscured by
optical aberrations, hindered by weak optical contrast, and often required
injection of exogenous fluorescent dyes to perform measurements. Here we present
a new strategy to non-invasively image single blood cells in the living mouse eye
without contrast agents. Eye aberrations were corrected with an adaptive optics
camera coupled with a fast, 15 kHz scanned beam orthogonal to a capillary of
interest. Blood cells were imaged as they flowed past a near infrared imaging
beam to which the eye is relatively insensitive. Optical contrast of cells was
optimized using differential scatter of blood cells in the split-detector imaging
configuration. Combined, these strategies provide label-free, non-invasive
imaging of blood cells in the retina as they travel in single file in
capillaries, enabling determination of cell flux, morphology, class, velocity,
and rheology at the single cell level.
PMID- 27867729
TI - Binocular summation and visual function with induced anisocoria and monovision.
AB - The advances in new techniques for correcting presbyopia, such as a small
aperture combined with monovision, require an in-depth study of binocular
aspects. In this work, we have studied binocular visual performance of 12
subjects after inducing different degrees of anisocoria combined with two
different add powers in the non-dominant eye. We have analysed visual performance
in terms of the visual-discrimination capacity (a function to evaluate the
strength of bothersome halos) and the contrast-sensitivity. The results show a
deterioration of the binocular vision when inducing anisocoria and with any add
power, with a higher perception of halos, a lower contrast sensitivity and poorer
binocular summation of these visual functions on increasing anisocoria. This
deterioration is clinically acceptable in the case of low add power, since
positive binocular summation is maintained in contrast sensitivity, and visual
discrimination is not altered.
PMID- 27867730
TI - Adaptive illumination reduces photobleaching in structured illumination
microscopy.
AB - Photobleaching is a major factor limiting the observation time in fluorescence
microscopy. We achieve photobleaching reduction in structured illumination
microscopy (SIM) by locally adjusting the illumination intensities according to
the sample. Adaptive SIM is enabled by a digital micro-mirror device (DMD), which
provides a projection of the grayscale illumination patterns. We demonstrate a
reduction in photobleaching by a factor of three in adaptive SIM compared to the
non-adaptive SIM based on a spot grid scanning approach. Our proof-of-principle
experiments show great potential for DMD-based microscopes to become a more
useful tool in live-cell SIM imaging.
PMID- 27867731
TI - Functional imaging of the human brain using a modular, fibre-less, high-density
diffuse optical tomography system.
AB - We present the first three-dimensional, functional images of the human brain to
be obtained using a fibre-less, high-density diffuse optical tomography system.
Our technology consists of independent, miniaturized, silicone-encapsulated DOT
modules that can be placed directly on the scalp. Four of these modules were
arranged to provide up to 128, dual-wavelength measurement channels over a scalp
area of approximately 60 * 65 mm2. Using a series of motor-cortex stimulation
experiments, we demonstrate that this system can obtain high-quality, continuous
wave measurements at source-detector separations ranging from 14 to 55 mm in
adults, in the presence of hair. We identify robust haemodynamic response
functions in 5 out of 5 subjects, and present diffuse optical tomography images
that depict functional haemodynamic responses that are well-localized in all
three dimensions at both the individual and group levels. This prototype modular
system paves the way for a new generation of wearable, wireless, high-density
optical neuroimaging technologies.
PMID- 27867733
TI - Multi-wavelength photoplethysmography method for skin arterial pulse extraction.
AB - In this work, we present a multi-wavelength (MW) PPG method exploiting the
wavelength dependence of light penetration in skin tissue to provide depth
resolution of skin blood pulsation. The MW PPG system requires two to three light
sources in different wavelengths and extracts the arterial blood pulsation
through a multi-wavelength multi-layer light-skin interaction model, which
removes the capillary pulsation (determined from the short-wavelength PPG signal)
from the long-wavelength PPG signal using absorption weighting factors that are
quasi-analytically calibrated. The extracted pulsations are used to calculate
blood pressure (BP) through pulse transit time (PTT), and the results are
compared with those obtained from the single wavelength PPG method. The
comparative study is clinically performed on 20 subjects including 10 patients
diagnosed with cardiovascular diseases and 10 healthy subjects. The result
demonstrates that the MW PPG method significantly improves the measurement
accuracy of systolic BP (SBP), reducing the mean absolute difference between the
reference and the estimated SBP values from 5.7 mmHg (for single-wavelength PPG)
to 2.9 mmHg (for three-wavelength PPG).
PMID- 27867734
TI - In vitro hematocrit measurement using spectrally encoded flow cytometry.
AB - Measuring key physiological parameters of small blood samples extracted from
patients could be useful for real-time clinical diagnosis at the point of care.
An important parameter required from all blood tests is the blood hematocrit, a
measure of the fractional volume occupied by the red cells within the blood. In
this work, we present a method for in vitro evaluation of hematocrit based on the
data acquired using spectrally encoded flow cytometry. Analysis of the
reflectance confocal images of blood within a flow chamber resulted in an error
as low as 1.7% in the measured hematocrit. The technique could be used as part of
an in vitro diagnostic system that measures important blood parameters at the
point of care.
PMID- 27867735
TI - Spectrally-broad coherent anti-Stokes Raman scattering hyper-microscopy utilizing
a Stokes supercontinuum pumped at 800 nm.
AB - We demonstrate spectral-focusing based coherent anti-Stokes Raman scattering (SF
CARS) hyper-microscopy capable of probing vibrational frequencies from 630 cm-1
to 3250 cm-1 using a single Ti:Sapphire femtosecond laser operating at 800 nm,
and a commercially-available supercontinuum-generating fibre module. A broad
Stokes supercontinuum with significant spectral power at wavelengths between 800
nm and 940 nm is generated by power tuning the fibre module using atypically long
and/or chirped ~200 fs pump pulses, allowing convenient access to lower
vibrational frequencies in the fingerprint spectral region. This work
significantly reduces the instrumental and technical requirements for multimodal
CARS microscopy, while expanding the spectral capabilities of an established
approach to SF-CARS.
PMID- 27867732
TI - Dynamic contrast optical coherence tomography images transit time and quantifies
microvascular plasma volume and flow in the retina and choriocapillaris.
AB - Despite the prevalence of optical imaging techniques to measure hemodynamics in
large retinal vessels, quantitative measurements of retinal capillary and
choroidal hemodynamics have traditionally been challenging. Here, a new imaging
technique called dynamic contrast optical coherence tomography (DyC-OCT) is
applied in the rat eye to study microvascular blood flow in individual retinal
and choroidal layers in vivo. DyC-OCT is based on imaging the transit of an
intravascular tracer dynamically as it passes through the field-of-view.
Hemodynamic parameters can be determined through quantitative analysis of tracer
kinetics. In addition to enabling depth-resolved transit time, volume, and flow
measurements, the injected tracer also enhances OCT angiograms and enables clear
visualization of the choriocapillaris, particularly when combined with a post
processing method for vessel enhancement. DyC-OCT complements conventional OCT
angiography through quantification of tracer dynamics, similar to fluorescence
angiography, but with the important added benefit of laminar resolution.
PMID- 27867736
TI - Quantification in time-domain diffuse optical tomography using Mellin-Laplace
transforms.
AB - Simulations and phantom measurements are used to evaluate the ability of time
domain diffuse optical tomography using Mellin-Laplace transforms to quantify the
absorption perturbation of centimetric objects immersed at depth 1-2 cm in turbid
media. We find that the estimated absorption coefficient varies almost linearly
with the absorption change in the range of 0-0.15 cm-1 but is underestimated by a
factor that depends on the inclusion depth (~2, 3 and 6 for depths of 1.0, 1.5
and 2.0 cm respectively). For larger absorption changes, the variation is
sublinear with ~20% decrease for deltaMUa = 0.37 cm-1. By contrast, constraining
the absorption change to the actual volume of the inclusion may considerably
improve the accuracy and linearity of the reconstructed absorption.
PMID- 27867737
TI - Developing the Philippines as a Global Hub for Disaster Risk Reduction - A Health
Research Initiative as Presented at the 10th Philippine National Health Research
System Week Celebration.
AB - The recent Philippine National Health Research System (PNHRS) Week Celebration
highlighted the growing commitment to Disaster Risk Reduction (DRR) in the
Philippines. The event was lead by the Philippine Council for Health Research and
Development of the Department of Science and Technology and the Department of
Health, and saw the participation of national and international experts in DRR,
and numerous research consortia from all over the Philippines. With a central
focus on the Sendai Framework for Disaster Risk Reduction, the DRR related events
recognised the significant disaster risks faced in the Philippines. They also
illustrated the Philippine strengths and experience in DRR. Key innovations in
science and technology showcased at the conference include the web-base hazard
mapping applications 'Project NOAH' and 'FaultFinder'. Other notable innovations
include 'Surveillance in Post Extreme Emergencies and Disasters' (SPEED) which
monitors potential outbreaks through a syndromic reporting system. Three areas
noted for further development in DRR science and technology included: integrated
national hazard assessment, strengthened collaboration, and improved
documentation. Finally, the event saw the proposal to develop the Philippines
into a global hub for DRR. The combination of the risk profile of the
Philippines, established national structures and experience in DRR, as well as
scientific and technological innovation in this field are potential factors that
could position the Philippines as a future global leader in DRR. The purpose of
this article is to formally document the key messages of the DRR-related events
of the PNHRS Week Celebration.
PMID- 27867738
TI - Evaluation of electrical impedance spectroscopy as an adjunct to dermoscopy in
short-term monitoring of atypical melanocytic lesions.
AB - BACKGROUND: Early detection of melanoma is vital for treatment outcome and
survival. Short-term sequential digital dermoscopic monitoring (ST-SDDM) involves
the capture and assessment of dermoscopic images of one or more atypical
melanocytic lesions (AMLs), at baseline and after four months, in order to detect
early morphologic changes. Electrical impedance spectroscopy (EIS) is a
diagnostic tool with high sensitivity for the detection of malignant melanocytic
lesions. OBJECTIVES: The aim of this study was to assess whether EIS, in addition
to ST-SDDM, could improve the selection of AMLs requiring surgery. METHODS: In
this retrospective descriptive study, 22 AMLs in 19 patients were monitored with
both ST-SDDM and EIS. A modified EIS decision-making algorithm was established.
AMLs were excised if any dermoscopic changes were seen and/or if the EIS score
had increased significantly at follow-up. Statistical analyses were made
including sensitivity, specificity, PPV and NPV. RESULTS: A total of seven
lesions (32%) were excised. Four lesions (57%) were excised solely because of
dermoscopic changes including a 0.4 mm-thick melanoma and three benign nevi.
Three benign lesions (43%) were excised because of increased EIS scores without
any dermoscopic changes. The EIS scores at follow-up showed high variability as
compared to the initial scores. CONCLUSION: The addition of EIS to ST-SDDM did
not identify additional malignant lesions. There was no correlation between
dermoscopic changes seen with ST-SDDM and increased EIS scores. Three
histopathologically benign lesions were needlessly excised. Moreover, the low
reproducibility and the possible interoperator variability of the method raised
concerns.
PMID- 27867740
TI - Regressing basal-cell carcinoma masquerading as benign lichenoid keratosis.
AB - BACKGROUND: Benign lichenoid keratosis (BLK, LPLK) is often misdiagnosed
clinically as superficial basal-cell carcinoma (BCC), especially when occurring
on the trunk. However, BCCs undergoing regression may be associated with a
lichenoid interface dermatitis that may be misinterpreted as BLK in
histopathologic sections. METHODS: In order to assess the frequency of remnants
of BCC in lesions interpreted as BLK, we performed step sections on 100 lesions
from the trunk of male patients that had been diagnosed as BLK. RESULTS: Deeper
sections revealed remnants of superficial BCC in five and remnants of a
melanocytic nevus in two specimens. In the original sections of cases in which a
BCC showed up, crusts tended to be more common, whereas vacuolar changes at the
dermo-epidermal junction and melanophages in the papillary dermis tended to be
less common and less pronounced. CONCLUSIONS: Lesions from the trunk submitted as
BCC and presenting histopathologically as a lichenoid interface dermatitis are
not always BLKs. Although no confident recommendations can be given on the basis
of this limited study, deeper sections may be warranted if lesions are crusted
and/or associated with only minimal vacuolar changes at the dermo-epidermal
junction and no or few melanophages in the papillary dermis.
PMID- 27867741
TI - Pityriasis rubra pilaris-like eruption following insulin therapy initiation.
AB - Pityriasis rubra pilaris (PRP) is a chronic disorder of keratinization of unclear
pathogenesis. PRP-like eruptions induced by drugs have rarely been described. A
previously healthy 29-year-old man presented with a generalized, rapidly
spreading, erythematosquamous dermatosis, that started three days after
initiation of subcutaneous insulin therapy for diabetes mellitus type 1. Clinical
and histopathological features were consistent with a PRP-like eruption, possibly
due to insulin therapy. The patient was switched to insulin analogue therapy and
a complete healing of all lesions was achieved after two months. No recurrence
was seen after one year of follow-up. Other possible etiologies of PRP were
excluded. The mechanism leading to the occurrence of drug-induced PRP-like
eruptions are not clear. Since PRP may occur in the context of immunological
anomalies, it is possible that diabetes mellitus type 1 may have been a
predisposing condition for the development of PRP in this case.
PMID- 27867739
TI - Dermoscopic hemorrhagic dots: an early predictor of response of psoriasis to
biologic agents.
AB - BACKGROUND: Biologic agents are routinely used in the treatment of severe
psoriasis. The evaluation of treatment response is mainly based on the
physician's global clinical assessment. OBJECTIVE: To investigate whether
dermoscopy might enhance the assessment of response of psoriasis to treatment
with biologic agents. METHODS: Patients with severe psoriasis scheduled to
receive a biologic agent were enrolled in the study. A target lesion from each
patient was clinically and dermoscopically documented at baseline and after one,
two and six months. The clinical response was evaluated by the recruiting
clinicians at all visits, while dermoscopic images were evaluated by two
independent investigators, blinded to the clinical information. Chi Square test
was used for cross-tabulation comparisons, while odds ratios, 95% confidence
intervals and p values were calculated using univariate logistic regression.
RESULTS: Overall, there was a significant correlation between clinical response
and vessel distribution at all time points: a regular vessel distribution
correlated with no response, a clustered distribution with partial response, and
the dermoscopic absence of vessels with complete response. The presence of
dermoscopic hemorrhagic dots was a potent predictor of favorable clinical
response at the subsequent visit at all time points. Among lesions initially
clinically responding and later recurring, 87.5% displayed dermoscopic dotted
vessels despite the macroscopic remission. CONCLUSION: Dermoscopy might be a
useful additional tool for evaluating the response of psoriatic patients to
biologic agents. Hemorrhagic dots represent an early predictor of clinical
response, while the persistence or reappearance of dotted vessels might predict
clinical persistence or recurrence, respectively.
PMID- 27867742
TI - Dermoscopic appearance of an amelanotic mucosal melanoma.
AB - BACKGROUND: Hypomelanotic or amelanotic melanomas are challenging to identify,
especially at mucosal sites. The dermoscopic clues to the diagnosis of mucosal
melanomas have been reported to be structureless zones with the presence of blue,
gray, or white colors. CASE: A female in her seventies noted a new lesion on the
inside of her right labia that first appeared two months prior. Her past medical
history was significant for rheumatoid arthritis requiring ongoing treatment with
methotrexate for 20 years and adalimumab for 10 years. After no response to two
weeks of local treatment for suspected herpes simplex infection, her gynecologist
performed a skin biopsy. Based on the histopathological diagnosis of an
amelanotic melanoma (Breslow thickness of 1.3 mm) the patient was referred to
dermatology for further assessment. Polarized dermoscopy revealed a distinct
asymmetric, sharply demarcated homogenous white papule (4 * 5 mm) as well as
polymorphous vessels. CONCLUSION: Dermoscopy may aid in the diagnosis of
amelanotic mucosal melanomas. Our case revealed a structureless white area and
polymorphous vessels. Additional clues to the diagnosis were the advanced age of
the patient and the clinical presentation of a new lesion.
PMID- 27867743
TI - In vivo reflectance confocal microscopy features of a melanoacanthoma.
AB - Efforts have been expended to evaluate the reflectance confocal microscopy (RCM)
features of different clinical entities in order to more thoroughly delineate
benign versus malignant features. In this way, RCM can help clinicians to be more
selective in regard to undertaking appropriate skin biopsies and improving their
benign to malignant ratio. Herein, we report a case of a histopathologically
proven melanoacanthoma, a variant of seborrheic keratosis. There are scarce
reports describing the RCM features of melanoacanthoma. Our case demonstrated RCM
features that were suspicious for melanoma. More RCM images of this benign entity
are needed to establish definitive diagnostic criteria.
PMID- 27867744
TI - IgA pemphigus showing IgA antibodies to desmoglein 1 and 3.
AB - BACKGROUND: IgA pemphigus is a rare autoimmune vesiculo-pustular skin disease.
Only approximately 70 cases have been reported to date. We report a case of IgA
pemphigus with IgA antibodies to desmoglein 1 (Dsg1) and desmoglein 3 (Dsg3).
CASE REPORT: We report the case of an 60-year-old man with intraepidermal
neutrophilic IgA pemphigus with IgA antibodies to Dsg1 and Dsg3. Histologic
examination revealed subcorneal neutrophilic pustules with few acantholytic
cells. The disease was not effectively controlled by conventional therapeutic
regimens (colchicine, dapsone). Systemic treatment with isotretinoin 25 mg/d and
prednisone 20 mg/d achieved only a slight effect after six months. CONCLUSIONS:
Our case confirmed the recalcitrant nature of IgA pemphigus in response to
distinct therapies, indicating that further research focusing on therapeutic
approaches for this type of pemphigus is needed. Physicians should keep IgA
pemphigus in mind when approaching patients with bullous eruption.
PMID- 27867745
TI - First description of the dermatoscopic features of acquired elastotic hemangioma
a case report.
AB - We present a case of acquired elastotic hemangioma (AEH), a rare, benign vascular
tumor. A Caucasian male in his 60s presented with an asymptomatic, solitary, non
pigmented and violaceous lesion of short duration on the dorsum of his hand. The
lesion had unique clinical, dermatoscopic and pathological features.
Dermatoscopic images of the lesion are presented for characterization and
histopathological correlation that have not previously been published or
described.
PMID- 27867746
TI - Atypical case of lichen planus recognized by dermoscopy.
AB - Lichen planus (LP) is an inflammatory disease that affects the skin-mainly the
extremities and the trunk-the mucous membranes, the genitalia, the nails and the
scalp. The diagnosis of LP is usually established clinically based on the typical
morphology and distribution of the lesions in conjunction with the associated
itch. We report a patient with LP manifesting highly psoriasiform lesions, that
could only be correctly assessed after the application of dermoscopy, which
revealed LP-specific findings.
PMID- 27867747
TI - Early diagnosis of genital mucosal melanoma: how good are our dermoscopic
criteria?
AB - BACKGROUND: There are limited studies on the dermoscopic features of mucosal
melanoma, particularly early-stage lesions. Described criteria include the
presence of blue, gray, or white colors, with a reported sensitivity of 100%. It
is unclear if these features will aid in the detection of early mucosal melanoma
or improve diagnostic accuracy compared to naked-eye examination alone. CASE: An
Asian female in her fifties was referred for evaluation of an asymptomatic,
irregularly pigmented patch of the clitoral hood and labia minora of unknown
duration. Her past medical history was notable for Stage IV non-small cell lung
cancer. She denied a personal or family history of skin cancer. Dermoscopic
evaluation of the vulvar lesion revealed heterogeneous brown and black
pigmentation mostly composed of thick lines. There were no other colors or
structures present. As the differential diagnosis included vulvar melanosis and
mucosal melanoma, the patient was recommended to undergo biopsy, which was
delayed due to complications from her underlying lung cancer. Repeat dermoscopic
imaging performed three months later revealed significant changes concerning for
melanoma, including increase in size, asymmetric darkening, and the appearance of
structureless areas and central blue and pink colors. Histopathological
examination of a biopsy and subsequent resection confirmed the diagnosis of
melanoma in situ. CONCLUSION: Previously described dermoscopic features for
mucosal melanoma may not have high sensitivity for early melanomas. Additional
studies are needed to define the dermoscopic characteristics of mucosal melanomas
that aid in early detection. Health care providers should have a low threshold
for biopsy of mucosal lesions that show any clinical or dermoscopic features of
melanoma, especially in older women.
PMID- 27867748
TI - Pigmented Bowen's disease presenting with a "starburst" pattern.
AB - Pigmented Bowen's disease (pBD) is an uncommon in situ squamous cell carcinoma of
the skin usually presenting as a dark scaly plaque involving chronically exposed
sites, which is not uncommonly mistaken for other similar pigmented lesions, such
as melanoma, pigmented basal cell carcinoma or seborrheic keratosis [1,2].
Dermoscopy has been proven to improve its diagnosis by showing several findings,
i.e., gray/brownish dots in linear arrangement, scales, coiled vessels,
focal/multifocal amorphous hypopigmentation and bluish structureless areas [1,2].
However, pBD may sometimes display dermoscopic features which are typical of
other pigmented lesions, thus making its recognition quite troublesome despite
the use of dermoscopy [1,2]. We report a case of pBD with a "starburst" pattern,
discussing its dermoscopic differential diagnosis.
PMID- 27867749
TI - An irregular pigmented lesion on the back.
PMID- 27867750
TI - Ethics, Risk, and Media Intervention: Women's Breast Cancer in Venezuela.
AB - Breast cancer incidence and mortality rates are of concern among Latin American
women, mainly due to the growing prevalence of this disease and the lack of
compliance to proper breast cancer screening and treatment. Focusing on
Venezuelan women and the challenges and barriers that interact with their health
communication, this paper looks into issues surrounding women's breast cancer,
such as the challenges and barriers to breast cancer care, the relevant ethics
and responsibilities, the right to health, breast cancer risk perception and risk
communication, and the media interventions that affect Venezuelan women's
perceptions and actions pertaining to this disease. In particular, it describes
an action-oriented research project in Venezuela that was conducted over a four
year period of collaborative work among researchers, practitioners, NGOs,
patients, journalists, and policymakers. The outcomes include positive
indications on more effective interactions between physicians and patients,
increasing satisfactions about issues of ethical treatment in providing
healthcare services, more sufficient and responsible media coverage of breast
cancer healthcare services and information, a widely supported declaration for a
national response against breast cancer in Venezuela, and the creation of a code
of ethics for the Venezuelan NGO that led the expansion of networking in support
of women's breast cancer healthcare.
PMID- 27867751
TI - T7 Phage Display Library a Promising Strategy to Detect Tuberculosis Specific
Biomarkers.
AB - One-third of the world's population is infected with tuberculosis, only 10% will
develop active disease and the remaining 90% is considered to have latent TB
(LTB). While active TB is contagious and can be lethal, the LTB can evolve to
active TB. The diagnosis of TB can be challenging, especially in the early
stages, due to the variability in presentation and nonspecific signs and
symptoms. Currently, we have limited tools available to diagnose active TB,
predict treatment efficacy and cure of active tuberculosis, the reactivation of
latent tuberculosis infection, and the induction of protective immune responses
through vaccination. Therefore, the identification of robust and accurate
tuberculosis-specific biomarkers is crucial for the successful eradication of TB.
In this commentary, we summarized the available methods for diagnosis and
differentiation of active TB from LTB and their limitations. Additionally, we
present a novel peptide microarray platform as promising strategy to identify TB
biomarkers.
PMID- 27867753
TI - Diabetic Wounds Exhibit Decreased Ym1 and Arginase Expression with Increased
Expression of IL-17 and IL-20.
AB - Objective: Impaired wound healing in diabetic (DB) patients is a significant
health problem; however, the roles that cytokines and innate immune cells
contribute to this impaired healing are not completely understood. Approach: A
mouse model was used to compare the innate immune response during DB and normal
wound healing. Two 5-mm full-thickness wounds were created on the dorsal skin of
BKS.Cg-m+/+Leprdb/J (DB) and C57BL/6 (wild-type) mice. Innate immune cell markers
and cytokine mRNA levels were measured in wound biopsies during the first week of
healing. Results: Innate immune cell influx (typified by the Gr-1 neutrophil
marker and the Ym1 macrophage marker) was delayed in the DB wounds. Expression of
the M2 macrophage-related genes, Ym1 and arginase 1, was significantly reduced in
the DB wounds. PCR array analysis demonstrated altered cytokine expression in DB
wounds. Most prominently, both interleukin (IL)-17 and IL-20 mRNA levels were
significantly increased in the DB wounds. Innovation: This is the first study to
identify increased levels of IL-17 and IL-20 in DB wounds. These cytokines are
also elevated in the inflammatory skin disorder, psoriasis; thus, they may be
potential therapeutic targets to aid in DB wound healing. Conclusion: The entire
cytokine profile of DB wounds over the course of healing is not completely
understood. This study suggests that the IL-17 and IL-20 families of cytokines
should be further analyzed in the context of DB wound healing.
PMID- 27867752
TI - Comparing the Effectiveness of Polymer Debriding Devices Using a Porcine Wound
Biofilm Model.
AB - Objective: Debridement to remove necrotic and/or infected tissue and promote
active healing remains a cornerstone of contemporary chronic wound management.
While there has been a recent shift toward less invasive polymer-based debriding
devices, their efficacy requires rigorous evaluation. Approach: This study was
designed to directly compare monofilament debriding devices to traditional gauze
using a wounded porcine skin biofilm model with standardized application
parameters. Biofilm removal was determined using a surface viability assay,
bacterial counts, histological assessment, and scanning electron microscopy
(SEM). Results: Quantitative analysis revealed that monofilament debriding
devices outperformed the standard gauze, resulting in up to 100-fold greater
reduction in bacterial counts. Interestingly, histological and morphological
analyses suggested that debridement not only removed bacteria, but also
differentially disrupted the bacterially-derived extracellular polymeric
substance. Finally, SEM of post-debridement monofilaments showed structural
changes in attached bacteria, implying a negative impact on viability.
Innovation: This is the first study to combine controlled and defined debridement
application with a biologically relevant ex vivo biofilm model to directly
compare monofilament debriding devices. Conclusion: These data support the use of
monofilament debriding devices for the removal of established wound biofilms and
suggest variable efficacy towards biofilms composed of different species of
bacteria.
PMID- 27867754
TI - A Small Chimeric Fibronectin Fragment Accelerates Dermal Wound Repair in Diabetic
Mice.
AB - Objective: During wound repair, soluble fibronectin is converted into
biologically active, insoluble fibrils via a cell-mediated process. This
fibrillar, extracellular matrix (ECM) form of fibronectin stimulates cell
processes critical to tissue repair. Nonhealing wounds show reduced levels of ECM
fibronectin fibrils. The objective of this study was to produce a small,
recombinant wound supplement with the biological activity of insoluble
fibronectin fibrils. Approach: A chimeric fibronectin fragment was produced by
inserting the integrin-binding Arg-Gly-Asp (RGD) loop from the tenth type III
repeat of fibronectin (FNIII10) into the analogous site within the heparin
binding, bioactive fragment of the first type III repeat (FNIII1H). FNIII1HRGD
was tested for its ability to support cell functions necessary for wound healing,
and then evaluated for its capacity to accelerate healing of full-thickness
dermal wounds in diabetic mice. Results:In vitro, FNIII1HRGD supported cell
adhesion, proliferation, and ECM fibronectin deposition. Application of
FNIII1HRGD to dermal wounds of diabetic mice significantly enhanced wound closure
compared with controls (73.9% +/-4.1% vs. 58.1% +/-4.7% closure on day 9,
respectively), and significantly increased granulation tissue thickness (2.88 +/-
0.75-fold increase over controls on day 14). Innovation: Recombinant proteins
designed to functionally mimic the ECM form of fibronectin provide a novel
therapeutic approach to circumvent diminished fibronectin fibril formation by
delivering ECM fibronectin signals in a soluble form to chronic wounds.
Conclusion: A small, chimeric fibronectin protein was developed. FNIII1HRGD
demonstrated enhanced bioactivity in vitro and stimulated wound repair in a
murine model of chronic wounds.
PMID- 27867755
TI - Nutritional Aspects of Gastrointestinal Wound Healing.
AB - Significance: Although the wound healing cascade is similar in many tissues, in
the gastrointestinal tract mucosal healing is critical for processes such as
inflammatory bowel disease and ulcers and healing of the mucosa, submucosa, and
serosal layers is needed for surgical anastomoses and for enterocutaneous
fistula. Failure of wound healing can result in complications including
infection, prolonged hospitalization, critical illness, organ failure,
readmission, new or worsening enterocutaneous fistula, and even death. Recent
Advances: Recent advances are relevant for the role of specific micronutrients,
such as vitamin D, trace elements, and the interplay between molecules with pro-
and antioxidant properties. Our understanding of the role of other small
molecules, genes, proteins, and macronutrients is also rapidly changing. Recent
work has elucidated relationships between oxidative stress, nutritional
supplementation, and glucose metabolism. Thresholds have also been established to
define adequate preoperative nutritional status. Critical Issues: Further work is
needed to establish standards and definitions for measuring the extent of wound
healing, particularly for inflammatory bowel disease and ulcers. In addition, a
mounting body of evidence has determined the need for adequate preoperative
nutritional supplementation for elective surgical procedures. Future Directions:
A large portion of current work is restricted to model systems in rodents.
Therefore, additional clinical and translational research is needed in this area
to promote gastrointestinal wound healing in humans, particularly those suffering
from critical illness, patients with enterocutaneous fistula, inflammatory bowel
disease, and ulcers, and those undergoing surgical procedures.
PMID- 27867756
TI - Reference Clinical Database for Fixation Stability Metrics in Normal Subjects
Measured with the MAIA Microperimeter.
AB - PURPOSE: The purpose of this study was to establish a normal reference database
for fixation stability measured with the bivariate contour ellipse area (BCEA) in
the Macular Integrity Assessment (MAIA) microperimeter. METHODS: Subjects were
358 healthy volunteers who had the MAIA examination. Fixation stability was
assessed using two BCEA fixation indices (63% and 95% proportional values) and
the percentage of fixation points within 1 degrees and 2 degrees from the fovea
(P1 and P2). Statistical analysis was performed with linear regression and
Pearson's product moment correlation coefficient. RESULTS: Average areas of 0.80
deg2 (min = 0.03, max = 3.90, SD = 0.68) for the index BCEA@63% and 2.40 deg2
(min = 0.20, max = 11.70, SD = 2.04) for the index BCEA@95% were found. The
average values of P1 and P2 were 95% (min = 76, max = 100, SD = 5.31) and 99%
(min = 91, max = 100, SD = 1.42), respectively. The Pearson's product moment test
showed an almost perfect correlation index, r = 0.999, between BCEA@63% and
BCEA@95%. Index P1 showed a very strong correlation with BCEA@63%, r = -0.924, as
well as with BCEA@95%, r = -0.925. Index P2 demonstrated a slightly lower
correlation with both BCEA@63% and BCEA@95%, r = -0.874 and -0.875, respectively.
CONCLUSIONS: The single parameter of the BCEA@95% may be taken as accurately
reporting fixation stability and serves as a reference database of normal
subjects with a cutoff area of 2.40 +/- 2.04 deg2 in MAIA microperimeter.
TRANSLATIONAL RELEVANCE: Fixation stability can be measured with different
indices. This study originates reference fixation values for the MAIA using a
single fixation index.
PMID- 27867757
TI - Epigenetics, Media Coverage, and Parent Responsibilities in the Post-Genomic Era.
AB - Environmental epigenetics is the study of how exposures and experiences can turn
genes "on" or "off" without changing DNA sequence. By examining the influence
that environmental conditions including diet, stress, trauma, toxins, and care
can have on gene expression, this science suggests molecular connections between
the environment, genetics, and how acquired characteristics may be inherited
across generations. The rapid expansion of research in this area has attracted
growing media attention. This coverage has implications for how parents and
prospective parents understand health and their perceived responsibilities for
children's wellbeing. This review provides insight into epigenetic research, its
coverage in the media, and the social and ethical implications of this science
for patients and clinicians. As epigenetic findings continue to elucidate the
complex relationships between nature and nurture, it becomes critical to examine
how representations of this science may influence patient experiences of risk and
responsibility. This review describes some of the social and ethical implications
of epigenetic research today.
PMID- 27867759
TI - The potential for self-seeding by the coral Pocillopora spp. in Moorea, French
Polynesia.
AB - Coral reefs in Moorea, French Polynesia, suffered catastrophic coral mortality
through predation by Acanthaster planci from 2006 to 2010, and Cyclone Oli in
2010, yet by 2015 some coral populations were approaching pre-disturbance sizes.
Using long-term study plots, we quantified population dynamics of spawning
Pocillopora spp. along the north shore of Moorea between 2010 and 2014, and
considered evidence that population recovery could be supported by self-seeding.
Results scaled up from study plots and settlement tiles suggest that the number
of Pocillopora spp. colonies on the outer reef increased 1,890-fold between 2010
and 2014/2015, and in the back reef, 8-fold between 2010 and 2014/2015. Assuming
that spawning Pocillopora spp. in Moorea release similar numbers of eggs as con
generics in Hawaii, and fertilization success is similar to other spawning
corals, the capacity of Pocillopora spp. to produce larvae was estimated. These
estimates suggest that Pocillopora spp. in Moorea produced a large excess of
larvae in 2010 and 2014 relative to the number required to produce the recruits
found in the back reef and outer reef in 2010 and 2014, even assuming that ~99.9%
of the larvae do not recruit in Moorea. Less than a third of the recruits in one
year would have to survive to produce the juvenile Pocillopora spp. found in the
back and outer reefs in 2010 and 2014/2015. Our first order approximations reveal
the potential for Pocillopora spp. on the north shore of Moorea to produce enough
larvae to support local recruitment and population recovery following a
catastrophic disturbance.
PMID- 27867758
TI - Naturally Occurring Changes in Estradiol Concentrations in the Menopause
Transition Predict Morning Cortisol and Negative Mood in Perimenopausal
Depression.
AB - Risk of depression increases considerably during the menopause transition (or
perimenopause) - the 5-6 years surrounding the last menstrual period. While the
mechanisms underlying this increased risk are unknown, we have hypothesized that
excessive estradiol (E2) fluctuation, which accompanies the perimenopause, may be
implicated. We have furthermore proposed that dysregulation of the hypothalamic
pituitary-adrenal (HPA) axis may underlie E2 fluctuation's effect on mood. This
study examined the relationship between weekly changes in salivary E2, salivary
cortisol levels and weekly mood in 30 perimenopausal women recruited to achieve
equal numbers of women with current depression, past depression, and no history
of depression. Greater weekly increases in E2 were associated with increased
cortisol among past and currently depressed women; greater E2 increases were also
associated with negative mood among currently depressed women. These findings
provide evidence that HPA axis dysregulation, correlated with E2 fluctuation, may
be implicated in the pathophysiology of perimenopausal depression.
PMID- 27867760
TI - Pico and nanoplankton abundance and carbon stocks along the Brazilian Bight.
AB - Pico and nanoplankton communities from the Southwest Atlantic Ocean along the
Brazilian Bight are poorly described. The hydrography in this region is dominated
by a complex system of layered water masses, which includes the warm and
oligotrophic Tropical Water (TW), the cold and nutrient rich South Atlantic
Central Water (SACW) and the Coastal Water (CW), which have highly variable
properties. In order to assess how pico- and nanoplankton communities are
distributed in these different water masses, we determined by flow cytometry the
abundance of heterotrophic bacteria, Prochlorococcus, Synechococcus and
autotrophic pico and nanoeukaryotes along three transects, extending from 23
degrees S to 31 degrees S and 39 degrees W to 49 degrees W. Heterotrophic
bacteria (including archaea, maximum of 1.5 * 106 cells mL-1) were most abundant
in Coastal and Tropical Water whereas Prochlorococcus was most abundant in open
ocean oligotrophic waters (maximum of 300 * 103 cells mL-1). Synechococcus(up to
81 * 103 cells mL-1), as well as autotrophic pico and nanoeukaryotes seemed to
benefit from the influx of nutrient-rich waters near the continental slope.
Autotrophic pico and nanoeukaryotes were also abundant in deep chlorophyll
maximum (DCM) layers from offshore waters, and their highest abundances were 20 *
103 cells mL-1 and 5 * 103 cells mL-1, respectively. These data are consistent
with previous observations in other marine areas where Synechococcus and
autotrophic eukaryotes dominate mesotrophic waters, whereas Prochlorococcus
dominate in more oligotrophic areas. Regardless of the microbial community
structure near the surface, the carbon stock dominance by autotrophic
picoeukaryotes near the DCM is possibly linked to vertical mixing of oligotrophic
surface waters with the nutrient-rich SACW and their tolerance to lower light
levels.
PMID- 27867761
TI - Hyainailourine and teratodontine cranial material from the late Eocene of Egypt
and the application of parsimony and Bayesian methods to the phylogeny and
biogeography of Hyaenodonta (Placentalia, Mammalia).
AB - Hyaenodonta is a diverse, extinct group of carnivorous mammals that included
weasel- to rhinoceros-sized species. The oldest-known hyaenodont fossils are from
the middle Paleocene of North Africa and the antiquity of the group in Afro
Arabia led to the hypothesis that it originated there and dispersed to Asia,
Europe, and North America. Here we describe two new hyaenodont species based on
the oldest hyaenodont cranial specimens known from Afro-Arabia. The material was
collected from the latest Eocene Locality 41 (L-41, ~34 Ma) in the Fayum
Depression, Egypt. Akhnatenavus nefertiticyon sp. nov. has specialized,
hypercarnivorous molars and an elongate cranial vault. In A. nefertiticyon the
tallest, piercing cusp on M1-M2 is the paracone. Brychotherium ephalmos gen. et
sp. nov. has more generalized molars that retain the metacone and complex
talonids. In B. ephalmos the tallest, piercing cusp on M1-M2 is the metacone. We
incorporate this new material into a series of phylogenetic analyses using a
character-taxon matrix that includes novel dental, cranial, and postcranial
characters, and samples extensively from the global record of the group. The
phylogenetic analysis includes the first application of Bayesian methods to
hyaenodont relationships. B. ephalmos is consistently placed within
Teratodontinae, an Afro-Arabian clade with several generalist and
hypercarnivorous forms, and Akhnatenavus is consistently recovered in
Hyainailourinae as part of an Afro-Arabian radiation. The phylogenetic results
suggest that hypercarnivory evolved independently three times within Hyaenodonta:
in Teratodontinae, in Hyainailourinae, and in Hyaenodontinae. Teratodontines are
consistently placed in a close relationship with Hyainailouridae (Hyainailourinae
+ Apterodontinae) to the exclusion of "proviverrines," hyaenodontines, and
several North American clades, and we propose that the superfamily
Hyainailouroidea be used to describe this relationship. Using the topologies
recovered from each phylogenetic method, we reconstructed the biogeographic
history of Hyaenodonta using parsimony optimization (PO), likelihood optimization
(LO), and Bayesian Binary Markov chain Monte Carlo (MCMC) to examine support for
the Afro-Arabian origin of Hyaenodonta. Across all analyses, we found that
Hyaenodonta most likely originated in Europe, rather than Afro-Arabia. The clade
is estimated by tip-dating analysis to have undergone a rapid radiation in the
Late Cretaceous and Paleocene; a radiation currently not documented by fossil
evidence. During the Paleocene, lineages are reconstructed as dispersing to Asia,
Afro-Arabia, and North America. The place of origin of Hyainailouroidea is likely
Afro-Arabia according to the Bayesian topologies but it is ambiguous using
parsimony. All topologies support the constituent clades-Hyainailourinae,
Apterodontinae, and Teratodontinae-as Afro-Arabian and tip-dating estimates that
each clade is established in Afro-Arabia by the middle Eocene.
PMID- 27867762
TI - A global analysis of bird plumage patterns reveals no association between habitat
and camouflage.
AB - Evidence suggests that animal patterns (motifs) function in camouflage. Irregular
mottled patterns can facilitate concealment when stationary in cluttered
habitats, whereas regular patterns typically prevent capture during movement in
open habitats. Bird plumage patterns have predominantly converged on just four
types-mottled (irregular), scales, bars and spots (regular)-and habitat could be
driving convergent evolution in avian patterning. Based on sensory ecology, we
therefore predict that irregular patterns would be associated with visually noisy
closed habitats and that regular patterns would be associated with open habitats.
Regular patterns have also been shown to function in communication for sexually
competing males to stand-out and attract females, so we predict that male
breeding plumage patterns evolved in both open and closed habitats. Here, taking
phylogenetic relatedness into account, we investigate ecological selection for
bird plumage patterns across the class Aves. We surveyed plumage patterns in 80%
of all avian species worldwide. Of these, 2,756 bird species have regular and
irregular plumage patterns as well as habitat information. In this subset, we
tested whether adult breeding/non-breeding plumages in each sex, and juvenile
plumages, were associated with the habitat types found within the species'
geographical distributions. We found no evidence for an association between
habitat and plumage patterns across the world's birds and little phylogenetic
signal. We also found that species with regular and irregular plumage patterns
were distributed randomly across the world's eco-regions without being affected
by habitat type. These results indicate that at the global spatial and taxonomic
scale, habitat does not predict convergent evolution in bird plumage patterns,
contrary to the camouflage hypothesis.
PMID- 27867763
TI - Sexual reproduction in the Caribbean coral genus Isophyllia (Scleractinia:
Mussidae).
AB - The sexual pattern, reproductive mode, and timing of reproduction of Isophyllia
sinuosa and Isophyllia rigida, two Caribbean Mussids, were assessed by
histological analysis of specimens collected monthly during 2000-2001. Both
species are simultaneous hermaphroditic brooders characterized by a single annual
gametogenetic cycle. Spermatocytes and oocytes of different stages were found to
develop within the same mesentery indicating sequential maturation for extended
planulation. Oogenesis took place during May through April in I. sinuosa and from
August through June in I. rigida. Oocytes began development 7-8 months prior to
spermaries but both sexes matured simultaneously. Zooxanthellate planulae were
observed in I. sinuosa during April and in I. rigida from June through September.
Higher polyp and mesenterial fecundity were found in I. rigida compared to I.
sinuosa. Larger oocyte sizes were found in I. sinuosa than in I. rigida, however
larger planula sizes were found in I. rigida. Hermaphroditism is the exclusive
sexual pattern within the Mussidae while brooding has been documented within the
related genera Mussa, Scolymia and Mycetophyllia. This study represents the first
description of the sexual characteristics of I. rigida and provides an updated
description of I. sinuosa.
PMID- 27867764
TI - Low self-concept in poor readers: prevalence, heterogeneity, and risk.
AB - There is evidence that poor readers are at increased risk for various types of
low self-concept-particularly academic self-concept. However, this evidence
ignores the heterogeneous nature of poor readers, and hence the likelihood that
not all poor readers have low self-concept. The aim of this study was to better
understand which types of poor readers have low self-concept. We tested 77
children with poor reading for their age for four types of self-concept, four
types of reading, three types of spoken language, and two types of attention. We
found that poor readers with poor attention had low academic self-concept, while
poor readers with poor spoken language had low general self-concept in addition
to low academic self-concept. In contrast, poor readers with typical spoken
language and attention did not have low self-concept of any type. We also
discovered that academic self-concept was reliably associated with reading and
receptive spoken vocabulary, and that general self-concept was reliably
associated with spoken vocabulary. These outcomes suggest that poor readers with
multiple impairments in reading, language, and attention are at higher risk for
low academic and general self-concept, and hence need to be assessed for self
concept in clinical practice. Our results also highlight the need for further
investigation into the heterogeneous nature of self-concept in poor readers.
PMID- 27867766
TI - Reanalysis of the anthrax epidemic in Rhodesia, 1978-1984.
AB - In the mid-1980s, the largest epidemic of anthrax of the last 200 years was
documented in a little known series of studies by Davies in The Central African
Journal of Medicine. This epidemic involved thousands of cattle and 10,738 human
cases with 200 fatalities in Rhodesia during the Counterinsurgency. Grossly
unusual epidemiological features were noted that, to this day, have not been
definitively explained. This study performed a historical reanalysis of the data
to reveal an estimated geographic involvement of 245,750 km2, with 171,990 cattle
and 17,199 human cases. Here we present the first documented geotemporal
visualization of the human anthrax epidemic.
PMID- 27867765
TI - Charge neutralization as the major factor for the assembly of nucleocapsid-like
particles from C-terminal truncated hepatitis C virus core protein.
AB - BACKGROUND: Hepatitis C virus (HCV) core protein, in addition to its structural
role to form the nucleocapsid assembly, plays a critical role in HCV pathogenesis
by interfering in several cellular processes, including microRNA and mRNA
homeostasis. The C-terminal truncated HCV core protein (C124) is intrinsically
unstructured in solution and is able to interact with unspecific nucleic acids,
in the micromolar range, and to assemble into nucleocapsid-like particles (NLPs)
in vitro. The specificity and propensity of C124 to the assembly and its
implications on HCV pathogenesis are not well understood. METHODS: Spectroscopic
techniques, transmission electron microscopy and calorimetry were used to better
understand the propensity of C124 to fold or to multimerize into NLPs when
subjected to different conditions or in the presence of unspecific nucleic acids
of equivalent size to cellular microRNAs. RESULTS: The structural analysis
indicated that C124 has low propensity to self-folding. On the other hand, for
the first time, we show that C124, in the absence of nucleic acids, multimerizes
into empty NLPs when subjected to a pH close to its isoelectric point (pH ~ 12),
indicating that assembly is mainly driven by charge neutralization. Isothermal
calorimetry data showed that the assembly of NLPs promoted by nucleic acids is
enthalpy driven. Additionally, data obtained from fluorescence correlation
spectroscopy show that C124, in nanomolar range, was able to interact and to
sequester a large number of short unspecific nucleic acids into NLPs. DISCUSSION:
Together, our data showed that the charge neutralization is the major factor for
the nucleocapsid-like particles assembly from C-terminal truncated HCV core
protein. This finding suggests that HCV core protein may physically interact with
unspecific cellular polyanions, which may correspond to microRNAs and mRNAs in a
host cell infected by HCV, triggering their confinement into infectious
particles.
PMID- 27867767
TI - Factors influencing infection and transmission of Anopheles gambiae densovirus
(AgDNV) in mosquitoes.
AB - Anopheles gambiae densovirus (AgDNV) is a potential microbial agent for
paratransgenesis and gene transduction in An. gambiae, the major vector of human
malaria in sub-Saharan Africa. Understanding the interaction between AgDNV and
An. gambiae is critical for using AgDNV in a basic and applied manner for
Anopheles gene manipulation. Here, we tested the effects of mosquito age, sex,
blood feeding status, and potential for horizontal transmission using an enhanced
green fluorescent protein (EGFP) reporter AgDNV system. Neither mosquito age at
infection nor feeding regime affected viral titers. Female mosquitoes were more
permissive to viral infection than males. Despite low viral titers, infected
males were able to venereally transmit virus to females during mating, where the
virus was localized with the transferred sperm in the spermathecae. These
findings will be useful for designing AgDNV-based strategies to manipulate
Anopheles gambiae.
PMID- 27867768
TI - Induced pluripotent stem cells from human hair follicle keratinocytes as a
potential source for in vitro hair follicle cloning.
AB - BACKGROUND: Human hair follicles are important for the renewal of new hairs and
their development. The generation of induced pluripotent stem cells (iPSCs) from
hair follicles is easy due to its accessibility and availability. The pluripotent
cells derived from hair follicles not only have a higher tendency to re
differentiate into hair follicles, but are also more suited for growth in hair
scalp tissue microenvironment. METHODS: In this study, human hair follicular
keratinocytes were used to generate iPSCs, which were then further differentiated
in vitro into keratinocytes. The derived iPSCs were characterised by using
immunofluorescence staining, flow cytometry, and reverse-transcription PCR to
check for its pluripotency markers expression. RESULTS: The iPSC clones expressed
pluripotency markers such as TRA-1-60, TRA-1-81, SSEA4, OCT4, SOX2, NANOG, LEFTY,
and GABRB. The well-formed three germ layers were observed during differentiation
using iPSCs derived from hair follicles. The successful formation of keratioctyes
from iPSCs was confirmed by the expression of cytokeratin 14 marker. DISCUSSION:
Hair follicles represent a valuable keratinocytes source for in vitro hair
cloning for use in treating hair balding or grafting in burn patients. Our
significant findings in this report proved that hair follicles could be used to
produce pluripotent stem cells and suggested that the genetic and micro
environmental elements of hair follicles might trigger higher and more efficient
hair follicles re-differentiation.
PMID- 27867770
TI - Soymilk residue (okara) as a natural immobilization carrier for Lactobacillus
plantarum cells enhances soymilk fermentation, glucosidic isoflavone
bioconversion, and cell survival under simulated gastric and intestinal
conditions.
AB - Cell immobilization is an alternative to microencapsulation for the maintenance
of cells in a liquid medium. However, artificial immobilization carriers are
expensive and pose a high safety risk. Okara, a food-grade byproduct from soymilk
production, is rich in prebiotics. Lactobacilli could provide health enhancing
effects to the host. This study aimed to evaluate the potential of okara as a
natural immobilizer for L. plantarum 70810 cells. The study also aimed to
evaluate the effects of okara-immobilized L. plantarum 70810 cells (IL) on
soymilk fermentation, glucosidic isoflavone bioconversion, and cell resistance to
simulated gastric and intestinal stresses. Scanning electron microscopy (SEM) was
used to show cells adherence to the surface of okara. Lactic acid, acetic acid
and isoflavone analyses in unfermented and fermented soymilk were performed by
HPLC with UV detection. Viability and growth kinetics of immobilized and free L.
plantarum 70810 cells (FL) were followed during soymilk fermentation. Moreover,
changes in pH, titrable acidity and viscosity were measured by conventional
methods. For in vitro testing of simulated gastrointestinal resistance, fermented
soymilk was inoculated with FL or IL and an aliquot incubated into acidic MRS
broth which was conveniently prepared to simulate gastric, pancreatic juices and
bile salts. Survival to simulated gastric and intestinal stresses was evaluated
by plate count of colony forming units on MRS agar. SEM revealed that the
lactobacilli cells attached and bound to the surface of okara. Compared with FL,
IL exhibited a significantly higher specific growth rate, shorter lag phase of
growth, higher productions of lactic and acetic acids, a faster decrease in pH
and increase in titrable acidity, and a higher soymilk viscosity. Similarly, IL
in soymilk showed higher productions of daizein and genistein compared with the
control. Compared with FL, IL showed reinforced resistance to simulatedgastric
and intestinal stresses in vitro that included low pH, low pH plus pepsin,
pancreatin, and bile salt. Our results indicate that okara is a new potential
immobilization carrier to enhance the growth and glucosidic isoflavone
bioconversion activities of L. plantarum in soymilk and improve cell
survivability following simulated gastric and intestinal conditions.
PMID- 27867769
TI - Comparative analysis of the complete chloroplast genome sequences in psammophytic
Haloxylon species (Amaranthaceae).
AB - The Haloxylon genus belongs to the Amaranthaceae (formerly Chenopodiaceae)
family. The small trees or shrubs in this genus are referred to as the King of
psammophytic plants, and perform important functions in environmental protection,
including wind control and sand fixation in deserts. To better understand these
beneficial plants, we sequenced the chloroplast (cp) genomes of Haloxylon
ammodendron (HA) and Haloxylon persicum (HP) and conducted comparative genomic
analyses on these and two other representative Amaranthaceae species. Similar to
other higher plants, we found that the Haloxylon cp genome is a quadripartite,
double-stranded, circular DNA molecule of 151,570 bp in HA and 151,586 bp in HP.
It contains a pair of inverted repeats (24,171 bp in HA and 24,177 bp in HP) that
separate the genome into a large single copy region of 84,214 bp in HA and 84,217
bp in HP, and a small single copy region of 19,014 bp in HA and 19,015 bp in HP.
Each Haloxylon cp genome contains 112 genes, including 78 coding, 30 tRNA, and
four ribosomal RNA genes. We detected 59 different simple sequence repeat loci,
including 44 mono-nucleotide, three di-nucleotide, one tri-nucleotide, and 11
tetra-nucleotide repeats. Comparative analysis revealed only 67 mutations between
the two species, including 44 substitutions, 23 insertions/deletions, and two
micro-inversions. The two inversions, with lengths of 14 and 3 bp, occur in the
petA-psbJ intergenic region and rpl16 intron, respectively, and are predicted to
form hairpin structures with repeat sequences of 27 and 19 bp, respectively, at
the two ends. The ratio of transitions to transversions was 0.76. These results
are valuable for future studies on Haloxylon genetic diversity and will enhance
our understanding of the phylogenetic evolution of Amaranthaceae.
PMID- 27867773
TI - Abstract: PRS PSRC Poster Proofs PSRC 2016.
PMID- 27867771
TI - Prospect and progress of oncolytic viruses for treating peripheral nerve sheath
tumors.
AB - INTRODUCTION: Peripheral nerve sheath tumors (PNSTs) are an assorted group of
neoplasms originating from neuroectoderm and growing in peripheral nerves.
Malignant transformation leads to a poor prognosis and is often lethal. Current
treatment of PNSTs is predominantly surgical, which is often incomplete or
accompanied by significant loss of function, in conjunction with radiotherapy
and/or chemotherapy, for which the benefits are inconclusive. Oncolytic viruses
(OVs) efficiently kill tumor cells while remaining safe for normal tissues, and
are a novel antitumor therapy for patients with PNSTs. AREAS COVERED: Because of
the low efficacy of current treatments, new therapies for PNSTs are needed. Pre
clinically, OVs have demonstrated efficacy in treating PNSTs and perineural tumor
invasion, as well as safety. We will discuss the various PNSTs and their
preclinical models, and the OVs being tested for their treatment, including
oncolytic herpes simplex virus (HSV), adenovirus (Ad), and measles virus (MV).
OVs can be 'armed' to express therapeutic transgenes or combined with other
therapeutics to enhance their activity. EXPERT OPINION: Preclinical testing of
OVs in PNST models has demonstrated their therapeutic potential and provided
support for clinical translation. Clinical studies with other solid tumors have
provided evidence that OVs are safe in patients and efficacious. The recent
successful completion of a phase III clinical trial of oncolytic HSV paves the
way for oncolytic virotherapy to enter clinical practice.
PMID- 27867774
TI - Abstract: PRS AAPS Poster Proofs 2016.
PMID- 27867775
TI - Abstract: PRS PSRC Podium Proofs 2016.
PMID- 27867776
TI - Abstract: PRS AAPS Oral Proofs 2016.
PMID- 27867772
TI - PGRMC1 Elevation in Multiple Cancers and Essential Role in Stem Cell Survival.
AB - Cancer is one of the leading causes of death in America, and there is an urgent
need for new therapeutic approaches. The progesterone receptor membrane component
1 (PGRMC1) is a cytoch-rome b5 related protein that binds heme and is associated
with signaling, apoptotic suppression and autophagy. PGRMC1 is essential for
tumor formation, invasion and metastasis, and is upregulated in breast, colon,
lung and thyroid tumors. In the present study, we have analyzed PGRMC1 levels in
over 600 tumor sections, including a larger cohort of lung tumors than in
previous studies, and report the first clinical analysis of PGRMC1 levels in
human oral cavity and ovarian tumors compared to corresponding nonmalignant
tissues. PGRMC1 was highly expressed in lung and ovarian cancers and correlated
with patient survival. PGRMC1 has been previously associated with drug
resistance, a characteristic of cancer stem cells. The stem cell theory proposes
that a subset of cancerous stem cells contribute to drug resistance and tumor
maintenance, and PGRMC1 was detected in lung-tumor derived stem cells. Drug
treatment with a PGRMC1 inhibitor, AG-205, triggered stem cell death whereas
treatment with erlotinib and the ERK inhibitor, PD98059, did not, suggesting a
specific role for PGRMC1 in cancer stem cell viability. Together, our data
demonstrate PGRMC1 as a potential tumor biomarker across a variety of tumors, as
well as a therapeutic target for cancer stem cells.
PMID- 27867777
TI - Welcome Letter.
PMID- 27867778
TI - AAPS and PSRC 2016 Abstract Supplement.
PMID- 27867779
TI - Meter-scale spark X-ray spectrum statistics.
AB - X-ray emission by sparks implies bremsstrahlung from a population of energetic
electrons, but the details of this process remain a mystery. We present detailed
statistical analysis of X-ray spectra detected by multiple detectors during
sparks produced by 1 MV negative high-voltage pulses with 1 MUs risetime. With
over 900 shots, we statistically analyze the signals, assuming that the
distribution of spark X-ray fluence behaves as a power law and that the energy
spectrum of X-rays detectable after traversing ~2 m of air and a thin aluminum
shield is exponential. We then determine the parameters of those distributions by
fitting cumulative distribution functions to the observations. The fit results
match the observations very well if the mean of the exponential X-ray energy
distribution is 86 +/- 7 keV and the spark X-ray fluence power law distribution
has index -1.29 +/- 0.04 and spans at least 3 orders of magnitude in fluence.
PMID- 27867780
TI - Observation of intrinsically bright terrestrial gamma ray flashes from the
Mediterranean basin.
AB - We present three terrestrial gamma ray flashes (TGFs) observed over the
Mediterranean basin by the Reuven Ramaty High Energy Solar Spectroscope Imager
(RHESSI) satellite. Since the occurrence of these events in the Mediterranean
region is quite rare, the characterization of the events was optimized by
combining different approaches in order to better define the cloud of origin. The
TGFs on 7 November 2004 and 16 October 2006 came from clouds with cloud top
higher than 10-12 km where often a strong penetration into the stratosphere is
found. This kind of cloud is usually associated with heavy precipitation and
intense lightning activity. Nevertheless, the analysis of the cloud type based on
satellite retrievals shows that the TGF on 27 May 2004 was produced by an unusual
shallow convection. This result appears to be supported by the model simulation
of the particle distribution and phase in the upper troposphere. The TGF on 7
November 2004 is among the brightest ever measured by RHESSI. The analysis of the
energy spectrum of this event is consistent with a production altitude <=12 km,
which is in the upper part of the cloud, as found by the meteorological analysis
of the TGF-producing thunderstorm. This event must be unusually bright at the
source in order to produce such a strong signal in RHESSI. We estimate that this
TGF must contain ~3 * 1018 initial photons with energy >1 MeV. This is 1 order of
magnitude brighter than earlier estimations of an average RHESSI TGF.
PMID- 27867781
TI - CloudSat 2C-ICE product update with a new Ze parameterization in lidar-only
region.
AB - The CloudSat 2C-ICE data product is derived from a synergetic ice cloud retrieval
algorithm that takes as input a combination of CloudSat radar reflectivity (Ze )
and Cloud-Aerosol Lidar and Infrared Pathfinder Satellite Observation lidar
attenuated backscatter profiles. The algorithm uses a variational method for
retrieving profiles of visible extinction coefficient, ice water content, and ice
particle effective radius in ice or mixed-phase clouds. Because of the nature of
the measurements and to maintain consistency in the algorithm numerics, we choose
to parameterize (with appropriately large specification of uncertainty) Ze and
lidar attenuated backscatter in the regions of a cirrus layer where only the
lidar provides data and where only the radar provides data, respectively. To
improve the Ze parameterization in the lidar-only region, the relations among Ze
, extinction, and temperature have been more thoroughly investigated using
Atmospheric Radiation Measurement long-term millimeter cloud radar and Raman
lidar measurements. This Ze parameterization provides a first-order estimation of
Ze as a function extinction and temperature in the lidar-only regions of cirrus
layers. The effects of this new parameterization have been evaluated for
consistency using radiation closure methods where the radiative fluxes derived
from retrieved cirrus profiles compare favorably with Clouds and the Earth's
Radiant Energy System measurements. Results will be made publicly available for
the entire CloudSat record (since 2006) in the most recent product release known
as R05.
PMID- 27867782
TI - Surface dimming by the 2013 Rim Fire simulated by a sectional aerosol model.
AB - The Rim Fire of 2013, the third largest area burned by fire recorded in
California history, is simulated by a climate model coupled with a size-resolved
aerosol model. Modeled aerosol mass, number, and particle size distribution are
within variability of data obtained from multiple-airborne in situ measurements.
Simulations suggest that Rim Fire smoke may block 4-6% of sunlight energy
reaching the surface, with a dimming efficiency around 120-150 W m-2 per unit
aerosol optical depth in the midvisible at 13:00-15:00 local time.
Underestimation of simulated smoke single scattering albedo at midvisible by 0.04
suggests that the model overestimates either the particle size or the absorption
due to black carbon. This study shows that exceptional events like the 2013 Rim
Fire can be simulated by a climate model with 1 degrees resolution with overall
good skill, although that resolution is still not sufficient to resolve the smoke
peak near the source region.
PMID- 27867783
TI - Convective and large-scale mass flux profiles over tropical oceans determined
from synergistic analysis of a suite of satellite observations.
AB - A new, satellite-based methodology is developed to evaluate convective mass flux
and large-scale total mass flux. To derive the convective mass flux, candidate
profiles of in-cloud vertical velocity are first constructed with a simple plume
model under the constraint of ambient sounding and then narrowed down to the
solution that matches satellite-derived cloud top buoyancy. Meanwhile, the large
scale total mass flux is provided separately from satellite soundings by a method
developed previously. All satellite snapshots are sorted into a composite time
series that delineates the evolution of a vigorous and organized convective
system. Principal findings are the following. First, convective mass flux is
modulated primarily by convective cloud cover, with the intensity of individual
convection being less variable over time. Second, convective mass flux dominates
the total mass flux only during the early hours of the convective evolution; as
convective system matures, a residual mass flux builds up in the mass flux
balance that is reminiscent of stratiform dynamics. The method developed in this
study is expected to be of unique utility for future observational diagnosis of
tropical convective dynamics and for evaluation of global climate model cumulus
parameterizations in a global sense.
PMID- 27867784
TI - On the sensitivity of the diurnal cycle in the Amazon to convective intensity.
AB - Climate and reanalysis models contain large water and energy budget errors over
tropical land related to the misrepresentation of diurnally forced moist
convection. Motivated by recent work suggesting that the water and energy budget
is influenced by the sensitivity of the convective diurnal cycle to atmospheric
state, this study investigates the relationship between convective intensity, the
convective diurnal cycle, and atmospheric state in a region of frequent
convection-the Amazon. Daily, 3-hourly satellite observations of top of
atmosphere (TOA) fluxes from Clouds and the Earth's Radiant Energy System Ed3a
SYN1DEG and precipitation from Tropical Rainfall Measuring Mission 3B42 data sets
are collocated with twice daily Integrated Global Radiosonde Archive observations
from 2002 to 2012 and hourly flux tower observations. Percentiles of daily
minimum outgoing longwave radiation are used to define convective intensity
regimes. The results indicate a significant increase in the convective diurnal
cycle amplitude with increased convective intensity. The TOA flux diurnal phase
exhibits 1-3 h shifts with convective intensity, and precipitation phase is less
sensitive. However, the timing of precipitation onset occurs 2-3 h earlier and
the duration lasts 3-5 h longer on very convective compared to stable days. While
statistically significant changes are found between morning atmospheric state and
convective intensity, variations in upper and lower tropospheric humidity exhibit
the strongest relationships with convective intensity and diurnal cycle
characteristics. Lastly, convective available potential energy (CAPE) is found to
vary with convective intensity but does not explain the variations in Amazonian
convection, suggesting that a CAPE-based convective parameterization will not
capture the observed behavior without incorporating the sensitivity of convection
to column humidity.
PMID- 27867785
TI - Lightning Mapping Array flash detection performance with variable receiver
thresholds.
AB - This study characterizes Lightning Mapping Array performance for networks that
participated in the Deep Convective Clouds and Chemistry field program using new
Monte Carlo and curvature matrix model simulations. These open-source simulation
tools are readily adapted to real-time operations or detailed studies of
performance. Each simulation accounted for receiver threshold and location, as
well as a reference distribution of source powers and flash sizes based on
thunderstorm observations and the mechanics of station triggering. Source and
flash detection efficiency were combined with solution bias and variability to
predict flash area distortion at long ranges. Location errors and detection
efficiency were highly dependent on the station configuration and thresholds,
especially at longer ranges, such that performance varied more than expected
across different networks and with azimuth within networks. Error characteristics
matched prior studies, which led to an increase in flash distortion with range.
Predicted flash detection efficiency exceeded 95% within 100 km of all networks.
PMID- 27867786
TI - Aerosol lidar observations of atmospheric mixing in Los Angeles: Climatology and
implications for greenhouse gas observations.
AB - Atmospheric observations of greenhouse gases provide essential information on
sources and sinks of these key atmospheric constituents. To quantify fluxes from
atmospheric observations, representation of transport-especially vertical mixing
is a necessity and often a source of error. We report on remotely sensed profiles
of vertical aerosol distribution taken over a 2 year period in Pasadena,
California. Using an automated analysis system, we estimate daytime mixing layer
depth, achieving high confidence in the afternoon maximum on 51% of days with
profiles from a Sigma Space Mini Micropulse LiDAR (MiniMPL) and on 36% of days
with a Vaisala CL51 ceilometer. We note that considering ceilometer data on a
logarithmic scale, a standard method, introduces, an offset in mixing height
retrievals. The mean afternoon maximum mixing height is 770 m Above Ground Level
in summer and 670 m in winter, with significant day-to-day variance (within
season sigma = 220m~30%). Taking advantage of the MiniMPL's portability, we
demonstrate the feasibility of measuring the detailed horizontal structure of the
mixing layer by automobile. We compare our observations to planetary boundary
layer (PBL) heights from sonde launches, North American regional reanalysis
(NARR), and a custom Weather Research and Forecasting (WRF) model developed for
greenhouse gas (GHG) monitoring in Los Angeles. NARR and WRF PBL heights at
Pasadena are both systematically higher than measured, NARR by 2.5 times; these
biases will cause proportional errors in GHG flux estimates using modeled
transport. We discuss how sustained lidar observations can be used to reduce flux
inversion error by selecting suitable analysis periods, calibrating models, or
characterizing bias for correction in post processing.
PMID- 27867787
TI - Interannual variation of springtime biomass burning in Indochina: Regional
differences, associated atmospheric dynamical changes, and downwind impacts.
AB - During March and April, widespread burning occurs across farmlands in Indochina
in preparation for planting at the monsoon onset. The resultant aerosols impact
the air quality downwind. In this study, we investigate the climatic aspect of
the interannual variation of springtime biomass burning in Indochina and its
correlation with air quality at Mt. Lulin in Taiwan using long-term (2005-2015)
satellite and global reanalysis data. Based on empirical orthogonal function
(EOF) analysis, we find that the biomass burning activities vary with two
geographical regions: northern Indochina (the primary EOF mode) and southern
Indochina (the secondary EOF mode). We determine that the variation of biomass
burning over northern Indochina is significantly related with the change in
aerosol concentrations at Mt. Lulin. This occurs following the change in the so
called India-Burma Trough in the lower and middle troposphere. When the India
Burma Trough is intensified, a stronger northwesterly wind (to the west of the
trough) transports the dryer air from higher latitude into northern Indochina,
and this promotes local biomass burning activities. The increase in upward motion
to the east of the intensified India-Burma Trough lifts the aerosols, which are
transported toward Taiwan by the increased low-level westerly jet. Further
diagnoses revealed the connection between the India-Burma Trough and the South
Asian jet's wave train pattern as well as the previous winter's El Nino-Southern
Oscillation phase. This information highlights the role of the India-Burma Trough
in modulating northern Indochina biomass burning and possibly predicting aerosol
transport to East Asia on the interannual time scale.
PMID- 27867788
TI - The stratigraphy and evolution of lower Mount Sharp from spectral, morphological,
and thermophysical orbital data sets.
AB - We have developed a refined geologic map and stratigraphy for lower Mount Sharp
using coordinated analyses of new spectral, thermophysical, and morphologic
orbital data products. The Mount Sharp group consists of seven relatively planar
units delineated by differences in texture, mineralogy, and thermophysical
properties. These units are (1-3) three spatially adjacent units in the Murray
formation which contain a variety of secondary phases and are distinguishable by
thermal inertia and albedo differences, (4) a phyllosilicate-bearing unit, (5) a
hematite-capped ridge unit, (6) a unit associated with material having a strongly
sloped spectral signature at visible near-infrared wavelengths, and (7) a layered
sulfate unit. The Siccar Point group consists of the Stimson formation and two
additional units that unconformably overlie the Mount Sharp group. All Siccar
Point group units are distinguished by higher thermal inertia values and record a
period of substantial deposition and exhumation that followed the deposition and
exhumation of the Mount Sharp group. Several spatially extensive silica deposits
associated with veins and fractures show that late-stage silica enrichment within
lower Mount Sharp was pervasive. At least two laterally extensive hematitic
deposits are present at different stratigraphic intervals, and both are
geometrically conformable with lower Mount Sharp strata. The occurrence of
hematite at multiple stratigraphic horizons suggests redox interfaces were
widespread in space and/or in time, and future measurements by the Mars Science
Laboratory Curiosity rover will provide further insights into the depositional
settings of these and other mineral phases.
PMID- 27867789
TI - The phenology of Arctic Ocean surface warming.
AB - In this work, we explore the seasonal relationships (i.e., the phenology) between
sea ice retreat, sea surface temperature (SST), and atmospheric heat fluxes in
the Pacific Sector of the Arctic Ocean, using satellite and reanalysis data. We
find that where ice retreats early in most years, maximum summertime SSTs are
usually warmer, relative to areas with later retreat. For any particular year, we
find that anomalously early ice retreat generally leads to anomalously warm SSTs.
However, this relationship is weak in the Chukchi Sea, where ocean advection
plays a large role. It is also weak where retreat in a particular year happens
earlier than usual, but still relatively late in the season, primarily because
atmospheric heat fluxes are weak at that time. This result helps to explain the
very different ocean warming responses found in two recent years with extreme ice
retreat, 2007 and 2012. We also find that the timing of ice retreat impacts the
date of maximum SST, owing to a change in the ocean surface buoyancy and momentum
forcing that occurs in early August that we term the Late Summer Transition
(LST). After the LST, enhanced mixing of the upper ocean leads to cooling of the
ocean surface even while atmospheric heat fluxes are still weakly downward. Our
results indicate that in the near-term, earlier ice retreat is likely to cause
enhanced ocean surface warming in much of the Arctic Ocean, although not where
ice retreat still occurs late in the season.
PMID- 27867790
TI - Magma injection into a long-lived reservoir to explain geodetically measured
uplift: Application to the 2007-2014 unrest episode at Laguna del Maule volcanic
field, Chile.
AB - Moving beyond the widely used kinematic models for the deformation sources, we
present a new dynamic model to describe the process of injecting magma into an
existing magma reservoir. To validate this model, we derive an analytical
solution and compare its results to those calculated using the Finite Element
Method. A Newtonian fluid characterized by its viscosity, density, and
overpressure (relative to the lithostatic value) flows through a vertical
conduit, intruding into a reservoir embedded in an elastic domain, leading to an
increase in reservoir pressure and time-dependent surface deformation. We apply
our injection model to Interferometric Synthetic Aperture Radar (InSAR) data from
the ongoing unrest episode at Laguna del Maule (Chile) volcanic field that
started in 2007. Using a grid search optimization, we minimize the misfit to the
InSAR displacement data and vary the three parameters governing the analytical
solution: the characteristic timescale tauP for magma propagation, the maximum
injection pressure, and the inflection time when the acceleration switches from
positive to negative. For a spheroid with semimajor axis a = 6200 m, semiminor
axis c = 100 m, located at a depth of 4.5 km in a purely elastic half-space, the
best fit to the InSAR displacement data occurs for tauP =9.5 years and an
injection pressure rising up to 11.5 MPa for 2 years. The volume flow rate
increased to 1.2 m3/s for 2 years and then decreased to 0.7 m3/s in 2014. In 7.3
years, at least 187 * 106 m3 of magma was injected.
PMID- 27867791
TI - An assessment of forward and inverse GIA solutions for Antarctica.
AB - In this work we assess the most recent estimates of glacial isostatic adjustment
(GIA) for Antarctica, including those from both forward and inverse methods. The
assessment is based on a comparison of the estimated uplift rates with a set of
elastic-corrected GPS vertical velocities. These have been observed from an
extensive GPS network and computed using data over the period 2009-2014. We find
systematic underestimations of the observed uplift rates in both inverse and
forward methods over specific regions of Antarctica characterized by low mantle
viscosities and thin lithosphere, such as the northern Antarctic Peninsula and
the Amundsen Sea Embayment, where its recent ice discharge history is likely to
be playing a role in current GIA. Uplift estimates for regions where many GIA
models have traditionally placed their uplift maxima, such as the margins of
Filchner-Ronne and Ross ice shelves, are found to be overestimated. GIA estimates
show large variability over the interior of East Antarctica which results in
increased uncertainties on the ice-sheet mass balance derived from gravimetry
methods.
PMID- 27867792
TI - Statistical characterization of the growth and spatial scales of the substorm
onset arc.
AB - We present the first multievent study of the spatial and temporal structuring of
the aurora to provide statistical evidence of the near-Earth plasma instability
which causes the substorm onset arc. Using data from ground-based auroral
imagers, we study repeatable signatures of along-arc auroral beads, which are
thought to represent the ionospheric projection of magnetospheric instability in
the near-Earth plasma sheet. We show that the growth and spatial scales of these
wave-like fluctuations are similar across multiple events, indicating that each
sudden auroral brightening has a common explanation. We find statistically that
growth rates for auroral beads peak at low wave number with the most unstable
spatial scales mapping to an azimuthal wavelength lambda~ 1700-2500 km in the
equatorial magnetosphere at around 9-12 RE . We compare growth rates and spatial
scales with a range of theoretical predictions of magnetotail instabilities,
including the Cross-Field Current Instability and the Shear Flow Ballooning
Instability. We conclude that, although the Cross-Field Current instability can
generate similar magnitude of growth rates, the range of unstable wave numbers
indicates that the Shear Flow Ballooning Instability is the most likely
explanation for our observations.
PMID- 27867793
TI - Internally driven large-scale changes in the size of Saturn's magnetosphere.
AB - Saturn's magnetic field acts as an obstacle to solar wind flow, deflecting plasma
around the planet and forming a cavity known as the magnetosphere. The
magnetopause defines the boundary between the planetary and solar dominated
regimes, and so is strongly influenced by the variable nature of pressure sources
both outside and within. Following from Pilkington et al. (2014), crossings of
the magnetopause are identified using 7 years of magnetic field and particle data
from the Cassini spacecraft and providing unprecedented spatial coverage of the
magnetopause boundary. These observations reveal a dynamical interaction where,
in addition to the external influence of the solar wind dynamic pressure,
internal drivers, and hot plasma dynamics in particular can take almost complete
control of the system's dayside shape and size, essentially defying the solar
wind conditions. The magnetopause can move by up to 10-15 planetary radii at
constant solar wind dynamic pressure, corresponding to relatively "plasma-loaded"
or "plasma-depleted" states, defined in terms of the internal suprathermal plasma
pressure.
PMID- 27867794
TI - The impact of an ICME on the Jovian X-ray aurora.
AB - We report the first Jupiter X-ray observations planned to coincide with an
interplanetary coronal mass ejection (ICME). At the predicted ICME arrival time,
we observed a factor of ~8 enhancement in Jupiter's X-ray aurora. Within 1.5 h of
this enhancement, intense bursts of non-Io decametric radio emission occurred.
Spatial, spectral, and temporal characteristics also varied between ICME arrival
and another X-ray observation two days later. Gladstone et al. (2002) discovered
the polar X-ray hot spot and found it pulsed with 45 min quasiperiodicity. During
the ICME arrival, the hot spot expanded and exhibited two periods: 26 min
periodicity from sulfur ions and 12 min periodicity from a mixture of
carbon/sulfur and oxygen ions. After the ICME, the dominant period became 42 min.
By comparing Vogt et al. (2011) Jovian mapping models with spectral analysis, we
found that during ICME arrival at least two distinct ion populations, from
Jupiter's dayside, produced the X-ray aurora. Auroras mapping to magnetospheric
field lines between 50 and 70 RJ were dominated by emission from precipitating
sulfur ions (S7+,...,14+). Emissions mapping to closed field lines between 70 and
120 RJ and to open field lines were generated by a mixture of precipitating
oxygen (O7+,8+) and sulfur/carbon ions, possibly implying some solar wind
precipitation. We suggest that the best explanation for the X-ray hot spot is
pulsed dayside reconnection perturbing magnetospheric downward currents, as
proposed by Bunce et al. (2004). The auroral enhancement has different spectral,
spatial, and temporal characteristics to the hot spot. By analyzing these
characteristics and coincident radio emissions, we propose that the enhancement
is driven directly by the ICME through Jovian magnetosphere compression and/or a
large-scale dayside reconnection event.
PMID- 27867795
TI - Magnetic reconnection in Saturn's magnetotail: A comprehensive magnetic field
survey.
AB - Reconnection within planetary magnetotails is responsible for locally energizing
particles and changing the magnetic topology. Its role in terms of global
magnetospheric dynamics can involve changing the mass and flux content of the
magnetosphere. We have identified reconnection related events in spacecraft
magnetometer data recorded during Cassini's exploration of Saturn's magnetotail.
The events are identified from deflections in the north-south component of the
magnetic field, significant above a background level. Data were selected to
provide full tail coverage, encompassing the dawn and dusk flanks as well as the
deepest midnight orbits. Overall 2094 reconnection related events were
identified, with an average rate of 5.0 events per day. The majority of events
occur in clusters (within 3 h of other events). We examine changes in this rate
in terms of local time and latitude coverage, taking seasonal effects into
account. The observed reconnection rate peaks postmidnight with more infrequent
but steady loss seen on the dusk flank. We estimate the mass loss from the event
catalog and find it to be insufficient to balance the input from the moon
Enceladus. Several reasons for this discrepancy are discussed. The reconnection X
line location appears to be highly variable, though a statistical separation
between events tailward and planetward of the X line is observed at a radial
distance of between 20 and 30RS downtail. The small sample size at dawn prevents
comprehensive statistical comparison with the dusk flank observations in terms of
flux closure.
PMID- 27867797
TI - Source of the dayside cusp aurora.
AB - Monochromatic all-sky imagers at South Pole and other Antarctic stations of the
Automatic Geophysical Observatory chain recorded the aurora in the region where
the Time History of Events and Macroscale Interactions during Substorms (THEMIS)
satellites crossed the dayside magnetopause. In several cases the magnetic field
lines threading the satellites when mapped to the atmosphere were inside the
imagers' field of view. From the THEMIS magnetic field and the plasma density
measurements, we were able to locate the position of the magnetopause crossings
and map it to the ionosphere using the Tsyganenko-96 field model. Field line
mapping is reasonably accurate on the dayside subsolar region where the field is
strong, almost dipolar even though compressed. From these coordinated
observations, we were able to prove that the dayside cusp aurora of high 630 nm
brightness is on open field lines, and it is therefore direct precipitation from
the magnetosheath. The cusp aurora contained significant highly structured N2+
427.8 nm emission. The THEMIS measurements of the magnetosheath particle energy
and density taken just outside the magnetopause compared to the intensity of the
structured N2+ 427.8 nm emissions showed that the precipitating magnetosheath
particles had to be accelerated. The most likely electron acceleration mechanism
is by dispersive Alfven waves propagating along the field line. Wave-accelerated
suprathermal electrons were seen by FAST and DMSP. The 427.8 nm wavelength
channel also shows the presence of a lower latitude hard-electron precipitation
zone originating inside the magnetosphere.
PMID- 27867796
TI - Highly relativistic radiation belt electron acceleration, transport, and loss:
Large solar storm events of March and June 2015.
AB - Two of the largest geomagnetic storms of the last decade were witnessed in 2015.
On 17 March 2015, a coronal mass ejection-driven event occurred with a Dst (storm
time ring current index) value reaching -223 nT. On 22 June 2015 another strong
storm (Dst reaching -204 nT) was recorded. These two storms each produced almost
total loss of radiation belt high-energy (E ? 1 MeV) electron fluxes. Following
the dropouts of radiation belt fluxes there were complex and rather remarkable
recoveries of the electrons extending up to nearly 10 MeV in kinetic energy. The
energized outer zone electrons showed a rich variety of pitch angle features
including strong "butterfly" distributions with deep minima in flux at alpha = 90
degrees . However, despite strong driving of outer zone earthward radial
diffusion in these storms, the previously reported "impenetrable barrier" at L ~
2.8 was pushed inward, but not significantly breached, and no E ? 2.0 MeV
electrons were seen to pass through the radiation belt slot region to reach the
inner Van Allen zone. Overall, these intense storms show a wealth of novel
features of acceleration, transport, and loss that are demonstrated in the
present detailed analysis.
PMID- 27867798
TI - Accurately characterizing the importance of wave-particle interactions in
radiation belt dynamics: The pitfalls of statistical wave representations.
AB - Wave-particle interactions play a crucial role in energetic particle dynamics in
the Earth's radiation belts. However, the relative importance of different wave
modes in these dynamics is poorly understood. Typically, this is assessed during
geomagnetic storms using statistically averaged empirical wave models as a
function of geomagnetic activity in advanced radiation belt simulations. However,
statistical averages poorly characterize extreme events such as geomagnetic
storms in that storm-time ultralow frequency wave power is typically larger than
that derived over a solar cycle and Kp is a poor proxy for storm-time wave power.
PMID- 27867799
TI - The "Puck" energetic charged particle detector: Design, heritage, and
advancements.
AB - Energetic charged particle detectors characterize a portion of the plasma
distribution function that plays critical roles in some physical processes, from
carrying the currents in planetary ring currents to weathering the surfaces of
planetary objects. For several low-resource missions in the past, the need was
recognized for a low-resource but highly capable, mass-species-discriminating
energetic particle sensor that could also obtain angular distributions without
motors or mechanical articulation. This need led to the development of a compact
Energetic Particle Detector (EPD), known as the "Puck" EPD (short for hockey
puck), that is capable of determining the flux, angular distribution, and
composition of incident ions between an energy range of ~10 keV to several MeV.
This sensor makes simultaneous angular measurements of electron fluxes from the
tens of keV to about 1 MeV. The same measurements can be extended down to
approximately 1 keV/nucleon, with some composition ambiguity. These sensors have
a proven flight heritage record that includes missions such as MErcury Surface,
Space ENvironment, GEochemistry, and Ranging and New Horizons, with multiple
sensors on each of Juno, Van Allen Probes, and Magnetospheric Multiscale. In this
review paper we discuss the Puck EPD design, its heritage, unexpected results
from these past missions and future advancements. We also discuss high-voltage
anomalies that are thought to be associated with the use of curved foils, which
is a new foil manufacturing processes utilized on recent Puck EPD designs.
Finally, we discuss the important role Puck EPDs can potentially play in upcoming
missions.
PMID- 27867800
TI - The Low-Energy Neutral Imager (LENI).
AB - To achieve breakthroughs in the areas of heliospheric and magnetospheric
energetic neutral atom (ENA) imaging, a new class of instruments is required. We
present a high angular resolution ENA imager concept aimed at the suprathermal
plasma populations with energies between 0.5 and 20 keV. This instrument is
intended for understanding the spatial and temporal structure of the heliospheric
boundary recently revealed by Interstellar Boundary Explorer instrumentation and
the Cassini Ion and Neutral Camera. The instrument is also well suited to
characterize magnetospheric ENA emissions from low-altitude ENA emissions
produced by precipitation of magnetospheric ions into the terrestrial upper
atmosphere, or from the magnetosheath where solar wind protons are neutralized by
charge exchange, or from portions of the ring current region. We present a new
technique utilizing ultrathin carbon foils, 2-D collimation, and a novel electron
optical design to produce high angular resolution (<=2 degrees ) and high
sensitivity (>=10-3 cm2 sr/pixel) ENA imaging in the 0.5-20 keV energy range.
PMID- 27867801
TI - RAM-SCB simulations of electron transport and plasma wave scattering during the
October 2012 "double-dip" storm.
AB - Mechanisms for electron injection, trapping, and loss in the near-Earth space
environment are investigated during the October 2012 "double-dip" storm using our
ring current-atmosphere interactions model with self-consistent magnetic field
(RAM-SCB). Pitch angle and energy scattering are included for the first time in
RAM-SCB using L and magnetic local time (MLT)-dependent event-specific chorus
wave models inferred from NOAA Polar-orbiting Operational Environmental
Satellites (POES) and Van Allen Probes Electric and Magnetic Field Instrument
Suite and Integrated Science observations. The dynamics of the source
(approximately tens of keV) and seed (approximately hundreds of keV) populations
of the radiation belts simulated with RAM-SCB is compared with Van Allen Probes
Magnetic Electron Ion Spectrometer observations in the morning sector and with
measurements from NOAA 15 satellite in the predawn and afternoon MLT sectors. We
find that although the low-energy (E< 100 keV) electron fluxes are in good
agreement with observations, increasing significantly by magnetospheric
convection during both SYM-H dips while decreasing during the intermediate
recovery phase, the injection of high-energy electrons is underestimated by this
mechanism throughout the storm. Local acceleration by chorus waves intensifies
the electron fluxes at E>=50 keV considerably, and RAM-SCB simulations
overestimate the observed trapped fluxes by more than an order of magnitude; the
precipitating fluxes simulated with RAM-SCB are weaker, and their temporal and
spatial evolutions agree well with POES/Medium Energy Proton and Electron
Detectors data.
PMID- 27867803
TI - Effect of Bifidobacterium animalis ssp. lactis GCL2505 on visceral fat
accumulation in healthy Japanese adults: a randomized controlled trial.
AB - Bifidobacterium animalis ssp. lactis GCL2505 (B. lactis GCL2505) is able to
survive passage through the intestine and then proliferate, leading to an
increase in the amount of gut bifidobacteria. In the present study, we evaluated
the impact of B. lactis GCL2505 on abdominal visceral fat storage in overweight
and mildly obese Japanese adults. This clinical study was a double-blind,
randomized, placebo-controlled, parallel-group comparative trial performed for 12
weeks. Healthy Japanese subjects (N=137) with body mass indices ranging from 23
to 30 kg/m2 consumed either fermented milk containing B. lactis GCL2505 or a
placebo every day, and then visceral and subcutaneous abdominal fat areas were
measured by computed tomography as the primary endpoints. The number of fecal
bifidobacteria was also measured. Visceral fat area, but not subcutaneous fat
area, was significantly reduced from baseline at 8 and 12 weeks in the GCL2505
group, compared with the placebo group. The total number of fecal bifidobacteria
was significantly increased in the GCL2505 group. These results indicate that B.
lactis GCL2505 reduces abdominal visceral fat, a key factor associated with
metabolic disorders. This finding suggests that this probiotic strain can
potentially serve as a specific functional food to achieve visceral fat reduction
in overweight or mildly obese individuals.
PMID- 27867802
TI - Structural diversity and biological significance of lipoteichoic acid in Gram
positive bacteria: focusing on beneficial probiotic lactic acid bacteria.
AB - Bacterial cell surface molecules are at the forefront of host-bacterium
interactions. Teichoic acids are observed only in Gram-positive bacteria, and
they are one of the main cell surface components. Teichoic acids play important
physiological roles and contribute to the bacterial interaction with their host.
In particular, lipoteichoic acid (LTA) anchored to the cell membrane has
attracted attention as a host immunomodulator. Chemical and biological
characteristics of LTA from various bacteria have been described. However, most
of the information concerns pathogenic bacteria, and information on beneficial
bacteria, including probiotic lactic acid bacteria, is insufficient. LTA is
structurally diverse. Strain-level structural diversity of LTA is suggested to
underpin its immunomodulatory activities. Thus, the structural information on LTA
in probiotics, in particular strain-associated diversity, is important for
understanding its beneficial roles associated with the modulation of immune
response. Continued accumulation of structural information is necessary to
elucidate the detailed physiological roles and significance of LTA. In this
review article, we summarize the current state of knowledge on LTA structure, in
particular the structure of LTA from lactic acid bacteria. We also describe the
significance of structural diversity and biological roles of LTA.
PMID- 27867804
TI - DFAST and DAGA: web-based integrated genome annotation tools and resources.
AB - Quality assurance and correct taxonomic affiliation of data submitted to public
sequence databases have been an everlasting problem. The DDBJ Fast Annotation and
Submission Tool (DFAST) is a newly developed genome annotation pipeline with
quality and taxonomy assessment tools. To enable annotation of ready-to-submit
quality, we also constructed curated reference protein databases tailored for
lactic acid bacteria. DFAST was developed so that all the procedures required for
DDBJ submission could be done seamlessly online. The online workspace would be
especially useful for users not familiar with bioinformatics skills. In addition,
we have developed a genome repository, DFAST Archive of Genome Annotation (DAGA),
which currently includes 1,421 genomes covering 179 species and 18 subspecies of
two genera, Lactobacillus and Pediococcus, obtained from both DDBJ/ENA/GenBank
and Sequence Read Archive (SRA). All the genomes deposited in DAGA were annotated
consistently and assessed using DFAST. To assess the taxonomic position based on
genomic sequence information, we used the average nucleotide identity (ANI),
which showed high discriminative power to determine whether two given genomes
belong to the same species. We corrected mislabeled or misidentified genomes in
the public database and deposited the curated information in DAGA. The repository
will improve the accessibility and reusability of genome resources for lactic
acid bacteria. By exploiting the data deposited in DAGA, we found intraspecific
subgroups in Lactobacillus gasseri and Lactobacillus jensenii, whose variation
between subgroups is larger than the well-accepted ANI threshold of 95% to
differentiate species. DFAST and DAGA are freely accessible at
https://dfast.nig.ac.jp.
PMID- 27867805
TI - Isolation of lactic acid bacteria bound to the porcine intestinal mucosa and an
analysis of their moonlighting adhesins.
AB - The adhesion of lactic acid bacteria (LAB) to the intestinal mucosa is one of the
criteria in selecting for probiotics. Eighteen LAB were isolated from porcine
intestinal mucin (PIM): ten strains of Lactobacillus, six strains of Weissella,
and two strains of Streptococcus. Using sodium dodecyl sulfate polyacrylamide gel
electrophoresis (SDS-PAGE) for phosphate-buffered saline (PBS) extracts from the
LAB, many bands were detected in half of the samples, while a few and/or no clear
bands were detected in the other half. All six of the selected LAB showed
adhesion to PIM. L. johnsonii MYU 214 and MYU 221 showed adhesion at more than
10%. W. viridescens MYU 208, L. reuteri MYU 213, L. mucosae MYU 225, and L.
agilis MYU 227 showed medium levels of adhesion at 5.9-8.3%. In a comprehensive
analysis for the adhesins in the PBS extracts using a receptor overlay analysis,
many moonlighting proteins were detected and identified as candidates for
adhesins: GroEL, enolase, and elongation factor Tu in MYU 208; peptidase C1,
enolase, formyl-CoA transferase, phosphoglyceromutase, triosephosphate isomerase,
and phosphofructokinase in MYU 221; and DnaK, enolase, and phosphoglycerate
kinase in MYU 227. These proteins in the PBS extracts, which included such things
as molecular chaperones and glycolytic enzymes, may play important roles as
adhesins.
PMID- 27867806
TI - Bile metabolites of polycyclic aromatic hydrocarbons (PAHs) in three species of
fish from Morocco.
AB - BACKGROUND: Polycyclic aromatic hydrocarbons (PAH) are environmental contaminants
that pose significant risk to health of fish. Environmental pollution of fish is
a topic of rising attention in Morocco. However, only few studies have been
carried out so far, describing the potential threat of organic pollution to
Moroccan aquatic ecosystem. Two polycyclic aromatic hydrocarbon (PAH)
metabolites, 1-hydroxypyrene (1-OH-Pyr) and 1-hydroxyphenanthrene (1-OH-Phen),
were identified and quantified from the bile of 18 European eels (Anguilla
anguilla), 7 Moray (Muraenidae), and 28 Conger eels (Conger conger) collected
from Moulay Bousselham lagoon and Boujdour coast. The bile metabolites were
separated by high-performance liquid chromatography with fluorescence detection.
The present study aims to compare the levels of PAH metabolites in fish from the
lagoon and the open sea and to compare levels of PAH metabolites in different
fish species. RESULTS: The major metabolite present in all fish was 1
hydroxypyrene (1v2; SS decrease = 2v2 -> 1v2; SS increase = 1v2 -> 2v2), followed by a
return to baseline in Phase 3. Choice behavior was affected by the initial
magnitudes experienced in the task, demonstrating a strong anchor effect. The
nature of the change in magnitude affected choice behavior as well. Timing
behavior was also affected by the reward contrast manipulation albeit to a lesser
degree and the timing and choice effects were correlated. The results suggest
that models of choice behavior should incorporate reinforcement history, reward
contrast elements, and magnitude-timing interactions, but that direct effects of
reward contrast on choice should be given more weight than the indirect reward
timing interactions. A better understanding of the factors that contribute to
choice behavior could supply key insights into this important individual
differences variable.
PMID- 27867840
TI - Instrumentation for minimally invasive surgery in pediatric urology.
AB - The success of modern surgery is dependent on the availability of good equipment
and instruments. This dependence increases along with the degree of
sophistication of the surgery performed. Paediatric minimally invasive and
endoscopic surgery are sophisticated techniques where imaging is obtained through
a video-circuit. Endoscopic surgery has opened the field of virtual reality in
surgery, and in minimally invasive surgery the actual operation is done through a
limited number of small holes. Robot-assisted urologic surgery is an emerging and
safe technology for many urologic paediatric operations, although further
documentation, including long-term functional outcome, is deemed necessary before
definite conclusions can be drawn regarding the superiority or not of robotic
assistance compared to conventional laparoscopic approaches.
PMID- 27867838
TI - Advances in miRNA-Mediated Mucin Regulation.
AB - MicroRNAs (miRNAs) are an important class of small non-coding RNAs that direct
post-transcriptional gene regulation by different mechanisms. Mounting evidences
from numerous studies in the last decade have unraveled that deregulated miRNAs,
and their cognate target expressions are strongly implicated in the
carcinogenesis. Recent advances have highlighted miRNA-mediated regulation of
mucins that have critical role in inflammation and cancer biology. The aberrant
expression and differential glycosylation of mucins cause tumorigenesis,
metastasis, chemoresistance and poor outcome of cancer patients, thus recognizing
them as attractive therapeutic targets. Though current mucin-based therapies
(antibodies, vaccines, immunotherapy, peptide inhibitors) have shown preclinical
utility but only a handful promise for clinical transferability. In this context,
understanding miRNA-mediated modulation of multiple mucin(s) expression and
function gives a new hope for future anti-tumor therapeutics. Herein, we reviewed
miRNA biogenesis, mechanism of action, and their role in tumor development.
Further, we provide an overview of miRNA-mediated mucin regulation and their
application as anticancer therapeutics.
PMID- 27867843
TI - The laparoscopic approach for repair of indirect inguinal hernias in infants and
children.
AB - Repair of an indirect inguinal hernia is one of the most common operations
performed around the world by pediatric surgeons. Until the last 15 years, most
inguinal hernia repairs were performed using an inguinal crease incision and
extraperitoneal ligation of the patent processes vaginalis. However, since 2000,
the laparoscopic approach has gained popularity and there have been increasing
descriptions about various techniques for laparoscopic hernia (LH) repair. At our
institution, we have transitioned the majority of inguinal hernia repairs to the
laparoscopic approach. In this article, we will describe the technique that is
utilized at Children's Mercy Hospital in Kansas City, Missouri (USA) and express
our thoughts on the current debate regarding laparoscopic versus open inguinal
hernia repair in infants and children.
PMID- 27867841
TI - Laparoscopy or retroperitoneoscopy: which is the best approach in pediatric
urology?
AB - The emergence of minimally invasive surgery about 20 years ago revolutionized
pediatric urology. Advances in pediatric devices allowed the widespread use of
minimally invasive techniques in almost the entire range of pediatric urology. In
this context, laparoscopy and later retroperitoneoscopy were developed and
applied in a wide spectrum of urological diseases. Both approaches have since
presented benefits and disadvantages that have been documented in various series.
However, few comparative studies have been conducted. The aim of this review is
to compare the two approaches and establish which is preferable in each field of
pediatric urology.
PMID- 27867842
TI - Pediatric anesthesia for minimally invasive surgery in pediatric urology.
AB - Laparoscopic or robotic minimally invasive approaches have become the standard of
care for many urological pediatric surgical procedures. Anesthetic concerns for
conventional and robotic laparoscopy are similar since they both require
insufflations of CO2 to allow visualization of surgical field and perform
surgery. Even if required insufflation pressures and volumes are lower in
pediatric patients (given the small size of the abdomen and laxity of the
abdominal walls), the associated increase of intra-abdominal pressure, along with
absorption of CO2 and surgical positioning requirement, may result in
neurendocrine and mechanical impact capable to mine cardiopulmonary function.
Moreover, laparoscopic approach may require specific positioning. A correct
positioning is essential to allow the surgical team to optimally and safely
access to the patient. The knowledge of patient's health status, along with the
multiple physiologic changes that can occur and specific potential complications,
allow the anesthesiologist to provide a safer an aesthesia.
PMID- 27867844
TI - Surgical approaches for varicocele in pediatric patient.
AB - BACKGROUND: Varicocele represents one of the most common surgically correctible
urologic anomalies in adolescent males. The best procedure for the treatment of
adolescent varicocele has not been established, but with recent advances in
minimal access surgery, there have been many reports praising the safety and
efficacy of laparoscopy and retroperitoneoscopy for the surgical correction of
varicocele in adolescent. The aim of this review is to compare the results of
Palomo's technique, with retroperitoneoscopic and transperitoneoscopic approaches
in adolescent, analyzing recurrence, testicular growth and complications.
METHODS: A literature search on PubMed and Cochrane Database was conducted with
regard to management of varicocele in adolescent population. Twenty two English
language studies that compared outcome of different minimally invasive treatments
or outcome of minimally invasive and traditional surgical treatments for
adolescent diagnosed with varicocele were included. RESULTS: Intraoperative
complications of minimally invasive approaches occur in early cases, but in
pediatric urology these procedures would become more efficient with experience
and these approaches continue to increase in number. Postoperative hydrocele is
the most postoperative compliance of Palom technique, and reports have shown a
wide range of variability for his incidence, depending on the technique used for
surgical treatment. Literature showed an increase of testicular volume for real
growth of testis after surgery, and an intratesticular improvement in sperm
quality after minimally invasive approach. In laparoscopic approach reports have
showed very low recurrence because allows better vision of collateral veins, and
a lymphatic sparing technique permit to identify lymphatic vessels in 100% of
cases. CONCLUSIONS: The literature has shown that laparoscopic varicocelectomy is
the surgical approach most commonly reported in adolescent patients, and that its
use is increasing for better training for surgeons and the ability to avoid
operational hydrocele that is a main complication after technical Palomo's
technique.
PMID- 27867845
TI - Current management of non-palpable testes: a literature review and clinical
results.
AB - Cryptorchidism is a common pathology that occurs in 3% in full term newborns, and
it decreases to 0.8-1.2% at 1 year of age. Nearly a 20% of undescended testes are
non-palpable. Various surgical treatments have been described, but its management
is still controversial. A literature review was made of non-palpable testes,
analysing diagnostic tools, treatment and its results. Additionally we reviewed
non-palpable testes cases treated in our centre in the last 20 years. Different
techniques are described for the management of non-palpable testes; with or
without section of the spermatic vessels and/or in 1 or 2 stages. Nowadays,
literature supports the laparoscopic management in two stages. In our experience,
we have better results in two-stage Fowler-Stephens than one-stage, with lower
rates of testicular atrophy. Non-palpable testes are a common pathology in
paediatric urology. Analysing the literature and our experience we recommend a
two-stage surgery for intra-abdominal testes, which has demonstrated good results
and lower percentage of atrophic testis.
PMID- 27867846
TI - What's the best minimal invasive approach to pediatric nephrectomy and
heminephrectomy: conventional laparoscopy (CL), single-site (LESS) or robotics
(RAS)?
AB - BACKGROUND: Conventional laparoscopy (CL) using 3-5 mm ports has become the
goldstandard for pediatric nephrectomy (N), heminephrectomy (HN) and
heminephrecto-ureterectomy (HNU) for many years now. Recently the spectrum of
minimal invasive surgery (MIS) has been extended by variants like
laparoendoscopic single-site surgery (LESS) or robot-assisted surgery (RAS).
However such technical developments tend to drive surgical euphoria and
feasibility studies, but may miss adequate academic research about function and
proven patients' benefits. This article delivers a comprehensive analysis of
present pediatric studies comparing at least two MIS approaches to N, HN and HNU.
METHODS: A systematic literature-based search for studies published between 2011
2016 about CL versus LESS or RAS for pediatric N, HN, and HNU was performed using
multiple electronic databases and sources. The level of evidence was determined
using the Oxford Centre for Evidence-based Medicine (OCEBM) criteria. Single arm
observational studies about N, HN or HNU using CL, LESS or RAS as well as
publications including adult patients were excluded. RESULTS: A total of 11
studies met defined inclusion criteria, reporting on CL versus LESS or RAS. No
studies of OCEBM Level 1 or 2 were identified. Performing CL for N and HN limited
evidence indicated reduced analgesic requirements and shorter hospital stay over
open surgery, but longer operating time. Preservation of renal function of the
remaining moiety after CL-HN was 95%. Importantly, of patients losing their
remaining moiety, median age at surgery was 9 months (range, 4-42 months), and
all except 1 (6/7) had an upper pole HN. Several authors compared TNP versus RPN
access for CL and confirmed a longer operating time for RPN versus TPN-NU.
Moreover one study reported a longer ureteric stump in RPN versus TPN-HNU (range,
2-5 cm vs. 3-7 mm). Disadvantages of LESS or RAS over CL were longer operative
time and higher total costs (RAS). There were no differences regarding
complications, success rates, or short-term outcomes between pediatric RAS versus
CL. No long-term studies about preservation of renal function or length of
ureteric stump using LESS or RAS could be retrieved. CONCLUSIONS: Several
approaches to MIS-NU and HNU are available today. CL represents the method of
choice for any age group. TPN or RPN can be chosen according to age of the
patient. LESS and RAS offer distinct advantages, but also lack evident patients'
benefits over CL at present. Hopefully, as pediatric MIS advances over the next
decade, larger studies comparing CL, LESS or RAS directly for pediatric NU and
HNU will be published to gain a higher level of evidence what's really best for
the child.
PMID- 27867847
TI - Retroperitoneal and laparoscopic heminephrectomy in duplex kidney in infants and
children.
AB - BACKGROUND: Two main techniques are adopted to perform partial nephrectomy in
children: laparoscopy and retroperitoneoscopy. The aim of this paper is to review
the larger multicentric experience recently published by our group to review
indications, techniques and results of both approaches. METHODS: Data of 102
patients underwent partial nephrectomy in a 5-year period using minimally
invasive surgery (MIS) procedures were analyzed. Fifty-two children underwent
laparoscopic partial nephrectomy (LPN), and 50 children underwent
retroperitoneoscopic partial nephrectomy (RPN). Median age at surgery was 4.2
years. Statistical analysis was performed using chi2 test and Student's t-test.
RESULTS: The overall complications rate was significantly higher after RPN
(15/50, 30%) than after LPN (10/52, 19%) (chi2 =0.05). In LPN group,
complications [4 urinomas, 2 symptomatic refluxing distal ureteral stump (RDUS)
and 4 urinary leakages] were conservatively managed. In RPN group, complications
(6 urinomas, 8 RDUS, 1 opening of remaining calyxes) required a re-operation in 2
patients. In both groups no conversion to open surgery was reported. Operative
time (LPN: 166.2 min vs. RPN: 255 min; P<0.001) and hospitalization (LPN: 3.5
days vs. RPN: 4.1 days; P<0.001) were significantly shorter in LPN group. No
postoperative loss of renal function was reported in both groups. CONCLUSIONS:
MIS now represents the gold standard technique to perform partial nephrectomy in
children with duplex kidney. Our results demonstrate that RPN remains a
technically demanding procedure with a significantly higher complications and re
operation rate compared to LPN. In addition, length of surgery and
hospitalization were significantly shorter after LPN compared to RPN. LPN seems
to be a faster, safer and technically easier procedure to perform in children
compared to RPN due to a larger operative space and the possibility to perform a
complete ureterectomy in refluxing systems.
PMID- 27867850
TI - Minimal access surgery in the management of pediatric urolithiasis.
AB - BACKGROUND: In contrast to adult patients, a relatively large number of open
surgical procedures are still needed in the treatment of urolithiasis in
children. Since almost all open surgical techniques may be reproduced by minimal
access surgery (MAS), there is a rationale to apply the latter in the management
of pediatric urolithiasis. Our study aimed to assess the feasibility and outcome
of MAS in the treatment of pediatric urinary calculi. METHODS: The charts of
patients with urolithiasis submitted to MAS between 1994 and 2007 were
retrospectively reviewed. The inclusion criteria were contraindication for and
failure of lithotripsy or endourology techniques. Demographic data, lithiasis
characterization (location, dimension, composition), predisposing factors
(anatomic or metabolic) and surgical approach (technique and outcome) were
evaluated. RESULTS: Fifteen consecutive patients (eight girls, seven boys) with a
median age of 108 months (range: 10-297 months) were elected for MAS. Eleven
(73%) children had associated urogenital malformations and three (20%) presented
metabolic abnormalities. A total of 17 procedures were performed
laparoscopically: three nephrolithotomy (one transperitoneal, two by
retroperitoneoscopy), four pyelolithotomies (retro), three ureterolithotomy
(trans) and seven cystolithotomies (suprapubic approach). Five patients underwent
concomitant correction of urological anomalies (three calyceal diverticula, one
obstructive megaureter, one ureteropelvic junction obstruction). Complete removal
of calculi was accomplished in 14 (82%) procedures. There were two perioperative
complications (one intraperitoneal vesical perforation and one perivesical
urinoma). At a median follow up of 4 years (range, 1 month to 11 years), four
patients have developed recurrence. CONCLUSIONS: MAS is an effective and safe
approach for urolithiasis in children who are not candidates for minimally
invasive modalities.
PMID- 27867848
TI - Pyeloplasty techniques using minimally invasive surgery (MIS) in pediatric
patients.
AB - Hydronephrosis is the most common presentation of ureteropelvic junction (UPJ)
obstruction. We reviewed literature, collecting data from Medline, to evaluate
the current status of minimally invasive surgery (MIS) approach to pyeloplasty.
Since the first pyeloplasty was described in 1939, several techniques has been
applied to correct UPJ obstruction, but Anderson-Hynes dismembered pyeloplasty is
established as the gold standard, to date also in MIS technique. According to
literature several studies underline the safety and effectiveness of this
approach for both trans- and retro-peritoneal routes, with a success rate between
81-100% and an operative time between 90-228 min. These studies have demonstrated
the safety and efficacy of this procedure in the management of UPJ obstruction in
children. Whether better the transperitoneal, than the retroperitoneal approach
is still debated. A long learning curve is needed especially in suturing and
knotting.
PMID- 27867849
TI - Laparoscopic transposition of lower pole crossing vessels (vascular hitch) in
children with pelviureteric junction obstruction.
AB - BACKGROUND: Congenital hydronephrosis due to intrinsic or extrinsic uretero
pelvic-junction (UPJ) obstruction (UPJO) is a common problem in childhood UPJO
may be caused by intrinsic disorganization or by extrinsic compression from
crossing vessels (CV); extrinsic causes usually present symptomatically in older
children. This report the large Italian experience in the treatment of children
with extrinsic-UPJO by CV. METHODS: We analyzed the data of 51 children (17 girls
and 34 boys, median age 10, 7 years) affected by extrinsic-UPJO were treated in
three Italian institutions with laparoscopic transposition of CV (Hellstrom
Vascular Hitch modified by Chapman).The intraoperative diuretic-test was
performed in all patients before and after the vessels transpositions confirming
the extrinsic-UPJO. We included in the study only patients with suspicion of
vascular extrinsic obstruction of the UPJ. Symptoms at presentation were
recurrent abdominal/flank pain and haematuria. All patients presented
intermittent ultrasound (US) detection of hydronephrosis (range, 18-100 mm).
Preoperative diagnostic studies included: US/doppler scan, MAG3-renogram,
functional-magnetic-resonance-urography (fMRU). RESULTS: Median operative time
was 108 minutes; median hospital stay: 3, 4 days. Unique complications: a small
abdominal wall hematoma and higher junction-translocation without obstruction.
During follow-up (range, 12-96 months) all patients reported resolution of their
symptoms, a decrease in the hydronephrosis grade and improved drainage on
diuretic renogram. CONCLUSIONS: We believe that Vascular Hitch is less
technically demanding than laparoscopic pyeloplasty, resulting in a lower
complication rate and a significantly reduced hospitalization. The results of our
study allow us to conclude that laparoscopic VH may be a safe, feasible, and
attractive alternative to treat obstructed hydronephrosis due to CV presenting a
useful alternative to AHDP in the management of symptomatic children where CV are
deemed the sole aetiology. We recommend careful patient selection based on
preoperative clinical and radiologic findings that are diagnostic of extrinsic
UPJO, combined with intraoperative-DT to confirm the appropriate selection of
corrective procedure.
PMID- 27867851
TI - Percutaneous endoscopic treatment for urinary stones in pediatric patients: where
we are now.
AB - BACKGROUND: Percutaneous nephrolithotomy (PCNL) has been adopted for pyelo
calyceal stones treatment in pediatric patients, starting from the 90's. Very
recently, miniaturization of endoscopic instruments allowed less invasive
procedures with low complication rate. We reviewed our experience on upper tract
stone treatment utilizing two different percutaneous accesses, focusing on the
recent new miniaturized devices offered for pediatric renal stones. METHODS:
Patients presenting upper tract urinary stones observed from January 2011 to
December 2015 and treated by percutaneous renal access were prospectively
evaluated: age, sex, metabolic issues, associated abnormalities, treatment
modalities, hospital stay and complication rate were recorded in a specific
database. Two different endourological percutaneous modalities were adopted,
depending to the stone size and position. PCNL was performed through a direct
calyceal puncture under ultrasonographic and fluoroscopic guidance and Amplatz
access dilatation till 24 Fr. Ballistic energy was used for fragmentation.
Micropercutaneous (Microperc) procedure was recently offered utilizing a 4.85 Fr
metallic needle and Holmium:YAG laser lithotripsy under direct vision through a
0.9 mm high resolution optic flexible wire connected with a telescope. RESULTS:
Thirty-eight percutaneous access to pyelo-calyceal renal stones were performed on
a total of 108 children treated for upper tract stones, aged 4 to 18 years (mean
age 7.5 years). The overall number of procedures was 144 (36 repeated
procedures). Cystinuria was diagnosed in 5 patients. PCNL was adopted in 28
patients, Microperc was utilized in 8 patients. Hemoglobin dropdown was limited
to 1.20+/-0.80 mg% in PCNL and was not significant in Microperc. No blood
transfusion was needed. No significant complications were observed. Stone free
rate or minimal not significant residuals were achieved in 82% of PCNL and in
87.5% of Microperc, after a single procedure. CONCLUSIONS: Percutaneous
endoscopic treatment of renal calculi is feasible in pediatric age, with high
success rate in a single step. Advanced miniaturized endoscopic devices as
Microperc guarantee high efficacy and reduced complication rate, but endo
urological experience and adequate learning curve are required, especially in
small body weight children. Centralization of these patients in Pediatric Stone
Centers is welcomed to optimize results and reduce risks.
PMID- 27867852
TI - Laparoscopic management of urachal cysts.
AB - BACKGROUND: The urachus and the urachal remnants represent a failure in the
obliteration of the allantois at birth that connects the bladder to the
umbilicus. After birth it obliterates and presents as the midline umbilical
ligament. Urachal cyst are the most common urachal anomaly in the pediatric
population. The traditional surgical approach is a semicircular infraumbilical
incision or a lower midline laparotomy. METHODS: In a 10 years period at
Pediatric Surgery Department of Vicenza 16 children were diagnosed with urachal
anomalies presenting as abdominal or urinary symptoms. Eight underwent open
excision; eight were treated by laparoscopic surgery. The average age was 5.5
years (range, 4 months-13 years) in open group and 10 years (range, 1 month-18
years) in laparoscopic group. RESULTS: Mean operative time was 63 minutes (range,
35-105 minutes) in open group, 50 minutes (range, 35-90 minutes) in laparoscopic
group. There were no postoperative complications. The patients of laparoscopic
group were all discharged after few days (range, 2-4 days). Pathological
examination confirmed a benign urachal remnant in all cases. Reporting our
experience since comparing the two surgical approaches we want to describe the
technique step by step of laparoscopic urachal cyst excision as minimally
invasive diagnostic and surgical techniques. CONCLUSIONS: Laparoscopy represents
a useful alternative for the management of persistent or infected urachus, in
particular when there's the suspect despite the lack of radiological evidence.
The morbidity associated with this approach is very low as the risk or
recurrence. Laparoscopy in the management of urachal cyst is safe effective and
ensures good cosmesis with all the advantages of minimally invasive approach.
PMID- 27867854
TI - Laparoscopic extravesical ureteral reimplantation (LEVUR): a systematic review.
AB - BACKGROUND: Laparoscopic ureteral reimplantation is a feasible method for
treating ureteral pathology with good preliminary results in the literature. In
this study, we review medium term results for laparoscopic ureteral
reimplantation and discuss current developments of this procedure. METHODS:
Medline and Embase databases were searched using relevant key terms to identify
reports of paediatric laparoscopic extravesical ureteral reimplantation (LEVUR).
Literature reviews, case reports, series of <3 children and adult studies (age
>20 years) were excluded. RESULTS: Five studies were assessed, overall, 69 LEVUR
were performed in children. Despite different surgical technique, in all case the
technique was respected. Patient demographics, preoperative symptoms,
radiological imaging, complications, and postoperative outcomes were analyzed.
Median success rate was 96%. Complications were reported in five cases.
CONCLUSIONS: This study is limited by the data given in the individual series:
varied criteria used for patient selection and outcome as well as inconsistent
pre- and post-operative imaging data precluded a meta-analysis. But it
demonstrates that the laparoscopic ureteral reimplantation is an effective
procedure with good medium-term results. We believe that in well selected
patients this procedure will become an established treatment option.
PMID- 27867853
TI - Treatment of vesico-ureteral reflux in infants and children using endoscopic
approaches.
AB - Vesicoureteral reflux (VUR) represents one of the most significant risk factors
for acute pyelonephritis in children. Endoscopic treatment of VUR dates back to
1981 when Matouschek first described injection of the ureteral orifice in an
attempt to correct VUR. In addition, also Politano and colleagues and McDonald
described successful correction of reflux using endoscopic techniques. After
these reports subureteral Teflon injection (STING) came to be appreciated as a
viable new way to less invasively correct one of the most common pediatric
urologic problems. The technique is technically easy to perform and is usually
performed as an outpatient procedure. It is performed in general anesthesia in
children and may require repeat injections, particularly in patients with high
grade reflux. As for endoscopic technique, a main problem existed. The success in
children with high grade reflux was less than reported for open or laparoscopic
reimplant techniques. However, in the past 10 years, newer products have become
available that are changing the indications for endoscopic correction. In these
review, we analyzed the papers published in the literature on this topic to give
to the readers an updated overview about the results of endoscopic treatment of
VUR after 30-years of his first description.
PMID- 27867855
TI - Laparoscopic approach for gonadectomy in pediatric patients with intersex
disorders.
AB - The birth of a child with a disorder of sex development (DSD) prompts a long-term
management strategy that involves a myriad of professionals working with the
family. There has been progress in diagnosis, surgical techniques and in
understanding psychosocial issues related to this condition. However, since these
kinds of disorders are rare and have many anatomical variations, individual care
is necessary, especially regarding surgical management. Gonadectomy is indicated
in a number of intersex disorders with a Y chromosome to reduce the associated
risk of cancer. Recently, laparoscopy has gained wide acceptance in pediatric
urology. Laparoscopy is also reported to be a useful tool for diagnosing and
treating DSD because of its minimal invasiveness and favorable cosmetic outcome.
However, reports of evaluation and management using laparoscopy for large numbers
of DSD patients are limited and debate is still open about indications and timing
of gonadectomy. In this study, we reviewed the literature of the last 10 years
about the role of laparoscopic gonadectomy in patients with DSD. In the analyzed
papers, all the procedures were accomplished successfully using laparoscopy. No
conversions to open surgery neither intra-operative complications were reported
in all series. Post-operative complications were reported only in one series and
included 1 umbilical port infection [2% (1/50)] and 1 pelvic abscess [2% (1/50)],
both treated with antibiotic therapy (grade I Clavien-Dindo). Of the analyzed
series, 7/10 reported postoperative diagnosis of gonadal tumors. The
histopathologic examinations revealed 15 cases of gonadoblastoma, 7 cases of
dysgerminoma and 2 cases of seminoma. Analyzing the single series, the incidence
of these tumors varied between 10% and 33%. The results of our review confirmed
the safety and efficacy of laparoscopic gonadectomy in DSD patients. In our mind,
laparoscopic gonadectomy should be accepted as the treatment of choice in
children and adolescents with these rare conditions. It thereby eliminates the
risk of malignancies of gonadal origin with the advantages of a minimally
invasive procedure, with lower morbidity, quicker postoperative recovery and
excellent cosmetic results.
PMID- 27867856
TI - Minimally invasive surgery in management of renal tumours in children.
AB - Minimally invasive surgery (MIS) in the management of malignant and benign renal
tumours in children is gradually becoming more common. Experience is limited and
restricted to case reports, retrospective chart reviews and a few cohort studies.
There are currently no randomized controlled trials or controlled clinical trials
comparing the laparoscopic and open surgical approach for the management of renal
tumours in children. MIS may offer the same oncologic outcome in malignant renal
tumours whilst providing the advantages associated with MIS in correctly selected
cases. The technique for tumour resection has been shown to be feasible in
regards to the recommended oncologic principles, although lymph node sampling can
be inadequate in some cases. Preliminary reports do not show an increased risk of
tumour rupture or inferior oncologic outcomes after MIS. However, the sample size
remains small and duration of follow-up inadequate to draw any firm conclusions.
Implementation of MIS is lacking in the protocols of the major study groups, and
standardized recommendations for the indications and contra-indications remain
undefined. The objective of this article is to present a review of the literature
on the role of MIS in the management of renal tumours in children, with the main
focus on Wilms' tumour (WT). Further studies on MIS in renal tumours are required
to evaluate the incidence of oncological complications such as complete tumour
resection and intra-operative tumour spillage. A long-term follow-up of patients
managed by MIS is essential to compare recurrence rates and overall survival
rates.
PMID- 27867857
TI - Training for MIS in pediatric urology: proposition of a structured training
curriculum.
AB - In Europe there are a lot of training centers for minimally invasive surgery
(MIS) but a standardized MIS training program in pediatric urology doesn't exist
at the moment. We performed a literature review with the last goals to propose a
structured training curriculum in MIS urology for pediatric surgeons. Pediatric
urologists have to obtain a valid MIS training curriculum completing the
following 4 steps: (I) Theoretical part (theoretical courses, masterclass) to
acquire theoretical knowledge; (II) experimental training (simulation on pelvic
trainer, virtual reality simulators, animal models, 3-D ex-vivo models) to
acquire basic laparoscopic skills; (III) stages in European centers of reference
for pediatric MIS urology to learn all surgery aspects; (IV) personal operative
experience. At the end of the training period, the trainee would be expected to
perform several MIS urological procedures independently, under supervision of an
expert tutor. At the end of the training program, each center will analyze the
candidate training booklet and release for each applicant a certification after
an exam. We think that this MIS training program in pediatric urology may assure
an integrated acquisition of basic and advanced laparoscopic skills during
residency training in pediatric urology. Each European country should adopt this
program so as to secure a standardized technical qualification in MIS urology for
all future pediatric urologists.
PMID- 27867858
TI - New techniques and technologies for the treatment of surgical endocrine diseases.
PMID- 27867859
TI - New endoscopic procedures for diabetes mellitus type 2 and obesity treatment.
AB - BACKGROUND: Obesity continues to be a growing epidemic worldwide. Obese patients
have severe comorbidities that make risky and technically demanding the execution
of bariatric surgery from both surgical and anesthetic point of view; therefore,
the focus of bariatric surgeons is increasingly moving towards minimally
invasive, endoscopic techniques. METHODS: The present review presents and
discusses recent endoscopic techniques employed in obesity treatment, their
features and results. RESULTS: Endoscopic treatment can be primary or revisional;
we can mainly divide the endoscopic devices into five categories: space-occupying
devices, restrictive procedures, bypass liner, aspiration therapy and endoscopic
revision of gastric bypass for dilated gastric pouch. CONCLUSIONS: Endoscopic
treatments for obesity are promising techniques for selected patients but each
procedure should be tailored on the patient in a multimodal approach.
PMID- 27867860
TI - Laparoscopic sleeve gastrectomy for the treatment of diabetes mellitus type 2
patients-single center early experience.
AB - BACKGROUND: In recent years, laparoscopic sleeve gastrectomy (LSG) has become one
of the most commonly used primary bariatric procedures for morbid obesity. While
laparoscopic Roux-en-Y gastric bypass (LRYGB) has well documented positive
clinical influence on type 2 diabetes, the role of LSG in diabetes treatment is
debatable. The main aim of this study is to present our early experience in LSG
as a method of bariatric treatment in patients with type 2 diabetes or
abnormalities in glucose homeostasis. METHODS: Prospectively collected data of
patients operated for morbid obesity at the 2nd Department of Surgery. The study
was designed to assess the influence of LSG on type 2 diabetes and glucose
homeostasis. The primary endpoint was the diabetes type 2 remission. Secondary
endpoint was the change of glucose metabolism parameters after LSG. Patients were
assessed preoperatively and allocated to two groups: group 1-with any
preoperative abnormalities in glucose homeostasis (prediabetes, diabetes) and
group 2-with non-elevated fasting glucose level. During follow-up (6 months after
surgery) all glucose homeostasis parameters were analyzed again. One hundred and
thirty-six patients after LSG were enrolled in the study (90 females, 46 males;
mean age 40.5+/-9.9 years). Preoperative abnormalities in glucose homeostasis
were confirmed in 64 (47%) patients. Twenty (15%) patients in this group had
diabetes. RESULTS: We observed significant reduction of body mass index (BMI)
after surgery. Mean percent of EBMIL for all groups after 6 months from surgery
was 59.90% (46.75-69.28%). There were no full remissions after surgery in
patients with preoperative diabetes. We found significant improvement in
biochemical markers of glucose homeostasis. We observed significant reduction of
HbA1c% after surgery in both groups. The level of postoperative HbA1c% was
related to BMI loss after surgery. CONCLUSIONS: LSG leads to significant
improvement in biochemical glucose homeostasis and can be considered as a method
of treatment in morbidly obese patients with glucose metabolism abnormalities.
LSG as a method of treatment for patients with clinical type 2 diabetes still
needs some further observation.
PMID- 27867861
TI - Intraoperative neural monitoring in thyroid surgery: lessons learned from animal
studies.
AB - Recurrent laryngeal nerve (RLN) injury remains a significant morbidity associated
with thyroid and parathyroid surgery. In the past decade, surgeons have
increasingly used intraoperative neural monitoring (IONM) as an adjunct technique
for localizing and identifying the RLN, detecting RLN injury, and predicting the
outcome of vocal cord function. In recent years, many animal studies have
investigated common pitfalls and new applications of IONM. For example, the use
of IONM technology in animal models has proven valuable in studies of the
electrophysiology of RLN injury. The advent of animal studies has substantially
improved understanding of IONM technology. Lessons learned from animal studies
have immediate clinical applications in establishing reliable strategies for
preventing intraoperative RLN injury. This article gives an overview of the
research progress on IONM-relevant animal models.
PMID- 27867862
TI - Intermittent neural monitoring of the recurrent laryngeal nerve in surgery for
recurrent goiter.
AB - Reoperative thyroid surgery is still challenging even for skilled surgeons, and
is associated with a higher incidence of complications, such as
hypoparathyroidism and recurrent laryngeal nerve (RLN) palsy. Displacement of the
RLN, scar tissue from previous neck surgery and difficulty in maintaining good
hemostasis are risk factors in reoperations. The prevalence of RLN injury in
reoperative thyroid surgery ranges as high as 12.5% for transient injury and up
to 3.8% for permanent injury. Bilateral paresis can also occur during
reoperations, and is a dangerous complication influencing the quality of life,
sometimes requiring tracheostomy. RLN identification is the gold standard during
thyroidectomy, and the use of intraoperative neuromonitoring (IONM) can be a
valuable adjunct to visual identification. This technique can be used to identify
the RLN and the external branch of the superior laryngeal nerve (EBSLN), both of
which are standardized procedures. The aim of this review was to evaluate the use
of intermittent neural monitoring of the RLN in surgery for recurrent goiter, and
to assess the prevalence of RLN injury while using IONM reported in the current
literature.
PMID- 27867863
TI - Safety of energy based devices for hemostasis in thyroid surgery.
AB - Energy based devices (EBD) have been developed, implemented and increasingly
applied in thyroid surgery because they can provide a combined dissection and
haemostatic effect. In particular, advantages of EBD have been described in terms
of efficacious haemostasis, reduction of procedure-associated time, reduced
incision length, less operative blood loss and transfusion need, decreased
postoperative drain, pain and hospital stay. In addition, EBD are essential for
endoscopic procedures. On the contrary, a potential drawback is the increased
health care costs. This paper reviews relevant medical literature published on
the safety of new devices for achieving hemostasis and dissection around the
recurrent laryngeal nerve (RLN).
PMID- 27867864
TI - BRAF V600E mutation in prognostication of papillary thyroid cancer (PTC)
recurrence.
AB - Papillary thyroid cancer (PTC) offers excellent prognosis, however relapse risk
or persistent disease is related to ~30%. Currently, attention is paid to the
possibility of patient group selection of different risk of unfavorable outcome
to match a particular therapeutic approach. Therefore, interest in new prognostic
and predictive markers known preoperatively is observed. BRAF V600E mutation is
such a marker. Many studies analyzing the prevalence of the mutation and its
relationship with other clinico-pathological risk factors were reported but with
controversial conclusions. The prognostic significance of BRAF mutation was
confirmed by some single centre studies, a few meta-analyses and a large
multicenter retrospective international study. They confirmed a correlation
between the mutation and the risk of recurrence. The strongest argument against
using BRAF mutation as an independent prognostic and predictive factor in PTC is
its high prevalence (30-80%). At present it seems that BRAF mutation is one of
the factors influencing the prognosis and it should be analyzed in correlation
with other prognostic factors. The most recent ATA recommendations do not
indicate a routine application of BRAF status for initial risk stratification in
differentiated thyroid cancer due to a lack of evident confirmation of a direct
influence of mutation on the increase in relapse risk. However, ATA demonstrates
the continuous risk scale for the relapse risk assessment, considering BRAF
and/or TERT status. At present, researchers are working on determining the role
of BRAF mutation in patients from a low-risk group and its correlations with
others molecular events. Currently, BRAF mutation cannot be used as a single,
independent predictive factor. However, its usefulness in the context of other
molecular and clinico-pathological risk factors cannot be excluded. They may be
used to make modern prognostic scales of relapse risk and be applied to
individualized diagnostic and therapeutic strategy for PTC patients.
PMID- 27867865
TI - Perioperative hemodynamic instability in patients undergoing laparoscopic
adrenalectomy for pheochromocytoma.
AB - Perioperative hemodynamic instability still remains the biggest surgical and
anesthetic challenge in surgery for pheochromocytoma. The aim of this review was
to discuss pre-, intra- and postoperative factors that may impact on hemodynamic
condition of a patient. It describes patients' preparation with appropriate
medication, principles of surgical technique as well as risk factors for
development of hemodynamic instability in postoperative period. Currently the
gold standard in the treatment of pheochromocytoma is preoperative use of alpha
blockers and laparoscopic surgery. This approach allowed improving outcomes by
lowering both mortality and morbidity.
PMID- 27867866
TI - Indocyanine green-enhanced fluorescence for assessing parathyroid perfusion
during thyroidectomy.
AB - Identification of the parathyroid glands during thyroid surgery may prevent their
inadvertent surgical removal and thus provide a better postoperative quality of
life. Nevertheless, the most common "technique" for intraoperative evaluation of
perfusion of parathyroid gland tissues during thyroid surgery is visual
inspection of the physical condition of tissues, e.g., their color and bleeding
edges. Another technique is measurement of intact parathyroid hormone. Recently,
indocyanine green-enhanced fluorescence has been used in various surgical
techniques, particularly laparoscopic surgery, to improve visualization and to
provide detailed anatomical information. Fluorescent optical guidance helps
surgeons to avoid inadvertent tissue injury while enhancing procedural
efficiency. This technique has potential use for evaluating perfusion of the
parathyroid gland in real-time intraoperative angiography.
PMID- 27867868
TI - Innovative solutions in bariatric surgery.
AB - Nowadays all over the world the rising plague of obesity can be observed. The
obesity was recognized as "an epidemic of XXI century" in 1997 by World Health
Organization. The change of eating habits, active lifestyle or pharmacological
curation are often insufficient to fight against obesity. Nowadays, there are not
any guidelines about gold standard for curing obese patients is bariatric
surgery. At the moment, two types of bariatric procedures: laparoscopic Roux-en-Y
gastric bypass and laparoscopic sleeve gastrectomy, are most commonly used. There
are also some other new approaches, which are still being investigated. The
mechanism of losing weight in bariatric surgery is based on restriction,
malabsorption and neurohormonal effect. Not only is the surgery technique very
important to succeed, but also the postoperative care in the outpatient clinic.
This article reviews the new possibilities in obesity treatment.
PMID- 27867867
TI - Early intact PTH (iPTH) is an early predictor of postoperative hypocalcemia for a
safer and earlier hospital discharge: an analysis on 260 total thyroidectomies.
AB - BACKGROUND: Hypocalcemia is the most frequent complication after thyroidectomy.
Serum calcium levels are reliable only 48-72 hours postoperatively. Early intact
PTH (iPTH) has been proposed as early predictor of postoperative hypocalcemia.
Our aim is to assess the ability of iPTH in predicting postoperative
hypocalcemia. METHODS: Two hundred and sixty patients underwent thyroidectomy
with postoperative iPTH evaluation. The iPTH samplings were early performed after
thyroidectomy. The calcium levels were dosed postoperatively. Age, sex, clinical
diagnosis, obesity, comorbidities, previous neck surgery, preoperative therapy,
type of surgery, dissectors used, lymphadenectomy, post-operative complications,
reoperation and histological diagnosis were considered. The primary end-point was
the postoperative hospital stay. The secondary end-points were serum calcium and
iPTH. Three iPTH cut-offs were tested to assess which was the best value (10.0,
15.0, and 20.0 pg/mL). RESULTS: The iPTH cut-off value of 10.0 pg/mL was the most
accurate and specific for hypocalcemia. Comparing the iPTH value with the
different values of calcium respectively 24 and 48 hours after surgery, a
statistically stronger association with the serum calcium levels 48 hours
postoperatively has been shown. iPTH >=10 pg/mL 3-6 hours after surgery was
strongly correlated to early discharge. CONCLUSIONS: The correlation of iPTH with
hypocalcemia is significant since a few hours after surgery and the value of iPTH
>=10 pg/mL is able to select those patients for a safe and early discharge. The
use of the iPTH might avoid unnecessary extensions of hospitalization.
PMID- 27867869
TI - Thyroid Langerhans cell histiocytosis and papillary thyroid carcinoma.
AB - A 27-year-old female, married with two children, presented to our clinic with a 1
year history of thyroid swelling and pressure symptoms on lying backward and
bilateral cervical lymphadenopathy. The patient was a known case of
panhypopituitarism for 5 years. Comprehensive patient evaluation including FNAC
with papillary thyroid cancer result then she underwent total thyroidectomy and
bilateral neck dissection and final histologic examination confirmed papillary
thyroid carcinoma in the background of lymphocytic thyroiditis, associated with
Langerhans cell histiocytosis (LCH). The draining cervical lymph nodes were also
involved by LCH and metastatic papillary thyroid carcinoma. Although the
association of LCH with papillary thyroid carcinoma in the thyroid has been
reported, their co-existence with LCH in the draining lymph nodes is very
uncommon.
PMID- 27867870
TI - Thyroid cancer with tracheal invasion: a pathological estimation.
AB - We review the clinical and pathologic features of seven cases of papillary
carcinoma of the thyroid that invaded the trachea and were treated by
thyroidectomy, airway resection with reconstructive surgery over an interval of
15 years. We depicted the peculiarity of invasion of well differentiated
papillary thyroid carcinoma (PTC) cells is perpendicularly oriented to the
tracheal lumen, in between cartilaginous rings, along blood vessels and collagen
fibers. Tracheal rings appear non-infiltrated in all histological sections of
well differentiated PTC infiltrating the trachea. Similar description of inter
cartilage PTC infiltration into the trachea was first provided by Shin et al. in
1993. Interestingly, our pathological revision support the estimation by Shin et
al., though that cartilage rings infiltration did occur in poorly differentiated
thyroid cancers with exiguous prognosis.
PMID- 27867871
TI - Does being born low birth weight affect the ability to exercise?
PMID- 27867872
TI - The rule of two-thirds in thyroid epidemiology.
PMID- 27867873
TI - Prevalence and predictors of depression and anxiety in patients of diabetes
mellitus in a tertiary care center.
AB - BACKGROUND: Diabetes is one of the most common chronic diseases and affects
virtually every organ of the human system. Depression and anxiety is common among
patients with diabetes and associated with worse diabetes outcomes. AIMS AND
OBJECTIVE: To study the prevalence and predictors of depression and anxiety in
patients of Type 2 diabetes mellitus (T2DM) in Pt. B.D. Sharma, PGIMS, Rohtak,
Haryana, India, a tertiary care center in Northern India. MATERIALS AND METHODS:
Four hundred ten consecutive patients having T2DM and 410 healthy controls
matched for age and sex attending the endocrine out-patient department of a
tertiary care center of Northern India were included in the study.
Sociodemographic and relevant clinical variables were collected. They were
evaluated for depression and anxiety using Hamilton Depression Rating Scale and
Hamilton Anxiety Rating Scale respectively. RESULTS: It was found that a
significantly larger proportion of diabetic patients had depression (26.3% vs.
11.2%, P = 0.001), anxiety (27.6% vs. 12.7%, P = 0.001) and comorbid depression
and anxiety (21.0% vs. 7.3%, P = 0.001) as compared to healthy controls. Diabetic
women had higher depression (17.1% vs. 9.3%) and anxiety (17.6% vs. 10.0%) than
men. The major predictors for a severe form of depression and anxiety among T2DM
cases were age, female sex, insulin therapy, retinopathy, nephropathy, and
ischemic heart disease. CONCLUSION: The present findings reveal that diabetic
cases had significantly higher depression and anxiety as compared to healthy
controls. The risk factors for depression and anxiety were age, female sex,
insulin therapy, and diabetic complications.
PMID- 27867874
TI - Serum adiponectin levels in gestational diabetes mellitus.
AB - INTRODUCTION: Gestational diabetes mellitus (GDM) is defined as any degree of
glucose intolerance with onset or first recognition during pregnancy.[1]
Pregnancy is a unique situation in which there is a physiological temporary
increase in insulin resistance (IR). The mechanisms responsible for the
gestational-induced IR are not completely understood. The current study was
undertaken to compare adiponectin levels during 24-28 weeks period of gestation
in drug-naive newly diagnosed GDM women with a cohort of normoglycemic pregnant
women. SUBJECTS AND METHODS: A total of 47 pregnant women in the age group of 18
40 years were included in this cross-sectional study, of which 13 were GDM cases
and 34 were normoglycemic controls. Serum adiponectin level was analyzed by
enzyme-linked immunosorbent assay. RESULTS: The mean adiponectin level was 16.92
ng/ml (standard deviation [SD] = 2.78) and 19.38 ng/ml (SD = 2.71) in case and
control groups, respectively, and the difference was found to be statistically
significant (P = 0.008). CONCLUSION: Our study demonstrated decreased serum
adiponectin levels in women with GDM when compared with age- and body mass index
matched euglycemic pregnant women.
PMID- 27867875
TI - Coronary artery calcium scoring is a better predictor of cardiac risk in
subclinical hypothyroidism patients with low-risk Framingham score.
AB - CONTEXT: Overt hypothyroidism accelerates the cardiovascular disease. Subclinical
hypothyroidism (SCH), being considered as a preclinical state, impacts on
cardiovascular status is not clear. AIMS: This study was aimed at assessing
cardiac risk stratification by Framingham risk scoring (FRS) and coronary
coronary artery calcium score (CACS) by noncontrast cardiac computed tomography
in SCH. STUDY DESIGN: Observational study. SUBJECTS AND METHODS: We enrolled
thirty treatment-naive SCH patients (aged 30-60 years with no serious concurrent
medical conditions), thirty euthyroid (age, sex, and body mass index-matched)
controls, and ten healthy controls. All cases were evaluated for coronary artery
calcium scoring and Framingham risk score. STATISTICAL ANALYSIS: Qualitative data
were analyzed using the Chi-square test. In addition, demographics and CACS are
summarized graphically or in a table. RESULTS: SCH cases had higher
thyroglobulin, while there was a trend toward an increase in total cholesterol,
low-density lipoprotein (LDL), very LDL, and decrease in HDL levels. All
participants had low-risk FRS (10-year FRS < 10%). The mean CACS in SCH was
significantly higher than simple obese and healthy controls (47.17 vs. 2.67 vs.
0.00). CONCLUSION: This study suggests that SCH is an independent risk factor for
coronary artery disease in apparently healthy controls. The risk of occult
coronary artery disease is increased in SCH cases.
PMID- 27867876
TI - Prevalence and pattern of growth abnormalities in children with extrahepatic
portal vein obstruction: Response to shunt surgery.
AB - OBJECTIVE: Growth retardation is common in children with extrahepatic portal vein
obstruction (EHPVO) and growth hormone (GH) resistance may play a dominant role.
The aim of this study was to ascertain growth parameters and growth-related
hormones in children with EHPVO, comparing with controls and to study the
response of shunt surgery on growth parameters. MATERIALS AND METHODS: The
auxological and growth-related hormone profile (GH; insulin-like growth factor
binding protein-3 [IGFBP-3] and IGF-1) of thirty children with EHPVO were
compared with controls. The effect of shunt surgery on growth parameters in 12
children was also studied. RESULTS: The mean height standard deviation score
(HSDS) of cases (-1.797 +/- 1.146) was significantly lower than that of controls
(-0.036 +/- 0.796); the mean weight SDS of cases (-1.258 +/- 0.743) was also
lower than that of controls (-0.004 +/- 0.533). The mean GH level of cases (5.00
+/- 6.46 ng/ml) was significantly higher than that of controls (1.78 +/- 2.04
ng/ml). The mean IGF-1 level of cases (100.25 +/- 35.93 ng/ml) was significantly
lower as compared to controls (233.53 +/- 115.06 ng/ml) as was the mean IGFBP-3
level (2976.53 +/- 1212.82 ng/ml in cases and 5183.28 +/- 1531.28 ng/ml in
controls). In 12 patients who underwent shunt surgery, growth parameters
significantly improved. CONCLUSIONS: Marked decrease in weight and height SDSs
associated with GH resistance is seen in children with EHPVO, which improves with
shunt surgery.
PMID- 27867877
TI - Does one-to-one demonstration with insulin pads by health-care providers improves
the insulin administration techniques among diabetic patients of a Tertiary Care
Teaching Hospital in South India?
AB - OBJECTIVES: The study was aimed to capture the effect of using injection pads as
a tool in educating the diabetic patients who were on insulin. The attitude and
practice of the patients in storage of insulin vials and disposal of insulin
syringes were also assessed. MATERIALS AND METHODS: A facility based Quasi
experimental study was carried out among the diabetic patients on insulin,
attending diabetic clinic in endocrinology OPD in a tertiary care hospital,
Puducherry. One to one intervention was given to the study participants or their
attendants (who were involved in injecting insulin), by a trained investigator
regarding all the steps of insulin administration. The insulin administration
practices before and immediately after the intervention was assessed using a
checklist. RESULTS: In total 91 patients were included for the study with mean
(SD) age of 53.9 (10.6) years and of them 76% were females. The attitude and
practices of the study participants, such as hand washing before handling
insulin, checking the expiry date, storage of insulin, inspection of injection
site, rolling and cleaning the vial, withdrawal of the syringe up to the required
dose, pushing the plunger after inserting the syringe into the vial, checking and
removal of air bubbles, cleaning the injection site and allow to dry and
injection technique improved significantly after the intervention (P < 0.05).
CONCLUSION: This study findings shows that using injection pads for educating
patients helps them to practise better insulin administration. The findings from
the study can be applied in routine care and has to be explored further in
diabetic patient management.
PMID- 27867878
TI - Prevalence of hypothalamo pituitary dysfunction in patients of traumatic brain
injury.
AB - BACKGROUND: Traumatic brain injury (TBI) is common in young soldiers of armed
forces leading to significant morbidity and mortality. We studied the prevalence
of hypopituitarism following TBI and its association with trauma severity.
MATERIALS AND METHODS: We conducted a 12-month prospective study of 56 TBI
patients for the presence of hormonal dysfunction. Hormonal parameters were
estimated during the early phase (0-10 days posttraumatically) and after 6 and 12
months. Dynamic testing was done when required, and the results were analyzed by
appropriate statistical methods. RESULTS: Hormonal dysfunction was seen in 39 of
the 56 (70%) patients at initial assessment. Persisting pituitary deficiencies
are seen in 7 and 8 patients at the end of 6 months and 12 months, respectively.
Hypogonadotropic hypogonadism, hypothyroidism, and growth hormone deficiency are
the most common diagnoses. Initial severe TBI and plurihormonal involvement
predicted the long-term hypopituitarism. CONCLUSION: Early hypopituitarism was
common in severe TBI, but recovers in majority. Evaluation for the occult
pituitary dysfunction is required during the rehabilitation of TBI patients.
PMID- 27867879
TI - Relationship of lean mass and obesity in Indian urban children and adolescents.
AB - BACKGROUND: The association of obesity and lean mass (LM) has not been examined
well in children and adolescents, and it remains controversial. OBJECTIVE: The
objective of this study was to evaluate the relationship of body mass index (BMI)
categories and regional obesity with total and regional LM in children and
adolescents. METHODS: A total of 1408 children and adolescents (boys 58.9%; girls
41.1%) divided according to BMI (normal weight 79.5%, overweight 16.0%, and obese
4.5%) were included in this cross-sectional study. Total and regional LM and fat
mass were measured by DXA. Leg and arm fat-to-total fat ratio (LATR) indicative
of subcutaneous fat and trunk fat-to-total fat ratio (TTR), an indicator of
visceral fat, were calculated. RESULTS: Mean age of the study population was 13.2
+/- 2.7 years (boys - 13.0 +/- 2.7; girls - 13.4 +/- 2.8 years). Total LM (TLM)
and its regional distribution were higher in overweight and obese groups when
compared with those with normal BMI in both genders. TLM was comparable between
overweight and obese in both genders. TLM per unit of fat progressively decreased
from normal to obese categories. The difference in LM per unit fat between BMI
categories persisted after adjustment for age, height, and sexual maturity score.
TLM increased across the quartiles of TTR, but decreased with an increment in
subcutaneous fat (quartiles of LATR). CONCLUSIONS: Obese children and adolescents
apparently have higher LM than normal BMI children, but have lower LM per unit of
fat. Subcutaneous fat had a negative impact and visceral fat had a positive
impact on TLM.
PMID- 27867880
TI - Effect of curative parathyroidectomy on insulin resistance.
AB - BACKGROUND: Primary hyperparathyroidism (PHPT) is characterized by
inappropriately elevated serum parathyroid hormone (PTH) level despite elevated
serum calcium. Insulin resistant is the basic pathophysiology, behind the higher
prevalence of diabetes mellitus in patients with PHPT. However, the improvement
in insulin resistance (IR) after curative parathyroidectomy (CPTX) has not been
established yet, as the study results are conflicting. MATERIALS AND METHODS: In
this prospective interventional study, ten patients with mild PHPT (Group 1) and
another ten patients with moderate to severe PHPT (Group 2) were undergone CPTX.
The IR was assessed by homeostasis model assessment-IR (HOMA-IR), quantitative
insulin sensitivity check index (QUICKI), fasting plasma glucose (FPG), and
fasting serum insulin (FSI), before and 3 months after CPTX. RESULTS: There was
no significant change of FPG and FSI, before and after CPTX in Group 1 (P = 0.179
and P = 0.104) and Group 2 (P = 0.376 and P = 0.488). Before surgery, HOMA-IR was
higher, and QUICKI was significantly lower, in both Group 1 (P = 0.058 and P =
0.009) and Group 2 (P = 0.023 and P = 0.005) as compared to published normal
reference mean, with no significant difference between the groups. Three months
after surgery HOMA-IR increased further and QUICKI remained unchanged as compared
to baseline, in both Group 1 (P = 0.072 and 0.082) and Group 2 (P = 0.54 and
0.56), but statistically insignificant. CONCLUSION: IR remained unchanged after
CPTX in mild as well as moderate to severe PHPT. Asymptomatic PHPT with abnormal
IR should not be used as criteria for parathyroidectomy.
PMID- 27867881
TI - Feasibility and acceptability of ambulatory glucose profile in children with Type
1 diabetes mellitus: A pilot study.
AB - BACKGROUND: Insulin administration and self-monitoring of blood glucose (SMBG)
are pillars in the management of diabetes in children. Introduction of continuous
glucose monitoring (CGM) has made it possible to understand the glycemic profiles
which are not picked up by SMBG. Recent advent of flash glucose monitoring with
inbuilt software to obtain ambulatory glucose profile (AGP) has emerged as a
novel method to study glycemic patterns in adults with Type I diabetes. However,
the use of AGP in children is yet to be explored. METHODS: AGP was used in 46
children with Type 1 diabetes mellitus. Feasibility was measured regarding data
and sensor failure. Acceptability was measured using a questionnaire. RESULTS:
Forty-six children (22 girls and 24 boys) with a mean age of 10.07 years and mean
diabetes duration of 3.4 years were included in the study. In this cohort, for 30
(65.21%) subjects, the sensor remained in situ for a complete duration of 14
days. Except for minor discomfort, AGP was well accepted by most of the children
and their parents. CONCLUSION: AGP is a feasible option for monitoring glycemic
status in children with diabetes with a high rate of acceptance.
PMID- 27867882
TI - Prevalence of hypothyroidism in diabetic kidney disease and effect of thyroid
hormone replacement on estimate glomerular filtration rate.
AB - AIMS: To determine the prevalence of subclinical and overt hypothyroidism in
diabetic kidney disease (DKD) and effect of thyroid hormone replacement on
progression of DKD. MATERIALS AND METHODS: A prospective cohort study on 41 adult
DKD patients who were screened for hypothyroidism. Hypothyroid DKD patients were
started on levothyroxine replacement and were reviewed after 3 and 6 months.
RESULTS: Of the total population, 14 (34.1%) cases were hypothyroid, among whom
12 (29.3%) cases were subclinical, and 2 (4.8%) were overt hypothyroidism.
Prevalence of hypothyroidism and mean thyroid stimulating hormone levels
increased with increasing severity of DKD. There were 2 (14.3%) hypothyroid cases
in stage 3b, 4 (28.5%) cases in stage 4, and 8 (57.2%) in stage 5 DKD. The mean
estimate glomerular filtration rate (ml/min/1.73 m2) at baseline was 13.6 +/-
13.3 which increased to 16.4 +/- 14.5 and 21.2 +/- 15.3 after 3 and 6 months of
thyroid hormone replacement therapy (THRT), respectively (P < 0.001).
CONCLUSIONS: Hypothyroidism is commonly associated with DKD. Prevalence of
hypothyroidism increased with declining renal function. THRT significantly
improved renal function in DKD patients with hypothyroidism after 3 and 6 months
of therapy.
PMID- 27867883
TI - Demographic details, clinical features, and nutritional characteristics of young
adults with Type 1 diabetes mellitus - A South Indian tertiary center experience.
AB - CONTEXT: Type 1 diabetes mellitus (T1DM) accounts for 5-10% of all diagnosed
diabetes and the highest incidence is found in India. AIMS: The main objectives
were to study the demographic, clinical, and nutritional characteristics of young
adults with T1DM and its effect glycosylated hemoglobin levels. SUBJECTS AND
METHODS: This cross-sectional study was conducted among young adults with T1DM
(18-45 years of age) in a tertiary hospital in South India. Data were obtained
from updated medical records. The dietary data were assessed from food diaries
and 24 h recall method. Anthropometry was determined. RESULTS: The analysis
revealed that socio-economic variables did not affect the glycosylated hemoglobin
levels. The mean glycosylated hemoglobin value was 8.81 +/- 2.38%. Nearly, half
the patients were malnourished. The overall dietary intake was inadequate. The
multivariate regression model, adjusted for confounding factors such as gender,
age, and body mass index, revealed that only duration of diabetes and protein
intake were significant predictors of glycosylated hemoglobin status (P < 0.005).
CONCLUSION: Integrated care provided at subsidized cost has been pivotal in
effective diabetes management. However, there is an urgent need to educate our
patients on nutrition therapy. T1DM patients need specialized advice to ensure
appropriately balanced nutrition that has a significant impact on their long-term
glycemic control.
PMID- 27867884
TI - Comparison clinical and metabolic effects of metformin and pioglitazone in
polycystic ovary syndrome.
AB - INTRODUCTION: Polycystic ovary syndrome (PCOS) is one of the most common
endocrine disorders in women. PCOS comprises a broad spectrum of anomalies,
including hyperandrogenism, chronic anovulation, obesity, and infertility.
Insulin resistance and its compensatory hyperinsulinemia play a key role in the
pathogenicity of PCOS. This study compares the effects of 2 types of insulin
sensitizer drugs, metformin and pioglitazone, on clinical, metabolic, and
endocrine characteristics of women with PCOS. METHODS: In this randomized
clinical trial, 56 women with PCOS (ages 20-49 years) were treated orally with
either metformin (500 mg 3 times daily) or pioglitazone (30 mg daily) for 3
months. Clinical (body weight, blood pressure [BP], and body mass index) and
laboratory indices (fasting blood sugar [FBS], serum triglyceride [TG],
cholesterol, low-density lipoprotein, high-density lipoprotein, insulin,
testosterone, and dehydroepiandrosterone [DHEA]) were measured before and after
therapy. Data were analyzed by Chi-square and McNemar's tests. RESULTS:
Significant decreases were seen after treatment with metformin in extent of hair
loss (P = 0.008), wrist circle (P = 0.011), weight (P = 0.047), diastolic BP (P =
0.023), and DHEA (P = 0.035). A significant decrease in TG was seen with
pioglitazone treatment (P = 0.047). In both groups, significant decreases in
acne, menstrual disturbance, FBS, and serum insulin were seen. CONCLUSION: There
is a significant amelioration of endocrine and metabolic indices with
pioglitazone in PCOS patients. Although we were not able to recommend one
treatment regime over the other, pioglitazone offers a useful, alternate
treatment in women with PCOS who are not able to tolerate metformin.
PMID- 27867885
TI - Trimester-specific reference interval for thyroid hormones during pregnancy at a
Tertiary Care Hospital in Haryana, India.
AB - BACKGROUND: Reference intervals for thyroid hormone during pregnancy need to be
gestational age, method, and population specific and there is need to establish
trimester-specific thyroid levels for the different population across the world.
The aim of this study was to establish trimester-specific reference range for
thyroid hormone during pregnancy in a tertiary care center in Haryana. MATERIALS
AND METHODS: A total of 1430 pregnant women were recruited for the study.
Participants having any history of chronic illness, goiter on physical
examination, thyroid illness in the past or present, consuming thyroid
medications, family history of thyroid illness, presence of anti-thyroid
peroxidase antibody, poor obstetrics history were excluded from the study and
reference population was identified to calculate serum free triiodothyronine
(FT3), free thyroxine (FT4) and thyrotropin (TSH) for each trimester of
pregnancy. RESULTS: The 2.5-97.5th percentiles for FT3, FT4, and TSH obtained in
this study were 2.53-4.54 pg/ml, 0.88-1.78 ng/ml and 0.37-3.69 MUIU/ml in the
first trimester, 2.0-4.73 pg/ml, 0.91-1.78 ng/ml and 0.54-4.47 MUIU/ml in the
second trimester, 2.01-4.01 pg/ml, 0.83-1.73 ng/ml, and 0.70-4.64 MUIU/ml in the
third trimester of pregnancy. Mean TSH increased and mean FT3 decreased
significantly with the progression of gestational period. FT4 decreased from
trimester 1-3rd, but the decrease was nonsignificant from 2nd to 3rd trimester.
CONCLUSIONS: Existing results for trimester-specific reference intervals for
thyroid hormones are inconsistent and cannot be extrapolated due to differences
in ethnicity, maternal iodine status, laboratory assay method, and rigor for
selection of reference population. Thus, establishment of reference intervals in
each region is of great importance.
PMID- 27867886
TI - Genotype-phenotype correlations of dyshormonogenetic goiter in children and
adolescents from South India.
AB - BACKGROUND: Dyshormonogenetic goiter is one of the most common causes of
hypothyroidism in children and adolescents in iodine nonendemic areas. The exact
genotype-phenotypic correlations (GPCs) and risk categorization of hypothyroid
phenotypes of dyshormonogenetic mutations are largely speculative. The genetic
studies in pediatric dyshormonogenesis are very sparse from Indian sub-continent.
In this context, we analyzed the implications of TPO, NIS, and DUOX2 gene
mutations in hypothyroid children with dyshormonogenetic hypothyroidism (DH) from
South India. MATERIALS AND METHODS: This is interdisciplinary prospective study,
we employed eight sets of primers and screened for 142 known single nucleotide
polymorphisms in TPO, NIS, and DUOX2 genes. The subjects were children and
adolescents with hypothyroidism due to dyshormonogenetic goiter. Congenital
hypothyroidism, iodine deficiency, and Hashimoto's thyroiditis cases were
excluded. RESULTS: We detected nine mutations in 8/22 (36%) children. All the
mutations were observed in the intronic regions of NIS gene and none in TPO or
DUOX2 genes. Except for bi-allelic, synonymous polymorphism of TPO gene in child
number 14, all other mutations were heterozygous in nature. GPCs show that our
mutations significantly expressed the phenotypic traits such as overt
hypothyroidism, goiter, and existence of family history. Other phenotypic
characters such as sex predilection, the age of onset and transitory nature of
hypothyroidism were not significantly affected by these mutations. CONCLUSION:
NIS gene mutations alone appears to be most prevalent mutations in DH among South
Indian children and these mutations significantly influenced phenotypic
expressions such as severity of hypothyroidism, goiter rates, and familial
clustering.
PMID- 27867887
TI - Cross-sectional study of nutritional markers in pregnancy.
AB - OBJECTIVES: To note the value of serum Vitamin B12, folic acid, and ferritin in
normal and high-risk pregnancies (HRPs) in patients attending antenatal clinic at
All India Institute of Medical Sciences (AIIMS). MATERIALS AND METHODS: This is a
cross-sectional study where a total of 282 patients attending Gynaecology
Outpatient Department at AIIMS, New Delhi, India were recruited. Among the 282
subjects, 251 were pregnant, and 31 were controls. The serum was tested for serum
Vitamin B12, serum folic acid, and serum ferritin levels using Beckman Coulter
Access 2 immunoassay. RESULTS: The median value of serum folic acid level in
pregnant women was 12 pg/ml with range being 2-20 pg/ml in contrast to 8 pg/ml
with range being 3-20 pg/ml in nonpregnant female. This difference was
statistically significant. (P = 0.05). There was no significant difference in the
median level of serum Vitamin B12 and serum ferritin in pregnant and nonpregnant
group. Serum Vitamin B12 level was lower in the third trimester (127 pg/ml) than
in first trimester (171 pg/ml) and the difference is statistically significant (P
= 0.03). Serum ferritin levels were also significantly lower in the second
trimester (16.4 pg/ml) than third trimester (24.55 pg/ml). Although the median
serum folic acid level was lower in the first trimester (9.84 pg/ml) than in
second trimester (10.8 pg/ml) and in the third trimester (13.18 pg/ml) but the
difference was not statistically significant. There was no significant difference
in Vitamin B12 level in HRPs (median value 134 pg/ml) as compared to low-risk
pregnancies (149.5 pg/ml). CONCLUSION: Serum folic acid levels are significantly
higher during pregnancy as compared to nonpregnant state. However, there was no
significant difference in the median level of serum Vitamin B12 and serum
ferritin in pregnant and nonpregnant group. Serum folic acid level and ferritin
level were significantly higher in HRPs compared to low-risk pregnancies.
PMID- 27867888
TI - Neck height ratio is an important predictor of metabolic syndrome among Asian
Indians.
AB - BACKGROUND AND AIMS: The predictive potential of neck circumference (NC) based
indices (a measure of upper body fat distribution) for predicting metabolic
syndrome (MetS) and its components among Indians is not known. This study aimed
to evaluate the role of NC and neck height ratio (NHtR) as independent predictors
of MetS and its components as compared to traditional anthropometric indices.
MATERIALS AND METHODS: A total of 451 individuals from 867 screened individuals,
30-80 years age, without any co-morbid state who gave informed written consent
underwent clinical, anthropometric, and biochemical assessment. RESULTS: Patients
with MetS in both the sexes had significantly higher NC, NHtR, glycated
hemoglobin, fasting glucose, and dyslipidemia (higher triglycerides, total
cholesterol/high-density lipoprotein cholesterol (HDL-C) ratio, low-density
lipoprotein cholesterol/HDL-C ratio, and lower HDL-C). In both sexes, individuals
in the highest tertile of NC had significantly greater central and generalized
obesity, lower HDL-C, and significantly higher MetS. Receiver operating
characteristic analysis revealed waist circumference (WC) to have the largest
area under the curve for predicting MetS in both sexes, followed by NHtR, NC, and
body mass index. NC and NHtR of >34.9 cm (sensitivity 78.6%; specificity 59.3%)
and >21.17 cm/m (sensitivity 80.7% and specificity 64.6%) respectively for men
and >31.25 cm (sensitivity 72.3%; specificity 64.4%) and >20.48 cm/m (sensitivity
80.4% and specificity 60%) respectively for women were the best values for
identifying MetS. Increased NC and NHtR had odds ratio of 1.52 (95% confidence
interval [CI]: 1.37-1.68; P < 0.001) and 1.96 (95% CI: 1.67-2.29; P < 0.001)
respectively in identifying MetS. CONCLUSION: NC and NHtR are good predictors of
MetS and cardiovascular risk factors in Asian Indians. NHtR is reliable and
perhaps an even better index than NC with regards to cardiovascular risk
prediction.
PMID- 27867889
TI - Liraglutide effect and action in diabetes-In (LEAD-In): A prospective
observational study assessing safety and effectiveness of liraglutide in patients
with type 2 diabetes mellitus treated under routine clinical practice conditions
in India.
AB - BACKGROUND: This 26-week, open-label observational study assessed the incidence
and type of adverse events (AEs) associated with liraglutide use according to the
standard clinical practice settings and the local label in India. MATERIALS AND
METHODS: A total of 1416 adults with type 2 diabetes (T2D) treated with
liraglutide in 125 sites across India were included in the study. Participants
were newly diagnosed or already receiving antidiabetic medications. Safety and
efficacy data were collected at baseline and at approximately weeks 13 and 26.
The primary outcome was incidence and type of AEs while using liraglutide, with
events classified by Medical Dictionary for Regulatory Activities system organ
class and preferred term. The secondary objective was to assess other clinical
parameters related to effective T2D management. RESULTS: Twenty AEs,
predominately gastrointestinal, were reported in 1.3% of the study population in
scheduled visits up to week 26. No serious AEs, including death, were reported.
Hypoglycemic episodes were reported in 7.3% of participants at baseline and 0.7%
at week 26. No major hypoglycemic events were reported up to week 26 (baseline:
0.4%). Glycated hemoglobin was reduced from baseline (8.8 +/- 1.3%) to week 26 by
1.6 +/- 1.1% (P < 0.0001); significant improvements in fasting blood glucose, and
2-h postprandial blood glucose (post-breakfast, -lunch, and -dinner) were also
observed. Mean body weight decreased by 8.1 +/- 6.5 kg from baseline (92.5 +/-
14.6 kg; P < 0.0001). CONCLUSIONS: From the number of AEs reported, it is
suggested that liraglutide was well tolerated in subjects with T2D treated under
standard clinical practice conditions in India. Liraglutide was effective, and no
new safety concerns were identified.
PMID- 27867891
TI - Bangladesh national guidelines on the management of tuberculosis and diabetes
mellitus co-morbidity (summary).
AB - Tuberculosis (TB) and diabetes mellitus (DM) have synergetic relationship. People
with diabetes are 2-3 times at higher risk of getting active TB disease. On the
other hand, TB or anti-TB treatment may cause glucose intolerance. The dual
disease of DM and TB is more likely to be associated with atypical disease
presentation, higher probability of treatment failure and complications. In most
of the health-care delivery systems of the world, DM and TB are managed
separately by two vertical health-care delivery programs in spite of clear
interaction between the two diseases. Thus, there should be a uniform management
service for TB-DM co-morbidity. Realizing this situation, Bangladesh Diabetic
Samity (BADAS), a nonprofit, nongovernment organization for the management of
diabetes in Bangladesh, with the patronization of TB CARE II Project funded by
U.S. Agency for International Development (USAID), launched a project in 2013
titled BADAS-USAID TB Care II, Bangladesh with the goal of "Integrated approach
to increase access to TB services for diabetic patients." One of the project
objective and activity was to develop a national guideline for the management of
TB-DM comorbidity. Thus, under the guidance of National Tuberculosis Control
Program, of the Directorate General of Health Services, Government of the
People's Republic of Bangladesh and World Health Organization (WHO), this
guideline was developed in 2014. It is based on the existing "National Guidelines
and Operational Manual for TB Control" (5th edition) and guidelines for
management of DM as per WHO and International Diabetes Federations. Along with
that, expert opinions from public health experts and clinicians and "Medline"
searched literature were used to develop the guidelines. These guidelines
illustrate the atypical presentation of the TB-DM co-morbidity, recommendations
for screening, treatment, and follow-up of these patients and also
recommendations in case of management of TB in patients with kidney and liver
diseases. Thus, these guidelines will be a comprehensive tool for physicians to
manage TB in diabetic patients.
PMID- 27867890
TI - Bone turnover markers: Emerging tool in the management of osteoporosis.
AB - Bone is a dynamic tissue which undergoes constant remodeling throughout the life
span. Bone turnover is balanced with coupling of bone formation and resorption at
various rates leading to continuous remodeling of bone. A study of bone turnover
markers (BTMs) provides an insight of the dynamics of bone turnover in many
metabolic bone disorders. An increase in bone turnover seen with aging and
pathological states such as osteoporosis leads to deterioration of bone
microarchitecture and thus contributes to an increase in the risk of fracture
independent of low bone mineral density (BMD). These microarchitectural
alterations affecting the bone quality can be assessed by BTMs and thus may serve
as a complementary tool to BMD in the assessment of fracture risk. A systematic
search of literature regarding BTMs was carried out using the PubMed database for
the purpose of this review. Various reliable, rapid, and cost-effective automated
assays of BTMs with good sensitivity are available for the management of
osteoporosis. However, BTMs are subjected to various preanalytical and analytical
variations necessitating strict sample collection and assays methods along with
utilizing ethnicity-based reference standards for different populations.
Estimation of fracture risk and monitoring the adherence and response to therapy,
which is a challenge in a chronic, asymptomatic disease such as osteoporosis, are
the most important applications of measuring BTMs. This review describes the
physiology of bone remodeling, various conventional and novel BTMs, and BTM
assays and their role in the assessment of fracture risk and monitoring response
to treatment with antiresorptive or anabolic agents.
PMID- 27867892
TI - Fasting practices in Tamil Nadu and their importance for patients with diabetes.
AB - Religious practices and cultural customs related to eating habits have a
significant impact on lifestyle and health of the community. The Ramadan fasting
in Muslims and its influence on various metabolic parameters such as diabetes
have been reasonably studied. However, literature related to Hindu religious
customs related to fasting and food patterns during various festivals and its
effect on diabetes are scarce. This article is an attempt to describe the Hindu
religious customs related to fasting and food practices from the State of Tamil
Nadu (South India) and to raise the awareness among physicians about its
relationship with diabetes which may help in managing their diabetic patients in
a better way.
PMID- 27867893
TI - Forum for Injection Technique 2.0 Addendum 1: Insulin use in indoor settings.
AB - Insulin is a frequently used drug in the indoor setting. Comprehensive
recommendations for best practice in insulin injection technique have been
published by the forum for injection technique (FIT), India. This addendum
focuses on insulin use in indoor settings, and complements the FIT 2.0
recommendations. It discusses insulin use and disposal in critical care and
noncritical care settings. It also highlights the need to ensure continuing
nursing and medical education, and frame insulin policies for such use.
PMID- 27867894
TI - Hyperthyroidism and Graves' disease: Is an ultrasound examination needed?
AB - AIM: The aim of our study was to assess the limitation of clinical examination in
determining the morphology of thyroid gland in patients with hyperthyroidism and
its implications. METHODS: A retrospective analysis of consecutive patients with
hyperthyroidism seen in a tertiary endocrine clinic were analyzed. Sub-analysis
was performed on patients with proven Graves' disease. RESULTS: Of the 133
patients included in this study with hyperthyroidism, 60 (45%) patients had
significant nodularity on ultrasound (US). However, only 67% of these were
identified on clinical examination. In patients with confirmed Graves' disease (n
= 73), the discordance between US and clinical examination was very similar (18
of 30 patients, 60%). CONCLUSION: US should form an essential part of the
evaluation of hyperthyroidism as the morphology of thyroid gland could be
variable and nodules in these glands would also need to be appropriately
investigated. This would also significantly influence decision-making and
appropriate immediate and follow-up management plan.
PMID- 27867895
TI - Gender of rearing and psychosocial aspect in 46 XX congenital adrenal
hyperplasia.
AB - BACKGROUND: In congenital adrenal hyperplasia (CAH) with ambiguous genitalia,
assigning gender of rearing can be complex, especially If genitalia is highly
virilized. Apart from karyotype, prenatal androgen exposure, patient's gender
orientation, sociocultural, and parental influences play a role. The aim of this
study was to assess gender dysphoria and psychosocial issues in patients of CAH
raised as males and females. MATERIALS AND METHODS: This is a cross-sectional
study that includes patients (old and new) with CAH who were treated by us in the
last 6 months. A semi-structured interview proforma was used to elicit history
and psychosocial background of the patients. The clinical and biochemical details
were noted. For psychological analysis, patients were screened for gender
dysphoria using Parent Report Gender Identity Questionnaire for children <12
years and Gender Identity/Gender Dysphoria Questionnaire for Adolescents and
Adults. RESULTS: We analyzed 22 46 XX CAH patients among which, 3 were reared as
males and 19 as females. Among the 19 patients reared as females, 17 patients
showed no gender dysphoria. Two patients revealed gender dysphoria as indicated
by their marginally low scores on the gender dysphoria assessment. However, in
view of current literature and the age groups of the patients, behavior of the 6
year-old patient can be best understood as being tomboyish. Gender dysphoria in
the 22-year-old can be explained by the dominance of psychosocial factors and not
hormones alone. Among the three patients reared as males, two prepubertal were
satisfied with their male gender identity. The third patient, aged 32 years, had
gender dysphoria when reared as a male that resolved when gender was reassigned
as female and feminizing surgery was done. CONCLUSION: Gender assignment in 46 XX
CAH is guided by factors such as degree of virilization of genitalia, gender
orientation, patient involvement, sociocultural, and parental influences.
PMID- 27867896
TI - Synchronous parathyroid adenoma and papillary thyroid cancer detected on 99mTc
sestamibi scintigraphy.
PMID- 27867897
TI - Current practice in treating adult female thalassemia major patients with
hypogonadism: An International Network of Clinicians for Endocrinopathies in
Thalassemia and Adolescence Medicine survey from Italy.
PMID- 27867898
TI - Comments on: Microvascular and macrovascular complications in diabetes mellitus:
Distinct or continuum?
PMID- 27867899
TI - How prevalent are depression and anxiety symptoms in hypothyroidism?
PMID- 27867900
TI - Reply to "How prevalent are depression and anxiety symptoms in hypothyroidism?"
PMID- 27867901
TI - Sheehan's syndrome in two generations.
PMID- 27867902
TI - Hypocalcemia and Fahr syndrome in a patient with Graves' disease: Difficult
etiological diagnosis.
PMID- 27867903
TI - Incretin Learning and Excellence Academy for Diabetes (iLEAD).
PMID- 27867904
TI - Incremental role of 18F-fluorocholine PET/CT over technetium-99m-labeled MIBI
scan in hyperparathyroidism.
PMID- 27867905
TI - Multiple retroperitoneal paragangliomas.
PMID- 27867906
TI - Hypercalcemia and electrocardiogram changes.
PMID- 27867907
TI - Erratum: Sodium-glucose cotransporter 2 inhibitors with insulin in type 2
diabetes: Clinical perspectives.
AB - [This corrects the article on p. 22 in vol. 20, PMID: 26904465.].
PMID- 27867908
TI - Erratum: Study of Vitamin B12 deficiency and peripheral neuropathy in metformin
treated early Type 2 diabetes mellitus.
AB - [This corrects the article on p. 631 in vol. 20, PMID: 27730072.].
PMID- 27867909
TI - Prostate Cancer Screening: A Brief Tool to Incorporate Patient Preferences in a
Clinical Encounter.
PMID- 27867911
TI - [Communication and citizenship empowerment in health care: a case of action
research in a polarized Venezuela].
AB - An action-research project was implemented in Venezuela from 2009-2013 to empower
social activists and patients in their fight against breast cancer (BC). The
project was implemented in a context of high political and social polarization of
the so-called "Bolivarian revolution". Based on an ecological perspective of
health activism and communication, that encompasses the interpersonal, group and
social levels, a series of activities were celebrated to develop the advocacy
capabilities of citizens, especially women, expand the collaborative networks
among different stakeholders, and promote a consensual view between social and
institutional actors about a national response to fight BC. A horizontal and
participatory communication allowed that the voice of usually marginalized actors
was heard in the process of shaping health care policy.
PMID- 27867910
TI - Intrinsically Disordered Side of the Zika Virus Proteome.
AB - Over the last few decades, concepts of protein intrinsic disorder have been
implicated in different biological processes. Recent studies have suggested that
intrinsically disordered proteins (IDPs) provide structural plasticity and
functional diversity to viral proteins that are involved in rapid replication and
immune evasion in host cells. In case of Zika virus, the roles of protein
intrinsic disorder in mechanisms of pathogenesis are not completely understood.
In this study, we have analyzed the prevalence of intrinsic disorder in Zika
virus proteome (strain MR 766). Our analyses revealed that Zika virus polyprotein
is enriched with intrinsically disordered protein regions (IDPRs) and this
finding is consistent with previous reports on the involvement of IDPs in shell
formation and virulence of the Flaviviridae family. We found abundant IDPRs in
Capsid, NS2B, NS3, NS4A, and NS5 proteins that are involved in mature particle
formation and replication. In our view, the intrinsic disorder-focused analysis
of ZIKV proteins could be important for the development of disorder-based drugs.
PMID- 27867913
TI - Spontaneous Involution of Rathke's Cleft Cysts without Visual Symptoms.
AB - BACKGROUND: There have been various reports in the literature regarding the
conservative management of pituitary apoplexy, pituitary incidentalomas and
Rathke cleft cysts (RCCs). However, to the best of our knowledge, spontaneous
involution of cystic sellar mass has rarely been reported. We report 14 cases of
cystic sellar masses with spontaneous involution. METHODS: A total of 14 patients
with spontaneous regression of cystic sellar masses in our hospital were
included. The median age was 35 years (range, 5-67), and 8 patients were male.
Clinical symptoms, hormone study and MRI were evaluated for all patients. The
initial MRI showed all 14 patients with RCCs. Eight patients were presented with
sudden onset of headache, and 1 patient with dizziness. Another patient, a 5-year
old child, was presented with delayed growth. Three patients had no symptoms via
regular medical work up. All 14 patients had no visual symptoms. The follow-up
period ranged from 5.7 to 42.8 months, with the mean of 17.3 months. RESULTS: The
mean initial tumor size was 1.29 cm3 (range, 0.05 to 3.23). After involution, the
tumor size decreased to 0.23 cm3 (range, 0 to 0.68) without any treatments.
Repeated MRI showed a spontaneous decrease in tumor volume by 78% (range, 34 to
99). The initial MRI showed that the tumor was in contact with the optic chiasm
in 7 patients, while compressing on the optic chiasm in 3 patients. Five patients
were initially treated with hormone replacement therapy due to hormone
abnormality. After the follow-up period, only 2 patients needed a long-term
hormone replacement therapy. CONCLUSION: The spontaneous involution of RCCs is
not well quantified before. Their incidence has not been well demonstrated, but
this phenomenon might be underreported. Conservative management can be a
treatment option in some RCCs without visual symptoms, even in those that are
large in size and in contact with the optic nerve via imaging study.
PMID- 27867914
TI - Therapeutic Strategy for Cavernous Sinus-Invading Non-Functioning Pituitary
Adenomas Based on the Modified Knosp Grading System.
AB - BACKGROUND: Non-functioning pituitary adenomas (NFPA) invading into the cavernous
sinus are surgically challenging. To decrease recurrence rate, surgeon makes a
strong endeavor to resect tumor gross totally. However, gross total resection
(GTR) is difficult to achieve with cavernous sinus invasion. Recently, a new
classification system for cavernous invasion of pituitary adenomas was suggested.
The aim of this study is to validate this new classification system and to
identify limitations and considerations in designing treatment strategies for
patients with NFPA involving the cavernous sinus. METHODS: Between January 2000
and January 2012, 275 patients who underwent operation for NFPA were enrolled in
the study. Median age was 50 years (15-79 years). There were 145 males and 130
females. The median follow-up duration was 4 years (range 1-12.5 years). RESULTS:
Related to extent of tumor removal, GTR was obtained in 184 patients (66.9%),
near total resection (NTR) was obtained in 45 patients (16.3%), and sub-total
resection (STR) was obtained in 46 patients (16.7%) of a total 275 patients.
There were statistically significant differences between the extent of resection
and the new Knosp classification (p<0.001). In the high-grade group of the new
Knosp classification, there was no difference in recurrence between patients who
underwent GTR or NTR only and those who underwent STR with adjuvant radiation
therapy (p=0.515). CONCLUSION: In case of high risk of surgical complications,
STR with adjuvant radiation therapy can be considered as an alternative strategy
for safe treatment of cavernous-invading adenomas.
PMID- 27867912
TI - Central Neurocytoma: A Review of Clinical Management and Histopathologic
Features.
AB - Central neurocytoma (CN) is a rare, benign brain tumor often located in the
lateral ventricles. CN may cause obstructive hydrocephalus and manifest as signs
of increased intracranial pressure. The goal of treatment for CN is a gross total
resection (GTR), which often yields excellent prognosis with a very high rate of
tumor control and survival. Adjuvant radiosurgery and radiotherapy may be
considered to improve tumor control when GTR cannot be achieved. Chemotherapy is
also not considered a primary treatment, but has been used as a salvage therapy.
The radiological features of CN are indistinguishable from those of other brain
tumors; therefore, many histological markers, such as synaptophysin, can be very
useful for diagnosing CNs. Furthermore, the MIB-1 Labeling Index seems to be
correlated with the prognosis of CN. We also discuss oncogenes associated with
these elusive tumors. Further studies may improve our ability to accurately
diagnose CNs and to design the optimal treatment regimens for patients with CNs.
PMID- 27867915
TI - Surgical Resection of Non-Glial Tumors in the Motor Cortex.
AB - BACKGROUND: Direct surgery to resect tumors in the motor cortex could improve
neurological symptoms or cause novel motor weakness. The present study describes
the neurological outcomes of patients after the surgical resection of non-glial
tumors in the primary motor cortex. METHODS: The present study included 25
patients who had pathologically confirmed non-glial tumors in the motor cortex
for which they underwent surgery. Tumor location was verified using anatomical
landmarks on preoperative magnetic resonance imaging scans. All surgeries
involved a craniotomy and tumor resection, especially use of the sulcal
dissecting approach for intra-axial tumors. RESULTS: Of the 25 patients, 10
exhibited metastasis, 13 had a meningioma, and 2 had a cavernous malformation.
Motor weakness and seizures were the most common symptoms, while 3 patients
experienced only a headache. The tumor size was less than 20 mm in 4 patients, 20
40 mm in 14, and greater than 40 mm in seven. Of the 25 patients, 13 exhibited
motor weakness prior to the operation, but most of these symptoms (76.9%)
improved following surgery. On the other hand, eight patients experienced
seizures prior to the surgery, and in three of these patients (37.5%), the
seizures were not controlled after the surgery. In terms of surgical
complications, a postoperative hematoma developed in one of the meningioma
patients, and the patient's hemiparesis was aggravated. CONCLUSION: The present
findings show that careful and meticulous resection of non-glial tumors in the
motor cortex can improve preoperative neurological signs, but it cannot
completely control seizure activity.
PMID- 27867917
TI - Health-Related Quality of Life in Brain Tumor Patients Treated with Surgery:
Preliminary Result of a Single Institution.
AB - BACKGROUND: Alongside the extent of removal and patients' survival in the
management of brain tumors, health-related quality of life (HRQOL) has become an
important consideration. The purpose of this study is to evaluate the change of
HRQOL in brain tumor patients before and after surgery and to assess the
associated factors that contribute to the change of HRQOL. METHODS: A total of
258 patients who underwent surgical treatment were enrolled in this study. The
European Organization for Research and Treatment of Cancer Quality of Life
Questionnaire 30 (EORTC QLQ-C30) and the 20-item EORTC QLQ-Brain Neoplasm (QLQ
BN20) were used to assess HRQOL. Patients were asked to fill out the
questionnaires before and 3-6 months after surgery. RESULTS: Global QOL (p<0.001)
and emotional function (p<0.018) were significantly improved after surgery.
Physical function (p=0.015) was significantly aggravated. Among the symptoms,
headache, pain and nausea and vomiting were significantly decreased (p<0.01,
p=0.041, p<0.001, respectively), while dyspnea, communication deficit and
weakness of the legs were increased (p=0.005, p=0.040, and p=0.014,
respectively). Preoperative neurologic deficit (p=0.019) and tumor diameter
(p=0.016) were significantly related to the patients who showed aggravation of
global QOL after brain tumor surgery. In the aggravated global QOL group, common
complaints and concerns included role function, appetite loss, financial
difficulty and future uncertainty. CONCLUSION: In brain tumor patients, HRQOL has
improved after surgery. Role function, appetite loss, financial difficulty and
future uncertainty were important factors for HRQOL in brain tumor patients
treated with surgery. Although there is National Health Insurance and Medical Aid
program in Korea, financial difficulty and future uncertainty are much more
important in influencing QOL than previously thought. The results of this short
term follow up preliminary study suggest that several factors were related to
HRQOL, Further research is needed to evaluate the long term change of HRQOL and
enhance the global QOL by analyze related factors.
PMID- 27867920
TI - Optimal Treatment Decision for Brain Metastases of Unknown Primary Origin: The
Role and Timing of Radiosurgery.
AB - BACKGROUND: Up to 15% of all patients with brain metastases have no clearly
detected primary site despite intensive evaluation, and this incidence has
decreased with the use of improved imaging technology. Radiosurgery has been
evaluated as one of the treatment modality for patients with limited brain
metastases. In this study, we evaluated the effectiveness of radiosurgery for
brain metastases from unknown primary tumors. METHODS: We retrospectively
evaluated 540 patients who underwent gamma knife radiosurgery (GKRS) for brain
metastases radiologically diagnosed between August 1992 and September 2007 in our
institution. First, the brain metastases were grouped into metachronous,
synchronous, and precocious presentations according to the timing of diagnosis of
the brain metastases. Then, synchronous and precocious brain metastases were
further grouped into 1) unknown primary; 2) delayed known primary; and 3)
synchronous metastases according to the timing of diagnosis of the primary
origin. We analyzed the survival time and time to new brain metastasis in each
group. RESULTS: Of the 540 patients, 29 (5.4%) presented precocious or
synchronous metastases (34 GKRS procedures for 174 lesions). The primary tumor
was not found even after intensive and repeated systemic evaluation in 10
patients (unknown primary, 34.5%); found after 8 months in 3 patients (delayed
known primary, 1.2%); and diagnosed at the same time as the brain metastases in
16 patients (synchronous metastasis, 55.2%). No statistically significant
differences in survival time and time to new brain metastasis were found among
the three groups. CONCLUSION: Identification of a primary tumor before GKRS did
not affect the patient outcomes. If other possible differential diagnoses were
completely excluded, early GKRS can be an effective treatment option for brain
metastases from unknown primary tumor.
PMID- 27867918
TI - Clinical and Radiological Characteristics of Angiomatous Meningiomas.
AB - BACKGROUND: Angiomatous meningioma is a rare histological subtype of meningioma.
Therefore, this specific medical condition is rarely reviewed in the literature.
In the present work, we report the clinical and radiological features with
postoperative outcomes of angiomatous meningioma. METHODS: This retrospective
study included the patients who were pathologically diagnosed with angiomatous
meningioma after surgical resection between February 2010 and September 2015 in
our institute. We analyzed the clinical data, radiological manifestation,
treatment and prognosis of all patients. RESULTS: The 15 patients (5 males and 10
females) were diagnosed with angiomatous meningioma during the study period. The
median age of patients at the time of surgery was 63 years (range: 40 to 80
years). According to Simpson classification, 7, 5, and 3 patients achieved
Simpson grade I, II, and IV resection, respectively. In the follow-up period,
recurrence was noted in one patient. Ten out of the 15 patients showed
homogeneous enhancement. Two patients demonstrated cystic changes. There was no
occurrence of calcification or hemorrhage in our patients. Characteristically, 14
out of 15 patients showed signal voids of vessels. Significant peritumoral edema
was observed in the majority of tumors (67%). CONCLUSION: Angiomatous meningiomas
are rare benign meningioma. Brain images of angiomatous meningioma usually
demonstrate signal void signs and peritumoral edema. In the present study,
angiomatous meningiomas showed good prognosis after surgical resection.
PMID- 27867919
TI - White Matter Change Revealed by Diffusion Tensor Imaging in Gliomas.
AB - BACKGROUND: Tumor-related white matter change is detected at late stages with
magnetic resonance imaging (MRI), when mass effect or prominent edema is present.
We analyzed if diffusion tensor imaging (DTI) white matter change earlier than
conventional MRI. METHODS: Twenty-six patients with gliomas (World Health
Organization grade II, 5; grade III, 12; and grade IV, 9) within 2 cm from the
posterior limb of the internal capsule (IC) were studied. Fifteen normal adults
were enrolled as controls. Fluid attenuation inversion recovery MRI showed a high
signal change at the posterior limb of the IC (HSIC) in 9 patients with grade III
or IV gliomas. We classified the gliomas as WHO grade II (gliomas II), grade III
or IV without HSIC [gliomas III/IV(-)] and grade III or IV with HSIC [gliomas
III/IV(+)], as an indicator of the increase in the severity of the white matter
changes. Fractional anisotropy (FA) and apparent diffusion coefficients (ADC)
were calculated for the pyramidal tract. Tumor progression along pyramidal tract
was evaluated by follow-up MRI in 16 patients at 40+/-18 months. RESULTS: FA
showed no significant difference between gliomas II and control (p=0.694), but
was lower in gliomas III/IV(-) and gliomas III/IV(+) (p<0.001). ADCs were higher
in gliomas II, gliomas III/IV(-) and gliomas III/IV(+) than control (p<0.001).
Tumor progression was detected in 2/16 patients. CONCLUSION: DTI detected white
matter changes that appeared to be normal in MRI. ADC changed even in low grade
glioma, indicating ADC may be a better parameter for the early detection of white
matter change.
PMID- 27867921
TI - Neurological Change after Gamma Knife Radiosurgery for Brain Metastases Involving
the Motor Cortex.
AB - BACKGROUND: Although Gamma Knife radiosurgery (GKRS) can provide beneficial
therapeutic effects for patients with brain metastases, lesions involving the
eloquent areas carry a higher risk of neurologic deterioration after treatment,
compared to those located in the non-eloquent areas. We aimed to investigate
neurological change of the patients with brain metastases involving the motor
cortex (MC) and the relevant factors related to neurological deterioration after
GKRS. METHODS: We retrospectively reviewed clinical, radiological and dosimetry
data of 51 patients who underwent GKRS for 60 brain metastases involving the MC.
Prior to GKRS, motor deficits existed in 26 patients (50.9%). The mean target
volume was 3.2 cc (range 0.001-14.1) at the time of GKRS, and the mean
prescription dose was 18.6 Gy (range 12-24 Gy). RESULTS: The actuarial median
survival time from GKRS was 19.2+/-5.0 months. The calculated local tumor control
rates at 6 and 12 months after GKRS were 89.7% and 77.4%, respectively. During
the median clinical follow-up duration of 12.3+/-2.6 months (range 1-54 months),
18 patients (35.3%) experienced new or worsened neurologic deficits with a median
onset time of 2.5+/-0.5 months (range 0.3-9.7 months) after GKRS. Among various
factors, prescription dose (>20 Gy) was a significant factor for the new or
worsened neurologic deficits in univariate (p=0.027) and multivariate (p=0.034)
analysis. The managements of 18 patients were steroid medication (n=10), boost
radiation therapy (n=5), and surgery (n=3), and neurological improvement was
achieved in 9 (50.0%). CONCLUSION: In our series, prescription dose (>20 Gy) was
significantly related to neurological deterioration after GKRS for brain
metastases involving the MC. Therefore, we suggest that careful dose adjustment
would be required for lesions involving the MC to avoid neurological
deterioration requiring additional treatment in the patients with limited life
expectancy.
PMID- 27867916
TI - Impact of Human Immunodeficiency Virus in the Pathogenesis and Outcome of
Patients with Glioblastoma Multiforme.
AB - BACKGROUND: Improvement in antiviral therapies have been accompanied by an
increased frequency of non-Acquired Immune Deficiency Syndrome (AIDS) defining
malignancies, such as glioblastoma multiforme. Here, we investigated all reported
cases of human immunodeficiency virus (HIV)-positive patients with glioblastoma
and evaluated their clinical outcomes. A comprehensive review of the molecular
pathogenetic mechanisms underlying glioblastoma development in the setting of
HIV/AIDS is provided. METHODS: We performed a PubMed search using keywords "HIV
glioma" AND "glioblastoma," and "AIDS glioma" AND "glioblastoma." Case reports
and series describing HIV-positive patients with glioblastoma (histologically
proven World Health Organization grade IV astrocytoma) and reporting on HAART
treatment status, clinical follow-up, and overall survival (OS), were included
for the purposes of quantitative synthesis. Patients without clinical follow-up
data or OS were excluded. Remaining articles were assessed for data extraction
eligibility. RESULTS: A total of 17 patients met our inclusion criteria. Of these
patients, 14 (82.4%) were male and 3 (17.6%) were female, with a mean age of
39.5+/-9.2 years (range 19-60 years). Average CD4 count at diagnosis of
glioblastoma was 358.9+/-193.4 cells/mm3. Tumor progression rather than AIDS
associated complications dictated patient survival. There was a trend towards
increased median survival with HAART treatment (12.0 vs 7.5 months, p=0.10).
CONCLUSION: Our data suggests that HAART is associated with improved survival in
patients with HIV-associated glioblastoma, although the precise mechanisms
underlying this improvement remain unclear.
PMID- 27867922
TI - Palliative Resection of Metastatic Brain Tumors Previously Treated by
Stereotactic Radiosurgery.
AB - BACKGROUND: Therapeutic approaches to brain metastases include surgery, whole
brain radiotherapy, stereotactic radiosurgery (SRS), and combination therapy.
Recently, postoperative or preoperative SRS draws more attention to reduce
postoperative recurrence in brain metastases. The goal of this study is to review
surgical outcome of patients who had been treated by SRS, and to discuss the
effectiveness of preoperative SRS. METHODS: From 2009 to 2015, 174 patients were
treated by SRS for brain metastases, and among these 50 patients underwent
surgery. Eighteen patients underwent surgery after SRS, and 14 had
oligometastases. The patients' median age at the time of surgery was 56 years
(range, 34-84 years). The median follow-up duration was 16.5 months (range, 4-47
months). Pathological findings were classified as follows; radiation necrosis
(Group I, n=3), mixed type (Group II, n=2), and tumor-dominant group (Group III,
n=9). We compared surgical outcome in respect of steroid, mannitol dosage,
Karnofsky performance scale, and pathological subgroups. RESULTS: The median
overall survival was 11 months (range, 2-40 months). Six, 12 and 24 months
survival rate was 64.3, 42.9, and 28.6%, respectively. Improvement of Karnofsky
performance score was achieved in 50% after surgery. The overall survival of
Group I (26.6 months) was longer than the other groups (11.5 months).
Additionally the patients were able to be weaned from medications, such as
steroid administration after surgery was reduced in 10 cases, and mannitol dosage
was reduced in 6 cases. Time interval within 3 months between SRS and surgery
seemed to be related with better local control. CONCLUSION: Surgical resection
after radiologically and symptomatically progressed brain metastases previously
treated with SRS seems to be effective in rapid symptom relief and provides an
improvement in the quality of life. A short time interval between SRS and
surgical resection seems to be associated with good local tumor control.
PMID- 27867923
TI - Failed First Craniotomy and Tumor Removal of Parasagittal Meningioma with Severe
Peritumoral Brain Edema.
AB - Parasagittal meningioma often presents as peritumoral brain edema (PTBE). The
risk of edema increases when the tumor occludes the superior sagittal sinus
(SSS). Although PTBE may be expected based on the patient's symptoms or
radiologic findings, extensive brain swelling and extracranial herniation during
elective surgery are rare. Herniation during surgery could lead to irreversible
neurological damage and even brain rupture. We report a case of a failed routine
craniotomy for a parasagittal meningioma with complete occlusion of the posterior
third of the SSS in a 30-year-old male patient. The patient developed extensive
brain swelling and extracranial herniation during surgery.
PMID- 27867925
TI - A Stable Secondary Gliosarcoma with Extensive Systemic Metastases: A Case Report.
AB - A 63-year-old man complained of intermittent motor weakness of his arm. The
magnetic resonance image (MRI) of his brain displayed a high signal lesion in
right cingulate gyrus on T2 weighted image. One year later, he showed a stuporous
mental status with repeated seizures, and the follow-up brain MRI showed
heterogeneously enhanced mass associated with bleeding. He was treated with
surgery and radiotherapy for secondary glioblastomas in right cingulate gyrus.
One year more later, a mass recurred on the left frontal base, and gliosarcoma
was diagnosed. After tumor resection, ventriculoperitoneal shunt, chemotherapy,
and re-radiation therapy, all brain lesions were stable. Fourteen months after
the diagnosis of gliosarcoma, he complained of dyspnea and back pain. Torso
positron emission tomography/computed tomography revealed multiple metastatic
lesions in both lungs, pericardium, pleura, liver, lymph nodes, and bones, and
metastatic gliosarcoma was diagnosed. One month later, the patient died because
of the systemic metastases. We present an unusual case of secondary gliosarcoma
with stable brain lesions and extensive systemic metastases.
PMID- 27867924
TI - Metastatic Intracranial Hemangiopericytoma to the Spinal Column: A Case Report.
AB - Intracranial hemangiopericytoma (HPC) is a rare brain tumor with aggressive
biologic behavior associated with high recurrence rate and often with
extracranial metastasis. The most common sites of extracranial metastasis of the
intracranial HPC are the long bones, lung, liver and abdominal cavity in the
order of frequencies. Extracranial metastases usually occur long after the
initial diagnosis of the primary tumor. Metastatic intracranial HPC to the
vertebra has been rarely reported. We present a case of intracranial HPC
metastasized to the L2 vertebral body 13 years after multiple surgical resections
and radiotherapy of the primary intracranial HPC.
PMID- 27867926
TI - A Case of Solitary Brain Metastasis from Uterine Mullerian Adenosarcoma with
Sarcomatous Overgrowth.
AB - Uterine adenosarcoma (AS) are rare tumors and have more favorable outcomes than
the aggressive uterine carcinosarcomas. Uterine adenosarcoma with sarcomatous
overgrowth (ASSO) is a variant form of AS and exhibits aggressive growth of tumor
and the prognosis is relatively poor compared with typical AS. Usually patterns
of metastasis have been known to behave like endometrial carcinoma and spread
through the lymphatics. Brain metastasis from uterine AS is extremely rare.
Herein, we report a case of successfully surgically removed solitary brain
metastasis without any extracranial recurrence from uterine ASSO after 4 years of
primary treatment.
PMID- 27867927
TI - Multiple Meningocerebral Metastasis and Extensive Skull Metastasis from Squamous
Cell Carcinoma of Esophagus: A Case Report and Review of Literature.
AB - Esophageal carcinoma rarely metastasizes to the brain. Although some studies have
mentioned esophageal cancer with solitary brain metastasis or with
meningocerebral metastasis or with skull metastasis, multiple meningocerebral
metastasis and extensive skull metastasis from squamous cell carcinoma of
esophagus has not been reported in the literature. We encountered a case of an
extensive osteolytic change of the skull and multiple meningocerebral metastases
from esophageal carcinoma.
PMID- 27867928
TI - World Health Organization Grade II Oligodendroglioma Occurring after Successful
Treatment for Childhood Acute Lymphoblastic Leukemia.
AB - When treating childhood acute lymphoblastic leukemia (ALL), secondary neoplasms
are a significant long term problem. Radiation is generally accepted to be a
major cause of the development of secondary neoplasms. Following treatment for
ALL, a variety of secondary tumors, including brain tumors, hematologic
malignancies, sarcomas, thyroid cancers, and skin cancers have been reported.
However, oligodendroglioma as a secondary neoplasm is extremely rare. Herein we
present a case of secondary oligodendroglioma occurring 13 years after the end of
ALL treatment.
PMID- 27867929
TI - Comparison of 3 and 7 Tesla Magnetic Resonance Imaging of Obstructive
Hydrocephalus Caused by Tectal Glioma.
AB - Obstructive hydrocephalus caused by tectal glioma, which relived by
neuroendoscopy, have been described using 3.0 Tesla magnetic resonance imaging
(3T MRI) so far, we present the results obtained from 3T and 7T MRI in this
patient. A 21-year-old woman presented at our hospital with gait disturbance,
hormonal insufficiency, and urinary incontinence that began prior to 6 years of
age. 3.0T MRI revealed a non-enhancing tectal mass along with obstructive
hydrocephalus. The mass measured approximately 1.1*1.0*1.2 cm. An endoscopic
third ventriculostomy was performed to relieve the hydrocephalus. We compared
hydrocephalus and cerebrospinal fluid (CSF) flow findings from 3T and 7T MRI,
both preoperative and postoperative at 1, 6 months. Intraventricular CSF voiding
on T2-weighted images obtained with 7T MRI showed greater fluid inversion than
those obtained with 3T MRI. This study shows that 7T brain MRI can provide
detailed information on hydrocephalus caused by tectal glioma. Further studies
are needed to develop refined 7T MRI protocols for better images of
hydrocephalus.
PMID- 27867930
TI - A Case of Primary Central Nervous System Lymphoma Located at Brain Stem in a
Child.
AB - Primary central nervous system lymphoma (PCNSL) is an extranodal Non-Hodgkin's
lymphoma that is confined to the brain, eyes, and/or leptomeninges without
evidence of a systemic primary tumor. Although the tumor can affect all age
groups, it is rare in childhood; thus, its incidence and prognosis in children
have not been well defined and the best treatment strategy remains unclear. A
nine-year old presented at our department with complaints of diplopia, dizziness,
dysarthria, and right side hemiparesis. Magnetic resonance image suggested a
diffuse brain stem glioma with infiltration into the right cerebellar peduncle.
The patient was surgically treated by craniotomy and frameless stereotactic
guided biopsy, and unexpectedly, the histopathology of the mass was consistent
with diffuse large B cell lymphoma, and immunohistochemical staining revealed
positivity for CD20 and CD79a. Accordingly, we performed a staging work-up for
systemic lymphoma, but no evidence of lymphoma elsewhere in the body was
obtained. In addition, she had a negative serologic finding for human
immunodeficient virus, which confirmed the histopathological diagnosis of PCNSL.
She was treated by radiosurgery at 12 Gy and subsequent adjuvant combination
chemotherapy based on high dose methotrexate. Unfortunately, 10 months after the
tissue-based diagnosis, she succumbed due to an acute hydrocephalic crisis.
PMID- 27867931
TI - Seeding of Meningeal Sarcoma Along a Surgical Trajectory on the Scalp.
AB - Primary sarcomas of the central nervous system are rare. These tumors is rapid
growth often produces mass effect on the brain. Diagnosis is rendered
pathologically after resection. Surgical resection is the mainstay treatment and
need the adjuvant therapy. We report a 44-year-old female with a meningeal
sarcoma of frontal meninges. She complained headache for 2 months and palpable
forehead mass for 3 weeks. Brain MRI demonstrated a soft tissue mass sized as
5.3*3.7*3.1 cm with well-defined osteolysis on the midline of the frontal bone.
The mass attached to anterior falx without infiltration into the brain
parenchyme. The tumor had extracranial and extraaxial extension with bone
destruction. The tumor was totally removed with craniectomy and she had an
adjuvant radiotherapy. However, an isolated subcutaneous metastasis developed at
the both preauricular area of the scalp, originating from the scar which was
remained the first surgery. After complete removal of this metastasis, she had an
adjuvant radiotherapy in other hospital. However, she expired after six months
after first surgery. We believe that the occurrence of tumor seeding at the site
of incision in the scalp is related to using the fluid for irrigation after tumor
resection and the same surgical instruments for the removal of the brain tumor.
PMID- 27867932
TI - 2016 Canadian Association of Gastroenterology Educational Needs Assessment
Report.
PMID- 27867933
TI - Spatially Enabling the Health Sector.
AB - Spatial information describes the physical location of either people or objects,
and the measured relationships between them. In this article, we offer the view
that greater utilization of spatial information and its related technology, as
part of a broader redesign of the architecture of health information at local and
national levels, could assist and speed up the process of health reform, which is
taking place across the globe in richer and poorer countries alike. In making
this point, we describe the impetus for health sector reform, recent developments
in spatial information and analytics, and current Australasian spatial health
research. We highlight examples of uptake of spatial information by the health
sector, as well as missed opportunities. Our recommendations to spatially enable
the health sector are applicable to high- and low-resource settings.
PMID- 27867934
TI - A Comparison of Molecular Biology Mechanism of Shewanella putrefaciens between
Fresh and Terrestrial Sewage Wastewater.
AB - Municipal and industrial wastewater is often discharged into the environment
without appropriate treatment, especially in developing countries. As a result,
many rivers and oceans are contaminated. It is urgent to control and administer
treatments to these contaminated rivers and oceans. However, most mechanisms of
bacterial colonization in contaminated rivers and oceans were unknown, especially
in sewage outlets. We found Shewanella putrefaciens to be the primary bacteria in
the terrestrial sewage wastewater outlets around Ningbo City, China. Therefore,
in this study, we applied a combination of differential proteomics, metabolomics,
and real-time fluorescent quantitative PCR techniques to identify bacteria
intracellular metabolites. We found S. putrefaciens had 12 different proteins
differentially expressed in freshwater culture than when grown in wastewater,
referring to the formation of biological membranes (Omp35, OmpW), energy
metabolism (SOD, deoxyribose-phosphate pyrophosphokinase), fatty acid metabolism
(beta-ketoacyl synthase), secondary metabolism, TCA cycle, lysine degradation (2
oxoglutarate reductase), and propionic acid metabolism (succinyl coenzyme A
synthetase). The sequences of these 12 differentially expressed proteins were
aligned with sequences downloaded from NCBI. There are also 27 differentially
concentrated metabolites detected by NMR, including alcohols (ethanol,
isopropanol), amines (dimethylamine, ethanolamine), amino acids (alanine,
leucine), amine compounds (bilinerurine), nucleic acid compounds (nucleosides,
inosines), and organic acids (formate, acetate). Formate and ethanolamine show
significant difference between the two environments and are possibly involved in
energy metabolism, glycerophospholipid and ether lipids metabolism to provide
energy supply, and material basis for engraftment in sewage. Because
understanding S. putrefaciens's biological mechanism of colonization (protein,
gene express, and metabolites) in terrestrial sewage outlets is so important to
administering and improving contaminated river and to predicting and steering
performance, we delved into the biological mechanism that sheds light on the
effect of environmental conditions on metabolic pathways.
PMID- 27867935
TI - Processing of Factor XII during Inflammatory Reactions.
AB - The contact system was originally identified as an obsolete part of the
coagulation system, but it has been repeatedly implicated in inflammatory states,
such as infection, as well as in allergic- and chronic inflammatory disease.
Under these conditions, there is surprisingly little evidence that factor XII
(FXII) acts as a coagulation factor, and its activity appears to be mainly
directed toward activation of the kallikrein-kinin system. The contact system
factors interact with pathogens as well as cells of the (innate) immune system on
several levels. Among others, these cells may provide negatively charged surfaces
that contribute to contact activation as well as release enzymes that feed into
this system. Furthermore, cellular receptors have been identified that bind
contact factors at sites of inflammation. Based on the accumulated evidence, we
propose a model for enzymatic crosstalk between inflammatory cells and the plasma
contact system. During these reactions, FXII is enzymatically cleaved by non
contact system enzymes. This generates unactivated FXII fragments that can
subsequently be rapidly activated in the fluid phase. The resulting enzyme lacks
procoagulant properties, but retains its pro-inflammatory characteristic as a
prekallikrein activator.
PMID- 27867936
TI - Effects of Lithium Monotherapy for Bipolar Disorder on Gene Expression in
Peripheral Lymphocytes.
AB - BACKGROUND: This study investigated the effect of lithium monotherapy on
peripheral lymphocyte gene expression in bipolar disorder (BD). METHOD: Twenty
two medication-free bipolar subjects (11 hypomanic, 11 depressed) were started on
lithium monotherapy. Closely matched healthy subjects (n = 15) were included as
controls but did not receive treatment. Blood RNA samples were collected at
baseline and after 2 and 8 weeks of treatment. RNA expression was measured using
the Affymetrix GeneChip(r) Human Gene 1.0 ST Array followed by Ingenuity pathways
analysis. The results for the contrast of weeks 2 and 8 were not significantly
different and were combined. RESULTS: In BD subjects, 56 genes showed significant
(false discovery rate <0.1) expression changes from baseline; the effect sizes
and directions for all of these were similar at weeks 2 and 8. Among these were
immune-related genes (IL5RA, MOK, IFI6, and RFX2), purinergic receptors (P2RY14,
P2RY2, and ADORA3) and signal transduction-related genes (CAMK1 and PIK3R6).
Pathway and upstream regulator analysis also revealed that lithium altered
several immune- and signal transduction-related functions. Differentially
expressed genes did not correlate with week 8 clinical response, but other genes
involved in protein synthesis and degradation did. CONCLUSION: Peripheral gene
expression may serve as a biomarker of lithium effect.
PMID- 27867937
TI - Dopamine D2L Receptor Is Required for Visual Discrimination and Reversal
Learning.
AB - The corticostriatothalamic circuit regulates learning behaviors via dopamine
neurotransmission. D2 long (D2L) receptors are an isoform of dopamine D2
receptors (D2Rs) and may act mainly at postsynaptic sites. It is well known that
D2Rs influence high brain functions, but the roles of individual D2R isoforms are
still unclear. To assess the influence of D2L receptors in visual discrimination
learning, we performed visual discrimination and reversal tasks with D2L knockout
mice using a touchscreen operant system. There were no significant differences in
an operant conditioning task between genotypes. However, D2L knockout mice were
impaired in both visual discrimination and reversal learning tasks. D2L knockout
mice were also significantly slower than wild-type mice in collecting the reward
in the visual discrimination task. These results indicate that D2L receptors play
an important role in visual discrimination and reversal learning.
PMID- 27867938
TI - A Mutation in NPAS3 That Segregates with Schizophrenia in a Small Family Leads to
Protein Aggregation.
AB - Schizophrenia and other major mental illnesses result from a complex interplay of
genetic and environmental factors. We previously identified a mutation in NPAS3
that results in a valine to isoleucine (V304I) amino acid substitution
segregating with schizophrenia in a small family. The amino acid change occurs in
a potentially critical region for protein function. Furthermore, the same amino
acid substitution in proteins related to familial Alzheimer's disease and
transthyretin amyloidosis has been associated with protein aggregation. In this
study, we demonstrate that NPAS3 is prone to aggregation, and that the V304I
mutation in NPAS3 increases this propensity in both bacterial and mammalian
expression systems. We also show that NPAS3-V304I reduces soluble endogenous
NPAS3, and increases insoluble endogenous NPAS3 and leads to alteration of
transcriptional activity. These results suggest that protein aggregation,
potentially leading to cell dysfunction via a loss of protein function through
sequestration, may contribute to the pathogenesis of schizophrenia and other
forms of mental illness. Further exploration of the mechanisms leading to
abnormal protein quality control could lead to new therapeutic targets.
PMID- 27867939
TI - A Rare Variant in CACNA1D Segregates with 7 Bipolar I Disorder Cases in a Large
Pedigree.
AB - Whole-genome sequencing was performed on 3 bipolar I disorder (BPI) cases from a
multiplex pedigree of European ancestry with 7 BPI cases. Within CACNA1D, a gene
implicated by genome-wide association studies, a G to C nucleotide transversion
at 53,835,340 base pairs (bps) was found predicting the substitution of proline
for alanine at amino acid position 1751 (A1751P). Using Sanger sequencing, the
DNA variant was shown to co-segregate with the remaining 4 BPI cases within the
pedigree. A high-resolution DNA denaturing curve method was then used to screen
for the presence of the A1751P change in 4,150 BPI cases from the NIMH Genetics
Initiative. The A1751P variant was found in 4 BPI cases. A second variant within
exon 43, a C to T nucleotide transition, was found in 1 case at 53,835,355 bps,
predicting the substitution of tryptophan for arginine at amino acid position
1771 (R1771W). In the NHLBI Exome Sequencing Project database, the heterozygous
A1751P variant was present in 3 of 4,300 subjects of European ancestry, and the
R1771W change was not present in any subject. Given the rarity of these variants,
large-scale case/control rare variant sequencing studies will be required for
definitive conclusions.
PMID- 27867940
TI - Oxytocin Receptor (OXTR) Methylation and Cognition in Psychotic Disorders.
AB - Previous reports have identified an association between cognitive impairment and
genetic variation in psychotic disorders. In particular, this association may be
related to abnormal regulation of genes responsible for broad cognitive functions
such as the oxytocin receptor (OXTR). Within psychotic disorders, it is unknown
if OXTR methylation, which can have important implications for gene regulation,
is related to cognitive function. The current study examined peripheral blood
OXTR methylation and general cognition in people with schizophrenia,
schizoaffective disorder, and psychotic disorder not otherwise specified (N =
101). Using hierarchical multiple regression analysis, methylation at the
Chr3:8767638 site was significantly associated with composite cognitive
performance independent of demographic and medication factors while controlling
for multiple testing in this combined diagnostic sample (adjusted p = 0.023).
PMID- 27867941
TI - Familial Influences on Mismatch Negativity and Its Association with Plasma
Glutamate Level: A Magnetoencephalographic Study in Twins.
AB - Mismatch negativity (MMN) or its magnetic counterpart (magnetic mismatch
negativity; MMNm) is regarded as a promising biomarker for schizophrenia.
Previous electroencephalographic studies of MMN have demonstrated a moderate-to
high heritability for MMN amplitudes. N-methyl-D-aspartate receptor-dependent
glutamatergic neurotransmission is implicated in MMN generation. We hypothesized
that the differences between identical twins in MMNm variables might be
associated with differences in plasma levels of amino acids involved in
glutamatergic neurotransmission. Thirty-three pairs of monozygotic (MZ) and 10
pairs of dizygotic (DZ) twins underwent MMNm recording. The MMNm in response to
tone duration changes, tone frequency changes, and phonemic changes was recorded
using 204-channel magnetoencephalography. Of these, 26 MZ and 7 DZ twin pairs
underwent blood sampling for determination of plasma amino acid levels. MMNm peak
strength showed relatively high correlations in both MZ and DZ twin pairs. The
differences in MMNm latencies tended to correlate with the differences in plasma
amino acid levels within MZ pairs, while no significant correlation was observed
after the Bonferroni correction. We observed a familial trait in MMNm strength.
The differences in MMN latency in MZ twins might be influenced by changes in
glutamate levels and glutamate-glutamine cycling; however, the results need to be
replicated.
PMID- 27867942
TI - Quantification of Calcified Particles in Human Valve Tissue Reveals Asymmetry of
Calcific Aortic Valve Disease Development.
AB - Recent studies indicated that small calcified particles observable by scanning
electron microscopy (SEM) may initiate calcification in cardiovascular tissues.
We hypothesized that if the calcified particles precede gross calcification
observed in calcific aortic valve disease (CAVD), they would exhibit a regional
asymmetric distribution associated with CAVD development, which always initiates
at the base of aortic valve leaflets adjacent to the aortic outflow in a region
known as the fibrosa. Testing this hypothesis required counting the calcified
particles in histological sections of aortic valve leaflets. SEM images, however,
do not provide high contrast between components within images, making the
identification and quantification of particles buried within tissue extracellular
matrix difficult. We designed a new unique pattern-matching based technique to
allow for flexibility in recognizing particles by creating a gap zone in the
detection criteria that decreased the influence of non-particle image clutter in
determining whether a particle was identified. We developed this flexible pattern
particle-labeling (FpPL) technique using synthetic test images and human carotid
artery tissue sections. A conventional image particle counting method
(preinstalled in ImageJ) did not properly recognize small calcified particles
located in noisy images that include complex extracellular matrix structures and
other commonly used pattern-matching methods failed to detect the wide variation
in size, shape, and brightness exhibited by the particles. Comparative
experiments with the ImageJ particle counting method demonstrated that our method
detected significantly more (p < 2 * 10-7) particles than the conventional method
with significantly fewer (p < 0.0003) false positives and false negatives (p <
0.0003). We then applied the FpPL technique to CAVD leaflets and showed a
significant increase in detected particles in the fibrosa at the base of the
leaflets (p < 0.0001), supporting our hypothesis. The outcomes of this study are
twofold: (1) development of a new image analysis technique that can be adapted to
a wide range of applications and (2) acquisition of new insight on potential
early mediators of calcification in CAVD.
PMID- 27867943
TI - The evolution of surgical telementoring: current applications and future
directions.
AB - Surgical telementoring is a concept within telemedicine that involves the use of
information technology to provide real-time guidance and technical assistance for
surgical procedures from an expert physician at a different geographical
location. It is a means to overcome the logistic obstacles associated with
traditional mentoring and can aid in the distribution of advanced surgical
techniques. In addition to its perceived educational benefits, it has the
potential to directly impact patient care by providing immediate access to
specialized surgical expertise in areas lacking access to qualified surgeons.
With advances in technology, surgical telementoring has made significant strides
in the past two decades and a breadth of positive experiences have been published
in the literature. Despite this growth, questions remain regarding ideal
videoconferencing methodology, resolution and latency requirements, security and
liability issues, and telementoring in combination with emerging technology. This
review addresses the history and progression, current applications, and future
directions of surgical telementoring as a means to distribute advanced surgical
expertise around the world.
PMID- 27867945
TI - Surgical research using national databases.
AB - Recent changes in healthcare and advances in technology have increased the use of
large-volume national databases in surgical research. These databases have been
used to develop perioperative risk stratification tools, assess postoperative
complications, calculate costs, and investigate numerous other topics across
multiple surgical specialties. The results of these studies contain variable
information but are subject to unique limitations. The use of large-volume
national databases is increasing in popularity, and thorough understanding of
these databases will allow for a more sophisticated and better educated
interpretation of studies that utilize such databases. This review will highlight
the composition, strengths, and weaknesses of commonly used national databases in
surgical research.
PMID- 27867946
TI - Advances in fetal surgery.
AB - Historically, the gold standard for the treatment of congenital malformations has
been planned delivery at tertiary care center with attempted post-natal repair or
amelioration of the lesion. Over the last few decades however, rapid advances in
imaging and instrumentation technology combined with superior knowledge of fetal
pathophysiology has led to the development of novel intrauterine interventions
for most common fetal anomalies. Great success has already been seen the
treatment of previous devastating anomalies such as myelomeningocele (MMC),
congenital cystic malformations of the lung, twin-twin transfusion, and
sacrococcygeal teratomas. Although still limited, these innovative techniques
have unique potential to improve outcomes in the most devastating fetal
anomalies.
PMID- 27867944
TI - Advances in fluorescent-image guided surgery.
AB - Fluorescence imaging is increasingly gaining intraoperative applications. Here,
we highlight a few recent advances in the surgical use of fluorescent probes.
PMID- 27867947
TI - Association of insulin treatment versus oral hypoglycaemic agents with diabetic
retinopathy and its severity in type 2 diabetes patients in Cameroon, sub-Saharan
Africa.
AB - BACKGROUND: Type 2 diabetes mellitus (T2DM) is a chronic metabolic disease
associated with multiple macro and microvascular complications, diabetic
retinopathy (DR) being the commonest one. Recent literature has reported an
increased risk of DR with insulin use. METHODS: We carried out a cross-sectional
study at the Ophthalmology Department of the Douala General Hospital (DGH) during
a 2-year period to explore the association between insulin treatment and both DR
and its severity as compared with oral hypoglycemic agents (OHAs) in Cameroonian
T2DM patients aged >=35 years, and who were all screened for DR through eye
examination including exhaustive retinal evaluation. RESULTS: In total, medical
files of 134 T2DM patients were analyzed. The frequency of DR was 54.1% among
patients on OHA and 73.9% among those on insulin treatment, giving an overall
frequency of 57.5%. There were significantly more OHA treated patients than
insulin treated patients (82.8% vs. 17.2%, P<0.001). As expected, both the OHA
and insulin groups were comparable by age, sex, duration of diabetes, past
history of hypertension, alcohol misuse, and current tobacco smoking. DR was
almost significantly more frequent in T2DM patients under insulin regimen than in
patients under OHA [73.9% vs. 54.1%; odds ratio (OR) 2.4; 95% confidence interval
(CI), 0.9-6.6; P=0.06]. Proliferative diabetic retinopathy (PDR) was
significantly more observed in insulin treated patients than in OHA treated
patients (34.8% vs. 15.3%; OR 2.95; 95% CI, 1.1-8; P=0.035). Irrespective of
staging, the frequency of diabetic macular edema (DME) was significantly higher
in the insulin group than in the OHA group (43.5% vs. 19.8%; OR 3.1; 95% CI, 1.2
8; P=0.019). CONCLUSIONS: Compared with OHA, insulin therapy may be associated
with DR, DR severity and DME in these T2DM sub-Saharan African patients.
PMID- 27867948
TI - Supra-therapeutic plasma concentrations of haloperidol induce moderate inhibition
of lipopolysaccharide-induced interleukin-8 release in human monocytes.
AB - BACKGROUND: The clinical use of antipsychotics and mood-stabilizing drugs with
proven efficacy is largely determined by the occurrence of treatment-emergent
adverse events and routine clinical chemistry and haematology data, which
together define the safety and tolerability profile of these
psychopharmaceuticals. Whereas the effects of mood-stabilizing drugs on
functional properties of blood cells have been poorly investigated, the effects
of antipsychotics have received more attention. Such studies have yielded
conflicting results. This study examined the effects of the mood-stabilizing
drugs carbamazepine and valproic acid and of the antipsychotic drugs olanzapine,
risperidone and haloperidol on the production of the pro-inflammatory chemokine
interleukin-8 (IL-8), which is released from human monocytes when activated by
Gram-negative lipopolysaccharide (LPS). METHODS: Peripheral human whole blood was
diluted with Roswell Park Memorial Institute (RPMI) cell culture medium and
stimulated with LPS. Accumulating IL-8 was quantified in the supernatant with an
adapted enzyme-linked immunosorbent assay (ELISA) and the results correlated to
the number of monocytes at venipuncture. RESULTS: At supra-therapeutic
concentrations of 100 uM, haloperidol inhibited the LPS-induced release of IL-8
in peripheral human monocytes moderately, whereas olanzapine, risperidone,
carbamazepine and valproic acid showed no such effect. CONCLUSIONS: The results
suggest that these mood-stabilizing drugs and antipsychotics are endowed with
clinically favorable inertness rather than pro-inflammatory properties.
PMID- 27867949
TI - Continuous monitoring of the liver graft temperature: relationship between
bacterial contamination of the perfusion fluid and early outcome.
AB - BACKGROUND: A potential mechanism of the infection would be an infected donor,
contamination at the time of the infusion and/or packaging, back-table procedure,
and finally during the transplantation, all are potential sources of infection.
The aim of our study is to analyze the incidence and significance of infection in
the preservation solution according with the graft temperature. The second aim
was to analyze the impact graft temperature on the clinical infections and the
ischemia reperfusion injury. METHODS: Sixteen donors were prospectively included
in this study, including 9 males and 7 females. The liver graft temperature
monitoring shows variation in four different phases: at the harvesting beginning,
before the graft packaging, at the beginning of the backtable, at the end of the
backtable. RESULTS: There was no correlation between the functionality of the
graft and the temperature of the perfusion fluid. CONCLUSIONS: In conclusion, we
did not found a correlation between graft temperature, culture of the
preservation solution and early post-transplant follow up.
PMID- 27867951
TI - Red cell distribution width and cancer.
AB - Red cell distribution width (RDW) is an index which primarily reflects impaired
erythropoiesis and abnormal red blood cell survival. In last years the interest
in this marker has considerably grown and now a lot of data are available
indicating that this simple and inexpensive parameter is a strong and independent
risk factor for death in the general population. Moreover, several investigations
have been performed to investigate the role of RDW in cardiovascular and
thrombotic disorders. Contrarily, there are relatively few reports focusing on
RDW in the area of oncology and to date none review have been performed in this
specific field. As such, the aim of this narrative review is to summarize some
interesting results obtained in studies performed in patients affected by solid
and hematological tumors. Even if larger studies are needed before these
preliminary findings can be generalized, it seems plausible to affirm that RDW
can be useful by adding prognostic information in patients with oncologic
disease.
PMID- 27867953
TI - Statistical description for survival data.
AB - Statistical description is always the first step in data analysis. It gives
investigator a general impression of the data at hand. Traditionally, data are
described as central tendency and deviation. However, this framework does not fit
to the survival data (also termed time-to-event data). Such data type contains
two components. One is the survival time and the other is the status. Researchers
are usually interested in the probability of event at a given survival time
point. Hazard function, cumulative hazard function and survival function are
commonly used to describe survival data. Survival function can be estimated using
Kaplan-Meier estimator, which is also the default method in most statistical
packages. Alternatively, Nelson-Aalen estimator is available to estimate survival
function. Survival functions of subgroups can be compared using log-rank test.
Furthermore, the article also introduces how to describe time-to-event data with
parametric modeling.
PMID- 27867950
TI - Review: capsule colonoscopy-a concise clinical overview of current status.
AB - The colon capsule endoscopy (CCE) was first introduced in 2007. Currently, the
main clinical indications for CCE are completion of incomplete colonoscopy, polyp
detection and investigation of inflammatory bowel disease (IBD). Although
conventional colonoscopy is the gold standard in bowel cancer screening,
incomplete colonoscopy remains a problem as lesions are missed. CCE compares
favourably to computer tomography colonography (CTC) in adenoma detection and has
therefore been proposed as a method for completing colonoscopy. However the data
on CCE remains sparse and current evidence does not show its superiority over CTC
or conventional colonoscopy in bowel cancer screening. CCE also seems to show
good correlation with conventional colonoscopy when used to evaluate IBD, but
there are not many published studies at present. Other significant limitations
include the need for aggressive bowel preparation and the labour-intensiveness of
CCE reading. Therefore, much further software and hardware development is
required to enable CCE to fulfill its potential as a minimally-invasive and
reliable method of colonoscopy.
PMID- 27867952
TI - Critical laboratory values communication: summary recommendations from available
guidelines.
PMID- 27867954
TI - Laparoscopic repair of inguinal hernia in adults.
AB - Laparoscopic repair of inguinal hernia is mini-invasive and has confirmed
effects. The procedures include intraperitoneal onlay mesh (IPOM) repair,
transabdominal preperitoneal (TAPP) repair and total extraperitoneal (TEP)
repair. These procedures have totally different anatomic point of view, process
and technical key points from open operations. The technical details of these
operations are discussed in this article, also the strategies of treatment for
some special conditions.
PMID- 27867955
TI - A new technique to repair huge tracheo-gastric fistula following esophagectomy.
AB - We reported the management of a life-threatening condition as a large tracheo
gastric fistula involved the carina, the left and the right bronchus that
complicated Ivor Lewis esophagogastrectomy for esophageal cancer. An urgent right
thoracotomy was performed and the tracheal defect was covered with a reversed
pedicled pericardial patch reinforced with an intercostal muscle flap. Cervical
esophagostomy and a feeding jejunostomy completed the operation. Five months
later, the continuity of gastrointestinal tract was restored using a transverse
colon.
PMID- 27867956
TI - The impact of unemployment on cancer mortality, and how to avoid it.
PMID- 27867957
TI - Corin as novel biomarker for myocardial infarction.
PMID- 27867958
TI - Does AQuIRE challenge the role of navigational bronchoscopy for peripheral
pulmonary lesions?
PMID- 27867959
TI - Genesis on diamonds II: contact with diamond enhances human sperm performance by
300.
PMID- 27867960
TI - Is a short anesthetic exposure in children safe? Time will tell: a focused
commentary of the GAS and PANDA trials.
AB - Early life exposure to general anesthesia in preclinical studies has consistently
led to permanent cognitive deficits later in life. However, the extent to which
this finding is translatable to humans is the subject of much debate as the
results from clinical studies have been mixed. Recently two well-designed
clinical trials have attempted to add clarity to our murky understanding. The
General Anesthesia compared to Spinal anesthesia (GAS) trial, was an
international, prospective, randomized, multicenter, equivalence trial comparing
infants undergoing herniorrhaphy receiving general anesthesia vs. neuraxial
anesthesia. The results released are from a pre-determined secondary outcome of a
behavioral/developmental assessment of 2 years old that found equivalence between
the two groups. The Pediatric Anesthesia NeuroDevelopment Assessment (PANDA)
trial was an ambi-directional cohort trial, comparing patients receiving general
anesthesia for hernia repair before 3 years old vs. sibling-matched controls. The
neuropsychological battery performed showed no difference between siblings. Taken
together, there is cautious optimism that short anesthesia exposure may not lead
to significant cognitive decline in humans, but one should also consider that the
GAS trial has yet to release the primary endpoint, IQ testing at age 5, and the
PANDA trial may not represent the general population given the high socioeconomic
status and high control IQ scores. Furthermore, as seen in preclinical studies,
the cognitive deficit might not be significant until later in life, and longer
exposures to anesthesia may have a more deleterious effect on cognitive function.
While these new studies greatly increase our understanding in humans, there are
many more questions that need to be addressed.
PMID- 27867961
TI - Cardio-renal protection with empagliflozin.
AB - Cardiovascular (CV) and kidney disease are common and significant complications
in people with type 2 diabetes (T2DM). CV disease is the leading cause of death,
morbidly and hospitalisations for people with T2DM. Furthermore, diabetic kidney
disease is a major risk factor for CV disease and is the main reason why patients
need renal replacement therapy. In this perspective, we highlight the results of
the recent landmark EMPA-REG OUTCOME trial which has shown that empagliflozin, a
member of the sodium-glucose co-transporter 2 (SGLT-2) inhibitor class of glucose
lowering medications, reduces death from CV causes, hospitalisation for heart
failure and progression to end stage kidney disease in patients with T2DM and
established CV disease. The SGLT2 receptor mediates high-capacity glucose uptake
in the early proximal tubule, and SGLT2 inhibitors, via their ability to promote
glycosuria, have been developed as glucose lowering medications. As well as
having a glucose lowering effect, SGLT-2 inhibitors also reduce blood pressure,
promote weight loss and reduce uric acid levels. Potential side-effects or
concerns related to the use of SGLT-2 inhibitors include increased rates of
urinary tract infections, genital tract infections, postural hypotension,
diabetic ketoacidosis, acute kidney injury and possible increased rates of
fractures. The exact mechanisms that result in empagliflozin's dramatic CV and
renal protective effects, with a very favourable safety/tolerability profile, in
the EMPA-REG study remain to be fully defined. However, they are most likely
distinct from the glucose lowering effects of empagliflozin. CV safety trials
involving dapagliflozin and canagliflozin, members of the SGLT-2 class, are under
way and the results from these studies will help to answer the question as to
whether the cardio-renal benefits of empagliflozin are a class-effect or not.
Without doubt, trials to investigate whether SGLT-2 inhibitors have cardio-renal
protective effects in patients without diabetes will start soon.
PMID- 27867962
TI - Tricuspid valve-in-valve implantation for failing bioprosthetic valves: an
evolving standard of care.
AB - Redo surgery for bioprosthetic tricuspid valve failure is associated with high
morbidity and mortality. In recent years, transcatheter tricuspid valve-in-valve
(VIV) therapy utilizing ballon-expandable transcatheter valves has become
available. The tricuspid Valve-in-Valve International Data (VIVID) registry
initial results represent the largest experience with tricuspid VIV therapy,
demonstrating high procedural success rates with low 30 days mortality and
excellent survival free of repeat tricuspid intervention in 1 year. Although
longer clinic and hemodynamic follow-up will be needed to fully understand the
role of this therapy, these data support the safety, feasibility and beneficial
effects of tricuspid VIV therapy. For patients with bioprosthetic tricuspid valve
failure, tricuspid VIV is likely to become a first-line treatment option.
PMID- 27867963
TI - alphavbeta1 integrin as a novel therapeutic target for tissue fibrosis.
AB - Chronic tissue injury with fibrosis results in disruption of tissue architecture,
organ dysfunction and eventually organ failure. Currently, therapeutic options
for tissue fibrosis are severely limited and organ transplantation including high
cost and co-morbidities is the only effective treatment for end-stage fibrotic
disease. Therefore, it is imperative to develop effective anti-fibrotic agents.
Integrins are transmembrane proteins and are major receptors for cell
extracellular matrix (ECM) and cell-cell adhesion. Modulation of these molecules,
particularly alphav integrin family, has exhibited profound effects on fibrosis
in multiple organ and disease state. Based on the several studies, the integrins
alphavbeta3, alphavbeta5, alphavbeta6, and alphavbeta8 have been known to
modulate the fibrotic process via activation of latent transforming growth factor
(TGF)-beta in pre-clinical models of fibrosis. In this perspective, we reviewed
the functions of alphavbeta1 integrin as a potentially useful target molecule for
antifibrotic agent and introduced novel specific small-molecule inhibitors
targeting this integrin.
PMID- 27867965
TI - Coronary artery bypass grafting (CABG) alone in moderate ischemic mitral
regurgitation: is CABG really enough?
PMID- 27867964
TI - An electromechanical hug for the failing heart.
PMID- 27867966
TI - How much clinical evidence is enough: regulation of medical devices?
PMID- 27867967
TI - Fatty acid binding proteins as biomarkers of disease severity and response to
treatment in severe pneumonia required admission to intensive care unit.
PMID- 27867968
TI - Implications of obesity in exceptional longevity.
PMID- 27867969
TI - The hunt for fatal myocardial infarction biomarkers: predictive circulating
microRNAs.
PMID- 27867970
TI - Acquisition of carbapenem-resistant Acinetobacter baumannii in the intensive care
unit: just a question of time?
PMID- 27867971
TI - Another promise against ischemia reperfusion injury: every success raises new
questions.
PMID- 27867972
TI - Live-attenuated vaccination increases the diversity of pathogen-specific T cell
repertoire triggered in chronic infection responses.
PMID- 27867973
TI - Immunotherapy in small-cell lung cancer: at what point are we?
PMID- 27867974
TI - Is macitentan not a treatment option for digital ulcers in systemic sclerosis?
PMID- 27867975
TI - The AMPK-SKP2-CARM1 axis links nutrient sensing to transcriptional and epigenetic
regulation of autophagy.
PMID- 27867976
TI - Sofosbuvir and velpatasvir: a stellar option for patients with decompensated
hepatitis C virus (HCV) cirrhosis.
PMID- 27867977
TI - Immune checkpoint inhibition in patients with brain metastases.
PMID- 27867978
TI - Osteoporosis and strontium-substituted hydroxyapatites.
PMID- 27867980
TI - C-WORTHY: the beginning of the rise of elbasvir and grazoprevir for the treatment
of hepatitis C genotype 1 mono and HIV co-infected patients.
PMID- 27867979
TI - Na+ homeostasis by epithelial Na+ channel (ENaC) and Nax channel (Nax):
cooperation of ENaC and Nax.
PMID- 27867981
TI - Grazoprevir plus elbasvir and other treatment options in hepatitis C infected
patients with stage 4-5 chronic kidney disease.
PMID- 27867982
TI - ASSURE vs. S-TRAC: conflicting results of adjuvant treatments for kidney cancer
in the era of targeted agents and genomics.
PMID- 27867983
TI - A liberal glycemic target in critically ill patients with poorly controlled
diabetes?
PMID- 27867984
TI - Can xerostomia be further reduced by sparing parotid stem cells?
PMID- 27867985
TI - Transapical beating-heart mitral valve repair using a cordal implantation device
are we ready to open our minds?
PMID- 27867986
TI - The role of glutamate transport and SLC7A11 expression in tumor-associate
seizures and survival in patients with malignant gliomas.
PMID- 27867987
TI - Modifying therapy in patients with advanced Hodgkin's lymphoma by integrating
early metabolic response by interim PET-CT.
PMID- 27867988
TI - A canine model for neuronal ceroid lipofuscinosis highlights the promise of gene
therapy for lysosomal storage diseases.
PMID- 27867989
TI - The Ross procedure is under-used although long-term results show superior results
to those obtained following mechanical aortic valve replacement.
PMID- 27867990
TI - Hospital-acquired pneumonia and community-acquired pneumonia: two guys?
PMID- 27867991
TI - Connecting enterovirus infection to dystrophin dysfunction in dilated
cardiomyopathy.
PMID- 27867992
TI - Tumor vaccines and cellular immunotherapies.
PMID- 27867993
TI - Measuring soluble CD40 ligand: it is a fancy prognostic biomarker in STEMI
patients?
PMID- 27867994
TI - The case for preoperative aspirin administration in patients undergoing elective
CABG: is it open or closed?
PMID- 27867995
TI - Interventional therapies in ischemic ventricular dysfunction: facts and versions!
PMID- 27867996
TI - Towards a cure for Fibrodysplasia ossificans progressiva.
PMID- 27867998
TI - Circulating micro ribonucleic acids in cardiovascular disease: a look beyond
myocardial injury.
PMID- 27867997
TI - Narcolepsy and influenza vaccination-the inappropriate awakening of immunity.
PMID- 27867999
TI - Afatinib plus chemotherapy versus chemotherapy alone after progression on
afatinib: new insights on old question?
PMID- 27868000
TI - Rhythm is a dancer: the immediate management of postoperative atrial fibrillation
following cardiac surgery.
PMID- 27868001
TI - Branching pattern of the lateral femoral cutaneous nerve at the proximal thigh: a
commentary on a recent published cadaveric study.
PMID- 27868002
TI - Continuing aspirin before coronary artery bypass grafting surgery: old fears
challenged by new evidences.
PMID- 27868003
TI - The prostate-specific protein, transglutaminase 4 (TG4), is an autoantigen
associated with male subfertility.
PMID- 27868004
TI - Should trochanteric osteotomy be always avoided during safe hip dislocation?
PMID- 27868005
TI - The anterolateral ligament: a closed chapter?
PMID- 27868006
TI - Circulating fibrocytes serve as a marker for clinical diagnosis.
PMID- 27868007
TI - Robotics accuracy in orthopaedics: is it enough for a well-working knee
replacement?
PMID- 27868008
TI - Robotic unicondylar knee arthroplasty: a commentary on a recently published level
1 study.
PMID- 27868009
TI - The potential of anti-VEGF (Vasotide) by eye drops to treat proliferative
retinopathies.
PMID- 27868010
TI - The emerging role of sST2 blocking in the therapy of graft-versus-host disease.
PMID- 27868011
TI - Time to progression ratio: promising new metric or just another metric?
PMID- 27868012
TI - PTK2/FAK: a new predictive biomarker for response to radiotherapy in head and
neck squamous cell carcinoma.
PMID- 27868013
TI - Azoramide: a new drug for the treatment of type 2 diabetes?
PMID- 27868014
TI - Severe ischemic cardiomyopathy-a new answer in management?
PMID- 27868015
TI - Vasopressin versus noradrenaline as initial therapy in septic shock. Is
vasopressin-related renal protection doomed to "vanish" in the haze?
PMID- 27868016
TI - Statins barely touch the heart but bite the kidneys after cardiac surgery.
Coenzyme Q10 deficiency in the dock?
PMID- 27868017
TI - Less invasive approach in knee arthroplasty: everybody on board?
PMID- 27868018
TI - Collagen and fibronectin: threads linking obesity and breast cancer.
PMID- 27868019
TI - Playing with nanoparticle shapes and laser powers to decide which route to take
during photothermal therapy: apoptosis or necrosis?
PMID- 27868020
TI - Hybrid approach to multivessel coronary artery disease: a commentary.
PMID- 27868021
TI - Rational for targeting the hedgehog signalling pathway in acute myeloid leukemia
with FLT3 mutation.
PMID- 27868022
TI - Magnetic resonance imaging-guided stratified selection of patients for nano
therapy.
PMID- 27868023
TI - Ischemia-reperfusion injury: evidences for translational research.
PMID- 27868024
TI - Cross-talk between cancer-initiating cells and immune cells: considerations for
combination therapies.
PMID- 27868025
TI - A sensible approach to targeting STAT3-mediated transcription.
PMID- 27868026
TI - Preclinical randomized controlled multicenter trials in translational stroke
research.
PMID- 27868027
TI - Th2/Th17 reciprocal regulation: twists and turns in the complexity of asthma
phenotypes.
PMID- 27868028
TI - Circulating extracellular vesicles containing miRNAs may have utility as early
biomarkers for cardiac injury.
PMID- 27868029
TI - Precision medicine with electronic medical records: from the patients and for the
patients.
PMID- 27868030
TI - Epidermal growth factor as a prognostic biomarker in chronic kidney diseases.
PMID- 27868031
TI - Therapeutic illusion: another frontier in Meniere's disease.
PMID- 27868032
TI - Application of liquid biopsies to identify genomic factors associated with
therapy resistance in castration resistant prostate cancer.
PMID- 27868034
TI - Has moderate ischemic mitral regurgitation to be corrected?-Analysis of a
randomized trial.
PMID- 27868033
TI - Second line treatment of small cell lung cancer: more is better?
PMID- 27868035
TI - Did the beneficial renal outcomes with vasopressin VANISH?
PMID- 27868036
TI - The state of the art in prediction of breast cancer relapse using cell-free
circulating tumor DNA liquid biopsies.
PMID- 27868037
TI - The stem cell cocktail: neural reprogramming just got easier.
PMID- 27868038
TI - As"SIRT"ing the role of an epigenetic modifier in hematopoietic stem cell
homeostasis.
PMID- 27868039
TI - Making gametes from pluripotent stem cells: embryonic stem cells or very small
embryonic-like stem cells?
PMID- 27868040
TI - Inhibition of MLL1 histone methyltransferase brings the developmental clock back
to naive pluripotency.
AB - Embryonic stem cells (ESCs) and the post-implantation epiblast stem cells
(EpiSCs) portray two different states of pluripotency. They differ with respect
to epigenetic signatures, dependency of growth factor signaling circuit and cell
morphology. They are interconvertible, however, with poor reconversion
efficiency. This is indicative of existence of other unknown regulatory pathways
govern developmental stage transition. Zhang and colleagues have recently
demonstrated that pharmacological inhibition of MLL1 histone methyltransferase is
casually linked to efficient reprogramming of EpiSCs to developmentally competent
ESCs. MLL1 controlled H3K4me1 serves as an epigenetic valve that ensures
maintenance of EpiSCs. Removing this barrier leads to global redistribution of
H3K4me1 at enhancers and target gene promoters, in turn represses EpiSC specific
genes and reactivates ESC specific transcriptional network. This study
underscores the critical role of MLL1 in establishing discrete chromatin states
indispensible for early mammalian developmental events.
PMID- 27868041
TI - Are we closer to cardiac regeneration?
PMID- 27868042
TI - Epithelial Dclk1+ cells are not neural crest derived.
PMID- 27868043
TI - Enterocyte progenitors can dedifferentiate to replace lost Lgr5+ intestinal stem
cells revealing that many different progenitor populations can regain stemness.
PMID- 27868044
TI - Stem cells with a view: a look inside a retinal ciliopathy.
PMID- 27868045
TI - MLL1: the thin red line divides naive and primed pluripotency.
PMID- 27868046
TI - Stress-induced haematopoietic stem cell proliferation: new roles for p38alpha and
purine metabolism.
PMID- 27868047
TI - Personalized models reveal mechanistic and therapeutic insights into CEP290
associated Leber congenital amaurosis.
PMID- 27868049
TI - miRNA-324, a potential therapeutic target for paracetamol-induced liver injury.
PMID- 27868048
TI - Ground state naive pluripotent stem cells and CRISPR/Cas9 gene correction for
beta-thalassemia.
PMID- 27868050
TI - RNA editing as an activator of self-renewal in cancer.
PMID- 27868051
TI - p38alpha-mediated purine metabolism is linked to exit from quiescence of
hematopoietic stem cells.
PMID- 27868053
TI - Getting nervous about regeneration.
PMID- 27868052
TI - Friend or foe? Mogamulizumab in allogeneic hematopoietic stem cell
transplantation for adult T-cell leukemia/lymphoma.
AB - Adult T-cell leukemia/lymphoma (ATL/ATLL) is a peripheral T-cell neoplasm
associated with human T-lymphotropic virus type-1 (HTLV-1). Even the currently
most intensive chemotherapy regimen modified LSG15 (mLSG15, VCAP-AMP-VECP)
results in a dismal clinical outcome, with a median overall survival of only
around 1 year. Although allogeneic hematopoietic stem cell transplantation (allo
HSCT) may lead to long-term remission in a proportion of patients with aggressive
ATL, the clinical outcome in patients with refractory or relapsed ATL is
unsatisfactory. The anti-CCR4 antibody mogamulizumab (moga) has been recently
approved for ATL in Japan, and it is effective in a significant proportion of
patients with refractory or relapsed ATL. However, there are major concerns about
the harmful influences of pretransplant moga on the immune reconstitution after
allo-HSCT. Specifically, moga depletes regulatory T cells (Tregs) for at least a
few months, which may increase the risk of graft-versus-host disease (GVHD) after
allo-HSCT. A recent retrospective study from Japan clearly showed that
pretransplant moga increased the risk of severe and steroid-refractory GVHD,
which led to increases in non-relapse mortality and overall mortality. To improve
the overall clinical outcome in patients with relapsed or refractory ATL, more
studies are needed to incorporate moga without increasing adverse effects on the
clinical outcome after allo-HSCT. In this review, we aim to provide an updated
summary of the research related to moga and allo-HSCT.
PMID- 27868054
TI - Unraveling the mysteries of pre-mRNA splicing in the retina via stem cell
technology.
PMID- 27868055
TI - The paradox of Foxd3: how does it function in pluripotency and differentiation of
embryonic stem cells?
AB - Uncommitted cells of the early mammalian embryo transition through distinct
stages of pluripotency, including establishment of ground state "naive"
pluripotency in the early epiblast, transition to a post-implantation "primed"
state, and subsequent lineage commitment of the gastrulating epiblast. Previous
transcriptional profiling of in vitro models to recapitulate early to late
epiblast transition and differentiation suggest that distinct gene regulatory
networks are likely to function in each of these states. While the mechanisms
underlying transition between pluripotent states are poorly understood, the
forkhead family transcription factor Foxd3 has emerged as a key regulatory
factor. Foxd3 is required to maintain pluripotent cells of the murine epiblast
and for survival, self-renewal and pluripotency of embryonic stem cells (ESCs).
Two recent, simultaneous studies have shed light on how Foxd3 regulates gene
expression in early cell fate transitions of progenitor cells. While the two
publications shared some common findings, they also presented some conflicting
results and suggest different models for the mechanisms underlying Foxd3
function. Here, we discuss the key similarities and differences between the
publications, highlight data from the literature relevant to their findings, and
hypothesize a potential mechanism of Foxd3 action.
PMID- 27868056
TI - Spotlight on the Schwann cells during the regeneration.
PMID- 27868057
TI - TGF-beta: a master regulator of the bone marrow failure puzzle in Fanconi anemia.
PMID- 27868058
TI - GPA-MDS: A Visualization Approach to Investigate Genetic Architecture among
Phenotypes Using GWAS Results.
AB - Genome-wide association studies (GWAS) have identified tens of thousands of
genetic variants associated with hundreds of phenotypes and diseases, which have
provided clinical and medical benefits to patients with novel biomarkers and
therapeutic targets. Recently, there has been accumulating evidence suggesting
that different complex traits share a common risk basis, namely, pleiotropy.
Previously, a statistical method, namely, GPA (Genetic analysis incorporating
Pleiotropy and Annotation), was developed to improve identification of risk
variants and to investigate pleiotropic structure through a joint analysis of
multiple GWAS datasets. While GPA provides a statistically rigorous framework to
evaluate pleiotropy between phenotypes, it is still not trivial to investigate
genetic relationships among a large number of phenotypes using the GPA framework.
In order to address this challenge, in this paper, we propose a novel approach,
GPA-MDS, to visualize genetic relationships among phenotypes using the GPA
algorithm and multidimensional scaling (MDS). This tool will help researchers to
investigate common etiology among diseases, which can potentially lead to
development of common treatments across diseases. We evaluate the proposed GPA
MDS framework using a simulation study and apply it to jointly analyze GWAS
datasets examining 18 unique phenotypes, which helps reveal the shared genetic
architecture of these phenotypes.
PMID- 27868059
TI - Intramyocardial Injection of siRNAs Can Efficiently Establish Myocardial Tissue
Specific Renalase Knockdown Mouse Model.
AB - Ischaemia/reperfusion (I/R) injury will cause additional death of cardiomyocytes
in ischaemic heart disease. Recent studies revealed that renalase was involved in
the I/R injury. So, the myocardial tissue-specific knockdown mouse models were
needed for the investigations of renalase. To establish the mouse models,
intramyocardial injection of siRNAs targeting renalase was performed in mice. The
wild distribution and high transfection efficiency of the siRNAs were approved.
And the renalase expression was efficiently suppressed in myocardial tissue.
Compared with the high cost, time consumption, and genetic compensation risk of
the Cre/loxP technology, RNA interference (RNAi) technology is much cheaper and
less time-consuming. Among the RNAi technologies, injection of siRNAs is safer
than virus. And considering the properties of the I/R injury mouse models, the
efficiency and durability of injection with siRNAs are acceptable for the
studies. Altogether, intramyocardial injection of siRNAs targeting renalase is an
economical, safe, and efficient method to establish myocardial tissue-specific
renalase knockdown mouse models.
PMID- 27868060
TI - Selection of Lipases for the Synthesis of Biodiesel from Jatropha Oil and the
Potential of Microwave Irradiation to Enhance the Reaction Rate.
AB - The present study deals with the enzymatic synthesis of biodiesel by
transesterification of Jatropha oil (Jatropha curcas L.) with ethanol in a
solvent-free system. Seven commercial lipase preparations immobilized by covalent
attachment on epoxy-polysiloxane-polyvinyl alcohol composite (epoxy-SiO2-PVA)
were tested as biocatalysts. Among them, immobilized lipases from Pseudomonas
fluorescens (lipase AK) and Burkholderia cepacia (lipase PS) were the most active
biocatalysts in biodiesel synthesis, reaching ethyl ester yields (FAEE) of 91.1
and 98.3% at 72 h of reaction, respectively. The latter biocatalyst exhibited
similar performance compared to Novozym(r) 435. Purified biodiesel was
characterized by different techniques. Transesterification reaction carried out
under microwave irradiation exhibited higher yield and productivity than
conventional heating. The operational stability of immobilized lipase PS was
determined in repeated batch runs under conventional and microwave heating
systems, revealing half-life times of 430.4 h and 23.5 h, respectively.
PMID- 27868061
TI - Understanding the Pathophysiology of Portosystemic Shunt by Simulation Using an
Electric Circuit.
AB - Portosystemic shunt (PSS) without a definable cause is a rare condition, and most
of the studies on this topic are small series or based on case reports. Moreover,
no firm agreement has been reached on the definition and classification of
various forms of PSS, which makes it difficult to compare and analyze the
management. The blood flow can be seen very similar to an electric current,
governed by Ohm's law. The simulation of PSS using an electric circuit, combined
with the interpretation of reported management results, can provide intuitive
insights into the underlying mechanism of PSS development. In this article, we
have built a model of PSS using electric circuit symbols and explained clinical
manifestations as well as the possible mechanisms underlying a PSS formation.
PMID- 27868062
TI - Apolipoprotein E Gene Variants and Risk of Coronary Heart Disease: A Meta
Analysis.
AB - Objectives. Apo E genes involved in lipoprotein synthesis and metabolism are
considered one of the candidates to CHD. However, the results remain conflicting.
Methods. We performed this meta-analysis based on 30 published studies including
11,804 CHD patients and 17,713 controls. Results. Compared with the wild genotype
E3/3, the variant genotypes ApoEE3/4 and E4/4 were associated with 22% and 45%
increased risk of CHD, respectively (E3/4 versus E3/3: OR = 1.22, 95% CI = 1.15
1.29; E4/4 versus E3/3: OR = 1.45, 95% CI = 1.23-1.71). Besides, compared with
epsilon3 allele, carriers with the epsilon4 allele had a 46% increased risk of
CHD (OR = 1.46, 95% CI = 1.28-1.66), while the epsilon2 had no significantly
decreased risk of CHD. In the subgroup analysis by ethnicity, epsilon4 had a 25%
increased risk of CHD in Caucasians (OR = 1.25, 95% CI = 1.11-1.41), and the
effects were more evident in Mongolians (OR = 2.29, 95% CI = 1.89-2.77). The
epsilon2 allele had a decreased risk of CHD in Caucasians (OR = 0.84, 95% CI =
0.74-0.96), but not in Mongolians. Conclusions. The analysis suggested that
ApoEepsilon4 mutation was associated with the increased risk of CHD, while
ApoEepsilon2 allele had a decreased risk of CHD just in Caucasians.
PMID- 27868063
TI - Changes in the Expression of Biofilm-Associated Surface Proteins in
Staphylococcus aureus Food-Environmental Isolates Subjected to Sublethal
Concentrations of Disinfectants.
AB - Sublethal concentrations (sub-MICs) of certain disinfectants are no longer
effective in removing biofilms from abiotic surfaces and can even promote the
formation of biofilms. Bacterial cells can probably adapt to these low
concentrations of disinfectants and defend themselves by way of biofilm
formation. In this paper, we report on three Staphylococcus aureus biofilm
formers (strong B+++, moderate B++, and weak B+) that were cultivated with sub
MICs of commonly used disinfectants, ethanol or chloramine T, and quantified
using Syto9 green fluorogenic nucleic acid stain. We demonstrate that 1.25-2.5%
ethanol and 2500 MUg/mL chloramine T significantly enhanced S. aureus biofilm
formation. To visualize differences in biofilm compactness between S. aureus
biofilms in control medium, 1.25% ethanol, or 2500 MUg/mL chloramine T, scanning
electron microscopy was used. To describe changes in abundance of surface-exposed
proteins in ethanol- or chloramine T-treated biofilms, surface proteins were
prepared using a novel trypsin shaving approach and quantified after dimethyl
labeling by LC-LTQ/Orbitrap MS. Our data show that some proteins with adhesive
functions and others with cell maintenance functions and virulence factor EsxA
were significantly upregulated by both treatments. In contrast, immunoglobulin
binding protein A was significantly downregulated for both disinfectants.
Significant differences were observed in the effect of the two disinfectants on
the expression of surface proteins including some adhesins, foldase protein PrsA,
and two virulence factors.
PMID- 27868064
TI - Shrimp Protein Hydrolysate Modulates the Timing of Proinflammatory Macrophages in
Bupivacaine-Injured Skeletal Muscles in Rats.
AB - This study was designed to determine whether marine-derived proteins other than
cod could have beneficial effects on inflammation following muscle injury.
Macrophage and neutrophil densities were measured from bupivacaine-injured
tibialis anterior muscle of rats fed isoenergetic diets containing either shrimp
hydrolysate (Shr), casein hydrolysate (CaH), or whole casein (Ca). In this study,
Shr reduced ED1+-macrophages at day 2 (p = 0.013), day 5 (p = 0.006), and day 14
after injury (p = 0.038) compared with Ca, indicating faster resolution of
inflammation in Shr. Except for day 2 after injury where Shr led to lower ED1+
macrophages compared with CaH (p = 0.006), both Shr and CaH responded similarly
at days 5, 14, and 28 after injury. This findings suggest that beneficial effects
of Shr on ED1+-cells might be related to generation of anti-inflammatory peptides
through the hydrolysis process, in addition to its high content of anti
inflammatory amino acids. However, while increasing myofiber cross-sectional area
in noninjured muscles compared with both Ca and CaH, Shr failed to have a
positive effect in corresponding injured muscles. These data indicate that shrimp
hydrolysate can facilitate resolution of inflammation after muscle injury mainly
through modulating proinflammatory macrophage accumulation but have less effect
on optimal recovery in terms of muscle mass and fiber size.
PMID- 27868065
TI - Comparative Proteomic Analysis of Light-Induced Mycelial Brown Film Formation in
Lentinula edodes.
AB - Light-induced brown film (BF) formation by the vegetative mycelium of Lentinula
edodes is important for ensuring the quantity and quality of this edible
mushroom. Nevertheless, the molecular mechanism underlying this phenotype is
still unclear. In this study, a comparative proteomic analysis of mycelial BF
formation in L. edodes was performed. Seventy-three protein spots with at least a
twofold difference in abundance on two-dimensional electrophoresis (2DE) maps
were observed, and 52 of them were successfully identified by matrix-assisted
laser desorption/ionization tandem time-of-flight mass spectrometry (MALDI
TOF/TOF/MS). These proteins were classified into the following functional
categories: small molecule metabolic processes (39%), response to oxidative
stress (5%), and organic substance catabolic processes (5%), followed by
oxidation-reduction processes (3%), single-organism catabolic processes (3%),
positive regulation of protein complex assembly (3%), and protein metabolic
processes (3%). Interestingly, four of the proteins that were upregulated in
response to light exposure were nucleoside diphosphate kinases. To our knowledge,
this is the first proteomic analysis of the mechanism of BF formation in L.
edodes. Our data will provide a foundation for future detailed investigations of
the proteins linked to BF formation.
PMID- 27868066
TI - Application of Machine Learning Approaches for Classifying Sitting Posture Based
on Force and Acceleration Sensors.
AB - Occupational musculoskeletal disorders, particularly chronic low back pain (LBP),
are ubiquitous due to prolonged static sitting or nonergonomic sitting positions.
Therefore, the aim of this study was to develop an instrumented chair with force
and acceleration sensors to determine the accuracy of automatically identifying
the user's sitting position by applying five different machine learning methods
(Support Vector Machines, Multinomial Regression, Boosting, Neural Networks, and
Random Forest). Forty-one subjects were requested to sit four times in seven
different prescribed sitting positions (total 1148 samples). Sixteen force sensor
values and the backrest angle were used as the explanatory variables (features)
for the classification. The different classification methods were compared by
means of a Leave-One-Out cross-validation approach. The best performance was
achieved using the Random Forest classification algorithm, producing a mean
classification accuracy of 90.9% for subjects with which the algorithm was not
familiar. The classification accuracy varied between 81% and 98% for the seven
different sitting positions. The present study showed the possibility of
accurately classifying different sitting positions by means of the introduced
instrumented office chair combined with machine learning analyses. The use of
such novel approaches for the accurate assessment of chair usage could offer
insights into the relationships between sitting position, sitting behaviour, and
the occurrence of musculoskeletal disorders.
PMID- 27868067
TI - Purification and Characterization of a Thermostable beta-Mannanase from Bacillus
subtilis BE-91: Potential Application in Inflammatory Diseases.
AB - beta-mannanase has shown compelling biological functions because of its
regulatory roles in metabolism, inflammation, and oxidation. This study separated
and purified the beta-mannanase from Bacillus subtilis BE-91, which is a powerful
hemicellulose-degrading bacterium using a "two-step" method comprising
ultrafiltration and gel chromatography. The purified beta-mannanase (about 28.2
kDa) showed high specific activity (79, 859.2 IU/mg). The optimum temperature and
pH were 65 degrees C and 6.0, respectively. Moreover, the enzyme was highly
stable at temperatures up to 70 degrees C and pH 4.5-7.0. The beta-mannanase
activity was significantly enhanced in the presence of Mn2+, Cu2+, Zn2+, Ca2+,
Mg2+, and Al3+ and strongly inhibited by Ba2+ and Pb2+. Km and Vmax values for
locust bean gum were 7.14 mg/mL and 107.5 MUmol/min/mL versus 1.749 mg/mL and
33.45 umol/min/mL for Konjac glucomannan, respectively. Therefore, beta-mannanase
purified by this work shows stability at high temperatures and in weakly acidic
or neutral environments. Based on such data, the beta-mannanase will have
potential applications as a dietary supplement in treatment of inflammatory
processes.
PMID- 27868068
TI - Cone Beam X-Ray Luminescence Tomography Imaging Based on KA-FEM Method for Small
Animals.
AB - Cone beam X-ray luminescence tomography can realize fast X-ray luminescence
tomography imaging with relatively low scanning time compared with narrow beam X
ray luminescence tomography. However, cone beam X-ray luminescence tomography
suffers from an ill-posed reconstruction problem. First, the feasibility of
experiments with different penetration and multispectra in small animal has been
tested using nanophosphor material. Then, the hybrid reconstruction algorithm
with KA-FEM method has been applied in cone beam X-ray luminescence tomography
for small animals to overcome the ill-posed reconstruction problem, whose
advantage and property have been demonstrated in fluorescence tomography imaging.
The in vivo mouse experiment proved the feasibility of the proposed method.
PMID- 27868069
TI - Early Inflammatory Response following Traumatic Brain Injury in Rabbits Using
USPIO- and Gd-Enhanced MRI.
AB - Purpose. To monitor the inflammatory response (IR) following traumatic brain
injury (TBI) before and after the rehabilitation of the blood-brain barrier (BBB)
in rabbits using USPIO- and Gd-enhanced MRI. Materials and Methods. Twenty white
big-eared rabbits with mild TBI (mTBI) were randomly and equally divided into
four groups. Rabbits were sacrificed for the brain specimens immediately after
the last MRI-monitoring. Sequences were tse-T1WI, tse-T2WI, Gd-T1WI, and USPIO
T1WI. Dynamical MRI presentations were evaluated and compared with pathological
findings for each group. Results. Twenty-four hours after injury, all rabbits
displayed high signal foci on T2WI, while only 55% lesions could be found on Gd
T1WI and none on USPIO-T1WI. The lesions were enhanced on Gd-T1WI in 100%
subjects after 48 h and the enhancement sizes augmented to the largest after 72
h. At the time point of 72 h after TBI, 90% lesions were enhanced by USPIO. Five
days after injury, 19 lesions showed decreased Gd-enhancement and one
disappeared; however, USPIO-enhancement became larger than before. Pathological
findings showed microglias slightly appeared in dense leukocytes at 48 h, but
became the dominant inflammatory cells after five days. Conclusions. Dynamic IR
following injury could be monitored by combination of Gd- and USPIO-MRI in mTBI
rabbits.
PMID- 27868070
TI - Adaptation and Feasibility Study of a Digital Health Program to Prevent Diabetes
among Low-Income Patients: Results from a Partnership between a Digital Health
Company and an Academic Research Team.
AB - Background. The feasibility of digital health programs to prevent and manage
diabetes in low-income patients has not been adequately explored. Methods.
Researchers collaborated with a digital health company to adapt a diabetes
prevention program for low-income prediabetes patients at a large safety net
clinic. We conducted focus groups to assess patient perspectives, revised lessons
for improved readability and cultural relevance to low-income and Hispanic
patients, conducted a feasibility study of the adapted program in English and
Spanish speaking cohorts, and implemented real-time adaptations to the program
for commercial use and for a larger trial of in multiple safety net clinics.
Results. The majority of focus group participants were receptive to the program.
We modified the curriculum to a 5th-grade reading level and adapted content based
on patient feedback. In the feasibility study, 54% of eligible contacted patients
expressed interest in enrolling (n = 23). Although some participants' computer
access and literacy made registration challenging, they were highly satisfied and
engaged (80% logged in at least once/week). Conclusions. Underserved prediabetic
patients displayed high engagement and satisfaction with a digital diabetes
prevention program despite lower digital literacy skills. The collaboration
between researchers and a digital health company enabled iterative improvements
in technology implementation to address challenges in low-income populations.
PMID- 27868071
TI - Mean Daily Dosage of Aspirin and the Risk of Incident Alzheimer's Dementia in
Patients with Type 2 Diabetes Mellitus: A Nationwide Retrospective Cohort Study
in Taiwan.
AB - Background. Type 2 diabetes mellitus patients are known to have higher risk of
developing dementia while aspirin use has been shown to prevent incident
dementia. This study was conducted to evaluate the potential benefits of aspirin
use on dementia in patients with type 2 diabetes mellitus and identify the
appropriate dosage of aspirin that provides the most benefit. Method. A Taiwan
nationwide, population-based retrospective 8-year study was employed to analyze
the association between the use of aspirin and incidence of dementia including
Alzheimer's disease and non-Alzheimer's dementia using multivariate Cox
proportional hazards regression model and adjusting for several potential
confounders. Results. Regular aspirin use in mean daily dosage of within 40 mg
was associated with a decreased risk of developing incident Alzheimer's dementia
in patients with type 2 diabetes mellitus (adjusted HR of 0.51 with 95% CI of
0.27-0.97, p value 0.041). Conclusion. A mean daily dosage of aspirin use within
40 mg might decrease the risk of developing Alzheimer's disease in patients with
type 2 diabetes mellitus.
PMID- 27868073
TI - The Crosstalk between Myeloid Derived Suppressor Cells and Immune Cells: To
Establish Immune Tolerance in Transplantation.
AB - Myeloid derived suppressor cells (MDSCs) are a heterogeneous population of
myeloid precursor and progenitor cells and endowed with a robust
immunosuppressive activity in multiple pathophysiological conditions. Recent
studies have uncovered the crosstalk between MDSCs and immune cells (i.e.,
natural killer cells, dendritic cells, macrophages, natural killer T cells, and
regulatory T cells) and its role in the establishment and maintenance of immune
tolerant microenvironment in transplantation. Considering their strong
immunosuppressive capability, MDSCs could become a prospective clinical regimen
during transplantation tolerance induction, resulting in long-term graft survival
with decreased or without immunosuppressive drugs. The review summarized recent
research advances in this field and looked ahead at the research directions in
the future.
PMID- 27868072
TI - The Role of TLR4 on B Cell Activation and Anti-beta2GPI Antibody Production in
the Antiphospholipid Syndrome.
AB - High titer of anti-beta2-glycoprotein I antibodies (anti-beta2GPI Ab) plays a
pathogenic role in antiphospholipid syndrome (APS). Numerous studies have focused
on the pathological mechanism in APS; however, little attention is paid to the
immune mechanism of production of anti-beta2GPI antibodies in APS. Our previous
study demonstrated that Toll-like receptor 4 (TLR4) plays a vital role in the
maturation of bone marrow-derived dendritic cells (BMDCs) from the mice immunized
with human beta2-glycoprotein I (beta2GPI). TLR4 is required for the activation
of B cells and the production of autoantibody in mice treated with beta2GPI.
However, TLR4 provides a third signal for B cell activation and then promotes B
cells better receiving signals from both B cell antigen receptor (BCR) and CD40,
thus promoting B cell activation, surface molecules expression, anti-beta2GPI Ab
production, and cytokines secretion and making B cell functioning like an antigen
presenting cell (APC). At the same time, TLR4 also promotes B cells producing
antibodies by upregulating the expression of B-cell activating factor (BAFF). In
this paper, we aim to review the functions of TLR4 in B cell immune response and
antibody production in autoimmune disease APS and try to find a new way for the
prevention and treatment of APS.
PMID- 27868075
TI - Novel Mutation of Interferon-gamma Receptor 1 Gene Presenting as Early Life
Mycobacterial Bronchial Disease.
AB - Mendelian susceptibility to mycobacterial diseases (MSMD) are a spectrum of
inherited disorders characterized by localized or disseminated infections caused
by atypical mycobacteria. Interferon-gamma receptor 1 (IFNGR1) deficiency was the
first identified genetic disorder recognized as MSMD. Mutations in the genes
encoding IFNGR1 can be recessive or dominant and cause complete or partial
receptor deficiency. We present the case of a 21/2-year-old boy with a history of
recurrent wheezing, diagnosed with endobronchial mycobacterial infection.
Immunological workup revealed a homozygous nonsense mutation in the IFNGR1 gene,
a novel mutation predicted in silico to cause complete IFNGR1 deficiency. This
case demonstrates that (a) Interferon-gamma receptor deficiency can present
resembling common disorders of the lung; (b) mycobacterial infections should be
suspected when parenchymal lung disease, hilar lymphadenopathy, and endobronchial
disease are present; and (c) high index of suspicion for immunodeficiency should
be maintained in patients with disseminated nontubercular mycobacterial
infection.
PMID- 27868074
TI - CD63 Promotes Hemocyte-Mediated Phagocytosis in the Clam, Paphia undulata.
AB - As one of the surface membrane proteins of tetraspanin family, CD63 plays a
crucial role in cellular trafficking and endocytosis, which also is associated
with activation of a wide variety of immune cells. Here, the homolog of CD63 was
characterized from one marine mollusk, Paphia undulata, which is designated as Pu
CD63. The complete cDNA of Pu-CD63 is 1,738 bp in length with an open reading
frame (ORF) of 849 bp, encoding a 282 amino acid protein with four putative
hydrophobic transmembrane helixes. Bioinformatic analysis revealed that Pu-CD63
contains one putative YXXO consensus motif of "110-YVII-113" and one N
glycosylation site "155-NGT-157" within the large extracellular loop (LEL)
region, supporting its conserved function in plasma membrane and
endosomal/lysosomal trafficking. Moreover, temporal expression profile analysis
demonstrates a drastic induction in the expression of CD63 in hemocytes after
pathogenic challenge with either V. parahaemolyticus or V. alginolyticus. By
performing dsRNA-mediate RNAi knockdowns of CD63, a dramatic reduction in
hemocytes phagocytic activity to pathogenic Vibrio is recorded by flow cytometry,
revealing the definite role of Pu-CD63 in promoting hemocyte-mediated
phagocytosis. Therefore, our work has greatly enhanced our understanding about
primitive character of innate immunity in marine mollusk.
PMID- 27868076
TI - Synovial C-Shaped Tibial Footprint of the Anterior Cruciate Ligament.
AB - BACKGROUND: Although numerous anatomic studies about the anterior cruciate
ligament (ACL) structure and attachments have been performed, these studies have
not reached consensus on the ACL footprint. PURPOSE: To investigate the existing
controversy regarding the morphology of the tibial ACL insertion (footprint) and
confirm histologically that the tibial ACL footprint is not completely filled
with ligament tissue. STUDY DESIGN: Descriptive laboratory study. METHODS: The
tibial ACL footprint was dissected from 20 different fresh-frozen cadaveric knees
(all males; mean age, 68.8 +/- 5.4 years [range, 55-80 years]; mean weight, 78 +/
6.6 kg [range, 45-93 kg]). Two knees, 1 with severe osteoarthritis and 1 with
previous knee surgery, were excluded. The tibial ACL insertion was observed, and
this area was longitudinally divided into 4 parallel slices (0%-25%, 25-50%, 50%
75%, and 75%-100%), embedded in paraffin wax, and stained with hematoxylin-eosin,
alcian blue, and picrosirius-polarization. The specimens were measured using a
microscope to determine the distances from the anterior to the posterior border
of the ACL ligament tibial insertion and the distance from the posterior border
to the end of the ligament fibers of the ACL ligament tibial insertions. RESULTS:
The 18 evaluated knee specimens confirmed the finding of a C-shaped tibial
insertion of the ACL. The measurements showed that the ligament (vertical
parallel collagen fibers) occupied only 30.8% of the complete insertion. The
remaining area was filled with synovial tissue, demonstrating histologically the
"C" shape. CONCLUSION: This study confirms macroscopically the C-shaped tibial
insertion of the ACL and shows histologically that synovial tissue is an indirect
insertion filling the major part of the footprint. CLINICAL RELEVANCE: This
anatomic study suggests a different shape of the ACL tibial footprint, which may
be useful for new perspectives regarding ACL reconstruction surgery research.
PMID- 27868078
TI - The Role of Technology in the Neonatal Screening Laboratory.
PMID- 27868077
TI - Platelet-Rich Plasma Injections With Needle Tenotomy for Gluteus Medius
Tendinopathy: A Registry Study With Prospective Follow-up.
AB - BACKGROUND: Gluteal tendinopathy is a prevalent condition that can be associated
with significant pain and disability. To date, no studies have prospectively
assessed the efficacy of intratendinous platelet-rich plasma (PRP) injections as
a minimally invasive treatment for gluteus medius tendinopathy. PURPOSE: To
prospectively assess the efficacy of intratendinous PRP injections as treatment
for chronic recalcitrant gluteus medius tendinopathy. STUDY DESIGN: Case series;
Level of evidence, 4. METHODS: During the study period between July 2011 and
November 2015, data were collected from the Hospital for Special Surgery Center
for Hip Preservation Outcomes Registry on participants who underwent ultrasound
guided intratendinous PRP injections for recalcitrant gluteus medius tendinosis
and/or partial tears of the tendon associated with moderate to severe lateral hip
pain for longer than 3 months. All participants were assessed pre- and
postinjection with 4 outcome measures: modified Harris Hip Score (mHHS), Hip
Outcome Score-Activities of Daily Living subscale (HOS-ADL), Hip Outcome Score
Sport-Specific subscale (HOS-Sport), and the International Hip Outcome Tool-33
(iHOT-33). Demographic data, including age, sex, height, weight, body mass index,
and smoking status, were also collected. RESULTS: A total of 21 patients were
included in the study, with a mean follow-up of 19.7 months (range, 12.1-32.3
months). The mean improvements from preinjection to postinjection follow-up were
56.73 to 74.17 for mHHS, 68.93 to 84.14 for HOS-ADL, 45.54 to 66.72 for HOS
Sport, and 34.06 to 66.33 for iHOT-33. All mean outcome measure improvements were
clinically and statistically significant (P < .001). Length of follow-up was
positively correlated with improvements in HOS-ADL (P = .021) and HOS-Sport (P =
.004) scores. No adverse events were observed during or after the procedure.
CONCLUSION: In this registry study with prospective follow-up, we found
ultrasound-guided intratendinous PRP injections to be a safe and effective
treatment option for chronic recalcitrant gluteus medius tendinopathy due to
moderate to severe tendinosis and/or partial tendon tears. Well-powered
randomized controlled studies are warranted to confirm our findings and further
define the ideal candidates for this treatment.
PMID- 27868079
TI - Semantic prediction in language comprehension: evidence from brain potentials.
AB - Do people predict specific word-forms during language comprehension? In an Event
Related Potential (ERP) study participants read German sentences with predictable
(The goalkeeper claims that the slick ball was easy to CATCH.) and unpredictable
(The kids boasted that the young horse was easy to SADDLE.) verbs. Verbs were
either consistent with the expected word-form (catch/saddle) or inconsistent and
therefore led to ungrammaticality (*catches/*saddles). ERPs within the N400 time
window were modulated by predictability but not by the surface-form of the verbs,
suggesting that no exact word-forms were predicted. Based on our results we will
argue that predictions included semantic rather than form-information.
Furthermore, ungrammatical verbs led to a strong P600, probably due to task
saliency whereas correct unpredictable verbs elicited an anterior post-N400
positivity. Because the contexts were moderately constraining, this might reflect
discourse revision processes rather than inhibition of a predicted word.
PMID- 27868080
TI - Risk, Activism, and Empowerment: Women's Breast Cancer in Venezuela.
AB - The prevalence of breast cancer in Venezuela is particularly alarming, which is
attributed to healthcare inequalities, low health literacy, and lagging
compliance with prevention methods (i.e., screening and mammography). While the
right to health is acknowledged by the Venezuelan constitution, activism beyond
governmental confines is required to increase women's breast cancer awareness and
decrease mortality rates. Through the development of social support and strategic
communicative methods enacted by healthcare providers, it may be possible to
empower women with the tools necessary for breast cancer prevention. This paper
discusses issues surrounding women's breast cancer, such as awareness of the
disease and its risks, self-advocacy, and the roles of activists, healthcare
providers, and society. Specifically, it describes a four-year action-oriented
research project developed in Venezuela, which was a collaborative work among
researchers, practitioners, NGOs, patients, journalists, and policymakers. The
outcomes include higher levels of awareness and interest among community members
and organizations to learn and seek more information about women's breast cancer,
better understandings of the communicated messages, more media coverage and
medical consultations, increasing positive patient treatments, expansion of
networking of NGOs, as well as a widely supported declaration for a national
response against breast cancer in Venezuela.
PMID- 27868082
TI - Knowledge, Attitudes, and Practices of Parents Facing Child and Adolescent
Obesity in Brazzaville, Congo.
AB - The study aimed to assess obesity-related knowledge, attitudes, and practices of
parents when facing child and adolescent obesity in order to improve the quality
of care. A case-control study was conducted from February 1 to July 1, 2013. The
study compared parents of obese school children (group 1 or cases; n = 254) and
those school children without obesity (group 2 or controls; n = 254). These
children were drawn from public and private primary schools of Brazzaville
(Congo). Obesity-related knowledge was satisfactory in 83.5% of the cases,
attitudes were correct in 29% of the cases, and the practices good in 25.6% of
the cases. The parents' obesity-related knowledge was satisfactory when the
socioeconomic level of the family was high (P < .02), the mothers' educational
level greater than primary (P < .001), and the fathers' educational level was
greater than primary (P < 10-4). The same observation was obtained with obesity
related attitudes and practices of the parents when correct. This influence
remained after the adaptation of fathers' educational level. In conclusion, the
disease-related knowledge of parents can be considered satisfactory in the
majority of the cases; however, obesity-related attitudes and practices remain
incorrect in most of the cases.
PMID- 27868081
TI - Blood-brain barrier integrity, intrathecal immunoactivation, and neuronal injury
in HIV.
AB - OBJECTIVE: Although blood-brain barrier (BBB) impairment has been reported in HIV
infected individuals, characterization of this impairment has not been clearly
defined. METHODS: BBB integrity was measured by CSF/plasma albumin ratio in this
cross-sectional study of 631 HIV-infected individuals and 71 controls. We also
analyzed CSF and blood HIV RNA and neopterin, CSF leukocyte count, and
neurofilament light chain protein (NFL) concentrations. The HIV-infected
participants included untreated neuroasymptomatic patients, patients with
untreated HIV-associated dementia (HAD), and participants on suppressive
antiretroviral treatment (ART). RESULTS: The albumin ratio was significantly
increased in patients with HAD compared to all other groups. There were no
significant differences between untreated neuroasymptomatic participants, treated
participants, and controls. BBB integrity, however, correlated significantly with
CSF leukocyte count, CSF HIV RNA, serum and CSF neopterin, and age in untreated
neuroasymptomatic participants. In a multiple linear regression analysis, age,
CSF neopterin, and CSF leukocyte count stood out as independent predictors of
albumin ratio. A significant correlation was found between albumin ratio and CSF
NFL in untreated neuroasymptomatic patients and in participants on ART. Albumin
ratio, age, and CD4 cell count were confirmed as independent predictors of CSF
NFL in multivariable analysis. CONCLUSIONS: BBB disruption was mainly found in
patients with HAD, where BBB damage correlated with CNS immunoactivation. Albumin
ratios also correlated with CSF inflammatory markers and NFL in untreated
neuroasymptomatic participants. These findings give support to the association
among BBB deterioration, intrathecal immunoactivation, and neuronal injury in
untreated neuroasymptomatic HIV-infected individuals.
PMID- 27868083
TI - Combining social cognitive treatment, cognitive remediation, and functional
skills training in schizophrenia: a randomized controlled trial.
AB - This study examined the efficacy of an integrative cognitive remediation program
(REHACOP) in improving cognition and functional outcome in patients with
schizophrenia. The program combines cognitive remediation, social cognitive
intervention, and functional skills training. Few studies have attempted this
approach. One hundred and eleven patients diagnosed with schizophrenia were
randomly assigned to either the cognitive remediation group (REHACOP) or an
active control group (occupational activities) for 4 months (three sessions per
week, 90 min). Primary outcomes were change on general neurocognitive performance
and social cognition, including theory of mind (ToM), emotion perception (EP),
attributional style, and social perception (SP). Secondary outcomes included
changes on clinical symptoms (Positive and Negative Syndrome Scale) and
functional outcome (UCSD Performance-Based Skills Assessment and the Global
Assessment of Functioning). The trial was registered with clinicaltrials.gov
(NCT02796417). No baseline group differences were found. Significant differences
were found in the mean change between the REHACOP group and control group in
neurocognition ([Formula: see text]), SP ([Formula: see text]), ToM ([Formula:
see text]), EP ([Formula: see text]), negative symptoms ([Formula: see text]),
emotional distress ([Formula: see text]), Global Assessment of Functioning
([Formula: see text]), and UCSD Performance-Based Skills Assessment ([Formula:
see text]). The combination of cognitive remediation, social cognitive
intervention, and functional skills training demonstrated statistically
significant and clinically meaningful changes in neurocognition, social
cognition, negative, and functional disability.
PMID- 27868084
TI - The association between bone turnover markers and kyphosis in community-dwelling
older adults.
AB - PURPOSE: Hyperkyphosis, accentuated curvature of the thoracic spine, is often
attributed to osteoporosis, yet its underlying pathophysiology is not well
understood. Bone turnover markers (BTM) reflect the dynamic process of bone
formation and resorption. This study examined the association between serum BTM
levels and kyphosis in community-dwelling older adults. METHODS: Between 2003 and
2006, 760 men and women in the Rancho Bernardo Study age 60 and older had blood
drawn and kyphosis measured. Fasting serum was assayed for N-telopeptide (NTX)
and procollagen type 1 n-terminal propeptide (P1NP), markers of bone resorption
and formation, respectively. Participants requiring two or more 1.7 cm blocks
under their head to achieve a neutral supine position were classified as having
accentuated kyphosis. Analyses were stratified by sex and use of estrogen therapy
(ET). Odds of accentuated kyphosis were calculated for each standard deviation
increase in log-transformed BTM. RESULTS: Mean age was 75 years. Overall, 51% of
341 non-ET using women, 41% of 111 ET-using women, and 75% of 308 men had
accentuated kyphosis. In adjusted models, higher P1NP and NTX were associated
with decreased odds of accentuated kyphosis in non-ET using women (P1NP: OR =
0.78 [95% CI, 0.58-0.92]; NTX: OR = 0.68 [95% CI, 0.54-0.86]), but not in men or
ET-using women (p > 0.05). CONCLUSIONS: The selective association of higher bone
turnover with reduced odds of accentuated kyphosis in non-ET using women suggests
that elevated BTM were associated with a lower likelihood of hyperkyphosis only
in the low estrogen/high BTM environment characteristic of postmenopausal women
who are not using ET.
PMID- 27868086
TI - Links between mRNA splicing, mRNA quality control, and intellectual disability.
AB - In recent years, the impairment of RNA binding proteins that play key roles in
the post-transcriptional regulation of gene expression has been linked to
numerous neurological diseases. These RNA binding proteins perform critical mRNA
processing steps in the nucleus, including splicing, polyadenylation, and export.
In many cases, these RNA binding proteins are ubiquitously expressed raising key
questions about why only brain function is impaired. Recently, mutations in the
ZC3H14 gene, encoding an evolutionarily conserved, polyadenosine RNA binding
protein, have been linked to a nonsyndromic form of autosomal recessive
intellectual disability. Thus far, research on ZC3H14 and its Nab2 orthologs in
budding yeast and Drosophila reveals that ZC3H14/Nab2 is important for mRNA
processing and neuronal patterning. Two recent studies now provide evidence that
ZC3H14/Nab2 may function in the quality control of mRNA splicing and export and
could help to explain the molecular defects that cause neuronal dysfunction and
lead to an inherited form of intellectual disability. These studies on
ZC3H14/Nab2 reveal new clues to the puzzle of why loss of the ubiquitously
expressed ZC3H14 protein specifically affects neurons.
PMID- 27868085
TI - Child Trauma Exposure and Psychopathology: Mechanisms of Risk and Resilience.
AB - Exposure to trauma in childhood is associated with elevated risk for multiple
forms of psychopathology. Here we present a biopsychosocial model outlining the
mechanisms that link child trauma with psychopathology and protective factors
that can mitigate these risk pathways. We focus on four mechanisms of enhanced
threat processing: information processing biases that facilitate rapid
identification of environmental threats, disruptions in learning mechanisms
underlying the acquisition of fear, heightened emotional responses to potential
threats, and difficulty disengaging from negative emotional content. Supportive
relationships with caregivers, heightened sensitivity to rewarding and positive
stimuli, and mature amygdala-prefrontal circuitry each serve as potential buffers
of these risk pathways, highlighting novel directions for interventions aimed at
preventing the onset of psychopathology following child trauma.
PMID- 27868087
TI - Diabetic Wound Healing and Activation of Nrf2 by Herbal Medicine.
AB - Nrf2 defense is a very important cellular mechanism to control oxidative stress,
which is implicated in wound healing. Nrf2 can induce many cytoprotective genes,
including HO-1, NQO1 and G6PD. Among many natural products that have been
reported as Nrf2 activators, sulforaphane and curcumin have been studied more
widely than any others, and both are in clinical trials for non-cancerous
disorders. Recently, we reported 4-ethyl catechol and 4-vinyl catechol as Nrf2 co
factors that can induce Nrf2 as potently as sulforaphane and curcumin. These new
Nrf2 co-factors were identified in hot aqueous extract of an herbal medicine
Barleria lupulina, and fermented Noni (Morinda citrifolia) juice, which are used
traditionally for diabetic wound healing.
PMID- 27868088
TI - Five Decades of Remarkable but Slowing Change in U.S. Women's Economic and Social
Status and Political Participation.
AB - The last fifty years of women's social and economic progress have been lauded as
the "grand gender convergence," the "second demographic transition," and the
"rise of women"-terms pointing to the remarkable transformation in women's social
and economic roles since the 1960s. Many metrics document these changes.
PMID- 27868090
TI - Extraction and Analysis of Terpenes/Terpenoids.
AB - Terpenes/terpenoids constitute one of the largest classes of natural products,
this is due to the incredible chemical diversity that can arise from the
biochemical transformations of the relatively simple prenyl diphosphate starter
units. All terpenes/terpenoids comprise a hydrocarbon backbone that is generated
from the various length prenyl diphosphates (a polymer chain of prenyl units).
Upon ionization (removal) of the diphosphate group, the remaining allylic
carbocation intermediates can be coaxed down complex chemical cascades leading to
diverse linear and cyclized hydrocarbon backbones, which can then be further
modified with a wide range of functional groups (e.g. alcohol, ketones, etc.) and
substituent additions (e.g. sugars, fatty acids). Because of this chemical
diversity, terpenes/terpenoids have great industrial uses as flavors, fragrances,
high grade lubricants, biofuels, agricultural chemicals and medicines. The
protocols presented here focus on the extraction of terpenes/terpenoids from
various plant sources and have been divided into extraction methods for
terpenes/terpenoids with various levels of chemical decoration, from the relative
small, nonpolar, volatile hydrocarbons to substantially large molecules with
greater physical complexity due to their chemical modifications.
PMID- 27868089
TI - Adaptive Evolution of Phosphorus Metabolism in Prochlorococcus.
AB - Inorganic phosphorus is scarce in the eastern Mediterranean Sea, where the high
light-adapted ecotype HLI of the marine picocyanobacterium Prochlorococcus
marinus thrives. Physiological and regulatory control of phosphorus acquisition
and partitioning has been observed in HLI both in culture and in the field;
however, the optimization of phosphorus metabolism and associated gains for its
phosphorus-limited-growth (PLG) phenotype have not been studied. Here, we
reconstructed a genome-scale metabolic network of the HLI axenic strain MED4
(iJC568), consisting of 568 metabolic genes in relation to 794 reactions
involving 680 metabolites distributed in 6 subcellular locations. iJC568 was used
to quantify metabolic fluxes under PLG conditions, and we observed a close
correspondence between experimental and computed fluxes. We found that MED4 has
minimized its dependence on intracellular phosphate, not only through drastic
depletion of phosphorus-containing biomass components but also through network
wide reductions in phosphate-reaction participation and the loss of a key enzyme,
succinate dehydrogenase. These alterations occur despite the stringency of having
relatively few pathway redundancies and an extremely high proportion of essential
metabolic genes (47%; defined as the percentage of lethal in silico gene
knockouts). These strategies are examples of nutrient-controlled adaptive
evolution and confer a dramatic growth rate advantage to MED4 in phosphorus
limited regions. IMPORTANCE Microbes are known to employ three basic strategies
to compete for limiting elemental resources: (i) cell quotas may be adjusted by
alterations to cell physiology or by substitution of a more plentiful resource,
(ii) stressed cells may synthesize high-affinity transporters, and (iii) cells
may access more costly sources from internal stores, by degradation, or by
petitioning other microbes. In the case of phosphorus, a limiting resource in
vast oceanic regions, the cosmopolitan cyanobacterium Prochlorococcus marinus
thrives by adopting all three strategies and a fourth, previously unknown
strategy. By generating a detailed model of its metabolism, we found that strain
MED4 has evolved a way to reduce its dependence on phosphate by minimizing the
number of enzymes involved in phosphate transformations, despite the stringency
of nearly half of its metabolic genes being essential for survival. Relieving
phosphorus limitation, both physiologically and throughout intermediate
metabolism, substantially improves phosphorus-specific growth rates.
PMID- 27868092
TI - Endourologic Diagnosis and Robotic Treatment of a Giant Fibroepithelial Polyp of
the Ureter.
AB - Background: Fibroepithelial polyps (FEPs) are a rare cause of ureteropelvic
junction (UPJ) obstruction. Radiologists and urologists are not always confident
with this disease because of its rarity, complex diagnosis, and heterogeneity of
the available treatment options. Case Presentation: We present the endourologic
diagnosis and the robotic management of a ureteral polyp close to the left UPJ. A
16-year-old woman with a 12 years history of left lumbar pain was referred to our
Center. A computed tomography scan detected a left hydronephrosis with no signs
of obstructions at MAG-3 scintigraphy. The endourologic evaluation revealed a
giant FEP of the left ureter, which was removed surgically with a
videolaparoscopic robot-assisted approach. Conclusion: Considering that
conventional radiologic imaging techniques can hardly detect a ureteral FEP, an
endourologic study of the urinary tract is mandatory to directly observe the
polyp. The mini-invasive treatment of ureteral FEPs is feasible and safe, and
should be considered as first option in young patients.
PMID- 27868091
TI - Aftermath of Grade 3 Ureteral Injury from Passage of a Ureteral Access Sheath:
Disaster or Deliverance?
AB - Background: The ureteral access sheath (UAS) has revolutionized the management of
urinary pathology in the upper tract by providing rapid repeatable access to the
upper urinary tract. However, in many practices, it remains a controversial tool
in endourology given concerns of possible ureteral injury and presumed long-term
sequela from those injuries. This case suggests that these concerns may be more
hypothetical than real. Case Presentation: A 32-year-old female with a history of
recurrent nephrolithiasis presented with left-sided symptomatic renal colic. She
was found to have bilateral nephrolithiasis plus a left 6 * 5 mm proximal
ureteral stone with associated moderate hydroureteronephrosis. The patient failed
a trial of passage and as such was taken to the operating room for an elective
ureteroscopy (URS) during which she sustained a Grade 3 ureteral splitting
injury, measuring ~2-3 cm, to the distal ureter from passage of the 16F UAS. At
the end of the procedure a 7/10F endopyelotomy stent was placed. On follow-up URS
at 6 weeks, there was no visual evidence of ureteral injury. A Lasix renal scan
obtained 8 weeks after stent removal showed no evidence of obstruction.
Conclusion: High-grade ureteral injuries sustained from UAS passage are rare.
However, when injuries of this nature occur, the concern over long-term damage to
the ureter may well be overstated.
PMID- 27868093
TI - Conservative Management of Combined Pleural and Splenic Injury During
Percutaneous Nephrostolithotomy.
AB - Splenic injuries related to percutaneous nephrostolithotomy (PCNL) are
infrequent. Herein, we report a combined splenic and pleural injury incurred
during PCNL along with radiographic images documenting the complication. A review
of management techniques for similar injuries is included.
PMID- 27868094
TI - Heart of Darkness.
AB - Significant literature has an impact on the reader. Reading the novella Heart of
Darkness by Joseph Conrad as a young boy rose emotions comparable to those I felt
when losing a patient after percutaneous nephrolithotomy (PCNL) as a grown up.
The case of a 37-year-old woman with bilateral staghorn and a fatal outcome after
PCNL is presented and alternatives are discussed.
PMID- 27868095
TI - Unsuspected Malignancy During Percutaneous Nephrolithotomy: The Snake in the
Grass.
AB - Squamous cell carcinoma of the upper tract (SCC-UT) is a rare neoplasm that
disproportionately affects patients with longstanding nephrolithiasis. Diagnosis
is challenging and typically comes at late stages; as such, the prognosis is
poor. The absence of a reliable diagnostic predictor for SCC highlights the need
to keep the diagnosis in mind for at-risk patient populations. In this study, we
describe a small case series of rapidly progressive SCC-UT incidentally
discovered during percutaneous nephrolithotomy.
PMID- 27868096
TI - Novel Use of Folate-Targeted Intraoperative Fluorescence, OTL38, in Robot
Assisted Laparoscopic Partial Nephrectomy: Report of the First Three Cases.
AB - Partial nephrectomy is now the preferred surgical option for small renal tumors
because it allows nephron preservation without compromising oncologic clearance.
Its outcomes depend on the surgeon's ability to continuously identify the edges
of the tumor during resection, thus leaving an adequate margin around the tumor
without excessive removal of normal parenchyma, as well as keeping a short
ischemic time. Folate receptors are highly abundant in the normal kidney, and
there is a difference in folate receptor expression between malignant and normal
renal tissues. Thus, the use of fluorescent agents that target folate receptors
should result in differential fluorescence between the tumor and surrounding
parenchyma during partial nephrectomy, which, in turn, helps tumor demarcation
for identification and resection. A phase 2 study on the novel use of OTL38 in
robot-assisted laparoscopic partial nephrectomy is currently in progress in our
institution. The outcomes of the first three cases have shown the possible
advantages of OTL38 in intraoperative tumor identification before resection and
recognition of residual disease in the surrounding parenchyma after resection.
The tumors typically appeared dark while the surrounding parenchyma showed
brighter fluorescence. Immediately after tumor resection, the margins of all the
specimens appeared to have a uniformly bright fluorescence, suggestive of an
intact margin of normal renal parenchyma along the plane of excision. The pattern
of intraoperative fluorescence correlates well with immunohistochemistry. No
OTL38-related adverse effects have been seen among these three patients. We
present the outcomes of these three cases, illustrated with intraoperative and
immunohistochemistry images.
PMID- 27868097
TI - Percutaneous Nephrolithotomy in Rare Bleeding Disorders: A Case Report and Review
of the Literature.
AB - Surgery in patients with congenital or acquired coagulation defects has always
been challenging and requires special care with a multidisciplinary approach.
Percutaneous nephrolithotomy (PCNL) is a standard procedure performed in patients
with kidney stones. Although prone to bleeding more than most of the widely
performed surgical procedures, there are not much data regarding PCNL in patients
with bleeding disorders or coagulation defects. There are only case reports or
series with a small number of patients for the patients with common coagulation
defects, including hemophilias. Moreover, there are no reports about PCNL in rare
bleeding disorders. In this study, we reported a case referred for kidney stone
treatment and diagnosed as Factor VII deficiency during preoperative evaluation.
Because it is one of the rare bleeding disorders, we also reviewed the literature
in this field.
PMID- 27868099
TI - Endourologic and Open Ureterolithotomy and Common Sheath Reimplant for Large
Bladder and Distal Ureteral Calculi.
AB - A twenty-eight-year-old female with a history of suprapubic pain and recurrent
urinary tract infections presents for urology referral with a kidney, ureter, and
bladder radiograph showing a 4.4 cm bladder calculus and 6.5 cm distal left
ureteral stone. She underwent effective cystolitholapaxy of the bladder stone.
Endourologic attempt (left ureteroscopy) was unsuccessful because of ureteral
stone burden. Findings at ureteroscopy revealed a duplicated system on the left
with the lower pole moiety joining just proximal to the ureteral orifice. The
stone was found to be in the upper pole moiety ureter. An open ureterolithotomy
was performed with intraoperative ureteroscopic laser lithotripsy and common
sheath ureteral reimplant. Furthermore, a previously placed stent was found to be
encrusted at the time of the ureterolithotomy. Effective ureteroscopy and
lasering were performed through the ureterotomy up to the renal pelvis of the
upper pole ureter.
PMID- 27868098
TI - Neuroendocrine Tumor of the Ureter: A Zebra Among Horses.
AB - Primary neuroendocrine tumors of the upper urinary tract are extremely rare. We
report a case of de novo small cell carcinoma of the ureter that presented
masquerading as a distal ureteral stone. A 55-year-old lady presented to our
clinic with 1 month history of right lower back pain and hematuria. Her history
was notable for stage 1B mixed clear cell-endometroid cancer of the uterus status
post radical abdominal hysterectomy with adjuvant radiotherapy, 7 years before
the current episode. The patient had no evidence of recurrence. Initial
noncontrast imaging suggested a 2.5 mm calculus in the distal right ureter and
hydronephrosis; however, ureteroscopy revealed a large fleshy mass at the
location. Histopathologic evaluation demonstrated the lesion to be primary small
cell carcinoma of the ureter, without evidence of it being a derivative of the
prior gynecologic malignancy. Metastatic work-up revealed high burden
retroperitoneal adenopathy. The patient was started on Cisplatin-based
neoadjuvant chemotherapy with plan for nephroureterectomy in the future. At 3
months follow-up, the patient was doing well with significant shrinkage of
retroperitoneal adenopathy and no evidence of disease progression.
PMID- 27868100
TI - Nephropleural Fistula Effectively Managed with Serial Thoracentesis: A Case
Report.
AB - Nephropleural fistulae are rare but serious thoracic complications of
percutaneous nephrolithotomy (PCNL). Herein, we present the management of a 54
year-old female with a delayed presentation of nephropleural fistula. The role of
serial thoracentesis as a safe, less invasive, less painful alternative to tube
thoracostomy is highlighted. In select cases, this may represent an attractive
management strategy for nephropleural fistula after PCNL.
PMID- 27868101
TI - Simultaneous Retroperitoneal Robotic Partial Nephrectomy and Hepatectomy for
Synchronous Renal-Cell Carcinoma and Hepatocellular Carcinoma in a Cirrhotic
Patient.
AB - Background: The development of laparoscopic and robotic surgeries represents the
modern era with the objective of improving patient outcomes; this surgical method
is widespread in urology and general surgery. Retroperitoneal
laparoscopic/robotic surgery is common in urologic surgery, but not in liver
surgery. Tumors located in the posterosuperior aspect of the liver are difficult
to access using a transperitoneal approach, and control of bleeding can also be
difficult, especially in patients with cirrhosis. Case Presentation: Herein, we
present a 66-year-old man who had a cirrhotic liver with concurrent renal and
hepatic tumors. The renal tumor was located at the upper pole of the right kidney
and the liver tumor was located at the liver dome (segment VII); the patient
underwent simultaneous robotic hepatectomy and partial nephrectomy with a
retroperitoneal approach. Conclusion: To our knowledge, this is the first case
involving a retroperitoneal approach for a simultaneous robotic hepatectomy and
partial nephrectomy; this method was feasible and safe. We hope this approach
serves as an alternative surgical method for patients with synchronous renal and
posterior segment liver tumors.
PMID- 27868102
TI - Case Report of Percutaneous Tract Seeding of Renal Pelvic Tumor: 8-Year Journey.
AB - A 58-year-old female presented with renal colic and was found to have renal
transitional cell carcinoma at the time of percutaneous surgery. She developed
percutaneous tract seeding that clinically presented as subcutaneous skin
nodules. After local treatment with surgical excision and radiation treatment,
the patient developed retroperitoneal recurrence 5 years later. Percutaneous
tract seeding is rare. There is no general consensus on prevention of tract
seeding during percutaneous resection of renal urothelial tumors. Various
recommendations from the literature are discussed.
PMID- 27868103
TI - Integrative representations and analyses of vaccine-induced intended protective
immunity and unintended adverse events using ontology-based and theory-guided
approaches.
PMID- 27868105
TI - Feasibility of automated 3-dimensional magnetic resonance imaging pancreas
segmentation.
AB - PURPOSE: With the advent of MR guided radiotherapy, internal organ motion can be
imaged simultaneously during treatment. In this study, we evaluate the
feasibility of pancreas MRI segmentation using state-of-the-art segmentation
methods. METHODS AND MATERIAL: T2 weighted HASTE and T1 weighted VIBE images were
acquired on 3 patients and 2 healthy volunteers for a total of 12 imaging
volumes. A novel dictionary learning (DL) method was used to segment the pancreas
and compared to t mean-shift merging (MSM), distance regularized level set
(DRLS), graph cuts (GC) and the segmentation results were compared to manual
contours using Dice's index (DI), Hausdorff distance and shift of the-center-of
the-organ (SHIFT). RESULTS: All VIBE images were successfully segmented by at
least one of the auto-segmentation method with DI >0.83 and SHIFT <=2 mm using
the best automated segmentation method. The automated segmentation error of HASTE
images was significantly greater. DL is statistically superior to the other
methods in Dice's overlapping index. For the Hausdorff distance and SHIFT
measurement, DRLS and DL performed slightly superior to the GC method, and
substantially superior to MSM. DL required least human supervision and was faster
to compute. CONCLUSION: Our study demonstrated potential feasibility of automated
segmentation of the pancreas on MRI images with minimal human supervision at the
beginning of imaging acquisition. The achieved accuracy is promising for organ
localization.
PMID- 27868106
TI - Neutrophils as Possible Therapeutic Targets in Severe Influenza Pneumonia.
PMID- 27868104
TI - Adaptive Stress Responses During Tumor Metastasis and Dormancy.
AB - To survive inhospitable environments, tumor cells are forced to remodel their
signaling pathways by altering transcription, translation, and post-translational
modifications. This adaptation is regulated in a spatial and temporal manner and
gives rise to individual tumor cells with distinct gene expression and metabolic
signatures. Such phenotypic heterogeneity is the result of tumor cell plasticity,
which-together with the genetic background of the tumor-determines whether cells
resist environmental stress, enter dormancy, or metastasize. This review
summarizes our understanding of how tumor cells exploit the cellular stress
response to balance proliferation, differentiation, and survival signals, and to
remodel local and distant environments. We focus in particular on tumor
metastasis, which is the greatest impediment to clinical management of cancers
today.
PMID- 27868107
TI - Class I HLA haplotypes form two schools that educate NK cells in different ways.
AB - Natural killer (NK) cells are lymphocytes having vital functions in innate and
adaptive immunity, as well as placental reproduction. Controlling education and
functional activity of human NK cells are various receptors that recognize HLA
class I on the surface of tissue cells. Epitopes of polymorphic HLA-A,-B and -C
are recognized by equally diverse killer cell immunoglobulin-like receptors
(KIR). In addition, a peptide cleaved from the leader sequence of HLA-A,-B or -C
must bind to HLA-E for it to become a ligand for the conserved CD94:NKG2A
receptor. Methionine/threonine dimorphism at position -21 of the leader sequence
divides HLA-B allotypes into a majority having -21T that do not supply HLA-E
binding peptides and a minority having -21M, that do. Genetic analysis of human
populations worldwide shows how haplotypes with -21M HLA-B rarely encode the KIR
ligands: Bw4+HLA-B and C2+HLA-C KIR. Thus there are two fundamental forms of HLA
haplotype: one preferentially supplying CD94:NKG2A ligands, the other
preferentially supplying KIR ligands. -21 HLA-B dimorphism divides the human
population into three groups: M/M, M/T and T/T. Mass cytometry and assays of
immune function, shows how M/M and M/T individuals have CD94:NKG2A+ NK cells
which are better educated, phenotypically more diverse and functionally more
potent than those in T/T individuals. Fundamental new insights are given to
genetic control of NK cell immunity and the evolution that has limited the number
of NK cell receptor ligands encoded by an HLA haplotype. These finding suggest
new ways to dissect the numerous clinical associations with HLA class I.
PMID- 27868108
TI - Inflammatory monocytes hinder antiviral B cell responses.
AB - Antibodies are critical for protection against viral infections. However, several
viruses, such as lymphocytic choriomeningitis virus (LCMV), avoid the induction
of early protective antibody responses by poorly understood mechanisms. Here we
analyzed the spatiotemporal dynamics of B cell activation to show that, upon
subcutaneous infection, LCMV-specific B cells readily relocate to the
interfollicular and T cell areas of the draining lymph node where they
extensively interact with CD11b+Ly6Chi inflammatory monocytes. These myeloid
cells were recruited to lymph nodes draining LCMV infection sites in a type I
interferon-, CCR2-dependent fashion and they suppressed antiviral B cell
responses by virtue of their ability to produce nitric oxide. Depletion of
inflammatory monocytes, inhibition of their lymph node recruitment or impairment
of their nitric oxide-producing ability enhanced LCMV-specific B cell survival
and led to robust neutralizing antibody production. In conclusion, our results
identify inflammatory monocytes as critical gatekeepers that prevent antiviral B
cell responses and suggest that certain viruses take advantage of these cells to
prolong their persistence within the host.
PMID- 27868110
TI - A GENERIC PACKAGING TECHNIQUE USING FLUIDIC ISOLATION FOR LOW-DRIFT IMPLANTABLE
PRESSURE SENSORS.
AB - This paper reports on a generic packaging method for reducing drift in
implantable pressure sensors. The described technique uses fluidic isolation by
encasing the pressure sensor in a liquid-filled medical-grade polyurethane
balloon; thus, isolating it from surrounding aqueous environment that is the
major source of baseline drift. In-vitro tests using commercial micromachined
piezoresistive pressure sensors show an average baseline drift of 0.006 cmH2O/day
(0.13 mmHg/month) for over 100 days of saline soak test, as compared to 0.101
cmH2O/day (2.23 mmHg/month) for a non-fluidic-isolated one soaked for 18 days. To
our knowledge, this is the lowest reported drift for an implantable pressure
sensor.
PMID- 27868111
TI - Preparation and sensing properties of a nitrogen-rich ferrocene-imidazole
quinoxaline triad decorated with pyrrole rings.
AB - The synthesis and sensing properties of the nitrogen-rich ferrocene-imidazole
quinoxaline triad 1 decorated with two pyrrole rings have been described. Due to
its ditopic nature, this molecule behaves as an ion-pair receptor for Ni2+cations
and AcO- anions, although no affinity for either of the discrete ions is
observed. It also displays the rare property consistent with the cooperative AND
recognition of ion pairs. Thus, this receptor shows an important enhancement for
binding AcO- anions when it is co-bound to Hg2+cations, whereas no affinity for
the free receptor by the anion is observed.
PMID- 27868109
TI - Air Pollution and Lung Function Loss: The Importance of Metabolic Syndrome.
PMID- 27868112
TI - UPLC-MS/MS investigation of beta-glucan oligosaccharide oxidation.
AB - Polysaccharide degradation mediated by hydroxyl radicals (HO) or lytic
monooxygenases (LPMOs) is relevant in various biological and industrial
processes. Thereby, the Fenton-induced (H2O2/Fe2+) oxidation of mixed-linkage (1
>3,1->4)-beta-d-glucan (BG), a cereal dietary fibre with several well-established
health promoting properties, shows potential for modulating BG functionality. The
precise identification of oxidation products, however, is impeded by their
diversity due to the indiscriminate nature of HO, the large molecular weight, and
the corresponding low frequency of discrete alterations along the polymer chain.
In this paper, we studied the Fenton-induced degradation of several
constitutionally isomeric glucotetraoses as BG model compounds by hydrophilic
interaction UPLC-MS/MS in negative ion, high resolution mode. The influence of
the beta-(1->3)-linkage on the reaction was investigated with regard to
degradation kinetics, formation of products, and MS/MS fragmentation patterns.
The position and occurrence of a beta-(1->3)-bond had no influence on the
degradation kinetics. Classification into primary and secondary acidic oxidation
products by monitoring their progress over time is also demonstrated, implying
complexation of primary aldonic acid products by the catalytic iron and
subsequently facilitated site-specific secondary oxidation, underlining the
metal's importance beyond its role as HO-generating catalyst. Similarly to
oxidations mediated by certain LPMOs, thorough MS/MS-analysis of selected
products and comparison with synthesised standards confirmed two types of
glycosidic cleavage cascades induced by HO-mediated H-atom abstraction at C1 and
C3/C4, producing gluconic acids, native oligosaccharides, and oxo-oligomers
(carbonyl at non-reducing end). Additionally, systematic study of other oxo
products from the different isomers and rationalisation of MS/MS fragmentation
mechanisms allowed for the identification of potentially diagnostic fragment
signals for oxidised cereal BG.
PMID- 27868113
TI - Magnetic and transport properties of Fe4 single-molecule magnets: a theoretical
insight.
AB - Here, methods of density functional theory (DFT) were employed to study the
magnetic and transport properties of a star-shaped single-molecule magnet Fe4S =
5 complex deposited on a gold surface. The study devoted to the magnetic
properties focused on changes in the exchange coupling constants and magnetic
anisotropy (zero-field splitting parameters) of the isolated and deposited
molecules. Molecule-surface interactions induced significant changes in the
antiferromagnetic exchange coupling constants because these depend closely on the
geometry of the metal complex. Meanwhile, the magnetic anisotropy remained almost
constant. Transport properties were analysed using two different approaches.
First, we studied the change in magnetic anisotropy by reducing and oxidizing the
Fe4 complex as in a Coulomb blockade mechanism. Then we studied the coherent
tunnelling using DFT methods combined with Green functions. Spin filter behaviour
was found because of the different numbers of alpha and beta electrons, due to
the S = 5 ground state.
PMID- 27868114
TI - Knot theory in modern chemistry.
AB - Knot theory is a branch of pure mathematics, but it is increasingly being applied
in a variety of sciences. Knots appear in chemistry, not only in synthetic
molecular design, but also in an array of materials and media, including some not
traditionally associated with knots. Mathematics and chemistry can now be used
synergistically to identify, characterise and create knots, as well as to
understand and predict their physical properties. This tutorial review provides a
brief introduction to the mathematics of knots and related topological concepts
in the context of the chemical sciences. We then survey the broad range of
applications of the theory to contemporary research in the field.
PMID- 27868115
TI - A novel coordination polymer based on Co(ii) hexanuclear clusters with azide and
carboxylate bridges: structure, magnetism and its application as a Li-ion battery
anode.
AB - A novel Co(ii) coordination polymer, [Co(H2O)6][Co6(bpybdc)2(N3)10(H2O)4].8H2O
(bpybdc2- = 1,1'-bis(3,5-dicarboxylatophenyl)-4,4'-bipyridinium), has been
synthesized from a rigid zwitterionic tetracarboxylate ligand and azide. In this
compound, hexacobalt clusters with mixed MU-1,1-azide, MU3-1,1,1-azide and MU-1,3
carboxylate bridges are linked into chains by MU-1,3-azide bridges, and the
chains are interlinked into 2-fold interpenetrated three-dimensional frameworks
through the organic ligand and hydrogen bonds mediated by hexaaquacobalt(ii)
complex ions. Magnetic analysis suggested that intracluster ferromagnetic and
intercluster antiferromagnetic interactions work together to give overall
antiferromagnetic ground states for the azide and carboxylate bridged chain. When
applied as an anode for lithium-ion batteries, the coordination polymer changes
into an amorphous phase and exhibits a relatively high reversible capacity of 510
mA h g-1 with stable cycling behavior and rate performance.
PMID- 27868117
TI - Heteroleptic mononuclear compounds of ruthenium(ii): synthesis, structural
analyses, in vitro antitumor activity and in vivo toxicity on zebrafish embryos.
AB - The limitations of platinum complexes in cancer treatment have motivated the
extensive investigation into other metal complexes such as ruthenium. We herein
present the synthesis and characterization of a new family of ruthenium compounds
1a-5a with the general formula [Ru(bipy)2L][CF3SO3]2 (bipy = 2,2'-bipyridine; L =
bidentate ligand: N,N; N,P; P,P; P,As) which have been characterized by elemental
analysis, ES-MS, 1H and 31P-{1H} NMR, FTIR and conductivity measurements. The
molecular structures of four Ru(ii) complexes were determined by single crystal X
ray diffraction. All compounds displayed moderate cytotoxic activity in vitro
against human A2780 ovarian, MCF7 breast and HCT116 colorectal tumor cells.
Compound 5a was the most cytotoxic compound against A2780 and MCF7 tumor cells
with an IC50 of 4.75 +/- 2.82 MUM and 20.02 +/- 1.46 MUM, respectively. The
compounds showed no cytotoxic effect on normal human primary fibroblasts but
rather considerable selectivity for A2780, MCF7 and HCT116 tumor cells. All
compounds induce apoptosis and autophagy in A2780 ovarian carcinoma cells and
some nuclear DNA fragmentation. All compounds interact with CT-DNA with intrinsic
binding constants in the order 1a > 4a > 2a > 3a > 5a. The observed hyperchromic
effect may be due to the electrostatic interaction between positively charged
cations and the negatively charged phosphate backbone at the periphery of the
double helix-CT-DNA. Interestingly, compound 1a shows a concentration dependent
DNA double strand cleavage. In addition in vivo toxicity has been evaluated on
zebrafish embryos unveiling the differential toxicity between the compounds, with
LC50 ranging from 8.67 mg L-1 for compound 1a to 170.30 mg L-1 for compound 2a.
PMID- 27868119
TI - Synthetic routes to iron chalcogenide nanoparticles and thin films.
AB - Iron chalcogenides are earth abundant, cheap and environmentally benign materials
that have seen extensive research directed toward a range of applications, most
notably for photovoltaics. The most common forms of materials for these
applications are either nanoparticles or thin films. This perspective seeks to
summarise the key synthetic routes to these materials by highlighting the key
aspects that lead to control over phase and morphology.
PMID- 27868121
TI - Explosive enantiospecific decomposition of aspartic acid on Cu surfaces.
AB - Aspartic acid adsorbed on Cu surfaces is doubly deprotonated. On chiral
Cu(643)R&S its enantiomers undergo enantiospecific decomposition via an
autocatalytic explosion. Once initiated, the decomposition mechanism proceeds via
sequential cleavage of the C3-C4 and C1-C2 bonds each yielding CO2, followed by
conversion of the remaining species into N[triple bond, length as m-dash]CCH3.
PMID- 27868118
TI - Varying structural motifs in the salen based metal complexes of Co(ii), Ni(ii)
and Cu(ii): synthesis, crystal structures, molecular dynamics and biological
activities.
AB - Herein, we report the structural and biological activities of a deprotonated
Schiff base ligand (H2L) {H2L = 1,1'-(1E,1'E)-(2,4,6-trimethyl-1,3
phenylene)bis(azan-1-yl-1-ylidene)bis(methan-1-yl-1-ylidene)dinaphthalen-2-ol}
towards Co(ii), Ni(ii) and Cu(ii) complexes obtained under different conditions.
Four new metal complexes have been synthesized: isostructural dimers [Co(L)]2
(1)/[Cu(L)]2 (3), a monomer (Cl3NiH2L).(Et3NH) (2) and a tetramer [Cu(L)]4 (4).
The bioactivity of 1-3 has been investigated through molecular docking with DNA
and various proteins, known to be involved in the proliferation of viral diseases
or progression of cancer. Complex 1 shows the best results, through a strong
binding affinity with NS2B/NS3 protease (dengue virus) in terms of binding energy
(-11.21 kcal mol-1) and inhibition constant (6.02 nM). The experimental evidence
for the effective binding of 1-4 with the Bovine Serum Albumin (BSA) protein and
calf thymus DNA (CT-DNA) is in agreement with our molecular docking results. In
addition, the cytotoxicity and antibacterial activity of 1-4 were examined and
found to be compatible with biological systems, with 4 showing the highest
antibacterial activity. All four complexes were analyzed by elemental analysis
and UV/vis analysis, and their molecular structures were authenticated by single
crystal X-ray studies.
PMID- 27868122
TI - Fine tuning through valence bond tautomerization of ancillary ligands in
ruthenium(ii) arene complexes for better anticancer activity and enzyme
inhibition properties.
AB - Four new ruthenium arene PTA type complexes have been synthesized using
substituted picolinamide derivatives as ancillary ligands and characterized by
spectroscopic methods. In one of the complexes, the ancillary ligand has shown an
unprecedented valence-bond tautomerization in the presence of an ammonium salt to
act as a polar neutral donor ligand making the ligand more prone towards
substitution. The same compound has shown remarkable antiproliferative activity
against three cancer cell lines with GI50 values comparable to Adriamycin, a
known therapeutic drug. Along with this it also strongly inhibits the action of
thioredoxin reductase, which might be a probable reason for the enhanced
proliferative action of the valence-bond tautomerized compound.
PMID- 27868125
TI - Coumestrol modulates Akt and Wnt/beta-catenin signaling during the attenuation of
adipogenesis.
AB - Coumestrol is a natural phytochemical present in plants such as red clover and
soy, and has been reported to stimulate the estrogen receptor as a major
phytoestrogen. While the molecular mechanisms responsible for the anti-adipogenic
effects of phytoestrogens such as genistein and daidzein have been previously
investigated, the effects of coumestrol on adipogenesis remain to be elucidated.
We observed that coumestrol dose-dependently attenuates MDI (mixture of 3
isobutyl-1-methylxanthine, dexamethasone, and insulin)-induced lipid
accumulation, consistent with an earlier study, while significantly inhibiting
MDI-induced adipogenesis in the first 48 hours of differentiation, a critical
time window for anti-adipogenic effects. Coumestrol treatment suppressed MDI
induced protein expression of PPARgamma and C/EBPalpha in adipocytes, leading to
the subsequent downregulation of FAS and aP2 expression. Akt and GSK3beta were
phosphorylated shortly after MDI stimulation, and these responses were inhibited
by coumestrol treatment. Coumestrol also increased LRP6 protein expression,
resulting in the recovery of beta-catenin downregulation by MDI, while
attenuating MDI-induced downregulation of Wnt10b. In addition, mRNA and protein
expression of c-Myc and cyclin D1, target genes of beta-catenin, were both
recovered by coumestrol treatment. These results suggest that coumestrol inhibits
adipocyte differentiation via regulation of Akt and Wnt/beta-catenin signaling
and may have potential for development as an agent to prevent adipogenesis.
PMID- 27868126
TI - New insights into mammalian signaling pathways using microfluidic pulsatile
inputs and mathematical modeling.
AB - Temporally modulated input mimics physiology. This chemical communication
strategy filters the biochemical noise through entrainment and phase-locking.
Under laboratory conditions, it also expands the observability space for
downstream responses. A combined approach involving microfluidic pulsatile
stimulation and mathematical modeling has led to deciphering of hidden/unknown
temporal motifs in several mammalian signaling pathways and has provided
mechanistic insights, including how these motifs combine to form distinct band
pass filters and govern fate regulation under dynamic microenvironment. This
approach can be utilized to understand signaling circuit architectures and to
gain mechanistic insights for several other signaling systems. Potential
applications include synthetic biology and biotechnology, in developing
pharmaceutical interventions, and in developing lab-on-chip models.
PMID- 27868128
TI - The role of STIM1 in the Cr(vi)-induced [Ca2+]i increase and cell injury in L-02
hepatocytes.
AB - Hexavalent chromium [Cr(vi)] is a potent cytotoxin and carcinogen. In recent
years, drinking water contamination with Cr(vi) has become a worldwide problem of
significant public health importance, thus much attention has been paid to the
investigation of Cr(vi)-induced hepatotoxicity. The concentration of
intracellular calcium ions ([Ca2+]i) was found to be increased after Cr(vi)
exposure, but the exact underlying mechanisms involved in the Ca2+ homeostasis
imbalance remain poorly characterized. In the present study, by utilizing the
antagonist of store-operated calcium channels (SOCCs) 2-aminoethoxydiphenyl
borate (2-APB), small interfering RNA against stromal interaction molecule 1 (si
STIM1) and antioxidant N-acetylcysteine (NAC), we found that Cr(vi) induces
[Ca2+]i increase, cell viability loss and transaminase (AST/ALT) leakage, and
that these could be suppressed by both 2-APB and si-STIM1. NAC significantly
alleviated Cr(vi)-induced up-regulation of STIM1, phosphorylated-extracellular
signal-regulated kinases 1 and 2 (p-ERK1/2), ERK1/2 and nuclear factor kappaB (NF
kappaB). By utilizing the ERK inhibitor U0126 and the NF-kappaB inhibitor
pyrrolidine dithiocarbamate (PDTC), we confirmed that STIM1 can be regulated by
ERK and NF-kappaB. Thus we concluded that STIM1 plays a role in the Cr(vi)
induced [Ca2+]i increase and cell injury. Our current data provide new insights
into the mechanisms of STIM1 function in Cr(vi)-induced hepatotoxicity, and may
provide experimental clues for the prevention and treatment of liver diseases in
the occupational population exposed to Cr(vi).
PMID- 27868127
TI - Digital DNA detection based on a compact optofluidic laser with ultra-low sample
consumption.
AB - DNA lasers self-amplify optical signals from a DNA analyte as well as
thermodynamic differences between sequences, allowing quasi-digital DNA
detection. However, these systems have drawbacks, such as relatively large sample
consumption and complicated dye labelling. Moreover, although the lasing signal
can detect the target DNA, it is superimposed on an unintended fluorescence
background, which persists for non-target DNA samples as well. From an optical
point of view, it is thus not truly digital detection and requires spectral
analysis to identify the target. In this work, we propose and demonstrate an
optofluidic laser that has a single layer of DNA molecules as the gain material.
A target DNA produces intensive laser emission comparable to existing DNA lasers,
while any unnecessary fluorescence background is successfully suppressed. As a
result, the target DNA can be detected with a single laser pulse, in a truly
digital manner. Since the DNA molecules cover only a single layer on the surface
of the laser microcavity, the DNA sample consumption is a few orders of magnitude
lower than that of existing DNA lasers. Furthermore, the DNA molecules are
stained by simply immersing the microcavity in the intercalating dye solution,
and thus the proposed DNA laser is free of any complex dye-labelling process
prior to analysis.
PMID- 27868129
TI - Identifying differential networks based on multi-platform gene expression data.
AB - Exploring how the structure of a gene regulatory network differs between two
different disease states is fundamental for understanding the biological
mechanisms behind disease development and progression. Recently, with rapid
advances in microarray technologies, gene expression profiles of the same
patients can be collected from multiple microarray platforms. However, previous
differential network analysis methods were usually developed based on a single
type of platform, which could not utilize the common information shared across
different platforms. In this study, we introduce a multi-view differential
network analysis model to infer the differential network between two different
patient groups based on gene expression profiles collected from multiple
platforms. Unlike previous differential network analysis models that need to
analyze each platform separately, our model can draw support from multiple data
platforms to jointly estimate the differential networks and produce more accurate
and reliable results. Our simulation studies demonstrate that our method
consistently outperforms other available differential network analysis methods.
We also applied our method to identify network rewiring associated with platinum
resistance using TCGA ovarian cancer samples. The experimental results
demonstrate that the hub genes in our identified differential networks on the
PI3K/AKT/mTOR pathway play an important role in drug resistance.
PMID- 27868131
TI - Methods Open - A New Journal Track Starting in 2017.
AB - From 2017 (volume 56) onwards the journal Methods of Information in Medicine will
consist of two tracks. Authors can decide to submit their manuscript to either
the subscription track that continues to publish its six print and electronic
(non-open access) issues for journal subscribers, or the new Methods Open track
that will consist of digitally published manuscripts (as gold open access). These
two tracks will constitute from 2017 on the journal's Tandem Model.
Simultaneously, Methods will introduce a double-blinded review process and
reviewer assessment by the submitting authors. Implications of these changes for
both authors and reviewers are discussed. With these steps, Methods aims to
improve the visibility of the journal and contribute to sharing research results
as timely and as widely as possible and thereby to promote scientific progress.
PMID- 27868132
TI - Exploring Possibilities for Transforming Established Subscription-based
Scientific Journals into Open Access Journals. Present Situation, Transformation
Criteria, and Exemplary Implementation within Trans-O-MIM.
AB - BACKGROUND: Based on today's information and communication technologies the open
access paradigm has become an important approach for adequately communicating new
scientific knowledge. OBJECTIVES: Summarizing the present situation for journal
transformation. Presenting criteria for adequate transformation as well as a
specific approach for it. Describing our exemplary implementation of such a
journal transformation. METHODS: Studying the respective literature as well as
discussing this topic in various discussion groups and meetings (primarily of
editors and publishers, but also of authors and readers), with long term
experience as editors and /or publishers of scientific publications as
prerequisite. RESULTS: There is a clear will, particularly of political and
funding organizations, towards open access publishing. In spite of this, there is
still a large amount of scientific knowledge, being communicated through
subscription-based journals. For successfully transforming such journals into
open access, sixteen criteria for a goal-oriented, stepwise, sustainable, and
fair transformation are suggested. The Tandem Model as transformation approach is
introduced. Our exemplary implementation is done in the Trans-O-MIM project. It
is exploring strategies, models and evaluation metrics for journal
transformation. As instance the journal Methods of Information in Medicine will
apply the Tandem Model from 2017 onwards. CONCLUSIONS: Within Trans-O-MIM we will
reach at least nine of the sixteen criteria for adequate transformation. It was
positive to implement Trans-O-MIM as international research project. After first
steps for transforming Methods have successfully been made, challenges will
remain, among others, in identifying appropriate incentives for open access
publishing in order to support its transformation.
PMID- 27868133
TI - Ensemble Pruning for Glaucoma Detection in an Unbalanced Data Set.
AB - BACKGROUND: Random forests are successful classifier ensemble methods consisting
of typically 100 to 1000 classification trees. Ensemble pruning techniques reduce
the computational cost, especially the memory demand, of random forests by
reducing the number of trees without relevant loss of performance or even with
increased performance of the sub-ensemble. The application to the problem of an
early detection of glaucoma, a severe eye disease with low prevalence, based on
topographical measurements of the eye background faces specific challenges.
OBJECTIVES: We examine the performance of ensemble pruning strategies for
glaucoma detection in an unbalanced data situation. METHODS: The data set
consists of 102 topographical features of the eye background of 254 healthy
controls and 55 glaucoma patients. We compare the area under the receiver
operating characteristic curve (AUC), and the Brier score on the total data set,
in the majority class, and in the minority class of pruned random forest
ensembles obtained with strategies based on the prediction accuracy of greedily
grown sub-ensembles, the uncertainty weighted accuracy, and the similarity
between single trees. To validate the findings and to examine the influence of
the prevalence of glaucoma in the data set, we additionally perform a simulation
study with lower prevalences of glaucoma. RESULTS: In glaucoma classification all
three pruning strategies lead to improved AUC and smaller Brier scores on the
total data set with sub-ensembles as small as 30 to 80 trees compared to the
classification results obtained with the full ensemble consisting of 1000 trees.
In the simulation study, we were able to show that the prevalence of glaucoma is
a critical factor and lower prevalence decreases the performance of our pruning
strategies. CONCLUSIONS: The memory demand for glaucoma classification in an
unbalanced data situation based on random forests could effectively be reduced by
the application of pruning strategies without loss of performance in a population
with increased risk of glaucoma.
PMID- 27868134
TI - Developing and validating a localised, self-training mindfulness programme for
older Singaporean adults: effects on cognitive functioning and implications for
healthcare.
AB - There is a paucity of research available on the effect of mindfulness on
cognitive function. However, the topic has recently gained more attention due to
the ageing population in Singapore, catalysed by recent findings on brain
function and cellular ageing. Recognising the potential benefits of practising
mindfulness, we aimed to develop a localised, self-training mindfulness
programme, guided by expert practitioners and usability testing, for older
Singaporean adults. This was followed by a pilot study to examine the potential
cognitive benefits and feasibility of this self-training programme for the
cognitive function of older adults in Singapore. We found that the results from
the pilot study were suggestive but inconclusive, and thus, merit further
investigation.
PMID- 27868137
TI - Assessing Acute Itch Intensity: General Labelled Magnitude Scale is More Reliable
than Classic Visual Analogue Scale.
PMID- 27868135
TI - A review of bioresorbable scaffolds: hype or hope?
AB - In the field of percutaneous coronary intervention, the evolution of coronary
metal stents has been well established for the past three decades, but research
on bioresorbable scaffolds has only gained momentum in the recent past. Although
second-generation drug-eluting metal stents are the gold standard for the
treatment of obstructive coronary artery disease, a few drawbacks exist. The
development of bioresorbable scaffolds is an attempt to overcome the limitations
of metal stents. This review highlights the rationale for the bioresorbable
scaffold, its properties and potential applications. It also focuses on the
current evidence and concerns regarding the application of the bioresorbable
scaffold in day-to-day practice.
PMID- 27868136
TI - Increased Activity and Apoptosis of Eosinophils in Blister Fluids, Skin and
Peripheral Blood of Patients with Bullous Pemphigoid.
AB - Bullous pemphigoid (BP) is an autoimmune blistering skin disease that is more
common in elderly individuals. The aim of this study was to determine the
functional activity of eosinophils in patients with BP compared with healthy
donors. Blood, skin and blister-derived eosinophils were strongly activated in
patients with BP, seen by increased surface expression of CD69 compared with
controls. CD11b was also increased in BP blood eosinophils, which may explain the
striking accumulation of eosinophils in BP (1*106 per ml blister fluid).
Furthermore, CCL26 was expressed by activated eosinophils in BP skin and in
blister fluid. BP eosinophils also released IL-6, IL-8 and IL-1alpha in BP
blister fluids. Apoptosis in cultivated BP eosinophils was increased and
accompanied by enhanced surface externalization of CD95. Caspase 3 positive
eosinophils in lesional BP skin and blister fluid also showed the initiation of
apoptosis. These results reveal novel pathophysiological aspects of BP, with a
strong activation pattern and increased apoptosis of eosinophils in the
peripheral blood, skin and blister fluids.
PMID- 27868138
TI - PD-1 Antibody-induced Guillain-Barre Syndrome in a Patient with Metastatic
Melanoma.
PMID- 27868141
TI - Erythematous Grouped Papules and Plaque on an Intra-articular Injection Area: A
Quiz.
PMID- 27868140
TI - Psoriasis as a Manifestation of an Immune Reconstitution in Two Patients with
Hepatitis C Treated with Ledipasvir/Sofosbuvir.
PMID- 27868139
TI - Clinical, Genetic and Innate Immunity Characteristics of Melanoma in Organ
Transplant Recipients.
AB - The aims of this study were to determine the clinical and histological
characteristics of melanoma in transplant recipients, the mutation profile (BRAF,
NRAS and c-KIT genes), and the immune tolerance of the tumour microenvironment by
immunohistochemical study of the expression of indoleamine 2,3-dioxygenase (IDO),
PD1, PD-L1, CD8 and FoxP3. The study population comprised patients who had
undergone a renal transplant in Nantes University Hospital who developed post
transplantation melanoma. Twenty cases of melanoma out of 4,663 transplant
recipients were studied. The results differed from the usual data with respect to
melanoma site: 40% were located on the face and were of the malignant lentigo
type. The mutation profile was concordant with that of the immunocompetent
population. IDO was expressed in all the sections tested, while CD8, FoxP3, PD1
and PD-L1 were poorly expressed. This reflected a highly immunodepressed tumour
environment, raising the question of the inductive role of IDO on tumour immune
tolerance in patients presenting with long-term immunodepression.
PMID- 27868142
TI - A Japanese Case of Ichthyosiform Erythroderma with a Novel Mutation in
NIPAL4/Ichthyin.
PMID- 27868143
TI - Impact of Gliding on the Prevalence of Keratinocyte Carcinoma and its Precursors:
A Cross-sectional Study Among Male Pilots in Bavaria.
PMID- 27868144
TI - Paediatric Erythema Multiforme: Epidemiological, Clinical and Laboratory
Characteristics.
AB - Erythema multiforme (EM) is an immune-mediated reaction presenting as acrofacial
target lesions. Most studies utilize the outdated classification, which includes
EM, Stevens-Johnson syndrome and toxic epidermal necrolysis as related entities.
We describe here epidemiological, aetiological, clinical, laboratory and
treatment characteristics of paediatric EM. This is a retrospective single-centre
study, performed between 2000 and 2013. Of 119 children given a diagnosis of EM,
only 30 met clinical criteria and were included in this study. Most misdiagnosed
cases were non-specific eruptions and urticaria multiforme. Mean age was 11.3
years. Fifty percent had mucosal involvement. An aetiology was observed in half
of the patients. Seventy percent of patients were admitted to hospital, 46.7%
were treated with systemic steroids. Sixteen percent had recurrent EM. The most
common identified infectious agent associated with EM in this study was
Mycoplasma pneumonia and the cases associated with this infection may represent
the recent entity, mycoplasma-induced rash and mucositis. Association with herpes
simplex virus was not observed. Despite being a benign, self-limiting condition,
children were over-treated in terms of hospitalization and therapy.
PMID- 27868145
TI - Adalimumab Dose Tapering in Psoriasis: Predictive Factors for Maintenance of
Complete Clearance.
AB - Psoriasis can be managed successfully with long-term biologics. Real-life
clinical practice may require dose tapering as a therapeutic option to reduce the
risk of drug-exposure and to increase cost-effectiveness. The responsiveness to
extended intervals between adalimumab doses and the possible predictive factors
of maintenance of complete clearance were studied in a retrospective 7-year
single-centre analysis. Thirty patients who achieved complete clearance with
adalimumab underwent dose tapering, progressively extending between-dose
intervals (to 21-28 days). Sixty percent of subjects (group A) maintained
complete clearance, whereas 40.0% (group B) relapsed and were switched back to
the standard dosage to re-achieve complete clearance. Body mass index (BMI) and
time to achieve Psoriasis Area Severity Index (PASI-100) with adalimumab standard
treatment before dose tapering were significantly lower in group A than in group
B (multi-variate Cox regression: p < 0.05, Kaplan-Meier analysis: p < 0.001,
respectively). This study suggests that patients with lower BMI and shorter time
to achieve PASI-100 with adalimumab standard dose were significantly more likely
to be candidates for dose tapering.
PMID- 27868146
TI - Positive Association Between Vitamin D Serum Levels and Naevus Counts.
AB - Lower vitamin D serum levels are linked to increased melanoma risk and poorer
survival. Naevus counts are associated with both melanoma risk and survival and
to leucocyte telomere length. Vitamin D is also linked to telomere biology with
higher levels of vitamin D in individuals with longer leucocyte telomere length
despite adjusting for age. Using the TwinsUK data, we explored the association
between naevus count, leucocyte telomere length and vitamin D serum levels.
Increasing vitamin D levels were associated with increasing naevus count: serum
levels were 73.3 nmol/l in individuals with less than 50 naevi compared to 78.8
nmol/l in individuals with more than 50 naevi (p?=?0.002). In the final
regression model, using naevus count as a continuous variable, vitamin D remained
associated with higher naevus counts despite adjustment for age, weight, height,
season of sampling and twin relatedness (p?=?0.02). Further adjustment for
leucocyte telomere length, decreased the magnitude of the association but it
remained significant so leucocyte telomere length is not the sole driver of this
association. Having large numbers of naevi is associated with higher vitamin D
serum levels.
PMID- 27868148
TI - The "Alarmins" HMBG1 and IL-33 Downregulate Structural Skin Barrier Proteins and
Impair Epidermal Growth.
AB - The epidermal-derived "alarmins" high-mobility group box 1 (HMGB1) protein and
interleukin-33 (IL-33) are upregulated in patients with atopic dermatitis. How
ever, their capacity as pro-inflammatory cytokines and their derived effects on
skin barrier regulation are poorly elucidated. We investigated the impact of
HMGB1 and IL-33 on gene transcription, protein expression and epidermal
differentiation across 3 distinct keratinocyte in vitro models. Primary
keratinocytes from healthy donors were used in submerged monolayer cultures, 3D
human epidermis equivalents and 3D human skin equivalents. All keratinocyte
models underwent 96-h stimulation with HMGB1 (100 MUM) or IL-33 (100 ng/ml) using
IL-4 (50 ng/ml) as positive control of regulation and vehicle as negative
control. We found that HMGB1 and IL-33 downregulated transcription of several
genes from members of the epidermal differentiation complex, including filaggrin.
Furthermore, HMGB1 downregulated the expression of the encoded proteins in the
upper epidermis. Finally, IL-33 and HMGB1 ultimately led to impaired epidermal
growth and maturation. In conclusion, HMGB1 and IL-33 could play a significant
role in the atopic dermatitis pathophysiology due to negative regulation of
structural proteins, stratum corneum formation and epidermal growth.
PMID- 27868147
TI - Congenital Ichthyosis and Recurrent Eczema Associated with a Novel ALOXE3
Mutation.
PMID- 27868149
TI - Topical Carmustine as Monotherapy or as Multimodality Therapy for Folliculotropic
Mycosis Fungoides.
PMID- 27868151
TI - Eosinophilic Granulomatosis with Polyangiitis (Churg-Strauss) Relapsing as
Bullous Eruption.
PMID- 27868150
TI - Clinical Efficiency of Topical Calcipotriol/Betamethasone Treatment in Psoriasis
Relies on Suppression of the Inflammatory TNFalpha - IL-23 - IL-17 Axis.
AB - The effects of topical calcipotriol/betamethasone combination therapy and
betamethasone monotherapy on inflammatory T-cell numbers and molecular markers
were compared in patients with psoriasis. Combination therapy down-regulated the
expression of tumour necrosis factor (TNF)-alpha, interleukin (IL)-23A, IL-17A,
S100A7, CCL-20 and interferon (IFN)-gamma in skin and TNF-alpha, IL-6, IL-23A, T
bet and IFN-gamma in peripheral blood mononuclear cells (PBMCs). Betamethasone
monotherapy had less effect. Expression of FoxP3 in both skin and PBMCs was down
regulated by calcipotriol/betamethasone, but not by betamethasone.
Immunohistochemical analysis revealed that calcipotriol/betamethasone reduced the
numbers of CD4+ and CD8+ T cells and Tregs in psoriatic lesions more than
betamethasone. Flow cytometric analyses demonstrated that
calcipotriol/betamethasone decreased the numbers of circulating CD8+ T cells,
Tregs, skin-homing Th17 memory cells and Th22 memory cells, while betamethasone
had little or no effect. Glucocorticoid receptors GRalpha and GRbeta were
expressed in psoriatic skin. In conclusion, calcipotriol increases the
immunosuppressive power of betamethasone by suppressing the inflammatory TNF
alpha - IL-23 - IL-17 axis.
PMID- 27868154
TI - Alternative Fuels in Epilepsy and Amyotrophic Lateral Sclerosis.
AB - This review summarises the recent findings on metabolic treatments for epilepsy
and Amyotrophic Lateral Sclerosis (ALS) in honour of Professor Ursula Sonnewald.
The metabolic impairments in rodent models of these disorders as well as affected
patients are being discussed. In both epilepsy and ALS, there are defects in
glucose uptake and reduced tricarboxylic acid (TCA) cycling, at least in part due
to reduced amounts of C4 TCA cycle intermediates. In addition there are
impairments in glycolysis in ALS. A reduction in glucose uptake can be addressed
by providing the brain with alternative fuels, such as ketones or medium-chain
triglycerides. As anaplerotic fuels, such as the triglyceride of heptanoate,
triheptanoin, refill the TCA cycle C4/C5 intermediate pool that is deficient,
they are ideal to boost TCA cycling and thus the oxidative metabolism of all
fuels.
PMID- 27868155
TI - Hepatic Collision Tumor of Metastatic Pancreatic Adenocarcinoma and Chronic
Lymphocytic Leukemia: A Case Report.
PMID- 27868153
TI - Carnosine Attenuates Brain Oxidative Stress and Apoptosis After Intracerebral
Hemorrhage in Rats.
AB - Carnosine, an endogenous dipeptide (beta-alanyl-L-histidine), exerts multiple
neuroprotective properties, but its role in intracerebral hemorrhage (ICH)
remains unclear. This study investigates the effect of Carnosine on brain injury
using the rat ICH model, which is established by type IV collagenase caudatum
infusion. The results indicate that intraperitoneal administration of Carnosine
(1000 mg/kg) significantly attenuates brain edema, blood-brain barrier (BBB)
disruption, oxidative stress, microglia activation and neuronal apoptosis of
perihematoma at 72 h following ICH in rats models, as convinced by preventing the
disruption of tight junction protein ZO-1, occludin and claudin-5, followed by
the decrease of ROS, MDA, 3-NT, 8-OHDG level and the increase of GSH-Px and SOD
activity, then followed by the decline of Iba-1, ED-1, active caspase-3 and TUNEL
positive cells and the decrease of IL-1beta, IL-6, TNF-alpha, active caspase-3
and cytochrome c level. Our results suggest that Carnosine may provide
neuroprotective effect after experimental ICH in rat models.
PMID- 27868152
TI - Effect of buffalo casein-derived novel bioactive peptides on osteoblast
differentiation.
AB - PURPOSE: Epidemiological and intervention studies show that milk consumption in
childhood and during adolescence is related to higher bone mineral density. Milk
and milk products prevent the bone loss in pre- and postmenopausal women. Apart
from calcium, there are other biologically active compounds in milk such as
bioactive peptides which may play a role in promoting bone health. Casein is the
major protein in milk which has also been reported to have numerous biological
active peptides within it. The hypothesis of the present study was to identify
the key peptides behind osteoanabolic nature of the milk protein, which further
can be used to prepare functional foods to alleviate bone diseases like
osteoporosis. Hence, this study was carried out to investigate osteogenic nature
of four novel bioactive peptides [PEP1 (EDVPSER), PEP2 (NAVPITPTL), PEP3
(VLPVPQK) and PEP4 (HPHPHLSF)] derived from buffalo casein by in vitro osteoblast
differentiation model. METHODS: Calvaria cells were isolated from 3-day-old rat
pups, cultured under in vitro conditions till confluence and further used for
experiments. Calvarial osteoblast cells were cultured in the presence or absence
of peptides including positive controls up to 21 days. Effect of peptides was
checked at regular intervals by quantifying osteoblast differentiation marker
genes (ALP, OCN and COL-1) expression, alkaline phosphatase activity, osteocalcin
level in culture supernatants, mineral deposition by alizarin red staining and
caspase-3 and 9 assays. RESULTS: The osteoblast differentiation marker genes
(ALP, OCN and COL-1) expression was significantly [(p < 0.01) (p < 0.001)] up
regulated in the presence of these peptides. The peptides also significantly
induced alkaline phosphatase activity, osteocalcin level and mineral deposition
in comparison with the control. It was also observed that all the four peptides
did not show any cytotoxic effect during 21-day treatment period. CONCLUSION: All
peptides enhanced osteoblast differentiation along with the positive controls.
These results hold an immense scope to use peptides as preventive measure for
reducing incidence of osteoporosis. These peptides can also be used as drugs and
can be utilized as functional ingredients in functional foods preparation for
osteoporosis therapy, but in vivo studies are required for further confirmation.
PMID- 27868156
TI - Vaginal and sexual health treatment strategies within a female sexual medicine
program for cancer patients and survivors.
AB - PURPOSE: We sought to evaluate patient adherence and response to simple vaginal
and sexual health treatment strategies in female cancer patients receiving
treatment at a female sexual medicine and health program and identify
improvements of physical symptoms, per patient and clinical evaluation. METHODS:
Evaluability criteria included gynecologic exam at initial visit, at least one
follow-up with gynecologic exam within 8 months of initial visit, and all
consecutive follow-ups <6 months apart. Demographics, medical information, and
clinical assessments from 175 evaluable patients with at least one follow-up from
09/12 to 10/14 were analyzed. The majority of patients were being treated for or
had a history of breast (n = 90, 53 %), gynecologic (n = 54, 32 %), or
colorectal/anal (n = 15, 9 %) cancers. An assessment form included a clinician
evaluation, Vaginal Assessment Scale (VAS), Vulvar Assessment Scale (VuAS), and
patient-reported outcomes. Compliance with treatment recommendations were
summarized, and changes over time were compared for clinical outcomes. RESULTS:
Mean number of visits was 3.43. Mean age was 55.4 years; 92 % (n = 155/169) were
in menopause. Treatment strategies included rationale and instruction for use of
vaginal moisturizers, lubricants, pelvic floor exercises, and dilator therapy, in
addition to psychosexual education regarding sexual changes (response, anatomy,
and function) associated with cancer treatment and support. At last assessment,
89 % had complied with the clinical recommendation (moisturize 2-5+ times/week).
Vaginal pH scores >6.5 declined over time (p = 0.03). VAS scores improved by last
assessment (p < 0.001), as did VuAS scores (p = 0.001). Sexual function scores
significantly improved (p < 0.001), confidence about future sexual activity
increased (p = 0.004), and sexual/vaginal health concerns decreased (p =
0.00003). CONCLUSION: Significant changes were observed in women using treatment
strategies, with improvement in vulvovaginal symptoms, a decrease in elevated
vaginal pH and pain with exams, enhanced sexual function, and increased intimacy
confidence. IMPLICATIONS FOR CANCER SURVIVORS: These findings have high clinical
relevance for symptom management with improvement of sexual function using simple
strategies and clinical tools in the oncology setting.
PMID- 27868157
TI - MCM7 expression is a promising predictor of recurrence in patients surgically
resected for meningiomas.
AB - Patients with high risk of recurrence after meningioma resection might benefit
from adjuvant radiation therapy and closer clinical follow-up. While the World
Health Organization (WHO) classification and the MIB-1 biomarker are applied in
the clinical practice to identify these patients, the reliability of these
methods is questionable. To improve the prediction of tumor recurrence, this
study evaluated and compared the prognostic usefulness of the biomarker MCM7 with
the conventional mitotic index and the MIB-1 biomarker. One hundred sixty
patients were retrospectively analyzed. The expression of MIB-1 and MCM7 was
determined as proliferative indices (PI-percentage of positive immunoreactive
cells among 1000 tumor cells) in tissue microarrays. MCM7 PI revealed
significantly higher indices in recurrent meningiomas compared with non-recurrent
meningiomas (p = 0.020), while mitotic index and MIB-1 PI did not reach
statistical significance (p >= 0.547). The optimal cutoff values for recurrence
prediction were 3% for MIB-1 PI and 8% for MCM7 PI. MCM7 PI was significantly
associated with recurrence-free survival in COX multivariate survival analyses (p
= 0.005), while no association was found with mitotic index or MIB-1 (p >=
0.153). MCM7 PI allowed for the most accurate prediction of recurrence, obtaining
the highest sensitivity and the greatest area under the ROC curve. These results
proved that MCM7 PI is a better method for identifying patients with risk of
recurrence compared with the traditional methods used in the current clinical
practice. MCM7 may thus improve diagnostics, prediction of prognosis and
treatment decision making in patients suffering from meningiomas.
PMID- 27868159
TI - Are aphid parasitoids from mild winter climates losing their winter diapause?
AB - Temperature is both a selective pressure and a modulator of the diapause
expression in insects from temperate regions. Thus, with climate warming, an
alteration of the response to seasonal changes is expected, either through
genetic adaptations to novel climatic conditions or phenotypic plasticity. Since
the 1980s in western France, the winter guild of aphid parasitoids (Hymenoptera:
Braconidae) in cereal fields has been made up of two species: Aphidius
rhopalosiphi and Aphidius matricariae. The recent activity of two other species,
Aphidius avenae and Aphidius ervi, during the winter months suggests that a
modification of aphid parasitoid overwintering strategies has taken place within
the guild. In this study, we first performed a field survey in the winter of
2014/15 to assess levels of parasitoid diapause incidence in agrosystems. Then,
we compared the capacity of the four parasitoid species to enter winter diapause
under nine different photoperiods and temperature conditions in the laboratory.
As predicted, historically winter-active species (A. rhopalosiphi and A.
matricariae) never entered diapause, whereas the species more recently active
during winter (A. avenae and A. ervi) did enter diapause but at a low proportion
(maximum of 13.4 and 11.2%, respectively). These results suggest rapid shifts
over the last three decades in the overwintering strategies of aphid parasitoids
in Western France, probably due to climate warming. This implies that diapause
can be replaced by active adult overwintering, with potential consequences for
species interactions, insect community composition, ecosystem functioning, and
natural pest control.
PMID- 27868158
TI - Growth and prevalence of feeding difficulties in children with Robin sequence: a
retrospective cohort study.
AB - OBJECTIVES: In addition to breathing problems, patients with Robin sequence (RS)
often encounter feeding difficulties (FD). Data regarding the occurrence of FD
and possible influencing factors are scarce. The study aim was to elucidate these
factors to improve treatment strategies. MATERIAL AND METHODS: A retrospective
comparative cohort study was conducted, consisting of 69 infants diagnosed with
both RS and a cleft palate and 64 isolated cleft palate only (iCPO) infants. Data
regarding FD, growth, and airway intervention were collected during the first 2
years of life. A systematic review of the literature was conducted to identify
reported FD in RS patients. RESULTS: RS patients had more FD (91 %) than iCPO
patients (72 %; p = 0.004). Also, nasogastric (NG)-tube feeding was necessary
more frequently and for a longer period (both p < 0.001). Growth was lower in RS
than iCPO infants (p = 0.008) and was not affected by the kind of airway
management (conservative/surgical; p = 0.178), cleft palate grade (p = 0.308), or
associated disorders (p = 0.785). By contrast, surgical intervention subtype did
significantly affect growth. Mean reported FD for RS in the literature is 80 %
(range = 47-100 %), and 55 % (range = 11-100 %) of infants need NG-tube feeding.
CONCLUSIONS: FD is present in a large proportion of infants with RS, which
indicates the need for early recognition and proper treatment to ensure optimal
growth. Growth during the first 2 years of life is significantly lower in RS
patients than iCPO patients, which indicates the need for careful attention and
long-term follow-up. CLINICAL RELEVANCE: This study indicates the need for early
recognition and proper treatment of FD in RS to ensure optimal growth. In
addition, growth needs careful attention and long-term follow-up.
PMID- 27868160
TI - Severe changes in colon epithelium in the Mecp2-null mouse model of Rett
syndrome.
AB - BACKGROUND: Rett syndrome is best known due to its severe and devastating
symptoms in the central nervous system. It is produced by mutations affecting the
Mecp2 gene that codes for a transcription factor. Nevertheless, evidence for
MECP2 activity has been reported for tissues other than those of the central
nervous system. Patients affected by Rett presented with intestinal affections
whose origin is still not known. We have observed that the Mecp2-null mice
presented with episodes of diarrhea, and decided to study the intestinal
phenotype in these mice. METHODS: Mecp2-null mice or bearing the conditional
intestinal deletion of MECP2 were used. Morphometirc and histologic analysis of
intestine, and RT-PCR, western blot and immunodetection were perfomed on
intestinal samples of the animals. Electrical parameters of the intestine were
determined by Ussing chamber experiments in freshly isolated colon samples.
RESULTS: First we determined that MECP2 protein is mainly expressed in cells of
the lower part of the colonic crypts and not in the small intestine. The colon of
the Mecp2-null mice was shorter than that of the wild-type. Histological analysis
showed that epithelial cells of the surface have abnormal localization of key
membrane proteins like ClC-2 and NHE-3 that participate in the electroneutral
NaCl absorption; nevertheless, electrogenic secretion and absorption remain
unaltered. We also detected an increase in a proliferation marker in the crypts
of the colon samples of the Mecp2-null mice, but the specific silencing of Mecp2
from intestinal epithelium was not able to recapitulate the intestinal phenotype
of the Mecp2-null mice. CONCLUSIONS: In summary, we showed that the colon is
severely affected by Mecp2 silencing in mice. Changes in colon length and
epithelial histology are similar to those observed in colitis. Changes in the
localization of proteins that participate in fluid absorption can explain watery
stools, but the exclusive deletion of Mecp2 from the intestine did not reproduce
colon changes observed in the Mecp2-null mice, indicating the participation of
other cells in this phenotype and the complex interaction between different cell
types in this disease.
PMID- 27868164
TI - Efficient production of recombinant glycoprotein D of herpes simplex virus type 2
in Pichia pastoris and its protective efficacy against viral challenge in mice.
AB - Herpes simplex virus type 2 (HSV-2) infection is the leading cause of genital
ulcer disease and a significant public health concern. However, there are no
approved vaccines available to prevent HSV-2 infection. The glycoprotein D (gD)
of HSV-2 is the most important candidate antigen for vaccine development. In this
study, a truncated form of gD (codons 1-340, gD1-340) was produced as a secretory
protein in the methylotrophic yeast Pichia pastoris. The recombinant gD1-340 with
a His6 tag was purified to homogeneity by one-step affinity chromatography. Mice
immunized with the recombinant gD1-340 developed high levels of antigen-specific
antibody responses with HSV-2 neutralizing activity. Immunization with the
recombinant gD1-340 conferred significant protection against lethal HSV-2
infection in mice. Moreover, measurement of the secretion of gD1-340-specific
cytokines demonstrated that the recombinant gD1-340 induced mixed Th1/Th2
cellular immune responses. These findings indicated that P. pastoris-derived gD1
340 represents a promising HSV-2 vaccine candidate with strong immunogenicity and
prophylactic efficacy.
PMID- 27868162
TI - The choice of a constitutive formulation for modeling limb flexion-induced
deformations and stresses in the human femoropopliteal arteries of different
ages.
AB - Open and endovascular treatments for peripheral arterial disease are notorious
for high failure rates. Severe mechanical deformations experienced by the
femoropopliteal artery (FPA) during limb flexion and interactions between the
artery and repair materials play important roles and may contribute to poor
clinical outcomes. Computational modeling can help optimize FPA repair, but these
simulations heavily depend on the choice of constitutive model describing the
arterial behavior. In this study finite element model of the FPA in the standing
(straight) and gardening (acutely bent) postures was built using computed
tomography data, longitudinal pre-stretch and biaxially determined mechanical
properties. Springs and dashpots were used to represent surrounding tissue forces
associated with limb flexion-induced deformations. These forces were then used
with age-specific longitudinal pre-stretch and mechanical properties to obtain
deformed FPA configurations for seven age groups. Four commonly used invariant
based constitutive models were compared to determine the accuracy of capturing
deformations and stresses in each age group. The four-fiber FPA model most
accurately portrayed arterial behavior in all ages, but in subjects younger than
40 years, the performance of all constitutive formulations was similar. In older
subjects, Demiray (Delfino) and classic two-fiber Holzapfel-Gasser-Ogden
formulations were better than the Neo-Hookean model for predicting deformations
due to limb flexion, but both significantly overestimated principal stresses
compared to the FPA or Neo-Hookean models.
PMID- 27868165
TI - Effects of deletion of the ac109 gene of Autographa californica
nucleopolyhedrovirus on interactions with mammalian cells.
AB - Baculoviruses are able to enter into mammalian cells, where they can express a
transgene that is placed under an appropriate promoter, without producing
infectious progeny. ORF109 encodes an essential baculovirus protein that
participates in the interaction of the baculovirus with mammalian cells. To date,
the mechanisms underlying this interaction are not yet known. We demonstrated
that although a Ac109 knock out virus maintained its ability to enter into BHK-21
cells, there was a marked reduction in the expression efficiency of the nuclear
transgene. Moreover, the amount of free cytoplasmic viral DNA, which was detected
by transcription of a reporter gene, was severely diminished. These results
suggest Ac109 could be involved in maintaining the integrity of the viral nucleic
acid.
PMID- 27868163
TI - Parents Perceive Improvements in Socio-emotional Functioning in Adolescents with
ASD Following Social Skills Treatment.
AB - The current study examined the effectiveness of a social skills treatment (PEERS)
for improving socio-emotional competencies in a sample of high-functioning
adolescents with ASD. Neuropsychological and self- and parent-report measures
assessing social, emotional, and behavioral functioning were administered before
and after treatment. Following social skills treatment, adolescents with ASD
exhibited decreased aggression, anxiety, and withdrawal, as well as improvements
in emotional responsiveness, adaptability, leadership, and participation in
activities of daily living, though no change was found in affect recognition
abilities. These findings suggest that PEERS social skills treatment improves
particular aspects of emotional, behavioral, and social functioning that may be
necessary for developing and maintaining quality peer relationships and
remediating social isolation in adolescents with ASD.
PMID- 27868166
TI - The Use of E-cigarettes Among School-Going Adolescents in a Predominantly Rural
Environment of Central Appalachia.
AB - E-cigarette use among youth in the United States (U.S.) continues to increase. In
the rural Northeast Tennessee, where prevalence of tobacco use is higher than
national and state averages, there is no literature on e-cigarette use to inform
policies and programs. This study aimed to estimate the prevalence of e-cigarette
use and examine association of e-cigarette use with two tobacco products among
school-going adolescents. Data from 894 participants of a school-based survey
conducted in 2016 in Northeast Tennessee were analyzed. Descriptive statistics
and logistic regression analyses were conducted to estimate the prevalence and
delineate the associations between e-cigarette use and other tobacco products.
Approximately 11% of the participants currently used e-cigarettes, and 35% had
ever used e-cigarettes. About 6% of the participants were current users of both e
cigarettes and cigarettes; 4% were current users of e-cigarettes and smokeless
tobacco; 3% were current users of all three products, and 15% had ever tried all
three products. More than one-half of current e-cigarette users (52%) also smoked
cigarettes. Adjusting for covariates, current e-cigarette use was positively
associated with cigarette smoking [Odds Ratio (OR) 27.32, 95% confidence interval
(CI) 14.4-51.7] and smokeless tobacco use [OR 7.92, 95% CI 3.8-16.5]. E-cigarette
use was more common among the high school students than cigarette and smokeless
tobacco use, and a significant proportion of users either smoked cigarettes, used
smokeless tobacco, or both. Thus, there is a critical need for preventive
policies and programs to address dual and poly-use of these products.
PMID- 27868161
TI - Neutrophil plasticity enables the development of pathological microenvironments:
implications for cystic fibrosis airway disease.
AB - INTRODUCTION: The pathological course of several chronic inflammatory diseases,
including cystic fibrosis, chronic obstructive pulmonary disease, and rheumatoid
arthritis, features an aberrant innate immune response dominated by neutrophils.
In cystic fibrosis, neutrophil burden and activity of neutrophil elastase in the
extracellular fluid have been identified as strong predictors of lung disease
severity. REVIEW: Although neutrophils are generally considered to be rigid, pre
programmed effector leukocytes, recent studies suggest extensive plasticity in
how neutrophil functions unfold upon recruitment to peripheral tissues, and how
they choose their ultimate fate. Indeed, upon migration to cystic fibrosis
airways, neutrophils display dysregulated lifespan, metabolic activation, and
altered effector and regulatory functions, consistent with profound adaptation
and phenotypic reprogramming. Licensed by signals present in cystic fibrosis
airway microenvironment to survive and develop these novel functions, neutrophils
orchestrate, in partnership with the epithelium and with the resident microbiota,
the evolution of a pathological microenvironment. This microenvironment is
defined by altered proteolytic, redox, and metabolic balance and the presence of
stable luminal structures in which neutrophils and microbes coexist. CONCLUSIONS:
The elucidation of molecular mechanisms driving neutrophil plasticity in vivo
will open new treatment opportunities designed to modulate, rather than block,
the crucial adaptive functions fulfilled by neutrophils. This review aims to
outline emerging mechanisms of neutrophil plasticity and their participation in
the building of pathological microenvironments in the context of cystic fibrosis
and other diseases with similar features.
PMID- 27868167
TI - The role of Ugandan District Hospital orthopedic units in the care of vulnerable
road users: a cross-sectional study.
AB - BACKGROUND: Musculoskeletal injuries are a common cause of morbidity after road
traffic injury (RTI) in motorizing countries. District hospitals provide front
line orthopedic care in Uganda and other sub-Saharan African nations. Improving
care at the district hospital level is an important component of the World Health
Organization's strategy for surgical and trauma systems strengthening, but the
data necessary to inform RTI safety and care initiatives has previously been
insufficient at the district hospital level. The objective of this study was to
provide data on the patient population and patterns of musculoskeletal injury
caused by RTI at Ugandan district hospitals. METHODS: In this cross-sectional
study, all patients with musculoskeletal injuries identified on x-ray presenting
to three Ugandan district hospitals from October 2013 to January 2014 were
interviewed and examined to obtain data on patient demographics and injury
context by road user category. This manuscript is a sub-group analysis of RTI
victims from a broader dataset of all musculoskeletal injuries. RESULTS:
Vulnerable road users comprised 92 % of musculoskeletal RTI patients, with 49 %
(95 % CI 41-57 %) pedestrians, 41 % (95 % CI 33-49 %) motorcyclists, and 2 % (95
% CI 0-4 %) cyclists. Commonly injured subgroups included student pedestrians (33
% (95 % CI 22-44 %) of pedestrians) and motorcyclists with less than a post
secondary education (74 % (95 % CI 63-85 %) of motorcyclists). The morning hours
were the most common time of injury for all RTI patients (37 %%; 95 % CI 30-44 %)
and motorcyclists (46 %; 95 % CI 34-58 %), while pedestrians were most commonly
injured in the evening (32 %; 95 % CI 21-43 %). CONCLUSIONS: By demonstrating
commonly injured demographic groups and high frequency times of day for injury,
this surveillance study of musculoskeletal RTI suggests targeted avenues for
future road safety research in the districts of Uganda. Compared with previous
studies from the capital of Uganda, these results suggest that Ugandan district
hospitals care for a disproportionate share of vulnerable road users, a
discrepancy which may pertain to other sub-Saharan African nations, as well.
Strengthening district hospital orthopedic care should be considered a priority
of strategies aimed at improving outcomes for these vulnerable groups.
PMID- 27868168
TI - Use, perceptions, and benefits of automotive technologies among aging drivers.
AB - Advanced in-vehicle technologies have been proposed as a potential way to keep
older adults driving for as long as they can safely do so, by taking into account
the common declines in functional abilities experienced by older adults. The
purpose of this report was to synthesize the knowledge about older drivers and
advanced in-vehicle technologies, focusing on three areas: use (how older drivers
use these technologies), perception (what they think about the technologies), and
outcomes (the safety and/or comfort benefits of the technologies). Twelve
technologies were selected for review and grouped into three categories: crash
avoidance systems (lane departure warning, curve speed warning, forward collision
warning, blind spot warning, parking assistance); in-vehicle information systems
(navigation assistance, intelligent speed adaptation); and other systems
(adaptive cruise control, automatic crash notification, night vision enhancement,
adaptive headlight, voice activated control). A comprehensive and systematic
search was conducted for each technology to collect related publications. 271
articles were included into the final review. Research findings for each of the
12 technologies are synthesized in relation to how older adults use and think
about the technologies as well as potential benefits. These results are presented
separately for each technology. Can advanced in-vehicle technologies help extend
the period over which an older adult can drive safely? This report answers this
question with an optimistic "yes." Some of the technologies reviewed in this
report have been shown to help older drivers avoid crashes, improve the ease and
comfort of driving, and travel to places and at times that they might normally
avoid.
PMID- 27868169
TI - Articulatin-D induces apoptosis via activation of caspase-8 in acute T-cell
leukemia cell line.
AB - Leukemia is among the most aggressive and prevalent human malignant carcinoma.
Chemotherapy is the preferred therapeutic strategy; however, recurrence of cancer
and non-selective cytotoxicity are the major concerns. Unlike synthetic
chemotherapeutic agents, mistletoe ribosome-inactivating protein (RIP) displays
anti-tumor function in various types of cancers. However, its effect on leukemia
cells is little explored. In this study, we assessed the impact of Viscum
articulatum RIP (Articulatin-D) on the survival of acute T-cell leukemia cells
and the involved molecular and cellular mechanisms. Cell proliferation assay
showed that Articulatin-D suppressed the viability of leukemia cells selectively.
We further confirmed that the elevation of mitochondrial membrane potential and
exposure of phosphatidylserine are the early events of apoptosis induction in
Articulatin-D-treated Jurkat cells. Subsequently, we found that Articulatin-D
treatment induces apoptosis in Jurkat cells in a time- and concentration
dependent manner. In conclusion, we provided evidence that Articulatin-D
efficiently activates caspase-8 involved in extrinsic pathway of apoptosis
induction, which ultimately results in caspase-3-dependent DNA fragmentation of
Jurkat cells. Further evaluation of Articulatin-D in cell culture and animal
models may provide novel information on selective cytotoxicity to acute T-cell
leukemia and its involvement in targeting tumor cell survival pathways.
PMID- 27868171
TI - [Confusion about confusion].
PMID- 27868172
TI - [The validity of acute assessments of psychiatric conditions made by psychiatric
emergency staff].
AB - BACKGROUND: Earlier research carried out by the outreach psychiatric emergency
team in the region of Uden / Veghel in the Netherlands indicated that the
preliminary diagnosis of patients in crisis was associated with aggressive
behaviour during the later contact between the patient and the staff of the
psychiatric emergency team. An accurate diagnosis by the staff of the psychiatric
emergency team is important because it should guide the patient towards the right
type of treatment by the most appropriate team. The staff of the psychiatric
emergency service who make the initial diagnosis are pressed for time and have
only limited information.
AIM: To find out what differences there are
between the crisis team's initial diagnosis of the psychiatric condition of the
patient in crisis and the later diagnosis made after more extensive psychiatric
tests are carried out following the regular intake procedure.
METHOD: Our
study was based om 129 patients who, in the period of 2009 and 2010, were not
known to mental health service and had not had any previous contact with the
psychiatric emergency service. The first assessment of a patient's psychiatric
condition made by a member of the psychiatric emergency service was compared with
the later diagnosis made by a professional psychiatrist after the regular intake
procedure. We then investigated the degree of agreement between the two diagnoses
using Cohen's kappa so that we could test the validity of the initial screening
of the patient's condition.
RESULTS: We found that the staff of the
psychiatric emergency team was able to achieve a result that was better than
could be expected on the basis of chance. The Cohen's kappas varied between 0.81
for psychotic disorders to 0.31 for borderline personality disorders. Borderline
personality disorders, however, tended to be overestimated by the psychiatric
emergency staff.
CONCLUSION: Although there was only limited agreement
regarding various conditions, it is encouraging that the psychiatric emergency
staff were able to assess psychotic disorders accurately on the basis of the
initial information they had received. This result is important because in other
studies psychotic symptoms were found to be associated with a higher likelihood
of aggression during the later outreach consultation.
PMID- 27868173
TI - [Validation of tools for assessing the intelligence and the functional impairment
of Papiamento speaking suspects].
AB - BACKGROUND: Although Antillean suspects in the Netherlands are often diagnosed as
being intellectually impaired, there are no validated tests available Papiamento
(the native language) for assessing intelligence or functional impairment. AIM:
To validate the use of the GIT 2 (Groninger Intelligentie Test 2) and the Barkley
Functioning Impairment Scale (BFIS) for Antillean defendants detained by the
Judicial Service of the Caribbean Netherlands in Bonaire. METHOD: With the
approval of the publishers, the GIT 2 and the BFIS were translated in Papiamento
by two independent experts. The two translations were then re-translated into
Dutch by two other independent experts. Defendants with both parents born in
Bonaire who had been detained for at least 18 days by the Judicial Detention
Centre of the Caribbean Netherlands (JICN) in Bonaire during the period 1 January
2013 until 1 July 2014 were examined with both tests. RESULTS: The Papiamento GIT
2 and BFIS tests were taken by 23 Bonairian defendants who had been detained in
the JICN in Bonaire. The internal consistency and inter-item correlation of the
tests were found to be satisfactory. The IQ of 95% of the participants was
reproduced as a score between 79.2 and 96.8 points. In the BFIS the question
about self-care was a particularly sensitive item. The use of drugs was
associated with increased functional impairment. CONCLUSION: This study seems to
be a promising first step towards the validation of the GIT 2 and the BFIS.
Apparently, it has now become acceptable to use written Papiamento in assessment
tools.
PMID- 27868175
TI - [The proportion of suicides committed by patients with a mental health disorder
for which treatment costs are now no longer reimbursed by health insurance].
AB - BACKGROUND: Suffering from a psychiatric disorder is a well-known risk factor for
suicide and suicidal behavior. Treatment of psychiatric disorders can reduce the
risk of suicidal behavior and suicide. In the Netherlands, however, since 2012
the treatment costs of some psychiatric disorders have no longer been covered by
health insurance.
AIM: To find out what proportion of suicides were
committed by patients with a psychiatric disorder for which treatment costs are
no longer covered by health insurance since the rules changed in 2012.
METHOD: We studied the files of 314 patients who were known to one of the large
mental health hospitals in the Netherlands and who had committed suicide between
1 January 1999 and January 2012. We were able to determine the various
psychiatric disorders from which the patients were suffering at the time they
died.
RESULTS: About 6% of the patients who committed suicide between 1999
and 2012 suffered from a psychiatric disorder for which treatment costs are now
no longer covered by health insurance.
CONCLUSION: Given the results of this
study, the increased rates of suicide in the Netherlands and the importance of
scientific research into the characteristics of suicide, we recommend that
patients with suicidal behavior are not excluded from adequate treatment in the
event they may or may not have met the criteria for a DSM-IV classification.
PMID- 27868174
TI - [Acromegaly: recognition of a rare disease in psychiatric practice].
AB - BACKGROUND: Acromegaly is a rare disease which is caused by a tumour in the
anterior lobe of the pituitary gland. The tumour stimulates excessive production
of the growth hormone. As a result, all the organs and tissues in the body are
induced to grow. This growth is responsible for a wide range of symptoms, some of
which can be neuro-psychiatric.
AIM: To promote the early detection of
acromegaly so that treatment can be started as soon as possible and further
damage can be prevented.
METHOD: We searched PubMed for articles relating to
quality of life and cognitive, psychological and psychiatric symptoms and
personality changes associated with acromegaly.
RESULTS: We describe the
pathophysiology and epidemiology of acromegaly. Then we present the
characteristic, external changes, neuro-cognitive complications, psychiatric
disorders, personality changes and relevant comorbid somatic symptoms. Acromegaly
is a disease in which patients, long before being diagnosed, present with
cognitive and affective disorders. Before patients are diagnosed, they have often
visited several consultants in connection with their nonspecific symptoms.
CONCLUSION: We believe that in everyday practice patients with acromegaly are
frequently misdiagnosed. If patients present with extensive somatic co-morbidity
and treatment-resistant depression and particularly if these symptoms are
combined with the typical external features of acromegaly, then acromegaly can be
suspected and further investigation should proceed without delay.
PMID- 27868176
TI - [Mindfulness training for psychiatrists in residency: a pilot study].
AB - BACKGROUND: Medical students and psychiatrists in residency run a high risk for
burnout. Furthermore, while at medical school, students seem to experience a
reduction in empathy; this seems to be linked to a high level of stress.
Interventions based on mindfulness training appear to reduce stress and increase
empathy in medical students. Trainees in psychiatric residency might also benefit
from mindfulness training.
AIM: To assess the effects that a mindfulness
course has on perceived stress and empathy in psychiatrists in residency.
METHOD: In this pilot study 13 trainees in psychiatric residency participated in
an eight-week course in mindfulness. Before and after the training, 11 of the
participants completed questionnaires relating to their empathy, perceived stress
and mindfulness.
RESULTS: Participants reported experiencing considerably
more empathy after their course than before it. Perceived stress also diminished,
but not significantly.
CONCLUSION: The results support our suggestion that
mindfulness training can be a valuable part of the curriculum for trainees in
psychiatric residency.
PMID- 27868170
TI - Protein kinases: mechanisms and downstream targets in inflammation-mediated
obesity and insulin resistance.
AB - Obesity-induced low-grade inflammation (metaflammation) impairs insulin receptor
signaling. This has been implicated in the development of insulin resistance.
Insulin signaling in the target tissues is mediated by stress kinases such as p38
mitogen-activated protein kinase, c-Jun NH2-terminal kinase, inhibitor of NF-kB
kinase complex beta (IKKbeta), AMP-activated protein kinase, protein kinase C,
Rho-associated coiled-coil containing protein kinase, and RNA-activated protein
kinase. Most of these kinases phosphorylate several key regulators in glucose
homeostasis. The phosphorylation of serine residues in the insulin receptor and
IRS-1 molecule results in diminished enzymatic activity in the
phosphatidylinositol 3-kinase (PI3K)/Akt pathway. This has been one of the key
mechanisms observed in the tissues that are implicated in insulin resistance
especially in type 2 diabetes mellitus (T2-DM). Identifying the specific protein
kinases involved in obesity-induced chronic inflammation may help in developing
the targeted drug therapies to minimize the insulin resistance. This review is
focused on the protein kinases involved in the inflammatory cascade and molecular
mechanisms and their downstream targets with special reference to obesity-induced
T2-DM.
PMID- 27868177
TI - [Early use of memantine in the treatment of Lewy body dementia].
AB - Early use of memantine in the treatment of Lewy body dementia (LBD) is a common
type of major neurocognitive disorder (NCD), which is difficult to treat. The use
of memantine can be an early treatment strategy. This is illustrated by a case of
an 80-year-old patient whose cognition, motor skills and perception disorders
reacted favourably to treatment with memantine. The beneficial effect, however,
was soon lost after the treatment was discontinued, but reappeared after the
medication was reintroduced.
PMID- 27868179
TI - [Reaction on 'Mania induced by antibiotic therapy'].
PMID- 27868178
TI - [Right to ROM? Right to privacy: ROM data are medical data].
PMID- 27868180
TI - [Reaction on 'Patients without dsm-iv-disorders and/or subclinical symptoms in
generalistic and specialised mental health care'].
PMID- 27868181
TI - Correlation between the high expression levels of cancer-germline genes with
clinical characteristics in esophageal squamous cell carcinoma.
AB - Antigens encoded by cancer-germline genes are attractive targets for cancer
immunotherapy. In this study, we aimed to evaluate the mRNA expression of cancer
germline genes, expression of the encoded proteins in patients with esophageal
squamous cell carcinoma (ESCC) and their correlations with clinical
characteristics. In addition, the effects of downregulation cancer-germline genes
on ESCC cells were assessed in vitro. Our results showed that cancer-germline
genes were frequently expressed in ESCC samples. The positive rates of in ESCC
samples were: 87% of MAGE-A3, 60% of MAGE-A4, 65% of MAGE-C2, and 20% of NY-ESO-1
at mRNA level. MAGE-A3 expression was associated with age, lymph node metastasis
and tumor stage (all P<0.05), while MAGE-C2 expression was only associated with
tumor stage (P<0.05). Furthermore, the MAGE-A3 expressing patients had a poorer
overall survival (P<0.05). Multivariate analysis identified MAGE-A3 as an
independent poor prognostic marker in ESCC. In vitro assay, ESCC cell lines
treated with specific siRNAs to down-regulate MAGE-A3 and MAGE-C2 resulted in
decreased colony-formation and migration ability (P<0.05). Epithelial marker E
cadherin was up-regulated in siRNA-MAGE-A3/C2 cells compared to controls, whereas
mesenchymal markers Vimentin, N-cadherin and Slug were downregulated (all
P<0.05), suggesting a role for MAGE-A3/C2 in ESCC metastasis through inducing
epithelial-mesenchymal transition. The present study revealed that cancer
germline genes and their encoded proteins were frequently expressed in ESCC tumor
samples and were related to poor prognosis. Thus, cancer-germline genes may serve
as useful biomarkers and potential targets for ESCC patients.
PMID- 27868182
TI - Attachment and Temperament in the Early Life Course: A Meta-Analytic Review.
AB - This meta-analytic review examines the association between early attachment
(assessed at 1-5 years) and child temperament (assessed at birth-12 years), and
compares the strength of this association with recently documented meta-analytic
associations between early attachment and social competence, externalizing
behavior, and internalizing symptoms. Based on 109 independent samples (N =
11,440) of diverse socioeconomic and ethnic backgrounds, temperament was weakly
associated with attachment (in)security (d = .14, CI [0.08, 0.19]) but modestly
associated with resistant attachment (d = .30, CI [0.21, 0.40]). Temperament was
not significantly associated with avoidant (d = .10, CI [-0.02, 0.19]) or
disorganized (d = .11, CI [-0.03, 0.25]) attachment. Across developmental
domains, early attachment security was more strongly associated with social
competence and externalizing behaviors than internalizing symptoms and
temperament.
PMID- 27868183
TI - Hydroxychloroquine prescribing and monitoring practices among Irish
dermatologists and rheumatologists.
PMID- 27868184
TI - The use of intravenous immunoglobulins in Stevens-Johnson syndrome and toxic
epidermal necrolysis: caution needed.
PMID- 27868185
TI - Muir-Torre syndrome: multiple sebaceous neoplasms and visceral malignancy
manifesting after cardiac transplantation and iatrogenic immunosuppression.
PMID- 27868186
TI - Recurrence of Stevens-Johnson syndrome and toxic epidermal necrolysis in adults.
PMID- 27868187
TI - Basic aspects of the pathogenesis and prevention of non-melanoma skin cancer in
solid organ transplant recipients: a review.
AB - INTRODUCTION: As numbers of transplant recipients and survival rates increase,
the vulnerability of this population to several malignancies also rises. Non
melanoma skin cancer (NMSC) carries the highest rates of morbidity and mortality
in this population. To avoid these malignancies, it is necessary to identify
particular risk factors in transplant recipients and to follow preventive
protocols. METHODS: The MEDLINE and EMBASE databases were reviewed using as
keywords the medical subject headings (MeSH) "transplantation", "skin neoplasm"
and "prevention". The search was limited to clinical trials, randomized clinical
trials and case-control studies conducted during the previous 20 years. RESULTS:
The most important risk factors for the development of NMSCs in the transplant
recipient population are cumulative ultraviolet radiation exposure, use of
immunosuppressive agents (especially azathioprine as a photosensitizing agent)
and infections by human papillomaviruses. The use of sun protection and retinoids
were identified as possible protective factors. Other potential therapies, such
as antioxidants, difluormethylornithine and cyclooxygenase-2 inhibitors, require
further study. CONCLUSIONS: Patient risk factors for the development of NMSC
should be reviewed during the transplant consultation. Individuals found to be at
increased risk should undergo closer follow-up and preventive care counseling.
This article proposes an algorithm for the prevention of NMSC.
PMID- 27868188
TI - Patency of paediatric endotracheal tubes for airway instrumentation.
AB - BACKGROUND: Airway exchange catheters (AEC) and fiberoptic bronchoscopes (FOB)
for tracheal intubation are selected so that there is only a minimal gap between
their outer and inner diameter of endotracheal tube (ETT) to minimize the risk of
impingement during airway instrumentation. This study aimed to test the ease of
passage of FOBs and AECs through paediatric ETT of different sizes and from
different manufacturers when using current recommendations for dimensional
equipment compatibility taken from text books and manufacturers information.
METHODS: Twelve different brands of cuffed and uncuffed ETT sized ID 2.5 to 5.0
mm were evaluated in an in vitro set-up. Ease of device passage as well as the
locations of an impaired passage within the ETT were assessed. Redundant samples
were used for same sized ETT and all measurements were triple-checked in
randomized order. RESULTS: In total, 51 paired samples of uncuffed as well as
cuffed paediatric ETT were tested. There were substantial differences in the ease
of ETT passage concordantly for FOBs and AECs among different manufacturers, but
also among the product lines from the same manufacturer for a given ID size.
Restriction to passage most frequently was found near the endotracheal tube tip
or as a gradually increasing resistance along the ETT shaft. CONCLUSIONS: Current
recommendations for dimensional equipment compatibility AECs and FOBs with ETTs
do not appear to be completely accurate for all ETT brands available. We
recommend that specific equipment combinations always must be tested carefully
together before attempting to use them in a patient.
PMID- 27868189
TI - An evaluation of inpatient morbidity and critical care provision in Zambia.
AB - The aim of this study was to objectively measure demand for critical care
services in a southern African tertiary referral centre. We carried out a point
prevalence study of medical and surgical admissions over a 48-h period at the
University Teaching Hospital, Lusaka, recording the following: age; sex;
diagnosis; Human Immunodeficiency Virus (HIV) status and National Early Warning
Score. One-hundred and twenty medical and surgical admissions were studied. Fifty
four patients (45%) had objective evidence of a requirement for critical care
review and potential or probable admission to an intensive care unit, according
to the Royal College of Physicians (UK) guidelines. A greater than expected HIV
rate was also noted; 53 of 75 tested patients (71%). When applied to the
estimated 17,496 annual acute admissions, this would equate to 7873 patients
requiring critical care input annually at this hospital alone. In contrast to
this demand, we identified 109 critical care beds nationally, and only eight at
this institution.
PMID- 27868190
TI - Intensive care medicine in rural sub-Saharan Africa.
AB - We undertook an audit in a rural Ugandan hospital that describes the epidemiology
and mortality of 5147 patients admitted to the intensive care unit. The most
frequent admission diagnoses were postoperative state (including following
trauma) (2014/5147; 39.1%), medical conditions (709; 13.8%) and traumatic brain
injury (629; 12.2%). Intensive care unit mortality was 27.8%, differing between
age groups (p < 0.001). Intensive care unit mortality was highest for neonatal
tetanus (29/37; 78.4%) and lowest for foreign body aspiration (4/204; 2.0%).
Intensive care unit admission following surgery (333/1431; 23.3%), medical
conditions (327/1431; 22.9%) and traumatic brain injury (233/1431; 16.3%) caused
the highest number of deaths. Of all deaths in the hospital, (1431/11,357; 12.6%)
occurred in the intensive care unit. Although the proportion of hospitalised
patients admitted to the intensive care unit increased over time, from 0.7% in
2005/6 to 2.8% in 2013/4 (p < 0.001), overall hospital mortality decreased
(2005/6, 4.8%; 2013/14, 4.0%; p < 0.001). The proportion of intensive care
patients whose lungs were mechanically ventilated was 18.7% (961/5147). This
subgroup of patients did not change over time (2006, 16%; 2015, 18.4%; p = 0.12),
but their mortality decreased (2006, 59.5%; 2015, 44.3%; p < 0.001).
PMID- 27868191
TI - Multigenerational Head Start Participation: An Unexpected Marker of Progress.
AB - One-quarter of the Head Start population has a mother who participated in the
program as a child. This study uses experimental Head Start Impact Study (HSIS)
data on 3- and 4-year-olds (N = 2,849) to describe multigenerational Head Start
families and their program experiences. In sharp contrast to full-sample HSIS
findings, Head Start has large, positive impacts on cognitive and socioemotional
development through third grade among the children of former participant mothers,
including improved mathematics skills and reductions in withdrawn and aggressive
behavior. Evidence suggests that differences in program impacts between single-
and multigenerational Head Start families are driven largely by differences in
family resources and home learning environments.
PMID- 27868192
TI - The association between drugs and bullous pemphigoid.
PMID- 27868193
TI - No Pattern Alteration in Single Nocturnal Melatonin Secretion in Patients With
Hypnic Headache: A Case-Control Study.
AB - OBJECTIVE: To investigate headache-related serum melatonin levels and melatonin
excretion rhythmicity in patients with hypnic headache (HH). BACKGROUND: Strict
sleep dependency of headache attacks is a pathognomonic feature of HH. Changes in
melatonin levels, a marker for circadian rhythm, are assumed to play a pivotal
role in the pathophysiology of HH. METHODS: Serum melatonin levels were acquired
in nine patients with HH and nine age- and gender-matched healthy controls over a
20-hour time period (12 pm, 4 pm, 7 pm, 10 pm, time of headache, and 8 am).
RESULTS: No significant changes of melatonin levels could be detected comparing
HH patients and healthy controls. Melatonin excretion rhythmicity was not
significantly altered in patients with HH (Mean melatonin level in ng/mL +/- SD,
patients vs controls at 12 pm: 21.5 +/- 9.5 vs 13.6 +/- 6.3 [P = .077], 4 pm:
18.4 +/- 8.4 vs 14.0 +/- 4.7 [P = .222], 7 pm: 19.4 +/- 5.1 vs 15.1 +/- 4.5 [P =
.094], 10 pm: 59.5 +/- 45.0 vs 29.4 +/- 12.7 [P =.136], headache time: 96.9 +/-
68.3 vs 49.1 +/- 22.8 [P = .94], and 8 am: 31.6 +/- 18.3 vs 26.7 +/- 15.6 [P =
.489]). CONCLUSION: This study is not able to confirm a significant role of
melatonin concentration changes in the pathophysiology of HH and vetoes that
melatonin deficiency plays a major role in the pathophysiology of the disorder.
PMID- 27868194
TI - Randomized controlled trial of vitamin D supplementation in children with autism
spectrum disorder.
AB - BACKGROUND: Autism spectrum disorder (ASD) is a frequent developmental disorder
characterized by pervasive deficits in social interaction, impairment in verbal
and nonverbal communication, and stereotyped patterns of interests and
activities. It has been previously reported that there is vitamin D deficiency in
autistic children; however, there is a lack of randomized controlled trials of
vitamin D supplementation in ASD children. METHODS: This study is a double
blinded, randomized clinical trial (RCT) that was conducted on 109 children with
ASD (85 boys and 24 girls; aged 3-10 years). The aim of this study was to assess
the effects of vitamin D supplementation on the core symptoms of autism in
children. ASD patients were randomized to receive vitamin D3 or placebo for 4
months. The serum levels of 25-hydroxycholecalciferol (25 (OH)D) were measured at
the beginning and at the end of the study. The autism severity and social
maturity of the children were assessed by the Childhood Autism Rating Scale
(CARS), Aberrant Behavior Checklist (ABC), Social Responsiveness Scale (SRS), and
the Autism Treatment Evaluation Checklist (ATEC). TRIAL REGISTRATION NUMBER: UMIN
CTR Study Design: trial number: UMIN000020281. RESULTS: Supplementation of
vitamin D was well tolerated by the ASD children. The daily doses used in the
therapy group was 300 IU vitamin D3/kg/day, not to exceed 5,000 IU/day. The
autism symptoms of the children improved significantly, following 4-month vitamin
D3 supplementation, but not in the placebo group. This study demonstrates the
efficacy and tolerability of high doses of vitamin D3 in children with ASD.
CONCLUSIONS: This study is the first double-blinded RCT proving the efficacy of
vitamin D3 in ASD patients. Depending on the parameters measured in the study,
oral vitamin D supplementation may safely improve signs and symptoms of ASD and
could be recommended for children with ASD. At this stage, this study is a single
RCT with a small number of patients, and a great deal of additional wide-scale
studies are needed to critically validate the efficacy of vitamin D in ASD.
PMID- 27868195
TI - Predicting patterns of long-term adaptation and extinction with population
genetics.
AB - Population genetics struggles to model extinction; standard models track the
relative rather than absolute fitness of genotypes, while the exceptions describe
only the short-term transition from imminent doom to evolutionary rescue. But
extinction can result from failure to adapt not only to catastrophes, but also to
a backlog of environmental challenges. We model long-term adaptation to long
series of small challenges, where fitter populations reach higher population
sizes. The population's long-term fitness dynamic is well approximated by a
simple stochastic Markov chain model. Long-term persistence occurs when the rate
of adaptation exceeds the rate of environmental deterioration for some genotypes.
Long-term persistence times are consistent with typical fossil species
persistence times of several million years. Immediately preceding extinction,
fitness declines rapidly, appearing as though a catastrophe disrupted a stably
established population, even though gradual evolutionary processes are
responsible. New populations go through an establishment phase where, despite
being demographically viable, their extinction risk is elevated. Should the
population survive long enough, extinction risk later becomes constant over time.
PMID- 27868197
TI - Expression of a hepatitis B virus pre-S2 deletion mutant in the liver results in
hepatomegaly and hepatocellular carcinoma in mice.
AB - Hepatocellular carcinoma (HCC) is the most common form of liver cancer and has a
poor prognosis and a low survival rate; its incidence is on the rise. Hepatitis B
virus (HBV) infection is one of the main causes of HCC. A high prevalence of pre
S deletions of HBV surface antigen, which encompass T-cell and/or B-cell
epitopes, is found in HBV carriers; antiviral therapy and viral immune escape may
cause and select for these HBV mutants. In particular, the presence of pre-S2
deletion mutants is an important risk factor associated with cirrhosis and HCC.
We generated Alb-preDeltaS2 transgenic mice that express a naturally occurring
pre-S2 mutant protein containing a 33-nucleotide deletion (preDeltaS2); the aim
was to investigate its effect on hepatocarcinogenesis. After 30 months of follow
up, the liver pathology of the mice fell into four groups: G1, chronic
inflammation solely; G2, chronic inflammation and fibrosis; G3, inflammation,
fibrosis, and hepatomegaly accompanied by rectal prolapse (4-12%); and G4,
hepatomegaly and spontaneous HCC (12-15%). Striking degeneration of the
endoplasmic reticulum (ER) was present in the mouse livers at an early stage (4
months old). At 8 months, overt ER stress and the Atf6 pathway of the unfolded
protein response (UPR) were induced; at the same time, metabolic pathways
associated with mevalonate and cholesterol biogenesis, involving the peroxisomes
and the ER, were disturbed. At 20 months and older, the protein kinase RNA-like
endoplasmic reticulum kinase (PERK) pathway of the UPR was induced and the Hippo
transducer Yap was activated. Together, these ultrastructural aberrations and
metabolic disturbance all seem to contribute to the molecular pathogenesis and
hepatocarcinogenesis present in the Alb-preDeltaS2 mice. These findings may
contribute to the development of therapies for the liver disorders and HCC
associated with pre-S2 deletion mutations among HBV carriers. Copyright (c) 2016
Pathological Society of Great Britain and Ireland. Published by John Wiley &
Sons, Ltd.
PMID- 27868198
TI - First report of canine systemic cryptococcosis owing to Cryptococcus gattii in
Europe.
PMID- 27868196
TI - Differential regulation of blood flow-induced neovascularization and mural cell
recruitment by vascular endothelial growth factor and angiopoietin signalling.
AB - KEY POINTS: Combining nitric oxide (NO)-mediated increased blood flow with
angiopoietin-1-Tie2 receptor signalling induces arteriolargenesis - the formation
of arterioles from capillaries - in a model of physiological angiogenesis. This
NO-Tie-mediated arteriolargenesis requires endogenous vascular endothelial growth
factor (VEGF) signalling. Inhibition of VEGF signalling increases pericyte
coverage in microvessels. Together these findings indicate that generation of
functional neovasculature requires close titration of NO-Tie2 signalling and
localized VEGF induction, suggesting that the use of exogenous VEGF expression as
a therapeutic for neovascularization may not be successful. ABSTRACT: Signalling
through vascular endothelial growth factor (VEGF) receptors and the tyrosine
kinase with IgG and EGF domains-2 (Tie2) receptor by angiopoietins is required in
combination with blood flow for the formation of a functional vascular network.
We tested the hypothesis that VEGF and angiopoietin-1 (Ang1) contribute
differentially to neovascularization induced by nitric oxide (NO)-mediated
vasodilatation, by comparing the phenotype of new microvessels in the mesentery
during induction of vascular remodelling by over-expression of endothelial nitric
oxide synthase in the fat pad of the adult rat mesentery during inhibition of
angiopoietin signalling with soluble Tie2 (sTie2) and VEGF signalling with
soluble Fms-like tyrosine kinase receptor-1 (sFlt1). We found that NO-mediated
angiogenesis was blocked by inhibition of VEGF with sFlt1 (from 881 +/- 98%
increase in functional vessel area to 279 +/- 72%) and by inhibition of
angiopoietin with sTie2 (to 337 +/- 67%). Exogenous angiopoietin-1 was required
to induce arteriolargenesis (8.6 +/- 1.3% of vessels with recruitment of vascular
smooth muscle cells; VSMCs) in the presence of enhanced flow. sTie2 and sFlt1
both inhibited VSMC recruitment (both 0%), and VEGF inhibition increased pericyte
recruitment to newly formed vessels (from 27 +/- 2 to 54 +/- 3% pericyte
ensheathment). We demonstrate that a fine balance of VEGF and angiopoietin
signalling is required for the formation of a functional vascular network.
Endogenous VEGF signalling prevents excess neovessel pericyte coverage, and is
required for VSMC recruitment during increased nitric oxide-mediated
vasodilatation and angiopoietin signalling (NO-Tie-mediated arteriogenesis).
Therapeutic vascular remodelling paradigms may therefore require treatments that
modulate blood flow to utilize endogenous VEGF, in combination with exogenous
Ang1, for effective neovascularization.
PMID- 27868200
TI - Impact of Copayment Changes on Children's Albuterol Inhaler Use and Costs after
the Clean Air Act Chlorofluorocarbon Ban.
AB - OBJECTIVE: To examine changes in children's albuterol use and out-of-pocket (OOP)
costs in response to increased copayments after the Food and Drug Administration
banned inhalers with chlorofluorocarbon (CFC) propellants. SETTING: Four health
maintenance organizations (HMOs), two that increased copayments for albuterol
inhalers that went from generic CFC-containing to branded CFC-free versions, and
two that retained generic copayments for CFC-free inhalers (controls). We
included children with asthma aged 4-17 years with commercial coverage from 2007
to 2010. DESIGN: Interrupted time series with comparison series. DATA: We
obtained enrollee and plan characteristics from enrollment files, and utilization
data from pharmacy and medical claims; OOP expenditures were extracted from
pharmacy claims for two HMOs with cost data available. FINDINGS: There were no
significant differences in albuterol use between the group with increased cost
sharing and controls with respect to changes after the policy change. There was a
postpolicy increase of $6.11 OOP per month per child using albuterol among those
with increased cost-sharing versus $0.36 in controls; the difference between
groups was significant (p < .01). CONCLUSIONS: Increased copayments for brand
name CFC-free albuterol after the CFC ban did not lead to a decrease in
children's albuterol use, but it led to a modest increase in OOP costs.
PMID- 27868201
TI - Assembling activity/setting participation with disabled young people.
AB - Rehabilitation research investigating activity participation has been largely
conducted in a realist tradition that under-theorises the relationship between
persons, technologies, and socio-material places. In this Canadian study we used
a post-critical approach to explore activity/setting participation with 19 young
people aged 14 to 23 years with complex communication and/or mobility
impairments. Methods included integrated photo-elicitation, interviews, and
participant observations of community-based activities. We present our results
using the conceptual lens of assemblages to surface how different combinations of
bodies, social meanings, and technologies enabled or constrained particular
activities. Assemblages were analysed in terms of how they organised what was
possible and practical for participants and their families in different contexts.
The results illuminate how young people negotiated activity needs and desires in
particular 'spacings' each with its own material, temporal, and social
constraints and affordances. The focus on assemblages provides a dynamic analysis
of how dis/abilities are enacted in and across geotemporal spaces, and avoids a
reductive focus on evaluating the accessibility of static environmental features.
In doing so the study reveals possible 'lines of flight' for healthcare,
rehabilitation, and social care practices.
PMID- 27868202
TI - Potential risks of orthodontic therapy: a critical review and conceptual
framework.
AB - This review examines some of the potential risks of orthodontic therapy along
with their evidence base. The risks of orthodontic treatment include periodontal
damage, pain, root resorption, tooth devitalization, temporomandibular disorder,
caries, speech problems and enamel damage. These risks can be understood to arise
from a synergy between treatment and patient factors. In general terms, treatment
factors that can influence risk include appliance type, force vectors and
duration of treatment whilst relevant patient factors are both biological and
behavioural. Hence, the natural variation between orthodontic treatment plans and
patients gives rise to variations in risk. A good understanding of these risks is
required for clinicians to obtain informed consent before starting treatment as
well as to reduce the potential for harm during treatment. After considering each
of these risks, a conceptual framework is presented to help clinicians better
understand how orthodontic risks arise and may therefore be mitigated.
PMID- 27868203
TI - In response to Comparative study on the effects of EGF and bFGF on the healing of
human large traumatic perforations of the tympanic membrane.
PMID- 27868204
TI - Bioengineering pediatric scaffold-free auricular cartilaginous constructs.
AB - OBJECTIVE: The use of exogenous materials as scaffolds in cartilage tissue
engineering has limited the clinical application of resultant constructs due to
the risk of postoperative complications. In an effort to minimize such
complications, we aim to generate human, scaffold-free auricular cartilaginous
constructs. STUDY DESIGN: Laboratory study using pediatric auricular cartilage.
METHODS: Remnant, normal pediatric auricular cartilage samples that would have
otherwise been discarded were collected and digested to free cells. Harvested
cells were cultured and expanded in vitro for two passages and plated as
micromass cultures. The culture medium was replaced with a chemically defined
chondrogenic medium, and cellular monolayers surrounding micromass cultures were
continuously scraped off. Constructs were allowed to mature for a period of 8
weeks. RESULTS: Micromass constructs showed mechanical stability and structurally
resembled native auricular tissue, with a perichondrium-like layer of cells
surrounding the inner cartilaginous zone. Constructs accumulated equivalent
sulphated glycosaminoglycan and 50% of collagen content compared to native
auricular cartilage by mass, while displaying 156% more cellularity. CONCLUSIONS:
High-density micromass cultures of pediatric auricular chondrocytes can generate
stable cartilaginous constructs following prolonged chondrogenic inductions in
vitro. This technique is an essential step toward the development of three
dimensional constructs to recreate clinically applicable auricular cartilaginous
constructs. LEVEL OF EVIDENCE: NA. Laryngoscope, 127:E153-E158, 2017.
PMID- 27868205
TI - Antioxidant properties and global metabolite screening of the probiotic yeast
Saccharomyces cerevisiae var. boulardii.
AB - BACKGROUND: Saccharomyces cerevisiae var. boulardii is the only yeast species
with probiotic properties. It is considered to have therapeutic significance in
gastrointestinal disorders. In the present study, a comparative physiological
study between this yeast and Saccharomyces cerevisiae (BY4742) was performed by
evaluating two prominent traits of probiotic species, responses to different
stress conditions and antioxidant capacity. A global metabolite profile was also
developed aiming to identify which therapeutically important secondary
metabolites are produced. RESULTS: Saccharomyces cerevisiae var. boulardii showed
no significant difference in growth patterns but greater stress tolerance
compared to S. cerevisiae. It also demonstrated a six- to 10-fold greater
antioxidant potential (judged by the 1,1-diphenyl-2-picrylhydrazyl assay), with a
70-fold higher total phenolic content and a 20-fold higher total flavonoid
content in the extracellular fraction. These features were clearly differentiated
by principal component analysis and further indicated by metabolite profiling.
The extracellular fraction of the S. cerevisiae var. boulardii cultures was found
to be rich in polyphenolic metabolites: vanillic acid, cinnamic acid, phenyl
ethyl alcohol (rose oil), erythromycin, amphetamine and vitamin B6 , which
results in the antioxidant capacity of this strain. CONCLUSION: The present study
presents a new perspective for differentiating the two genetically related
strains of yeast, S. cerevisiae and S. cerevisiae var. boulardii by assessing
their metabolome fingerprints. In addition to the correlation of the phenotypic
properties with the secretory metabolites of these two yeasts, the present study
also emphasizes the potential to exploit S. cerevisiae var. boulardii in the
industrial production of these metabolites. (c) 2016 Society of Chemical
Industry.
PMID- 27868206
TI - Impact of short sleep on metabolic variables in obese children with obstructive
sleep apnea.
AB - OBJECTIVES/HYPOTHESIS: To analyze the association between sleep duration,
metabolic variables, and insulin resistance in obese children with and without
obstructive sleep apnea. The decline in sleep duration has paralleled a dramatic
increase in the prevalence of obesity and diabetes, suggesting a mechanistic
relationship. STUDY DESIGN: Retrospective, case series. METHODS: Consecutive
obese patients 3 to 12 years of age who underwent polysomnography (PSG) and a
metabolic panel and who completed a 14-item sleep questionnaire were analyzed.
All laboratory testing was conducted within 3 months of PSG. Total sleep times
were obtained from the PSG and confirmed by the questionnaire. RESULTS: A total
of 171 patients (55.0% male) were studied. All patients were obese (body mass
index [BMI] z score > 95th percentile). Patients were categorized into three
groups: short sleepers, borderline sleepers, and optimal sleepers. Eighty-six
(50.3%) patients were short sleepers, 71 (41.5%) were borderline sleepers, and 14
(8.2%) were optimal sleepers. The mean BMI z score was 3.13 +/- 1.3 in short
sleepers, 3.3 +/- 1.1 in borderline sleepers, and 3.5 +/- 1.5 in optimal sleepers
(P = .39). There was no statistical difference in high- and low-density
lipoprotein levels (P = .21 and P = .76, respectively) and total cholesterol (P =
.43) among subgroups. Triglycerides, blood glucose, insulin, and homeostasis
model assessment-insulin resistance were significantly higher in short sleepers
when compared to borderline or normal sleepers (P = .008, P < .001, P < .001, and
P < .001, respectively). CONCLUSIONS: Short sleep duration was correlated with
alterations in metabolic variables and insulin resistance in obese patients. This
raises concern for development of comorbid conditions that can persist into
adulthood. LEVEL OF EVIDENCE: 4 Laryngoscope, 127:2176-2181, 2017.
PMID- 27868208
TI - Special issue on lasers in dentistry.
PMID- 27868207
TI - Extracorporeal Clearance of Levetiracetam During Continuous Venovenous
Hemofiltration in a Critically Ill Patient and New Dosing Recommendation.
PMID- 27868209
TI - Determination of lithium and transition metals in Li1 Ni1/3 Co1/3 Mn1/3 O2 (NCM)
cathode material for lithium-ion batteries by capillary electrophoresis.
AB - In this work, we present a novel electrophoretic method that was developed for
the determination of lithium and transition metals in LiNi1/3 Co1/3 Mn1/3 O2
cathode material after microwave digestion. The cations in the digested LiNi1/3
Co1/3 Mn1/3 O2 material were separated by CE and the element content was
determined by UV/Vis detection. To characterize the precision of the
measurements, the RSDs and concentrations were calculated and compared to those
obtained with ICP-optical emission spectrometry (ICP-OES). Furthermore, a
certified reference material (BCR 176R-fly ash) was investigated for all
techniques. For active material components, the LOD and LOQ were determined. The
LODs and LOQs for the metals determined by CE were as follows: lithium (LOD/LOQ):
17.41/62.70 MUg/L, cobalt (LOD/LOQ): 348.4/1283 MUg/L, manganese (LOD/LOQ):
540.2/2095 MUg/L, and nickel (LOD/LOQ): 838.0/2982 MUg/L. Recovery rates for
lithium were in the range of 95-103%. It could be proven that with the new
technique, the results for the determination of the lithium content of active
material were comparable with those obtained by ICP-OES and ion chromatography.
Furthermore, the recovery rates of the transition metals were determined to be
between 96 and 110% by CE and ICP-OES.
PMID- 27868211
TI - Sound effects: Multimodal input helps infants find displaced objects.
AB - Before 9 months, infants use sound to retrieve a stationary object hidden by
darkness but not one hidden by occlusion, suggesting auditory input is more
salient in the absence of visual input. This article addresses how audiovisual
input affects 10-month-olds' search for displaced objects. In AB tasks, infants
who previously retrieved an object at A subsequently fail to find it after it is
displaced to B, especially following a delay between hiding and retrieval.
Experiment 1 manipulated auditory input by keeping the hidden object audible
versus silent, and visual input by presenting the delay in the light versus dark.
Infants succeeded more at B with audible than silent objects and, unexpectedly,
more after delays in the light than dark. Experiment 2 presented both the delay
and search phases in darkness. The unexpected light-dark difference disappeared.
Across experiments, the presence of auditory input helped infants find displaced
objects, whereas the absence of visual input did not. Sound might help by
strengthening object representation, reducing memory load, or focusing attention.
This work provides new evidence on when bimodal input aids object processing,
corroborates claims that audiovisual processing improves over the first year of
life, and contributes to multisensory approaches to studying cognition. Statement
of contribution What is already known on this subject Before 9 months, infants
use sound to retrieve a stationary object hidden by darkness but not one hidden
by occlusion. This suggests they find auditory input more salient in the absence
of visual input in simple search tasks. After 9 months, infants' object
processing appears more sensitive to multimodal (e.g., audiovisual) input. What
does this study add? This study tested how audiovisual input affects 10-month
olds' search for an object displaced in an AB task. Sound helped infants find
displaced objects in both the presence and absence of visual input. Object
processing becomes more sensitive to bimodal input as multisensory functions
develop across the first year.
PMID- 27868210
TI - Computing infrared spectra of proteins using the exciton model.
AB - The ability to compute from first principles the infrared spectrum of a protein
in solution phase representing a biological system would provide a useful
connection to atomistic models of protein structure and dynamics. Indeed, such
calculations are a vital complement to 2DIR experimental measurements, allowing
the observed signals to be interpreted in terms of detailed structural and
dynamical information. In this article, we have studied nine structurally and
spectroscopically well-characterized proteins, representing a range of structural
types. We have simulated the equilibrium conformational dynamics in an explicit
point charge water model. Using the resulting trajectories based on MD
simulations, we have computed the one and two dimensional infrared spectra in the
Amide I region, using an exciton approach, in which a local mode basis of
carbonyl stretches is considered. The role of solvent in shifting the Amide I
band (by 30 to 50 cm-1 ) is clearly evident. Similarly, the conformational
dynamics contribute to the broadening of peaks in the spectrum. The inhomogeneous
broadening in both the 1D and 2D spectra reflects the significant conformational
diversity observed in the simulations. Through the computed 2D cross-peak
spectra, we show how different pulse schemes can provide additional information
on the coupled vibrations. (c) 2016 The Authors. Journal of Computational
Chemistry Published by Wiley Periodicals, Inc.
PMID- 27868213
TI - Characteristics and Outcomes of Concurrently Diagnosed New Rapid Atrial
Fibrillation or Flutter and New Reduced Ejection Fraction.
AB - BACKGROUND: Characteristics and outcomes of concurrently diagnosed new rapid
atrial fibrillation (AF) or atrial flutter (AFL) and new heart failure with
reduced left ventricular ejection fraction (LVEF) are not well described.
METHODS: A retrospective cohort study of subjects referred for expedited
transesophageal echocardiography-guided rhythm-control strategies for concurrent
new rapid AF/AFL and new LVEF <= 40% diagnosed during the same admission was
analyzed. RESULTS: Twenty-five subjects (median age 57 years; 96% male; 96%
Caucasian; median CHA2 DS2 -VASc = 2) presented with new AF (n = 18) or AFL (n =
7) with rapid ventricular rate (median 135 beats/min) and new reduced LVEF
(median 27%; range, 10-37.5%). Seven (28%) subjects had left atrial appendage
thrombi (LAAT) and five (20%) subjects had heavy or binge alcohol use. Baseline
characteristics were similar between those with and without LAAT. Thirteen
subjects with AF and without LAAT underwent direct-current cardioversion (DCCV)
and 10 (77%) had AF recurrence within 90 days. Improvement of long-term LVEF to
>40% was comparable for subjects with and without initial LAAT (83% vs 94%; P =
0.46). Three of four subjects who received primary prophylaxis implantable
cardioverter-defibrillators improved their LVEF to >35% after sinus rhythm
maintenance. The median long-term follow-up time was 3.0 years. CONCLUSIONS:
Subjects with concurrently diagnosed new rapid AF/AFL and new reduced LVEF are
characterized by a high prevalence of LAAT and significant alcohol use. AF
subjects without initial LAAT who underwent DCCV had a high 90-day AF recurrence
rate. The presence of LAAT did not have a prognosticative effect on eventual LVEF
improvement, which was observed in almost all subjects.
PMID- 27868212
TI - Myelodysplastic Syndromes and Acute Myeloid Leukemia After Radiotherapy for
Prostate Cancer: A Population-Based Study.
AB - BACKGROUND: To understand the impact of radiotherapy on the development of
myelodysplastic syndromes (MDS) and acute myeloid leukemia (AML) among elderly
prostate cancer patients. METHODS: We performed a retrospective cohort study of
elderly prostate cancer patients diagnosed during 1999-2011 by using the National
Cancer Institute's Surveillance, Epidemiology and End Results-Medicare linked
database. Competing risk analyses adjusting for patient characteristics were
conducted to assess the impact of radiotherapy on the development of subsequent
MDS/AML, compared with surgery. RESULTS: Of 32,112 prostate cancer patients,
14,672 underwent radiotherapy, and 17,440 received surgery only. The median
follow-up was 4.68 years. A total of 157 (0.47%) prostate cancer patients
developed subsequent MDS or AML, and the median time to develop MDS/AML was 3.30
(range: 0.16-9.48) years. Compared with prostate cancer patients who received
surgery only, patients who underwent radiotherapy had a significantly increased
risk of developing MDS/AML (hazard ratio [HR] =1.51, 95% confidence interval
[CI]: 1.07-2.13). When radiotherapy was further categorized by modalities
(brachytherapy, conventional conformal radiotherapy, and intensity-modulated
radiotherapy [IMRT]), increased risk of second MDS/AML was only observed in the
IMRT group (HR = 1.66, 95% CI: 1.09-2.54). CONCLUSIONS: Our findings suggest that
radiotherapy for prostate cancer increases the risk of MDS/AML, and the impact
may differ by modality. Additional studies with longer follow-up are needed to
further clarify the role of radiotherapy in the development of subsequent myeloid
malignancies. A better understanding may help patients, physicians, and other
stakeholders make more informed treatment decisions. Prostate 77:437-445, 2017.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27868214
TI - Low Intratumoral Mast Cells Are Associated With a Higher Risk of Prostate Cancer
Recurrence.
AB - BACKGROUND: Mast cells are of interest in prostate cancer because they possess
both pro- and anti-tumorigenic properties and are present in the tumor
microenvironment. We studied the association of mast cell count and densities
with prostate cancer recurrence using tissue microarrays (TMAs) for 462 men who
recurred (cases) and 462 controls that were matched to the cases nested in a
cohort of radical prostatectomy patients. METHODS: Dual-immunostaining for mast
cell tryptase and epithelial cytokeratin-8 and whole slide image analysis were
used to assess total mast cell number, mast cell density (mast cell number/tissue
area), and mast cell number per epithelial or stromal area in TMA spots
containing tumor (up to 4 per man). We used conditional logistic regression to
estimate the odds ratio (OR) and 95% confidence interval of recurrence for the
mean, minimum, and maximum mast cell parameters in tumor tissue among each man's
TMA spots. RESULTS: After taking into account matching factors of age, race,
Gleason sum, and pathologic stage, higher minimum mast cell density in the tumor
(comparing highest to lowest quartiles: OR = 0.58, 95% CI 0.40-0.86; P-trend =
0.004) was associated with a lower risk of recurrence. Patterns for mast cell
number and ratio of mast cell number to epithelial or stromal area were similar
to those for mast cell density. CONCLUSIONS: Our results suggest that
intratumoral mast cells may be protective against prostate cancer recurrence and
could potentially serve as a prognostic biomarker after prostatectomy. Prostate
77: 412-424, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27868215
TI - The contribution of clinical and psychosocial factors to fatigue in 182 patients
with inflammatory bowel disease: a cross-sectional study.
AB - BACKGROUND: Fatigue is a frequently reported and predominant symptom experienced
by patients with inflammatory bowel disease (IBD) and its impact has been
associated with poorer quality of life (QoL). The complex interplay between
disease-related variables and potentially modifiable psychosocial factors in IBD
fatigue has yet to be unravelled. AIM: To evaluate the contribution of clinical,
sociodemographic and psychosocial factors to the severity and impact of IBD
fatigue and QoL. METHOD: In a cross-sectional study, 182 patients with IBD were
recruited from three tertiary referral hospitals' out-patient clinics in London.
Fatigue was assessed utilising the Inflammatory Bowel Disease-Fatigue Scale (IBD
F), the Multidimensional Fatigue Inventory (MFI); and QoL by the Inflammatory
Bowel Disease Questionnaire (IBDQ). Patients completed self-report questionnaires
evaluating emotional, cognitive and behavioural factors potentially correlated
with fatigue. Sociodemographic data were collected. Disease-related and
laboratory data were retrieved from patients' hospital electronic medical
records. RESULT: In hierarchical regression models, disease activity was the only
clinical factor consistently associated with severity and impact of fatigue and
QoL (P = 0.01). More negative fatigue perceptions were significantly associated
with greater IBD-F1 scores (P = 0.01). When controlling for clinical factors
(disease activity and anti-TNF therapy), negative perceptions of fatigue, and all
or-nothing and avoidance behaviours explained an additional 41% of the variance
in fatigue impact (IBD-F2). CONCLUSIONS: Apart from disease activity, emotional
and behavioural factors and patients' negative fatigue perceptions may be key
factors to be addressed. Further exploration of these factors in longitudinal and
intervention studies may help to develop effective models of fatigue management.
PMID- 27868217
TI - Chronic opioid use is associated with altered gut microbiota and predicts
readmissions in patients with cirrhosis.
AB - BACKGROUND: Opioid use is epidemic in cirrhosis, which could precipitate hepatic
encephalopathy (HE) potentially through gut dysbiosis and inflammation. AIM: To
define the effect of opioids on readmissions and on gut microbiota composition
and functionality. METHODS: Cohort 1 had 200 cirrhotic in-patients (with/without
opioid use) followed prospectively through the index hospitalisation and 6 months
post discharge. Readmissions (HE-related/unrelated) were compared between
patients discharged on opioids compared to the rest, including using a multi
variable analysis. Cohort 2 consisted of 72 cirrhotics on chronic opioids who
were age/model for end-stage liver disease (MELD) and prior HE-balanced with 72
cirrhotics not on opioids. Stool microbiota composition (multi-tagged
sequencing), predicted functionality (PiCRUST), endotoxemia and systemic
inflammation (IL-6, IL-17) were compared. RESULTS: Cohort 1: Chronic opioid use
was statistically similar between those admitted with/without HE, and was judged
to be an HE precipitant in <5% of cases during the index hospitalisation. Of the
144 patients alive at 6 months, 82 were readmitted. The opioid users had a
significantly higher all cause (69% vs. 48%, P = 0.008), but not HE-related
readmissions (30% vs. 41%, P = 0.30). On regression, opioid therapy and female
gender were predictive of readmission independent of MELD score and previous HE.
Cohort 2: Significant dysbiosis was noted in the opioid cohort, especially in
HE+opioid patients with lower autochthonous taxa and Bacteroidaceae relative
abundance. PiCRUST showed highest aromatic amino acid and endotoxin production in
opioid users. Opioid users also had higher endotoxemia and IL-6 but not IL-17.
CONCLUSION: Chronic opioid use in cirrhosis is associated with increased
endotoxemia, dysbiosis and all-cause readmissions.
PMID- 27868218
TI - Simultaneous determination of l-tetrahydropalmatine and its active metabolites in
rat plasma by a sensitive ultra-high-performance liquid chromatography with
tandem mass spectrometry method and its application in a pharmacokinetic study.
AB - A sensitive and reliable ultra-high-performance liquid chromatography with tandem
mass spectrometry (UHPLC-MS/MS) method was developed and validated for
simultaneous determination of l-tetrahydropalmatine (l-THP) and its active
metabolites l-isocorypalmine (l-ICP) and L-corydalmine (l-CD) in rat plasma. The
analytes were extracted by liquid-liquid extraction and separated on a Bonshell
ASB C18 column (2.1 * 100 mm; 2.7 MUm; Agela) using acetonitrile-formic acid
aqueous as mobile phase at a flow rate of 0.2 mL/min in gradient mode. The method
was validated over the concentration range of 4.00-2500 ng/mL for l-THP, 0.400
250 ng/mL for l-ICP and 1.00-625 ng/mL for l-CD. Intra- and inter-day accuracy
and precision were within the acceptable limits of <15% at all concentrations.
Correlation coefficients (r) for the calibration curves were >0.99 for all
analytes. The quantitative method was successfully applied for simultaneous
determination of l-THP and its active metabolites in a pharmacokinetic study
after oral administration with l-THP at a dose of 15 mg/kg to rats.
PMID- 27868216
TI - The Endoscopic Reference Score shows modest accuracy to predict either clinical
or histological activity in adult patients with eosinophilic oesophagitis.
AB - BACKGROUND: Conflicting results have been recently reported for the accuracy of
the Endoscopic Reference Score (EREFS), an standardised endoscopic
classification, to predict the histological activity of eosinophilic oesophagitis
(EoE). AIM: To evaluate the accuracy of the EREFS to predict either histological
or clinical activity of EoE. METHODS: Prospective multicentre study conducted in
eight Spanish centres evaluating adult EoE patients, either naive or after
treatment. Symptoms were evaluated before upper endoscopy through the Dysphagia
Symptom Score, whereas researchers scored the EREFS immediately after the
endoscopic procedure, unaware of the histological outcome. RESULTS: One hundred
and forty-five EoE patients undergoing 240 consecutive endoscopic procedures were
included. Exudates (P = 0.03), furrows (P = 0.03) and a composite score of
inflammatory signs (exudates, furrows and oedema) (P < 0.001) accurately
predicted histological activity. Exudates were the only endoscopic sign showing a
good correlation with histological outcome after therapy. Furrows and oedema
persisted in 50% and 70% of patients despite histological remission. No
endoscopic feature exceeded 70% accuracy to predict histological activity.
Likewise, no endoscopic finding could adequately predict dysphagia severity.
Crepe paper mucosa, diffuse exudates and severe rings correlated with higher
symptom scores. CONCLUSIONS: Endoscopic findings assessed by the Endoscopic
Reference Score did not correlate with histological or clinical disease activity
in adult EoE patients. Only exudates correlated with peak eosinophil count and
histological outcome, whereas furrows and oedema persisted in over half of
patients despite histological remission.
PMID- 27868219
TI - New Heart...New Rhythm.
PMID- 27868220
TI - Steady-state protein focusing in carrier ampholyte-based isoelectric focusing:
Part II-validation and case studies.
AB - In this study, we systematically investigate the validity and applicability of an
analytical model developed for carrier ampholyte-based isoelectric focusing
(IEF). Three different IEF cases are considered in order to evaluate the efficacy
of the approximate analytical results by comparison with high-resolution computer
simulations. In the first case, three proteins are separated in a narrow pH range
(6-9) by using 50 carrier ampholytes. In the second and third cases, the
separation of proteins is studied in broad pH range (3-10) IEF by using 100
carrier ampholytes. Results obtained from the approximate analytical models are
in very good agreement with the numerical results for IEF separation of cardiac
troponin I, albumin, and hemoglobin in both narrow and broad pH ranges. The
sensitivity of the analytical model is also tested for different initial mass
ratios of proteins to ampholytes. No appreciable differences are observed between
the approximate analytical and numerical results within the mass ratio range
studied. The effect of a nominal electric field and/or a nominal pH gradient on
protein focusing is also examined to demonstrate the effectiveness of the
analytical model. Our results indicate that the use of both nominal electric
field and pH gradient will result in erroneous peak concentrations for proteins.
Finally, we describe the limitations of the approximate analytical solutions.
PMID- 27868222
TI - Adaptive partitioning by local density-peaks: An efficient density-based
clustering algorithm for analyzing molecular dynamics trajectories.
AB - We present an efficient density-based adaptive-resolution clustering method APLoD
for analyzing large-scale molecular dynamics (MD) trajectories. APLoD performs
the k-nearest-neighbors search to estimate the density of MD conformations in a
local fashion, which can group MD conformations in the same high-density region
into a cluster. APLoD greatly improves the popular density peaks algorithm by
reducing the running time and the memory usage by 2-3 orders of magnitude for
systems ranging from alanine dipeptide to a 370-residue Maltose-binding protein.
In addition, we demonstrate that APLoD can produce clusters with various sizes
that are adaptive to the underlying density (i.e., larger clusters at low-density
regions, while smaller clusters at high-density regions), which is a clear
advantage over other popular clustering algorithms including k-centers and k
medoids. We anticipate that APLoD can be widely applied to split ultra-large MD
datasets containing millions of conformations for subsequent construction of
Markov State Models. (c) 2016 Wiley Periodicals, Inc.
PMID- 27868221
TI - What's new in atopic eczema? An analysis of systematic reviews published in 2014.
Part 2. Treatment and prevention.
AB - This review forms part of a series of annual updates that summarize the evidence
base for atopic eczema (AE). It provides a summary of key findings from 12
systematic reviews (SRs) that were published or indexed during 2014, and focuses
on the treatment and prevention of AE. For an update of SRs on the epidemiology,
mechanisms of disease and methodological issues, see Part 1 of this update.
Although phototherapy and various systemic medications (including ciclosporin,
azathioprine and methotrexate) are commonly used to treat AE, many of these have
not been robustly assessed in head-to-head randomized controlled trials.
Educational interventions may improve AE severity and quality of life for
children and their families. Intake of probiotics prenatally and postnatally may
help prevent AE, but there is little evidence to suggest a role in the treatment
of AE. Although no benefit was found for allergen avoidance in preventing AE, the
use of immunotherapy to treat AE-associated aeroallergen sensitivity requires
further evaluation. There is insufficient evidence for Vitamin D supplementation
for the treatment of AE This overview of reviews provides a succinct guide for
clinicians and patients wishing to remain up to date with the most recent
evidence for the treatment and prevention of AE.
PMID- 27868223
TI - Synthesis of unlabelled and stable-isotope-labelled glucuronide metabolites of
dapagliflozin and synthesis of stable-isotope-labelled dapagliflozin.
AB - Two regioisomeric glucuronide metabolites of dapagliflozin (BMS-512148) were
synthesized and used to elucidate the structures of dapagliflozin metabolites
observed in human urine samples. The structures of the synthetic metabolites were
assigned by heteronuclear multiple-bond correlation, ROESY, and total correlation
spectroscopy experiments. Analogues of these metabolites containing carbon-13 as
a stable label were also prepared for use as internal standards for the analysis
of urine samples obtained from patients participating in clinical studies.
PMID- 27868224
TI - Evaluation of levator ani muscle throughout the different stages of labor by
transperineal 3D ultrasound.
AB - OBJECTIVE: Description and assessment by 3-D transperineal ultrasound of
modifications suffered by pelvic floor muscles during the passage of the fetal
head through the birth canal during the second stage of labor, as well as the
identification of the precise moment in which levator ani muscle avulsion takes
place. MATERIALS AND METHODS: Patients included were 35 primigravidae, recruited
during the first stage of labor, with at term pregnancy (37-42 weeks), without
serious maternal-fetal pathology and cephalic presentation. A prospective
observational study of 35 primigravidae, recruited during the first stage of
labor, with at term pregnancy (37-42 weeks), with fetus in cephalic presentation
and without serious maternal-fetal pathology. Sonographic evaluation was carried
out by 3-D transperineal ultrasound during the first and second stages of labor
(with fetal head in 1st, 2nd-3rd and 4th planes of Hodge), immediately postpartum
and 6 months postpartum. Ultrasound parameters studied were antero-posterior and
transverse diameters, as well as levator hiatus area and levator ani muscle
thickness and area. RESULTS: Twenty-one patients were studied (15 spontaneous
deliveries; 6 instrumental deliveries). When measured with fetal head in the 4th
plane of Hodge, a significant increase both in the levator hiatus area (15.39 cm2
/15.68 cm2 /20.96 cm2 /42.55 cm2 /22.92 cm2 /18.18 cm2 ; P < 0.0005) and in the
levator ani muscle area (8.78 cm2 /9.18 cm2 /9.69 cm2 /15.07 cm2 /11.33 cm2
/12.36 cm2 ; P < 0.0005) was identified. Four cases of unilateral right avulsion
(two vacuum and two forceps deliveries) were identified. CONCLUSIONS: We conclude
that the phase of delivery that causes a major increase in the area of the
levator hiatus area and in the levator ani muscle area is when the fetal head
reaches the 4th plane of Hodge. Furthermore, data in our paper indicates that the
exact moment in which the avulsion of the levator ani muscle is produced is when
the bulging of the fetal head on the maternal perineum occurs.
PMID- 27868226
TI - Factors affecting patient reporting of adverse drug reactions: a systematic
review.
AB - AIM: The aim of the present study was to determine the barriers and motives
influencing consumer reporting of adverse drug reactions (ADRs). METHODS: A
systematic review, guided by the Cochrane Handbook, was conducted. Electronic
searches included MEDLINE, EMBASE, PsycINFO, CINAHL, PubMed and the Cochrane
Database of Systematic Reviews from 1964 to December 2014. Eligible studies
addressed patients' perceptions and factors influencing ADR reporting. Studies
about healthcare professional (HCP) reporting of ADRs were excluded. Studies were
appraised for quality, and results were analysed descriptively. RESULTS: Of 1435
citations identified, 21 studies were eligible. Studies were primarily conducted
in the UK, the Netherlands and Australia. The identified barriers to patient
reporting of ADRs (n = 15 studies) included poor awareness, confusion about who
should report the ADR, difficulties with reporting procedures, lack of feedback
on submitted reports, mailing costs, ADRs resolved and prior negative reporting
experiences. The identified motives for patients reporting ADRs (n = 10 studies)
were: preventing others from having similar ADRs, wanting personal feedback,
improving medication safety, informing regulatory agencies, improving HCP
practices, responding to HCPs not reporting their ADRs and having been asked to
report ADRs by HCPs. CONCLUSIONS: Most patients were not aware of reporting
systems and others were confused about reporting. Patients were mainly motivated
to make their ADRs known to prevent similar suffering in other patients. By
increasing patient familiarity and providing clear reporting processes, reporting
systems could better achieve patient reporting of ADRs.
PMID- 27868227
TI - Associated factors of behavioural problems in children at preschool age: the
Hokkaido study on environment and children's health.
AB - BACKGROUND: Finding associated factors with childhood behavioural problems as
early as preschool age is important. Studies have revealed several factors
including socioeconomic factors, which may vary among different cultural
background and population. However, investigation in general Japanese population
of preschool age has not been well demonstrated. Thus, the objective of this
study was to examine associated factors of childhood behavioural problems using
Strengths and Difficulties Questionnaire (SDQ) in a prospective birth cohort
study. METHODS: Total 3813 SDQ were distributed between October 2014 and December
2015 to the subpopulation of prospective birth cohort study, the Hokkaido Study
on Environment and Children's Health. The subpopulation consisted of participants
who had reached age 5 and were born between April 2008 and December 2010.
Baseline questionnaire filled at recruitment and birth record were used to obtain
participant information. Children with total difficulties score ? 13 were defined
as likelihood of behavioural problems. A total of 2553 children with valid
answers were included into the analysis. The response rate was 67.1%. RESULTS:
Number of children with likelihood of behavioural problems was 521 (20.4%). Boys
showed more problematic scores than girls. Multivariate analysis found that
maternal pre-pregnancy BMI ? 30 kg/m2 , primipara, maternal education lower than
high school, family income during pregnancy < 3 million yen/year and boy gender
were the factors associated with increased odds ratio of likelihood of child
behavioural problems. CONCLUSIONS: This study found that prenatal socioeconomic
factors were associated with likelihood of child behavioural problems at
preschool age in Japan.
PMID- 27868225
TI - First Language Attrition Induces Changes in Online Morphosyntactic Processing and
Re-Analysis: An ERP Study of Number Agreement in Complex Italian Sentences.
AB - First language (L1) attrition in adulthood offers new insight on neuroplasticity
and the role of language experience in shaping neurocognitive responses to
language. Attriters are multilinguals for whom advancing L2 proficiency comes at
the cost of the L1, as they experience a shift in exposure and dominance (e.g.,
due to immigration). To date, the neurocognitive mechanisms underlying L1
attrition are largely unexplored. Using event-related potentials (ERPs), we
examined L1-Italian grammatical processing in 24 attriters and 30 Italian native
controls. We assessed whether (a) attriters differed from non-attriting native
speakers in their online detection and re-analysis/repair of number agreement
violations, and whether (b) differences in processing were modulated by L1
proficiency. To test both local and non-local agreement violations, we
manipulated agreement between three inflected constituents and examined ERP
responses on two of these (subject, verb, modifier). Our findings revealed group
differences in amplitude, scalp distribution, and duration of LAN/N400 + P600
effects. We discuss these differences as reflecting influence of attriters' L2
English, as well as shallower online sentence repair processes than in non
attriting native speakers. ERP responses were also predicted by L1-Italian
proficiency scores, with smaller N400/P600 amplitudes in lower proficiency
individuals. Proficiency only modulated P600 amplitude between 650 and 900 ms,
whereas the late P600 (beyond 900 ms) depended on group membership and amount of
L1 exposure within attriters. Our study is the first to show qualitative and
quantitative differences in ERP responses in attriters compared to non-attriting
native speakers. Our results also emphasize that proficiency predicts language
processing profiles, even in native-speakers, and that the P600 should not be
considered a monolithic component.
PMID- 27868229
TI - The synthesis of a tritium, carbon-14, and stable isotope-labeled cathepsin C
inhibitors.
AB - As part of a medicinal chemistry program aimed at developing a highly potent and
selective cathepsin C inhibitor, tritium, carbon-14, and stable isotope-labeled
materials were required. The synthesis of tritium-labeled methanesulfonate 5 was
achieved via catalytic tritiolysis of a chloro precursor, albeit at a low
radiochemical purity of 67%. Tritium-labeled AZD5248 was prepared via a 3-stage
synthesis, utilizing amide-directed hydrogen isotope exchange. Carbon-14 and
stable isotope-labeled AZD5248 were successfully prepared through modifications
of the medicinal chemistry synthetic route, enabling the use of available labeled
intermediates.
PMID- 27868228
TI - Pharmacokinetic interaction between taxanes and amiodarone leading to severe
toxicity.
AB - ADVERSE EVENT: A drug interaction leading to severe skin and mucosal toxicity.
DRUGS IMPLICATED: Paclitaxel, docetaxel and amiodarone. THE PATIENT: A 77-year
old woman with a history of hypertension, hyperlipidemia, and palpitations,
managed with amiodarone, was treated for HER2-positive invasive ductal breast
cancer with paclitaxel and trastuzumab as an adjunct to surgery. EVIDENCE THAT
LINKS THE DRUG TO THE EVENT: There was a strong temporal relationship between the
taxane therapy and the development of severe skin and mucosal toxicity due to an
unexpected reduction in taxane clearance. MANAGEMENT: Initially, conversion of
paclitaxel to docetaxel, then cessation of docetaxel, symptomatic treatment,
rehydration and placement of a nasogastric tube. MECHANISM: Increased exposure to
paclitaxel and subsequently docetaxel due to interaction with amiodarone was
suspected and confirmed on pharmacokinetic sampling. Analysis of two blood
samples taken 9 and 10 days after docetaxel revealed plasma levels of 4.73 and
4.09 ng ml-1 , respectively, leading to a 79% decreased individual (Bayesian
maximum a posteriori) clearance estimate of 9.15 l h-1 , corresponding to an
estimated fivefold increase in AUC. Paclitaxel was also present in these samples
(20 and 21 days after the last administration). IMPLICATIONS FOR THERAPY:
Amiodarone inhibits cytochrome P450 (CYP) isoforms 2C8 and 3A4 as well as P
glycoprotein (P-gp) for which taxanes are substrates. However, interactions with
amiodarone are not specified in the prescribing information. Clinicians should be
aware of this interaction, particularly in an ageing population, where more
patients requiring taxanes may already be receiving amiodarone for a comorbid
cardiac condition.
PMID- 27868230
TI - No immediate effect on urodynamic parameters during transcutaneous electrical
nerve stimulation (TENS) in children with overactive bladder and daytime
incontinence-A randomized, double-blind, placebo-controlled study.
AB - AIM: To evaluate the immediate effect on natural fill urodynamic parameters and
bladder function during transcutaneous electrical nerve stimulation (TENS) in
children with overactive bladder (OAB) and daytime urinary incontinence (DUI).
MEETHODS: In this double-blind, placebo-controlled study, 24 children with severe
OAB and DUI (mean age 8.5 +/- 1.2 years) underwent 48-h natural fill urodynamics.
After 24 h of baseline investigation, the children were randomized to either
active continuous TENS (n = 12) or placebo TENS (n = 12) over the sacral S2-S3
outflow. The urodynamic recordings were analyzed manually for three different
bladder contraction patterns resulting in a void. The number of bladder
contractions not leading to a void was also calculated. Maximum voided volume
(MVV) and average voided volume (AVV) were identified for both the baseline and
the intervention day. RESULTS: We found that TENS had no immediate objective
effect on bladder capacity. The difference (before minus after treatment) in
MVV/EBC in the active TENS group = 0.03 +/- 0.23 versus placebo TENS group =
0.01 +/- 0.10 (P = 0.61). Also, there was no significant difference in the
proportion of different bladder contraction types between the two groups. TENS
did not significantly influence the number of bladder contractions not leading to
a void. Results are presented as mean +/- SD. CONCLUSION: There is no immediate
objective effect of TENS on bladder activity assessed by natural fill urodynamics
in children with OAB and DUI.
PMID- 27868232
TI - Prospective longitudinal associations between household smoke exposure in early
childhood and antisocial behavior at age 12.
AB - Young children exert little control over household tobacco smoke exposure, which
is considered a developmental neurotoxicant. Using the Quebec Longitudinal Study
birth cohort, we examine prospective associations between early childhood smoke
exposure and later antisocial behavior. Parents of 1035 children reported on the
presence of household smokers at seven follow-ups from ages 1.5 to 7.5. At age
12, children self-reported on five aspects of early antisocial dispositions.
After adjusting for confounders, every standard deviation increase in household
smoke exposure was prospectively associated with a 19% standard deviation unit
increase in conduct problems (beta=0.07; 95% confidence interval [CI] from 0.04
to 0.09), a 11% standard deviation unit increase in proactive aggression
(beta=0.04; 95% CI from 0.01 to 0.07), a 13% standard deviation unit increase in
reactive aggression (beta=0.07; 95% CI from 0.03 to 0.12), a 14% standard
deviation unit increase in school indiscipline (beta=0.13; 95% CI from 0.05 to
0.20), and a 10% standard deviation unit increase in dropout risk (beta=0.07; 95%
CI from 0.01 to 0.12). These long-term findings warrant fostering parental
awareness of developmental risks by policy-makers/health practitioners. School
curricula can equally integrate these ideas into their curriculum.
PMID- 27868233
TI - Age-related changes in dermal fiber-like structures in facial cheeks.
AB - BACKGROUND/PURPOSE: Despite recent progress in non-invasive measurement methods,
such as in vivo laser confocal microscopy (CLSM), it is difficult to
quantitatively measure age-related changes in dermal fibrous structures in the
face using these methods and qualitative characteristics. We used characteristics
extracted from the analysis of CLSM images to quantitatively investigate the
effects of aging on dermal fibrous structures in the face. METHODS: CLSM images
of dermal fibrous structures were obtained from 90 Japanese females, ranging in
age from 20 to 60 years. The feature values of CLSM images were extracted using
image analysis methods, such as short-line segment-matching processing and
spatial frequency analysis. The qualitative characteristics of the dermal fibrous
structures in the CLSM images were obtained by principal component analysis (PCA)
of these feature values. The fibrous structures were scored on the basis of
qualitative characteristics and then age-related changes in the scores among the
subjects were quantitatively evaluated. RESULTS AND CONCLUSION: The PCA results
showed that there were two characteristics in the images of fibrous structures:
clearness and directionality. The clearness of fibrous structures decreased and
directionality isotropy increased with age.
PMID- 27868231
TI - Aprepitant in pediatric patients using moderate and highly emetogenic protocols:
a systematic review and meta-analyses of randomized controlled trials.
AB - AIMS: To review the efficacy and safety of aprepitant in combination with
ondansetron and dexamethasone (triple therapy) in children and adolescents on
moderate to highly emetogenic chemotherapy. METHODS: Medline, Embase, Scielo,
Lilacs, Cochrane and congress abstracts published until September 2016 were used
as data sources. Two reviewers independently selected manuscripts and extracted
data. A third reviewer solved discrepancies in study selection and data
extraction. The primary outcome was overall complete response (no vomiting from 0
to 120 h). Secondary outcomes were: response in acute phase, delayed phase and
reported toxicities. Each study was considered a unit of analysis. Summarized
relative risks were recalculated based on reported data. All meta-analyses used a
random-effects model and heterogeneity was reported using the I2 method. RESULTS:
From 1004 studies, we screened 288 titles and abstracts and included three trials
for data extraction. The population comprised 451 patients. Most patients were
males, ranging from 6 months to 19 years of age, and weighing from 6 to 134 kg.
Bone cancer was the most incident (>=50%) neoplasm, followed by rhabdomyosarcoma
and Hodgkin's lymphoma. Triple therapy was associated with a reduced risk of
developing chemotherapy-induced vomiting (CIV) (RR = 0.48; 95% CI 0.34-0.67).
There were no differences in incidence of febrile neutropenia between groups (RR
= 1.02; 95% CI 0.66-1.58). CONCLUSIONS: Triple therapy decreased CIV risk,
without increasing the occurrence of febrile neutropenia. However, this review
could not address which subpopulations would most benefit from using this
strategy. Future studies should focus on assessing risk factors for nausea and
vomiting, as many patients did not achieve a complete antiemetic response.
PMID- 27868235
TI - Trait space of rare plants in a fire-dependent ecosystem.
AB - The causes of species rarity are of critical concern because of the high
extinction risk associated with rarity. Studies examining individual rare species
have limited generality, whereas trait-based approaches offer a means to identify
functional causes of rarity that can be applied to communities with disparate
species pools. Differences in functional traits between rare and common species
may be indicative of the functional causes of species rarity and may therefore be
useful in crafting species conservation strategies. However, there is a
conspicuous lack of studies comparing the functional traits of rare species and
co-occurring common species. We measured 18 important functional traits for 19
rare and 134 common understory plant species from North Carolina's Sandhills
region and compared their trait distributions to determine whether there are
significant functional differences that may explain species rarity. Flowering,
fire, and tissue-chemistry traits differed significantly between rare and common,
co-occurring species. Differences in specific traits suggest that fire
suppression has driven rarity in this system and that changes to the timing and
severity of prescribed fire may improve conservation success. Our method provides
a useful tool to prioritize conservation efforts in other systems based on the
likelihood that rare species are functionally capable of persisting.
PMID- 27868236
TI - National continuous surveys on internal quality control for HbA1c in 306 clinical
laboratories of China from 2012 to 2016: Continual improvement.
AB - BACKGROUND: This study aimed to evaluate whether the quality performance of
clinical laboratories in China has been greatly improved and whether Internal
Quality Control (IQC) practice of HbA1c has also been changed since National
Center for Clinical Laboratories (NCCL) of China organized laboratories to report
IQC data for HbA1c in 2012. METHODS: Internal Quality Control information of 306
External Quality Assessment (EQA) participant laboratories which kept reporting
IQC data in February from 2012 to 2016 were collected by Web-based EQA system.
Then percentages of laboratories meeting four different imprecision
specifications for current coefficient of variations (CVs) of HbA1c measurements
were calculated. Finally, we comprehensively analyzed analytical systems and IQC
practice of HbA1c measurements. RESULTS: The current CVs of HbA1c tests have
decreased significantly from 2012 to 2016. And percentages of laboratories
meeting four imprecision specifications for CVs all showed the increasing
tendency year by year. As for analytical system, 52.1% (159/306) laboratories
changed their systems with the change in principle of assay. And many
laboratories began to use cation exchange high-performance liquid chromatography
(CE-HPLC) instead of Immunoturbidimetry, because CE-HPLC owed a lower intra
laboratory CVs. The data of IQC practice, such as IQC rules and frequency, also
showed significant variability among years with overall tendency of meeting
requirements. CONCLUSION: The imprecision performance of HbA1c tests has been
improved in these 5 years with the change in IQC practice, but it is still
disappointing in China. Therefore, laboratories should actively find existing
problems and take action to promote performance of HbA1c measurements.
PMID- 27868234
TI - Efficient 31 P band inversion transfer approach for measuring creatine kinase
activity, ATP synthesis, and molecular dynamics in the human brain at 7 T.
AB - PURPOSE: To develop an efficient 31 P magnetic resonance spectroscopy (MRS)
method for measuring creatine kinase (CK) activity, adenosine triphosphate (ATP)
synthesis, and motion dynamics in the human brain at 7 Tesla (T). METHODS: Three
band inversion modules differing in center frequency were used to induce
magnetization transfer (MT) effect in three exchange pathways: (i) CK-mediated
reaction PCr -> gamma-ATP; (ii) de novo ATP synthesis Pi -> gamma-ATP; and (iii)
ATP intramolecular 31 P-31 P cross-relaxation gamma-(alpha-) <-> beta-ATP. The
resultant MT data were analyzed using a 5-pool model in the format of
magnetization matrix according to Bloch-McConnell-Solomon formalism. RESULTS:
With a repetition time (TR) of 4 s, the scan time for each module was
approximately 8 min. The rate constants were kPCr -> gammaATP 0.38 +/- 0.02 s-1 ,
kPi -> gammaATP 0.19 +/- 0.02 s-1 , and sigmagamma(alpha) <-> betaATP 0.19 +/-
0.04 s-1 , corresponding to ATP rotation correlation time tauc (0.8 +/- 0.2) .10
7 s. The T1 relaxation times were Pi 7.26 +/- 1.76 s, PCr 5.99 +/- 0.58 s, gamma
ATP 0.98 +/- 0.07 s, alpha-ATP 0.95 +/- 0.04 s, and beta-ATP 0.68 +/- 0.03 s.
CONCLUSION: Short-TR band inversion modules provide a time-efficient way of
measuring brain ATP metabolism and could be useful in studying metabolic
disorders in brain diseases. Magn Reson Med 78:1657-1666, 2017. (c) 2016
International Society for Magnetic Resonance in Medicine.
PMID- 27868237
TI - Selenium-enriched Saccharomyces cerevisiae improves growth, antioxidant status
and selenoprotein gene expression in Arbor Acres broilers.
AB - One hundred and fifty 7-day-old Arbor Acres broilers were randomly assigned into
five groups: group 1 served as a control that was fed a basal diet without
selenium (Se) supplementation; groups 2, 3 and 4 were fed the basal diet
supplemented with 0.15, 0.5 and 1.5 mg Se as Se-enriched Saccharomyces cerevisiae
(SSC) per kg of diet; and group 5 was fed the basal diet supplemented with 0.15
mg per kg of Se as sodium selenite (SS). Growth performance, glutathione
peroxidase (GPX ) and superoxide dismutase (SOD) activities, total antioxidant
capacity (T-AOC), and malondialdehyde (MDA) content in plasma and liver, and
cellular glutathione peroxidase (GPX -1) and phospholipid hydroperoxide
glutathione peroxidase (GPX -4) mRNA levels in liver were determined. Compared
with group 1, groups 2-4 exhibited higher body weights (p < 0.05), lower
feed/gain ratios, and higher GPX activities in plasma (p < 0.05) and GPX and SOD
activities and GPX -1 and GPX -4 mRNA levels in liver (p < 0.05). Compared with
group 5, group 2 exhibited higher GPX activity in plasma on day 21 (p < 0.05).
Compared with group 2 and 5, group 3 exhibited lower MDA content in plasma on day
7 (p < 0.05), higher GPX activity in plasma, SOD activity and GPX -1 mRNA levels
in liver on day 14 and 21 (p < 0.05), and higher GPX -4 mRNA levels on day 14 (p
< 0.05). Compared with group 4, group 3 exhibited lower MDA contents in plasma on
day 14 (p < 0.05) and in liver on day 21 (p < 0.05), higher T-AOC in plasma and
higher GPX -1 mRNA levels on day 14 and 21 (p < 0.05), and higher SOD activity in
plasma and higher SOD and GPX activities in liver on day 21 (p < 0.05). Thus, SSC
improves growth and antioxidant status of broilers; the short-term
bioavailability of SS was faster than that of SSC, but the long-term
bioavailability of SSC was greater than SS.
PMID- 27868238
TI - Suppression of ghost artifacts arising from long T1 species in segmented
inversion-recovery imaging.
AB - PURPOSE: We demonstrate an improved segmented inversion-recovery sequence that
suppresses ghost artifacts arising from tissues with long T1 ( > 1.5 s). THEORY
AND METHODS: Long T1 species such as pericardial fluid can create bright ghost
artifacts in segmented, inversion-recovery MRI because of oscillations in
longitudinal magnetization between segments. A single dummy acquisition at the
beginning of the sequence can reduce oscillations; however, its effectiveness in
suppressing long T1 artifacts is unknown. In this study, we systematically
evaluated several test sequences, including a prototype (saturation post-pulse
readout to eliminate spurious signal: SPPRESS) in simulations, phantoms, and
patients. RESULTS: SPPRESS reduced artifact signal 90% +/- 25% and 74% +/- 28%
compared with Control and Single-Dummy methods in phantoms. SPPRESS performed
well at 1.5 Tesla (T) and 3T, with steady-state free precession (SSFP) and fast
low-angle shot (FLASH) readout, with conventional and phase-sensitive
reconstruction, and over a range of physiologic heart rates. A review of 100
consecutive clinical cardiac MRI scans revealed large fluid collections (eg,
regions with long T1 ) in 14% of patients. In a prospectively enrolled cohort of
16 patients with visible long T1 fluids, SPPRESS appreciably reduced artifacts in
all cases compared with Control and Single-Dummy methods. CONCLUSION: We
developed and validated a new robust method, SPPRESS, for reducing artifacts due
to long T1 species across a wide range of imaging and physiologic conditions.
Magn Reson Med 78:1442-1451, 2017. (c) 2016 International Society for Magnetic
Resonance in Medicine.
PMID- 27868239
TI - Co-exposure to sunlight enhances the toxicity of naturally weathered Deepwater
Horizon oil to early lifestage red drum (Sciaenops ocellatus) and speckled
seatrout (Cynoscion nebulosus).
AB - The 2010 Deepwater Horizon oil spill resulted in the accidental release of
millions of barrels of crude oil into the Gulf of Mexico. Photo-induced toxicity
following co-exposure to ultraviolet (UV) radiation is 1 mechanism by which
polycyclic aromatic hydrocarbons (PAHs) from oil spills may exert toxicity. Red
drum and speckled seatrout are both important fishery resources in the Gulf of
Mexico. They spawn near-shore and produce positively buoyant embryos that hatch
into larvae in approximately 24 h. The goal of the present study was to determine
whether exposure to UV as natural sunlight enhances the toxicity of crude oil to
early lifestage red drum and speckled seatrout. Larval fish were exposed to
several dilutions of high-energy water-accommodated fractions (HEWAFs) from 2
different oils collected in the field under chain of custody during the 2010
spill and 3 gradations of natural sunlight in a factorial design. Co-exposure to
natural sunlight and oil significantly reduced larval survival compared with
exposure to oil alone. Although both species were sensitive at PAH concentrations
reported during the Deepwater Horizon spill, speckled seatrout demonstrated a
greater sensitivity to photo-induced toxicity than red drum. These data
demonstrate that even advanced weathering of slicks does not ameliorate the
potential for photo-induced toxicity of oil to these species. Environ Toxicol
Chem 2017;36:780-785. (c) 2016 SETAC.
PMID- 27868240
TI - Omalizumab use during pregnancy for chronic spontaneous urticaria (CSU): report
of two cases.
PMID- 27868241
TI - High-intensity focused ultrasound treatment for skin: ex vivo evaluation.
AB - BACKGROUND/PURPOSE: High-intensity focused ultrasound (HIFU) has been used for
skin tightening. However, there is a rising concern of irreversible adverse
effects. Our aim was to evaluate the depth of thermal injury zone after HIFU
energy passes through different condition. MATERIALS AND METHODS: To analyze the
consistency of the HIFU device, phantom tests were performed. Simulations were
performed on ex vivo porcine tissues to estimate the area of the thermal
coagulation point (TCP) according to the applied energy and skin condition. The
experiment was designed in three orientations: normal direction (from epidermis
to fascia), reverse direction (from fascia to epidermis), and normal direction
without epidermis. RESULTS: The TCP was larger and wider depending on the applied
fluence and handpieces (HPs). When we measured TCP in different directions, the
measured area in the normal direction was more superficially located than that in
the reverse direction. The depth of the TCP in the porcine skin without epidermis
was detected at 130% deeper than in skin with an intact epidermis. CONCLUSION:
The affected area by HIFU is dependent on the skin condition and the
characteristics of the HP and applied fluence. Considerations of these factors
may be the key to minimize the unwanted adverse effects.
PMID- 27868242
TI - Assessment of macrovascular endothelial function using pulse wave analysis and
its association with microvascular reactivity in healthy subjects.
AB - BACKGROUND: Pulse wave analysis (PWA) and laser Doppler fluximetry (LDF) are non
invasive methods of assessing macrovascular endothelial function and
microvascular reactivity respectively. The aim of this study was to assess the
correlation between macrovascular endothelial function assessed by PWA and
microvascular reactivity assessed by LDF. METHOD: 297 healthy and non-smoking
subjects (159 females, mean age (+/-SD) 23.56 +/- 4.54 years) underwent
microvascular reactivity assessment using LDF followed by macrovascular
endothelial function assessments using PWA. RESULTS: Pearson's correlation showed
no correlation between macrovascular endothelial function and microvascular
reactivity (r = -0.10, P = 0.12). CONCLUSION: There was no significant
correlation between macrovascular endothelial function assessed by PWA and
microvascular reactivity assessed by LDF in healthy subjects.
PMID- 27868243
TI - Simulation of laser-tattoo pigment interaction in a tissue-mimicking phantom
using Q-switched and long-pulsed lasers.
AB - BACKGROUND: Laser therapy is the treatment of choice in tattoo removal. However,
the precise mechanisms of laser-tattoo pigment interactions remain to be
evaluated. METHODS: We evaluated the geometric patterns of laser-tattoo pigment
particle interactions using a tattoo pigment-embedded tissue-mimicking (TM)
phantom. RESULTS: A Q-switched (QS) neodymium-doped yttrium aluminum garnet laser
was used at settings of 532-, 660-, and 1064-nm wavelengths, single-pulse and
quick pulse-to-pulse treatment modes, and spot sizes of 4 and 7 mm. Most of the
laser-tattoo interactions in the experimental conditions formed cocoon-shaped or
oval photothermal and photoacoustic injury zones, which contained fragmented
tattoo particles in various sizes depending on the conditions. In addition, a
long-pulsed 755-nm alexandrite laser was used at a spot size of 6 mm and pulse
widths of 3, 5, and 10 ms. The finer granular pattern of tattoo destruction was
observed in TM phantoms treated with 3- and 5-ms pulse durations compared to
those treated with a 10-ms pulse. CONCLUSION: We outlined various patterns of
laser-tattoo pigment interactions in a tattoo-embedded TM phantom to predict
macroscopic tattoo and surrounding tissue reactions after laser treatment for
tattoo removal.
PMID- 27868244
TI - DDW 2016 review: Advances in therapeutic upper gastrointestinal endoscopy.
AB - This is a review of the abstracts presented at Digestive Disease Week 2016, 21-24
May 2016 in San Diego, CA, USA, focusing on novel advances in therapeutic
endoscopy of the upper gastrointestinal tract.
PMID- 27868245
TI - The influence of sex and gonadectomy on hepatic and brain fatty acid composition,
lipogenesis and beta-oxidation.
AB - The aim of this study was to investigate the influence of sex and castration of
rats on liver and brain fatty acid profile and liver mRNA expression of genes
involved in lipogenesis and beta-oxidation. Castration significantly increased
body weight and liver index and decreased serum triglyceride content in the
female rats. The fatty acid composition of the liver tissue was influenced by sex
and castration. Male rats had higher content of C16:0, C18:1n7, C18:2n6 and
C22:5n3, while female rats had higher content of C18:0, C20:4n6 and C22:6n3.
Castration of male rats decreased differences caused by sex for C18:2n6, C20:4n6
and C22:6n3. Values for C16:1n7 were higher in the castrated male rats in
comparison with all other groups. Liver phospholipids showed a distribution of
fatty acids similar to the total lipids. Brain total lipids and phospholipids
were not influenced by sex or castration. Castration increased ?6D gene
expression in both the sexes, while ?5D and ?9D increased in females and males
respectively. Gonadectomy increased expression of the FASN gene in the females
and decreased CPT1 and ACOX1 gene expression in the liver tissue of male rats.
The observed results of lipid peroxidation, measured by TBARS, were the lowest in
the intact females in comparison with all other groups. In conclusion, sex
strongly influences both SFA and PUFA in liver tissue, and castration decreases
these differences only for PUFA. Castration also influences the expression of the
genes involved in lipid metabolism differently in male and female rats, with an
increase in lipogenic genes in female rats and a decrease in key genes for
mitochondrial and peroxisomal beta-oxidation in male rats.
PMID- 27868246
TI - Personal identification based on skin texture features from the forearm and multi
modal imaging.
AB - BACKGROUND/PURPOSE: We investigate the use of skin texture features from the
inner forearm as a means for personal identification. The forearm offers a number
of potential advantages in that it is a fairly accessible area, and, compared
with other zones such as fingertips, is less exposed to the elements and more
shielded from wear. METHODS: We extract and combine skin textural features from
two imaging devices (optical and capacitive) with the aim of discriminating
between different individuals. Skin texture images from 43 subjects were acquired
from three different body parts (back of the hand, forearm and palm); testing
used the two sensors either separately or in combination. RESULTS: Skin texture
features from the forearm proved effective for discriminating between different
individuals with overall recognition accuracy approaching 96%. CONCLUSIONS: We
found that skin texture features from the forearm are highly individual-specific
and therefore suitable for personal identification. Interestingly, forearm skin
texture features yielded significantly better accuracy compared to the skin of
the back of the hand and of the palm of the same subjects.
PMID- 27868247
TI - Digestibility, ruminal fermentation, blood metabolites and antioxidant status in
ewes supplemented with DL-methionine or hydroxy-4 (methylthio) butanoic acid
isopropyl ester.
AB - The effects of supplementing ewe diets with either DL-methionine (DL-Met) or 2
hydroxy-4 (methylthio) butanoic acid isopropyl ester (HMBi) were investigated on
ruminal in situ degradability of grain and forage diets, in vivo digestibility,
rumen fermentation, blood metabolites and antioxidant status. Six ruminally
cannulated ewes were used in a replicated 3 * 3 Latin square design with 28-day
periods. The dietary treatments were as follows: (i) no supplemental Met
(control; CON), (ii) DL-Met at 1.2 g/kg DM intake and (iii) HMBi at 1.8 g/kg dry
matter (DM) intake. Corn grain, barley grain and alfalfa hay were evaluated for
their ruminal degradability by both in situ incubation and effective
degradability measurements of DM, neutral detergent fibre (NDF) and acid
detergent fibre (ADF). Compared to other treatments, HMBi supplementation
increased (p < 0.05) the digestibility of organic matter, crude protein and NDF
and also tended (p = 0.08) to increase the digestibility of DM and ADF. Moreover,
HMBi supplementation increased (p < 0.01) total VFA concentrations, the molar
proportions of valerate and iso-butyrate in the rumen. Compared to the CON
treatment, DL-Met and HMBi treatments tended (p = 0.08) to increase the molar
proportion of acetate but decreased (p < 0.05) ruminal ammonia-N concentration.
Ewes supplemented with HMBi and DL-Met recorded greater (p < 0.05) serum
concentrations of glutathione peroxidase, total antioxidant capacity and
superoxide dismutase than the CON treatment. Serum concentrations of glucose,
total protein, albumin, high-density lipoprotein and very low-density lipoprotein
were greater (p < 0.01) and serum urea nitrogen (p < 0.05), malonyl dialdehyde
and triglyceride were lower (p < 0.02) in the HMBi and DL-Met animals than in the
CON ewes. The results concluded that HMBi is a very effective form of dietary Met
supplementation for ewes with a positive effect on digestion, rumen fermentation
and serum antioxidant function.
PMID- 27868248
TI - Ring-Opening Regio-, Diastereo-, and Enantioselective 1,3-Chlorochalcogenation of
Cyclopropyl Carbaldehydes.
AB - meso-Cyclopropyl carbaldehydes are treated in the presence of an organocatalyst
with sulfenyl and selenyl chlorides to afford 1,3-chlorochalcogenated products.
The transformation is achieved by a merged iminium-enamine activation. The
enantioselective desymmetrization reaction, leading to three adjacent
stereocenters, furnished the target products in complete regioselectivity and
moderate to high diastereo- and enantioselectivities (d.r. up to 15:1 and e.r. up
to 93:7).
PMID- 27868249
TI - Effects of supplemental dietary vitamin C on quality of semen from Nile tilapia
(Oreochromis niloticus) breeders.
AB - The objective of this study was to evaluate the effects of vitamin C on growth
and quality of semen from Oreochromis niloticus breeders. One hundred and sixty
males were fed with different levels of vitamin C (0, 261, 599 and 942 mg/kg
diet). The higher weight values were recorded for 599 (166 g) and 942 (175 g) mg
of vitamin C/kg diet. Sperm motility, vigour and concentration were higher with
599 and 942 mg of vitamin C/kg diet. The semen volume, gonadosomatic index and
plasma protein data from the last week showed a direct relationship with
increasing levels of vitamin C. No changes were observed in the hepatosomatic
index and blood glucose. The haematocrit and erythrocyte showed higher values
estimated by equations derived at 850 and 638 mg vitamin C/kg diet, respectively.
The leucocytes were inversely proportional to the increasing levels of vitamin C.
After 100 days of feeding, animals fed the diet containing 942 mg vitamin C/kg
diet had higher sperm motility, linearity, curvilinear velocity, straight line
velocity and average path velocity (p < .05). Higher values of beat cross
frequency were observed in broodfish fed diets containing 942 and 599 mg vitamin
C/kg. The different vitamin C levels did not cause differences in straightness,
lateral head displacement and sperm morphology. For Nile tilapia males on
intensive rearing and handling conditions, vitamin C levels between 599 and 942
mg/kg may be used for a better performance and quality of semen.
PMID- 27868250
TI - Effects of Astragalus membranaceus, Codonopsis pilosula and allicin mixture on
growth performance, nutrient digestibility, faecal microbial shedding, immune
response and meat quality in finishing pigs.
AB - A 12-week trial with 120 [(Landrace*Yorkshire)*Duroc] pigs (45.65 +/- 1.93 kg)
was conducted to evaluate the effects of Astragalus membranaceus, Codonopsis
pilosula and allicin mixture (HM) supplementation on growth performance, nutrient
digestibility, faecal microbial shedding, immune response and meat quality in
finishing pigs. Pigs were allocated to one of three treatments with 0, 0.025%
(HM1) and 0.05% (HM2) HM supplementation in a randomized complete block design
according to sex and BW. Each treatment contained 10 replications with four pigs
(two barrows and two gilts) per pen. Dietary HM resulted in a higher G:F (p <
0.05) than CON group during weeks 7 to 12 and the overall periods. Pigs fed HM2
diet had higher ADG than pigs fed CON diet. Pigs fed HM2 supplementation diet led
to a higher (p < 0.05) apparent total tract digestibility (ATTD) of dry matter
(DM) and gross energy (GE) than pigs fed CON diet at week 6, while the
supplementation of HM led to a higher (p < 0.05) ATTD of DM and GE than pigs fed
CON diet at week 12. The faecal E. coli counts were reduced, and Lactobacillus
counts were increased by increasing HM supplementation (p < 0.05). Pigs fed HM1
diet had higher (p < 0.05) WBC concentration than those fed CON and HM2 diets at
week 6. Pigs fed HM-supplemented diet had higher (p < 0.05) IgG and IgA
concentrations than those fed CON diet at week 12. Pigs fed HM diet noted better
(p < 0.05) meat colour and redness value than pigs fed CON diet. Pig fed HM2
reduced (p < 0.05) the lightness value compared with CON group. In conclusion,
dietary HM supplementation exerted beneficial effects on growth performance,
nutrient digestibility, intestinal microbial balance (increased Lactobacillus
counts and decreased E. coli counts), immune response and meat quality.
PMID- 27868251
TI - Dietary linseed oil in the maternal diet affects immunoglobulins, tissue fatty
acid composition and expression of lipid metabolism-related genes in piglets.
AB - This experiment investigated the effects of supplementing the maternal diet with
linseed oil (LSO) and soya bean oil (SBO) on immunoglobulins, the fatty acid
composition and hepatic expression of lipid metabolism-related genes in piglets.
Multiparous sows (twenty-four per diet) were fed on diets containing a supplement
of either SBO or LSO during last week of gestation and lactation. The results
indicated that supplementation of maternal diet with LSO could improve the
weaning weight of piglets and average daily gain (ADG) (p < 0.05). The
concentration of immunoglobulin G (IgG) and immunoglobulin A (IgA) was enhanced
in sow plasma, colostrum and milk by the addition of LSO (p < 0.05). In addition,
the concentration of 18: 3n-3 fatty acids was higher in the milk of LSO sows.
Meanwhile, maternal supplementation with LSO increased the levels of plasma IgG,
IgA and the tissues n-3 polyunsaturated fatty acid (PUFA) in piglets (p < 0.05).
Correspondingly, the mRNA expression levels of hepatic ?5-desaturase (D5D) and ?6
desaturase (D6D) were higher, and fatty acid synthase (FAS) was lower in piglets
from LSO-fed sows when compared with that in the SBO group. In conclusion, LSO
supplementation of the maternal diet increases immunoglobulins, modifies the
fatty acid composition and affects the gene of D5D and D6D expression of piglets.
PMID- 27868252
TI - Predictive equations of selenium accessibility of dry pet foods.
AB - The trace element selenium is essential to both dogs and cats. Dry diets are
formulated with a large range of ingredients, which may vary in selenium
concentration and accessibility. This paper reports equations to predict the
average in vitro selenium accessibility from dry pet foods based on essential
dietary nutrient concentrations, including crude protein, amino acids and crude
fat. Predictive equations were made using stepwise linear regression for extruded
and pelleted diets. The equations can be used to aid diet formulation to optimize
selenium accessibility within the diet and to prevent selenium deficiency or
toxicity.
PMID- 27868253
TI - The effect of Lupinus albus seeds on digestibility, performance and
gastrointestinal tract indices in pigs.
AB - The effect of soya bean meal (SBM) substitution in the diet with white lupine
(WL) seeds on performances and some parameters of the digestive tract of pigs
were studied. The digestibility experiment was performed on 30 pigs using
difference method combined with marker method, where one group was offered basal
diet and in diet of experimental groups 25% of the basal diet was substituted
with SBM or WL meal. The growth experiment was conducted on 48 pigs of
approximately 20 kg body weight. The animals from the control group were fed with
diet containing SBM, whereas in the experimental groups 50% or 100% of SBM was
substituted with WL seeds. At the end of the experiment, eight pigs from each
group were euthanized, and digesta and tissues were sampled. The apparent total
tract digestibility of crude protein and dry matter was higher (p < 0.05), but
crude fibre and ether extract were lower (p < 0.05) in the WL seeds than in SBM.
Substituting 50% of SBM in the control diet with WL seeds did not affect
significantly pig performance. Total substitution of SBM with WL seeds impacted
negatively pigs growth and feed intake (p < 0.05), but it did not affect the
length of villi and crypt depth. It also significantly increased ileum digesta
viscosity and ammonia concentration in the ileum and caecum digesta. In the ileal
digesta of animals offered WL seeds, an increased (p < 0.05) total bacterial and
Enterobacteriaceae numbers were found, whereas reduced number of yeast and mould
was found in the caecal digesta. The substitution of 50% SBM with WL seeds in the
growing pig diet did not affect pigs' results, but the total substitution
significantly decreased the performance of pigs and affected intestinal ecology.
PMID- 27868256
TI - Ice and the outback: Patterns and prevalence of methamphetamine use in rural
Australia.
AB - OBJECTIVE: This study investigated whether lifetime and recent methamphetamine
use (including crystal methamphetamine) differed among city, regional and rural
residents and whether particular subpopulations were more at-risk. DESIGN:
Secondary analyses of the last three National Drug Strategy Household Surveys and
corresponding Alcohol and Other Drug Treatment Services National Minimum Data
Sets (AODTS NMDS). SETTING: Australian general population. PARTICIPANTS:
Australians who completed the 2007 (n = 22 519), 2010 (n = 25 786) and 2013 (n =
23 512) NDSHS (aged 14 + ); and treatment episodes where the principal drug of
concern was recorded in the 2006/2007 (n = 139 808), 2009/2010 (n = 139 608) and
2012/2013 (n = 154 489) AODTS NMDS. MAIN OUTCOME MEASURE(S): To determine whether
rural Australians were more likely to use methamphetamine than non-rural
counterparts. RESULTS: Lifetime and recent methamphetamine and recent crystal
methamphetamine use were significantly higher among rural than other Australians.
Significantly more rural men and employed rural Australians used methamphetamine
than their city, regional or Australian counterparts. Rural Australians aged 18
24 and 25-29 years were significantly more likely to have used methamphetamine in
their lifetime than city or Australian residents. Rural Australians aged 18-24
years were significantly more likely to have recently used crystal
methamphetamine. CONCLUSIONS: Interventions tailored to address the specific and
unique circumstances of rural settings are required to reduce and prevent
methamphetamine use, particularly crystal methamphetamine. Scope exists to focus
prevention efforts on rural workplaces and primary care settings. Greater
understanding of the higher prevalence of methamphetamine use in rural areas is
required, plus implementation of comprehensive strategies and optimised treatment
utilisation.
PMID- 27868254
TI - Extensive functional redundancy in the regulation of Candida albicans drug
resistance and morphogenesis by lysine deacetylases Hos2, Hda1, Rpd3 and Rpd31.
AB - Current treatment efforts for fungal infections are hampered by the limited
availability of antifungal drugs and by the emergence of drug resistance. A
powerful strategy to enhance the efficacy of antifungal drugs is to inhibit the
molecular chaperone Hsp90. Hsp90 governs drug resistance, morphogenesis and
virulence in a leading fungal pathogen of humans, Candida albicans. Our previous
work with Saccharomyces cerevisiae established acetylation as a novel mechanism
of posttranslational control of Hsp90 function in fungi. We implicated lysine
deacetylases (KDACs) as key regulators of resistance to the most widely deployed
class of antifungals, the azoles, in both S. cerevisiae and C. albicans. Here, we
demonstrate high levels of functional redundancy among the KDACs that are
important for regulating Hsp90 function. We identify Hos2, Hda1, Rpd3 and Rpd31
as the KDACs mediating azole resistance and morphogenesis in C. albicans.
Furthermore, we identify lysine 30 and 271 as critical acetylation sites on C.
albicans Hsp90, and substitutions at these residues compromise Hsp90 function.
Finally, we show that pharmacological inhibition of KDACs phenocopies
pharmacological inhibition of Hsp90 and abrogates Hsp90-dependent azole
resistance in numerous Candida species. This work illuminates new facets to the
impact of KDACs on fungal drug resistance and morphogenesis, provides important
insights into the divergence of the C. albicans Hsp90 regulatory network and
reveals new targets for development of antifungal drugs.
PMID- 27868255
TI - Regulatory gene mutation: a driving force behind group a Streptococcus strain-
and serotype-specific variation.
AB - Data from multiple bacterial pathogens are consistent with regulator-encoding
genes having higher mutation frequencies than the genome average. Such mutations
drive both strain- and type- (e.g., serotype, haplotype) specific phenotypic
heterogeneity, and may challenge public health due to the potential of variants
to circumvent established treatment and/or preventative regimes. Here, using the
human bacterial pathogen the group A Streptococcus (GAS; S. pyogenes) as a model
organism, we review the types and regulatory-, phenotypic-, and disease-specific
consequences of naturally occurring regulatory gene mutations. Strain-specific
regulator mutations that will be discussed include examples that transform
isolates into hyper-invasive forms by enhancing expression of immunomodulatory
virulence factors, and examples that promote asymptomatic carriage of the
organism. The discussion of serotype-specific regulator mutations focuses on
serotype M3 GAS isolates, and how the identified rewiring of regulatory networks
in this serotype may be contributing to a decades old epidemiological association
of M3 isolates with particularly severe invasive infections. We conclude that
mutation plays an outsized role in GAS pathogenesis and has clinical relevance.
Given the phenotypic variability associated with regulatory gene mutations, the
rapid examination of these genes in infecting isolates may inform with respect to
potential patient complications and treatment options.
PMID- 27868257
TI - The effect of maize silage type on the performances and methane emission of dairy
cattle.
AB - To examine whether type of maize silage is important for milk production
performances, maize silage LG30224 (LG) was compared with Falkone (FA), the
latter having a 4.0% points lower rumen NDF digestibility and 19 g/kg dry matter
(DM) more starch. To bridge the lower energy content of FA, a third treatment was
involved by adding maize meal (MM) in a ratio of 92/8 on DM (FA+MM). Maize and
grass silage were fed ad libitum in a ratio of 65/35 on DM basis. Concentrates
were supplemented individually to meet energy and protein requirements. The
experiment was set up as a Latin square with three groups of nine Holstein cows
during three periods of 3 weeks. In the last 2 weeks of each period, DM intake
(DMI) and milk performances were measured. Each group included one cannulated cow
to study effects on rumen fermentation. During the last 4 days of each period,
two cows from each group were placed in gas exchange chambers to measure nutrient
digestibility and methane production. Total DMI was higher (p < 0.05) for FA+MM
(20.8 kg/day) than for FA (20.3 kg/day), while DMI for LG was intermediate (20.6
kg/day). Treatment did not affect milk production nor composition, whereas fat
protein-corrected milk was higher for LG (30.5 kg/day) and FA+MM (30.3 kg/day)
than for FA (29.9 kg/day). The ration did not affect pH nor volatile fatty acid
composition in the rumen. Further, total tract digestibility of OM, crude
protein, NDF and starch did not differ among treatments. The ration with LG gave
higher methane production per day and per kg NDF intake than both rations with
FA, but the difference was not significant when expressed per kg DMI or FPCM.
Thus, maize silage type is of little importance for milk production if energy and
physical structure requirements are met.
PMID- 27868258
TI - The first familial cases of epidermolysis bullosa simplex, generalized severe
with p.Asn176Ser in KRT5 revealing the clinical chronology.
PMID- 27868259
TI - Effectiveness of an experiential workshop for enhancing helping professionals'
self-competence in death work in Hong Kong: a randomised controlled trial.
AB - Helping professionals require self-competence in coping with the existential and
emotional challenges of death work. Previous training often focused on knowledge
and skills rather than on this competence. This study aimed to examine the
effectiveness of a 3-day workshop in Hong Kong to enhance helping professionals'
self-competence in death work. A randomised controlled trial was conducted to
examine the effects of the training between January and May 2014. Targeted
participants were helping professionals who had been doing death work for at
least 6 months. The 112 participants were openly recruited from hospitals and
NGOs and were assigned to an intervention group or a waitlist control group. Data
were collected at pre-intervention and post-intervention. Primary outcome was
self-competence in death work. All participants were grouped for analysing the
changes in outcomes at pre-intervention, post-intervention and 3-month follow-up.
Participants in the intervention group experienced a significant increase in the
total score of the Self-competence in Death Work Scale (SC-DWS) and in scores of
the Existential and Emotional subscales of SC-DWS. The positive effects of
training on self-competence in death work were maintained at the 3-month follow
up. This study provides evidence of the effectiveness of training in enhancing
helping professionals' self-competence in death work. Further research is
required to examine the long-term effects of training.
PMID- 27868260
TI - An experimental study of adolescent sleep restriction during a simulated school
week: changes in phase, sleep staging, performance and sleepiness.
AB - This laboratory study investigated the impact of restricted sleep during a
simulated school week on circadian phase, sleep stages and daytime functioning.
Changes were examined across and within days and during a simulated weekend
recovery. Participants were 12 healthy secondary school students (six male) aged
15-17 years [mean = 16.1 years, standard deviation (SD) = 0.9]. After 2 nights
with 10 h (21:30-07:30 hours), time in bed was restricted to 5 h for 5 nights
(02:30-07:30 hours), then returned to 10 h time in bed for 2 nights (21:30-07:30
hours). Saliva was collected in dim light on the first and last sleep restriction
nights to measure melatonin onset phase. Sleep was recorded polysomnographically,
and the Psychomotor Vigilance Task (PVT) and Karolinska Sleepiness Scale were
undertaken 3-hourly while awake. Average phase delay measured by melatonin was 3
h (SD = 50 min). Compared to baseline, sleep during the restriction period
contained a smaller percentage of Stages 1 and 2 and rapid eye movement (REM) and
a greater percentage of Stage 4. PVT lapses increased significantly during sleep
restriction and did not return to baseline levels during recovery. Subjective
sleepiness showed a similar pattern during restriction, but returned to baseline
levels during recovery. Results suggest that sustained attention in adolescents
is affected negatively by sleep restriction, particularly in the early morning,
and that a weekend of recovery sleep is insufficient to restore performance. The
discrepancy between sleepiness ratings and performance may indicate a lack of
perception of this residual impairment.
PMID- 27868261
TI - Mental Health of Transgender Veterans of the Iraq and Afghanistan Conflicts Who
Experienced Military Sexual Trauma.
AB - Little is known about military sexual trauma (MST) in transgender veterans. To
address this gap, we examined archival data regarding transgender veterans from
the Iraq and Afghanistan conflicts. There were 332 transgender veterans treated
at the Veterans Health Administration between 2000 and 2013 (78 men, 254 women;
mean age 33.86 years), with most being non-Hispanic White. Transgender status and
mental health conditions were identified using the International Classification
of Diseases, 9th Revision (ICD-9; World Health Organization, 1980) codes and
chart review. Men and women were analyzed separately, using contingency tables
and chi2 testing for categorical variables and t tests for continuous variables.
Likelihood of having a mental health condition and MST were examined using
logistic regression. Among the 15% of participants who experienced MST, MST was
associated with the likelihood of posttraumatic stress disorder, adjusted OR =
6.09, 95% confidence interval (CI) [1.22, 30.44] and personality disorder, OR =
3.86, 95% CI [1.05, 14.22] for men and with depressive, OR = 3.33, 95% CI [1.12,
9.93], bipolar, OR = 2.87, 95% CI [1.12, 7.44], posttraumatic stress, OR = 2.42,
[1.11, 5.24], and personality disorder, OR = 4.61, 95% CI [2.02, 10.52] for
women. Implications include that medical forms should include gender identity and
biological gender and that MST treatment should be culturally competent.
PMID- 27868262
TI - Adipocytes enhance expression of osteoclast adhesion-related molecules through
the CXCL12/CXCR4 signalling pathway.
AB - OBJECTIVES: The purpose of this study was to investigate effects of adipocytes on
osteoclast adhesion-related molecules. MATERIALS AND METHODS: ST2 cells, a cloned
stromal cell line from mouse bone marrow, able to differentiate into adipocytes,
were cultured in serum-free alpha-MEM which was then collected to be used as
adipocyte-conditioned medium (ADIPO CM). RAW264.7 cells were cultured in ADIPO CM
in the presence of RANKL, and bone marrow-derived macrophages were cultured in
ADIPO CM in the presence of RANKL and macrophage-colony stimulating factor to
induce osteoclast differentiation. TRAP staining, resorption pit assay, qRT-PCR
and western blotting assays were performed. RESULTS: ELISAs revealed that CXCL12
was abundant in ADIPO CM and CCK-8 assay revealed no proliferation of RAW264.7
cells after exogenous CXCL12 treatment. ADIPO CM enhanced osteoclast formation
and resorption, both by RAW264.7 cells and BMMs. In addition, exogenous CXCL12
efficiently potentiated formation of TRAP-positive osteoclast and resorption by
RAW264.7 cells. Western blotting and qRT-PCR suggested that ADIPO CM or combined
treatment with exogenous CXCL12 caused significant increase in expression of
NFAT2, src and osteoclast adhesion-related molecules, including beta3 integrin,
CD44 and osteopontin. However, these promotional effects were largely abrogated
on treatment of AMD3100, a CXCR4 antagonist. CONCLUSIONS: Adipocytes promoted
osteoclast differentiation, function and expression of adhesion-related molecules
through the CXCL12/CXCR4 signalling pathway.
PMID- 27868263
TI - Nurses as family caregivers - barriers and enablers facing nurses caring for
children, parents or both.
AB - AIMS: To examine the dual caregiving and nursing responsibilities of nurses in
New Zealand with a view to identifying potential strategies, policies and
employment practices that may help to retain nurses with caregiving
responsibilities in the workplace. BACKGROUND: As the nursing workforce ages,
child-bearing is delayed and older family members are living longer, family
caregiving responsibilities are impacting more on the working life of nurses.
This may complicate accurate workforce planning assumptions. METHOD: An
explorative, descriptive design using interviews and focus groups with 28
registered nurses with family caregiving responsibilities. RESULTS: A depth of
(largely hidden) experience was exposed revealing considerable guilt, physical,
emotional and financial hardship. Regardless of whether the nurse chose to work
or had to for financial reasons, family always came first. CONCLUSIONS:
Demographic and societal changes related to caregiving may have profound
implications for nursing. Workplace support is essential to ensure that nurses
are able to continue to work. IMPLICATIONS FOR NURSING MANAGEMENT: Increased
awareness, support, flexibility and specific planning are required to retain
nurses with family caregiving responsibilities.
PMID- 27868264
TI - Profiles of children with Down syndrome who meet screening criteria for autism
spectrum disorder (ASD): a comparison with children diagnosed with ASD attending
specialist schools.
AB - BACKGROUND: Recent research suggests that around 16% to 18% of children with Down
syndrome (DS) also meet diagnostic criteria for autism spectrum disorder (ASD).
However, there are indications that profiles of autism symptoms in this group may
vary from those typically described in children with ASD. METHOD: Rates of autism
symptoms and emotional and behavioural problems among children with DS who
screened positive for ASD on the Social Communication Questionnaire (SCQ) (n =
183) were compared with a group of children with clinical diagnoses of ASD (n =
189) attending specialist schools in the UK. Groups were matched for age and
approximate language level (use of phrase speech). RESULTS: Profiles of autistic
symptoms in the two groups were generally similar, but children with DS meeting
ASD cut-off on the SCQ tended to show fewer problems in reciprocal social
interaction than those in the ASD group. They also showed slightly lower rates of
emotional and peer-related problems. The results mostly confirm findings from a
previous study in which the original validation sample for the SCQ was used as a
comparison group. CONCLUSION: Findings suggest that children with DS who meet
screening criteria for ASD show similar profiles of communication and repetitive
behaviours to those typically described in autism. However, they tend to have
relatively milder social difficulties. It is important that clinicians are aware
of this difference if children with DS and ASD are to be correctly diagnosed and
eligible for specialist intervention and education services.
PMID- 27868265
TI - High-throughput physiological phenotyping and screening system for the
characterization of plant-environment interactions.
AB - We present a simple and effective high-throughput experimental platform for
simultaneous and continuous monitoring of water relations in the soil-plant
atmosphere continuum of numerous plants under dynamic environmental conditions.
This system provides a simultaneously measured, detailed physiological response
profile for each plant in the array, over time periods ranging from a few minutes
to the entire growing season, under normal, stress and recovery conditions and at
any phenological stage. Three probes for each pot in the array and a specially
designed algorithm enable detailed water-relations characterization of whole
plant transpiration, biomass gain, stomatal conductance and root flux. They also
enable quantitative calculation of the whole plant water-use efficiency and
relative water content at high resolution under dynamic soil and atmospheric
conditions. The system has no moving parts and can fit into many growing
environments. A screening of 65 introgression lines of a wild tomato species
(Solanum pennellii) crossed with cultivated tomato (S. lycopersicum), using our
system and conventional gas-exchange tools, confirmed the accuracy of the system
as well as its diagnostic capabilities. The use of this high-throughput
diagnostic screening method is discussed in light of the gaps in our
understanding of the genetic regulation of whole-plant performance, particularly
under abiotic stress.
PMID- 27868266
TI - Reducing falls in a model of impaired cognitive control of movement (Commentary
on Kucinski et al.).
PMID- 27868267
TI - Reduced microRNA-188-3p expression contributes to apoptosis of spermatogenic
cells in patients with azoospermia.
AB - BACKGROUND AND AIMS: Human mutL homologl (MLH1) works coordinately in sequential
steps to initiate repair of DNA mismatches, and aberrant MLH1 expression is
related to spermatogenetic malfunction. In the present study, MLH1 expression in
patients with azoospermia was investigated, and moderating effects of miR-188-3p
on MLH1 expression and spermatogenesis were identified. METHODS: Testicular
tissues from 16 patients with obstructive azoospermia (OA) and non-obstructive
azoospermia (NOA), and tissues of eight healthy patients were collected. Real
time PCR, Western blotting and immunohistochemical staining were used to detect
MLH1 expression. Chromatin immunoprecipitation assay and luciferase reporter
assay were performed to evaluate histone acetylation level of miR-188-3p and
relationships between miR-188-3p and MLH1. RESULTS: Testicular MLH1 expression at
mRNA and protein levels was significantly increased, while miR-188-3p expression
was lower in patients with OA and NOA than that in controls. Reduced histone
acetylation level of miR-188-3p promoter was observed in patients with
azoospermia. Overexpression/inhibition of HDAC1, but not HDAC2, contributed to
the significant reduction/increase of miR-188-3p expression. miR-188-3p targeted
3' UTR of MLH1 and regulated MLH1 expression. miR-188-3p inhibitor led to
elevation of apoptotic level of spermatogenic cells in mice, while this effect
was reversed by si-MLH1. CONCLUSION: Down-regulation of miR-188-3p by reducing
histone acetylation up-regulated MLH1 expression and contributed to promotion of
apoptosis in spermatogenic cells, in patients with azoospermia.
PMID- 27868268
TI - p38 MAPK pathway is essential for self-renewal of mouse male germline stem cells
(mGSCs).
AB - OBJECTIVES: Male germline stem cells (mGSCs), also called spermatogonial stem
cells (SSCs), constantly generate spermatozoa in male animals. A number of
preliminary studies on mechanisms of mGSC self-renewal have previously been
conducted, revealing that several factors are involved in this regulated process.
The p38 MAPK pathway is widely conserved in multiple cell types in vivo, and
plays an important role in cell proliferation, differentiation, inflammation and
apoptosis. However, its role in self-renewal of mGSCs has not hitherto been
determined. MATERIALS AND METHODS: Here, the mouse mGSCs were cultured and their
identity was verified by semi-RT-PCR, alkaline phosphatase (AP) staining and
immunofluorescence staining. Then, the p38 MAPK pathway was blocked by p38 MAPK
specific inhibitor SB202190. mGSC self-renewal ability was then analysed by
observation of morphology, cell number, cell growth analysis, TUNEL incorporation
assay and cell cycle analysis. RESULTS: Results showed that mouse mGSC self
renewal ability was significantly inhibited by SB202190. CONCLUSIONS: This study
showed for the first time that the p38 MAPK pathway plays a key role in
maintaining self-renewal capacity of mouse mGSCs, which offers a new self-renewal
pathway for these cells and contributes to overall knowledge of the mechanisms of
mGSC self-renewal.
PMID- 27868269
TI - Estimating Breast Mass-Density: A Retrospective Analysis of Radiological Data.
PMID- 27868270
TI - Zika virus, HSV-2 and placenta.
PMID- 27868271
TI - Lone-Actor Terrorist Target Choice.
AB - Lone-actor terrorist attacks have risen to the forefront of the public's
consciousness in the past few years. Some of these attacks were conducted against
public officials. The rise of hard-to-detect, low-tech attacks may lead to more
public officials being targeted. This paper explores whether different behavioral
traits are apparent within a sample of lone-actor terrorists who plotted against
high-value targets (including public officials) than within a sample of lone
actors who plotted against members of the public. Utilizing a unique dataset of
111 lone-actor terrorists, we test a series of hypotheses related to attack
capability and operational security. The results indicate that very little
differentiates those who attack high-value targets from those who attack members
of the public. We conclude with a series of illustrations to theorize why this
may be the case. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27868272
TI - Introduction to this Issue: International Perspectives on the Protection of
Public Officials.
PMID- 27868273
TI - From Assassination to Protection of Public Officials: An Introductory Editorial.
PMID- 27868274
TI - APCI as an innovative ionization mode compared with EI and CI for the analysis of
a large range of organophosphate esters using GC-MS/MS.
AB - Organophosphate esters (OPEs) are chemical compounds incorporated into materials
as flame-proof and/or plasticizing agents. In this work, 13 non-halogenated and 5
halogenated OPEs were studied. Their mass spectra were interpreted and compared
in terms of fragmentation patterns and dominant ions via various ionization
techniques [electron ionization (EI) and chemical ionization (CI) under vacuum
and corona discharge atmospheric pressure chemical ionization (APCI)] on gas
chromatography coupled to mass spectrometry (GC-MS). The novelty of this paper
relies on the investigation of APCI technique for the analysis of OPEs via
favored protonation mechanism, where the mass spectra were mostly dominated by
the quasi-molecular ion [M + H]+ . The EI mass spectra were dominated by ions
such as [H4 PO4 ]+ , [M-R]+ , [M-Cl]+ , and [M-Br]+ , and for some non
halogenated aryl OPEs, [M]+? was also observed. The CI mass spectra in positive
mode were dominated by [M + H]+ and sometimes by [M-R]+ , while in negative mode,
[M-R]- and more particularly [X]- and [X2 ]-? were mainly observed for the
halogenated OPEs. Both EI and APCI techniques showed promising results for
further development of instrumental method operating in selective reaction
monitoring mode. Instrumental detection limits by using APCI mode were 2.5 to 25
times lower than using EI mode for the non-brominated OPEs, while they were
determined at 50-100 times lower by the APCI mode than by the EI mode, for the
two brominated OPEs. The method was applied to fish samples, and monitored
transitions by using APCI mode showed higher specificity but lower stability
compared with EI mode. The sensitivity in terms of signal-to-noise ratio varying
from one compound to another. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27868276
TI - Platelets are a possible regulator of human endometrial re-epithelialization
during menstruation.
AB - PROBLEM: The human endometrium periodically breaks down and regenerates. As
platelets have been reported to contribute to the tissue remodeling process, we
examined the possible involvement of platelets in endometrial regeneration.
METHOD OF STUDY: The distribution of extravasating platelets throughout the
menstrual cycle was immunohistochemically examined using human endometrial
tissues. EM-E6/E7/hTERT cells, a human endometrial epithelial cell-derived
immortalized cell line, were co-cultured with platelets, and the effects of
platelets on the epithelialization response of EM-E6/E7/hTERT cells were
investigated by attachment and permeability assays, immunohistochemical staining,
and Western blot analysis. RESULTS: Immunohistochemical study showed numerous
extravasated platelets in the subluminar stroma during the menstrual phase. The
platelets promoted the cell-to-matrigel attachment of EM-E6/E7/hTERT cells
concomitantly with the phosphorylation of focal adhesion kinase. They also
promoted cell-to-cell contact among EM-E6/E7/hTERT cells in parallel with E
cadherin expression. CONCLUSION: These results indicate the possible involvement
of platelets in the endometrial epithelial re-epithelialization process.
PMID- 27868275
TI - Effectiveness and safety of drugs used for stroke prevention in a cohort of non
valvular atrial fibrillation patients from a primary care electronic database.
AB - PURPOSE: The aim of this study was to assess effectiveness and safety of
antithrombotics for stroke prevention in non-valvular atrial fibrillation in real
use conditions. METHODS: We used a population-based retrospective cohort study.
Information emerges from SIDIAP, a database containing anonymized information
from electronic health records from 274 primary healthcare centres of the Catalan
Health Institute, Catalonia (Spain), with a reference population of 5 835 000
people. Population includes all adults with a new diagnosis of non-valvular
atrial fibrillation registered in SIDIAP from 2007 to 2012. The main outcome of
antithrombotics' effectiveness was stroke. The main outcomes of safety were
cerebral and gastrointestinal haemorrhages. We also estimated all-cause
mortality. We used multivariable Cox proportional hazard models to examine
association between antithrombotic treatment and main outcomes. RESULTS: We
included 22 205 subjects with non-valvular atrial fibrillation; 40.8% initiated
on vitamin K antagonists (VKA), 33.4% on antiplatelets and 25.8% untreated. We
found stroke-risk reduction with VKA, hazard ratio (HR) 0.72 (95% confidence
interval (CI), 0.58-0.91), also seen in patients with CHADS2 >= 2, HR 0.65
(95%CI, 0.49-0.86), and CHA2 DS2 -VASc >= 2, HR 0.66 (95%CI, 0.52-0.84). We
observed a higher risk of digestive bleeding with antiplatelets, HR 1.32 (95%CI,
1.01-1.73). Both VKA and antiplatelets were associated with reduction of all
cause mortality risk; HR 0.55 (95%CI, 0.49-0.62) and HR 0.89 (95%CI, 0.80-0.97),
respectively. CONCLUSIONS: This study found a stroke-risk reduction associated
with VKA and an increased risk of gastrointestinal bleeding associated with
platelet-aggregation inhibitors in comparison with untreated patients. Both
antithrombotic groups showed a reduction in all-cause mortality. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27868277
TI - Outpatient antidepressant drug use in children and adolescents in Germany between
2004 and 2011.
AB - PURPOSE: Recent studies on the utilization of antidepressant drugs in minors are
scarce, methodologically limited, and do not factor in off-label use
sufficiently. Beyond that, little is known about the short treatment durations
that have been observed for many young antidepressant users. The present study
examined antidepressant use in pediatric patients aged 0 to 17 years over time,
investigated changes regarding the prescribed drugs, analyzed underlying
diagnoses, and assessed the rate of off-label use. METHODS: We used claims data
of roughly two million individuals to calculate annual prevalence and incidence
rates of antidepressant prescriptions for the years 2004 to 2011. Analyses were
stratified by age, sex, and drug type. For antidepressant users, numbers of
prescriptions, frequencies of disorders/diseases, and specialties of the
prescribing physicians were examined. The share of off-label prescriptions was
calculated for each year. RESULTS: The prescription prevalence of antidepressants
ranged between 1.7 and 2.1 per 1000 minors. The use of tricyclic antidepressants
decreased from 0.9 to 0.6 prescriptions per 1000 minors, while the use of
selective serotonin reuptake inhibitors increased from 0.5 to 1.1. Of the
patients with an antidepressant prescription, 46.4% only received one
prescription. Depression was by far the most frequent diagnosis among all
antidepressant users as well as among subjects with only one prescription. In
2011, 36.3% of all prescriptions were off-label. CONCLUSIONS: The high proportion
of single prescriptions, even in patients with a diagnosed depression, and the
high rate of off-label use are particularly noteworthy and should be further
investigated in future studies. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27868278
TI - Differences in VigiBase(r) reporting of aminoglycoside and capreomycin-suspected
ototoxicity during tuberculosis treatment.
AB - PURPOSE: To evaluate the association between the use of streptomycin, amikacin,
kanamycin and capreomycin in tuberculosis (TB) treatment and the
pharmacovigilance reporting of ototoxicity (deafness or hearing loss, tinnitus
and vertigo). Second, to analyze patient demographic and geographic factors that
influence the reporting of ototoxicity in TB treatment. METHODS: A case/non-case
disproportionality analysis of the VigiBase(r) individual case safety reports
(ICSRs) of patients treated for TB using multidrug regimens that contain either
of streptomycin, amikacin, kanamycin or capreomycin. Cases were reports of
ototoxicity; non-cases were other adverse drug reactions (ADRs). The unit of
analysis was the drug-ADR pair. We calculated reporting odds ratios (RORs) and
their 95% confidence intervals (CI). The referent drug was streptomycin. RESULTS:
By June 2014, there were 3361 drug-ADR pairs in VigiBase(r) (1693 ICSRs) where
the parenteral administration of the four drugs for TB treatment was suspected of
causing the reported ADRs. Deafness, tinnitus and vertigo were reported in 576
drug-ADR pairs (cases), the rest being other ADRs (non-cases). Reporting of
deafness was most disproportionately associated with amikacin use (ROR 9.3; 95%CI
3.8-23.0), followed by kanamycin use (ROR 4.3; 95%CI 1.3-14.2). Reporting of
vertigo was inversely associated with capreomycin use (ROR 0.1; 95%CI 0.01-0.4).
Geographic region affected the reporting of ototoxicity while age and sex did
not. CONCLUSION: Spontaneous reporting of deafness cases within VigiBase(r) was
most disproportionately associated with amikacin use, followed by kanamycin.
There were regional variations in the global reporting of ototoxicity. These
findings should be verified through a follow up study. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27868279
TI - Involvement of DPP IV/CD26 in cutaneous wound healing process in mice.
AB - Dipeptidyl peptidase IV (DPP IV/CD26) is a widely distributed multifunctional
protein that plays a significant role in different physiological as well as
pathological processes having a broad spectrum of bioactive substrates and
immunomodulative properties. It has potential influence on different processes
crucial for wound healing, including cell adhesion, migration, apoptosis, and
extracellular matrix degradation. However, despite its known enzymatic and
immunomodulative functions, limited data characterize the role of DPP IV/CD26 in
cutaneous wound healing mechanisms. The aim of this study was to investigate the
process of wound healing in conditions of CD26 deficiency in order to obtain
better insights on the role of DPP IV/CD26 in cutaneous regeneration.
Experimental wounds were made on the dorsal part of CD26 deficient (CD26-/- ) and
wild-type mice (C57BL/6). The process of cutaneous wound healing was monitored on
defined time schedule postwounding by macroscopic, microscopic, and biochemical
analyses. Obtained results revealed a better rate of wound closure,
revascularization and cell proliferation in CD26-/- mice, with enhanced local
expression of hypoxia-inducible factor 1alpha and vascular endothelial growth
factor. CD26 deficiency induced prompt macrophage recruitment at the site of skin
damage but did not influence mobilization of T-cells in comparison with wild-type
mice. CD26-/- mice have significantly higher values of IP-10 in serum and control
skins compared with wild-type mice but values in wounds did not differ
significantly on days 2, 4, and 7 of wound healing. DPP IV/CD26 activity was
found to be decreased 4 days postwounding in serum and 2, 4, and 7 days
postwounding in wounds of wild-type animals compared with control skins. These
findings contribute to better understanding of wound healing mechanisms and could
give a support in finding new therapeutic approaches for wound healing and tissue
regeneration.
PMID- 27868280
TI - Chlamydial infection enhances expression of the polymeric immunoglobulin receptor
(pIgR) and transcytosis of IgA.
AB - PROBLEM: The pIgR mediates transport of IgA into the lumen of mucosal tissues
preventing pathogenic infection. Despite this, the expression of pIgR during
chlamydial infections of the male and female reproductive tracts remains poorly
understood. METHOD OF STUDY: The expression of pIgR in response to hormone
cycling or over the course of chlamydial infection was determined in vitro and in
vivo by Western blot or immunohistochemistry. RESULTS: PIgR was upregulated in
response to Chlamydia spp. infection of human epithelia, in both male and female
mouse reproductive tracts. PIgR expression was found to be highest during estrus
in the cervicovaginal and uterine epithelia and lowest during diestrus or
following hormonal synchronization with Depo-Provera. Chlamydial infection of
mice mediates upregulation of pIgR and transcytosis of IgA into the lumen.
CONCLUSIONS: Our results suggest that chlamydial infection enhances IgA secretion
and pIgR expression by epithelia in the lower reproductive tracts of females and
males, and hormone synchronization downregulates pIgR expression and transcytosis
of IgA prior to challenge.
PMID- 27868281
TI - Long-term benefit of transoral incisionless fundoplication using the esophyx
device for the management of gastroesophageal reflux disease responsive to
medical therapy.
AB - Transoral incisionless fundoplication (TIF) using the EsophyX device has been
shown to be effective and safe in patients with Gastroesophageal reflux disease
(GERD); however, the subset of patients that would mostly benefit from this
technique remains unknown. The aim of this study was to evaluate the long-term
efficacy and safety of the TIF procedure in patients with a history of
esophagitis or proven chronic GERD who have achieved symptom control with the
administration of proton pump inhibitors (PPIs) but did not wish to continue
receiving medications for life. Forty-five patients with typical GERD symptoms
(heartburn, regurgitation, chest pain) and a history of esophagitis grade A and B
or proven GERD by esophageal pH monitoring underwent TIF using Esophyx. Patients
with eosphagitis C and D or those with large hiatal hernias (>2 cm in length)
were excluded. The primary clinical effectiveness measure was GERD symptom
elimination at follow up based on normalization of the GERD health related
quality of life (GERD-HRQL) questionnaire. After a median follow up period of 59
months (36-75) the median GERD-HRQL scores improved significantly from 27 (2-45)
at baseline to 4 (0-26) (P < 0.001) in the 44 patients completing the study.
Heartburn was eliminated in 12 out of the 21 patients included (57.1%),
regurgitation was eliminated in 15 out of the 17 patients included (88.2%) and
finally chest pain was eliminated in 5 patients out of the six patients included
(83.3%). Overall, 32 patients out of the 44 patients (72.7%) that completed the
study follow up reported elimination of their main symptom, without the need for
PPI administration (none PPI usage). Furthermore, six more patients (13.6%), five
with heartburn, and one with regurgitation reported half PPI dose taken for <50%
of the preceding follow up period (occasional PPI usage), while six more patients
(four with heartburn, one with regurgitation, and one with chest pain) reported
full or half PPI dose taken for more than 50% of the preceding follow up period
(daily PPI usage). Creation of an esophagogastric fundoplication using the
EsophyX device abolished reflux symptoms in 72.7% of PPI-responsive GERD patients
at a median 59 month follow-up.
PMID- 27868282
TI - Validation of Stevens-Johnson syndrome or toxic epidermal necrolysis diagnoses in
the Clinical Practice Research Datalink.
AB - PURPOSE: To evaluate the validity of recorded diagnoses of Stevens-Johnson
syndrome (SJS) and toxic epidermal necrolysis (TEN) in the Clinical Practice
Research Datalink (CPRD). METHODS: We identified patients with a diagnosis of SJS
or TEN between 1995 and 2013 in the CPRD. We reviewed information from patient
records, free text, and hospital episode statistics (HES) data, and excluded
patients with no indication of a secondary care referral. Remaining patients were
classified as probable, possible, or unlikely cases of SJS/TEN by two specialised
clinicians or based on pre-defined classification criteria. We quantified
positive predictive values (PPV) for all SJS/TEN patients and for patients
categorised as 'probable/possible' cases of SJS/TEN, based on a representative
subsample of 118 patients for whom we had unequivocal information (original
discharge letters or HES data). RESULTS: We identified 1324 patients with a
diagnosis of SJS/TEN, among whom 638 had a secondary care referral recorded. Of
those, 565 were classified as probable or possible cases after expert review. We
calculated a PPV of 0.79 (95% CI, 0.71-0.86) for all SJS/TEN patients with a
recorded secondary care referral, and a PPV of 0.87 (95% CI, 0.81-0.93) for
probable/possible cases. After excluding 14 false positive patients, our study
population consisted of 551 SJS/TEN patients. CONCLUSIONS: Diagnoses of SJS/TEN
are recorded with moderate diagnostic accuracy in the CPRD, which was
substantially improved by additional expert review of all available information.
We established a large population-based SJS/TEN study population of high
diagnostic validity from the CPRD. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27868283
TI - Non-invasive evaluation of skin tension lines with elastic waves.
AB - BACKGROUND: Since their discovery by Karl Langer in the 19th Century, Skin
Tension Lines (STLs) have been used by surgeons to decide the location and
orientation of an incision. Although these lines are patient-specific, most
surgeons rely on generic maps to determine their orientation. Beyond the
imprecise pinch test, there remains no accepted method for determining STLs in
vivo. METHODS: (i) The speed of an elastic motion travelling radially on the skin
of canine cadavers was measured with a commercial device called the
Reviscometer(r) . (ii) Similar to the original experiments conducted by Karl
Langer, circular excisions were made on the skin and the geometric changes to the
resulting wounds and excised samples were used to determine the orientation of
STLs. RESULTS: A marked anisotropy in the speed of the elastic wave travelling
radially was observed. The orientation of the fastest wave was found to correlate
with the orientation of the elongated wound (P<0.001, R2 =74%). Similarly, the
orientation of fastest wave was the same for both in vivo and excised isolated
samples, indicating that the STLs have a structural basis. Resulting wounds
expanded by an average area of 9% (+16% along STL and -10% across) while excised
skin shrunk by an average area of 33% (23% along STL and 10% across). CONCLUSION:
Elastic surface wave propagation has been validated experimentally as a robust
method for determining the orientation of STLs non-destructively and non
invasively. This study has implications for the identification of STLs and for
the prediction of skin tension levels, both important factors in both human and
veterinary reconstructive surgery.
PMID- 27868284
TI - Speciation in a keystone plant genus is driven by elevation: a case study in New
Guinean Ficus.
AB - Much of the world's insect and plant biodiversity is found in tropical and
subtropical 'hotspots', which often include long elevational gradients. These
gradients may function as 'diversity pumps' and contribute to both regional and
local species richness. Climactic conditions on such gradients often change
rapidly along short vertical distances and may result in local adaptation and
high levels of population genetic structure in plants and insects. We
investigated the population genetic structure of two species of Ficus (Moraceae)
along a continuously forested elevational gradient in Papua New Guinea. This
speciose plant genus is pollinated by tiny, species-specific and highly coevolved
chalcid wasps (Agaonidae) and represented by at least 73 species at our study
gradient. We present results from two species of Ficus sampled from six
elevations between 200 m and 2700 m a.s.l. (almost the entire elevational range
of the genus) and 10 polymorphic microsatellite loci. These results show that
strong barriers to gene flow exist between 1200 m and 1700 m a.s.l. Whereas
lowland populations are panmictic across distances over 70 km, montane
populations can be disjunct over 4 km, despite continuous forest cover. We
suggest that the limited gene flow between populations of these two species of
montane Ficus may be driven by environmental limitations on pollinator or seed
dispersal in combination with local adaptation of Ficus populations. Such a
mechanism may have wider implications for plant and pollinator speciation across
long and continuously forested elevational gradients if generalist insect
pollinators and vertebrate seed dispersers also form populations based on
elevation.
PMID- 27868285
TI - Review of chemotherapeutic approaches for operable and inoperable esophageal
squamous cell carcinoma.
AB - The predominant histological types of esophageal cancer are adenocarcinoma and
squamous cell carcinoma. Since these two histological types present as different
diseases in terms of their epidemiology, pathologenesis, and tumor biology,
separate therapeutic approaches should be developed against each type. While
surgical resection remains the dominant therapeutic intervention for patients
with operable esophageal squamous cell carcinoma (ESCC), their high rates of
tumor recurrence have prompted investigation of multimodality therapies that
combine surgery with chemotherapy, radiotherapy, and chemoradiotherapy. In Japan,
preoperative chemotherapy with cisplatin (CDDP) plus 5-fluorouracil (5-FU)
followed by radical esophagectomy has been accepted as the standard therapeutic
approach for resactable clinical Stage II/III ESCC. Similarly, the CDDP and 5-FU
regimen has been accepted as the first-line treatment for metastatic and
unresectable ESCCs in Japan. Thus, in Japan chemotherapy is an indispensable
component of therapy for both resectable and unresectable ESCCs. This review
discusses the current knowledge, rationale, and available data regarding
chemotherapy for resectable and unresectable ESCCs.
PMID- 27868286
TI - A high number of stromal tumor-infiltrating lymphocytes is a favorable
independent prognostic factor in M0 (stages I-III) esophageal squamous cell
carcinoma.
AB - Esophageal cancer is a highly invasive tumor with a poor prognosis. Lymphocytes
play an important role in systemic immune responses, but their role in cancers
varies depending on the specific tumor microenvironment. The aim of this study
was to provide evidence for tumor-infiltrating lymphocytes (TILs) as a prognostic
biomarker in esophageal squamous cell carcinoma. TIL analysis was retrospectively
performed on full-face hematoxylin and eosin-stained sections from 127 patients.
A majority (92.6%) of tumors had at least 10% stromal TILs (sTILs) (range, 10%
90%), and 84.3% of cancers had at least 10% intraepithelial TILs (iTILs) (range,
10%-40%). Multivariate analysis showed progressively better overall survival (P <
0.001, hazard ratio = 0.968, 95% confidence interval 0.955-0.981) and disease
free survival (P = 0.005, hazard ratio = 0.982, 95% confidence interval 0.970
0.995) in patients with higher sTILs. Marginal increases in overall survival and
disease-free survival were found in the higher iTILs cohort versus the lower
iTILs cohort, but the difference was not significant. In conclusion, in addition
to tumor stage increasing stromal lymphocytic infiltration is an independent
prognostic factor for esophageal squamous cell carcinoma treated by radical
resection.
PMID- 27868287
TI - Development of a nomogram for the prediction of pathological complete response
after neoadjuvant chemoradiotherapy in patients with esophageal squamous cell
carcinoma.
AB - Nomograms incorporating multiple prognostic factors are useful for individualized
estimation of survival in cancer patients. However, nomograms for the prediction
of pathological complete response (pCR) after neoadjuvant chemoradiotherapy
(nCRT) in patients with esophageal cancer are scarce. Here, we describe the
development of a nomogram for predicting pCR after nCRT in patients with
esophageal squamous cell carcinoma (ESCC). We retrospectively reviewed the
records of 392 ESCC patients who underwent nCRT followed by esophagectomy.
Seventy percent of the participants (n = 274) were randomly assigned to a
training cohort, whereas the remaining 30% were included in a validation cohort
(n = 118). Data from the training cohort were subjected to multivariate logistic
regression analyses for selecting variables to be included in the nomogram. The
performance of the resulting nomogram was internally and externally validated by
calculating the bias-corrected concordance statistic (c-statistic) and the area
under the receiver operating characteristics curve (AUROC) in the training and
validation cohorts, respectively. After surgery, 25.77% of the study patients
achieved pCR. The following variables were included in the nomogram: (i) age,
(ii) pretreatment tumor length, (iii) history of head and neck cancer, (iv) post
nCRT albumin levels, and (v) post-nCRT endoscopic findings coupled with
endoscopic biopsy results. The bias-corrected c-statistic and AUROC of the
internal and external validation sets were 0.77 and 0.747, respectively. Our
nomogram showed a good performance for predicting pCR after nCRT in ESCC
patients.
PMID- 27868289
TI - Determinants of health-related quality of life in anti-MAG neuropathy: a cross
sectional multicentre European study.
AB - Our objective was to assess determinants of quality of life (QoL) in anti-myelin
associated glycoprotein antibody (MAG) neuropathy. The SF-36 questionnaire was
assessed in 55 patients, from Marseille, Angers (France) and Birmingham (UK).
Routine clinical evaluations included Medical Research Council (MRC) sum score,
inflammatory neuropathy cause and treatment (INCAT) sensory score, inflammatory
Rasch-built overall disability score (I-RODS), ataxia score, Jamar grip
dynamometry, timed 10-m walk, neuropathic pain symptom inventory (NPSI) score,
and fatigue severity score (FSS). Physical component summary (PCS) and mental
component summary (MCS) of the SF36 questionnaire were significantly lower than
in reported normal subjects of both countries (p < 0.001). All SF-36 domains
correlated with I-RODS, except MCS for which significance was, however,
approached (p = 0.056). PCS correlated with MRC sum score, ataxia score, timed 10
m walk, tremor, Jamar grip dynamometry, NPSI pain score, FSS and level of social
support. MCS correlated exclusively with FSS and level of social support. In
multivariate regression, PCS was associated independently with I-RODS (p < 0.001)
and NPSI pain score (p = 0.011), whereas MCS was associated independently with
FSS (p = 0.022). QoL is accurately predicted in anti-MAG neuropathy by the I-RODS
and FSS, lending support to their use in clinical and research settings.
Effective measures to improve QoL should include tremor and neuropathic pain
treatment, fatigue management, and improved social support.
PMID- 27868290
TI - Outcomes of definitive chemoradiation in patients with esophageal cancer.
AB - The incidence of esophageal cancer has risen dramatically in the Western world.
Although surgical resection of esophageal tumors is considered the cornerstone of
curative approaches in localized esophageal cancer, approximately 40% of patients
who undergo chemoradiation followed by surgery will experience a recurrence.
Additionally, surgical resection is not a viable option for many patients with
locally advanced unresectable disease, poor general condition or whose condition
deteriorated following chemoradiation. Several investigators have, therefore,
attempted to evaluate the outcomes of definitive chemoradiation in patients with
localized or locally advanced esophageal cancer. The outcomes of concurrent
chemoradiation remain a matter of debate given the heterogenous study design and
treatment regimens used in recent trials. Understanding the clinical benefit of
chemoradiation is essential prior to recommending it as an alternative to
surgery. In our review, we present the most recent studies evaluating the role of
chemoradiation to better define the clinical outcomes of patients with special
attention to overall survival.
PMID- 27868288
TI - P21, COX-2, and E-cadherin are potential prognostic factors for esophageal
squamous cell carcinoma.
AB - Much research effort has been devoted to identifying prognostic factors for
esophageal squamous cell carcinoma (ESCC) by immunohistochemistry; however, no
conclusive findings have been reached thus far. We hypothesized that certain
molecules identified in previous studies might serve as useful prognostic markers
for ESCC. Therefore, the aim of the current study was to validate the most
relevant markers showing potential for ESCC prognosis in our prospective
esophageal cancer database. A literature search was performed using the PubMed
database for papers published between 1980 and 2015 using the following key
words: 'esophageal cancer,' 'prognosis,' and 'immunohistochemistry.' Literature
selection criteria were established to identify the most widely studied markers,
and we further validated the selected markers in a cohort from our single-surgeon
team, including 153 esophageal cancer patients treated from 2000 to 2010. A total
of 1799 articles were identified, 82 of which met the selection criteria. Twelve
markers were found to be the most widely studied, and the validation results
indicated that only P21, COX-2, and E-cadherin were independent prognostic
factors for ESCC patients in this series. The systemic review and cohort
validation suggest that P21, COX-2, and E-cadherin are potential prognostic
factors for ESCC, paving the way for more targeted prospective validation in the
future.
PMID- 27868291
TI - Tagging polymorphisms of methyl-CpG binding domain 4 and gastric cardiac
adenocarcinoma risk in a Chinese population.
AB - Potential effects of genetic factors on carcinogenesis of gastric cardiac
adenocarcinoma (GCA) may exist. The present experiment specifically evaluated the
genetic influence of single nucleotide in methyl-CpG binding domain 4 (MBD4) on
GCA tumorigenesis. A case-control experiment based on hospital recruited 330 GCA
patients and 608 non-cancer patients was carried out. We employed ligation
detection reaction method to detect the genotypes. The results revealed that MBD4
rs3138373, rs2005618, and rs3138355 mutations had no significant association with
the risk of GCA. However, a lower risk of GCA presented in male patients who
carried the MBD4 rs3138355 G>A polymorphic loci by the stratified analyses. In
general, The MBD4 gene polymorphism could not influence GCA hereditary
predisposition. Nevertheless, whether the finding learned from our experiment
could apply to other ethnic groups will remain vague until future multicenter
studies further test and verify our conclusions.
PMID- 27868292
TI - Patterns of reflux in gastroesophageal reflux disease in pediatric population of
New South Wales.
AB - This study is to determine the association of ambulatory pH monitoring (24hr pH)
with symptoms of gastroesophageal reflux and its other investigations. The
clinical and epidemiological profiles of subjects referred for reflux disorders
are also studied. Symptoms or group of symptoms, profiles and prior
investigations of 1259 consecutive pediatric subjects (with 1332 24hr pH studies
performed) referred for evaluation of reflux disorders between 1988 and 2012 were
retrospectively studied. Chi-square or fisher exact test was used for hypothesis
testing, student t-test for the comparison of means and the Wilcoxon rank-sum
test for comparing medians of continuous variables. Gastroesophageal reflux
disease (GERD), defined as reflux causing major symptoms and complications, was
diagnosed in 57.5% subjects of the total sample. Forty-three percent were girls
and 56.7% were boys. The most common age group was between 4 months and 2 years
(51.2%). Vomiting (64.4%) and irritability (74%) were the most common symptoms
with the neurological conditions (23.2%) being the most frequent underlying
condition. The parameters used in 24hr pH were significantly higher in those
diagnosed with GERD (P < 0.0001). The prevalence of GERD was found to be
significantly higher when both gastrointestinal and respiratory symptoms were
present (P = 0.008) at 66.4% than when compared with gastrointestinal (56.5%) and
respiratory (52.2%) symptoms in isolation. Symptoms alone were not reliable in
diagnosing GERD. Only 57.5% had GERD among patients referred for reflux
disorders. 24hr pH is reliable and should be considered routine in reflux
disorders, as it identifies patients with pathologic reflux and avoids a needless
surgery.
PMID- 27868293
TI - Clinical features of diffuse large B-cell lymphoma with polyploidy.
AB - Polyploidy, defined as more than two sets of homologous chromosomes, is found in
a variety of malignant tumors and is thought to be related to disease
pathogenesis. However, there have been no studies that have investigated
polyploidy in diffuse large B-cell lymphoma (DLBCL). Here we reviewed
clinicopathological features of 16 cases of DLBCL with polypoidy, which was
defined as DLBCL with either near-tetraploid or greater number of chromosomes as
detected by the G-band method. The frequency of polyploid DLBCL was 2.9 %
(16/544), including 15 near-tetraploid and one near-pentaploid case. CD5, CD30
and EBER positive cases were 13 % (2/16), 13 % (2/16) and 6 % (1/16),
respectively. Bcl2 positive cases were 75 % (12/16). The numbers of huge and
multinucleated cells were higher in polyploid than in non-polyploid DLBCL (P =
0.0029 and P < 0.0001, respectively). Clinical features of polyploid DLBCL
included reduced infiltration of extranodal sites (2/15, 13 %) and major lymph
node infiltration. Of seven cases that received chemotherapy, six responded to
treatment and survived. Our results suggest that polyploid DLBCL represents a
clinicopathologically characteristic group of DLBCL. This knowledge can be useful
for informing more personalized and targeted management of DLBCL patients.
PMID- 27868294
TI - Effect of dietary calcium level and source on mineral utilisation by piglets fed
diets containing exogenous phytase.
AB - Calcium and phosphorus are essential minerals, closely linked in digestive
processes and metabolism. With widespread use of low P diets containing exogenous
phytase, the optimal dietary Ca level was verified. The 40-day study evaluated
the effects of Ca level (4, 7 and 10 g/kg diet) and Ca source (Ca from CaCO3 and
from Lithothamnium calcareum) on mineral utilisation in 72 piglets (7.9 +/- 1.0
kg BW) fed an exogenous phytase containing diet with 2.9 g digestible P/kg.
Measured parameters were growth performance, stomach mineral solubility, bone
breaking strength and urinary, serum and bone mineral concentration. The apparent
total tract digestibility of minerals was also assessed in the two diets with 7 g
Ca/kg, using 12 additional pigs. Regardless of Ca source, increasing dietary Ca
impaired feed conversion ratio, increased urinary pH, increased serum and urinary
Ca, decreased serum and urinary P, decreased serum Mg and increased urinary Mg,
increased serum AP activity, decreased bone Mg increased bone Zn. Bone breaking
strength was improved with 7 compared to 4 g Ca/kg. Compared to CaCO3 , Ca from
Lithothamnium calcareum increased serum Mg and with, 10 g Ca/kg, it limited body
weight gain. The dose response of Ca in a diet with 2.9 g digestible P/kg and
including exogenous phytase indicated that: (i) a low dietary Ca was beneficial
for piglet growth, but was limiting the metabolic use of P; (ii) a high dietary
Ca level impaired P utilisation; (iii) the optimal P utilisation and bone
breaking strength was obtained with a dietary Ca-to-digestible P ratio of 2.1 to
2.4:1; (iv). Increasing dietary Ca reduced Mg utilisation, but not Zn status,
when fed at adequate level. Finally, Ca from Lithothamnium calcareum had similar
effects on Ca and P metabolism as CaCO3 , but impaired growth when fed at the
highest inclusion level.
PMID- 27868295
TI - Efficacy and safety of cisplatin-based versus nedaplatin-based regimens for the
treatment of metastatic/recurrent and advanced esophageal squamous cell
carcinoma: a systematic review and meta-analysis.
AB - Cisplatin and nedaplatin show significant antitumor activity and have been widely
used for esophageal squamous cell carcinoma (ESCC). However, it is still unclear
whether the efficacy and safety of nedaplatin-based regimens are comparable to
those of cisplatin-based regimens in patients with metastatic/recurrent or
advanced ESCC. Therefore, we conducted a systematic review and meta-analysis to
compare the efficacy and safety of these two regimens for the treatment of
metastatic/recurrent and advanced ESCC. We systematically searched Pubmed, Web of
Science, and the Cochrane Database, as well as abstracts presented at conferences
(all up to January 2015), for randomized-controlled and nonrandomized clinical
trials that compared cisplatin-based and nedaplatin-based regimens in patients
with metastatic/recurrent or advanced ESCC. Data were extracted from the original
studies by two independent reviewers. This meta-analysis was performed using
Review Manager (RevMan) Version 5.3 (Copenhagen: The Nordic Cochrane Centre, The
Cochrane Collaboration, 2014) software. Ten eligible trials, including 598
patients diagnosed with metastatic/recurrent or advanced ESCC, were included in
our analysis. Our results demonstrated that the nedaplatin-based regimens were
comparable to the cisplatin-based regimens in terms of overall survival (OS)
(hazard ratio, HR: 1.22, 95% confidence interval, CI: 0.86-1.74, p = 0.26) and
overall response rate (ORR) (risk ratio, RR: 0.92, 95% CI: 0.77-1.10, p = 0.37)
and generated fewer grade 3 and 4 side effects including nausea (RR: 3.41, 95%
CI: 1.67-6.96, p < 0.001) and vomiting (RR: 3.62, 95% CI: 1.77-7.40, p < 0.001)
and fewer grade 1 and 2 adverse events including nausea (RR: 1.54, 95% CI: 1.23
1.93, p < 0.001), vomiting (RR: 1.76, 95% CI: 1.76-2.30, p < 0.001), peripheral
neuropathy (RR: 1.75, 95% CI: 1.08-2.84, p = 0.02) and renal dysfunction
(creatinine) (RR: 3.28, 95% CI: 1.37-7.84, p = 0.008). This systematic review and
meta-analysis indicated that the efficacy of nedaplatin-based regimens was
comparable to that of cisplatin-based regimens for patients with
metastatic/recurrent or advanced ESCC, and that nedaplatin-based regimens were
associated with less toxicity and better tolerability. However, this study was a
meta-analysis of previously released data; therefore, there is a potential
publication bias and heterogeneity among the included trials. Future, well
designed RCTs with large cohorts are warranted.
PMID- 27868296
TI - Improving access to immunisation for migrants and refugees: recommendations from
a stakeholder workshop.
PMID- 27868297
TI - Making guidelines for economic evaluations relevant to public health in
Australia.
PMID- 27868298
TI - Changes to smoking habits and addiction following tobacco excise tax increases: a
comparison of Maori, Pacific and New Zealand European smokers.
AB - OBJECTIVE: To compare changes in smoking habit and psychological addiction in
Maori/Pacific and NZ European smokers in response to two annual excise tax
increases from 2012 to 2014. METHODS: Smokers from New Zealand cities completed
questionnaires at three time points before and after two excise tax increases.
RESULTS: There were no significant differences in cigarettes per day or
psychological addiction at baseline, but a linear decline in both measures was
observed in Maori/Pacific and NZ European smokers. Cigarettes per day reduced at
a greater rate for Maori/Pacific than NZ European smokers but dependence did not.
CONCLUSION: Results indicated that Maori/Pacific smokers' demand for cigarettes
may be more price sensitive than NZ European smokers. Implications for Public
Health: Tobacco excise tax may be particularly effective for Maori/Pacific
smokers and may contribute to reductions in smoking-related health inequalities
in NZ.
PMID- 27868299
TI - Quantifying maternal incarceration: a whole-population linked data study of
Western Australian children born 1985-2011.
AB - OBJECTIVE: To measure the prevalence of children affected by maternal
incarceration in Western Australia (WA). METHODS: Using linked administrative
data we identified all children born in WA between 1985 and 2011, whose
biological mother was imprisoned during their childhood. Data was obtained
through the WA Data Linkage Branch from the Department of Corrective Services,
Midwives Notifications System and Birth Registrations data collections.
Descriptive characteristics of the children (n=9,352) and their mothers (n=3,827)
are reported. Prevalence was measured in two-ways, the proportion of children
ever affected in childhood and affected annually. RESULTS: Childhood prevalence
of maternal incarceration was 26-times higher (95%CI 23.9-28.2) for Indigenous
children born 1992-1996 with 18.8% Indigenous children and 0.7% non-Indigenous
children affected while aged 0-17 years. On average 1,544 children were affected
each year across 2003-2011, at rates of 2,929 per 100,000 Indigenous children and
108 per 100,000 non-Indigenous children. CONCLUSIONS: The findings present the
first census of children affected by maternal incarceration within an Australian
State and identify a large disparity between Indigenous and non-Indigenous
populations. Implications for public health: This study highlights the importance
of formal consideration of children of women prisoners in the development of
criminal justice policies and practices.
PMID- 27868300
TI - Outcomes of using telehealth for the provision of healthcare to Aboriginal and
Torres Strait Islander people: a systematic review.
AB - OBJECTIVE: To examine reported outcomes of health services delivered by
telehealth to Indigenous Australians. METHODS: Systematic review of the
literature. Searches were conducted to identify articles that reported a
telehealth service used to provide clinical services to Indigenous Australians.
Articles were screened for inclusion using pre-defined criteria. Findings were
synthesised narratively and reported using the preferred reporting items for
systematic reviews and meta-analyses (PRISMA) guidelines. RESULTS: 14 articles,
describing 11 distinct telehealth services, were selected based on the inclusion
criteria. Authors of included studies report that telehealth has improved social
and emotional wellbeing, clinical outcomes and access to health services for
Indigenous Australians. Further, it has reduced travel and improved screening
rates. Indigenous people report positive perceptions of their telehealth
interaction. CONCLUSION: Telehealth is used to address poor accessibility to
health services and for targeted screening programs for at risk populations.
Reported outcomes from existing services demonstrate the potential of telehealth
for health service delivery for Indigenous Australians. Confidence in the
findings of this review is reduced by the predominance of descriptive studies and
small sample sizes in many of the included articles. IMPLICATIONS: Telehealth
models of care facilitated through partnerships between Aboriginal community
controlled health services and public hospitals may improve both patient outcomes
and access to specialist services for Indigenous people.
PMID- 27868301
TI - Exploring MALDI-TOF MS approach for a rapid identification of Mycobacterium avium
ssp. paratuberculosis field isolates.
AB - AIMS: The aim of the study was to explore the suitability of matrix-assisted
laser desorption/ionisation time-of-flight mass spectrometry (MALDI-TOF MS) for a
rapid and correct identification of Mycobacterium avium ssp. paratuberculosis
(MAP) field isolates. METHODS AND RESULTS: MALDI-TOF MS approach is becoming one
of the most popular tests for the identification of intact bacterial cells which
has been shown to be fast and reliable. For this purpose, 36 MAP field isolates
were analysed through MALDI-TOF MS and the spectra compared with two different
databases: one provided by the vendor of the system employed (Biotyper ver. 3.0;
Bruker Daltonics) and a homemade database containing spectra from both
tuberculous and nontuberculous Mycobacteria. Moreover, principal component
analysis procedure was employed to confirm the ability of MALDI-TOF MS to
discriminate between very closely related subspecies. Our results suggest MAP can
be differentiated from other Mycobacterium species, both when the species are
very close (M. intracellulare) and when belonging to different subspecies (M.
avium ssp. avium and M. avium ssp. silvaticum). CONCLUSIONS: The procedure
applied is fast, easy to perform, and achieves an earlier accurate species
identification of MAP and nontuberculous Mycobacteria in comparison to other
procedures. SIGNIFICANCE AND IMPACT OF THE STUDY: The gold standard test for the
diagnosis of paratuberculosis is still isolation of MAP by cultural methods, but
additional assays, such as qPCR and subculturing for determination of mycobactin
dependency are required to confirm its identification. We have provided here
evidence pertaining to the usefulness of MALDI-TOF MS approach for a rapid
identification of this mycobacterium among other members of M. avium complex.
PMID- 27868303
TI - Fat-free mass index predicts survival in patients with idiopathic pulmonary
fibrosis.
AB - BACKGROUND AND OBJECTIVE: Detailed body composition, such as fat-free mass, has
not been examined in idiopathic pulmonary fibrosis (IPF). We investigated whether
the fat-free mass index (FFMI), an index of lean body mass, predicted survival.
METHODS: Forty-four patients with IPF were enrolled in the study. Their body
composition was assessed using direct segmental multi-frequency bioelectrical
impedance analysis. The degree of correlation between variables of body
composition and other variables such as forced vital capacity (FVC) and survival
was examined. RESULTS: There was a significant positive correlation between FFMI
and FVC, diffusion capacity of the lung for carbon monoxide (DLCO ) and 6-min
walk distance, and a significant negative correlation with age. However, there
was no significant correlation between FFMI and percentage predicted FVC or DLCO
, with the degree of correlation being similar to that observed for BMI. The mean
observation period in the survival analysis was 837.5 +/- 407.5 days. A
univariate Cox proportional hazard model showed that several variables, but not
BMI, were associated significantly with survival. FFMI (hazard ratio (HR): 0.64,
95% CI: 0.43-0.94, P = 0.02) and percentage predicted FVC (HR: 0.96, 95% CI: 0.93
0.99, P=0.008) were significant factors in a multivariate model. CONCLUSION: We
conclude that FFMI is a significant independent predictor of survival in patients
with IPF.
PMID- 27868302
TI - Reduced DNA methylation of sphingosine-1 phosphate receptor 5 in alveolar
macrophages in COPD: A potential link to failed efferocytosis.
AB - BACKGROUND AND OBJECTIVE: We previously showed that alveolar macrophages from
COPD patients are defective in their ability to phagocytose apoptotic cells
('efferocytosis') and that this defect is potentially linked to the sphingosine-1
phosphate (S1P) system, in particular the sphingosine-1 phosphate receptor 5
(S1PR5). In alveolar macrophages from COPD patients, S1PR5 mRNA expression levels
increased and were correlated with both lung function and efferocytosis. However,
it us unknown whether these changes are under epigenetic control via DNA
methylation or whether DNA methylation directly modulates macrophage function.
METHODS: Bisulfite sequencing was used to assess DNA methylation levels at CpG
islands associated with genes encoding selected S1P system components, including
sphingosine kinase 1 (SPHK1), S1PR1 and S1PR5, in alveolar macrophages from 20
COPD patients, 7 healthy smokers and 10 healthy non/ex-smokers) by methyl
quantitative real-time PCR (methyl qPCR). The effect of the DNA methyltransferase
inhibitor, 5-azacytidine on the efferocytosis capacity of THP-1 macrophages was
assessed using flow cytometry. RESULTS: Among the S1P system genes examined,
S1PR5 was the single target that showed significant changes in DNA methylation
between patient groups. Alveolar macrophages isolated from COPD patients showed
lower methylation levels in the same region compared to macrophages from non/ex
smokers. in vitro studies using THP-1 macrophages showed that DNA demethylation
with 5-azacytidine increased the efferocytosis capacity and dose-dependently
rescued the cells from the cigarette smoke-induced defect in efferocytosis.
CONCLUSION: Macrophage function can be modulated epigenetically. Reduced
methylation may underlie the increased expression of the S1PR5 gene in alveolar
macrophages and associated defective efferocytosis in COPD.
PMID- 27868304
TI - Individual, social and environmental factors and their association with weight in
rural-dwelling women.
AB - OBJECTIVE: Obesity is a major public health concern and women living in rural
settings present a high-risk group. With contributing factors poorly explored, we
evaluated their association with weight in rural Australian women. METHODS: Women
aged 18-50 years of any body mass index (BMI) were recruited between October 2012
and April 2013 as part of a larger, randomised controlled trial within 42 rural
towns. Measured weight and height as well as self-reported measures of individual
health, physical activity, dietary intake, self-management, social support and
environmental perception were collected. Statistical analysis included linear
regression for continuous variables as well as chi-squared and logistic
regression for categorical variables with all results adjusted for clustering.
RESULTS: 649 women with a mean baseline age and BMI of 39.6+/-6.7 years and
28.8+/-6.9 kg/m2 respectively, were studied. Overall, 65% were overweight or
obese and 60% overall reported recent weight gain. There was a high intention to
self-manage weight, with 68% attempting to lose weight recently, compared to 20%
of women reporting health professional engagement for weight management. Obese
women reported increased weight gain, energy intake, sitting time and prevalence
of pre-existing health conditions. There was an inverse relationship between
increased weight and scores for self-management, social support and health
environment perception. CONCLUSIONS: Many women in rural communities reported
recent weight gain and were attempting to self-manage their weight with little
external support. Implications for public health: Initiatives to prevent weight
gain require a multifaceted approach, with self-management strategies and social
support in tandem with building a positive local environmental perception.
PMID- 27868305
TI - Growth, nutrient digestibility, ileal digesta viscosity, and energy
metabolizability of growing turkeys fed diets containing malted sorghum sprouts
supplemented with enzyme or yeast.
AB - Growth, apparent nutrient digestibility, ileal digesta viscosity, and energy
metabolizability of growing turkeys fed diets containing malted sorghum sprouts
(MSP) supplemented with enzyme or yeast were investigated using 120, 28-day-old
male turkeys. Six treatments were laid out in a 3 * 2 factorial arrangement of
treatments with three dietary inclusion levels of MSP (0, 50, and 100 g/kg) and
supplemented with 200 mg/kg yeast (Saccharomyces cerevisiae) or 200 mg/kg of a
commercial enzyme. The experiment lasted for the starter (day 28-56) and grower
phases (day 57-84) of the birds. Each treatment group consisted of 20 turkeys
replicated four times with five birds each. Data were analysed using analysis of
variance while polynomial contrast was used to determine the trends (linear and
quadratic) of MSP inclusion levels. Irrespective of dietary supplementation with
enzyme or yeast, final body weight (BW), total BW gain, and feed intake for
turkey poults from day 29-56 was reduced (p < 0.05) with increasing inclusion
level of MSP. Dietary supplementation with yeast resulted in increased (p < 0.05)
feed intake while enzyme supplementation improved (p < 0.05) feed conversion
ratio of the poults. Turkeys fed enzyme-supplemented MSP diets had higher (p <
0.05) BW gain than their counterparts fed yeast-supplemented MSP diets. Apparent
ash digestibility reduced linearly (p < 0.05) with increasing inclusion levels of
MSP. Apparent metabolizable energy (AME) did not vary significantly (p > 0.05)
with MSP inclusion levels. Enzyme supplementation reduced (p < 0.05) ileal
viscosity but had no effect (p > 0.05) on AME. Inclusion of MSP resulted in poor
growth performance. This confirms earlier studies that utilization of MSP by
poultry is rather poor. Supplementation with enzyme or yeast did not lead to any
appreciable improvement in performance of turkeys in this study.
PMID- 27868306
TI - Sample size for clinical trials.
PMID- 27868307
TI - Effects of eosinophilic oesophagitis on quality of life in an adult UK
population: a case control study.
AB - Eosinophilic oesophagitis (EoE) is a chronic immune-mediated esophageal disease,
characterized by symptoms related to esophageal dysfunction and histologically by
eosinophil predominant inflammation. Current evidence for an adverse impact on
quality of life (QoL) is conflicting and there are no data from a UK population
regarding QoL. We conducted a prospective cross-sectional observational study
using the Short Form-36 Health Survey, Hospital Dysphagia/Odynophagia
Questionnaire, and the EoE Adult Quality of Life Questionnaire to assess QoL and
severity of dysphagia in EoE patients, compared to age and gender matched healthy
control subjects. Data were also collected on comorbidity and medication use.
Eighty-eight subjects were recruited (44 patients). Patients had higher rates of
antihistamine and topical (swallowed) corticosteroid use. Physical QoL did not
differ between patients and controls, although patients did report a
statistically significant lower mental QoL, with small absolute magnitude of
difference. Patients reported higher dysphagia scores and these were negatively
correlated with both physical and mental QoL. Higher rates of dysphagia and
medication use in patients may among other things account for lower mental QoL.
However, a higher rate of dysphagia in patients is not associated with a reduced
physical QoL. Our findings are of clinical value, particularly when a new
diagnosis of EoE is made, as clinicians can reassure patients that their general
physical health should not be greatly affected by the diagnosis. Moreover, it may
also be useful for patients to be aware that EoE may have an impact on their
mental health, but this effect is likely to be small. We therefore advocate
education and reassurance in this respect for all patients at diagnosis.
PMID- 27868309
TI - An examination of how alcohol brands use sport to engage consumers on social
media.
AB - INTRODUCTION AND AIMS: To examine how alcohol brands use sport in their
communication activities on social media. Despite extensive research exploring
alcohol advertising and sponsorship through sport, minimal attention has been
given to digital platforms. DESIGN AND METHODS: This study undertakes a
qualitative content analysis to examine the social media activity of alcohol
brands sponsoring the three largest spectator sports in Australia: Australian
rules football, rugby league and cricket. RESULTS: Four sport-related social
media strategies are identified through which alcohol brands solicit interaction
with consumers, often involving co-creation of content and social activation.
These strategies act as 'calls to action' and through the association of sport
and alcohol encourage consumers to engage in competition, collaboration,
celebration and consumption. These strategies are further strengthened by
communications which draw upon themes of identity and camaraderie to resonate
with the consumer. DISCUSSION AND CONCLUSIONS: Sport-linked social media
strategies utilised by alcohol brands extend beyond just promoting their product.
They seek higher levels of engagement with the consumer to amplify and augment
the connection between alcohol and the sport spectator experience. The discussion
highlights the powerful combination of sport and social media as a mechanism by
which these brands seek to interact with consumers and encourage them to both
create and promote content to their social networks. These strategies allow
alcohol brands to extend their marketing efforts in a manner which can elude
alcohol codes and prove difficult for regulators to identify and control.
[Westberg K, Stavros C, Smith ACT, Munro G, Argus K. An examination of how
alcohol brands use sport to engage consumers on social media. Drug Alcohol Rev
2018;37:28-35].
PMID- 27868310
TI - The serotonergic anxiolytic buspirone attenuates circadian responses to light.
PMID- 27868308
TI - 'Partnerships are crucial': an evaluation of the Aboriginal Family Birthing
Program in South Australia.
AB - OBJECTIVES: To evaluate implementation and outcomes of the Aboriginal Family
Birthing Program (AFBP), which provides culturally competent antenatal,
intrapartum and early postnatal care for Aboriginal families across South
Australia (SA). METHODS: Analysis of births to Aboriginal women in SA 2010-2012;
interviews with health professionals and AFBP clients. RESULTS: Around a third of
all Aboriginal women giving birth in SA 2010-2012 (n=486) attended AFBP services.
AFBP women were more likely to be more socially disadvantaged, have poorer
pregnancy health and to have inadequate numbers of antenatal visits than
Aboriginal women attending other services. Even with greater social disadvantage
and higher clinical complexity, pregnancy outcomes were similar for AFBP and
other Aboriginal women. Interviews with 107 health professionals (including 20
Aboriginal Maternal and Infant Care (AMIC) workers) indicated differing levels of
commitment to the model, with some lack of clarity about AMIC workers and
midwives roles. Interviews with 20 AFBP clients showed they highly valued care
from another Aboriginal woman. CONCLUSIONS: Despite challenges, the AFBP reaches
out to women with the greatest need, providing culturally appropriate, effective
care through partnerships. Implications for Public Health: Programs like the AFBP
need to be expanded and supported to improve maternal and child health outcomes
for Aboriginal families.
PMID- 27868311
TI - TNF-alpha-induced IL-6 and MMP-9 expression in immortalized ameloblastoma cell
line established by hTERT.
AB - OBJECTIVE: Ameloblastoma (AM) shows locally invasive behaviour. However,
biological investigations regarding regulation of gene expression associated with
AM pathological features are difficult to perform, because AM cells can be
passaged for a few generations due to senescence. We report a newly established
immortalized AM cell line, AMB cells, by transfection with human telomerase
reverse transcriptase (hTERT). Furthermore, we examined whether TNF-alpha
modulates bone resorption-related genes, IL-6 and MMP-9 in cooperation with TGF
beta or IFN-gamma. MATERIALS AND METHODS: Following transfection of an hTERT
expression vector into AM cells using a non-viral method, the effects of
cytokines on the expressions of IL-6 and MMP-9 mRNA were examined using real-time
PCR. TNF-alpha-induced NF-kappaB activity was examined by western blotting and
transcription factor assays. RESULTS: AMB cells continued to grow for more than
100 population doublings. Stimulation with TNF-alpha increased IL-6 and MMP-9
mRNA expressions, as well as NF-kappaB activation. Furthermore, TGF-beta and IFN
gamma dramatically increased TNF-alpha-mediated expressions of MMP-9 and IL-6
mRNA, respectively, while those responses were suppressed by NF-kappaB inhibitor.
CONCLUSION: We established an immortalized AM cell line by hTERT transfection.
TNF-alpha-mediated regulation of MMP-9 and IL-6 via NF-kappaB may play an
important role in the pathological behaviour of AMs, such as bone resorption.
PMID- 27868312
TI - Effect of chicken leptin recptor short hairpin RNA on expression of JAK2, STAT3,
SOCS3 and CPT1 genes in chicken preadipocytes.
AB - In this study, we detect depressive effect on leptin receptor (LEPR) by LEPR
specific short hairpin RNA (shRNA) expression plasmids in chicken preadipocytes,
and effect on messenger RNA (mRNA) expression levels of genes related to signal
transduction, including JAK2, STAT3, SOCS3 as well as CPT1, which is associated
with fatty acid metabolism. shRNA expression vectors targeting LEPR were
constructed and transfected into chicken preadipocytes. The transfection
efficiency was evaluated by fluorescence microscopy. Real-time PCR was used to
detect its effect on mRNA expression levels of JAK2, STAT3, SOCS3 and CPT1.
Results showed that LEPR mRNA was knocked down by 99% (P < 0.01) after
transfection for 72 h. In the knockdown preadipocytes, the mRNA levels of JAK2
and CPT1 were down-regulated by 47.56% (P < 0.01) and 42.26% (P < 0.05),
respectively; while expression of STAT3 and SOCS3 increased 7.72-fold (P < 0.01),
1.71-fold (P < 0.01), respectively. It is concluded that knockdown of LEPR
influences mRNA expression of its down-stream genes, suggesting that chicken LEPR
play a certain role in regulating genes in the complicated gene network of
preadipocytes.
PMID- 27868313
TI - Nutrient-dependent increased dendritic arborization of somatosensory neurons.
AB - Suboptimal nutrition imposes developmental constraints on infant animals, which
marshal adaptive responses to eventually become mature adults. Such responses are
mounted at multiple levels from systemic to cellular. At the cellular level, the
underlying mechanisms of cell proliferation control have been intensively
studied. However, less is known about how growth of postmitotic and
morphologically complex cells, such as neurons, is controlled by nutritional
status. We address this question using Class I and Class IV dendritic
arborization neurons in Drosophila larvae. Class IV neurons have been shown to
sense nociceptive thermal, mechanical and light stimuli, whereas Class I neurons
are proprioceptors. We reared larvae on diets with different protein and
carbohydrate content throughout larval stages and examined how morphologies of
Class I or Class IV neurons were affected. Dendritic arbors of Class IV neurons
became more complex when larvae were reared on a low-yeast diet, which contains
lower amounts of amino acids and other ingredients, compared to a high-yeast
diet. In contrast, such low-yeast-dependent hyperarborization was not seen in
Class I neurons. The physiological and metabolic implications of the
hyperarborization phenotype are discussed in relation to a recent hypothesis that
Class IV neurons sense protein-deficient stress and to our characterization of
how the dietary yeast contents impacted larval metabolism.
PMID- 27868314
TI - Experimental whole-stream warming alters community size structure.
AB - How ecological communities respond to predicted increases in temperature will
determine the extent to which Earth's biodiversity and ecosystem functioning can
be maintained into a warmer future. Warming is predicted to alter the structure
of natural communities, but robust tests of such predictions require appropriate
large-scale manipulations of intact, natural habitat that is open to dispersal
processes via exchange with regional species pools. Here, we report results of a
two-year whole-stream warming experiment that shifted invertebrate assemblage
structure via unanticipated mechanisms, while still conforming to community-level
metabolic theory. While warming by 3.8 degrees C decreased invertebrate
abundance in the experimental stream by 60% relative to a reference stream, total
invertebrate biomass was unchanged. Associated shifts in invertebrate assemblage
structure were driven by the arrival of new taxa and a higher proportion of
large, warm-adapted species (i.e., snails and predatory dipterans) relative to
small-bodied, cold-adapted taxa (e.g., chironomids and oligochaetes).
Experimental warming consequently shifted assemblage size spectra in ways that
were unexpected, but consistent with thermal optima of taxa in the regional
species pool. Higher temperatures increased community-level energy demand, which
was presumably satisfied by higher primary production after warming. Our
experiment demonstrates how warming reassembles communities within the
constraints of energy supply via regional exchange of species that differ in
thermal physiological traits. Similar responses will likely mediate impacts of
anthropogenic warming on biodiversity and ecosystem function across all
ecological communities.
PMID- 27868315
TI - Intraobserver Agreement on Histopathologic Evaluations of Core Breast Biopsies.
AB - The number of performed core biopsies of the breast as diagnostic workup is
increasing in many European countries. We measured the intraobserver variability
in pathological assessment of breast core biopsies. Furthermore, we studied
potential modifiers of agreement between the assessments. Two hundred and fifty
six breast biopsies were evaluated twice in a blinded fashion by two
pathologists. We calculated the observed and the chance-corrected (weighted)
intraobserver agreement (kappa) using the B-categorization scheme (B1: normal or
not interpretable, B2: benign, B3: benign but of uncertain biological potential,
B4: suspicious of malignancy, B5: malignant). The observed agreement between the
first and the second assessments were 0.80 (95% CI: 0.75-0.85) for pathologist 1
and 0.81 (95% CI: 0.76-0.86) for pathologist 2. The chance-corrected agreements
were 0.85 (95% CI: 0.80-0.89) and 0.81 (95% CI: 0.76-0.87), respectively. The
most frequent disagreement was between B1 and B2 for pathologist 1 (N = 34 out of
50 disagreements, 68%) and between B2 and B3 for pathologist 2 (N = 23 out of 48
disagreements, 48%). Our study shows that the chance-corrected agreement between
the histopathological evaluations of breast biopsies based on the B
categorization scheme is almost perfect. The level of agreement is modified by
biopsy technique and by the level of suspicion of the mammographic lesion.
PMID- 27868317
TI - Comparative testing of disinfectant efficacy on planktonic bacteria and bacterial
biofilms using a new assay based on kinetic analysis of metabolic activity.
AB - AIMS: The aim of our study was to develop a new reproducible method for
disinfectant efficacy testing on bacterial biofilms and to evaluate the efficacy
of different disinfectants against biofilms. Clinical multidrug-resistant strains
were chosen as test isolates to ensure practical relevance. METHODS AND RESULTS:
We compared the standard qualitative suspension assay for disinfectant testing,
which does not take into account biofilm formation, to the new biofilm viability
assay that uses kinetic analysis of metabolic activity in biofilms after
disinfectant exposure to evaluate disinfectant efficacy. In addition, the
efficacy of four standard disinfectants to clinical isolates was tested using
both methods. All tested disinfectants were effective against test isolates when
in planktonic state using the standard qualitative suspension assay, while
disinfectants were only weakly effective against bacteria in biofilms.
CONCLUSIONS: Disinfectant efficacy testing on planktonic organisms ignores
biofilms and overestimates disinfectant susceptibility of bacteria. However,
biofilm forming, e.g. on medical devices or hospital surfaces, is the natural
state of bacterial living and needs to be considered in disinfectant testing.
SIGNIFICANCE AND IMPACT OF THE STUDY: Although bacterial biofilms are the
predominant manner of bacterial colonization, most standard procedures for
antimicrobial susceptibility testing and efficacy testing of disinfectants are
adapted for application to planktonic bacteria. To our knowledge, this is the
first study to use a newly developed microplate-based biofilm test system that
uses kinetic analysis of the metabolic activity in biofilms, after disinfectant
exposure, to evaluate disinfectant efficacy. Our study shows that findings
obtained from disinfectant efficacy testing on planktonic bacteria cannot be
extrapolated to predict disinfectant efficacy on bacterial biofilms of clinically
relevant multidrug-resistant organisms.
PMID- 27868318
TI - Zika virus infection and biological treatment for reproductive medicine.
AB - The recent Zika virus (ZIKV) epidemic is particularly challenging in the field of
reproductive medicine as various biological tissues and byproducts, such as
intravenous immunoglobulin G or cells are utilized during reproductive cycles,
and an infected mother has an increased risk of having babies with fetal
microcephaly and other congenital brain anomalies. In this review, current
guidelines for prevention of sexual transmission of ZIKV, ZIKV testing, and
tissue and blood product usages are summarized for physicians caring for those
planning pregnancy or going through infertility treatment.
PMID- 27868316
TI - Effect of organic and inorganic selenium supplementation on semen quality and
blood enzymes in buffalo bulls.
AB - The present study aimed to evaluate the effect of organic and inorganic selenium
(Se) supplementation on semen quality and blood serum profiles of buffalo bulls.
Nine mature buffalo bulls were divided into three groups: control (non
supplemented); organic Se (10 mg Sel-Plex(r)/head twice weekly) and inorganic Se
(10 mg sodium selenite/head twice weekly). Semen was collected twice a week for 3
months during Se supplementation. Semen properties were evaluated from fresh
ejaculate. Moreover, fructose concentration, aspartate and alanine transaminase
(AST and ALT) activities, total protein and total cholesterol were assayed in
seminal plasma. Additionally AST, ALT, testosterone and Se levels were determined
in the blood serum. Results showed that Se supplementation significantly (P <
0.05) influences the semen parameters during 3 months of treatment. Organic Se
significantly (P < 0.05) increased the percentage of viable sperms compared to
inorganic Se and the control group. Fructose concentration was significantly
higher (P < 0.05) in the seminal plasma of organic Se-treated bulls. Serum
testosterone and Se concentrations were significantly (P < 0.05) increased in the
Se supplemented groups than the control group. In conclusion, Se supplementation
improved the parameters of buffalo bull semen and more precisely, organic Se was
more effective for the improvement of semen quality and some blood components
than inorganic Se.
PMID- 27868319
TI - Infection assays in Arabidopsis reveal candidate effectors from the poplar rust
fungus that promote susceptibility to bacteria and oomycete pathogens.
AB - Fungi of the Pucciniales order cause rust diseases which, altogether, affect
thousands of plant species worldwide and pose a major threat to several crops.
How rust effectors-virulence proteins delivered into infected tissues to modulate
host functions-contribute to pathogen virulence remains poorly understood.
Melampsora larici-populina is a devastating and widespread rust pathogen of
poplar, and its genome encodes 1184 identified small secreted proteins that could
potentially act as effectors. Here, following specific criteria, we selected 16
candidate effector proteins and characterized their virulence activities and
subcellular localizations in the leaf cells of Arabidopsis thaliana. Infection
assays using bacterial (Pseudomonas syringae) and oomycete (Hyaloperonospora
arabidopsidis) pathogens revealed subsets of candidate effectors that enhanced or
decreased pathogen leaf colonization. Confocal imaging of green fluorescent
protein-tagged candidate effectors constitutively expressed in stable transgenic
plants revealed that some protein fusions specifically accumulate in nuclei,
chloroplasts, plasmodesmata and punctate cytosolic structures. Altogether, our
analysis suggests that rust fungal candidate effectors target distinct cellular
components in host cells to promote parasitic growth.
PMID- 27868322
TI - Spotlights on our sister journals: Chem. Eur. J. 48/2016.
PMID- 27868320
TI - Predictors of rehospitalization among older adults: Results of the CRIME Study.
AB - AIM: To assess the predictors of readmission among older adults hospitalized in
acute care wards. METHODS: A prospective cohort study was carried out among 921
hospitalized older adults participating in the CRiteria to Assess Appropriate
Medication Use among Elderly Complex Patients (CRIME) project. The primary
outcome of the study was rehospitalization within 1 year after discharge from
acute care hospitals. We assessed the participants with a questionnaire including
350 items about demographic, social and clinical characteristics. We analyzed all
factors at discharge that could be considered predictors of readmission. RESULTS:
The mean age of the participants was 81.2 years (SD 7.4 years), and 509 were
women (55.3%). Overall, 280 of 921 patients (30.4%) were rehospitalized during
the 1-year follow up of the study. Patients with a Mini-Mental State Examination
score equal to or higher than 24 had a higher probability of rehospitalization,
as compared with those who performed lower than 24 (OR 1.76, 95% CI 1.04-2.83).
In addition, heart failure (OR 1.77, 95% CI 1.14-2.24), the number of falls
during 1-year follow up (OR 1.15, 95% CI 1.05-1.28) and the number of drugs
during first hospitalization (OR 1.15, 95% CI 1.01-1.07) were significantly
associated with rehospitalization, whereas no significant association was shown
for age, sex and walking speed for minimum size (OR 1.15, 95% CI 0.99-2.00).
CONCLUSIONS: Predictors of readmission in older people are an intact cognitive
status; the presence of a geriatric condition, such as heart failure and falls;
and a high number of drugs during first hospitalization. Further studies are
required to assess the impact of home care for avoiding readmission in patients
with an intact cognitive status, and supporting and treating patients with
dementia. Geriatr Gerontol Int 2017; 17: 1588-1592.
PMID- 27868321
TI - Dementia-related adverse events in PARADIGM-HF and other trials in heart failure
with reduced ejection fraction.
AB - AIMS: Inhibition of neprilysin, an enzyme degrading natriuretic and other
vasoactive peptides, is beneficial in heart failure with reduced ejection
fraction (HFrEF), as shown in PARADIGM-HF which compared the angiotensin receptor
neprilysin inhibitor (ARNI) sacubitril/valsartan with enalapril. As neprilysin is
also one of many enzymes clearing amyloid-beta peptides from the brain, there is
a theoretical concern about the long-term effects of sacubitril/valsartan on
cognition. Therefore, we have examined dementia-related adverse effects (AEs) in
PARADIGM-HF and placed these findings in the context of other recently conducted
HFrEF trials. METHODS AND RESULTS: In PARADIGM-HF, patients with symptomatic
HFrEF were randomized to sacubitril/valsartan 97/103 mg b.i.d. or enalapril 10 mg
b.i.d. in a 1:1 ratio. We systematically searched AE reports, coded using the
Medical Dictionary for Regulatory Activities (MedDRA), using Standardized MedDRA
Queries (SMQs) with 'broad' and 'narrow' preferred terms related to dementia. In
PARADIGM-HF, 8399 patients aged 18-96 years were randomized and followed for a
median of 2.25 years (up to 4.3 years). The narrow SMQ search identified 27
dementia-related AEs: 15 (0.36%) on enalapril and 12 (0.29%) on
sacubitril/valsartan [hazard ratio (HR) 0.73, 95% confidence interval (CI) 0.33
1.59]. The broad search identified 97 (2.30%) and 104 (2.48%) AEs (HR 1.01, 95%
CI 0.75-1.37), respectively. The rates of dementia-related AEs in both treatment
groups in PARADIGM-HF were similar to those in three other recent trials in
HFrEF. CONCLUSION: We found no evidence that sacubitril/valsartan, compared with
enalapril, increased dementia-related AEs, although longer follow-up may be
necessary to detect such a signal and more sensitive tools are needed to detect
lesser degrees of cognitive impairment. Further studies to address this question
are warranted.
PMID- 27868323
TI - Light-Induced Porphyrin-Based Spectroscopic Ruler for Nanometer Distance
Measurements.
AB - We present a novel pulsed electron paramagnetic resonance (EPR) spectroscopic
ruler to test the performance of a recently developed spin-labeling method based
on the photoexcited triplet state (S=1). Four-pulse electron double resonance
(PELDOR) experiments are carried out on a series of helical peptides, labeled at
the N-terminal end with the porphyrin moiety, which can be excited to the triplet
state, and with the nitroxide at various sequence positions, spanning distances
in the range 1.8-8 nm. The PELDOR traces provide accurate distance measurements
for all the ruler series, showing deep envelope modulations at frequencies
varying in a progressive way according to the increasing distance between the
spin labels. The upper limit is evaluated and found to be around 8 nm. The PELDOR
derived distances are in excellent agreement with theoretical predictions. We
demonstrate that high sensitivity is acquired using the triplet state as a spin
label by comparison with Cu(II)-porphyrin analogues. The new labeling approach
has a high potential for measuring nanometer distances in more complex biological
systems due to the properties of the porphyrin triplet state.
PMID- 27868324
TI - To measure or not to measure direct oral anticoagulants before surgery or
invasive procedures: reply.
PMID- 27868325
TI - Novel EED mutation in patient with Weaver syndrome.
AB - Weaver syndrome is a rare condition characterized by overgrowth, macrocephaly,
accelerated osseous maturation, variable intellectual disability, and
characteristic facial features. Pathogenic variants in EZH2, a histone
methyltransferase, have previously been identified as a cause of Weaver syndrome.
However, the underlying molecular cause in many patients remains unknown. We
report a patient with a clinical diagnosis of Weaver syndrome whose exome was
initially non-diagnostic. Reports in the medical literature of EED associated
overgrowth prompted re-analysis of the patient's original exome data. The patient
was found to have a likely pathogenic variant in EED. These findings support that
Weaver syndrome is a disorder with locus heterogeneity and can be due to
pathogenic variants in either EZH2 or EED. This case highlights the utility of
exome sequencing as a clinical diagnostic tool for novel gene discovery as well
as the importance of re-examination of exome data as new information about gene
disease associations becomes available. (c) 2016 Wiley Periodicals, Inc.
PMID- 27868326
TI - Quantitative trait locus mapping reveals complex genetic architecture of
quantitative virulence in the wheat pathogen Zymoseptoria tritici.
AB - We conducted a comprehensive analysis of virulence in the fungal wheat pathogen
Zymoseptoria tritici using quantitative trait locus (QTL) mapping. High
throughput phenotyping based on automated image analysis allowed the measurement
of pathogen virulence on a scale and with a precision that was not previously
possible. Across two mapping populations encompassing more than 520 progeny, 540
710 pycnidia were counted and their sizes and grey values were measured. A
significant correlation was found between pycnidia size and both spore size and
number. Precise measurements of percentage leaf area covered by lesions provided
a quantitative measure of host damage. Combining these large and accurate
phenotypic datasets with a dense panel of restriction site-associated DNA
sequencing (RADseq) genetic markers enabled us to genetically dissect pathogen
virulence into components related to host damage and those related to pathogen
reproduction. We showed that different components of virulence can be under
separate genetic control. Large- and small-effect QTLs were identified for all
traits, with some QTLs specific to mapping populations, cultivars and traits and
other QTLs shared among traits within the same mapping population. We associated
the presence of four accessory chromosomes with small, but significant, increases
in several virulence traits, providing the first evidence for a meaningful
function associated with accessory chromosomes in this organism. A large-effect
QTL involved in host specialization was identified on chromosome 7, leading to
the identification of candidate genes having a large effect on virulence.
PMID- 27868328
TI - Long-term outcome of the adjustable transobturator male system (ATOMS): results
of a European multicentre study.
AB - OBJECTIVE: To evaluate the long-term effectiveness and safety of the adjustable
transobturator male system (ATOMS(r) , Agency for Medical Innovations A.M.I.,
Feldkirch, Austria) in a European-wide multicentre setting. PATIENTS AND METHODS:
In all, 287 men with stress urinary incontinence (SUI) were treated with the
ATOMS device between June 2009 and March 2016. Continence parameters (daily pad
test/pad use), urodynamics (maximum urinary flow rate, voiding volume, residual
urine), and pain/quality of life (QoL) ratings (visual analogue scale/Leeds
Assessment of Neuropathic Symptoms and Signs, International Consultation on
Incontinence Questionnaire-Short Form [ICIQ-SF]/Patient Global Impression of
Improvement [PGI-I]) were compared preoperatively and after intermediate (12
months) as well as after individual maximum follow-up. Overall success rate, dry
rate (<10 mL/day and 0-1 pad/day), device durability, treatment failure, and
device complications were recorded. Nonparametric tests were used for statistical
analyses. RESULTS: After a median (interquartile range [IQR]) follow-up of 31 (10
54) months and a median (IQR) of 3 (2-4) adjustments, the overall success rate
was 90% (258 men) and the dry rate was 64% (184). Daily pad test and pad use
decreased from a median of 400 mL/day and 4 pads/day to a median of 18 mL/day and
1 pad/day (both P < 0.001), concomitantly QoL ratings significantly improved and
changed to a high level of satisfaction (PGI-I 4 to 2, ICIQ-SF 17 to 5; both P <
0.001). The UI results at 12 months were comparable to those at final follow-up.
Chronic pain and intraoperative complications did not occur. Most of the
postoperative complications were Clavien-Dindo grade I-III (no grade IV or V). At
present, 231 (80%) of all the ATOMS devices are still functioning; 56 (20%) were
removed, the most common reason being local titanium intolerance (41%) and
leak/dysfunction (30%). The operating time and continence outcome varied between
port generations. In this regard the latest port generation (silicone-covered
scrotal port) was superior to its predecessors. Primary implantation (P = 0.002),
good physical health (P = 0.001), and no history of radiotherapy (P < 0.001) were
prognostic factors for beneficial treatment outcome. CONCLUSION: The ATOMS device
is safe and shows high treatment efficacy and patient satisfaction in the largest
cohort study to date. The latest generation, with its pre-attached silicone
covered scrotal port, is superior to its predecessors. Significantly better
results were achieved with primary implantation and in those without a history of
radiotherapy.
PMID- 27868329
TI - Audible clicking on blinking: an adverse effect of topical prostaglandin analogue
medication.
PMID- 27868330
TI - Structural and functional analysis of cyclophilin PpiB mutants supports an in
vivo function not limited to prolyl isomerization activity.
AB - Escherichia coli cyclophilin PpiB is a peptidyl-prolyl cis/trans isomerase
(PPIase, EC: 5.2.1.8), involved in the negative modulation of various bacterial
processes, such as swimming and swarming motility and biofilm formation ability.
In this study, we show that PpiB possesses also a chaperone function as it can
prevent the thermal denaturation of citrate synthase even with essentially
eliminated PPIase activity. We demonstrate, using active site mutations, that the
PPIase activity of PpiB is required in all processes, except for the negative
effect on swimming, indicating a possible isomerase-independent function.
Additionally, we show that the reduced PPIase activity of PpiB does not prevent
the association with all prey proteins tested and that the PPIase active site is
not involved necessarily in each association. We also used a random mutagenesis
approach, to identify amino acid residues apart from the catalytic site, which
are necessary for PpiB function. The combination of enzymatic studies concerning
the PPIase and chaperone activities of each mutant protein, with structural
analyses based on 3D models, provided further insights into the effects of the
mutations on the function of PpiB and showed the importance of structural
features in addition to the catalytic site, for its in vivo role.
PMID- 27868327
TI - Communication and patient participation influencing patient recall of treatment
discussions.
AB - CONTEXT: Patient recall of treatment information is a key variable towards
chronic disease (CD) management. It is unclear what communication and patient
participation characteristics predict recall. OBJECTIVES: To assess what aspects
of doctor-patient communication predict patient recall of medication information.
To describe lifestyle treatment recall, in CD primary care patients. DESIGN:
Observational study within a RCT. SETTING & PARTICIPANTS: Community-based primary
care (PC) practices. Family physicians (n=18): practicing >5 years, with a CD
patient caseload. Patients (n=159): >40 years old, English speaking, computer
literate, off-target hypertension, type II diabetes and/or dyslipidaemia. MAIN
VARIABLES: Patient characteristics: age, education, number of CDs. Information
characteristics: length of encounter, medication status, medication class.
Communication variables: socio-emotional utterances, physician dominance and
communication control scores and PACE (ask, check and express) utterances,
measured by RIAS. Number of medication themes, dialogue and initiative measured
by MEDICODE. MAIN OUTCOME MEASURES: Recall of CD, lifestyle treatment and
medication information. RESULTS: Frequency of lifestyle discussions varied by
topic. Patients recalled 43% (alcohol), 52% (diet) to 70% (exercise) of
discussions. Two and a half of six possible medication themes were broached per
medication discussion. Less than one was recalled. Discussing more themes,
greater dialogue and patient initiative were significant predictors of improved
medication information recall. DISCUSSION: Critical treatment information is
infrequently exchanged. Active patient engagement and explicit conversations
about medications are associated with improved treatment information recall in
off-target CD patients followed in PC. CONCLUSION: Providers cannot take for
granted that long-term off-target CD patients recall information. They need to
encourage patient participation to improve recall of treatment information.
PMID- 27868331
TI - Patient resilience in the fracture orthopaedic rehabilitation geriatric
environment.
AB - OBJECTIVE: To explore the relationship between resilience and rehabilitation
outcomes in older orthopaedic patients. METHODS: Geriatric rehabilitation
patients admitted to a general metropolitan hospital following a fracture were
interviewed face-to-face. Their resilience was assessed using the Connor-Davidson
Resilience Scale (CD-RISC), and rehabilitation outcomes were assessed according
to functional independence measure (FIM) gain, length of stay, discharge
destination and mortality rate. RESULTS: A total of 29 patient interviews were
used in data analysis. Resilience scores varied from 49-92, with an average of
73, representing overall high resilience compared to general population samples.
Resilience scores as measured by the CD-RISC did not correlate with functional
improvements during rehabilitation postfracture. CONCLUSION: Further studies,
including patients with a broader range of resilience scores, particularly at the
lower end of the spectrum, are required to explore the relationship between
resilience and rehabilitation outcomes in greater depth.
PMID- 27868332
TI - The transcriptional responses of cultured wound cells to the excretions and
secretions of medicinal Lucilia sericata larvae.
AB - Maggots, through their excretions and secretions (ES), promote wound healing by
removing necrotic tissue, counter bacterial infection, and activate wound
associated cells. We investigated the effects of a physiological dose of maggot
ES on four wound-associated cell types in vitro with Affymetrix gene expression
arrays; keratinocytes, endothelial cells, fibroblasts, and monocytes.
Keratinocytes showed the fewest (n = 5; p < 0.05, fold-change +/-2) and smallest
fold-changes (up to 2.32*) in gene expression and conversely THP1 monocytes had
the most (n = 233) and greatest magnitude (up to 44.3*). There were no genes that
were altered in all four cell-lines. Gene pathway analysis identified an
enrichment of immune response pathways in three of the treated cell-lines.
Analyses by quantitative RT-PCR found many genes dynamically expressed in ES dose
dependent manner during the three day treatments. Phenotype analyses, however,
found no effects of ES on cell viability, proliferation, migration and
angiogenesis. ES was 100* less potent at triggering IL-8 secretion than
fibroblasts treated with purified bacterial lipopolysaccharide (LPS; in
equivalent amounts to that found in ES; ~40 EU/ml). Furthermore, co-treatment
with LPS and ES decreased the LPS-alone triggered IL-8 secretion by 13%. Although
ES had no direct effect on wound cell phenotypes it did partially reduce the
immune response to bacterial LPS exposure. These observations were consistent
with the profile of transcriptional responses that were dominated by modulation
of immune response genes. Maggot therapy may therefore improve wound healing
through the secondary effects of these gene changes in the wound cells.
PMID- 27868333
TI - To measure or not to measure direct oral anticoagulants before surgery or
invasive procedures: comment.
PMID- 27868334
TI - Consensus on the standardization of terminology in thrombotic thrombocytopenic
purpura and related thrombotic microangiopathies.
AB - : Essentials An international collaboration provides a consensus for clinical
definitions. This concerns thrombotic microangiopathies and thrombotic
thrombocytopenic purpura (TTP). The consensus defines diagnosis, disease
monitoring and response to treatment. Requirements for ADAMTS-13 are given.
SUMMARY: Background Thrombotic thrombocytopenic purpura (TTP) and hemolytic
uremic syndrome (HUS) are two important acute conditions to diagnose. Thrombotic
microangiopathy (TMA) is a broad pathophysiologic process that leads to
microangiopathic hemolytic anemia and thrombocytopenia, and involves capillary
and small-vessel platelet aggregates. The most common cause is disseminated
intravascular coagulation, which may be differentiated by abnormal coagulation.
Clinically, a number of conditions present with microangiopathic hemolytic anemia
and thrombocytopenia, including cancer, infection, transplantation, drug use,
autoimmune disease, and pre-eclampsia and hemolysis, elevated liver enzymes and
low platelet count syndrome in pregnancy. Despite overlapping clinical
presentations, TTP and HUS have distinct pathophysiologies and treatment
pathways. Objectives To present a consensus document from an International
Working Group on TTP and associated thrombotic microangiopathies (TMAs). Methods
The International Working Group has proposed definitions and terminology based on
published information and consensus-based recommendations. Conclusion The
consensus aims to aid clinical decisions, but also future studies and trials,
utilizing standardized definitions. It presents a classification of the causes of
TMA, and criteria for clinical response, remission and relapse of congenital and
immune-mediated TTP.
PMID- 27868335
TI - The Elongator complex-associated protein DRL1 plays a positive role in immune
responses against necrotrophic fungal pathogens in Arabidopsis.
AB - DEFORMED ROOT AND LEAVES1 (DRL1) is an Arabidopsis homologue of the yeast TOXIN
TARGET4 (TOT4)/KILLER TOXIN-INSENSITIVE12 (KTI12) protein that is physically
associated with the RNA polymerase II-interacting protein complex named
Elongator. Mutations in DRL1 and Elongator lead to similar morphological and
molecular phenotypes, suggesting that DRL1 and Elongator may functionally overlap
in Arabidopsis. We have shown previously that Elongator plays an important role
in both salicylic acid (SA)- and jasmonic acid (JA)/ethylene (ET)-mediated
defence responses. Here, we tested whether DRL1 also plays a similar role as
Elongator in plant immune responses. Our results show that, although DRL1
partially contributes to SA-induced cytotoxicity, it does not play a significant
role in SA-mediated expression of PATHOGENESIS-RELATED genes and resistance to
the virulent bacterial pathogen Pseudomonas syringae pv. maculicola ES4326. In
contrast, DRL1 is required for JA/ET- and necrotrophic fungal pathogen Botrytis
cinerea-induced defence gene expression and for resistance to B. cinerea and
Alternaria brassicicola. Furthermore, unlike the TOT4/KTI12 gene which, when
overexpressed in yeast, confers zymocin resistance, a phenotype of the tot4/kti12
mutant, overexpression of DRL1 does not change B. cinerea-induced defence gene
expression and resistance to this pathogen. Finally, DRL1 contains an N-terminal
P-loop and a C-terminal calmodulin (CaM)-binding domain and is a CaM-binding
protein. We demonstrate that both the P-loop and the CaM-binding domain are
essential for the function of DRL1 in B. cinerea-induced expression of PDF1.2 and
ORA59, and in resistance to B. cinerea, suggesting that the function of DRL1 in
plant immunity may be regulated by ATP/GTP and CaM binding.
PMID- 27868336
TI - Vascular imaging for hemodialysis vascular access planning.
AB - INTRODUCTION: Central venous catheters (CVC) increase risks associated with
hemodialysis (HD), but may be necessary until an arteriovenous fistula (AVF) or
graft (AVG) is achieved. The impact of vascular imaging on achievement of working
AVF and AVG has not been firmly established. METHODS: Retrospective cohort of
patients initiating HD with CVC in 2010-2011, classified by exposure to
venography or Doppler vein mapping, and followed through December 31, 2012.
Standard and time-dependent Cox models were used to determine hazard ratios (HRs)
of death, working AVF, and any AVF or AVG. Logistic regression was used to assess
the association of preoperative imaging with successful AVF or AVG among 18,883
individuals who had surgery. Models were adjusted for clinical and demographic
factors. FINDINGS: Among 33,918 patients followed for a median of 404 days, 39.1%
had imaging and 55.7% had surgery. Working AVF or AVG were achieved in 40.6%;
46.2% died. Compared to nonimaged patients, imaged patients were more likely to
achieve working AVF (HR = 1.45 [95% confidence interval [CI] 1.36, 1.55], P <
0.001]), any AVF or AVG (HR = 1.63 [1.58, 1.69], P > 0.001), and less likely to
die (HR = 0.88 [0.83-0.94], P < 0.001). Among patients who had surgery, the odds
ratio for any successful AVF or AVG was 1.09 (1.02-1.16, P = 0.008). DISCUSSION:
Fewer than half of patients who initiated HD with a CVC had vascular imaging.
Imaged patients were more likely to have vascular surgery and had increased
achievement of working AV fistulas and grafts. Outcomes of surgery were similar
in patients who did and did not have imaging.
PMID- 27868338
TI - Incomplete Timothy syndrome secondary to a mosaic mutation of the CACNA1C gene
diagnosed using next-generation sequencing.
AB - Autosomal dominant genetic diseases can occur de novo and in the form of somatic
mosaicism, which can give rise to a less severe phenotype, and make diagnosis
more difficult given the sensitivity limits of the methods used. We report the
case of female child with a history of surgery for syndactyly of the hands and
feet, who was admitted at 6 years of age to a pediatric intensive care unit
following cardiac arrest. The electrocardiogram (ECG) showed a long QT interval
that on occasions reached 500 ms. Despite the absence of facial dysmorphism and
the presence of normal psychomotor development, a diagnosis of Timothy syndrome
was made given the association of syndactyly and the ECG features. Sanger
sequencing of the CACNA1C gene, followed by sequencing of the genes KCNQ1, KCNH2,
KCNE1, KCNE2, were negative. The subsequent analysis of a panel of genes
responsible for hereditary cardiac rhythm disorders using Haloplex technology
revealed a recurrent mosaic p.Gly406Arg missense mutation of the CACNA1C gene in
18% of the cells. This mosaicism can explain the negative Sanger analysis and the
less complete phenotype in this patient. Given the other cases in the literature,
mosaic mutations in Timothy syndrome appear more common than previously thought.
This case demonstrates the importance of using next-generation sequencing to
identify mosaic mutations when the clinical picture supports a specific mutation
that is not identified using conventional testing. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27868337
TI - Enhanced uptake of blood coagulation factor VIII containing immune complexes by
antigen presenting cells.
AB - : Essentials Anti-factor (F) VIII antibody formation is a major complication in
the treatment of hemophilia A. We investigated uptake of FVIII and FVIII immune
complex by bone marrow derived dendritic cells. Immune complex formation
increased uptake of FVIII 3-4 fold in a Fcgamma receptor dependent manner. FVIII
immune complex binding to Fcgamma receptors may modulate immune tolerance
induction. SUMMARY: Background A major complication in the treatment of
hemophilia A is the development of inhibitory antibodies targeting coagulation
factor VIII (FVIII). Eradication of these inhibitors can be established by immune
tolerance induction (ITI), which consists of daily administration of high dosages
of FVIII. FVIII immune complexes (FVIII-IC) could be formed following FVIII
infusion in patients with pre-existing anti-FVIII antibodies. Objectives Here we
studied endocytosis of FVIII-IC by bone marrow-derived dendritic cells (BMDCs).
Methods BMDCs were pulsed with FVIII/FVIII-IC and uptake was assessed by flow
cytometry and confocal imaging. Results BMDCs were able to efficiently
internalize FVIII-IC in a dose-dependent manner, 3-4-fold more efficiently when
compared with equimolar concentrations of non-complexed FVIII. Uptake of FVIII
IC, but not FVIII alone, could be inhibited with anti-Fcgamma receptor (FcgammaR)
antibody 2.4G2, indicating functional involvement of FcgammaR. No internalization
of FVIII-IC was observed in BMDCs lacking FcgammaRI, FcgammaRIIb, FcgammaRIII and
FcgammaRIV. Genetic ablation of FcgammaRIIb, FcgammaRIII or FcgammaRIV
individually did not affect the ability of anti-FVIII IgG to promote the uptake
of FVIII. BMDCs lacking FcgammaRI showed lower FVIII-IC uptake levels when
compared with other single FcgammaR null BMDCs. Expression of the inhibitory
FcgammaRIIb alone was sufficient to internalize FVIII-IC more efficiently than
FVIII. Conclusions FcgammaR are critical in the internalization of FVIII-IC by
BMDCs and multiple FcgammaR can contribute independently to this process. Our
findings provide a basis for future studies to address whether the outcome of ITI
is dependent on the interplay between FVIII-IC and inhibitory and activating
FcgammaR.
PMID- 27868340
TI - Dental attendance patterns among older people: a retrospective review of records
in public and private dental care in Sweden.
AB - OBJECTIVES: Nowadays, older people retain their natural teeth more frequently and
so are at increased risk of oral disease. At the same time, discontinued contacts
with dental services prevent access to preventive care and increase the risk of
undetected disease. This study aims to evaluate how often older people
discontinue regular dental visits and to establish the reasons. METHODS: This
study is a retrospective review of records of patients aged >=75 years from seven
Swedish clinics, three in the public dental service (PuDS) and four in the
private (PrDS). All patients were examined in 2010, and their dental attendance
records from 2010 to 2014 studied. Data included gender, dental insurance system,
last performed planned examination, emergency visits, registrations in the recall
system, cause of discontinued care and number of teeth and implants. RESULTS: In
total, 993 records were studied, 303 in PuDS and 690 in PrDS. In both groups, 10%
of patients had no complete dental examinations between 2010 and 2014 after
baseline examination in 2010. One-quarter were not registered in the recall
system after their last examinations, and this was more common in PrDS than PuDS.
In many cases, no reason for discontinued regular visits were described in the
records. The mean number of natural teeth was 19.0 in both groups, but there were
more implants in the PrDS group. CONCLUSIONS: A large proportion of the
participants risked losing regular contact with dental services. Dental services
appeared to lack strategies for maintaining regular dental care for elderly
patients.
PMID- 27868341
TI - A single-arm trial indirect comparison investigation: a proof-of-concept method
to predict venous leg ulcer healing time for a new acellular synthetic matrix
matched to standard care control.
AB - To compare data on time to healing from two separate cohorts: one treated with a
new acellular synthetic matrix plus standard care (SC) and one matched from four
large UK pragmatic, randomised controlled trials [venous leg ulcer (VLU) evidence
network]. We introduce a new proof-of-concept strategy to a VLU clinical evidence
network, propensity score matching and sensitivity analysis to predict the
feasibility of the new acellular synthetic matrix plus SC for success in future
randomised, controlled clinical trials. Prospective data on chronic VLUs from a
safety and effectiveness study on an acellular synthetic matrix conducted in one
wound centre in the UK (17 patients) and three wound centres in Australia (36
patients) were compared retrospectively to propensity score-matched data from
patients with comparable leg ulcer disease aetiology, age, baseline ulcer area,
ulcer duration, multi-layer compression bandaging and majority of care completed
in specialist wound centres (average of 1 visit per week), with the outcome
measures at comparable follow-up periods from patients enrolled in four
prospective, multicentre, pragmatic, randomised studies of venous ulcers in the
UK (the comparison group; VLU evidence network). Analysis using Kaplan-Meier
survival curves showed a mean healing time of 73.1 days for ASM plus SC (ASM)
treated ulcers in comparison with 83.5 days for comparison group ulcers treated
with SC alone (Log rank test, chi2 5.779, P = 0.016) within 12 weeks. Sensitivity
analysis indicates that an unobserved covariate would have to change the odds of
healing for SC by a factor of 1.1 to impact the baseline results. Results from
this study predict a significant effect on healing time when using a new ASM as
an adjunct to SC in the treatment of non-healing venous ulcers in the UK, but
results are sensitive to unobserved covariates that may be important in healing
time comparison.
PMID- 27868342
TI - Food and beverage price discounts to improve health in remote Aboriginal
communities: mixed method evaluation of a natural experiment.
AB - OBJECTIVE: Retrospectively evaluate food price discounts in remote Aboriginal
community stores. METHODS: Four price discount strategies of 10% were designed in
2010, aiming to influence grocery, fruit, vegetables and diet soft-drink sales.
This natural experiment across a group of stores was evaluated using an
explanatory, sequential mixed method design through analysis of store point-of
sale, document, observation and interview data. The outcome was measured by
change in: 1) percentage of grocery sales to total food and beverage; 2) fruit
and vegetable sales; and 3) diet soft-drink sales. Qualitative data enabled the
interpretation of outcomes through understanding perceived success and benefits,
and enablers and barriers to implementation. RESULTS: Eighteen community stores
and 54 informants participated. While targeted price discounts were considered
important to improving health, no discernible effect was evident, due to
inadequate design and communication of discount promotion, and probably
inadequate magnitude of discount. CONCLUSIONS: Strategy impact on food and
beverage sales was limited by promotion and magnitude of discount. Implication
for Public Health: This study demonstrates key factors and commitment required to
design, communicate, implement and monitor strategies to improve health in this
challenging remote retail context. Evaluation of natural experiments can
contribute evidence to policy-making.
PMID- 27868339
TI - FasL and the NKG2D receptor are required for the secretion of the Tag7/PGRP-S
Hsp70 complex by the cytotoxic CD8+ lymphocytes.
AB - Tag7 (PGRP-S or PGLYRP1), while possessing an antimicrobial activity, also
exhibits an antitumor effect when in complex with the major heat shock protein
Hsp70. The cytotoxic Tag7-Hsp70 complex is secreted by lymphocytes after
interaction with the HLA-negative tumors. Previously, we have shown that IL-2
induces formation of the CD4+ and CD8+ cytotoxic subpopulations of human
lymphocytes, which kill tumor cells through the FasL-Fas interaction. Here, we
show that only the CD8+ T cells are able to secrete the Tag7-Hsp70 complex. For
its secretion the same proteins on the surface of the lymphocytes and target
cells, which are involved in the contact lysis, are necessary as well. The
interaction of Fas receptor with FasL leads to an activation of the Tag7-Hsp70
complex in the lymphocyte membrane fraction, and here FasL acts as a receptor
that induces intracellular signaling in lymphocytes. An interaction of the MicA
stress ligand with the NKG2D receptor is necessary for the release of this
cytotoxic complex. It is possible, that CD8+ T lymphocytes interacting with a
target cell can both carry out the contact killing of these cells and to secrete
the cytotoxic factor. (c) 2016 IUBMB Life, 69(1):30-36, 2017.
PMID- 27868343
TI - Effectiveness of ramelteon for residual sleep disturbances (hypersomnia) in a
patient with premenstrual dysphoric disorder.
PMID- 27868344
TI - Further evidence that variants in PPP1CB cause a rasopathy similar to Noonan
syndrome with loose anagen hair.
PMID- 27868346
TI - Older people's description of factors that facilitate and impede regular dental
care - a qualitative interview study.
AB - OBJECTIVES: The aim of this study was to achieve an understanding of older
people's view of the benefits of regular dental care and investigate factors that
facilitate and impede regular dental care. METHODS: A qualitative research method
was used. To gain a deeper understanding of the elderly people's views,
individual interviews were conducted. Fifteen respondents, eleven women and four
men aged between 65 and 95, participated, all recruited from senior social
centres. All interviews were tape-recorded and transcribed verbatim, and the
content analysis method was then used. Meaning units were identified and
condensed into codes which were labelled and grouped into categories and
subcategories. RESULTS: Findings were grouped into three categories: experiences
of dental care, obstacles and facilitators to dental care and about oral health
and responsibility. The elderly had experience of both regular and irregular
dental care. Obstacles to visiting dental services included high costs and
complicated dental insurance, other practical obstacles, lack of confidence in
dentistry and seeing no benefits of dental care at their age. Views of the
importance of oral health varied, and some people thought poor teeth were
shameful. Some expressed that oral health was your own responsibility, while
others thought that oral health was the responsibility of the dental services.
CONCLUSIONS: Several factors which hamper regular dental care have been
identified, and taken together, these obstacles often became unsurmountable.
However, individuals taking their own responsibility for their dental status and
dental services making certain adaptations, both encourage people to seek regular
dental care.
PMID- 27868345
TI - ConoSurf: Open-source 3D scanning system based on a conoscopic holography device
for acquiring surgical surfaces.
AB - BACKGROUND: A difficulty in computer-assisted interventions is acquiring the
patient's anatomy intraoperatively. Standard modalities have several limitations:
low image quality (ultrasound), radiation exposure (computed tomography) or high
costs (magnetic resonance imaging). An alternative approach uses a tracked
pointer; however, the pointer causes tissue deformation and requires sterilizing.
Recent proposals, utilizing a tracked conoscopic holography device, have shown
promising results without the previously mentioned drawbacks. METHODS: We have
developed an open-source software system that enables real-time surface scanning
using a conoscopic holography device and a wide variety of tracking systems,
integrated into pre-existing and well-supported software solutions. RESULTS: The
mean target registration error of point measurements was 1.46 mm. For a quick
guidance scan, surface reconstruction improved the surface registration error
compared with point-set registration. CONCLUSIONS: We have presented a system
enabling real-time surface scanning using a tracked conoscopic holography device.
Results show that it can be useful for acquiring the patient's anatomy during
surgery.
PMID- 27868347
TI - Identification of extreme motor phenotypes in Huntington's disease.
AB - The manifestation of motor signs in Huntington's disease (HD) has a well-known
inverse relationship with HTT CAG repeat length, but the prediction is far from
perfect. The probability of finding disease modifiers is enhanced in individuals
with extreme HD phenotypes. We aimed to identify extreme HD motor phenotypes
conditional on CAG and age, such as patients with very early or very late onset
of motor manifestation. Retrospective data were available from 1,218 healthy
controls and 9,743 HD participants with CAG repeats >=40, and a total of about
30,000 visits. Boundaries (2.5% and 97.5% quantiles) for extreme motor phenotypes
(UHDRS total motor score (TMS) and motor age-at-onset) were estimated using
quantile regression for longitudinal data. More than 15% of HD participants had
an extreme TMS phenotype for at least one visit. In contrast, only about 4% of
participants were consistent TMS extremes at two or more visits. Data from
healthy controls revealed an upper cut-off of 13 for the TMS representing the
extreme of motor ratings for a normal aging population. In HD, boundaries of
motor age-at-onset based on diagnostic confidence or derived from the TMS data
cut-off in controls were similar. In summary, a UHDRS TMS of more than 13 in an
individual carrying the HD mutation indicates a high likelihood of motor
manifestations of HD irrespective of CAG repeat length or age. The identification
of motor phenotype extremes can be useful in the search for disease modifiers,
for example, genetic or environmental such as medication. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27868349
TI - Cognitive Analysis of Educational Games: The Number Game.
AB - We analyze the cognitive strategies underlying performance in the Number task, a
Math game that requires both arithmetic fluency and mathematical creativity. In
this game all elements in a set of numbers (for instance, 2, 5, 9) have to be
used precisely once to create a target number (for instance, 27) with basic
arithmetic operations (solution: [5-2] * 9). We argue that some instances of this
game are NP complete, by showing its relation to the well-known Partition
problem. We propose heuristics based on the distinction in forward and backward
reasoning. The Number Game is part of Math Garden, a popular online educational
platform for practicing and monitoring math skills using innovations in
computerized adaptive testing. These educational games generate enormous amounts
of rich data on children's cognitive development. We found converging evidence
for the use of forward proximity heuristics in the data of Math Garden,
consisting of more than 20 million answers to 1,700 items. Item difficulties and
the structure of correct answers were analyzed.
PMID- 27868348
TI - Theory that explains an Aboriginal perspective of learning to understand and
manage diabetes.
AB - OBJECTIVE: To use grounded theory and participatory research methodology to
explain how Aboriginal people learn to understand and manage type 2 diabetes.
METHODS: Aboriginal people with diabetes were invited to participate in one of
five focus groups (n=25, male=12, female=13). Focus groups and education sessions
were conducted by Aboriginal members of the research team. Focus groups were
audio recorded and transcribed, with coding and first level analysis undertaken
by all members of the research team. RESULTS: Participants described colonisation
and dislocation from Country and family members' experiences with diabetes as
significant historical influences which, in conjunction with the model of care
experienced and the type of interaction with health services, shaped how they
came to understand and manage their diabetes. CONCLUSIONS: Patient experience of
a model of care alone is not what influences understanding and management of
diabetes in Aboriginal people. Implications for Public Health: Health service
improvements should focus on understanding past experiences of Aboriginal
patients, improving interactions with health services and supporting holistic
family centred models of care. Focusing on just the model of care in absence of
other improvements is unlikely to deliver health benefits to Aboriginal people.
PMID- 27868350
TI - An elderly Jervell and Lange-Nielsen patient heterozygous compound for two new
KCNQ1 mutations.
AB - We present the case of a 66-year-old female with early onset deafness and
seizures, who was diagnosed with epilepsy at the age of 2 years. She received
antiepileptic drugs and was free of syncope episodes for 32 years. After a
syncope at the age of 34, the ECG was characteristic of long-QT syndrome and was
treated with antiarrhythmic drugs. Sequencing of the KCNQ1 gene identified two
novel KCNQ1 variants interpreted to be pathogenic, and the patient was finally
diagnosed with Jervell and Lange-Nielsen syndrome. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27868351
TI - Essential elements of the nursing practice environment in nursing homes:
Psychometric evaluation.
AB - AIMS AND OBJECTIVES: To develop and psychometrically test the Essentials of
Magnetism II in nursing homes. BACKGROUND: Increasing numbers and complex needs
of older people in nursing homes strain the nursing workforce. Fewer adequately
trained staff and increased care complexity raise concerns about declining
quality. Nurses' practice environment has been reported to affect quality of care
and productivity. The Essentials of Magnetism II (c) measures processes and
relationships of practice environments that contribute to productivity and
quality of care and can therefore be useful in identifying processes requiring
change to pursue excellent practice environments. However, this instrument was
not explicitly evaluated for its use in nursing home settings so far. DESIGN: In
a preparatory phase, a cross-sectional survey study focused on face validity of
the essentials of magnetism in nursing homes. A second cross-sectional survey
design was then used to further test the instrument's validity and reliability.
METHODS: Psychometric testing included evaluation of content and construct
validity, and reliability. Nurses (N = 456) working at 44 units of three nursing
homes were included. RESULTS: Respondent acceptance, relevance and clarity were
adequate. Five of the eight subscales and 54 of the 58 items did meet preset
psychometric criteria. CONCLUSIONS: All essentials of magnetism are considered
relevant for nursing homes. The subscales Adequacy of Staffing, Clinically
Competent Peers, Patient Centered Culture, Autonomy and Nurse Manager Support can
be used in nursing homes without problems. The other subscales cannot be directly
applied to this setting. IMPLICATIONS FOR PRACTICE: The valid subscales of the
Essentials of Magnetism II instrument can be used to design excellent nursing
practice environments that support nurses' delivery of care. Before using the
entire instrument, however, the other subscales have to be improved.
PMID- 27868352
TI - Hospital admissions in the Hunter Region from trees and other falling objects,
2008-2012.
AB - OBJECTIVE: To assess the impact on hospital admissions produced by trees and
other falling objects, to examine these accidents' circumstances, and to
investigate the degree of support provided by the data for tree-related accident
prevention. METHODS: Admissions to emergency departments in the Hunter Region for
the period 2008-2012 allocated the International Classification of Disease 10
code W20 (struck by falling object) were analysed. RESULTS: Of 620 admissions,
125 files were incorrectly coded leaving an eligible sample of 495 W20
admissions. Males made up 79.4% of admissions. Where recorded, the commonest
accident locations were workplaces (63.2%) and homes (31.5%). Trees/branches
caused only 24 (4.8%) of such accidents with an age-adjusted admission rate of
0.28 per 10,000 people compared with 6.84 per 10,000 for all falling objects
combined. Most tree-related admissions (at least 62.5%) occurred to persons
actively interacting with the tree. Being male (p=0.04) and living in an outer
regional area (p=0.001) increased the incidence of tree injuries. CONCLUSIONS:
Hospital admissions caused by falling objects especially trees are uncommon.
Implications for public health: It is difficult to justify any major health
promotion expenditure to reduce tree-related accidents, given their especial
rarity. Any funds allocated should focus on preventing falling object injuries in
workplaces and homes.
PMID- 27868353
TI - Separation of four flavonol glycosides from Solanum rostratum Dunal using aqueous
two-phase flotation followed by preparative high-performance liquid
chromatography.
AB - Aqueous two-phase flotation followed by preparative high-performance liquid
chromatography was used to separate four flavonol glycosides from Solanum
rostratum Dunal. In the aqueous two-phase flotation section, the effects of
sublation solvent, solution pH, (NH4 )2 SO4 concentration in aqueous solution,
cosolvent, N2 flow rate, flotation time, and volumes of the polyethylene glycol
phase on the recovery were investigated in detail, and the optimal conditions
were selected: 50 wt% polyethylene glycol 1000 ethanol solvent as the flotation
solvent, pH 4, 350 g/L of (NH4 )2 SO4 concentration in aqueous phase, 40 mL/min
of N2 flow rate, 30 min of flotation time, 10.0 mL of flotation solvent volume,
and two times. After aqueous two-phase flotation concentration, the flotation
products were purified by preparative high-performance liquid chromatography. The
purities of the final products A and B were 98.1 and 99.0%. Product B was the
mixture of three compounds based on the analysis of high-performance liquid
chromatography at the temperature of 10 degrees C, while product A was hyperoside
after the identification by nuclear magnetic resonance. Astragalin, 3'-O
methylquercetin 3-O-beta-d-galactopyranoside, and 3'-O-methylquercetin 3-O-beta-d
glucopyranoside were obtained with the purity of 93.8, 97.1, and 99.2%,
respectively, after the further separation of product B using preparative high
performance liquid chromatography.
PMID- 27868355
TI - Oral health status of six-year-old children in Qatar: findings from the national
oral health survey.
AB - INTRODUCTION: Oral health has a significant impact on physical, social and mental
well-being of an individual. Qatar, like the rest of the world, is experiencing
higher prevalence of oral health-related problems. OBJECTIVES: To examine the
oral health status and extent of preventive and curative needs among six-year-old
school children in Qatar by key demographic indicators. METHODS: Secondary data
analysis of 1124 six-year-old private and government primary school children from
the 2011 Qatar National Oral Health Survey was performed. Dental caries lesions
status of primary dentition was assessed according to the WHO criteria.
Descriptive statistics were carried out, and comparison among groups was executed
by running t statistics. Logistic regression was performed to interpret the
impact of various predictors. RESULTS: Overall, 71.4% children presented with
dental caries lesions with a higher prevalence among girls versus boys (73.8%
versus 68.9%). The mean overall dmft was recorded as 4.2 with +/-4.2 SD. Qatari
children had 3.8 time odds of having dental caries lesions compared with non
Qatari children (P < 0.001). Overall, 18.9% of children showed signs of poor
periodontal health. Odds of having more periodontal disease were significant in
Qatari boys (OR = 3.1 CI = 2.0, 4.7, P < 0.001) compared to non-Qatari boys. On
average, 3.6 teeth per student were in need of any treatment. CONCLUSION: This
study indicated that only 28.6% of children aged six were free from caries
lesions. The results urge to further strengthen the strategies and to improve
oral hygiene by various evidence-based interventions.
PMID- 27868354
TI - High incidence of BSCL2 intragenic recombinational mutation in Peruvian type 2
Berardinelli-Seip syndrome.
AB - Congenital generalized lipodystrophy (CGL) is a genetically heterogeneous group
of disorders characterized by the absence of functional adipose tissue. We
identified two pedigrees with CGL in the community of the Mestizo tribe in the
northern region of Peru. Five cases, ranging from 15 months to 7 years of age,
presented with generalized lipodystrophy, muscular prominence, mild intellectual
disability, and a striking aged appearance. Sequencing of the BSCL2 gene, known
to be mutated in type 2 CGL (CGL2; Berardinelli-Seip syndrome), revealed a
homozygous deletion of exon 3 in all five patients examined, suggesting the
presence of a founder mutation. This intragenic deletion appeared to be mediated
by recombination between Alu sequences in introns 2 and 3. CGL2 in this
population is likely underdiagnosed and undertreated because of its geographical,
socio-economic, and cultural isolation.(c) 2016 Wiley Periodicals, Inc.
PMID- 27868356
TI - The impact of the Dementia ABC educational programme on competence in person
centred dementia care and job satisfaction of care staff.
AB - AIM AND OBJECTIVES: The objective of the study was to evaluate the impact of the
Dementia ABC educational programme on the participants' competence in person
centred care and on their level of job satisfaction. BACKGROUND: The development
of person-centred care for people with dementia is highly recommended, and staff
training that enhances such an approach may positively influence job satisfaction
and the possibility of recruiting and retaining competent care staff. DESIGN: The
study is a longitudinal survey, following participants over a period of 24 months
with a 6-month follow-up after completion of the programme. METHODS: A total of
1,795 participants from 90 municipalities in Norway are included, and 580 from 52
municipalities completed all measurements. The person-centred care assessment
tool (P-CAT) is used to evaluate person-centredness. The psychosocial workplace
environment and job satisfaction questionnaire is used to investigate job
satisfaction. Measurements are made at baseline, and after 12, 24 and 30 months.
RESULTS: A statistically significant increase in the mean P-CAT subscore of
person-centred practice and the P-CAT total score is found at 12, 24 and 30
months compared to baseline. A statistically significant decrease in scores in
the P-CAT subscore for organisational support is found at all points of
measurement compared to baseline. Statistically significant increases in
satisfaction with workload, personal and professional development, demands
balanced with qualifications and variation in job tasks as elements of job
satisfaction are reported. CONCLUSION: The evaluation of the Dementia ABC
educational programme identifies statistically significant increases in scores of
person-centredness and job satisfaction, indicating that the training has a
positive impact. IMPLICATIONS FOR PRACTICE: The results indicate that a
multicomponent training programme including written material, multidisciplinary
reflection groups and workshops has a positive impact on the development of
person-centred care practice and the job satisfaction of care staff.
PMID- 27868357
TI - An Unusual Magnetic Resonance Imaging of a Giant Cystic Volume of Tubular Adenoma
of the Breast.
PMID- 27868358
TI - Resolving microsatellite genotype ambiguity in populations of allopolyploid and
diploidized autopolyploid organisms using negative correlations between allelic
variables.
AB - A major limitation in the analysis of genetic marker data from polyploid
organisms is non-Mendelian segregation, particularly when a single marker yields
allelic signals from multiple, independently segregating loci (isoloci). However,
with markers such as microsatellites that detect more than two alleles, it is
sometimes possible to deduce which alleles belong to which isoloci. Here, we
describe a novel mathematical property of codominant marker data when it is
recoded as binary (presence/absence) allelic variables: under random mating in an
infinite population, two allelic variables will be negatively correlated if they
belong to the same locus, but uncorrelated if they belong to different loci. We
present an algorithm to take advantage of this mathematical property, sorting
alleles into isoloci based on correlations, then refining the allele assignments
after checking for consistency with individual genotypes. We demonstrate the
utility of our method on simulated data, as well as a real microsatellite data
set from a natural population of octoploid white sturgeon (Acipenser
transmontanus). Our methodology is implemented in the R package polysat version
1.6.
PMID- 27868359
TI - Linagliptin improves endothelial function in patients with type 2 diabetes: A
randomized study of linagliptin effectiveness on endothelial function.
AB - AIMS/INTRODUCTION: The present multicenter, prospective, controlled, open and
randomized three-arm parallel study was designed to compare the effects of
linagliptin with those of metformin on endothelial function. MATERIALS AND
METHODS: Type 2 diabetes patients treated with 750 mg of metformin (hemoglobin
A1c >=6.0% and <8.0%, n = 96) were randomized to continue metformin 750 mg/day
(control group, n = 29), metformin at 1,500 mg/day (metformin group, n = 26) and
metformin 750 mg/day supplemented with linagliptin 5 mg/day (linagliptin add-on
group, n = 29) and treated for 16 weeks. Vascular endothelial function was
evaluated by flow-mediated dilation. The primary end-point was changes in flow
mediated dilation at 16 weeks relative to baseline. RESULTS: Linagliptin
significantly improved flow-mediated dilation from baseline (4.9 +/- 2.7%) to 16
weeks (6.3 +/- 2.7%, P < 0.05), whereas the other groups did not show any
changes. Hemoglobin A1c at 16 weeks was significantly lower in the metformin and
linagliptin add-on groups compared with the control (6.6 +/- 0.6%, 6.5 +/- 0.5%
and 7.0 +/- 0.6%, respectively). Single and multiple regression analyses showed
that apolipoprotein B correlated significantly with change in flow-mediated
dilation, and apolipoprotein B was decreased only in the linagliptin add-on group
(-6.0 +/- 11.3 mg/dL, P < 0.01). CONCLUSIONS: Linagliptin for 16 weeks improved
endothelial function with a modest improvement in glycemic control. This effect
was mediated, at least in part, by reduction in apolipoprotein B. Linagliptin has
a protective role on endothelial function in patients with type 2 diabetes with
moderate hyperglycemia.
PMID- 27868362
TI - Testing Sleep Consolidation in Skill Learning: A Field Study Using an Online
Game.
AB - Using an observational sample of players of a simple online game (n > 1.2
million), we are able to trace the development of skill in that game. Information
on playing time, and player location, allows us to estimate time of day during
which practice took place. We compare those whose breaks in practice probably
contained a night's sleep and those whose breaks in practice probably did not
contain a night's sleep. Our analysis confirms experimental evidence showing a
benefit of spacing for skill learning, but it fails to find any additional
benefit of sleeping during a break from practice. We discuss reasons why the well
established phenomenon of sleep consolidation might not manifest in an
observational study of skill development. We put the spacing effect into the
context of the other known influences on skill learning: improvement with
practice, and individual differences in initial performance. Analysis of
performance data from games allows experimental results to be demonstrated
outside of the lab and for experimental phenomenon to be put in the context of
the performance of the whole task.
PMID- 27868361
TI - Synthesis of Peptidoglycan Fragments from Enterococcus faecalis with Fmoc
Strategy for Glycan Elongation.
AB - Peptidoglycan (PGN) is an essential structural component of the bacterial cell
wall conferring cell shape, which can be recognized by host-recognition proteins
and receptors as well as bacterial surface proteins. In this work, the PGN
partial structures from Enterococcus faecalis that contain a tetrasaccharide and
an octasaccharide with a unique heptapeptide were synthesized via an Fmoc
strategy for elongation of the glycan chains. Namely, a 4'-O-Fmoc-protected
disaccharide was utilized as the key intermediate in this efficient synthetic
pathway for preparing various PGN fragments. Both the tetrasaccharide and
octasaccharide with the unique heptapeptide were successfully synthesized for the
first time.
PMID- 27868363
TI - The long-term productivity impacts of all cause premature mortality in Australia.
AB - OBJECTIVE: To estimate the long-term productivity impacts of all-cause premature
mortality in Australia by age, sex and cause of death. METHODS: Using a human
capital approach, a model was developed to estimate both the working years and
present value of lifetime income (PVLI) lost due to premature deaths that
occurred in 2003. Outcomes were modelled on individual level data to the year
2030. A discount rate of 3% was applied and results are presented in 2015
Australian dollars. RESULTS: Premature deaths occurring in 2003 accounted for
about 284,000 working years lost and $13.8 billion in PVLI lost when modelled to
2030. Deaths from cancer and cardiovascular disease accounted for more than half
the total PVLI impact. Injuries and mental disorders were associated with the
highest average PVLI loss per death. CONCLUSIONS: The productivity-related
impacts of premature mortality are substantial. This study provides an assessment
of relative impact of these costs across specific age, sex and cause of death
categories. IMPLICATIONS: Policies and interventions that prevent premature
mortality would improve both health and economic outcomes. An awareness of the
productivity costs associated with all-cause mortality may assist decision makers
in identifying population and disease subgroups where cost-effective health care
investment can achieve the greatest economic gains to society.
PMID- 27868360
TI - Global transcriptome responses including small RNAs during mixed-species
interactions with methicillin-resistant Staphylococcus aureus and Pseudomonas
aeruginosa.
AB - Pseudomonas aeruginosa and Staphylococcus aureus mixed-species biofilm infections
are more resilient to biocide attacks compared to their single-species
counterparts. Therefore, this study used an in vitro model recapitulating
bacterial burdens seen in in vivo infections to investigate the interactions of
P. aeruginosa and S. aureus in biofilms. RNA sequencing (RNA-seq) was utilized to
identify the entire genomic response, both open reading frames (ORFs) and small
RNAs (sRNAs), of each species. Using competitive indexes, transposon mutants
validated uncharacterized PA1595 of P. aeruginosa and Panton-Valentine leukocidin
ORFs of S. aureus are required for competitive success. Assessing spent media on
biofilm development determined that the effects of these ORFs are not solely
mediated by mechanisms of secretion. Unlike PA1595, leukocidin (lukS-PV) mutants
of S. aureus lack a competitive advantage through contact-mediated mechanisms
demonstrated by cross-hatch assays. RNA-seq results suggested that during
planktonic mixed-species growth there is a robust genomic response or active
combat from both pathogens until a state of equilibrium is reached during the
maturation of a biofilm. In mixed-species biofilms, P. aeruginosa differentially
expressed only 0.3% of its genome, with most ORFs necessary for growth and
biofilm development, whereas S. aureus modulated approximately 5% of its genome,
with ORFs suggestive of a phenotype of increased virulence and metabolic
quiescence. Specific expression of characterized sRNAs aligned with the genomic
response to presumably coordinate the adaptive changes necessary for this
homeostatic mixed-species biofilm and sRNAs may provide viable foci for the
design of future therapeutics.
PMID- 27868364
TI - Production and characterization of polyhydroxybutyrate from Vibrio harveyi MCCB
284 utilizing glycerol as carbon source.
AB - AIMS: Production and characterization of polyhydroxybutyrate (PHB) from
moderately halophilic bacterium Vibrio harveyi MCCB 284 isolated from tunicate
Phallusia nigra. METHODS AND RESULTS: Twenty-five bacterial isolates were
obtained from tunicate samples and three among them exhibited an orange
fluorescence in Nile red staining indicating the presence of PHB. One of the
isolates, MCCB 284, which showed rapid growth and good polymer yield, was
identified as V. harveyi. The optimum conditions of the isolate for the PHB
production were pH 8.0, sodium chloride concentration 20 g l-1 , inoculum size
0.5% (v/v), glycerol 20 g l-1 and 72 h of incubation at 30 degrees C. Cell dry
weight (CDW) of 3.2 g l-1 , PHB content of 2.3 g l-1 and final PHB yield of 1.2 g
l-1 were achieved. The extracted PHB was characterized by FTIR, NMR and DSC-TGA
techniques. CONCLUSIONS: An isolate of V. harveyi that could effectively utilize
glycerol for growth and PHB accumulation was obtained from tunicate P. nigra. PHB
produced was up to 72% based on CDW. SIGNIFICANCE AND IMPACT OF THE STUDY: This
is the first report of an isolate of V. harveyi which utilizes glycerol as the
sole carbon source for PHB production with high biomass yield. This isolate could
be of use as candidate species for commercial PHB production using glycerol as
the feed stock or as source of genes for recombinant PHB production or for
synthetic biology.
PMID- 27868365
TI - The salience of the self: Self-referential processing and internalizing problems
in children and adolescents with autism spectrum disorder.
AB - Children and adolescents with autism spectrum disorder (ASD) demonstrate atypical
processing of, and memory for, self-referenced information, which may contribute
to the heightened rates of co-occurring internalizing problems. We assessed
affective and cognitive aspects of self-referential processing in verbally-fluent
children with ASD (N = 79), and an age-matched comparison sample (COM, N = 73) of
children without an autism diagnosis. We examined group differences in these two
aspects of the self-system, and their joint contributions to individual
differnces in internalizing problems. Using a self-referenced memory (SRM) task,
participants indicated whether a series of positive and negative trait adjectives
described themselves and a well-known fictional character. Participants were then
surprised with a recognition memory test on the same adjectives. Overall,
individuals with ASD showed a reduction in the extent to which they
preferentially endorsed positive over negative trait adjectives about themselves,
and a reduction in their preferential memory for self- over other-referenced
information. Across the full sample, these two aspects of self-referential
processing jointly predicted self-reported internalizing problems. Specifically,
self-evaluations were strongly and inversely associated with internalizing
problems but only for children with relatively high SRM. These findings suggest
that the salience of the self influences the extent to which affective self
evaluations impact emotional functioning for youth both with and without ASD.
Implications for basic (e.g., developmental) and translational (e.g.,
intervention) research are discussed. Autism Res 2017, 10: 949-960. (c) 2016
International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27868367
TI - Applying Aspects of the Expert Performance Approach to Better Understand the
Structure of Skill and Mechanisms of Skill Acquisition in Video Games.
AB - Video games are ideal platforms for the study of skill acquisition for a variety
of reasons. However, our understanding of the development of skill and the
cognitive representations that support skilled performance can be limited by a
focus on game scores. We present an alternative approach to the study of skill
acquisition in video games based on the tools of the Expert Performance Approach.
Our investigation was motivated by a detailed analysis of the behaviors
responsible for the superior performance of one of the highest scoring players of
the video game Space Fortress (Towne, Boot, & Ericsson, ). This analysis revealed
how certain behaviors contributed to his exceptional performance. In this study,
we recruited a participant for a similar training regimen, but we collected
concurrent and retrospective verbal protocol data throughout training. Protocol
analysis revealed insights into strategies, errors, mental representations, and
shifting game priorities. We argue that these insights into the developing
representations that guided skilled performance could only easily have been
derived from the tools of the Expert Performance Approach. We propose that the
described approach could be applied to understand performance and skill
acquisition in many different video games (and other short- to medium-term skill
acquisition paradigms) and help reveal mechanisms of transfer from gameplay to
other measures of laboratory and real-world performance.
PMID- 27868366
TI - Effects of gemigliptin, a dipeptidyl peptidase-4 inhibitor, on lipid metabolism
and endotoxemia after a high-fat meal in patients with type 2 diabetes.
AB - We aimed to investigate the effects of gemigliptin, a dipeptidyl peptidase-4
inhibitor, on postprandial lipoprotein levels and endotoxemia in a randomized,
double-blind, placebo-controlled, crossover study. Ten people with type 2
diabetes mellitus (T2DM), inadequately controlled with oral antidiabetic
medications and/or lifestyle modification, were randomized to gemigliptin or
placebo for 4 weeks. At the end of each treatment phase, the study participants
underwent a high-fat meal tolerance test and needle aspiration of abdominal
subcutaneous adipose tissue. The median (range) fasting and total area under the
curve of apolipoprotein B48 (ApoB48) were significantly lower with gemigliptin
than with placebo (2.9 [1.5-15.8] ug/mL vs 4.2 [1.3-23.4] ug/mL; P = .020; 35.3
[14.4-87.4] ug/mL * hour vs 42.2 [17.5-109.0] ug/mL * hour; P = .020,
respectively), whereas apolipoprotein B100 showed no significant difference.
Serum endotoxin levels were undetectable in 70% of the samples, so we were not
able to evaluate the effect of gemigliptin on endotoxemia. The gene expression of
inflammatory cytokines in subcutaneous adipose tissue was not affected by
gemigliptin. Gemigliptin reduced ApoB48 levels after a high-fat meal in
participants with T2DM. Whether systemic endotoxin levels can be reduced by
gemigliptin requires further investigation.
PMID- 27868368
TI - A quantitative analysis of the quality and content of the health advice in
popular Australian magazines.
AB - OBJECTIVE: To examine how health advice is provided in popular magazines and the
quality of that advice. METHODS: A prospective quantitative analysis of the
quality of health advice provided in Australian magazines between July and
December 2011 was conducted. A rating instrument was adapted from the Media
Doctor Australia rating tool used to assess quality of health news reporting.
Criteria included: recommends seeing a doctor; advice based on reliable evidence;
advice clear and easily applied; benefits presented meaningfully; potential harms
mentioned; evidence of disease mongering; availability and cost of treatments;
obvious advertising; vested interest, and anecdotal evidence. RESULTS: 163 health
advice articles were rated showing a wide variation in the quality of advice
presented between magazines. Magazines with 'health' in the title, rated most
poorly with only 36% (26/73) of these articles presenting clear and meaningful
advice and 52% (38/73) giving advice based on reliable evidence. CONCLUSIONS:
Australian magazines, especially those with health in the title, generally
presented poor quality, unreliable health advice. Teen magazine Dolly provided
the highest quality advice. IMPLICATIONS: Consumers need to be aware of this when
making health choices.
PMID- 27868369
TI - Evaluation of the utility of the ISTH-BAT in haemophilia carriers: a
multinational study.
AB - INTRODUCTION: There has been increasing recognition in recent years that female
carriers of haemophilia manifest abnormal bleeding; however, data on the use of
bleeding assessment tools in this population are lacking. AIM: Our objective was
to validate the ISTH-BAT in haemophilia carriers to describe bleeding symptoms
and allow for comparisons with factor levels and other patient groups. METHODS:
This was a prospective, observational, cross-sectional study performed by members
of Global Emerging HEmostasis Panel (GEHEP). Unselected consecutive haemophilia
carriers were recruited and a CRF and the ISTH-BAT were completed by study
personnel. RESULTS: A total of 168 haemophilia carriers were enrolled: 155
haemophilia A and 13 haemophilia B. The mean age was 40 years (range: 20-82).
Carriers had higher mean bleeding scores (BS) compared with age-matched controls
(n = 46; 5.7 vs. 1.43; P < 0.0001) and Type 3 VWD OC (n = 32; 3.0; P = 0.009),
but lower BS compared with women with Type 1 VWD (n = 83; 8.7; P < 0.0001).
Fifteen carriers reported haemarthrosis, and of those six had normal FVIII/FIX
levels. There was a significant but weak negative correlation between BS and
factor level (Spearman's r2 = -0.36, P < 0.001). CONCLUSION: Our results show
that haemophilia carriers experience abnormal bleeding, including haemarthrosis.
Overall, BS in women with Type 1 VWD > haemophilia carriers > Type 3 VWD OC >
controls. Understanding the performance of the ISTH-BAT in this population is a
critical step in future research aimed at investigating the underlying
pathophysiology of abnormal bleeding, with the ultimate goal of optimizing
treatment.
PMID- 27868370
TI - Chemical vs. radioactive synoviorthesis for treatment of chronic haemophilic
synovitis: Syrian experience.
PMID- 27868371
TI - A bodyweight-dependent allometric exponent model for scaling clearance of
clotting factor VIII and IX from infants to adults.
PMID- 27868372
TI - Liraglutide as adjunct to insulin treatment in type 1 diabetes does not interfere
with glycaemic recovery or gastric emptying rate during hypoglycaemia: A
randomized, placebo-controlled, double-blind, parallel-group study.
AB - AIM: Glucagon-like peptide-1 receptor agonist (GLP-1RA) therapy is a potential
treatment as adjunct to insulin in type 1 diabetes (T1D). However, GLP-1RAs
inhibit glucagon secretion and delay the gastric emptying (GE) rate and may
impair recovery from hypoglycaemia. We evaluated the effect of the GLP-1RA
liraglutide on counterregulatory responses and GE rate during hypoglycaemia in
persons with T1D. MATERIALS AND METHODS: In a 12-week, randomized, double-blind,
placebo-controlled study, 20 patients aged >18 years with T1D and HbA1c >=8% (64
mmol/mol) were randomly assigned (1:1) to liraglutide 1.2 mg once daily or
placebo as add-on to insulin treatment. Before and at end of treatment a
hypoglycaemic clamp (plasma glucose target 2.5 mmol/L) was carried out, followed
by a liquid meal. Primary endpoint was change in GE rate (evaluated by area under
the paracetamol curve and time to peak). Secondary endpoints included changes in
glycaemic recovery, counter-regulatory hormones, pancreatic polypeptide (PP), GLP
1, blood pressure and heart rate. RESULTS: During the period June 2013 to October
2014, 20 patients were enrolled. After 12 weeks of treatment, changes in GE rates
did not differ significantly between groups ( P = .96), with no significant
changes from baseline, whether evaluated from AUCs or time to peak. The secondary
endpoints, glycaemic recovery, counter-regulatory hormone responses, systolic
blood pressure and GLP-1 and PP responses, were also similar. Heart rate
increased with liraglutide from 69 +/- 4 to 80 +/- 5 beats/min ( P = .02).
CONCLUSIONS: Liraglutide does not compromise glycaemic recovery, GE rate or
counter-regulatory hormone responses in T1D patients during hypoglycaemia. No
treatment-related safety issues were identified.
PMID- 27868373
TI - Acute myeloid leukemia in Baraitser-Winter cerebrofrontofacial syndrome.
AB - Baraitser-Winter malformation syndrome (BWMS), Fryns-Aftimos syndrome (FA), and
craniofrontofacial syndromes (CFFs) have all been recently proposed to be part of
the same phenotypic spectrum of Baraitser-Winter cerebrofrontofacial syndrome
(BWCFF), which is characterized by facial dysmorphism, ocular coloboma, brain
malformations, and intellectual disabilities. In addition to that, the recent
discovery of missense mutations in one of the two ubiquitously expressed
cytoplasmic beta- and gamma-acting-encoding genes ACTB (7p22.1) and ACTG1
(17q25.3) in patients carrying a clinical diagnosis of BWSM, FA, or CCF has
provided further evidence that these clinical conditions do indeed belong to the
same entity at the molecular level. Two cases of BWCFF patients presenting with
malignancies (i.e., acute lymphocytic leukemia and cutaneous lymphoma) have been
published thus far. Here, we report a 21-year-old female with molecularly
confirmed FA, who developed acute myeloid leukemia (AML). The present finding may
indicate that actinopathies could be cancer-predisposing syndromes although small
numbers and publication bias should be taken into account. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27868374
TI - Automated coating procedures to produce poly(ethylene glycol) brushes in fused
silica capillaries.
AB - Many bioanalytical methods rely on electrophoretic separation of structurally
labile and surface active biomolecules such as proteins and peptides. Often poor
separation efficiency is due to surface adsorption processes leading to protein
denaturation and surface fouling in the separation channel. Flexible and reliable
approaches for preventing unwanted protein adsorption in separation science are
thus in high demand. We therefore present new coating approaches based on an
automated in-capillary surface-initiated atom transfer radical polymerization
process (covalent coating) as well as by electrostatically adsorbing a
presynthesized polymer leading to functionalized molecular brushes. The
electroosmotic flow was measured following each step of the covalent coating
procedure providing a detailed characterization and quality control. Both
approaches resulted in good fouling resistance against the four model proteins
cytochrome c, myoglobin, ovalbumin, and human serum albumin in the pH range 3.4
8.4. Further, even samples containing 10% v/v plasma derived from human blood did
not show signs of adsorbing to the coated capillaries. The covalent as well as
the electrostatically adsorbed coating were both found to be stable and provided
almost complete suppression of the electroosmotic flow in the pH range 3.4-8.4.
The coating procedures may easily be integrated in fully automated capillary
electrophoresis methodologies.
PMID- 27868375
TI - Understanding the origins of record linkage errors and how they affect research
outcomes.
PMID- 27868376
TI - N-terminal region of cysteine-rich protein (CRP) in carlaviruses is involved in
the determination of symptom types.
AB - Plant viruses in the genus Carlavirus include more than 65 members. Plants
infected with carlaviruses exhibit various symptoms, including leaf malformation
and plant stunting. Cysteine-rich protein (CRP) encoded by carlaviruses has been
reported to be a pathogenicity determinant. Carlavirus CRPs contain two motifs in
their central part: a nuclear localization signal (NLS) and a zinc finger motif
(ZF). In addition to these two conserved motifs, carlavirus CRPs possess highly
divergent, N-terminal, 34 amino acid residues with unknown function. In this
study, to analyse the role of these distinct domains, we tested six carlavirus
CRPs for their RNA silencing suppressor activity, ability to enhance the
pathogenicity of a heterologous virus and effects on virus accumulation levels.
Although all six tested carlavirus CRPs showed RNA silencing suppressor activity
at similar levels, symptoms induced by the Potato virus X (PVX) heterogeneous
system exhibited two different patterns: leaf malformation and whole-plant
stunting. The expression of each carlavirus CRP enhanced PVX accumulation levels,
which were not correlated with symptom patterns. PVX-expressing CRP with
mutations in either NLS or ZF did not induce symptoms, suggesting that both
motifs play critical roles in symptom expression. Further analysis using chimeric
CRPs, in which the N-terminal region was replaced with the corresponding region
of another CRP, suggested that the N-terminal region of carlavirus CRPs
determined the exhibited symptom types. The up-regulation of a plant gene upp-L,
which has been reported in a previous study, was also observed in this study;
however, the expression level was not responsible for symptom types.
PMID- 27868377
TI - Benson Earl Ginsburg (1918-2016): a pioneer in behavior genetics.
PMID- 27868379
TI - Qualitative and quantitative analysis of multiple components for quality control
of Deng-Zhan-Sheng-Mai capsules by ultra high performance liquid chromatography
tandem mass spectrometry method coupled with chemometrics.
AB - Deng-Zhan-Sheng-Mai capsules are a well-known traditional Chinese patent medicine
that was developed in China for the treatment of ischemic stroke. Its quality
control focuses on Erigerontis Herba but ignores the contributions of Ginseng
Radix et Rhizoma, Schisandrae Chinensis Fructus, and Ophiopogonis Radix. To
improve the quality standards for this medicine, this work reports the
application of a systematic ultra high performance liquid chromatography tandem
mass spectrometric method coupled with chemometrics. Three qualitative and
quantitative parameters are established for the evaluation of quality: chemical
profiling, the relationship between the contents of 18 compounds and the
antioxidant activity, and chemometric analysis. A total of 55 compounds,
including 20 phenolic acids, 10 flavonoids, 15 saponins, and 10 lignans, were
identified. The method for the quantitative determination of the aforementioned
18 compounds was validated. The limit of quantification ranged from 0.13 to 9.60
ng/mL. The overall recoveries ranged from 95.31 to 103.54%. Hierarchical cluster
analysis and principal component analysis were applied to the data of 18
components in ten batches of samples. Nine compounds, including scutellarin, 3,5
O-dicaffeoylquinic acid, 4,5-O-dicaffeoylquinic acid, ginsenoside Rb1,
ginsenoside Re, ginsenoside Rg1, ophiopogonin D, schisandrin, and schisandrol B,
are suggested as chemical markers for evaluating the quality.
PMID- 27868380
TI - Microbiomes, metabolic errors and detection of performance enhancing drug abuse.
PMID- 27868381
TI - Corrigendum.
PMID- 27868384
TI - Post-Acute Rehabilitation After Total Knee Replacement: A Multicenter Randomized
Clinical Trial Comparing Long-Term Outcomes.
AB - OBJECTIVE: To evaluate the long-term benefit of providing a post-acute,
outpatient group exercise program for patients following primary total knee
replacement (TKR) surgery for osteoarthritis. METHODS: A multicenter randomized
clinical trial was conducted in 12 Australian public and private hospital
centers. A total of 422 participants, ages 45-75 years, were randomly allocated
prior to hospital discharge to the post-acute group exercise program or to usual
care and were assessed at 6 weeks, 6 months, and 12 months after surgery. The
main outcomes were operated knee pain and activity limitations at 12 months using
the Western Ontario and McMaster Universities Osteoarthritis Index questionnaire.
Secondary outcomes included health-related quality of life (Short Form 12 health
survey), knee extension and flexion strength, stair-climb power, 50-foot walk
speed, and active knee range of motion. RESULTS: While both allocation groups
achieved significant improvements in knee pain and activity limitations over the
12-month followup period, there were no significant differences in these main
outcomes, or in the secondary physical performance measures, between the 2
treatment allocations. Twelve months after TKR, 69% and 72% of participants
allocated to post-acute exercise and usual acute care, respectively, were
considered to be treatment-responders. While population normative values for self
report measures of pain, activity limitation, and health-related quality of life
were attained 12 months after TKR, marked deficits in physical performance
measures remained. CONCLUSION: Providing access to a post-acute group exercise
program did not result in greater reductions in long-term knee pain or activity
limitations than usual care. Patients undergoing primary TKR retain marked
physical performance deficits 12 months after surgery.
PMID- 27868385
TI - Impact of eplerenone on cardiovascular outcomes in heart failure patients with
hypokalaemia.
AB - AIMS: Although hypokalaemia is common among patients with heart failure (HF), the
prognostic significance of baseline hypokalaemia and hypokalaemia during follow
up in HF patients receiving a mineralocorticoid receptor antagonist (MRA) remains
uncertain. METHODS AND RESULTS: Results of the EMPHASIS-HF trial in patients (n =
2737) with HF and reduced EF with mild symptoms, randomized to eplerenone or
placebo, were analysed with regard to the presence or occurrence of hypokalaemia
(serum K+ <4.0 mmol/L) and the risk of cardiovascular death or hospitalization
for HF (primary endpoint). Median follow-up was 21 months. Baseline hypokalaemia
and hypokalaemia during follow-up were common occurrences (19.6% and 40.6%,
respectively). Hypokalaemia during follow-up was associated with worse outcomes
in multivariable analyses [hazard ratio (HR) 1.26, 95% confidence interval (CI)
1.05-1.52, P = 0.01] without evidence of interaction with eplerenone. In
contrast, baseline hypokalaemia was associated with outcomes in the placebo group
(HR 1.37, 95% CI 1.05-1.79, P = 0.02) but not in the eplerenone group (HR 0.87,
95% CI 0.62-1.23, P = 0.44; P for interaction = 0.04). Concurrently, eplerenone
was found to be more protective in patients with baseline hypokalaemia vs.
patients without baseline hypokalaemia compared with placebo (HR 0.44, 95% 0.30
0.64, P < 0.0001 vs. 0.69, 95% CI 0.57-0.83, P = 0.0001; P for interaction =
0.04). In patients without baseline hypokalaemia, eplerenone use decreased the
rate of hypokalaemia during follow-up (HR 0.69, 95% CI 0.59-0.80, P < 0.001). A
potassium level >4.0 mmol/L at 1 month after randomization mediated 26.0% (0.6
51.4%) of the eplerenone treatment effect (P = 0.04). CONCLUSION: In HF patients
receiving optimal therapy but not treated with eplerenone, baseline hypokalaemia
was associated with worse outcomes. Conversely, hypokalaemia amplified the
treatment effect of eplerenone.
PMID- 27868387
TI - Polymetallic nodules, sediments, and deep waters in the equatorial North Pacific
exhibit highly diverse and distinct bacterial, archaeal, and microeukaryotic
communities.
AB - Concentrated seabed deposits of polymetallic nodules, which are rich in
economically valuable metals (e.g., copper, nickel, cobalt, manganese), occur
over vast areas of the abyssal Pacific Ocean floor. Little is currently known
about the diversity of microorganisms inhabiting abyssal habitats. In this study,
sediment, nodule, and water column samples were collected from the Clarion
Clipperton Zone of the Eastern North Pacific. The diversities of prokaryote and
microeukaryote communities associated with these habitats were examined.
Microbial community composition and diversity varied with habitat type, water
column depth, and sediment horizon. Thaumarchaeota were relatively enriched in
the sediments and nodules compared to the water column, whereas
Gammaproteobacteria were the most abundant sequences associated with nodules.
Among the Eukaryota, rRNA genes belonging to the Cryptomonadales were relatively
most abundant among organisms associated with nodules, whereas rRNA gene
sequences deriving from members of the Alveolata were relatively enriched in
sediments and the water column. Nine operational taxonomic unit (OTU)s were
identified that occur in all nodules in this dataset, as well as all nodules
found in a study 3000-9000 km from our site. Microbial communities in the
sediments had the highest diversity, followed by nodules, and then by the water
column with <1/3 the number of OTUs as in the sediments.
PMID- 27868386
TI - Structure of the transmembrane domain of HIV-1 envelope glycoprotein.
AB - HIV-1 envelope spike (Env) is a heavily glycosylated, type I membrane protein
that mediates fusion of viral and cell membranes to initiate infection. It is
also a primary target of neutralizing antibodies and thus an important candidate
for vaccine development. We have recently reported a nuclear magnetic resonance
structure of the transmembrane (TM) domain of HIV-1 Env reconstituted in a
membrane-like environment. Taking HIV-1 as an example, we discuss here how a TM
domain can anchor, stabilize, and modulate a viral envelope spike and how its
high-resolution structure can contribute to understanding viral membrane fusion
and to immunogen design.
PMID- 27868388
TI - In Vitro lipolysis is associated with whole-body lipid oxidation and weight gain
in humans.
AB - OBJECTIVE: To assess the association of adipocyte size with cellular lipolysis
and between cellular lipolysis and whole-body lipid oxidation. This study also
assessed the association between adipocyte size and cellular lipolysis with
weight and fat mass gain. METHODS: Subjects had assessment of percent body fat
(%fat) and adipose tissue biopsy for in vitro lipolysis (n = 325), and a subset
of subjects had measurement of whole-body lipid oxidation (n = 112). A subset of
subjects (n = 243) returned for repeated measurements of body weight and
composition (mean follow-up 8.2 +/- 5.5 years). RESULTS: In vitro lipolysis (r =
0.47, P < 0.0001) and adipocyte size (r = 0.49, P < 0.0001) were strongly
associated with %fat. In vitro lipolysis (P = 0.04) but not adipocyte size (P =
0.44) was associated with whole-body fat oxidation. Adipocyte size was not
associated with rate of percent weight gain (P = 0.20) but was negatively
associated with rate of percent fat mass gain (P = 0.01). In vitro lipolysis was
negatively associated with rate of percent weight gain (P = 0.02) and had a
marginal negative association with rate of percent fat mass gain (P = 0.08).
CONCLUSIONS: These results indicate inherent characteristics of adipocytes,
including size and lipolytic activity, may be important determinants of whole
body lipid oxidation and subsequent weight gain.
PMID- 27868389
TI - Monitoring of Human Uterus Transplantation With Cervical Biopsies: A Provisional
Scoring System for Rejection.
AB - Until now, absolute uterine factor infertility has been the major untreatable
form of female infertility. Uterus transplantation has recently proven to be the
first successful treatment for absolute uterine factor infertility, with
demonstration of live births. In this study, live donation uterus transplantation
was performed in nine women. In total, 163 cervical biopsies (149 protocol, 14
follow-up) were taken to detect histopathological signs of rejection. Based on
experience from animal experiments, we used a three-grade scoring system to
evaluate biopsies systematically. Nine episodes of rejection were diagnosed in
five patients: grade 1 in six episodes, grade 2 in two episodes, and grade 3 in
one episode. Treatment decisions were based on histopathology, and all rejection
episodes were reversed after treatment. The biopsies were reviewed
retrospectively, and immunohistochemistry was performed to characterize the
inflammatory infiltrates. A borderline category was introduced to avoid
overtreatment of patients. Based on our review of all biopsies, we put forward a
simple grading system for monitoring of rejection and to guide immunosuppressive
treatment in uterus transplantation.
PMID- 27868392
TI - Cerebellar gray matter differentiates children with early language delay in
autism.
AB - Early language delay (ELD) is one of the earliest indicators of autism spectrum
disorder (ASD), and predicts later cognitive and behavioral outcomes. We aimed to
determine the neural correlates of ELD in autism, and examine the relationships
between gray matter (GM), age of first word/phrase, and core ASD symptoms. We
used voxel-based morphometry to examine whole-brain differences in GM in 8-13
year old children with autism (n = 13 ELD; n = 22 non-ELD) and 35 age-matched
typically developing (TD) children. Multiple regression analyses examined the
relationships between GM, age of first word/phrase, and autism diagnostic
observation schedule (ADOS) scores. Composite age of first word/phrase negatively
correlated with GM throughout the cerebellum. Both ASD groups (ELD and non-ELD)
had reduced GM in right cerebellar Crus I/II when compared to TD children. Left
cerebellar Crus I/II was the only region in the brain that differentiated ELD and
non-ELD children, with ELD children showing reduced GM relative to both non-ELD
and TD groups. Group*score interactions converged in left Crus I/II, such that
the non-ELD group showed poorer ADOS scores with increasing GM, whereas the ELD
group showed poorer ADOS scores as GM decreased. Reduced GM in right cerebellar
Crus I/I was related ASD diagnosis, while children with ELD showed additional
reduced GM in left Crus I/II. These findings highlight the importance of specific
cerebellar networks in both ASD and early language development, and suggest that
bilateral disruption in cerebellar regions that interconnect with fronto-parietal
networks could impact language acquisition in ASD. Autism Res 2016, 9: 1191-1204.
(c) 2016 International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27868393
TI - Atypical effective connectivity of thalamo-cortical circuits in autism spectrum
disorder.
AB - Autism spectrum disorder (ASD) is a neurodevelopment disorder characterized by
atypical connectivity within and across multiple brain systems. We aimed to
explore information transmission from the sensory periphery to information
processing centers of the brain across thalamo-cortical circuits in ASD. A large
multicenter dataset from the autism brain imaging data exchange was utilized. A
thalamus template derived from the Automatic Anatomic Labeling atlas was
subdivided into six subregions corresponding to six cortical regions using a
"winner-takes-all" strategy. Granger causality analysis (GCA) was then applied to
calculate effective connectivity from subregions of the thalamus to the
corresponding cortical regions. Results demonstrate reduced effective
connectivity from the thalamus to left prefrontal cortex (P = 0.023), right
posterior parietal cortex (P = 0.03), and bilateral temporal cortex (left: P =
0.014; right: P = 0.015) in ASD compared with healthy control (HC) participants.
The GCA values of the thalamus-bilateral temporal cortex connections were
significantly negatively correlated with communication scores as assessed by the
autism diagnostic observation schedule in the ASD group (left: P = 0.037; right:
P = 0.007). Age-related analyses showed that the strengths of the thalamus
bilateral temporal cortex connections were significantly positively correlated
with age in the HC group (left: P = 0.013; right: P = 0.016), but not in the ASD
group (left: P = 0.506; right: P = 0.219). These results demonstrate impaired
thalamo-cortical information transmission in ASD and suggest that atypical
development of thalamus-temporal cortex connections may relate to communication
deficits in the disorder. Autism Res 2016, 9: 1183-1190. (c) 2016 International
Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27868394
TI - Sensitivity and accuracy of hybrid fluorescence-mediated tomography in deep
tissue regions.
AB - Fluorescence-mediated tomography (FMT) enables noninvasive assessment of the
three-dimensional distribution of near-infrared fluorescence in mice. The
combination with micro-computed tomography (uCT) provides anatomical data,
enabling improved fluorescence reconstruction and image analysis. The aim of our
study was to assess sensitivity and accuracy of uCT-FMT under realistic in vivo
conditions in deeply-seated regions. Accordingly, we acquired fluorescence
reflectance images (FRI) and uCT-FMT scans of mice which were prepared with
rectal insertions with different amounts of fluorescent dye. Default and high
sensitivity scans were acquired and background signal was analyzed for three FMT
channels (670 nm, 745 nm, and 790 nm). Analysis was performed for the original
and an improved FMT reconstruction using the uCT data. While FRI and the original
FMT reconstruction could detect 100 pmol, the improved FMT reconstruction could
detect 10 pmol and significantly improved signal localization. By using a finer
sampling grid and increasing the exposure time, the sensitivity could be further
improved to detect 0.5 pmol. Background signal was highest in the 670 nm channel
and most prominent in the gastro-intestinal tract and in organs with high
relative amounts of blood. In conclusion, we show that uCT-FMT allows sensitive
and accurate assessment of fluorescence in deep tissue regions.
PMID- 27868395
TI - Genetic diagnosis in Hemophilia A from southern China: five novel mutations and
one preimplantation genetic analysis.
AB - INTRODUCTION: As there is currently no complete cure for hemophilia A (HA), the
identification of pathogenic mutations in factor VIII (FVIII) gene from HA
patients and carriers, which can contribute to genetic counseling prenatal
diagnosis, and preimplantation genetic diagnosis (PGD), is an important step to
prevent HA. METHODS: A total of 14 unrelated Chinese HA subjects (FVIII activity
<40%), 20 carrier subjects, three fetuses, and one PGD were included in this
study. We first screened for the presence of FVIII intron 22 and intron 1
inversions. Second, the coding region of the FVIII gene was sequenced. For the
novel mutations, FVIII mRNA expression was detected by real-time PCR and the
protein structures were analyzed by bioinformatic tools. RESULTS: Five novel
mutations (c.1A>C, c.304_305insA, c.1594T>A, c.6045G>A, and c.2645_2646insG) were
found. The real-time PCR showed that the expression of FVIII mRNAs was lower in
HA patients than in normal subjects. Prenatal diagnosis and PGD were successfully
performed: Two of three fetuses and four of eight blastomeres were confirmed to
be normal. CONCLUSION: In conclusion, genetic diagnosis of 14 unrelated HA
subjects, 20 carrier subjects, three fetuses, and one PGD was successfully
performed in our study.
PMID- 27868397
TI - Prolonged Effect of OnabotulinumtoxinA on Chronic Migraine in 87 Koreans.
PMID- 27868396
TI - Investigating the Prevalence of Dementia and Its Associated Risk Factors in a
Chinese Nursing Home.
AB - BACKGROUND AND PURPOSE: The objective of this study was to determine the
prevalence of and risk factors for dementia in residents aged 65 years and older
in a Chinese nursing home. METHODS: A cross-sectional study was carried out in a
nursing home located in Hangzhou, Zhejiang Province, China. Cognitive status,
including the presence of dementia and mild cognitive impairment (MCI), was
measured using a combination of medical history and objective cognitive
assessments. Logistic regression analysis was conducted to predict the associated
risk factors. RESULTS: In total, 943 residents (334 males and 609 females) aged
84.00+/-6.67 years (mean+/-SD) were included. Dementia was diagnosed in 420
(44.5%) residents, and MCI was diagnosed in 195 (20.7%). Mild, moderate, and
severe dementia were present in 20.3%, 14.0%, and 65.7% of those in the dementia
group, respectively. Logistic regression analysis revealed that dementia was
associated with a low education level (p=0.000), an advanced age (p=0.010), and a
history of stroke (p=0.023). CONCLUSIONS: Our study found a high prevalence of
dementia in a Chinese nursing home, and a high prevalence of patients with severe
dementia. Risk factors for dementia included a low educational level, an advanced
age, and a history of stroke. Appropriate interventions need to be applied to
this population.
PMID- 27868398
TI - Real-Time Detection of Cerebral Artery Rebleeding by Transcranial Doppler
Ultrasound: Hemodynamic Changes and Response to Treatment.
PMID- 27868399
TI - Electron Microscopy Pathology of ADSSL1 Myopathy.
PMID- 27868401
TI - Back Pain and Spinal Cysticercosis.
PMID- 27868400
TI - Association between Stroke Status and Depression in a Community Setting: The 2014
Korea National Health and Nutrition Examination Survey.
AB - BACKGROUND AND PURPOSE: Previous studies have examined the risk factors for
depression in stroke patients, but little information is available on the
relationship between stroke status and depression in the community-dwelling
general population. We evaluated the association between stroke status and
depression using representative nationwide data. METHODS: In total, 3,487
subjects (aged >=40 years) who participated in version VI-2 of the sixth Korea
National Health and Nutrition Examination Survey (KNHANES) performed in 2014 were
included. We compared the prevalence of depression in 120 community-dwelling
stroke patients and 3,367 nonstroke controls using the nine-item Patient Health
Questionnaire (PHQ-9). RESULTS: The prevalence of depression (PHQ-9 score >=10)
was 16.7% in stroke patients and 6.4% in controls. In the unadjusted model,
depression was more common in stroke patients than in nonstroke controls [odds
ratio (OR), 2.95; 95% confidence interval (CI), 1.79-4.86]. After adjusting for
demographic characteristics, socioeconomic status, health-related behaviors, and
comorbidities, stroke diagnosis was a significant risk factor for depression (OR,
1.85; 95% CI, 1.06-3.24). Specifically, a diagnosis of stroke in patients aged
<60 years (OR, 3.82; 95% CI, 1.81-8.09) and the presence of stroke complications
(OR, 2.77; 95% CI, 1.25-6.13) remained significant risk factors for depression
even after adjusting for potential confounders. CONCLUSIONS: In a community
setting, poststroke survivors had a higher prevalence of depression, and stroke
was an independent risk factor for depression. Public psychosocial interventions
are needed to improve the mental health care of community-dwelling stroke
survivors.
PMID- 27868402
TI - Mechanical Thrombectomy for Acute Middle Cerebral Artery Occlusion Caused by a
Giant, Thrombosed, Extracranial Internal Carotid Artery Aneurysm.
PMID- 27868403
TI - Clinical and Pathological Findings of a Korean Family with Pathogenic Variants of
the TTN Gene.
PMID- 27868404
TI - [Standardization of cancer biobank in precision medicine era].
AB - Tumor specimens have a great role in basic and clinical translational researches
on cancer, especially in the era of precision medicine. Thus the standardization
of cancer biobank is of high importance. The establishment and maintenance of
cancer biobank require comprehensive quality management, so as to provide high
quality service for basic and clinical researches. At present, sample-oriented
collection and management, and clinical and pathological data annotation are the
main focuses of biobank standardization in China.
PMID- 27868405
TI - [Expression of CD10 in tumor-associated fibroblast of cancerized or recurrent
colorectal adenomas].
AB - Objective: To investigate the expression of CD10 in tumor-associated fibroblasts
(TAF) in colorectal adenomas and its relation to cancerization and recurrence of
adenoma. Methods: Tissue samples of low-grade adenoma (n=50), high-grade adenoma
(n=50) and colorectal adenocarcinoma (n=50) were collected, and tissue samples at
the distal margin of corresponding colorectal lesions were taken as controls. The
expression of CD10 in the stromal TAFs, and the expressions of beta-catenin, Ki
67, p53 and CyclinD1 in tumor cells were detected by immunohistochemistry
(Envision). The correlation of CD10 expression in stromal TAFs with the
expressions of beta-catenin, Ki-67, p53 and CyclinD1 in tumor cells was analyzed
by Spearmen. One hundred samples of low-grade colorectal adenoma were collected,
including 57 non-recurrent cases and 43 recurrent cases (16 cases of recurrent
adenoma and 27 cases of recurrent adenocarcinoma); the expression of stromal TAF
CD10 were determined and compared among groups. Results: There was no TAF in
normal colorectal mucosa. The expression rates of TAF CD10 in low-grade adenoma,
high-grade adenoma and colorectal adenocarcinoma were 22%, 50% and 78%,
respectively (all P<0.05). The expression of Ki-67 and beta-catenin in low-grade
adenoma, high-grade adenoma, colorectal adenocarcinoma was on a rising trend (all
P<0.01). The expression of CyclinD1 in high-grade adenoma was higher than that in
colorectal adenocarcinoma and low-grade adenoma (all P>0.05). The expression of
p53 in colorectal adenocarcinoma and high-grade adenoma was higher than that in
low grade adenoma (all P<0.01). The expression of TAF CD10 was correlated with
the expression of p53, Ki-67 and beta-catenin-nucleus(r=0.264,0.307,0.320, all
P<0.01),but not correlated with CyclinD1 and beta-catenin-membrane (r=0.012,
0.073, all P>0.05). The TAF CD10 level was significantly higher in low-grade
adenoma with recurrence than that in those without recurrence (P<0.05).The
expression of CD10 in recurrent colorectal adenocarcinoma was higher than that in
recurrent adenoma (P<0.05). Conclusion: The expression of TAF CD10 is increased
gradually in the process of adenoma-cancer, indicating that it may play an
important role in the canceration of adenoma. Adenomas with high expression of
CD10 TAF are likely to be recurrent and cancerized, and detection of TAF CD10
combined with p53, Ki-67 and beta-catenin may be of value in predicting
canceration or recurrence of colorectal adenoma.
PMID- 27868406
TI - [Expression of miR-let-7e-3p in cervical intraepithelial neoplasm and cervix
carcinoma and its clinical significance].
AB - Objective: To investigate the expression of microRNA (miRNA, miR) let-7e-3p in
different cervical lesions and its clinical significance. Methods: The expression
of miR-let-7e-3p in the tissues of normal cervix (n=26), high-grade squamous
intraepithelial lesion (HSIL) (n=37), and cervix carcinoma (n=101) were detected
by reverse transcription and quantitative polymerase chain reaction (RT-qPCR).
The correlation of miR-let-7e-3p expression with the clinicopathological
parameters of patients with cervical cancer was analyzed. miR-let-7e-3p mimic was
transfected into cervical carcinoma Siha cells. The cell cycle and apoptosis were
determined by flow cytometry; cell proliferation was determined by CCK-8 kit; and
the migration and invasion of cells were determined by Transwell assay. Results:
The relative expression levels of miR-let-7e-3p in normal cervix, HSIL, and
cervical carcinoma were 1.45+/-0.24, 0.79+/-0.05 and 0.46+/-0.04, respectively
(all P<0.05). After transfection with miR-let-7e-3p mimic, the S-phase fraction
and apoptosis rate of Siha cells were increased significantly compared with
control group[(29.76+/-6.6)% vs (13.38+/-1.3)%, P<0.05; (5.98+/-1.38)% vs (3.53+/
0.79)%, P<0.05, respectively]. OD of transfected Siha cells at 48, 72 and 96 h
were 0.57+/-0.11,0.65+/-0.04 and 0.84+/-0.14, which were significantly lower than
those of untransfected Siha cells (0.74+/-0.05, 0.93+/-0.10 and 1.47+/-0.14, all
P<0.05). The migration and invasion abilities of transfected Siha cells were not
significantly changed (all P>0.05). Conclusion: The expression of miR-let-7e-3p
is down-regulated in cervical neoplasms, which is associated with cell cycle
arrest and proliferation inhibition of cervical cancer cells.
PMID- 27868408
TI - [Effect of a novel EZH2 inhibitor GSK126 on prostate cancer cells].
AB - Objective: To investigate the effect of a novel EZH2 inhibitor GSK126 on cell
growth, apoptosis and migration of prostate cancer cells. Methods: Prostate
cancer PC-3 and DU145 cells were treated with GSK126 at different doses. Cell
growth was detected by sulforhodamine assay. Cell apoptosis was assayed by
Annexin V-/PI kit. Transwell chamber and wound healing assays were conducted to
detect cell migration. The mRNA level was detected by quantitative PCR, and
protein expression was detected by Western blot analysis. Results: GSK126 showed
significant effect on cell growth and apoptosis when the dose was higher than 50
MUmol/L. Wound healing assay revealed that scratch space in PC-3 cells was
significantly increased in a dose-dependent manner in GSK126-treated
groups[(247.2+/-24.4),(347.2+/-19.2) and (410.5+/-18.1) MUm in low, medium and
high dose (5.0, 20.0, 50.0 MUmol/L), respectively] as compared with the control
group[(171.3+/-17.8) MUm](all P<0.05). Transwell assay showed that migrated PC-3
cells in control group was 322.0+/-17.9,while those in GSK126-treated groups were
198.3+/-15.4 (low),82.7+/-6.2 (medium) and 30.2+/-4.1 (high), and the differences
between the control group and GSK126-treated groups were significant(all P<0.05).
In addition, GSK126 up-regulated E-cadherin mRNA expression and down-regulated N
cadherin and Vimentin mRNA expression, whereas had no significant effect on
Snail, Fibronectin and VEGF-A mRNA expression. The protein expression of E
cadherin was elevated but VEGF-A protein did not change in GSK126-treated groups.
Similar results were exhibited in DU145 cell. Conclusion: GSK126 can
significantly inhibit cell migration and invasion in prostate cancer PC-3 and
DU145 cells, which may be resulted from its effect on epithelial-mesenchymal
transition. GSK126 may be used as a potential anti-prostate cancer dug in clinic.
PMID- 27868407
TI - [Effect of DJ-1 silencing by RNA interference on growth of xenografted human
laryngeal squamous cell carcinoma Hep-2 cells in nude mice].
AB - Objective: To investigate the effect of silencing DJ-1 on xenografted human
laryngeal squamous cell carcinoma (LSCC) Hep-2 cells in nude mice. Methods:
Xenograft model of human LSCC was established by subcutaneous transplantation of
Hep-2 cells in 24 nude mice. The LSCC-bearing nude mice were randomly divided
into 3 groups (n=8 in each):DJ-1 siRNA low dose group and DJ-1 siRNA high dose
group were injected in tumors with 20 MUg of DJ-1 siRNA or 40 MUg of DJ-1 siRNA
in 50 MUL, respectively; control group was injected with 5% glucose solution in
50 MUL, twice a week for 3 weeks. The weight and size of tumors were measured
before injection. The animals were sacrificed 48 h after the final treatment, and
the tumors were harvested and weighed. The apoptosis and proliferation of tumor
cells were determined; the expressions of Caspase-3 and Ki-67 in tumor specimens
were detected with immunohistochemistry. The expression of DJ-1, PTEN, survivin
mRNA and protein in tumor tissues were detected by RT-PCR and Western blotting,
respectively. Results: Tumor weight in low dose group[(0.66+/-0.15)g] and high
dose group[(0.48+/-0.11)g] were significantly lower than that in control
group[(0.83+/-0.16)g, all P<0.05]. The inhibition rates of low dose group and
high dose group were (20.48+/-0.18)% and (42.16+/-0.13)%, respectively.
Immunohistochemistry showed that the expression of Caspase-3 was increased and Ki
67 was reduced in tumor specimens, compared with the control group (all P<0.05).
RT-PCR and Western blot results showed that in low dose group and high dose group
the mRNA and protein expression of DJ-1 and survivin significantly decreased (all
P<0.05), while PTEN mRNA and protein content increased (all P<0.05). Conclusion:
High dose DJ-1 siRNA can inhibit the tumor growth in human LSCC xenograft nude
mouse model, which indicates that down-regulating DJ-1 and survivin, and up
regulating PTEN expression may lead to blockage of PI3K-PKB/Akt signaling pathway
and promoting tumor cell apoptosis.
PMID- 27868409
TI - [Effect of RAD18-siRNA on proliferation and chemotherapy sensitivity of human
esophageal squamous cell carcinoma ECA-109 cells].
AB - Objective: To investigate the effect of RAD18-siRNA on cell proliferation and
chemotherapy sensitivity of esophageal squamous cell carcinoma (ESCC) ECA-109
cells. Methods: RAD18-siRNA was transfected into human ECA-109 cells by
Lipofectamine 3000. Quantitative PCR and Western blot were performed to detect
RAD18 and CyclinD1 expression; CCK-8 assay was used to determine cell
proliferation and chemotherapy drug sensitivity; flow cytometry was used to
determine cell cycle. Correlation between RAD18 and CyclinD1 mRNA expression was
analyzed by Pearson's correlation. Results: Compared with non-transfected cells,
the expression of RAD18 in RAD18-siRNA group was significantly decreased
(P<0.05). The cell proliferation was inhibited (P<0.05) and the cell number of G1
phase was increased, G2/M phase cells decreased (P<0.05) in RAD18-siRNA group.
After treatment with different concentrations of cisplatin or 5-FU, the survival
rate of the two cell groups was reduced (all P<0.05), and the IC50 of RAD18-siRNA
group was significantly lower than that of non-transfected group (P<0.05). The
mRNA expression of RAD18 was positively correlated with CyclinD1 expression in
ESCC tissues(r=0.478, P<0.01). Conclusion: Down-regulated expression of RAD18 can
decrease the cell proliferation and increase chemo-sensitivity of ESCC cells, and
CyclinD1 may participate in the process.
PMID- 27868410
TI - [Expression of microRNA-221/222 in patients with monoclonal gammopathy of
undetermined significance and multiple myeloma].
AB - Objective: To detect the expression of miR-221/222 in serum and plasma cells in
patients with monoclonal gammopathy of undetermined significance(MGUS) and
multiple myeloma(MM), and to explore the possibility of miR-221/222 as biomarkers
in the diagnosis and prognosis predicting of MGUS and MM. Methods: Bone marrow
and serum samples from 14 patients with newly diagnosed MGUS, 81 patients with
newly diagnosed or relapsed MM and 10 controls were collected from Sir Run Run
Shaw Hospital of Zhejiang University and Tongde Hospital of Zhejiang Province
during January 2013 and December 2015. The expressions of miR-221/222 in serum
and in sorted CD138 positive plasma cells were detected by qRT-PCR, and the
relative expression of miR-221/222 (Deltact) was compared between the groups.
Serum levels of miR-221 before and after treatment were compared in both
remission group (n=22) and refractory group (n=13) in MM patients, and its
correlation with serum level of beta2-MG was assessed using Pearson's correlation
analysis. Results: Serum levels of miR-221/222 in MGUS and MM groups were
significantly higher than those in control group (all P<0.01), while miR-221/222
levels in plasma cells were significantly lower in MGUS and MM groups than those
in the control group (P<0.05 or<0.01). No significant difference in miR-221/222
levels in serum and plasma cells was observed between MGUS group and MM group
(all P>0.05). There was no correlation between miR-221/222 levels in serum and
plasma cells (r=0.024 and -0.127, all P>0.05), but miR-221 levels were correlated
with miR-222 levels in both serum and plasma cells (r=0.534 and 0.552, all
P<0.01). Receiver operating characteristic (ROC) curves showed that the areas
under the curve (AUCs) of serum miR-221/222, plasma cell miR-221/222 in diagnosis
of MGUS/MM were 0.968, 0.976, 0.801 and 0.727, respectively. There was no
significant difference in serum level of miR-221 among MM patients with different
paraprotein isotypes (P>0.05), but serum level of miR-221 in patients with
relapsed MM was higher than that in patients with newly diagnosed MM (P<0.01).
Compared with the patients with MGUS or MM stageI and II, patients with MM stage
III were of higher serum levels of miR-221 (P<0.01). Serum level of miR-221
decreased after chemotherapy in the remission group (U=51.5, P<0.01), but such
decrease was not observed in the refractory group (U=67.5, P>0.05). Serum level
of beta2-MG was positively correlated with serum level of miR-221 (r=0.524,
P<0.01). Conclusion: miR-221/222 in serum and plasma cells may be biomarkers for
early diagnosis of MGUS, and are helpful for diagnosis and efficacy evaluation of
MM.
PMID- 27868411
TI - [Efficacy of neoadjuvant chemotherapy combined with bevacizumab versus
neoadjuvant chemotherapy alone for Her2-negative breast cancer: a meta-analysis
of randomized controlled clinical trials].
AB - Objective: To evaluate the efficacy and safety of neoadjuvant chemotherapy
combined with bevacizumab versus neoadjuvant chemotherapy alone for Her2-negative
breast cancer.Methods: We searched PubMed, the Cochrane Library, Web of Science,
CNKI, Wanfang Database and the abstracts of major international conferences in
recent 5 years to identify prospective randomized controlled clinical trials that
met the inclusion and exclusion criteria. Study selection and analyses were
undertaken according to the Cochrane Handbook. Meta-analysis was performed using
RevMan 5.3 software.Results: Six trials were identified with 4440 eligible
patients. The results of this meta-analysis showed that the rate of pathological
complete response (pCR) was higher in Her-2 negative breast cancer patients
receiving bevacizumab combined with neoadjuvant chemotherapy than that in
patients with neoadjuvant chemotherapy alone (24.7% vs 20.1%, RR=1.23, 95%CI:1.10
1.37, P<0.01). In addition, the pCR rate rose up when bevacizumab was added to
neoadjuvant chemotherapy both in hormone receptor-positive patients (13.1% vs
10.2%,RR=1.28, 95%CI:1.04-1.58,P<0.05) and in hormone receptor-negative patients
(46.3% vs 37.1%, RR=1.25, 95%CI:1.12-1.39, P<0.01). Statistical differences were
observed in the rate of relevant adverse events such as hypertention (3.2% vs
0.6%, RR=5.292, 95%CI:2.933-9.549, P<0.01) and mucositis (10.5% vs 2.0%,
RR=5.340, 95%CI:3.743-7.617, P<0.01) between the combination group and the
chemotherapy alone group. Differences in other toxicities such as febrile
neutropenia, infection, surgical complications, neutropenia and hand-foot
syndrome were also found to be statistically significant between the combination
group and the chemotherapy alone group (all P<0.05), while such difference was
not found in the occurrence of peripheral neuropathy (P>0.05). Conclusion: The
addition of bevacizumab to neoadjuvant chemotherapy in Her2-negative breast
cancer can significantly improve pathological complete response, but may bring
more grade 3 and 4 toxicities.More neoadjuvant trials need to be done to define
subgroups of Her2-negative breast cancer that would have clinically significant
long-term benefit from bevacizumab treatment.
PMID- 27868412
TI - [Aberrant DNA methylation and its targeted therapy in acute myeloid leukemia].
AB - The occurrence and development of acute myeloid leukemia (AML) is not only
related to gene mutations, but also influenced by abnormal epigenetic regulation,
in which DNA methylation is one of the most important mechanisms. Abnormal DNA
methylation may lead to the activation of oncogene and the inactivation of tumor
suppressor gene, resulting in the occurrence of leukemia. The mutations of DNA
methylation enzymes associated with AML may have certain characteristics. The AML
with recurrent cytogenetic abnormalities is also related to abnormal methylation.
Some fusion genes can alter DNA methylation status to participate in the
pathogenesis of leukemia. In addition, chemotherapy drug resistance in patients
with AML is associated with the change of gene methylation status. Considering
the reversibility of the epigenetic modification, targeted methylation therapy
has become a hotspot of AML research.
PMID- 27868413
TI - [Progress on clinical application of bevacizumab for the treatment of refractory
cervical cancer].
AB - Bevacizumab is increasingly used in recurrent, persistent or metastatic cervical
cancer. The early retrospective case reports found that bevacizumab combined with
5-FU (including capecitabine) or paclitaxel was well tolerated and displayed
encouraging anti-tumor activity in recurrent or persistent cervical cancer. Phase
II clinical trials showed that bevacizumab was well tolerated and active in the
second- and third-line treatment of patients with recurrent cervical cancer.
Large scale phase II and phase III clinical trials demonstrated that bevacizumab
containing chemotherapy was effective in the first- and second-line treatment of
patients with persistent cervical cancer, prolonged survival time and improved
remission rate. The article also reviews the research progress on predictive
factors of bevacizumab efficacy, showing the use of imaging and biomarkers in
predicting the efficacy of bevacizumab treatment. In addition, this article
analyzes the cost-effectiveness of bevacizumab, finding that bevacizumab combined
with chemotherapy meets the standard of cost-effectiveness.
PMID- 27868414
TI - [Effect of shift rotation culture on formation and activity of encapsulated
hepatocytes aggregates].
AB - Objective: To observe the effect of uniform and shift rotation culture on the
formation and activity of the alginate-chitosan (AC) microencapsulated HepLL
immortalized human hepatocytes and HepG2 cells aggregates. Methods: AC
microcapsulated HepG2 and HepLL cells were randomly divided into two groups. Each
group was divided into 3 subgroups according to uniform and shift rotation
culture.The size and number of aggregates were observed and measured under laser
confocal microscopy and inverted microscope dynamically. The amount of albumin
synthesis was detected by ELISA, the clearance of ammonia was detected by
colorimetry, and diazepam conversion function was detected by high performance
liquid chromatography (HPLC). Results: On day 6, 8, 10, 12, 14 and 16, the number
and size of the aggregates, albumin synthesis, diazepam clearance and ammonium
clearance increased significantly in shift rotation culture group than in uniform
group (all P<0.01). The albumin synthesis, diazepam clearance, and ammonium
clearance in the microencapsulated HepLL groups were significantly higher than
those of HepG2 cells at any time (all P<0.01). Conclusion: Shift rotation culture
can significantly promote the formation and increase the activity of AC
microencapsulated HepLL and HepG2 aggregates, and HepLL cells may be more
suitable for bioartificial liver than HepG2.
PMID- 27868415
TI - [Association of single nucleotide polymorphism in exon of transient receptor
potential melastatin 2 gene with sepsis].
AB - Objective: To investigate the association between single nucleotide polymorphism
(SNP) in the 11th exon of transient receptor potential melastatin 2 (TRPM2) gene
with the susceptibility and outcome of sepsis. Methods: A total of 119 septic
patients and 112 normal subjects were enrolled from the First Affiliated
Hospital, Zhejiang University School of Medicine. Among 119 septic patients, 62
died (fatal group) and 57 survived (survival group) within 28 days of disease
onset. The genotypes of these individuals were detected using TaqMan allelic
discrimination assays, and its correlations with susceptibility and outcome of
sepsis were analyzed. Results: There was no significant difference in genotype
frequencies and allelic frequencies of TRPM2 SNP rs1556314 between septic
patients and the controls (all P>0.05). And no significant difference in genotype
frequencies and allelic frequencies of TRPM2 SNP rs1556314 was observed between
the survivors and fatal cases of septic patients (all P>0.05). Conclusion: The
TRPM2 SNP rs1556314 does not have significant association with sepsis, but this
result need to be confirmed by large scale studies.
PMID- 27868416
TI - [Comparison of FibroTouch and acoustic radiation force impulse in diagnosis of
liver fibrosis in patients with chronic hepatitis B].
AB - Objective: To compare transient elastorgaphy (FibroTouch) and acoustic radiation
force impulse (ARFI) in diagnosis of liver fibrosis in patients with chronic
hepatitis B. Methods: One hundred and forty five patients with chronic hepatitis
B underwent FibroTouch and ARFI examinations in Xixi Hospital of Hangzhou from
January to November 2015. The liver stiffness (LSM) was detected by FibroTouch
and the liver shear wave velocity (VTQ) was detected by ARFI; liver biopsy was
performed in all patients. With biopsy results as gold standards, the diagnostic
values of FibroTouch and ARFI for liver fibrosis were analyzed with Spearman
correlation analysis and receiver operating characteristic (ROC) curve. Results:
The correlation coefficient of FibroTouch and ARFI was 0.746 (P<0.01). FibroTouch
and ARFI were significantly correlated with pathological stage determined by
liver biopsy(r=0.705 and 0.727, all P<0.01). When 8.4 kPa was taken as the cut
off value of LSM and 1.49 m/s was taken as the cut-off value of VTQ, the areas
under ROC (AUCs) were 0.857 and 0.836 (P>0.05) in diagnosis of fibrosis S>=2
stage; when 10.8 kPa of LSM and 1.49 m/s of VTQ were used as cut-off values, the
AUCs were 0.872 and 0.881 (P>0.05) in diagnosis of S>=3 stage; when 12.3 kPa of
LSM and 1.81m/s of VTQ were used as cut-off values, the AUCs were 0.875 and 0.888
(P>0.05) in diagnosis of S=4 stage. Conclusion: Both FibroTouch and ARFI can be
effectively used in evaluation of liver fibrosis in patients with chronic
hepatitis B.
PMID- 27868417
TI - [Evaluation of tear film and meibomian gland function in dry eye patients using
Keratograph 5M].
AB - Objective: To assess the application of Keratograph 5M in evaluating tear film
and meibomian gland function in patients with dry eye. Methods: A total of 144
eyes were recruited in the study, in which 72 eyes were from patients diagnosed
with dry eye and 72 eyes were from healthy subjects. All subjects finished
following tests or examinations:ocular surface disease index (OSDI) to evaluate
eye symptoms; Keratograph 5M examination to obtain tear meniscus height (TMH),
noninvasive tear break-up time (NIBUT) including first NIBUT (NIBUT-Fir) and
average NIBUT (NIBUT-Ave), and infrared meibography; and fluorescein sodium
staining to obtain fluorescein tearbreak-up time (FBUT). Results: Dry eye group
had higher OSDI score than healthy control group, but its TMH, NIBUT-Fir and
NIBUT-Ave were lower than those in healthy control group (all P<0.01). Total
meiboscore in dry eye group was higher than that in healthy control group
(P<0.01), and it showed a significant correlation with NIBUT-Fir and NIBUT-Ave
(r=-0.449 and -0.398, P<0.01), but no correlation with ages was observed
(r=0.031, P>0.05). The NIBUT-Fir and NIBUT-Ave showed a significant correlation
with FBUT (r=0.833 and 0.727, P<0.01). Conclusion: Keratograph 5M is a
convenient, accurate and non-invasive method to assess the function of tear film
and meibomian gland, and the new meibography scoring system can evaluate the
function of meibomian gland objectively and succinctly.
PMID- 27868418
TI - [Fibromyalgia syndrome after comprehensive treatment of breast cancer: a case
report].
AB - Fibromyalgia syndrome after comprehensive treatment of breast cancer is rare and
seldom reported. Here we present a case of a 50-year-old female patient,who was
admitted to the hospital because of generalized fibromyalgia for 3 months and
brain metastasis after the right breast carcinoma surgery for 1 month, and the
clinical diagnosis was brain metastasis from breast carcinoma combined with
fibromyalgia syndrome. The fibromyalgia were relieved with proper symptomatic
treatment but the patient eventually died of tumor progression.
PMID- 27868419
TI - [Research progress on pharmacotherapy of calcific aortic valve disease].
AB - With the population aging and declining incidence of rheumatic heart disease,
calcific aortic valve disease (CAVD) has become the most frequent valve disease
and the common cause of aortic valve replacement. Patients with CAVD need to cope
with a deteriorating quality of life and valve replacement is the only effective
clinical option for the patients. Therefore, early pharmacotherapy is of great
significance in prevention or slow-down of the progression of CAVD. For years
CAVD was considered to be a passive wear and tear process of valves, but now it
is recognized as an active and multi-factorial process. Histopathologic studies
have revealed that inflammation, disorder of calcium and phosphorus metabolism
and dyslipidemia are involved in the process of CAVD. Clinical trials of CAVD
pharmacotherapy have been carried out based on those histopathologic studies.
Statin, renin-angiotensin inhibitors and anti-osteoporosis drug are well studied
in recent years. This article reviews the recent research progress of the
pharmacotherapy for CAVD.
PMID- 27868420
TI - [Research progress on the role of epithelial-mesenchymal transition in
pathogenesis of endometriosis].
AB - Epithelial-mesenchymal transition plays an important role in the development and
progression of endometriosis. Mesenchymal-epithelial transition is involved in
forming localized lesions of endometriosis, while EMT is involved in the injury,
repair and fibrosis induced by local inflammation of endometriosis and the
process of cell invasion and metastasis. The studies of signal transduction
pathway and related proteins of epithelial-mesenchymal transition in the process
of endometriosis may provide new targets for diagnosis and treatment of
endometriosis.
PMID- 27868422
TI - "Neurobehavioral markers of resilience to depression amongst adolescents exposed
to child abuse": Correction to Dennison et al. (2016).
AB - Reports an error in "Neurobehavioral markers of resilience to depression amongst
adolescents exposed to child abuse" by Meg J. Dennison, Margaret A. Sheridan,
Daniel S. Busso, Jessica L. Jenness, Matthew Peverill, Maya L. Rosen and Katie A.
McLaughlin (Journal of Abnormal Psychology, 2016[Nov], Vol 125[8], 1201-1212). In
the article there was an error in the title. The word "Adolescents" was singular.
The online version of this article has been corrected. (The following abstract of
the original article appeared in record 2016-52992-014.) Childhood maltreatment
is strongly associated with depression, which is characterized by reduced
reactivity to reward. Identifying factors that mitigate risk for depression in
maltreated children is important for understanding etiological links between
maltreatment and depression as well as improving early intervention and
prevention. We examine whether high reward reactivity at behavioral and
neurobiological levels is a marker of resilience to depressive symptomology in
adolescence following childhood maltreatment. A sample of 59 adolescents (21 with
a history of maltreatment; Mean Age = 16.95 years, SD = 1.44) completed an fMRI
task involving passive viewing of emotional stimuli. BOLD signal changes to
positive relative to neutral images were extracted in basal ganglia regions of
interest. Participants also completed a behavioral reward-processing task outside
the scanner. Depression symptoms were assessed at the time of the MRI and again 2
years later. Greater reward reactivity across behavioral and neurobiological
measures moderated the association of maltreatment with baseline depression.
Specifically, faster reaction time (RT) to cues paired with monetary reward
relative to those unpaired with reward and greater BOLD signal in the left
pallidum was associated with lower depression symptoms in maltreated youth.
Longitudinally, greater BOLD signal in the left putamen moderated change in
depression scores over time, such that higher levels of reward response were
associated with lower increases in depression over time among maltreated youths.
Reactivity to monetary reward and positive social images, at both behavioral and
neurobiological levels, is a potential marker of resilience to depression among
adolescents exposed to maltreatment. These findings add to a growing body of work
highlighting individual differences in reactivity to reward as a core
neurodevelopmental mechanism in the etiology of depression. (PsycINFO Database
Record
PMID- 27868424
TI - Increased cooperative behavior across remitted bipolar I disorder and major
depression: Insights utilizing a behavioral economic trust game.
AB - Mood disorders impact social functioning, but might contribute to experiences
like affective distress-that might result in increased cooperative behavior under
certain circumstances. We recruited participants with a history of bipolar I
disorder (n = 28), major depressive disorder (n = 30), and healthy controls (n =
27)-to play a well-validated behavioral economic Trust Game, a task that provides
a well-controlled experimental scenario, to measure cooperative behavior for the
first time across both groups. Both remitted mood-disordered groups cooperated
significantly more than the control group, but did not differ from one another.
These results suggest that, in some contexts, a history of mood disturbance can
produce enhanced cooperation, even in the absence of current mood symptoms. We
discuss the clinical significance of enhanced cooperation in mood disorders and
point to key directions for future research. (PsycINFO Database Record
PMID- 27868425
TI - Real-time simulation of hand motion for prosthesis control.
AB - Individuals with hand amputation suffer substantial loss of independence.
Performance of sophisticated prostheses is limited by the ability to control
them. To achieve natural and simultaneous control of all wrist and hand motions,
we propose to use real-time biomechanical simulation to map between residual EMG
and motions of the intact hand. Here we describe a musculoskeletal model of the
hand using only extrinsic muscles to determine whether real-time performance is
possible. Simulation is 1.3 times faster than real time, but the model is locally
unstable. Methods are discussed to increase stability and make this approach
suitable for prosthesis control.
PMID- 27868421
TI - Sex moderates the impact of birth weight on child externalizing psychopathology.
AB - Low birth weight (LBW) has consistently been associated with childhood attention
deficit/hyperactivity disorder (ADHD), and a similar association has been found
for childhood externalizing disorders, such as oppositional defiant disorder
(ODD) and conduct disorder (CD), albeit to a lesser degree. Although the
association between LBW and these disorders has been robustly replicated, few
studies have adequately controlled for confounding variables, such as parental
age at birth and prenatal tobacco use, examined the specificity of the risk of
LBW for ADHD symptoms, or investigated potential nonlinear (i.e., quadratic)
effects of birth weight (BW). Additionally, the extent to which LBW confers risk
for these disorders depending on childhood sex has rarely been examined. The
current study examined associations between BW and ADHD, ODD, and CD symptom
dimensions as well as the extent to which such associations are moderated by
child sex, while also controlling for confounding variables. Significant
interactions between sex and BW emerged across all analyses predicting ADHD and
externalizing psychopathology, such that associations were stronger in males
relative to females. Results remained when controlling for a number of confounds,
including parental age, prenatal tobacco use, comorbid psychopathology, as well
as other indicators of maternal and child health during the pre- and perinatal
period. Both linear and quadratic associations emerged between BW and both
hyperactivity and CD symptoms, whereas BW predicted inattention and ODD symptoms
in a linear fashion. Future research should continue to investigate the impact of
BW on ADHD and externalizing psychopathology, in particular, the biological
mechanisms underlying this association. (PsycINFO Database Record
PMID- 27868423
TI - Startle potentiation to uncertain threat as a psychophysiological indicator of
fear-based psychopathology: An examination across multiple internalizing
disorders.
AB - Heightened reactivity to uncertain threat (U-threat) is an important individual
difference factor that may characterize fear-based internalizing
psychopathologies (IPs) and distinguish them from distress/misery IPs. To date,
however, the majority of existing research examining reactivity to U-threat has
been within individuals with panic disorder and major depressive disorder (MDD)
and no prior study has directly tested this hypothesis across multiple IPs. The
current study therefore explored whether heightened reactivity to U-threat is a
psychophysiological indicator of fear-based psychopathology across 5 groups:
current (a) social anxiety disorder (SAD); (b) specific phobia (SP); (c)
generalized anxiety disorder (GAD); (d) MDD; and (c) individuals with no history
of psychopathology (controls). All 160 adults completed a well-validated threat
of-shock task designed to probe responses to predictable (P-) and U-threat.
Startle eyeblink potentiation was recorded as an index of aversive arousal.
Results indicated that individuals with SAD and SP evidenced greater startle
potentiation to U-threat, but not P-threat, relative to individuals with GAD,
MDD, and controls (who did not differ). The current findings, along with the
prior panic disorder and MDD literature, suggest that heightened reactivity to U
threat is a psychophysiological indicator of fear-based disorders and could
represent a neurobiological organizing principle for internalizing
psychopathology. The findings also suggest that individuals with fear disorders
generally display a hypersensitivity to uncertain aversive events, which could
contribute to their psychopathology. (PsycINFO Database Record
PMID- 27868426
TI - Effects of regular Tai Chi practice and jogging on neuromuscular reaction during
lateral postural control in older people.
AB - This study examined the effects of regular Tai Chi practice and jogging on the
neuromuscular activity of the trunk, hip, and ankle joint muscles of older people
during lateral postural perturbation. A total of 42 older people participated in
the study and formed the Tai Chi, jogging, and sedentary control groups.
Electromyography signals were collected from the peroneus longus, anterior
tibialis, gluteus medius, and erector spinae during unpredictable mediolateral
perturbation. The Tai Chi group exhibited significantly faster latencies of the
tibialis anterior and erector spinae than the control group. The jogging group
showed a significantly shorter neuromuscular reaction time of the erector spinae
than the control group. No significant difference was observed between the Tai
Chi and jogging groups. Long-term regular Tai Chi practice enhanced the
neuromuscular reaction of the erector spinae and tibialis anterior to lateral
perturbation and will help timely posture correction when lateral postural
distributions occur.
PMID- 27868428
TI - Reflections from Fellows of ISAAC.
PMID- 27868427
TI - Influence of shoe midsole hardness on plantar pressure distribution in four
basketball-related movements.
AB - This study examined how shoe midsole hardness influenced plantar pressure in
basketball-related movements. Twenty male university basketball players wore
customized shoes with hard and soft midsoles (60 and 50 Shore C) to perform four
movements: running, maximal forward sprinting, maximal 45 degrees cutting and
lay-up. Plantar loading was recorded using an in-shoe pressure measuring system,
with peak pressure (PP) and pressure time integral (PTI) extracted from 10
plantar regions. Compared with hard shoes, subjects exhibited lower PP in one or
more plantar regions when wearing the soft shoes across all tested movements (Ps
< 0.05). Lower PTI was also observed in the hallux for 45 degrees cutting, and
the toes and forefoot regions during the first step of lay-up in the soft shoe
condition (Ps < 0.05). In conclusion, using a softer midsole in the forefoot
region may be a plausible remedy to reduce the high plantar loading experienced
by basketball players.
PMID- 27868429
TI - A new cerebroside and the cytotoxic constituents isolated from Xylaria
allantoidea SWUF76.
AB - A new cerebroside, namely allantoside (1), and 10 known compounds (2-11) were
isolated from Xylaria allantoidea SWUF76. The structure of compound 1 was
determined by comprehensive spectroscopic analysis including 1D and 2D nuclear
magnetic resonance (NMR) as well as high-resolution electron ionisation mass
spectrometry (HREIMS) and electrospray ionisation mass spectrometry (ESIMS).
Compounds 1, 4, 5, 6, 7, 8 and 11 were evaluated for cytotoxic activities against
cancer cell lines (Hela, HT29, HCT116 and MCF-7) and normal Vero cell lines by
MTT assay. Compounds 6 and 7 exhibited anticancer activity after 24 h of
treatment. Compound 7 showed significant cytotoxicity against Hela (IC50 = 2.24
MUg/mL), HT29 (IC50 = 2.51 MUg/mL), HCT116 (IC50 = 3.50 MUg/mL) and MCF-7 (IC50 =
3.77 MUg/mL) and Vero (IC50:3.65 MUg/mL) cells. Compound 6 showed slight
cytotoxicity against all tested cancer cell lines.
PMID- 27868431
TI - Tracking changes in glenohumeral joint position in acute post-stroke hemiparetic
patients: an observational study.
AB - AIMS: The majority of people develop hemiparetic shoulder inferior subluxation
following stroke, but the timing of onset is unknown. This study aimed to assess
changes in glenohumeral joint centre of rotation (GHJC) during the first six
weeks following stroke. METHODS: Thirty patients with confirmed diagnosis of
stroke (age 65 +/- 19 years, 60% female, 40% right side affected) were assessed
within 14 days of admission and at six weeks along with matched controls.
Bilateral GHJC was determined using a three-dimensional electromagnetic tracking
device (ETD). RESULTS: At baseline, GHJC in the stroke group was positioned
posteriorly on the hemiparetic side compared to the non-hemiparetic side (mean
difference -4.0, 95% confidence interval (CI) - 7.7 to -3.0 mm). In matched
controls, GHJC was positioned anterior to the acromion with no significant
difference between limbs. At six weeks, the only significant difference occurred
for the stroke group; non-hemiparetic GHJC was positioned 12.3 mm (95% CI 2.5
22.1) closer to the acromion compared with control group. CONCLUSION: Minimal
changes in glenohumeral joint positioning occurs early post-stroke. Clinicians
should consider changes in glenohumeral joint position for both non- and
hemiparetic sides during the early rehabilitation phase following stroke.
Implications for rehabilitation Changes occur in glenohumeral joint centre of
rotation (GHJC) position between hemiparetic and non-hemiparetic sides early in
people with mild stroke. Clinicians need to be aware of early GHJC positional
changes. Early GHJC positional changes may contribute to the development of
hemiparetic shoulder pain (HSP), anterior humeral subluxation and glenohumeral
joint impingement. Clinicians should employ current best practice guidelines
which promote safe positioning and handling of the upper limb to minimise
subluxation forces and potential trauma to the passive restraints of the shoulder
in the acute phase following stroke, to reduce the possibility of glenohumeral
subluxation in the short term.
PMID- 27868430
TI - A new oleanolic-type triterpene glycoside from Anchusa italica.
AB - A new oleanolic-type triterpene glycoside, (3beta,21beta)-21-[(beta-d
glucopyranosyl-(1->2)-beta-d-glucopyranosyl)oxy]-3-hydroxyolean-12-en-28-oic acid
(1), together with five analogues, oleanazuroside 1 (2), oleanazuroside 2 (3), 24
hydroxytormentic acid ester glucoside (4), 24-epi-pinfaensin (5), and oleanolic
acid 3-O-alpha-l-arabinoside (6) were isolated from the n-butyl alcohol extract
of Anchusa italica. Their structures were determined spectroscopically and
compared with previously reported spectral data. Compounds 3-4 and 6 were
evaluated for their cytotoxic activities against five human cancer cell lines,
but only compound 6 showed moderate cytotoxicity.
PMID- 27868432
TI - A criterion-referenced assessment is needed for measuring child obesity.
AB - Body Mass Index (BMI), as an adiposity indicator, assumes that for any given
height a change in weight is attributed to a change in fat. This seems
problematic in growing youth as great divergence is evident in bone, muscle, and
adipose tissue development. Secondly, use of reference populations in
categorizing children based on BMI, frequently use arbitrary percentile cut-offs
for obesity and do not meet all of the assumptions that cut-offs imply. Lastly,
BMI does not control for maturation status. Criterion-referenced assessments of
child obesity that account for abdominal adiposity and permit international
comparisons, such as waist-to-height ratio (WtHR), must be considered. Better
predictive utility has been demonstrated when using WtHR for abdominal adiposity
and cardiovascular risk factors in youth compared with BMI. Although multiple
methods for assessing waist circumference may be problematic for comparison
purposes, its simplicity and international comparability aspects make it a
promising alternative to BMI.
PMID- 27868433
TI - Performance trends in 3000 m open-water age group swimmers from 25 to 89 years
competing in the FINA World Championships from 1992 to 2014.
AB - We investigated trends in participation, performance and sex difference in
performance in 3000 m freestyle in age groups swimmers (25-29 to 85-89 years)
competing in the Federation Internationale de Natation World Masters
Championships between 1992 and 2014. During this period, participation increased
in women and men. Women and men improved race times across years in all age
groups. Women were slower in age groups 25-29 to 70-74 years. In age groups 75-79
and 85-89 years, however, race times were similar for both women and men. Sex
difference in performance remained unchanged across years. In summary,
performance improved across years in all age groups, men were faster than women
up to the age group 70-74 years and women were not able to reduce the sex
difference in performance to men across years. For athletes and coaches, an
increase in participation and a continuous improvement in performance can be
expected in these age group athletes.
PMID- 27868435
TI - A touchy topic: tactile assessment among pediatric therapists.
AB - PURPOSE: Tactile impairments affect over 77% of children with unilateral cerebral
palsy (CP). This study aimed to examine the current practices of pediatric
therapists in relation to tactile assessment and the barriers to carrying out
tactile assessment in children with CP. METHOD: The study was in two parts. In
part one, pediatric therapists (n = 35) completed a questionnaire detailing their
current knowledge and the use of tactile assessments in children. In part two,
therapists (n = 12) completed a questionnaire based on the Theoretical Domains
Framework examining the barriers and facilitators to completing tactile
assessments in clinical practice. RESULTS: Most therapists (over 90%) carry out
tactile assessments in the minority (less than 25%) of children with CP that they
treat. Therapists reported the need for improved knowledge/skills (n = 24) and
confidence (n = 19) in carrying out tactile assessments, alongside the provision
of necessary equipment (n = 17). Qualitative reports also suggested that
organizational assessment guidelines and templates may facilitate the
implementation of tactile assessment. CONCLUSIONS: A multi-faceted knowledge
translation strategy to address the barriers to tactile assessment among
pediatric therapists needs to be developed. Implications for rehabilitation
Pediatric occupational therapists and physiotherapists may not be completing
tactile assessments according to current evidence-based recommendations.
Therapists identified five main barriers, including a lack of knowledge, skills,
belief in their capabilities (confidence), behavioral regulation (organizational
procedures), and environmental context (e.g., equipment). Therapists recommended
several potential facilitators, including access to necessary equipment,
procedures, record sheets, training in tactile assessments, and research
supporting related interventions. Service providers are encouraged to develop
multi-faceted knowledge translation strategies that address these barriers and
maximize facilitators.
PMID- 27868434
TI - Communication aid requirements of intensive care unit patients with transient
speech loss.
AB - Alert and transiently nonvocal intensive care unit (ICU) patients are dependent
on augmentative and alternative communication (AAC). Unfortunately, the
literature demonstrates that existent AAC devices have not been widely adopted,
and unaided methods are often the primary modalities used despite being
insufficient, and frustrating. We present the results of a qualitative semi
structured interview study with 8 ex-ICU patients, 4 ICU patient relatives, and 6
ICU staff, exploring their AAC needs and requirements. Participants identified
important AAC hardware, software, and content requirements. Salient factors
impacting on AAC adoption in the ICU setting were also highlighted and included
the need for staff training and bedside patient assessment. Based on the study
results, we propose a series of recommendations regarding the design and
implementation of future AAC tools specifically targeted at this group.
PMID- 27868436
TI - An oxovanadium(IV) complex protects murine bone marrow cells against cisplatin
induced myelotoxicity and DNA damage.
AB - Cisplatin (CDDP) is one of the first-line anticancer drugs that has gained
widespread use against various forms of human malignancies. But, the therapeutic
outcome of CDDP therapy is limited due to its adverse effects including
myelotoxicity and DNA damage which may lead to the subsequent risk of developing
secondary cancer. Hence, in search of a suitable cytoprotectant, this study
investigated the probable protective efficacy of an oxovanadium(IV) complex,
namely oxovanadium(IV)-L-cysteine methyl ester complex (VC-IV) against CDDP
induced myelosuppression and genotoxic damage in the bone marrow cells of Swiss
albino mice. CDDP was administered intraperitoneally (5 mg/kg b.w.) and VC-IV was
administered orally (1 mg/kg b.w.) in concomitant and 7 d pretreatment schedule.
Treatment with VC-IV in CDDP-treated mice significantly (p < 0.01) enhanced bone
marrow cell proliferation and inhibited cell death in the bone marrow niche
indicating improvement of CDDP-induced myelotoxicity. The organovanadium compound
also significantly (p < 0.01) reduced the percentage of chromosomal aberrations,
the frequency of micronuclei formation, and the extent of DNA damage. The
observed chemoprotective effect of VC-IV was attributed to its anti-oxidant
efficacy which significantly (p < 0.01) attenuated CDDP-induced generation of
free radicals, and restored (p < 0.01) the levels of oxidized and reduced
glutathione. Hence, VC-IV may serve as a promising candidate for future
development to decrease the deleterious effects of CDDP in the bone marrow cells
of cancer patients and associated secondary complications.
PMID- 27868437
TI - Can we address depression in vision rehabilitation settings? Professionals'
perspectives on the barriers to integrating problem-solving treatment.
AB - PURPOSE: Low vision rehabilitation (LVR) is a pertinent context for integrating
early, evidence-based psychological interventions given the high prevalence of
untreated depression in adults with vision impairment. This study aims to
identify the perceived barriers and facilitators to staff-delivered telephone
based problem-solving treatment for primary care (PST-PC) offered as an
integrated component of LVR. METHODS: Qualitative semi-structured interviews,
developed using the theoretical domains framework (TDF) and Consolidated
Framework for Implementation Research (CFIR), were conducted with 21 LVR
professionals and a clinical psychologist involved in the delivery of PST-PC.
Barriers and facilitators at the practitioner, client, intervention, and
organizational level were identified with thematic analysis using a "theoretical"
approach. RESULTS: Prominent barriers were a lack of role recognition for PST-PC
practitioners (n = 32), unmet client expectation with PST-PC (n = 28),
dissatisfaction with telephone delivery (n = 27), and limited organizational
awareness of PST-PC (n = 39). Facilitating factors included a recognized need for
evidence-based psychological services (n = 28), clients experiencing benefits in
early sessions (n = 38), PST-PC promoting practical skills (n = 26), and
comprehensive PST-PC training (n = 36). CONCLUSIONS: PST-PC may provide an
accessible early intervention for LVR clients with depressive symptoms. Ongoing
practitioner training, clinical support, and screening potential LVR clients for
treatment suitability are likely to enhance delivery in this setting.
Implications for rehabilitation Depression is highly prevalent in adults engaged
in low vision rehabilitation (LVR) programs, yet few receive support. Clinical
guidelines recommend integrated models of care be offered within rehabilitation
settings as early intervention for mild to moderate levels of depressive
symptoms. Integrated telephone-based problem-solving treatment for primary care
(PST-PC) delivered by trained LVR practitioners is a practical, skills-based
model that has potential to increase access to an early psychological
intervention in LVR clients with depressive symptoms. LVR clients are often older
in age, have multiple comorbid health conditions and a significant level of
functional disability, requiring flexibility in the delivery of PST-PC and
specialized staff training, and support in working with older and more complex
clients.
PMID- 27868438
TI - A standardized review of smartphone applications to promote balance for older
adults.
AB - BACKGROUND: Balance is one of the risk factors for falls in older adults. The use
of smartphone applications (apps) related to health (mHealth) is increasing and,
while there is potential for apps to be used as a self-managed balance
intervention, many healthcare providers are concerned about the content and
credibility of mHealth apps overall. PURPOSE: This study evaluates the quality of
balance promoting apps and identifies strengths and areas of concern to assist
healthcare providers in recommending these resources. MATERIALS AND METHODS:
Balance apps for the general public, offered on the iPhone Operating System (iOS)
and Android platforms, were evaluated using the Mobile Application Rating Scale
(MARS). RESULTS: Five iOS apps met the inclusion criteria. The mean scores for
each of the domains in MARS were: Engagement (3.32), Information (3.7),
Functionality (3.8), and Esthetics (3.8). Overall, one app (UStabilize) received
a rating of 4.43 in MARS five-point scale, which was considered "good". Other
apps in the review demonstrated acceptable quality. CONCLUSIONS: The reviewed
balance apps targeted to improve or maintain physical balance were of acceptable
quality. Apps address many current issues older adults have to accessing
rehabilitation services and, as such, may be particularly useful for this group.
Future research should focus on assessing and comparing app efficacy. Development
of balance apps for the Android platform is also necessary. Implications for
Rehabilitation Given the availability and accessibility of various mHealth apps
and the increasing mobile device usage among older adults, mobile apps are a
promising avenue for delivering rehabilitation interventions, such as balance
training, to older adults. Smartphone apps exist for balance training but overall
confidence in health apps within the healthcare community is low and rigorous
evaluation is required. A range of apps exist that demonstrate acceptable to good
quality and stakeholders should work towards having these apps listed in credible
mHealth clearinghouses.
PMID- 27868439
TI - [The treatment of walled-off pancreatic necrosis. Review].
AB - : Walled-off pancreatic necrosis is a late complication of severe acute
pancreatitis that generally needs some interventions. The aim of this review is
to analyse the indications, technical aspects and limits of these therapeutic
options. The development of the walled-off pancreatic necrosis needs 4-6 weeks
from the onset of the disease. The necrosectomy is recommended after this time.
Endoscopic necrosectomy offers good results if the necrosis is in retrogastric or
retroduodenal localisations. Open necrosectomy can be performed through the
gastrocolic ligament or the mesocolon. It is suggested to complete necrosectomy
with open or closed omental bursa drainage or packing. The transgastric
necrosectomy does not need external drainage. Surgical procedures can be
performed with laparoscopy either. In localized necrosis other minimal invasive
approaches can be used. CONCLUSIONS: In addition to the transluminal endoscopic
or minimal invasive necrosectomies different types of surgical procedures has an
important role in the treatment of walled-off pancreatic necrosis. Orv. Hetil.,
2016, 157(47), 1866-1870.
PMID- 27868441
TI - [Evaluation of free radical quantity in the anterior chamber following
femtosecond laser-assisted capsulotomy].
AB - INTRODUCTION: Femtosecond laser is a revolutionary, innovative treatment method
used in cataract surgery. AIM: To evaluate free radical quantity in the anterior
chamber of the eye, during femtosecond laser assisted capsulotomy, in a porcine
eye model. METHOD: Seventy fresh porcine eyes were collected within 2 hours post
mortem, were transported at 4 oC and treated within 7 hours. Thirty-five eyes
were used as control and 35 as femtosecond laser assisted capsulotomy group. A
simple luminol-dependent chemiluminescence method was used to measure the total
scavenger capacity in the aqueous humour, as an indicator of free radical
production. The emitted photons were expressed in relative light unit %. RESULTS:
The relative light unit % was lower in the control group (median 1%,
interquartile range [0.4-3%]) than in the femtosecond laser assisted capsulotomy
group (median 4.4%, interquartile range [1.5%-21%]) (p = 0.01). CONCLUSIONS:
Femtosecond laser assisted capsulotomy decreases the antioxidant defense of the
anterior chamber, which refers to a significant free radical production during
femtosecond laser assisted capsulotomy. Orv. Hetil., 2016, 157(47), 1880-1883.
PMID- 27868440
TI - [Maximizing nodal yield while minimizing surgical morbidity: the appropriate neck
dissection].
AB - Cervical regional lymphadenectomy, also known as neck dissection, is a
fundamental procedure in head and neck surgery. Its evolution over 110 years
resulted in a great deal of confusion in the literature and in clinical practice,
due to the heterogenicity in training, classification and surgical techniques,
which makes outcomes comparability virtually impossible. The authors aim to
clarify this situation in a structured manner, in order to facilitate
communication among all specialists involved in multidisciplinary head and neck
cancer care. The ultimate goal is to make sure that each and every head and neck
cancer patient receives their optimal treatment. Review of the history and
literature with statistical comparison of the two mainstraim methods regarding
their nodal yield results. The applied surgical technique has a significant
impact on nodal yield. An appropriate surgical concept achieves maximum oncologic
benefit, minimum surgical morbidity with optimized adjuvant indications. Orv.
Hetil., 2016, 157(47), 1871-1879.
PMID- 27868442
TI - [HIV/AIDS and law in Hungary].
AB - INTRODUCTION: The legal aspects of HIV/AIDS are mirrored by the statistical data.
AIM: The aim of the author is to show the effect of HIV/AIDS on today's Hungarian
society. METHOD: Analysis of data issued by the National Center for Epidemiology
in Hungary and those to be found in the professional literature, as well as
analysis of the Hungarian legal practice. RESULTS: Hungarian courts tend to
impose a lighter punishment on a perpetrator diagnosed with AIDS than on a
healthy perpetrator. According to the data issued by the Hungarian
Epidemiological Center, in 1985, 16 HIV-contaminated persons were registered in
Hungary; in 1995, 81, in 2005, 107, whilst in 2015, 201 HIV-contaminated persons
were registered in Hungary. In 2005, 10 of the 107 HIV-contaminated persons were
anonymous, whilst, in 2015, 37 of the 201 HIV-contaminated persons were
anonymous. According to the known data of not anonymous HIV-contaminated persons,
the number of males predominates largely over the number of females and this has
been the case during the past decades. In Hungary, only a small proportion of the
HIV-contaminated persons have died: the number of males predominates over the
number of females. CONCLUSIONS: As to HIV/AIDS, latency poses problems in the
field of healthcare prevention and legal prevention. It seems to be important to
protect data relating to healthcare, though the data protection should not impede
the prevention of HIV/AIDS. Orv. Hetil., 2016, 157(47), 1884-1890.
PMID- 27868444
TI - [Plant-based diets: a review].
AB - Plant-based diet is an old-new trend in nutrition. In this review based on a
historical context, we wish to introduce this popular nutritional trend. Our aim
is to present plant-based diet as a primary measure for prevention. We intend to
critically analyse some past stereotypes related to plant-based diet - whose main
components include fruits, vegetables, whole grains, legumes, nuts and seeds -
according to the literature (e.g. protein, vitamin B12, folic acid, and iron
intake) by doing so we wish to create an adequate conceptual basis for its
interpretation. We discuss positive physiological effects of plant-based diet and
its possible role in diseases risk reduction. Cardiovascular and metabolic
diseases developing due to obesity could be prevented by a properly compiled
plant-based diet. For patients with cancer minimizing the intake of foods of
animal origin - as opposed to plant-based ones - has proved to have positive
effects. Our review suggests this diet can be used in a number of diseases and it
also provides long-term sustainable solutions for the health care challenges of
the newest era. Orv. Hetil., 2016, 157(47), 1859-1865.
PMID- 27868445
TI - Acetone and methanol fruit extracts of Terminalia paniculata inhibit HIV-1
infection in vitro.
AB - In this study, we report the in vitro anti-HIV1 activity of acetone and methanol
extracts of fruit of Terminalia paniculata. Cytotoxicity tests were conducted on
TZM-bl cells and peripheral blood mononuclear cells (PBMC), the CC50 values of
both the extracts were >=260 MUg/mL. Using TZM-bl cells, the extracts were tested
for their ability to inhibit replication of two primary isolates HIV-1 (X4,
Subtype D) and HIV-1 (R5, Subtype C). The activity against HIV-1 primary isolate
(R5, Subtype C) was confirmed using activated PBMC and by quantification of HIV-1
p24 antigen. Both the extracts showed anti-HIV1 activity in a dose-dependent
manner. The EC50 values of the acetone and methanol extracts of T. paniculata
were <=10.3 MUg/mL. The enzymatic assays were performed to determine the
mechanism of action which indicated that the anti-HIV1 activity might be due to
inhibition of reverse transcriptase (>=77.7% inhibition) and protease (>=69.9%
inhibition) enzymes.
PMID- 27868447
TI - Advancing Knowledge About Suicide Prevention Media Campaigns.
PMID- 27868448
TI - Applying an ecological framework to understand transition pathways to post
secondary education for youth with physical disabilities.
AB - PURPOSE: The purpose of this study was to explore the experiences of youth with
physical disabilities and clinicians who support them in their transition to post
secondary education (PSE). Most research on transition to PSE has focused on
youth with intellectual disabilities while there is a lack of research on youth
with physical disabilities. METHODS: This study drew on 30 interviews with 20
youth with disabilities and 10 clinicians. We used Bronfrenbrenner's ecological
framework to inform our analysis. RESULTS: Our results showed that there are
several important individual skills that youth need to be successful in
transitioning to PSE. Youth with disabilities experienced supports from peers and
family that influence their transition to PSE. Several disability-specific issues
(e.g., coping, self-care, disclosure, and accommodations) were often a barrier to
transitioning to PSE. Clinicians and youth both reported that improved inter
professional collaboration and inter-agency partnerships were needed to enhance
the transition experience. Societal attitudes (stigma and discrimination),
policies, and the timing of transitions also influence youth's transition.
CONCLUSION: Applying an ecological approach helped to provide a more holistic
perspective of the PSE transitions and emphasizes the need to consider more than
just preparing individuals but also where they are transitioned. Implications for
rehabilitation Clinicians and educators should continue to promote the
development of relevant life skills (e.g., self-advocacy, disclosure, and
navigating public transportation) that youth need to succeed in post-secondary
education. Clinicians should continue to educate and support youth regarding the
process for disclosing their condition and how to request and set up
accommodations in PSE. Clinicians should connect youth with disabilities to
appropriate resources that can support them and continue to help them to set
career goals and develop career plans. There is a critical need for improved
inter-professional collaboration among clinicians providing transition services
and inter-agency partnerships among high schools, disability organizations, and
PSEs to enhance transition experiences for youth with disabilities.
PMID- 27868449
TI - Establishing the Turkish version of the SIGAM mobility scale, and determining its
validity and reliability in lower extremity amputees.
AB - PURPOSE: The aim of this study is to adapt the Special Interest Group in Amputee
Medicine (SIGAM) mobility scale to Turkish, and to test its validity and
reliability in lower extremity amputees. MATERIAL AND METHODS: Adaptation of the
scale into Turkish was performed by following the steps in American Association
of Orthopedic Surgeons (AAOS) guideline. Turkish version of the scale was tested
twice on 109 patients who had lower extremity amputations, at hours 0 and 72. The
reliability of the Turkish version was tested for internal consistency and test
retest reliability. Structural validity was tested using the "scale validity"
method. For this purpose, the scores of the Short Form-36 (SF-36), Functional
Ambulation Scale (FAS), Get Up and Go Test, and Satisfaction with the Prosthesis
Questionnaire (SATPRO) were calculated, and analyzed using Spearman's correlation
test. RESULTS: Cronbach's alpha coefficient was 0.67 for the Turkish version of
the SIGAM mobility scale. Cohen's kappa coefficients were between 0.224 and
0.999. Repeatability according to the results of the SIGAM mobility scale (grades
A-F) was 0.822. We found significant and strong positive correlations of the
SIGAM mobility scale results with the FAS, Get Up and Go Test, SATPRO, and all of
the SF-36 subscales. CONCLUSION: In our study, the Turkish version of the SIGAM
mobility scale was found as a reliable, valid, and easy to use scale in everyday
practice for measuring mobility in lower extremity amputees. Implications for
Rehabilitation Amputation is the surgical removal of a severely injured and
nonfunctional extremity, at a level of one or more bones proximal to the body.
Loss of a lower extremity is one of the most important conditions that cause
functional disability. The Special Interest Group in Amputee Medicine (SIGAM)
mobility scale contains 21 questions that evaluate the mobility of lower
extremity amputees. Lack of a specific Turkish scale that evaluates
rehabilitation results and mobility of lower extremity amputees, and determines
their needs, directed us to perform a study on this topic when we took the number
of amputations performed in our country into consideration. SIGAM mobility scale
is directed at rehabilitation specialists who are working in amputee medicine.
Turkish version of this scale was found both reliable and valid in our study and
hence it can be used in clinical practice and studies.
PMID- 27868450
TI - Pharmacological management of diabetic dyslipidemia.
AB - INTRODUCTION: Diabetes mellitus is associated with increased cardiovascular
disease (CVD) risk. Areas covered: Main goal of hypolipidemic treatment in
diabetic patients is low-density lipoprotein cholesterol (LDL-C) lowering with
the use of statins. Addition of ezetimibe is useful in diabetic patients who
cannot achieve their LDL-C target. However, many diabetic patients have increased
residual CVD risk, which is mainly attributed to high triglycerides and low high
density lipoprotein (HDL-C) values. The addition of fenofibrate targets these
variables and possibly reduces residual CVD risk, but a possible beneficial
effect has been shown only in a pre-specified subgroup analysis in patients with
high triglycerides and low HDL-C values. The newer proprotein convertase
subtilisin/kexin type 9 inhibitors lower substantially LDL-C levels, but data
from specifically designed trials in diabetic patients are not currently
available. Although the cholesterol ester transfer protein (CETP) inhibitors have
shown harmful effects or lack of efficacy in completed clinical trials, the newer
CETP inhibitors have promising effects on lipid profile and carbohydrate
metabolism, but their effects on CVD risk and safety profile have not been
assessed. Expert commentary: Clinicians have a range of pharmacological options
to reduce the CVD risk of diabetic patients.
PMID- 27868451
TI - Take-home experience of overseas doctors at the end of their two years training
placements in the International Doctors Training Programme in Obstetrics and
Gynaecology in the United Kingdom: A questionnaire-based study.
AB - This was a questionnaire-based study of overseas doctors, who came to the United
Kingdom through the International Doctors Training Programme (IDTP) of Obstetrics
and Gynaecology during the period of 2009-2012. The study was conducted at the
end of their two-years training placement to find out what went well, what did
not go well, where problems were encountered, and how they could have been
avoided. We traced 48 overseas doctors, 35 (73%) responded to our questionnaire.
Only 30% (9) felt that less than 50% of their expectations were met during their
training period, 73.3% (22) of them received adequate help and support from their
supervisors and 83.3% (25) would recommend IDTP to their colleagues. In
conclusion, identification of the educational needs of international trainees,
establishing a framework for their effective supervision and streamlining their
training programme, in collaboration with the Royal College, regional deaneries
and hosting hospitals are essential pre-requisites for overseas doctors to get
the most out of their training.
PMID- 27868452
TI - Closed reduction of zygomatic tripod fractures using a towel clip.
AB - BACKGROUND: The zygomatic bone constitutes the prominence of the cheek. Fractures
of the zygomatic bone are the second most treatment of zygomatic bone fractures
and can be examined under two headings, open and closed reductions. This paper
describes a new technique in the closed reduction of tripod fractures using a
towel clip. METHODS: Seventeen consecutive patients (three females, 14 males)
with a mean age of 35.5 years (range = 18-66 years) with zygomatic tripod
fracture were treated using the towel clip technique between December 2011 and
February 2014. RESULTS: Patients were assessed in the first and 6 months
postoperatively, by physical examination and computed tomography. Preoperatively,
nine patients had paresthesia in the infraorbital nerve region. Three of these
cases regressed postoperatively. Persistent collapse of the zygomatic projection
was present in one patient. CONCLUSION: Non-comminuted zygomatic tripod fractures
can be easily treated percutaneously with the towel clip method in the absence of
preoperative ocular problems such as diplopia, enophthalmos, or restricted eye
movements. The technique is economical, fast, and safe. The possibility of
persistent zygoma collapse after reduction should be kept in mind, and
preoperatively the team should be warned of the possibility of progression to
open reduction during surgery.
PMID- 27868453
TI - Can body mass index predict the outcome of diffuse large B-cell lymphoma? A
single-center retrospective study in China.
AB - We carried out a single-center retrospective study to assess the predictive value
of body mass index (BMI) in the outcome of Chinese patients with diffuse large B
cell lymphoma (DLBCL). 143 eligible patients were enrolled between January 2008
and May 2015. These patients were stratified into two groups, 74 patients in low
BMI group (BMI <23.0 kg/m2) and 69 patients in high BMI group (BMI >=23.0 kg/m2).
We compared the baseline characteristics, primary response, and survival outcome
in two groups. Well-known influence factors were similar between the two groups,
while gender was not (p = .023) but did not act as a risk factor. No association
between BMI and primary response was observed. Patients with high BMI were
inclined to have better overall survival (OS) (p = .018), but we didn't find an
association in progression-free survival (PFS) (p = .067). We also found a sex
dependent effect of BMI on OS, with high BMI increased OS in female patients (p =
.027) but showed no correlation in male patients (p = .310).
PMID- 27868455
TI - Identification of patients at risk for preeclampsia with the use of uterine
artery Doppler velocimetry and copeptin.
AB - PURPOSE: To investigate the relationship between maternal copeptin levels and
uterine artery Doppler examination and progress of preeclampsia. MATERIALS AND
METHODS: A cross-sectional study was designed with women those were screened at
20 + 0 - 24+ 6 weeks' gestation between May 2014 and August 2014. The obstetric
records of all normotensive women were examined. Uterine artery Doppler
velocimetry results and serum copeptin levels were measured. The patients were
divided into two groups according to normal (n = 67) and abnormal uterine artery
Doppler (n = 21) findings. RESULTS: Maternal age was significantly lower in group
1 (n = 21, 23.9%) than in group 2 (n= 67, 76.1%) (p < 0.05). We found no
differences in maternal characteristics, birth weight, gestational age at
delivery and copeptin levels between the two groups. Maternal serum copeptin
levels were higher in women who subsequently developed preeclampsia. There was
also a significant correlation between copeptin levels and the presence of
preeclampsia. (p = 0.002). CONCLUSIONS: Copeptin levels are significantly higher
in patients who develop preeclampsia.
PMID- 27868456
TI - Emergency peripartum hysterectomy: single center ten-year experience.
AB - PURPOSE: The purpose of our study is to determine the incidence, risk factors,
indications, outcomes, and complications of emergency peripartum hysterectomy
(EPH) performed in a university hospital. METHODS: This retrospective study
includes 54 cases of EPH performed at the department of obstetrics and gynecology
of Gaziantep University Hospital between the years 2005-2015. We included all
hysterectomy cases during the first 24 h after delivery beyond 20 weeks of
gestation. We compared the most common indications of EPH between each other.
RESULTS: There were 54 EPH out of 8922 deliveries with an incidence of 6.1 per
1000 deliveries during the study period. The most common indication was abnormal
placentation (74.0%). Urinary tract injury (33.4%) was the most common
intraoperative complication. Bilateral hypogastric artery ligation, urinary tract
injury, type of hysterectomy, post-op stay in the hospital, delivery in another
hospital and other complications were significantly related to the type of EPH
indication (p < 0.05). CONCLUSION: Abnormal placentation was the most common
indication for EPH. Previous CS can be suggested as a high-risk factor for
abnormal placentation. The delivery should be performed in appropriate clinical
settings with experienced surgeons when high-risk factors like abnormal
placentations are determined preoperatively.
PMID- 27868454
TI - The ATP-sensitive K channel is seizure protective and required for effective
dietary therapy in a model of mitochondrial encephalomyopathy.
AB - Effective therapies are lacking for mitochondrial encephalomyopathies (MEs). MEs
are devastating diseases that predominantly affect the energy-demanding tissues
of the nervous system and muscle, causing symptoms such as seizures,
cardiomyopathy, and neuro- and muscular degeneration. Even common anti-epileptic
drugs which are frequently successful in ameliorating seizures in other diseases
tend to have a lower success rate in ME, highlighting the need for novel drug
targets, especially those that may couple metabolic sensitivity to neuronal
excitability. Furthermore, alternative epilepsy therapies such as dietary
modification are gaining in clinical popularity but have not been thoroughly
studied in ME. Using the Drosophila ATP61 model of ME, we have studied dietary
therapy throughout disease progression and found that it is highly effective
against the seizures of ME, especially a high fat/ketogenic diet, and that the
benefits are dependent upon a functional KATP channel complex. Further
experiments with KATP show that it is seizure-protective in this model, and that
pharmacological promotion of its open state also ameliorates seizures. These
studies represent important steps forward in the development of novel therapies
for a class of diseases that is notoriously difficult to treat, and lay the
foundation for mechanistic studies of currently existing therapies in the context
of metabolic disease.
PMID- 27868457
TI - The Hillary Climber trumps manual testing: an automatic system for studying
Drosophila climbing.
AB - Climbing or negative geotaxis is an innate behavior of the fruit fly Drosophila
melanogaster. There has been considerable interest in using this simple behavior
to gain insights into the changes in brain function associated with aging,
influence of drugs, mutated genes, and human neurological disorders. At present,
most climbing tests are conducted manually and there is a lack of a simple and
automatic device for repeatable and quantitative analysis of fly climbing
behavior. Here we present an automatic fly climbing system, named the Hillary
Climber (after Sir Edmund Hillary), that can replace the human manual tapping of
vials with a mechanical tapping mechanism to provide more consistent force and
reduce variability between the users and trials. Following tapping the HC records
fly climbing, tracks the fly climbing path, and analyzes the velocity of
individual flies and the percentage of successful climbers. The system is
relatively simple to build, easy to operate, and efficient and reliable for
climbing tests.
PMID- 27868459
TI - The Institute of Medical Illustrators (IMI) Platinum Award 2016.
PMID- 27868458
TI - Angiographic embolisation of a ruptured renal artery aneurysm in a primigravida
during the first trimester.
PMID- 27868461
TI - A new approach to feedback control of radiofrequency ablation systems for large
coagulation zones.
AB - AIM: The aim of this study was to investigate the feasibility of achieving
relatively large coagulation zones (i.e. >=3 cm in diameter) with radiofrequency
ablation (RFA) by using a broad control system. MATERIALS AND METHODS: A broad
control system consists of information such as (i) the area of the tumour tissue
for feedback control, (ii) the set-point temperature and (iii) the control law.
The proposed approach has advanced knowledge in (i) and (ii) in particular. RFA
is known to be limited by tissue dehydration that occurs around the electrode,
which results in impedance such that no further energy can be delivered to the
tissues. We proposed the notion of "energy gate", an area on the electrode, which
is not covered by the dehydrated tissue and through which energy can still be
delivered to the surrounding tissues. Given a specific size of energy gate, both
(i) the area of the tissue in which the temperature is monitored and (ii) the set
point temperature were determined. A reliable finite element model or simulator
for a commercially available electrode was used and the tissue surrounding the
RFA electrode was divided into three areas for a comprehensive study of the
issues (i) and (ii). Porcine liver tissue (30 specimens in total) and a custom
made RFA device with a RF power generator (100 W and 460 +/- 30 kHz) and a
Covidien cool-tip electrode (17 gauge and 30 mm exposure) were used to validate
the findings regarding the area of the tissue for feedback control and the set
point temperature. RESULTS: The size of coagulation zone achieved was maximised
when the area of tissue surrounding the middle part of the active tip (i.e. Point
7) was used for feedback control and when the set-point temperature was set to 90
^ C (this temperature is determined based on the energy gate through a trial-and
error procedure). At both 80 and 90 ^ C, the coagulation zones generated using
Area II were significantly larger than that generated using Area I (p = 0.0028
and 0.0003, respectively) and Area III (P = 0.0010 and < 0.0001, respectively). A
similar finding regarding the control area and set-point temperature was
confirmed by the in-vitro experiment. When compared with Point a (p < 0.0001) and
Point c (p < 0.0001), the largest coagulation zone (1066.7 +/- 36.1 mm2) was
achieved by controlling the temperature of the tissue area surrounding the middle
part of the active tip (i.e. Point b) at 90 ^ C. CONCLUSION: The judicious
selection of the control area within the biological tissue for temperature
monitoring and the set-point temperature for feedback control is critical in
increasing the size of the coagulation zone in the treatment of RFA.
PMID- 27868460
TI - The neurogenetics of Drosophila: the Ganetzky legacy.
PMID- 27868462
TI - Lower-pole intracapsular tonsillectomy in obstructive sleep apnea patients.
AB - CONCLUSION: Lower-pole intracapsular tonsillectomy (LPIT) is a valuable surgical
technique capable of decreasing post-operative bleeding in obstructive sleep
apnea (OSA) patients. OBJECTIVE: This study performed LPIT to simultaneously
reduce post-operative bleeding of lower pole and prevent recurrent tonsillitis of
upper pole and compared its effects to extracapsular tonsillectomy (ET). METHODS:
ET was performed in the extracapsular plane, with complete monopolar dissection.
In LPIT, the upper pole of palatine tonsil was removed by electrocautery with the
extracapsular plane, followed by removal of the lower-pole by plasma ablation
with the intracapsular plane. Post-operative bleeding incidence, bleeding site,
and degree of pain were compared between the two groups. RESULTS: Three hundred
and forty-seven patients diagnosed of OSA with polysomnography were enrolled. ET
was performed in 152 patients and LPIT in 195 patients. There were no significant
differences in post-operative pain between the two groups. The LPIT group showed
significantly lower total bleeding incidence than the ET group (4.1% vs 9.2%; p =
.05). In particular, lower-pole bleeding incidence was lower in the LPIT group
than the ET group (1.0% vs 5.3%; p = .02).
PMID- 27868464
TI - Imatinib resistance in chronic myeloid leukemia due to a rare mutation.
PMID- 27868463
TI - Postural changes in different stages of schizophrenia is associated with
inflammation and pain: a cross-sectional observational study.
AB - OBJECTIVES: To assess the relationship between posture and inflammatory response
markers (C-reactive protein [CRP] and von Willebrand factor [vWF]) in
schizophrenics. METHODS: Forty patients with stable schizophrenia were divided
into early-stage (<10 years since first episode, n = 15) and late-stage (>=10
years since first episode, n = 25) groups. Both groups were compared to controls
(n = 26). All participants underwent postural assessment by biophotogrammetry.
Cases alone underwent blood collection. The significance level was set at 5%, and
analyses were carried out in SPSS 18.0. RESULTS: In the early-stage group, 15
postural angles were significantly different from their reference ranges, whereas
in the late-stage group, only seven angles were significantly different. In
comparison with the control group, only six angles were significantly different.
There was no difference in inflammation markers between the early- and late-stage
groups. However, CRP levels were higher in cases with greater disease severity,
and vWF was associated with forward head posture. Pain correlated with five
postural angles, and late-stage patients reported more pain than early-stage
cases. CONCLUSIONS: CRP was associated with disease severity, while vWF and pain
were associated with forward head posture, hyperlordosis and scoliosis,
suggesting an association between vascular inflammation and pain, with an
influence on posture.
PMID- 27868465
TI - Comparison of elastosonography and digital examination of cervix for consistency
to predict successful vaginal delivery after induction of labor with oxytocin.
AB - OBJECTIVE: To compare elastosonography and digital examination of cervix for
consistency in the prediction of successful vaginal delivery. METHODS: A total of
64 pregnant women with the indication of induction of labor (IOL) were enrolled
to the study. The uterine cervix is evaluated before and after the IOL with
elastosonography and digital examination for consistency and sonography for
length. Methods were compared in regard to the prediction of successful vaginal
delivery. RESULTS: The median of gestational age was 41.00 (IQR = 2.32). Out of
64 participants, 40 (62.5%) had vaginal delivery and 24 (37.5%) had cesarean
delivery. The preinduction and postinduction elastosonographic indices were
insignificant in delivery groups. The preinduction and postinduction evaluations
of cervical consistency with digital examination were significant within vaginal
delivery group (p = 0.046), whereas it was insignificant within cesarean delivery
group and between the delivery groups. The preinduction and postinduction Bishop
scores were significant within vaginal delivery group (p = 0.005), whereas it was
insignificant within cesarean delivery group and between the delivery groups.
Postinduction Bishop score was significant between the delivery groups.
CONCLUSION: Evaluation of cervix for consistency with either elastosonography or
digital examination was found to be insignificant in prediction of successful
vaginal delivery after IOL with oxytocin.
PMID- 27868466
TI - Double-blind, placebo-controlled, 1:1 randomized Phase III clinical trial of
Immunoxel honey lozenges as an adjunct immunotherapy in 269 patients with
pulmonary tuberculosis.
AB - AIM: Safer and shorter antituberculosis treatment (ATT) regimens represent the
unmet medical need. PATIENTS & METHODS: The patients were randomly assigned into
two arms: the first (n = 137) received once-daily sublingual honey lozenge
formulated with botanical immunomodulator Immunoxel and the second (n = 132)
received placebo lozenges along with conventional ATT. Immunoxel and placebo arms
were demographically similar: 102 versus 106 had drug-susceptible TB; 28 versus
20 multidrug-resistant TB (MDR-TB); 7 versus 7 extensively drug-resistant TB (XDR
TB); and 22 versus 20 TB-HIV. The primary end point was sputum smear conversion.
RESULTS: After 1 month 87 out 132 (65.9%) of Immunoxel recipients became sputum
smear negative, whereas 32 out of 127 (25.2%) in placebo group had converted (p <
0.0001). Sputum clearance produced by Immunoxel was equally effective across all
forms of TB. In the immunotherapy arm the average weight gain was 2 kg, but
placebo recipients gained only 0.6 kg. Immunoxel reduced TB-associated
inflammation as evidenced by defervescence and normalization of elevated
leukocyte counts and erythrocyte sedimentation rate. No adverse effects were seen
at any time. The liver function tests indicate that ATT-caused hepatotoxicity was
counteracted by Immunoxel. These results are in agreement with prior 20 trials of
Immunoxel conducted over the past 17 years. CONCLUSION: Immunoxel is affordable,
safe, effective, fast-acting, commercially available immunotherapeutic
intervention to supplement conventional TB chemotherapy. Clinicaltrials.gov ID:
NCT01061593.
PMID- 27868468
TI - A mucocele of the appendix seen as an adnexal mass on ultrasound scan.
PMID- 27868469
TI - Critical review on biofilm methods.
AB - Biofilms are widespread in nature and constitute an important strategy
implemented by microorganisms to survive in sometimes harsh environmental
conditions. They can be beneficial or have a negative impact particularly when
formed in industrial settings or on medical devices. As such, research into the
formation and elimination of biofilms is important for many disciplines. Several
new methodologies have been recently developed for, or adapted to, biofilm
studies that have contributed to deeper knowledge on biofilm physiology,
structure and composition. In this review, traditional and cutting-edge methods
to study biofilm biomass, viability, structure, composition and physiology are
addressed. Moreover, as there is a lack of consensus among the diversity of
techniques used to grow and study biofilms. This review intends to remedy this,
by giving a critical perspective, highlighting the advantages and limitations of
several methods. Accordingly, this review aims at helping scientists in finding
the most appropriate and up-to-date methods to study their biofilms.
PMID- 27868470
TI - Perinatal outcomes and cost-effectivity of the assisted reproduction pregnancies
with advanced age: A retrospective analysis.
AB - We demonstrated the IVF-ICSI results, perinatal outcomes and cost-effectivity of
the patients with advanced age at a tertiary centre. A total of 456 patients
categorised into two groups according to age: group 1 (n = 158) (>=39years) and
group 2 (n = 298) (<39years) were analysed retrospectively. In addition, subgroup
analysis was performed according to the 40 years cut-off. Clinical pregnancy rate
was significantly different between the groups (p< .001). Preterm delivery (< 37
gestational week) and low birth weight (< 2500 g) were significantly higher in
advanced aged women than youngsters (p< .001). Mean expense per cycle for
hormonal stimulation of IVF-ICSI was 1058.9 and 723.5 USD in groups 1 and 2,
respectively (p< .001). Mean expense per pregnancy was 9294.7 and 1874.8 USD in
groups 1 and 2, respectively (p< .001). Our study showed that perinatal outcomes
and cost-effectivity might be adversely affected with increasing age.
PMID- 27868467
TI - K+ channel reorganization and homeostatic plasticity during postembryonic
development: biophysical and genetic analyses in acutely dissociated Drosophila
central neurons.
AB - Intrinsic electric activities of neurons play important roles in establishing and
refining neural circuits during development. However, how the underlying ionic
currents undergo postembryonic reorganizations remains largely unknown. Using
acutely dissociated neurons from larval, pupal, and adult Drosophila brains, we
show drastic re-assemblies and compensatory regulations of voltage-gated (IKv)
and Ca2+-activated (IK(Ca)) K+ currents during postembryonic development. Larval
and adult neurons displayed prominent fast-inactivating IKv, mediated by the
Shaker (Sh) channel to a large extent, while in the same neurons IK(Ca) was far
smaller in amplitude. In contrast, pupal neurons were characterized by large
sustained IKv and prominent IK(Ca), encoded predominantly by the slowpoke (slo)
gene. Surprisingly, deletion of Sh in the ShM null mutant removed inactivating,
transient IKv from large portions of neurons at all stages. Interestingly,
elimination of Sh currents was accompanied by upregulation of non-Sh transient
IKv. In comparison, the slo1 mutation abolished the vast majority of IK(Ca),
particularly at the pupal stage. Strikingly, the deficiency of IK(Ca) in slo
pupae was compensated by the transient component of IKv mediated by Sh channels.
Thus, IK(Ca) appears to play critical roles in pupal development and its absence
induces functional compensations from a specific transient IKv current. While
mutants lacking either Sh or slo currents survived normally, Sh;;slo double
mutants deficient in both failed to survive through pupal metamorphosis.
Together, our data highlight significant reorganizations and homeostatic
compensations of K+ currents during postembryonic development and uncover
previously unrecognized roles for Sh and slo in this plastic process.
PMID- 27868471
TI - Brentuximab vedotin activity in diffuse large B-cell lymphoma with CD30
undetectable by visual assessment of conventional immunohistochemistry.
AB - This phase 2 study evaluated brentuximab vedotin monotherapy in CD30-expressing
DLBCL; after several patients with little to no CD30 achieved a complete
remission (CR), the study evaluated treatment of DLBCL with undetectable CD30
(CD30u) by local visual immunohistochemistry (vIHC). Sixteen of 52 CD30u DLBCL
patients (31%) had an objective response (6 CRs [12%]). Median progression-free
survival (PFS) was 1.4 months (range, 0.4-15.6) and median overall survival (OS)
was 7.5 months (range, 0.7-18.6+). Subsequent CD30 expression quantitated by
computer-assisted digital image analysis (cIHC) showed that 11 of 16 CD30u DLBCL
responders had >=1% CD30. Correlative analyses of CD30u and CD30-expressing DLBCL
combined demonstrated that >=1% CD30 expression by cIHC resulted in a trend
toward a higher response rate and significantly longer median PFS and OS. A
minimum CD30 expression threshold appears to be required for antitumor activity
in DLBCL; however, other factors also likely contribute to activity.
(NCT01421667).
PMID- 27868473
TI - Corrigendum.
PMID- 27868474
TI - Persistent trophoblastic disease at caesarean scar and its successful treatment
with multiple dose systemic methotrexate after suction curettage.
PMID- 27868472
TI - Topical and systemic antifungals in dermatology practice.
AB - INTRODUCTION: Dermatophytosis is generally defined as an infection of the hair,
nails, or glabrous skin. These infections are caused by the keratinophilic fungi
Trichophyton spp., Microsporum spp., and Epidermophyton, which have been
recovered from both symptomatic and asymptomatic individuals. Although
dermatophytosis is generally not a life-threatening condition, these types of
infections are among the most common infections worldwide, and their incidence
has continued to increase consistently in recent years. Area covered: This
article provides an overview of the general characteristics of dermatophytes,
including their taxonomy and epidemiology, as well as the different clinical
forms and laboratory diagnostics of dermatophytosis. We further classify the
topical and systemic antifungal compounds currently used to treat dermatophyte
infections. Expert commentary: Antifungal therapy is a central component of
patient management for dermatophytosis, and depending on the strategy chosen,
topical and/or systemic drugs can be used. However, for effective treatment, it
is important to correctly determine the causal agents at the species level, which
will enable administration of suitable therapeutics and initiation of appropriate
management strategies.
PMID- 27868475
TI - Collision count in rugby union: A comparison of micro-technology and video
analysis methods.
AB - The aim of our study was to determine if there is a role for manipulation of g
force thresholds acquired via micro-technology for accurately detecting
collisions in rugby union. In total, 36 players were recruited from an elite
Guinness Pro12 rugby union team. Player movement profiles and collisions were
acquired via individual global positioning system (GPS) micro-technology units.
Players were assigned to a sub-category of positions in order to determine
positional collision demands. The coding of collisions by micro-technology at g
force thresholds between 2 and 5.5 g (0.5 g increments) was compared with
collision coding by an expert video analyst using Bland-Altman assessments. The
most appropriate g force threshold (smallest mean difference compared with video
analyst coding) was lower for all forwards positions (2.5 g) than for all backs
positions (3.5 g). The Bland-Altman 95% limits of agreement indicated that there
may be a substantial over- or underestimation of collisions coded via GPS micro
technology when using expert video analyst coding as the reference comparator.
The manipulation of the g force thresholds applied to data acquired by GPS micro
technology units based on incremental thresholds of 0.5 g does not provide a
reliable tool for the accurate coding of collisions in rugby union. Future
research should aim to investigate smaller g force threshold increments and
determine the events that cause coding of false positives.
PMID- 27868477
TI - Association between olfaction and higher cortical functions in Alzheimer's
disease, mild cognitive impairment, and healthy older adults.
AB - INTRODUCTION: Neural regions important for smell are proximal and closely
connected to cortical areas that have been strongly implicated in higher order
functions of value-based decision making and emotional memory. The integrity of
these neural regions are affected in aging and neurodegenerative conditions. Two
specific predictions follow from these neuroanatomical arrangements-namely, that
olfaction would be associated with value-based decision making and with emotional
memory. METHOD: To test these predictions, we measured these different capacities
in participants with presumed varying degrees of integrity of the relevant brain
structures: specifically, 13 patients with Alzheimer's disease, 8 patients with
mild cognitive impairment, and 20 healthy older adults. The participants
completed detailed tests of olfaction, value-based decision making, emotional
memory, and general cognitive ability. RESULTS: Olfactory functioning was
significantly associated with emotional and nonemotional memory. The association
was especially strong and consistent for memory recall with olfaction, explaining
as much as 10% additional variance over and above general cognition. Olfactory
functioning was not strongly or consistently associated with decision making over
and above general cognition. CONCLUSION: Olfaction is a strong predictor of
memory recall. These findings may contribute to a better understanding of
olfaction and specific cognitive domains known to be affected by aging and
implicated in neurodegenerative disease.
PMID- 27868476
TI - Latent structure of cognitive performance in the adult children study.
AB - OBJECTIVE: The Adult Children Study (ACS) at the Knight Alzheimer's Disease
Research Center is a longitudinal investigation designed to identify and validate
potential biomarkers of preclinical Alzheimer's disease (AD) in cognitively
normal individuals with and without a family history of AD. The purpose of the
current study was to validate the proposed latent structure of the ACS
psychometric battery. METHOD: Confirmatory factor analyses of baseline data in a
sample of 229 (75 men) cognitively normal middle-aged to older adult individuals
assessed a hypothesized 4-factor model of cognitive performance. Measurement
invariance was investigated as a function of family history of AD and
apolipoprotein E (APOE) status. RESULTS: This study confirmed a priori hypotheses
of 4 latent cognitive domains in a unique longitudinal sample of cognitively
normal adults. In addition, there was evidence of a similar factor structure for
family history and APOE status groups. CONCLUSION: These robust indicators of a
broad range of cognitive domains will be used in future investigations to track
the influence of family history of AD on cognitive performance over time. In
addition, associations with fluid, structural, and molecular biomarkers of
preclinical AD will be further examined, both cross-sectionally and
longitudinally in this sample.
PMID- 27868478
TI - Genetic Diversity and Gene Flow of Four South African Venturia inaequalis (Apple
Scab) Populations.
AB - Venturia inaequalis isolates were collected during the 2012/13 and 2013/14
seasons from the four principal apple growing regions of South Africa, Elgin (n =
114), Koue Bokkeveld (n = 126), Lower Langkloof (n = 92), and Upper Langkloof (n
= 103). Sequence analysis of the ribosomal internal transcribed spacer (ITS) gene
regions and genotyping with six (2012/13) and seven (2013/14) microsatellite
(SSR) markers was conducted. A subset of 12 isolates from the individual ITS
haplotype groups were sequenced for the translation elongation factor-1 alpha
(TEF1) and the large subunit of the RNA polymerases II (RPB1) gene regions. Four
haplotypes were found for ITS, whereas all isolates were identical for the TEF1
and RPB1 gene regions. The SSR markers revealed considerable variation with an
average gene diversity (H) of 0.675. Multivariate analysis (discriminant analysis
of principal components [DAPC]) revealed that the two Langkloof populations
clustered together with the Koue Bokkeveld population. The population from the
warmer winter region, Elgin, clustered separately from the rest of the
populations (PhiPT = 0.076 to 0.116; P <= 0.05). Estimates of gene flow showed
the highest migration rate from the Koue Bokkeveld, toward the Lower Langkloof (M
= 151.1), and the least migration to and from the Elgin region (average M =
42.75). Occasionally, identical genotypes (clones) were detected across seasons
in the Koue Bokkeveld and Elgin area, which might contribute to overwintering
conidia. From this study, it is evident that South Africa most likely has V.
inaequalis subpopulations linked to diverse climatic conditions of the coastal
Elgin region compared with the mountainous inland regions of the Koue Bokkeveld
and the Langkloof.
PMID- 27868479
TI - Mapping Resistance to Alternaria cucumerina in Cucumis melo.
AB - Infection with Alternaria cucumerina causes Alternaria leaf blight (ALB), a
disease characterized by lesion formation on leaves, leading to substantial yield
and quality losses in Cucumis melo (melon). Although fungicides are effective
against ALB, reduction in the frequency of application would be economically and
environmentally beneficial. Resistant melon lines have been identified but the
genetic basis of this resistance has not been determined. A saturated melon
genetic map was constructed with markers developed through genotyping by
sequencing of a recombinant inbred line population (F6 to F10; n = 82) derived
from single-seed descent of a F2 population from a cross between the ALB
resistant parent MR-1 and the ALB-susceptible parent Ananas Yokneum. The
population was evaluated for A. cucumerina resistance with an augmented block
greenhouse study using inoculation with the wounded-leaf method. Multiple
quantitative trait loci (QTL) mapping identified two QTL that explained 33.9% of
variation in lesion area. Several candidate genes within range of these QTL were
identified using the C. melo v3.5 genome. Markers linked to these QTL will be
used to accelerate efforts to breed melon cultivars resistant to ALB.
PMID- 27868480
TI - Revealing the decision-making of dribbling in the sport of futsal.
AB - This study aimed to investigate why futsal players decide to dribble. For this
purpose, we analysed 396 trials comprising the dribbles (n = 132), passes (n =
131) or shots (n = 133), performed by 70 male futsal players. Passing and
shooting angles, and interpersonal distance, including their rates of change
(velocity and variability), were regarded as measures of interpersonal
coordination tendency and a comparison was made among dribbling, passing and
shooting situations. In addition, the variables identified as constraints on
deciding to dribble were analysed in relation to age categories, dribbling
outcomes and futsal court zone. Results revealed that passing and shooting
angles, and interpersonal distance showed higher variability in dribbling than in
passing and shooting situations. The findings allowed us to conclude that
decision-making on dribbling was influenced by the variabilities of passing
angles as well as shooting and interpersonal distance, and that success in
dribbling was affected by the variability of interpersonal distance. Such
variabilities were interpreted concerning their meaning of risk and/or
uncertainty in the execution of motor skills.
PMID- 27868481
TI - Inconsistent-handed advantage in episodic memory extends to paragraph-level
materials.
AB - Past research using handedness as a proxy for functional access to the right
hemisphere demonstrates that individuals who are mixed/inconsistently handed
outperform strong/consistently handed individuals when performing episodic recall
tasks. However, research has generally been restricted to stimuli presented in a
list format. In the present paper, we present two studies in which participants
were presented with paragraph-level material and then asked to recall material
from the passages. The first study was based on a classic study looking at
retroactive interference with prose materials. The second was modelled on a
classic experiment looking at perspective taking and the content of memory. In
both studies, the classic effects were replicated and the general finding that
mixed/inconsistent-handers outperform strong/consistent-handers was replicated.
This suggests that considering degree of handedness may be an empirically useful
means of reducing error variance in paradigms looking at memory for prose level
material.
PMID- 27868580
TI - Attention lapses in children with spina bifida and hydrocephalus and children
with attention-deficit/hyperactivity disorder.
AB - Attentional lapses are usually defined as temporary and often brief shifts of
attention away from some primary task to unrelated internal information
processing. This study addressed the incidence of attention lapses and
differences in attentional functioning in 30 children with attention
deficit/hyperactivity disorder (ADHD), 26 healthy children, and 29 children with
spina bifida myelomeningocele and hydrocephalus (SBH). Assessments were conducted
using computerized tonic and phasic attention tests, the Symbol Digit Modalities
Test (SDMT), and the Trail Making Test Form B (TMT-B). The group with SBH
differed from normal controls on cognitive measures of attention and executive
functions. The ADHD group obtained lower scores than the SBH group and healthy
children. ANOVA results showed that there was an effect of shunt revisions and
shunt-related infections on neuropsychological performance. Lapses of attention
together with reaction time may thus represent important factors for the
understanding of cognitive deficits in SBH.
PMID- 27868581
TI - 2016 Updated American Society of Clinical Oncology/Oncology Nursing Society
Chemotherapy Administration Safety Standards, Including Standards for Pediatric
Oncology.
AB - Purpose To update the ASCO/Oncology Nursing Society (ONS) Chemotherapy
Administration Safety Standards and to highlight standards for pediatric
oncology. Methods The ASCO/ONS Chemotherapy Administration Safety Standards were
first published in 2009 and updated in 2011 to include inpatient settings. A
subsequent 2013 revision expanded the standards to include the safe
administration and management of oral chemotherapy. A joint ASCO/ONS workshop
with stakeholder participation, including that of the Association of Pediatric
Hematology Oncology Nurses and American Society of Pediatric Hematology/Oncology,
was held on May 12, 2015, to review the 2013 standards. An extensive literature
search was subsequently conducted, and public comments on the revised draft
standards were solicited. Results The updated 2016 standards presented here
include clarification and expansion of existing standards to include pediatric
oncology and to introduce new standards: most notably, two-person verification of
chemotherapy preparation processes, administration of vinca alkaloids via
minibags in facilities in which intrathecal medications are administered, and
labeling of medications dispensed from the health care setting to be taken by the
patient at home. The standards were reordered and renumbered to align with the
sequential processes of chemotherapy prescription, preparation, and
administration. Several standards were separated into their respective components
for clarity and to facilitate measurement of adherence to a standard. Conclusion
As oncology practice has changed, so have chemotherapy administration safety
standards. Advances in technology, cancer treatment, and education and training
have prompted the need for periodic review and revision of the standards.
Additional information is available at http://www.asco.org/chemo-standards .
PMID- 27868689
TI - Effect of long-term application of pretilachlor on its persistence and residues
in paddy crop.
AB - The effect of long-term application of pretilachlor to paddy in rice-wheat
cropping system was investigated from 1997 to 2015. Additionally, in 2013, field
experiment was also conducted where pretilachlor was applied to paddy field
having no background of its application. The residues of pretilachlor were
quantified using high-performance liquid chromatography (HPLC). The average
recoveries of pretilachlor from paddy soil, paddy grain and straw samples ranged
from 80.7% to 93.8% using HPLC with standard deviation less than 10%. The
dissipation rate of pretilachlor in paddy soil followed first-order kinetics and
half-life ranged from 9.58 to 21.19 days. In 2015, HPLC was compared with gas
chromatography-tandem mass spectrometry (GC-MS/MS) for quantification of
residues. Average recoveries of pretilachlor using GC-MS/MS from paddy soil,
paddy grain and straw samples ranged from 81.4% to 98.3% with standard deviation
less than 10%. Both HPLC and GC-MS/MS offered high reproducibility; however GC
MS/MS was more sensitive and the limit of detection was 3.0 and 1.0 ng g-1 for
HPLC and GC-MS/MS, respectively. At harvest, the residues of pretilachlor in the
paddy soil and crop were below the maximum residue limit and no dangerous
accumulation was observed after its prolonged application.
PMID- 27868947
TI - Diet and nutrients in the modulation of infant sleep: A review of the literature.
AB - OBJECTIVES: The establishment of organized sleep patterns is an important
developmental process during infancy. Little is known about the role of nutrition
in sleep maturation. This review focuses on exploring the link between infant
sleep and nutrition with the aim to provide an overview of existing literature on
the impact of diet and specific nutrients on sleep modulation in infants.
METHODS: An exploratory literature search was performed on the topic in Medline,
Scopus and Cochrane Library databases, with a focus on publications in English.
RESULTS: Both the type of nutrients consumed and the timing at which they were
consumed, relative to sleeping time, have been reported to influence infant
sleep. Some nutrients have been shown to naturally fluctuate in maternal breast
milk with circadian rhythm, and nutrients such as tryptophan, nucleotides,
essential fatty acids and Omega-3 long-chain fatty acids have been suggested to
impact infant sleep. DISCUSSION: In summary, little is known about the
nutritional impact on infant sleep and sleep maturation, particularly with regard
to specific nutrients. While nutrients like tryptophan and nucleotides seem to
impact sleep at the level of brain activity, some fatty acids may affect sleep as
a result of their role in supporting the maturity of the central nervous system.
In our view, the existing literature indicates that the link between nutrition
and infant sleep may be a promising concept to support this crucial phase of
early development.
PMID- 27868798
TI - Neurochemical and behavioral effects of Nigella sativa and Olea europaea oil in
rats.
AB - OBJECTIVES: In the last few decades, therapeutic uses of medicinal compounds
present in food as a normal constituent has risen substantially, largely because
of their fewer side effects and adequate efficacy. This study is designed to
investigate a role of brain serotonin (5-HT) and dopamine (DA) in the potential
nootropic, anxiolytic, and other beneficial effects of Nigella sativa (NS) and
Olea europaea (OE) oil in rat models. METHODS: Animals were treated with NS and
OE oil orally at doses of 0.1 ml/kg and 0.25 ml/kg for 5 weeks. Food intake and
body weight change, anxiety-like effects in elevated plus maze and activity in a
novel and familiar environment were monitored weekly. Effects on learning and
memory after 5 weeks treatment were monitored using Morris water maze test.
Neurochemical analysis was carried using HPLC-ECD method. RESULTS: NS and OE oil
administration enhanced learning and memory in Morris water maze test and the
effects were greater in NS than OE oil-treated animals. Low dose of OE oil
increased exploration in an open field, higher dose of OE oil and both doses of
NS oil produced no consistent effect on open field exploration. Effects of both
oils on anxiety-like behavior, food and water intake, and activity in activity
box were either not consistent or did not occur. The treatment increased
homovanillic acid (HVA). 5-HT levels increased in high dose of NS oil and low
dose of OE oil-treated groups. Low dose NS oil decreased 5-HT. DISCUSSION: The
present study suggests that active components in NS and OE oil may prove useful
in treating impaired cognition. OE oil may produce psychostimulant-like effect.
Modulation of DA and serotonin neurotransmission seems important in the
pharmacological effect of these oils.
PMID- 27869039
TI - The role of rs1984112_G at CD36 gene in increasing reticulocyte level among
sickle cell disease patients.
AB - AIMS AND BACKGROUND: Mediators of adhesion become a potential new target for
pharmacological therapy to struggle the complications of sickle cell disease
(SCD). Several mechanisms for increased adherence have been postulated and the
well-studied are CD36 and VLA4 which encoded by ITGA4. Herein, we sought to
determine whether one polymorphism of CD36 namely: rs1984112 and three exons of
ITGA4 (4, 5, and 6) are implicated in hemolytic status and clinical events among
SCD Tunisian patients. MATERIAL AND METHODS: This study enrolled 99 unrelated
Tunisian subjects (63SS and 36Sbeta). All SCD patients are children (less than 16
years old). The rs1984112 and the ITGA4's exons 4, 5, and 6 were analyzed for all
subjects by PCR/sequencing. The association of each genotype found with both
clinical complications and hemolytic status was performed using t-test. Clinical
events studied included vaso-occlusive crisis (VOC), osteonecrosis, stroke,
frequent infection, priapism, and acute syndrome. RESULTS: The results show that
rs1984112_G allele at CD36 gene revealed to be associated with higher levels of
reticulocyte count (p < 0.01). The statistical result show a near significance of
homozygous mutant GG genotype with VOC (p = 0.051). No association between
rs1984112_G allele and the clinical severity of SCD were found. Mutational
screening of exon 4, 5, and 6 of ITGA4 gene revealed absence of mutated variant.
CONCLUSION: Our results are similar to those found in Portuguese population which
reported the role of rs1984112_G in increasing reticulocyte level among SCD
patients. Consequently, the rs1984112_G of CD36 could be considered as a reliable
biomarker for predicting patients at high risk for vascular occlusions and thus,
allows earlier and more effective therapeutic management.
PMID- 27869040
TI - Natural or Artificial? Multi-Analytical Study of a Scagliola from Estoi Palace
Simulating Imperial Red Porphyry.
AB - In this paper the characterization of a gypsum plaster sample from the end of the
19th century simulating imperial red porphyry using a multi-analytical approach
is presented and discussed. The results of X-ray diffraction (XRD),
thermogravimetric and differential thermal analysis (TGA-DTA), physical and
mechanical properties are summarized. In order to have further insight into the
microstructure, polarized light microscopy (PLM), scanning electron microscopy
coupled with energy dispersive X-ray spectrometer (SEM-EDS), and micro Raman
spectroscopy analyzes were also made. They helped to clarify the main issues
raised by the other complementary analytical techniques and allowed the
establishment of interrelations between the different properties, providing
important information about the materials, the skills, and the technological
development involved in the art of imitating noble stones with gypsum pastes.
This study also contributes to our knowledge concerning the preservation of these
types of elements that are important in the context of European decorative arts
and rarely reported in the literature.
PMID- 27869041
TI - Wolff-Parkinson-White syndrome: a single exercise stress test might be
misleading.
AB - Risk stratification of patients with Wolff-Parkinson-White syndrome for sudden
death is a complex process, particularly in understanding the utility of the
repeat exercise stress test. We report a case of an 18-year-old patient who was
found to have a high-risk pathway by both invasive and exercise stress testing
after an initial exercise stress test showing beat-to-beat loss of pre
excitation.
PMID- 27869042
TI - Invited review: efficient computation strategies in genomic selection.
AB - The purpose of this study is review and evaluation of computing methods used in
genomic selection for animal breeding. Commonly used models include SNP BLUP with
extensions (BayesA, etc), genomic BLUP (GBLUP) and single-step GBLUP (ssGBLUP).
These models are applied for genomewide association studies (GWAS), genomic
prediction and parameter estimation. Solving methods include finite Cholesky
decomposition possibly with a sparse implementation, and iterative Gauss-Seidel
(GS) or preconditioned conjugate gradient (PCG), the last two methods possibly
with iteration on data. Details are provided that can drastically decrease some
computations. For SNP BLUP especially with sampling and large number of SNP, the
only choice is GS with iteration on data and adjustment of residuals. If only
solutions are required, PCG by iteration on data is a clear choice. A genomic
relationship matrix (GRM) has limited dimensionality due to small effective
population size, resulting in infinite number of generalized inverses of GRM for
large genotyped populations. A specific inverse called APY requires only a small
fraction of GRM, is sparse and can be computed and stored at a low cost for
millions of animals. With APY inverse and PCG iteration, GBLUP and ssGBLUP can be
applied to any population. Both tools can be applied to GWAS. When the system of
equations is sparse but contains dense blocks, a recently developed package for
sparse Cholesky decomposition and sparse inversion called YAMS has greatly
improved performance over packages where such blocks were treated as sparse. With
YAMS, GREML and possibly single-step GREML can be applied to populations with >50
000 genotyped animals. From a computational perspective, genomic selection is
becoming a mature methodology.
PMID- 27869043
TI - Nano-Localized Thermal Analysis and Mapping of Surface and Sub-Surface Thermal
Properties Using Scanning Thermal Microscopy (SThM).
AB - Determining and acting on thermo-physical properties at the nanoscale is
essential for understanding/managing heat distribution in micro/nanostructured
materials and miniaturized devices. Adequate thermal nano-characterization
techniques are required to address thermal issues compromising device
performance. Scanning thermal microscopy (SThM) is a probing and acting technique
based on atomic force microscopy using a nano-probe designed to act as a
thermometer and resistive heater, achieving high spatial resolution. Enabling
direct observation and mapping of thermal properties such as thermal
conductivity, SThM is becoming a powerful tool with a critical role in several
fields, from material science to device thermal management. We present an
overview of the different thermal probes, followed by the contribution of SThM in
three currently significant research topics. First, in thermal conductivity
contrast studies of graphene monolayers deposited on different substrates, SThM
proves itself a reliable technique to clarify the intriguing thermal properties
of graphene, which is considered an important contributor to improve the
performance of downscaled devices and materials. Second, SThM's ability to
perform sub-surface imaging is highlighted by thermal conductivity contrast
analysis of polymeric composites. Finally, an approach to induce and study local
structural transitions in ferromagnetic shape memory alloy Ni-Mn-Ga thin films
using localized nano-thermal analysis is presented.
PMID- 27869044
TI - Relationship between the home environment and fruit and vegetable consumption in
children aged 6-12 years: a systematic review.
AB - OBJECTIVE: As numerous factors in the home environment have been related to
children's fruit and vegetable (F&V) consumption as a component of a healthy
diet, the purpose of the present systematic review was to examine these factors
specifically for children aged 6-12 years. DESIGN: Relevant observational studies
published in English between January 2007 and December 2015 were obtained through
electronic database searches. Studies were included if the researchers reported
on a potentially modifiable measure of the home physical, political and
sociocultural environment related to child F&V consumption. RESULTS: Of the
thirty-three articles reviewed, overall methodological quality was poor with
twenty studies rated as weak, mainly due to cross-sectional design (majority of
studies), selection bias, convenience sampling and voluntary participation. Half
of the studies had strong-moderate ratings for using valid and/or reliable tools
while for the other half, psychometric properties were either not reported or
weak. The most consistent evidence for children's combined F&V consumption was
found for availability and accessibility of F&V, parental role modelling of F&V
and maternal intake of F&V. CONCLUSIONS: A vast array of home environment
components and their influence on children's consumption of fruits and/or
vegetables have been studied in recent years. Specific components of the home
environment may have more influence than others, but more compelling evidence is
needed to draw strong conclusions. Recommendations are made for future studies to
be based upon conceptual/theoretical models to provide consistency in defining
the home environment and investigation of potential moderators, such as personal
or contextual factors.
PMID- 27869045
TI - Commentary on Cummings et al.
PMID- 27869047
TI - Children's exposure to violent political conflict stimulates aggression at peers
by increasing emotional distress, aggressive script rehearsal, and normative
beliefs favoring aggression.
AB - We examine the hypothesis that children's exposure to ethnic-political conflict
and violence over the course of a year stimulates their increased aggression
toward their own in-group peers in subsequent years. In addition, we examine what
social cognitive and emotional processes mediate these effects and how these
effects are moderated by gender, age, and ethnic group. To accomplish these aims,
we collected three waves of data from 901 Israeli and 600 Palestinian youths
(three age cohorts: 8, 11, and 14 years old) and their parents at 1-year
intervals. Exposure to ethnic-political violence was correlated with aggression
at in-group peers among all age cohorts. Using a cross-lagged structural equation
model from Year 1 to Year 3, we found that the relation between exposure and
aggression is more plausibly due to exposure to ethnic-political violence
stimulating later aggression at peers than vice versa, and this effect was not
moderated significantly by gender, age cohort, or ethnic group. Using three-wave
structural equation models, we then showed that this effect was significantly
mediated by changes in normative beliefs about aggression, aggressive script
rehearsal, and emotional distress produced by the exposure. Again the best
fitting model did not allow for moderation by gender, age cohort, or ethnic
group. The findings are consistent with recent theorizing that exposure to
violence leads to changes both in emotional processes promoting aggression and in
the acquisition through observational learning of social cognitions promoting
aggression.
PMID- 27869046
TI - Latino mothers' beliefs about child weight and family health.
AB - OBJECTIVE: There is a need to address cultural beliefs and parenting practices
regarding childhood obesity to design effective weight-control programmes for
overweight/obese US Latino children. The purpose of the current study was to
explore cultural beliefs about children's weight, understand parent perceptions
on feeding their children, and explore barriers that interfere with a healthy
lifestyle. DESIGN: Four focus groups were conducted in Spanish with forty-one
Latino mothers of elementary school-age children from San Diego County,
California between April and May 2011. Cultural viewpoints about overweight
status among children and barriers to leading a healthy lifestyle were explored.
Focus group discussions were analysed based on a priori and emergent themes.
RESULTS: Three themes were identified: (i) mothers' cultural beliefs about health
that are barriers to family health; (ii) mothers as primary caretakers of their
family's health; and (iii) attitudes about targeting children's weight. Mothers
acknowledged the idea that 'chubby is better' is a misperception, yet having a
'chubby' child was preferred and even accepted. Mothers described fatalistic
beliefs that contradicted existing knowledge of chronic disease and daily demands
of Western culture as barriers to practising healthy behaviours in the home as
the family caretaker. CONCLUSIONS: These findings may be used to inform more
culturally appropriate research to address US Latino health. Increasing awareness
of cultural beliefs and daily circumstance could help to address obesity more
directly and thereby overcome some of the potential underlying barriers that
might exist when involving the Latino immigrant families in obesity treatment and
prevention.
PMID- 27869050
TI - WISDOM AND THE PATH-DEPENDENT POLITICS OF BIOMEDICAL RESEARCH.
PMID- 27869048
TI - Efficacy, safety, and tolerability of vortioxetine for the treatment of major
depressive disorder in patients aged 55 years or older.
AB - OBJECTIVE: These post hoc analyses evaluate the efficacy, safety, and
tolerability of vortioxetine versus placebo in patients aged >=55 years with
major depressive disorder (MDD). METHODS: Study-level efficacy data from 12 short
term, fixed-dose, randomized, placebo-controlled trials of vortioxetine 5-20
mg/day were assessed using a random-effects meta-analysis. Adverse events (AEs),
vital signs, ECG values, liver enzymes, and body weight were pooled from the same
studies. Patients had baseline Montgomery-Asberg Depression Rating Scale (MADRS)
total scores ranging from 22-30. RESULTS: 1508 patients (mean age=62.4 years;
range, 55-88 years) were included. Mean differences from placebo in change from
baseline to study end (6/8 weeks) in MADRS were -2.56 (5 mg, n=324, P=0.035),
2.87 (10 mg, n=222, P=0.007), -1.32 (15 mg, n=90, P=NS), and -4.65 (20 mg, n=165,
P=0.012). Odds ratios for response versus placebo were 1.6 (5 mg, P=NS), 1.8 (10
mg, P=0.002), 1.2 (15 mg, P=NS), and 2.5 (20 mg, P<0.001), and for remission
versus placebo were 1.5 (5 mg, P=NS), 1.5 (10 mg, P=NS), 1.4 (15 mg, P=NS), and
2.7 (20 mg, P=0.001). The proportion of patients with AEs for placebo and
vortioxetine 5-20 mg was 61.5% and 62.3%, respectively, with no increase at
increased doses. Vortioxetine demonstrated a placebo-level incidence of serious
AEs (1.2%). AEs occurring in >=5% of any treatment group were nausea, headache,
diarrhea, dizziness, dry mouth, constipation, fatigue, vomiting, and anxiety. No
clinically significant mean changes in vital signs, ECG values, liver enzymes, or
body weight emerged during treatment. CONCLUSION: Vortioxetine 5-20 mg/day is
efficacious and well tolerated in MDD patients aged >=55 years, a group that is
often comorbid with other conditions and treated with other medications.
PMID- 27869049
TI - Exclusion of overlapping symptoms in DSM-5 mixed features specifier: heuristic
diagnostic and treatment implications.
AB - This article focuses on the controversial decision to exclude the overlapping
symptoms of distractibility, irritability, and psychomotor agitation (DIP) with
the introduction of the Diagnostic and Statistical Manual of Mental Disorders,
Fifth Edition (DSM-5) mixed features specifier. In order to understand the
placement of mixed states within the current classification system, we first
review the evolution of mixed states. Then, using Kraepelin's original
classification of mixed states, we compare and contrast his conceptualization
with modern day definitions. The DSM-5 workgroup excluded DIP symptoms, arguing
that they lack the ability to differentiate between manic and depressive states;
however, accumulating evidence suggests that DIP symptoms may be core features of
mixed states. We suggest a return to a Kraepelinian approach to classification
with mood, ideation, and activity as key axes-and reintegration of DIP symptoms
as features that are expressed across presentations. An inclusive definition of
mixed states is urgently needed to resolve confusion in clinical practice and to
redirect future research efforts.
PMID- 27869051
TI - Money is Brain: Financial Barriers and Consequences for Canadian Stroke Patients.
AB - BACKGROUND: Stroke patients of lower socioeconomic status have worse outcomes. It
remains poorly understood whether this is due to illness severity or personal or
health system barriers. We explored the experiences of stroke patients with
financial barriers in a qualitative descriptive pilot study, seeking to capture
perceived challenges that interfere with their poststroke health and recovery.
METHODS: We interviewed six adults with a history of stroke and financial
barriers in Alberta, Canada, inquiring about their: (1) experiences after stroke;
(2) experience of financial barriers; (3) perceived reasons for financial
barriers; (4) health consequences of financial barriers; and (5) mechanisms for
coping with financial barriers. Two reviewers analyzed data using inductive
thematic analysis. RESULTS: The participants developed new or worsened financial
circumstances as a consequence of stroke-related disability. Poststroke
impairments and financial barriers took a toll on their mental health. They
struggled to access several aspects of long-term poststroke care, including
allied health professional services, medications, and proper nutrition. They
described opportunity costs and tradeoffs when accessing health services. In
several cases, they were unaware of health resources available to them and were
hesitant to disclose their struggles to their physicians and even their families.
CONCLUSION: Some patients with financial barriers perceive challenges to
accessing various aspects of poststroke care. They may have inadequate knowledge
of resources available to them and may not disclose their concerns to their
health care team. This suggests that providers themselves might consider asking
stroke patients about financial barriers to optimize their long-term poststroke
care.
PMID- 27869052
TI - Altering management decisions with gained anatomical insight from a 3D printed
model of a complex ventricular septal defect.
AB - Rapid prototyping is quickly gaining utility in various complex forms of CHD. In
properly selected cases, these printed models provide detailed anatomical
understanding that help guide potential surgical and cardiac catheterisation
interventions. We present a case of a tunnel-like ventricular septal defect
referred for surgical repair, where the decision to obtain a three-dimensional
printed model helped in better understanding of the anatomy, leading to delaying,
and hopefully avoiding altogether, surgical repair.
PMID- 27869053
TI - Incidence, risk factors, and outcomes of acute kidney injury in adults undergoing
surgery for congenital heart disease.
AB - BACKGROUND: Acute kidney injury after cardiac surgery is a frequent and serious
complication among children with congenital heart disease (CHD) and adults with
acquired heart disease; however, the significance of kidney injury in adults
after congenital heart surgery is unknown. The primary objective of this study
was to determine the incidence of acute kidney injury after surgery for adult
CHD. Secondary objectives included determination of risk factors and associations
with clinical outcomes. METHODS: This single-centre, retrospective cohort study
was performed in a quaternary cardiovascular ICU in a paediatric hospital
including all consecutive patients ?18 years between 2010 and 2013. RESULTS: Data
from 118 patients with a median age of 29 years undergoing cardiac surgery were
analysed. Using Kidney Disease: Improving Global Outcome creatinine criteria, 36%
of patients developed kidney injury, with 5% being moderate to severe (stage
2/3). Among higher-complexity surgeries, incidence was 59%. Age ?35 years,
preoperative left ventricular dysfunction, preoperative arrhythmia, longer bypass
time, higher Risk Adjustment for Congenital Heart Surgery-1 category, and
perioperative vancomycin use were significant risk factors for kidney injury
development. In multivariable analysis, age ?35 years and vancomycin use were
significant predictors. Those with kidney injury were more likely to have
prolonged duration of mechanical ventilation and cardiovascular ICU stay in the
univariable regression analysis. CONCLUSIONS: We demonstrated that acute kidney
injury is a frequent complication in adults after surgery for CHD and is
associated with poor outcomes. Risk factors for development were identified but
largely not modifiable. Further investigation within this cohort is necessary to
better understand the problem of kidney injury.
PMID- 27869054
TI - Percutaneous closure of an aortopulmonary window using Amplatzer Duct Occluder
II: Additional Sizes: the first reported case.
AB - To date, there are no reported cases of the Amplatzer Duct Occluder II:
Additional Sizes' use in percutaneous closure of an aortopulmonary window. We
report a case of percutaneous closure of an aortopulmonary window in a 4.5-month
old, 6 kg child. Owing to the patient's low weight, high risk of damage to the
pulmonary valve, as well as the possibility of aortic and pulmonary artery
obstruction, classic implants were deemed unsuitable and a decision was made to
use the Amplatzer Duct Occluder II: Additional Sizes. The implant performed very
well - the soft waist filled the aortopulmonary connection and both retention
discs were properly shaped and pressed against vessel walls. Echocardiogram
performed 12 hours after the procedure confirmed a correct occluder position. Low
profile retention discs had no impact on pulmonary valve function, despite the
defect's proximity to the valve. No obstruction of the aortic or pulmonary artery
lumen was noted. The Amplatzer Duct Occluder II: Additional Size implant is a
safe and useful device for percutaneous closure of an aortopulmonary window in a
carefully selected group of patients.
PMID- 27869055
TI - Commentary on Aber et al.
PMID- 27869057
TI - Effect of dam weight and pregnancy nutrition on average lactation performance of
ewe offspring over 5 years.
AB - The foetal mammary gland is sensitive to maternal weight and nutrition during
gestation, which could affect offspring milk production. It has previously been
shown that ewes born to dams offered maintenance nutrition during pregnancy (day
21 to 140 of gestation) produced greater milk, lactose and CP yields in their
first lactation when compared with ewes born to dams offered ad libitum
nutrition. In addition, ewes born to heavier dams produced greater milk and
lactose yields when compared with ewes born to lighter dams. The objective of
this study was to analyse and compare the 5-year lactation performance of the
previously mentioned ewes, born to heavy or light dams that were offered
maintenance or ad libitum pregnancy nutrition. Ewes were milked once per week,
for the first 6 weeks of their lactation, for 5 years. Using milk yield and
composition data, accumulated yields were calculated over a 42-day period for
each year for milk, milk fat, CP, true protein, casein and lactose using a
Legendre orthogonal polynomial model. Over the 5-year period, ewes born to heavy
dams produced greater average milk (P=0.04), lactose (P=0.01) and CP (P=0.04)
yields than offspring born to light dams. In contrast, over the 5-year period dam
nutrition during pregnancy did not affect average (P>0.05) offspring milk yields
or composition, but did increase milk and lactose accumulated yield (P=0.03 and
0.01, respectively) in the first lactation. These results indicate that maternal
gestational nutrition appears to only affect the first lactational performance of
ewe offspring. Neither dam nutrition nor size affected grand-offspring live
weight gain to, or live weight at weaning (P>0.05). Combined these data indicate
that under the conditions of the present study, manipulating dam weight or
nutrition in pregnancy can have some effects of offspring lactational
performance, however, these effects are not large enough to alter grand-offspring
growth to weaning. Therefore, such manipulations are not a viable management tool
for farmers to influence lamb growth to weaning.
PMID- 27869056
TI - Why calpain inhibitors are interesting leading compounds to search for new
therapeutic options to treat leishmaniasis?
AB - Leishmaniasis is a neglected disease, which needs improvements in drug
development, mainly due to the toxicity, parasite resistance and low compliance
of patients to treatment. Therefore, the development of new chemotherapeutic
compounds is an urgent need. This opinion article will briefly highlight the
feasible use of calpain inhibitors as leading compounds to search for new
therapeutic options to treat leishmaniasis. The milestone of this approach is to
take advantage on the myriad of inhibitors developed against calpains, some of
which are in advanced clinical trials. The deregulated activity of these enzymes
is associated with several pathologies, such as strokes, diabetes and Parkinson's
disease, to name a few. In Leishmania, calpain upregulation has been associated
to drug resistance and virulence. Whereas the difficulties in developing new
drugs for neglected diseases are more economical than biotechnological,
repurposing approach with compounds already approved for clinical use by the
regulatory agencies can be an interesting shortcut to a successful
chemotherapeutic treatment for leishmaniasis.
PMID- 27869059
TI - Site-Specific Preparation of Intact Solid-Liquid Interfaces by Label-Free In Situ
Localization and Cryo-Focused Ion Beam Lift-Out.
AB - Scanning transmission electron microscopy (STEM) allows atomic scale
characterization of solid-solid interfaces, but has seen limited applications to
solid-liquid interfaces due to the volatility of liquids in the microscope
vacuum. Although cryo-electron microscopy is routinely used to characterize
hydrated samples stabilized by rapid freezing, sample thinning is required to
access the internal interfaces of thicker specimens. Here, we adapt cryo-focused
ion beam (FIB) "lift-out," a technique recently developed for biological
specimens, to prepare intact internal solid-liquid interfaces for high-resolution
structural and chemical analysis by cryo-STEM. To guide the milling process we
introduce a label-free in situ method of localizing subsurface structures in
suitable materials by energy dispersive X-ray spectroscopy (EDX). Monte Carlo
simulations are performed to evaluate the depth-probing capability of the
technique, and show good qualitative agreement with experiment. We also detail
procedures to produce homogeneously thin lamellae, which enable nanoscale
structural, elemental, and chemical analysis of intact solid-liquid interfaces by
analytical cryo-STEM. This work demonstrates the potential of cryo-FIB lift-out
and cryo-STEM for understanding physical and chemical processes at solid-liquid
interfaces.
PMID- 27869058
TI - Relationship of amotivation to neurocognition, self-efficacy and functioning in
first-episode psychosis: a structural equation modeling approach.
AB - BACKGROUND: Better understanding of the complex interplay among key determinants
of functional outcome is crucial to promoting recovery in psychotic disorders.
However, this is understudied in the early course of illness. We aimed to examine
the relationships among negative symptoms, neurocognition, general self-efficacy
and global functioning in first-episode psychosis (FEP) patients using structural
equation modeling (SEM). METHOD: Three hundred and twenty-one Chinese patients
aged 26-55 years presenting with FEP to an early intervention program in Hong
Kong were recruited. Assessments encompassing symptom profiles, functioning,
perceived general self-efficacy and a battery of neurocognitive tests were
conducted. Negative symptom measurement was subdivided into amotivation and
diminished expression (DE) domain scores based on the ratings in the Scale for
the Assessment of Negative Symptoms. RESULTS: An initial SEM model showed no
significant association between functioning and DE which was removed from further
analysis. A final trimmed model yielded very good model fit (chi2 = 15.48, p =
0.63; comparative fit index = 1.00; root mean square error of approximation
<0.001) and demonstrated that amotivation, neurocognition and general self
efficacy had a direct effect on global functioning. Amotivation was also found to
mediate a significant indirect effect of neurocognition and general self-efficacy
on functioning. Neurocognition was not significantly related to general self
efficacy. CONCLUSION: Our results indicate a critical intermediary role of
amotivation in linking neurocognitive impairment to functioning in FEP. General
self-efficacy may represent a promising treatment target for improvement of
motivational deficits and functional outcome in the early illness stage.
PMID- 27869060
TI - RECIPROCITY-BUILDING AND THE IMPORTANCE OF INTERDISCIPLINARY COLLABORATION IN
TUBERCULOSIS RESEARCH.
PMID- 27869061
TI - CONSIDERING NEOLIBERALISM, CONTEMPT AND ALLOSTATIC LOAD IN THE SOCIAL DYNAMICS OF
TUBERCULOSIS.
PMID- 27869062
TI - Is the Polymyxin B Resistance Among Multidrug-Resistant Enterobacteriaceae
(Except for the Carbapenemase-producing Ones) a Myth or a Matter?
PMID- 27869064
TI - Transdiagnostic brain responses to disorder-related threat across four
psychiatric disorders.
AB - BACKGROUND: There is an ongoing debate whether transdiagnostic neural mechanisms
are shared by different anxiety-related disorders or whether different disorders
show distinct neural correlates. To investigate this issue, studies controlling
for design and stimuli across multiple anxiety-related disorders are needed.
METHOD: The present functional magnetic resonance imaging study investigated
neural correlates of visual disorder-related threat processing across unmedicated
patients suffering from panic disorder (n = 20), social anxiety disorder (n =
20), dental phobia (n = 16) and post-traumatic stress disorder (n = 11) relative
to healthy controls (HC; n = 67). Each patient group and the corresponding HC
group saw a tailor-made picture set with 50 disorder-related and 50 neutral
scenes. RESULTS: Across all patients, increased activation to disorder-related v.
neutral scenes was found in subregions of the bilateral amygdala. In addition,
activation of the lateral amygdala to disorder-related v. neutral scenes
correlated positively with subjective anxiety ratings of scenes across patients.
Furthermore, whole-brain analysis revealed increased responses to disorder
related threat across the four disorders in middle, medial and superior frontal
regions, (para-)limbic regions, such as the insula and thalamus, as well as in
the brainstem and occipital lobe. We found no disorder-specific brain responses.
CONCLUSIONS: The results suggest that pathologically heightened lateral amygdala
activation is linked to experienced anxiety across anxiety disorders and trauma-
and stressor-related disorders. Furthermore, the transdiagnostically shared
activation network points to a common neural basis of abnormal responses to
disorder-related threat stimuli across the four investigated disorders.
PMID- 27869065
TI - Effect of organic grass-clover silage on fiber digestion in dairy cows.
AB - There are differences in grass-clover proportions and chemical composition
between herbage from primary growth (PG) and regrowth (RG) in grass-clover leys.
Mixing silages made from PG and RG may provide a more optimal diet to dairy cows
than when fed separately. We tested the hypotheses that increasing dietary
proportions of grass-clover silage made from RG compared with PG would increase
digestion rate of potentially degradable NDF (pdNDF), and increase ruminal
accumulation of indigestible NDF (iNDF). Eight rumen cannulated Norwegian Red
cows were used in two replicated 4*4 Latin squares with 21-day periods. Silages
were prepared from PG and RG of an organically cultivated ley, where PG and RG
silages were fed ad libitum in treatments with RG replacing PG in ratios of 0,
0.33, 0.67 and 1 on dry matter basis in addition to 8 kg concentrate. We
evaluated the effect of the four diets with emphasis on rumen- and total tract
fiber digestibility. Increasing RG proportions decreased silage intake by 7%.
Omasal flow of pdNDF decreased, whereas iNDF flow increased with increasing RG
proportions. Increasing RG proportions decreased rumen pool sizes of NDF and
pdNDF, whereas pool sizes of iNDF and CP increased. Increasing RG proportions
increased digestion rate of NDF, which resulted in greater total tract digestion
of NDF. Pure PG diet had the highest calculated energy intake, but the improved
rumen digestion of NDF by cows offered 0.33 and 0.67 of RG leveled out milk fat
and protein yields among the three PG containing diets.
PMID- 27869063
TI - Screening Patients Undergoing Total Hip or Knee Arthroplasty with Perioperative
Urinalysis and the Effect of a Practice Change on Antimicrobial Use.
AB - OBJECTIVE To identify predictors of treatment for urinary tract infections (UTI)
among patients undergoing total hip (THA) or knee (TKA) arthroplasties and to
assess an intervention based on these predictors. DESIGN We conducted a
retrospective cohort study of 200 consecutive patients undergoing THA/TKA between
February 21, 2011, and June 30, 2011, to identify predictors of treatment for UTI
and a prospective cohort study of 50 patients undergoing these procedures between
May 21, 2012, and July 17, 2012, to assess the association of signs or symptoms
and UTI treatment. We then conducted a before-and-after study to assess whether
implementing an intervention affected the frequency of treatment for UTI before
or after THA/TKA. SETTING The orthopedics department of a university health
center. PATIENTS Patients undergoing THA or TKA. INTERVENTION Surgeons revised
their UTI screening and treatment practices. RESULTS Positive leukocyte esterase
(P5 (P=.01; P=.01) were associated with preoperative or postoperative UTI
treatment. In the prospective study, 12 patients (24%) had signs and symptoms
consistent with UTI. The number of patients treated for presumed UTI decreased
80.2% after the surgeons changed their practices, and surgical site infection
(SSI) rates, including prosthetic joint infections (PJIs), did not increase.
CONCLUSIONS Urine leukocyte esterase and white blood cell count were the
strongest predictors of treatment for UTI before or after THA/TKA. The
intervention was associated with a significant decrease in treatment for UTI, and
SSI/PJI rates did not increase. Infect Control Hosp Epidemiol 2017;38:281-286.
PMID- 27869066
TI - Developmental and social-ecological perspectives on children, political violence,
and armed conflict.
AB - An increasing number of researchers and policymakers have been moved to study and
intervene in the lives of children affected by violent conflicts (Masten, 2014).
According to a United Nations Children's Fund (2009) report, over 1 billion
children under the age of 18 are growing up in regions where acts of political
violence and armed conflict are, as Ladds and Cairns (1996, p. 15) put it, "a
common occurrence-a fact of life." In recent years, the United Nations Children's
Fund, advocacy and human rights groups, journalists, and researchers have drawn
public attention to the high rates of child casualties in these regions, and to
the plights of those children still caught in the crossfire. It has thus become
clear that both the challenges and the stakes are higher than ever to promote the
safety and well-being of affected children around the world (Masten & Narayan,
2012; Tol, Jordans, Kohrt, Betancourt, & Komproe, 2012).
PMID- 27869067
TI - [The French Society of Myology shows some heart].
PMID- 27869068
TI - [About the technique of muscle biopsy (III). The contribution of elctron
microscopy, yesterday, and at the time of the molecular genetics era. A
historical overview].
PMID- 27869069
TI - [Unusual phenotype of myopathy associated with a new PNPLA2 mutation].
PMID- 27869070
TI - ?
PMID- 27869072
TI - [Tailored orthotic shoes in Charcot-Marie-Tooth disease].
PMID- 27869071
TI - [Diagnostic orientation of " Rigid spine " familial case with whole body muscle
MRI].
PMID- 27869073
TI - ?
PMID- 27869074
TI - [Respiratory care in patient with neuromuscular disease: the existing and the
desirable].
PMID- 27869075
TI - [Cell therapies for cardiopathies: the shift of paradigms].
AB - Heart failure is a major concern for public health systems, and several
approaches of cellular therapy are being investigated with the goal of improving
the function of these failing hearts. Many cell types have been used (skeletal
myoblasts, hematopoietic, endothelial or mesenchymal progenitors, cardiac
cells...), most often in the indication of post-ischemic heart failure rather
than in the indication of genetic dilated cardiomyopathy. It is easier, indeed,
to target a restricted area than the whole myocardium. Several clinical trials
have reported slight but encouraging functional benefits, but their
interpretations were frequently limited by the small sizes of cohorts, and by the
biological variabilities inherent to the patients status and to the biology of
the cells. These trials also shed light on unexpected mechanisms of action of the
cells, which are changing the concepts and methodologies of the studies. The
functional benefits observed would be due, indeed, to the secretion of trophic
factors by the cells, instead of their true structural and mechanical integration
within the myocardial tissue. Accordingly, the new generations of clinical trials
aim at improving the size and homogeneity of the patient cohorts to increase the
statistical power. On the other hand, several studies are associating or
conditionning cells with biomaterials or cocktails of cytokines to improve their
survival and their biological efficacy. In parallel, bio-engineering investigates
several ways to support cells in vitro and in vivo, to sustain the architectural
structure of the failing myocardium, to produce ex vivo some true substitutive
cardiac tissue, or to purely replace the cells by their active secreted products.
Several therapeutic devices should emerge from these researches, and the choice
of their respective use will be ultimately guided by the medical indication.
PMID- 27869076
TI - [Genes of alpha-dystroglycanopathies in 2016].
PMID- 27869078
TI - [NeuroMyoGene Institute: a Franco-Canadian partnership promoting research in
neuromuscular disorders].
PMID- 27869077
TI - [Finland: an ideally valued genetic heritage].
PMID- 27869079
TI - ?
PMID- 27869080
TI - The Effect of Biologically Effective Dose and Radiation Treatment Schedule on
Overall Survival in Stage I Non-Small Cell Lung Cancer Patients Treated With
Stereotactic Body Radiation Therapy.
AB - PURPOSE: To determine the effect of biologically effective dose (BED10) and
radiation treatment schedule on overall survival (OS) in patients with early
stage non-small cell lung cancer (NSCLC) undergoing stereotactic body radiation
therapy (SBRT). METHODS AND MATERIALS: Using data from 65 treatment centers in
the United States, we retrospectively reviewed the records of T1-2 N0 NSCLC
patients undergoing SBRT alone from 2006 to 2014. Biologically relevant
covariates, including dose per fraction, number of fractions, and time between
fractions, were used to quantify BED10 and radiation treatment schedule. The
linear-quadratic equation was used to calculate BED10 and to generate a
dichotomous dose variable of <105 Gy versus >=105 Gy BED10. The primary outcome
was OS. We used the Kaplan-Meier method, the log-rank test, and Cox proportional
hazards regression with propensity score matching to determine whether
prescription BED10 was associated with OS. RESULTS: We identified 747 patients
who met inclusion criteria. The median BED10 was 132 Gy, and 59 (7.7%) had
consecutive-day fractions. Median follow-up was 41 months, and 452 patients
(60.5%) had died by the conclusion of the study. The 581 patients receiving >=105
Gy BED10 had a median survival of 28 months, whereas the 166 patients receiving
<105 Gy BED10 had a median survival of 22 months (log-rank, P=.01). Radiation
treatment schedule was not a significant predictor of OS on univariable analysis.
After adjusting for T stage, sex, tumor histology, and Eastern Cooperative
Oncology Group performance status, BED10 >=105 Gy versus <105 Gy remained
significantly associated with improved OS (hazard ratio 0.78, 95% confidence
interval 0.62-0.98, P=.03). Propensity score matching on imbalanced variables
within high- and low-dose cohorts confirmed a survival benefit with higher
prescription dose. CONCLUSIONS: We found that dose escalation to 105 Gy BED10 and
beyond may improve survival in NSCLC patients treated with SBRT.
PMID- 27869081
TI - Long-Term Update of NRG Oncology RTOG 0319: A Phase 1 and 2 Trial to Evaluate 3
Dimensional Conformal Radiation Therapy Confined to the Region of the Lumpectomy
Cavity for Stage I and II Breast Carcinoma.
AB - PURPOSE: NRG Oncology RTOG 0319 was the first cooperative group trial in the
United States to evaluate 3-dimensional conformal radiation therapy (3D-CRT)
accelerated partial breast irradiation (APBI). This report updates secondary
endpoints of toxicity and efficacy. METHODS AND MATERIALS: Patients with stage I
or II invasive breast cancer (tumor size <=3 cm, <=3 positive lymph nodes,
negative margins) were eligible for 3D-CRT APBI: 38.5 Gy in 10 twice-daily
fractions. Patient characteristics and treatment details have previously been
reported. Adverse events were graded with CTCAE v3.0 (National Cancer Institute
Common Terminology Criteria for Adverse Events version 3.0). This analysis
updates the rates of ipsilateral breast recurrence (IBR), contralateral breast
recurrence, ipsilateral node recurrence (INR), metastatic sites (distant
metastases [DM]), mastectomy, disease-free survival, mastectomy-free survival,
and overall survival. RESULTS: Of 58 enrolled patients, 52 were eligible, with a
median age of 61 years; 94% had stage I cancer and 83% had estrogen receptor
positive disease. The median follow-up period was 8 years (minimum-maximum, 1.7
9.0 years). The 7-year estimate of isolated IBR (no DM) was 5.9%. The 7-year
estimates of all IBRs, INR, mastectomy rate, and DM were 7.7%, 5.8%, 7.7%, and
7.7%, respectively. All 4 IBRs were invasive, of which 3 had a component within
the planning target volume. The patterns of failure were as follows: 3 IBRs, 1
INR, 2 DM, 1 INR plus DM, and 1 IBR plus INR plus DM. The 7-year estimates of
mastectomy-free survival, disease-free survival, and overall survival were 71.2%,
71.2%, and 78.8%, respectively. Thirteen patients died: 3 of breast cancer and 10
of other causes. Grade 3 (G3) treatment-related adverse events were reported by 4
patients (7.7%). No G3 pain or pulmonary or cardiac toxicities were reported.
CONCLUSIONS: This phase 1 and 2 trial of 3D-CRT APBI continues to show durable
tumor control and minimal G3 toxicity, comparable to other APBI techniques.
Mature phase 3 results will determine the appropriateness and limitations of this
noninvasive APBI technique.
PMID- 27869083
TI - Spot-Scanning Proton Arc (SPArc) Therapy: The First Robust and Delivery-Efficient
Spot-Scanning Proton Arc Therapy.
AB - PURPOSE: To present a novel robust and delivery-efficient spot-scanning proton
arc (SPArc) therapy technique. METHODS AND MATERIALS: A SPArc optimization
algorithm was developed that integrates control point resampling, energy layer
redistribution, energy layer filtration, and energy layer resampling. The
feasibility of such a technique was evaluated using sample patients: 1 patient
with locally advanced head and neck oropharyngeal cancer with bilateral lymph
node coverage, and 1 with a nonmobile lung cancer. Plan quality, robustness, and
total estimated delivery time were compared with the robust optimized multifield
step-and-shoot arc plan without SPArc optimization (Arcmulti-field) and the
standard robust optimized intensity modulated proton therapy (IMPT) plan. Dose
volume histograms of target and organs at risk were analyzed, taking into account
the setup and range uncertainties. Total delivery time was calculated on the
basis of a 360 degrees gantry room with 1 revolutions per minute gantry rotation
speed, 2-millisecond spot switching time, 1-nA beam current, 0.01 minimum spot
monitor unit, and energy layer switching time of 0.5 to 4 seconds. RESULTS: The
SPArc plan showed potential dosimetric advantages for both clinical sample cases.
Compared with IMPT, SPArc delivered 8% and 14% less integral dose for
oropharyngeal and lung cancer cases, respectively. Furthermore, evaluating the
lung cancer plan compared with IMPT, it was evident that the maximum skin dose,
the mean lung dose, and the maximum dose to ribs were reduced by 60%, 15%, and
35%, respectively, whereas the conformity index was improved from 7.6 (IMPT) to
4.0 (SPArc). The total treatment delivery time for lung and oropharyngeal cancer
patients was reduced by 55% to 60% and 56% to 67%, respectively, when compared
with Arcmulti-field plans. CONCLUSION: The SPArc plan is the first robust and
delivery-efficient proton spot-scanning arc therapy technique, which could
potentially be implemented into routine clinical practice.
PMID- 27869082
TI - Reoptimization of Intensity Modulated Proton Therapy Plans Based on Linear Energy
Transfer.
AB - PURPOSE: We describe a treatment plan optimization method for intensity modulated
proton therapy (IMPT) that avoids high values of linear energy transfer (LET) in
critical structures located within or near the target volume while limiting
degradation of the best possible physical dose distribution. METHODS AND
MATERIALS: To allow fast optimization based on dose and LET, a GPU-based Monte
Carlo code was extended to provide dose-averaged LET in addition to dose for all
pencil beams. After optimizing an initial IMPT plan based on physical dose, a
prioritized optimization scheme is used to modify the LET distribution while
constraining the physical dose objectives to values close to the initial plan.
The LET optimization step is performed based on objective functions evaluated for
the product of LET and physical dose (LET*D). To first approximation, LET*D
represents a measure of the additional biological dose that is caused by high
LET. RESULTS: The method is effective for treatments where serial critical
structures with maximum dose constraints are located within or near the target.
We report on 5 patients with intracranial tumors (high-grade meningiomas, base-of
skull chordomas, ependymomas) in whom the target volume overlaps with the
brainstem and optic structures. In all cases, high LET*D in critical structures
could be avoided while minimally compromising physical dose planning objectives.
CONCLUSION: LET-based reoptimization of IMPT plans represents a pragmatic
approach to bridge the gap between purely physical dose-based and relative
biological effectiveness (RBE)-based planning. The method makes IMPT treatments
safer by mitigating a potentially increased risk of side effects resulting from
elevated RBE of proton beams near the end of range.
PMID- 27869085
TI - In Reply to Fodor and Di Muzio.
PMID- 27869086
TI - In Regard to Perrier et al.
PMID- 27869084
TI - Verification of Dose Distribution in Carbon Ion Radiation Therapy for Stage I
Lung Cancer.
AB - PURPOSE: To evaluate robustness of dose distribution of carbon-ion radiation
therapy (C-ion RT) in non-small cell lung cancer (NSCLC) and to identify factors
affecting the dose distribution by simulated dose distribution. METHODS AND
MATERIALS: Eighty irradiation fields for delivery of C-ion RT were analyzed in 20
patients with stage I NSCLC. Computed tomography images were obtained twice
before treatment initiation. Simulated dose distribution was reconstructed on
computed tomography for confirmation under the same settings as actual treatment
with respiratory gating and bony structure matching. Dose-volume histogram
parameters, such as %D95 (percentage of D95 relative to the prescribed dose),
were calculated. Patients with any field for which the %D95 of gross tumor volume
(GTV) was below 90% were classified as unacceptable for treatment, and the
optimal target margin for such cases was examined. RESULTS: Five patients with a
total of 8 fields (10% of total number of fields analyzed) were classified as
unacceptable according to %D95 of GTV, although most patients showed no
remarkable change in the dose-volume histogram parameters. Receiver operating
characteristic curve analysis showed that tumor displacement and change in water
equivalent pathlength were significant predictive factors of unacceptable cases
(P<.001 and P=.002, respectively). The main cause of degradation of the dose
distribution was tumor displacement in 7 of the 8 unacceptable fields. A 6-mm
planning target volume margin ensured a GTV %D95 of >90%, except in 1 extremely
unacceptable field. CONCLUSIONS: According to this simulation analysis of C-ion
RT for stage I NSCLC, a few fields were reported as unacceptable and required
resetting of body position and reconfirmation. In addition, tumor displacement
and change in water-equivalent pathlength (bone shift and/or chest wall
thickness) were identified as factors influencing the robustness of dose
distribution. Such uncertainties should be regarded in planning.
PMID- 27869087
TI - In Regard to Zietman et al.
PMID- 27869088
TI - In Reply to Samaratunga et al.
PMID- 27869089
TI - In Regard to Baumann et al.
PMID- 27869090
TI - In Reply to Leung.
PMID- 27869091
TI - In Reply to Tini et al.
PMID- 27869092
TI - Erratum to: Baumann BC, Bosch WR, Bahl A, et al. Development and validation of
consensus contouring guidelines for adjuvant radiation therapy for bladder cancer
after radical cystectomy. Int J Radiat Oncol Biol Phys 2016;96:78-86.
PMID- 27869093
TI - Early-Stage Lung Cancer, Surgery, and Stereotactic Body Radiation Therapy:
Quality of Life.
PMID- 27869094
TI - Transformative Technologies: The Crookes, Coolidge, and Cascade Tubes.
PMID- 27869095
TI - Does Heavy Ion Therapy Work Through the Immune System?
PMID- 27869096
TI - Predictive Factor Analysis of Response-Adapted Radiation Therapy for Chemotherapy
Sensitive Pediatric Hodgkin Lymphoma: Analysis of the Children's Oncology Group
AHOD 0031 Trial.
AB - PURPOSE: To evaluate whether clinical risk factors could further distinguish
children with intermediate-risk Hodgkin lymphoma (HL) with rapid early and
complete anatomic response (RER/CR) who benefit significantly from involved-field
RT (IFRT) from those who do not, and thereby aid refinement of treatment
selection. METHODS AND MATERIALS: Children with intermediate-risk HL treated on
the Children's Oncology Group AHOD 0031 trial who achieved RER/CR with 4 cycles
of chemotherapy, and who were randomized to 21-Gy IFRT or no additional therapy
(n=716) were the subject of this study. Recursive partitioning analysis was used
to identify factors associated with clinically and statistically significant
improvement in event-free survival (EFS) after randomization to IFRT. Bootstrap
sampling was used to evaluate the robustness of the findings. RESULT: Although
most RER/CR patients did not benefit significantly from IFRT, those with a
combination of anemia and bulky limited-stage disease (n=190) had significantly
better 4-year EFS with the addition of IFRT (89.3% vs 77.9% without IFRT;
P=.019); this benefit was consistently reproduced in bootstrap analyses and after
adjusting for other prognostic factors. CONCLUSION: Although most patients
achieving RER/CR had favorable outcomes with 4 cycles of chemotherapy alone,
those children with initial bulky stage I/II disease and anemia had significantly
better EFS with the addition of IFRT as part of combined-modality therapy.
Further work evaluating the interaction of clinical and biologic factors and
imaging response is needed to further optimize and refine treatment selection.
PMID- 27869097
TI - The Impact of Radiation Treatment Time on Survival in Patients With Head and Neck
Cancer.
AB - PURPOSE: To assess the impact of radiation treatment time (RTT) in head and neck
cancers on overall survival (OS) in the era of chemoradiation. METHODS AND
MATERIALS: Patients with diagnoses of tongue, hypopharynx, larynx, oropharynx, or
tonsil cancer were identified by use of the National Cancer Database. RTT was
defined as date of first radiation treatment to date of last radiation treatment.
In the definitive setting, prolonged RTT was defined as >56 days, accelerated RTT
was defined as <47 days, and standard RTT was defined as 47 to 56 days. In the
postoperative setting, prolonged RTT was defined as >49 days, accelerated RTT was
defined as <40 days, and standard RTT was defined as 40 to 49 days. We used chi2
tests to identify predictors of RTT. The Kaplan-Meier method was used to compare
OS among groups. Cox proportional hazards model was used for OS analysis in
patients with known comorbidity status. RESULTS: 19,531 patients were included;
12,987 (67%) had a standard RTT, 4,369 (34%) had an accelerated RTT, and 2,165
(11%) had a prolonged RTT. On multivariable analysis, accelerated RTT (hazard
ratio [HR] 0.84; 95% confidence interval [CI] 0.73-0.97) was associated with an
improved OS, and prolonged RTT (HR 1.25; 95% CI 1.14-1.37) was associated with a
worse OS relative to standard RTT. When the 9,200 (47%) patients receiving
definitive concurrent chemoradiation were examined, prolonged RTT (HR 1.29; 95%
CI 1.11-1.50) was associated with a worse OS relative to standard RTT, whereas
there was no significant association between accelerated RTT and OS (HR 0.76; 95%
CI 0.57-1.01). CONCLUSION: Prolonged RTT is associated with worse OS in patients
receiving radiation therapy for head and neck cancer, even in the setting of
chemoradiation. Expeditious completion of radiation should continue to be a
quality metric for the management of head and neck malignancies.
PMID- 27869099
TI - Photo-sensitive mycosis fungoides: a new variant?
PMID- 27869098
TI - Radiation Dose Escalation in Esophageal Cancer Revisited: A Contemporary Analysis
of the National Cancer Data Base, 2004 to 2012.
AB - PURPOSE: To evaluate the effect of radiation dose escalation on overall survival
(OS) for patients with nonmetastatic esophageal cancer treated with concurrent
radiation and chemotherapy. METHODS AND MATERIALS: Patients diagnosed with stage
I to III esophageal cancer treated from 2004 to 2012 were identified from the
National Cancer Data Base. Patients who received concurrent radiation and
chemotherapy with radiation doses of >=50 Gy and did not undergo surgery were
included. OS was compared using Cox proportional hazards regression and
propensity score matching. RESULTS: A total of 6854 patients were included; 3821
(55.7%) received 50 to 50.4 Gy and 3033 (44.3%) received doses >50.4 Gy.
Univariate analysis revealed no significant difference in OS between patients
receiving 50 to 50.4 Gy and those receiving >50.4 Gy (P=.53). The dose analysis,
binned as 50 to 50.4, 51 to 54, 55 to 60, and >60 Gy, revealed no appreciable
difference in OS within any group compared with 50 to 50.4 Gy. Subgroup analyses
investigating the effect of dose escalation by histologic type and in the setting
of intensity modulated radiation therapy also failed to reveal a benefit.
Propensity score matching confirmed the absence of a statistically significant
difference in OS among the dose levels. The factors associated with improved OS
on multivariable analysis included female sex, lower Charlson-Deyo comorbidity
score, private insurance, cervical/upper esophagus location, squamous cell
histologic type, lower T stage, and node-negative status (P<.01 for all
analyses). CONCLUSIONS: In this large national cohort, dose escalation >50.4 Gy
did not result in improved OS among patients with stage I to III esophageal
cancer treated with definitive concurrent radiation and chemotherapy. These data
suggest that despite advanced contemporary treatment techniques, OS for patients
with esophageal cancer remains unaltered by escalation of radiation dose >50.4
Gy, consistent with the results of the INT-0123 trial. Furthermore, these data
highlight that many radiation oncologists have not embraced the concept that dose
escalation does not improve OS. Although local control, not investigated in the
present study, might benefit from dose escalation, novel therapies are needed to
improve the OS of patients with esophageal cancer.
PMID- 27869100
TI - The effects of magnesium supplementation on subjective anxiety.
AB - : Experimental studies of anxiety in animal models, and evidence of efficacious
outcomes of magnesium (Mg) supplementation in the treatment of acute clinical
affective disorders, has increased interest in Mg as a potential novel treatment
for symptoms of mild/moderate subjective anxiety. This short review examines the
existing evidence for the effects of Mg supplementation on subjective anxiety in
humans. Additionally, evidence from three unpublished studies that examined Mg
and vitamin B6 intake on subjective anxiety is summarised to supplement the
existing literature. CONCLUSIONS: The efficacy of Mg in the treatment of anxiety
in the mildly anxious and those reporting premenstrual syndrome-related anxiety
is suggestive of a beneficial effect of Mg intake. Further randomised controlled
trials are warranted to further establish the efficacy of Mg as a novel treatment
for subjective anxiety.
PMID- 27869101
TI - A stacked contractive denoising auto-encoder for ECG signal denoising.
AB - As a primary diagnostic tool for cardiac diseases, electrocardiogram (ECG)
signals are often contaminated by various kinds of noise, such as baseline
wander, electrode contact noise and motion artifacts. In this paper, we propose a
contractive denoising technique to improve the performance of current denoising
auto-encoders (DAEs) for ECG signal denoising. Based on the Frobenius norm of the
Jacobean matrix for the learned features with respect to the input, we develop a
stacked contractive denoising auto-encoder (CDAE) to build a deep neural network
(DNN) for noise reduction, which can significantly improve the expression of ECG
signals through multi-level feature extraction. The proposed method is evaluated
on ECG signals from the bench-marker MIT-BIH Arrhythmia Database, and the noises
come from the MIT-BIH noise stress test database. The experimental results show
that the new CDAE algorithm performs better than the conventional ECG denoising
method, specifically with more than 2.40 dB improvement in the signal-to-noise
ratio (SNR) and nearly 0.075 to 0.350 improvements in the root mean square error
(RMSE).
PMID- 27869102
TI - Novel injectable, self-gelling hydrogel-microparticle composites for bone
regeneration consisting of gellan gum and calcium and magnesium carbonate
microparticles.
AB - The suitability of hydrogel biomaterials for bone regeneration can be improved by
incorporation of an inorganic phase in particle form, thus maintaining hydrogel
injectability. In this study, carbonate microparticles containing different
amounts of calcium (Ca) and magnesium (Mg) were added to solutions of the anionic
polysaccharide gellan gum (GG) to crosslink GG by release of Ca2+ and Mg2+ from
microparticles and thereby induce formation of hydrogel-microparticle composites.
It was hypothesized that increasing Mg content of microparticles would promote GG
hydrogel formation. The effect of Mg incorporation on cytocompatibility and cell
growth was also studied. Microparticles were formed by mixing Ca2+ and Mg2+ and
[Formula: see text] ions in varying concentrations. Microparticles were
characterized physiochemically and subsequently mixed with GG solution to form
hydrogel-microparticle composites. The elemental Ca:Mg ratio in the mineral
formed was similar to the Ca:Mg ratio of the ions added. In the absence of Mg,
vaterite was formed. At low Mg content, magnesian calcite was formed. Increasing
the Mg content further caused formation of amorphous mineral. Microparticles of
vaterite and magnesium calcite did not induce GG hydrogel formation, but addition
of Mg-richer amorphous microparticles induced gelation within 20 min.
Microparticles were dispersed homogeneously in hydrogels. MG-63 osteoblast-like
cells were cultured in eluate from hydrogel-microparticle composites and on the
composites themselves. All composites were cytocompatible. Cell growth was
highest on composites containing particles with an equimolar Ca:Mg ratio. In
summary, carbonate microparticles containing a sufficient amount of Mg induced GG
hydrogel formation, resulting in injectable, cytocompatible hydrogel
microparticle composites.
PMID- 27869103
TI - Th-17 cytokines and interstitial lung involvement in systemic sclerosis.
AB - The two phenotypes of both limited and diffuse systemic sclerosis (SSc) have
different forms of pulmonary involvement: pulmonary arterial hypertension
(limited phenotype) or interstitial lung disease (ILD) (diffuse phenotype). We
aimed to investigate whether Th17-related cytokines, as measured in exhaled
breath condensate (EBC) and in serum were connected to ILD in diffuse SSc
patients. We found that for both limited and diffuse SSc, the EBC levels of all
cytokines and most of the cytokine serum levels were significantly higher in
patients than in controls, while, the EBC levels of Th-17 cytokines and the serum
levels of IL-10 and TNF-alpha were significantly higher in diffuse than in
limited SSc. Moreover, the thoracic CT-scan score of ILD was significantly
associated with the EBC levels of IL-1 beta and with the serum IL-23, TNF-alpha
and IL-10 levels, whereas lung carbon monoxide diffusing capacity was negatively
related to the EBC levels of IL-1 beta, IL-17 and serum IL-10. Serum IL-23 was
also inversely correlated with vital capacity. In conclusion, in diffuse SSc
patients our results show a clear link between Th-17 cytokines measured both in
EBC and in serum with interstitial lung involvement. This highlights how
important it is to target Th-17 cytokines when developing new treatments for lung
fibrosis.
PMID- 27869104
TI - Comparative analysis of the volatile metabolomes of Pseudomonas aeruginosa
clinical isolates.
AB - Pseudomonas aeruginosa is a nearly ubiquitous Gram-negative organism, well known
to occupy a multitude of environmental niches and cause human infections at a
variety of bodily sites, due to its metabolic flexibility, secondary to extensive
genetic heterogeneity at the species level. Because of its dynamic metabolism and
clinical importance, we sought to perform a comparative analysis on the volatile
metabolome (the 'volatilome') produced by P. aeruginosa clinical isolates. In
this study, we analyzed the headspace volatile molecules of 24 P. aeruginosa
clinical isolates grown in vitro, using 2D gas chromatography time-of-flight mass
spectrometry (GC * GC-TOFMS). We identified 391 non-redundant compounds that we
associate with the growth and metabolism of P. aeruginosa (the 'pan-volatilome').
Of these, 70 were produced by all 24 isolates (the 'core volatilome'), 52 by only
a single isolate, and the remaining 269 volatile molecules by a subset. Sixty
five of the detected compounds could be assigned putative compound
identifications, of which 43 had not previously been associated with P.
aeruginosa. Using the accessory volatile molecules, we determined the inter
strain variation in the metabolomes of these isolates, clustering strains by
their metabotypes. Assessing the extent of metabolomic diversity in P. aeruginosa
through an analysis of the volatile molecules that it produces is a critical next
step in the identification of novel diagnostic or prognostic biomarkers.
PMID- 27869105
TI - An open access database for the evaluation of heart sound algorithms.
AB - In the past few decades, analysis of heart sound signals (i.e. the
phonocardiogram or PCG), especially for automated heart sound segmentation and
classification, has been widely studied and has been reported to have the
potential value to detect pathology accurately in clinical applications. However,
comparative analyses of algorithms in the literature have been hindered by the
lack of high-quality, rigorously validated, and standardized open databases of
heart sound recordings. This paper describes a public heart sound database,
assembled for an international competition, the PhysioNet/Computing in Cardiology
(CinC) Challenge 2016. The archive comprises nine different heart sound databases
sourced from multiple research groups around the world. It includes 2435 heart
sound recordings in total collected from 1297 healthy subjects and patients with
a variety of conditions, including heart valve disease and coronary artery
disease. The recordings were collected from a variety of clinical or nonclinical
(such as in-home visits) environments and equipment. The length of recording
varied from several seconds to several minutes. This article reports detailed
information about the subjects/patients including demographics (number, age,
gender), recordings (number, location, state and time length), associated
synchronously recorded signals, sampling frequency and sensor type used. We also
provide a brief summary of the commonly used heart sound segmentation and
classification methods, including open source code provided concurrently for the
Challenge. A description of the PhysioNet/CinC Challenge 2016, including the main
aims, the training and test sets, the hand corrected annotations for different
heart sound states, the scoring mechanism, and associated open source code are
provided. In addition, several potential benefits from the public heart sound
database are discussed.
PMID- 27869106
TI - Computerized wheeze detection in young infants: comparison of signals from
tracheal and chest wall sensors.
AB - Computerized wheeze detection is an established method for objective assessment
of respiratory sounds. In infants, this method has been used to detect
subclinical airway obstruction and to monitor treatment effects. The optimal
location for the acoustic sensors, however, is unknown. The aim of this study was
to evaluate the quality of respiratory sound recordings in young infants, and to
determine whether the position of the sensor affected computerized wheeze
detection. Respiratory sounds were recorded over the left lateral chest wall and
the trachea in 112 sleeping infants (median postmenstrual age: 49 weeks) on 129
test occasions using an automatic wheeze detection device (PulmoTrack(r)). Each
recording lasted 10 min and the recordings were stored. A trained clinician
retrospectively evaluated the recordings to determine sound quality and
disturbances. The wheeze rates of all undisturbed tracheal and chest wall signals
were compared using Bland-Altman plots. Comparison of wheeze rates measured over
the trachea and the chest wall indicated strong correlation (r ? 0.93, p <
0.001), with a bias of 1% or less and limits of agreement of within 3% for the
inspiratory wheeze rate and within 6% for the expiratory wheeze rate. However,
sounds from the chest wall were more often affected by disturbances than sounds
from the trachea (23% versus 6%, p < 0.001). The study suggests that in young
infants, a better quality of lung sound recordings can be obtained with the
tracheal sensor.
PMID- 27869107
TI - Studies on the potential risk of amyloidosis from exposure to silk fibroin.
AB - Amyloid A (AA) amyloidosis can be induced by the administration of amyloid
fibrils to animals under inflammatory conditions. Silk fibroin (SF) is a main
component protein of bombic silk and has amyloid-like features. The
amyloidogenesis of SF solution in mice has been previously reported. Recently,
the biochemical properties of silk have attracted increasing attention, and
research and development have been undertaken regarding applications other than
as a clothing material. However, the risk of AA amyloidosis from exposure to SF
related products is unknown. In this study, we examined the amyloidogenesis of
several SF-related products that vary in preparation method or route of injection
in a mouse model of amyloidosis. The results revealed that amyloid deposits were
rarely observed in mice exposed to SF solution or feed supplemented with SF
powder. On the other hand, heavy amyloid deposits were observed in some mice
implanted with SF non-woven fabric by abdominal operation. Congo red staining of
SF solutions under polarized light and electron microscopy indicated that SF
solution in this study had no amyloid-like structures. We found that SF-related
products occasionally promote amyloidogenesis, but have a low potential for
amyloidosis.
PMID- 27869109
TI - Effect of red dyes on blue light phototoxicity against VSC producing bacteria in
an experimental oral biofilm.
AB - Oral malodour is considered to be caused mainly by the production of volatile
sulfide compounds (VSC) by anaerobic Gram-negative oral bacteria. Previous study
showed that these bacteria were susceptible to blue light (wavelengths of 400-500
nm). In the present study, we tested the effect of blue light in the presence of
red dyes on malodour production in an experimental oral biofilm. Biofilms were
exposed to a plasma-arc light source for 30, 60, and 120 s (i.e. fluences of 41,
82, and 164 J cm-2, respectively) with the addition of erythrosine, natural red
and rose bengal (0.01, 0.1 and 1% w/v). Following light exposure biofilm samples
were examined for malodour production (Odour judge), VSC production
(HalimeterTM), VSC producing bacteria quantification using microscopy sulfide
assay (MSA) and reactive oxygen species (ROS) production. Results showed that the
exposure of experimental oral biofilm to blue light in the presence of rose
bengal caused an increased reduction in VSC and malodour production concomitant
with an increase in ROS production. These results suggest that rose bengal might
be effective as a blue light photosensitizer against VSC producing bacteria.
PMID- 27869110
TI - Volatile organic compound markers of psychological stress in skin: a pilot study.
AB - The forehead was studied as a possible sampling site for capturing changes in
volatile organic compound (VOC) profiles associated with psychological-stress.
Skin-VOCs were sampled with a polydimethylsilicone (PDMS)-coupon and the
resulting VOCs were recovered and analysed with two-stage thermal desorption gas
chromatography-mass spectrometry. Fifteen young adult volunteers (19 years-26
years) participated in two interventions run in a randomised crossover design.
One intervention, termed 'Neutral', required the participants to listen to
peaceful music, the other, termed a 'paced audio serial addition task', required
the participants to undertake a series of rapid mental arithmetic calculations in
a challenging environment that induced a stress response. Skin-VOC samples were
taken during each intervention. The resultant data were processed with dynamic
background compensation, deconvolved, and registered to a common retention index
scale. The importance of freezing skin patch samplers to -80 degrees C was
determined during the method development phase of this study. The cumulative
distribution function of the GC-MS data indicates the possibility that PDMS
coupons are selective towards the lower volatility VOC components in skin. The
frequency distribution of the GC-MS data was observed to be approximately log
normal, and on the basis of this study, a further two-orders of magnitude
reduction in sensitivity may be required before the complete skin-VOC profile may
be characterised. Multi-variate analysis involving Pareto-scaling prior to
partial least squares discriminant analysis identified four VOCs with the highest
probability of contributing to the variance between the two states, and the
responses to these VOCs were modelled with principle components analysis (PCA).
Two VOCs, benzoic acid and n-decanoic acid were upregulated (14 and 8 fold
respectively) and appear to be PASAT sensitive, with areas under (AUC) their
receiver operator characteristic (ROC) curves of 0.813 and 0.852 respectively. A
xylene isomer and 3-carene were down regulated 75% and 97% respectively, and
found to be predictive of the neutral intervention (ROC AUC values of 0.898 and
0.929 respectively). VOC profiles in skin appear to change with stress either due
to increased elimination, elevated bacterial activity, or perhaps increased
oxidative pathways.
PMID- 27869108
TI - Limonene in exhaled breath is elevated in hepatic encephalopathy.
AB - Breath samples were taken from 31 patients with liver disease and 30 controls in
a clinical setting and proton transfer reaction quadrupole mass spectrometry (PTR
Quad-MS) used to measure the concentration of volatile organic compounds (VOCs).
All patients had cirrhosis of various etiologies, with some also suffering from
hepatocellular cancer (HCC) and/or hepatic encephalopathy (HE). Breath limonene
was higher in patients with No-HCC than with HCC, median (lower/upper quartile)
14.2 (7.2/60.1) versus 3.6 (2.0/13.7) and 1.5 (1.1/2.3) nmol mol-1 in controls.
This may reflect disease severity, as those with No-HCC had significantly higher
UKELD (United Kingdom model for End stage Liver Disease) scores. Patients with HE
were categorized as having HE symptoms presently, having a history but no current
symptoms and having neither history nor current symptoms. Breath limonene in
these groups was median (lower/upper quartile) 46.0 (14.0/103), 4.2 (2.6/6.4) and
7.2 (2.0/19.1) nmol mol-1, respectively. The higher concentration of limonene in
those with current symptoms of HE than with a history but no current symptoms
cannot be explained by disease severity as their UKELD scores were not
significantly different. Longitudinal data from two patients admitted to hospital
with HE show a large intra-subject variation in breath limonene, median (range)
18 (10-44) and 42 (32-58) nmol mol-1.
PMID- 27869111
TI - Determination of Genotoxic Effects of Hookah Smoking by Micronucleus and
Chromosome Aberration Methods.
AB - BACKGROUND Use of a hookah (a type of water pipe) is a traditional way of smoking
tobacco, particularly in the Middle East. In Turkey, its popularity has been
growing in recent years, especially among young people. It is known that
cigarette smoking has genotoxic effects and causes mutations, but no
comprehensive study has been done on the genotoxic effects of hookah usage,
particularly in Turkey. MATERIAL AND METHODS We collected peripheral blood/buccal
smear samples from 30 subjects who did not smoke cigarettes but who regularly
smoke a hookah an average of 2 times per week, and from 30 control subjects who
had never smoked cigarettes or a hookah. Chromosome analyses were performed on
the samples obtained from peripheral blood of each individual, 25 metaphase
plaques were counted for each, and chromosome/chromatid breakage/gap parameters
were evaluated. Micronucleus analysis was done on buccal smear samples and
micronucleus/binucleus parameters were investigated by counting 2000 cells of
each individual. RESULTS Chromosome breakage ratios were found to be 0.64+/-0.86
and 0.46+/-0.71 in the study and control groups, respectively, while chromatid
breakage ratios were 0.53+/-0.83 and 0.53+/-0.71; fragment ratios were 0.82+/
1.24 and 0.21+/-0.49 (p<0.05); and gap ratios were 0.57+/-0.83 and 0.18+/-0.53
(p<0.05), respectively. Micronucleus ratio was 6.03+/-2.06 and 4.43+/-2.27
(p<0.05) in the study and control groups, respectively, and binucleus ratios were
8.53+/-3.23 and 12.15+/-5.18, respectively (p<0.05). CONCLUSIONS Results of our
study reveal significant statistical differences between the individuals who
smoked hookah and those who did not in terms of fragment, gap, micronucleus, and
binucleus parameters, suggesting that smoking a hookah may cause genotoxic
effects.
PMID- 27869112
TI - A Brief Overview of English Primary Care in the Last Half Century.
AB - Key hefts, the hard core of clinical profile, scientific nursing and nowadays of
the English School of Primary Care in the last sixty years are revisited. We
understand that the most profound intellectual influence has been to Archie
Cochrane, among family physicians hard core components we include John Fry (1922
1994), David Metcalfe and Julian Tudor Hart. On the other hand, Lisbeth Hockey
(1918-2004), PhD in Nursing, was responsible for several years of Nursing
Research Unit at the University of Edinburgh. The internet sources of English
nursing historical research are very noticeable. Finally, Trisha Greenhalgh,
family physician, researcher and Professor at the University of Oxford, is
launching the old English School of Primary Care towards the middle of the XXI
century.
PMID- 27869113
TI - Effects of High Intensity Interval Training on Fat Mass Parameters in
Adolescents.
AB - OBJECTIVE: Childhood and adolescence are key to the development of chronic
disease stages, the distribution of fat an important factor in this regard. The
aim of the study was to evaluate the effects on fat parameters of a high
intensity program developed in adolescents during physical education classes.
METHODS: In 2013, 35 school-age children of Caceres taken part in this study
divided into two groups. The high-intensity group performed for 8 weeks, 4-6 sets
of 20 seconds at maximal intensity with a ratio effort / recovery of 1: 3 to 1:
1. The other group, developed continuous aerobic exercise during the same time.
It conducted an assessment of fat mass before and after the training program.
Repeated measures ANOVA test was used to observe that there were no statistically
significant differences. RESULTS: Continuous aerobic training group showed
statistically significant differences in intra-group analysis in the percentage
of fat mass trunk (Pre: 15.66 +/- 4.16 vs Post: 16.95 +/- 4.03; +1, 29%; p =
0.04) and the percentage of total fat (Pre: 21.58 +/- 3.93 / Post: 22.34 +/-
3.70; p = 0.05). Statistically significant differences were not found in the
analysis between-groups in any of the studied variables. CONCLUSIONS: The
training program high intensity physical activity at school carried out during
physical education classes did not improve fat mass parameters evaluated.
However, maintaining these parameters could be a good result during the
development of this stage, where an increase of these occurs.
PMID- 27869114
TI - A radial map of multi-whisker correlation selectivity in the rat barrel cortex.
AB - In the barrel cortex, several features of single-whisker stimuli are organized in
functional maps. The barrel cortex also encodes spatio-temporal correlation
patterns of multi-whisker inputs, but so far the cortical mapping of neurons
tuned to such input statistics is unknown. Here we report that layer 2/3 of the
rat barrel cortex contains an additional functional map based on neuronal tuning
to correlated versus uncorrelated multi-whisker stimuli: neuron responses to
uncorrelated multi-whisker stimulation are strongest above barrel centres,
whereas neuron responses to correlated and anti-correlated multi-whisker
stimulation peak above the barrel-septal borders, forming rings of multi-whisker
synchrony-preferring cells.
PMID- 27869115
TI - Cerebral vascular amyloid seeds drive amyloid beta-protein fibril assembly with a
distinct anti-parallel structure.
AB - Cerebrovascular accumulation of amyloid beta-protein (Abeta), a condition known
as cerebral amyloid angiopathy (CAA), is a common pathological feature of
patients with Alzheimer's disease. Familial Abeta mutations, such as Dutch-E22Q
and Iowa-D23N, can cause severe cerebrovascular accumulation of amyloid that
serves as a potent driver of vascular cognitive impairment and dementia. The
distinctive features of vascular amyloid that underlie its unique pathological
properties remain unknown. Here, we use transgenic mouse models producing CAA
mutants (Tg-SwDI) or overproducing human wild-type Abeta (Tg2576) to demonstrate
that CAA-mutant vascular amyloid influences wild-type Abeta deposition in brain.
We also show isolated microvascular amyloid seeds from Tg-SwDI mice drive
assembly of human wild-type Abeta into distinct anti-parallel beta-sheet fibrils.
These findings indicate that cerebrovascular amyloid can serve as an effective
scaffold to promote rapid assembly and strong deposition of Abeta into a unique
structure that likely contributes to its distinctive pathology.
PMID- 27869116
TI - Autophagy controls centrosome number by degrading Cep63.
AB - Centrosome number is associated with the chromosome segregation and genomic
stability. The ubiquitin-proteasome system is considered to be the main regulator
of centrosome number. However, here we show that autophagy also regulates the
number of centrosomes. Autophagy-deficient cells carry extra centrosomes. The
autophagic regulation of centrosome number is dependent on a centrosomal protein
of 63 (Cep63) given that cells lacking autophagy contain multiple Cep63 dots that
are engulfed and digested by autophagy in wild-type cells, and that the
upregulation of Cep63 increases centrosome number. Cep63 is recruited to
autophagosomes via interaction with p62, a molecule crucial for selective
autophagy. In vivo, hematopoietic cells from autophagy-deficient and p62-/- mice
also contained multiple centrosomes. These results indicate that autophagy
controls centrosome number by degrading Cep63.
PMID- 27869119
TI - Mid-infrared ultra-high-Q resonators based on fluoride crystalline materials.
AB - The unavailability of highly transparent materials in the mid-infrared has been
the main limitation in the development of ultra-sensitive molecular sensors or
cavity-based spectroscopy applications. Whispering gallery mode microresonators
have attained ultra-high-quality (Q) factor resonances in the near-infrared and
visible. Here we report ultra-high Q factors in the mid-infrared using polished
alkaline earth metal fluoride crystals. Using an uncoated chalcogenide tapered
fibre as a high-ideality coupler in the mid-infrared, we study via cavity
ringdown technique the losses of BaF2, CaF2, MgF2 and SrF2 microresonators. We
show that MgF2 is limited by multiphonon absorption by studying the temperature
dependence of the Q factor. In contrast, in SrF2 and BaF2 the lower multiphonon
absorption leads to ultra-high Q factors at 4.5 MUm. These values correspond to
an optical finesse of , the highest value achieved for any type of mid-infrared
resonator to date.
PMID- 27869118
TI - De-novo protein function prediction using DNA binding and RNA binding proteins as
a test case.
AB - Of the currently identified protein sequences, 99.6% have never been observed in
the laboratory as proteins and their molecular function has not been established
experimentally. Predicting the function of such proteins relies mostly on
annotated homologs. However, this has resulted in some erroneous annotations, and
many proteins have no annotated homologs. Here we propose a de-novo function
prediction approach based on identifying biophysical features that underlie
function. Using our approach, we discover DNA and RNA binding proteins that
cannot be identified based on homology and validate these predictions
experimentally. For example, FGF14, which belongs to a family of secreted growth
factors was predicted to bind DNA. We verify this experimentally and also show
that FGF14 is localized to the nucleus. Mutating the predicted binding site on
FGF14 abrogated DNA binding. These results demonstrate the feasibility of
automated de-novo function prediction based on identifying function-related
biophysical features.
PMID- 27869117
TI - Genome-wide RNAi screen reveals ALK1 mediates LDL uptake and transcytosis in
endothelial cells.
AB - In humans and animals lacking functional LDL receptor (LDLR), LDL from plasma
still readily traverses the endothelium. To identify the pathways of LDL uptake,
a genome-wide RNAi screen was performed in endothelial cells and cross-referenced
with GWAS-data sets. Here we show that the activin-like kinase 1 (ALK1) mediates
LDL uptake into endothelial cells. ALK1 binds LDL with lower affinity than LDLR
and saturates only at hypercholesterolemic concentrations. ALK1 mediates uptake
of LDL into endothelial cells via an unusual endocytic pathway that diverts the
ligand from lysosomal degradation and promotes LDL transcytosis. The endothelium
specific genetic ablation of Alk1 in Ldlr-KO animals leads to less LDL uptake
into the aortic endothelium, showing its physiological role in endothelial
lipoprotein metabolism. In summary, identification of pathways mediating LDLR
independent uptake of LDL may provide unique opportunities to block the
initiation of LDL accumulation in the vessel wall or augment hepatic LDLR
dependent clearance of LDL.
PMID- 27869120
TI - The influence of large cations on the electrochemical properties of tunnel
structured metal oxides.
AB - Metal oxides with a tunnelled structure are attractive as charge storage
materials for rechargeable batteries and supercapacitors, since the tunnels
enable fast reversible insertion/extraction of charge carriers (for example,
lithium ions). Common synthesis methods can introduce large cations such as
potassium, barium and ammonium ions into the tunnels, but how these cations
affect charge storage performance is not fully understood. Here, we report the
role of tunnel cations in governing the electrochemical properties of electrode
materials by focusing on potassium ions in alpha-MnO2. We show that the presence
of cations inside 2 * 2 tunnels of manganese dioxide increases the electronic
conductivity, and improves lithium ion diffusivity. In addition, transmission
electron microscopy analysis indicates that the tunnels remain intact whether
cations are present in the tunnels or not. Our systematic study shows that cation
addition to alpha-MnO2 has a strong beneficial effect on the electrochemical
performance of this material.
PMID- 27869121
TI - Direct identification of clinically relevant neoepitopes presented on native
human melanoma tissue by mass spectrometry.
AB - Although mutations may represent attractive targets for immunotherapy, direct
identification of mutated peptide ligands isolated from human leucocyte antigens
(HLA) on the surface of native tumour tissue has so far not been successful.
Using advanced mass spectrometry (MS) analysis, we survey the melanoma-associated
immunopeptidome to a depth of 95,500 patient-presented peptides. We thereby
discover a large spectrum of attractive target antigen candidates including
cancer testis antigens and phosphopeptides. Most importantly, we identify peptide
ligands presented on native tumour tissue samples harbouring somatic mutations.
Four of eleven mutated ligands prove to be immunogenic by neoantigen-specific T
cell responses. Moreover, tumour-reactive T cells with specificity for selected
neoantigens identified by MS are detected in the patient's tumour and peripheral
blood. We conclude that direct identification of mutated peptide ligands from
primary tumour material by MS is possible and yields true neoepitopes with high
relevance for immunotherapeutic strategies in cancer.
PMID- 27869122
TI - CELF1 is a central node in post-transcriptional regulatory programmes underlying
EMT.
AB - The importance of translational regulation in tumour biology is increasingly
appreciated. Here, we leverage polyribosomal profiling to prospectively define
translational regulatory programs underlying epithelial-to-mesenchymal transition
(EMT) in breast epithelial cells. We identify a group of ten translationally
regulated drivers of EMT sharing a common GU-rich cis-element within the 3'
untranslated region (3'-UTR) of their mRNA. These cis-elements, necessary for the
regulatory activity imparted by these 3'-UTRs, are directly bound by the CELF1
protein, which itself is regulated post-translationally during the EMT program.
CELF1 is necessary and sufficient for both mesenchymal transition and metastatic
colonization, and CELF1 protein, but not mRNA, is significantly overexpressed in
human breast cancer tissues. Our data present an 11-component genetic pathway,
invisible to transcriptional profiling approaches, in which the CELF1 protein
functions as a central node controlling translational activation of genes driving
EMT and ultimately tumour progression.
PMID- 27869124
TI - Bisguanidinium dinuclear oxodiperoxomolybdosulfate ion pair-catalyzed
enantioselective sulfoxidation.
AB - Catalytic use of peroxomolybdate for asymmetric transformations has attracted
increasing attention due to its catalytic properties and application in
catalysis. Herein, we report chiral bisguanidinium dinuclear
oxodiperoxomolybdosulfate [BG]2+[(MU-SO4)Mo2O2(MU-O2)2(O2)2]2- ion pair, as a
catalyst for enantioselective sulfoxidation using aqueous H2O2 as the terminal
oxidant. The ion pair catalyst is isolatable, stable and useful for the oxidation
of a range of dialkyl sulfides. The practical utility was illustrated using a
gram-scale synthesis of armodafinil, a commercial drug, with the catalyst
generated in situ from 0.25 mol% of bisguanidinium and 2.5 mol% of Na2MoO4.2H2O.
Structural characterization of this ion pair catalyst has been successfully
achieved using single-crystal X-ray crystallography.
PMID- 27869125
TI - Direct comparison of gluco-oligosaccharide oxidase variants and glucose oxidase:
substrate range and H2O2 stability.
AB - Glucose oxidase (GO) activity is generally restricted to glucose and is
susceptible to inactivation by H2O2. By comparison, the Y300A variant of gluco
oligosaccharide oxidase (GOOX) from Sarocladium strictum showed broader substrate
range and higher H2O2 stability. Specifically, Y300A exhibited up to 40 times
higher activity on all tested sugars except glucose, compared to GO. Moreover,
fusion of the Y300A variant to a family 22 carbohydrate binding module from
Clostridium thermocellum (CtCBM22A) nearly doubled its catalytic efficiency on
glucose, while retaining significant activity on oligosaccharides. In the
presence of 200 mM of H2O2, the recombinant CtCBM22A_Y300A retained 80% of
activity on glucose and 100% of activity on cellobiose, the preferred substrate
for this enzyme. By contrast, a commercial glucose oxidase reported to contain
<=0.1 units catalase/ mg protein, retained 60% activity on glucose under the same
conditions. GOOX variants appear to undergo a different mechanism of
inactivation, as a loss of histidine instead of methionine was observed after
H2O2 incubation. The addition of CtCBM22A also promoted functional binding of the
fusion enzyme to xylan, facilitating its simultaneous purification and
immobilization using edible oat spelt xylan, which might benefit the usage of
this enzyme preparation in food and baking applications.
PMID- 27869123
TI - A systems study reveals concurrent activation of AMPK and mTOR by amino acids.
AB - Amino acids (aa) are not only building blocks for proteins, but also signalling
molecules, with the mammalian target of rapamycin complex 1 (mTORC1) acting as a
key mediator. However, little is known about whether aa, independently of mTORC1,
activate other kinases of the mTOR signalling network. To delineate aa-stimulated
mTOR network dynamics, we here combine a computational-experimental approach with
text mining-enhanced quantitative proteomics. We report that AMP-activated
protein kinase (AMPK), phosphatidylinositide 3-kinase (PI3K) and mTOR complex 2
(mTORC2) are acutely activated by aa-readdition in an mTORC1-independent manner.
AMPK activation by aa is mediated by Ca2+/calmodulin-dependent protein kinase
kinase beta (CaMKKbeta). In response, AMPK impinges on the autophagy regulators
Unc-51-like kinase-1 (ULK1) and c-Jun. AMPK is widely recognized as an mTORC1
antagonist that is activated by starvation. We find that aa acutely activate AMPK
concurrently with mTOR. We show that AMPK under aa sufficiency acts to sustain
autophagy. This may be required to maintain protein homoeostasis and deliver
metabolite intermediates for biosynthetic processes.
PMID- 27869126
TI - Caenorhabditis elegans as a model system to study post-translational
modifications of human transthyretin.
AB - The visceral protein transthyretin (TTR) is frequently affected by oxidative post
translational protein modifications (PTPMs) in various diseases. Thus, better
insight into structure-function relationships due to oxidative PTPMs of TTR
should contribute to the understanding of pathophysiologic mechanisms. While the
in vivo analysis of TTR in mammalian models is complex, time- and resource
consuming, transgenic Caenorhabditis elegans expressing hTTR provide an optimal
model for the in vivo identification and characterization of drug-mediated
oxidative PTPMs of hTTR by means of matrix assisted laser desorption/ionization -
time of flight - mass spectrometry (MALDI-TOF-MS). Herein, we demonstrated that
hTTR is expressed in all developmental stages of Caenorhabditis elegans, enabling
the analysis of hTTR metabolism during the whole life-cycle. The suitability of
the applied model was verified by exposing worms to D-penicillamine and
menadione. Both drugs induced substantial changes in the oxidative PTPM pattern
of hTTR. Additionally, for the first time a covalent binding of both drugs with
hTTR was identified and verified by molecular modelling.
PMID- 27869128
TI - Tuning the electrical conductance of metalloporphyrin supramolecular wires.
AB - In contrast with conventional single-molecule junctions, in which the current
flows parallel to the long axis or plane of a molecule, we investigate the
transport properties of M(II)-5,15-diphenylporphyrin (M-DPP) single-molecule
junctions (M=Co, Ni, Cu, or Zn divalent metal ions), in which the current flows
perpendicular to the plane of the porphyrin. Novel STM-based conductance
measurements combined with quantum transport calculations demonstrate that
current-perpendicular-to-the-plane (CPP) junctions have three-orders-of-magnitude
higher electrical conductances than their current-in-plane (CIP) counterparts,
ranging from 2.10-2 G0 for Ni-DPP up to 8.10-2 G0 for Zn-DPP. The metal ion in
the center of the DPP skeletons is strongly coordinated with the nitrogens of the
pyridyl coated electrodes, with a binding energy that is sensitive to the choice
of metal ion. We find that the binding energies of Zn-DPP and Co-DPP are
significantly higher than those of Ni-DPP and Cu-DPP. Therefore when combined
with its higher conductance, we identify Zn-DPP as the favoured candidate for
high-conductance CPP single-molecule devices.
PMID- 27869127
TI - Main Effects of Diagnoses, Brain Regions, and their Interaction Effects for
Cerebral Metabolites in Bipolar and Unipolar Depressive Disorders.
AB - Previous studies suggested patients with bipolar depressive disorder (BDd) or
unipolar depressive disorder (UDd) have cerebral metabolites abnormalities. These
abnormalities may stem from multiple sub-regions of gray matter in brain regions.
Thirteen BDd patients, 20 UDd patients and 20 healthy controls (HC) were enrolled
to investigate these abnormalities. Absolute concentrations of 5 cerebral
metabolites (glutamate-glutamine (Glx), N-acetylaspartate (NAA), choline (Cho),
myo-inositol (mI), creatine (Cr), parietal cortex (PC)) were measured from 4
subregions (the medial frontal cortex (mPFC), anterior cingulate cortex (ACC),
posterior cingulate cortex (PCC), and parietal cortex (PC)) of gray matter. Main
and interaction effects of cerebral metabolites across subregions of gray matter
were evaluated. For example, the Glx was significantly higher in BDd compared
with UDd, and so on. As the interaction analyses showed, some interaction effects
existed. The concentrations of BDds' Glx, Cho, Cr in the ACC and HCs' mI and Cr
in the PC were higher than that of other interaction effects. In addition, the
concentrations of BDds' Glx and Cr in the PC and HCs' mI in the ACC were
statistically significant lower than that of other interaction effects. These
findings point to region-related abnormalities of cerebral metabolites across
subjects with BDd and UDd.
PMID- 27869130
TI - Dynamic structure of active nematic shells.
AB - When a thin film of active, nematic microtubules and kinesin motor clusters is
confined on the surface of a vesicle, four +1/2 topological defects oscillate in
a periodic manner between tetrahedral and planar arrangements. Here a theoretical
description of nematics, coupled to the relevant hydrodynamic equations, is
presented here to explain the dynamics of active nematic shells. In extensile
microtubule systems, the defects repel each other due to elasticity, and their
collective motion leads to closed trajectories along the edges of a cube. That
motion is accompanied by oscillations of their velocities, and the emergence and
annihilation of vortices. When the activity increases, the system enters a
chaotic regime. In contrast, for contractile systems, which are representative of
some bacterial suspensions, a hitherto unknown static structure is predicted,
where pairs of defects attract each other and flows arise spontaneously.
PMID- 27869131
TI - Optical computing for optical coherence tomography.
AB - We propose an all-optical Fourier transformation system for real-time massive
data processing in high speed optical coherence tomography (OCT). In the so
called optical computing OCT, fast Fourier transformation (FFT) of A-scan signal
is optically processed in real time before being detected by photoelectric
detector. Therefore, the processing time for interpolation and FFT in traditional
Fourier domain OCT can be dramatically eliminated. A processing rate of 10 mega-A
scans/second was experimentally achieved, which is, to our knowledge, the highest
speed for OCT imaging. Due to its fiber based all-optical configuration, this
optical computing OCT system is ideal for ultrahigh speed volumetric OCT imaging
in clinical application.
PMID- 27869129
TI - Reprogramming mouse fibroblasts into engraftable myeloerythroid and lymphoid
progenitors.
AB - Recent efforts have attempted to convert non-blood cells into hematopoietic stem
cells (HSCs) with the goal of generating blood lineages de novo. Here we show
that hematopoietic transcription factors Scl, Lmo2, Runx1 and Bmi1 can convert a
developmentally distant lineage (fibroblasts) into 'induced hematopoietic
progenitors' (iHPs). Functionally, iHPs generate acetylcholinesterase+
megakaryocytes and phagocytic myeloid cells in vitro and can also engraft
immunodeficient mice, generating myeloerythoid and B-lymphoid cells for up to 4
months in vivo. Molecularly, iHPs transcriptionally resemble native Kit+
hematopoietic progenitors. Mechanistically, reprogramming factor Lmo2 implements
a hematopoietic programme in fibroblasts by rapidly binding to and upregulating
the Hhex and Gfi1 genes within days. Moreover the reprogramming transcription
factors also require extracellular BMP and MEK signalling to cooperatively
effectuate reprogramming. Thus, the transcription factors that orchestrate
embryonic hematopoiesis can artificially reconstitute this programme in
developmentally distant fibroblasts, converting them into engraftable blood
progenitors.
PMID- 27869132
TI - Realisation of magnetically and atomically abrupt half-metal/semiconductor
interface: Co2FeSi0.5Al0.5/Ge(111).
AB - Halfmetal-semiconductor interfaces are crucial for hybrid spintronic devices.
Atomically sharp interfaces with high spin polarisation are required for
efficient spin injection. In this work we show that thin film of half-metallic
full Heusler alloy Co2FeSi0.5Al0.5 with uniform thickness and B2 ordering can
form structurally abrupt interface with Ge(111). Atomic resolution energy
dispersive X-ray spectroscopy reveals that there is a small outdiffusion of Ge
into specific atomic planes of the Co2FeSi0.5Al0.5 film, limited to a very narrow
1 nm interface region. First-principles calculations show that this selective
outdiffusion along the Fe-Si/Al atomic planes does not change the magnetic moment
of the film up to the very interface. Polarized neutron reflectivity, x-ray
reflectivity and aberration-corrected electron microscopy confirm that this
interface is both magnetically and structurally abrupt. Finally, using first
principles calculations we show that this experimentally realised interface
structure, terminated by Co-Ge bonds, preserves the high spin polarization at the
Co2FeSi0.5Al0.5/Ge interface, hence can be used as a model to study spin
injection from half-metals into semiconductors.
PMID- 27869133
TI - Demonstration of reconfigurable joint orbital angular momentum mode and space
switching.
AB - We propose and demonstrate space-selective switch functions employing orbital
angular momentum (OAM) modes in the space domain for switching network. One is
the switching among different OAM modes having different spatial phase
structures, called OAM mode switching. The other is the switching among different
space locations, called space switching. The switching operation mechanism relies
on linear optics. Reconfigurable 4 * 4 OAM mode switching, space switching, and
joint OAM mode and space switching fabric using a single spatial light modulator
(SLM) are all demonstrated in the experiment. In addition, the presented OAM
incorporated space-selective switch might be further extended to N * N joint OAM
mode and space switching with fast response, scalability, cascading ability and
compability to facilitate robust switching applications.
PMID- 27869134
TI - Assessing SABU (Serum Anti Bisa Ular), the sole Indonesian antivenom: A proteomic
analysis and neutralization efficacy study.
AB - Serum Anti Ular Bisa (SABU) is the only snake antivenom produced locally in
Indonesia; however, its effectiveness has not been rigorously evaluated. This
study aimed to assess the protein composition and neutralization efficacy of
SABU. SDS polyacrylamide gel electrophoresis, size-exclusion liquid
chromatography and shotgun proteomics revealed that SABU consists of F(ab')2 but
a significant amount of dimers, protein aggregates and contaminant albumins. SABU
moderately neutralized Calloselasma rhodostoma venom (potency of 12.7 mg venom
neutralized per ml antivenom, or 121.8 mg venom per g antivenom protein) and
Bungarus fasciatus venom (0.9 mg/ml; 8.5 mg/g) but it was weak against the venoms
of Naja sputatrix (0.3 mg/ml; 2.9 mg/g), Naja sumatrana (0.2 mg/ml; 1.8 mg/g) and
Bungarus candidus (0.1 mg/ml; 1.0 mg/g). In comparison, NPAV, the Thai Neuro
Polyvalent Antivenom, outperformed SABU with greater potencies against the venoms
of N. sputatrix (0.6 mg/ml; 8.3 mg/g), N. sumatrana (0.5 mg/ml; 7.1 mg/g) and B.
candidus (1.7 mg/ml; 23.2 mg/g). The inferior efficacy of SABU implies that a
large antivenom dose is required clinically for effective treatment. Besides, the
antivenom contains numerous impurities e.g., albumins that greatly increase the
risk of hypersensitivity. Together, the findings indicate that the production of
SABU warrants further improvement.
PMID- 27869135
TI - Heat stroke internet searches can be a new heatwave health warning surveillance
indicator.
AB - The impact of major heatwave shocks on population morbidity and mortality has
become an urgent public health concern. However, Current heatwave warning systems
suffer from a lack of validation and an inability to provide accurate health risk
warnings in a timely way. Here we conducted a correlation and linear regression
analysis to test the relationship between heat stroke internet searches and heat
stroke health outcomes in Shanghai, China, during the summer of 2013. We show
that the resulting heatstroke index captures much of the variation in heat stroke
cases and deaths. The correlation between heat stroke deaths, the search index
and the incidence of heat stroke is higher than the correlation with maximum
temperature. This study highlights a fast and effective heatwave health warning
indicator with potential to be used throughout the world.
PMID- 27869136
TI - Natural ageing process accelerates the release of Ag from functional textile in
various exposure scenarios.
AB - Natural ageing process occurs throughout the life cycle of textile products,
which may possess influences on the release behavior of additives such as silver
nanoparticles (Ag NPs). In this study, we assessed the releasability of Ag NPs
from a Ag NPs functionalized textile in five different exposure scenarios (i.e.
tap water (TW), pond water (PW), rain water (RW), artificial sweat (AS), and
detergent solution (DS) along with deionized water (DW) as reference), which were
very likely to occur throughout the life cycle of the textile. For the pristine
textile, although the most remarkable release was found in DW (6-15 MUg Ag/g
textile), the highest release rate was found in RW (around 7 MUg Ag/(g
textile.h)). After ageing treatment, the total released Ag could be increased by
75.7~386.0% in DW, AS and DS. Morphological analysis clearly showed that the Ag
NPs were isolated from the surface of the textile fibre due to the ageing
treatment. This study provides useful information for risk assessment of nano
enhanced textile products.
PMID- 27869137
TI - Anisotropic Strain Induced Directional Metallicity in Highly Epitaxial
LaBaCo2O5.5+delta Thin Films on (110) NdGaO3.
AB - Highly directional-dependent metal-insulator transition is observed in epitaxial
double perovskite LaBaCo2O5.5+delta films. The film exhibit metallic along [100],
but remain semiconducting along [010] under application of a magnetic field
parallel to the surface of the film. The physical origin for the properties is
identified as in-plane tensile strain arising from oxygen vacancies. First
principle calculations suggested the tensile strain drastically alters the band
gap, and the vanishing gap opens up [100] conduction channels for Fermi-surface
electrons. Our observation of strain-induced highly directional-dependent metal
insulator transition may open up new dimension for multifunctional devices.
PMID- 27869140
TI - Corrigendum: Proteome mapping of Plasmodium: identification of the P. yoelii
remodellome.
PMID- 27869138
TI - The helminth product, ES-62 modulates dendritic cell responses by inducing the
selective autophagolysosomal degradation of TLR-transducers, as exemplified by
PKCdelta.
AB - We have previously shown that ES-62, a phosphorylcholine (PC)-containing
glycoprotein secreted by the parasitic filarial nematode Acanthocheilonema viteae
targets dendritic cell (DC) responses, specifically by suppressing TLR4
signalling to inhibit Th1/Th17-driven inflammation. We have now investigated the
molecular mechanisms underpinning such immunomodulation and show here that ES-62
mediated downregulation of protein kinase C-delta (PKC-delta), a TLR4-associated
signalling mediator required for full activation of LPS-driven pro-inflammatory
responses, is associated with induction of a low level of autophagic flux, as
evidenced by upregulation and trafficking of p62 and LC3 and their consequent
autophagolysosomal degradation. By contrast, the classical TLR4 ligand LPS,
strongly upregulates p62 and LC3 expression but under such canonical TLR4
signalling this upregulation appears to reflect a block in autophagic flux, with
these elements predominantly degraded in a proteasomal manner. These data are
consistent with autophagic flux acting to homeostatically suppress
proinflammatory DC responses and indeed, blocking of PKC-delta degradation by the
autophagolysosomal inhibitors, E64d plus pepstatin A, results in abrogation of
the ES-62-mediated suppression of LPS-driven release of IL-6, IL-12p70 and TNF
alpha by DCs. Thus, by harnessing this homeostatic regulatory mechanism, ES-62
can protect against aberrant inflammation, either to promote parasite survival or
serendipitously, exhibit therapeutic potential in inflammatory disease.
PMID- 27869142
TI - Macroscopic superpositions and gravimetry with quantum magnetomechanics.
AB - Precision measurements of gravity can provide tests of fundamental physics and
are of broad practical interest for metrology. We propose a scheme for absolute
gravimetry using a quantum magnetomechanical system consisting of a magnetically
trapped superconducting resonator whose motion is controlled and measured by a
nearby RF-SQUID or flux qubit. By driving the mechanical massive resonator to be
in a macroscopic superposition of two different heights our we predict that our
interferometry protocol could, subject to systematic errors, achieve a
gravimetric sensitivity of Deltag/g ~ 2.2 * 10-10 Hz-1/2, with a spatial
resolution of a few nanometres. This sensitivity and spatial resolution exceeds
the precision of current state of the art atom-interferometric and corner-cube
gravimeters by more than an order of magnitude, and unlike classical
superconducting interferometers produces an absolute rather than relative
measurement of gravity. In addition, our scheme takes measurements at ~10 kHz, a
region where the ambient vibrational noise spectrum is heavily suppressed
compared the ~10 Hz region relevant for current cold atom gravimeters.
PMID- 27869139
TI - The transcription cofactor CRTC1 protects from aberrant hepatic lipid
accumulation.
AB - Nonalcoholic fatty liver disease (NAFLD) is a rapidly emerging global health
problem. NAFLD encompasses a range of conditions associated with hepatic
steatosis, aberrant accumulation of fat in hepatocytes. Although obesity and
metabolic syndrome are considered to have a strong association with NAFLD,
genetic factors that predispose liver to NAFLD and molecular mechanisms by which
excess hepatic lipid develops remain largely unknown. We report that the
transcription cofactor CRTC1 confers broad spectrum protection against hepatic
steatosis development. CRTC1 directly interferes with the expression of genes
regulated by lipogenic transcription factors, most prominently liver x receptor
alpha (LXRalpha). Accordingly, Crtc1 deficient mice develop spontaneous hepatic
steatosis in young age. As a cyclic AMP effector, CRTC1 mediates anti-steatotic
effects of calorie restriction (CR). Notably, CRTC1 also mediates anti-lipogenic
effects of bile acid signaling, whereas it is negatively regulated by miR-34a, a
pathogenic microRNA upregulated in a broad spectrum of NAFLD. These patterns of
gene function and regulation of CRTC1 are distinct from other CR-responsive
proteins, highlighting critical protective roles that CRTC1 selectively plays
against NAFLD development, which in turn provides novel opportunities for
selectively targeting beneficial therapeutic effects of CR.
PMID- 27869141
TI - Fronto-Striatal Glutamate in Autism Spectrum Disorder and Obsessive Compulsive
Disorder.
AB - Autism spectrum disorders (ASDs) and obsessive compulsive disorder (OCD) are
often comorbid with the overlap based on compulsive behaviors. Although previous
studies suggest glutamatergic deficits in fronto-striatal brain areas in both
disorders, this is the first study to directly compare the glutamate
concentrations across the two disorders with those in healthy control
participants using both categorical and dimensional approaches. In the current
multi-center study (four centers), we used proton magnetic resonance spectroscopy
in 51 children with ASD, 29 with OCD, and 53 healthy controls (aged 8-13 years)
to investigate glutamate (Glu) concentrations in two regions of the fronto
striatal circuit: midline anterior cingulate cortex (ACC) and left dorsal
striatum. Spectra were processed with Linear Combination Model. Group comparisons
were performed with one-way analyses of variance including sex, medication use,
and scanner site as covariates. In addition, a dimensional analysis was
performed, linking glutamate with a continuous measure of compulsivity across
disorders. There was a main group effect for ACC glutamate (p=0.019). Contrast
analyses showed increased glutamate both in children with ASD and OCD compared
with controls (p=0.007), but no differences between the two disorders (p=0.770).
Dimensional analyses revealed a positive correlation between compulsive behavior
(measured with the Repetitive Behavior Scale) and ACC glutamate (rho=0.24,
p=0.03). These findings were robust across sites. No differences were found in
the striatum. The current findings confirm overlap between ASD and OCD in terms
of glutamate involvement. Glutamate concentration in ACC seems to be associated
with the severity of compulsive behavior.
PMID- 27869144
TI - Corrigendum: Efficient delivery of C/EBP beta gene into human mesenchymal stem
cells via polyethylenimine-coated gold nanoparticles enhances adipogenic
differentiation.
PMID- 27869145
TI - Corrigendum: NPNT is Expressed by Osteoblasts and Mediates Angiogenesis via the
Activation of Extracellular Signal-regulated Kinase.
PMID- 27869143
TI - Genome mining unveils widespread natural product biosynthetic capacity in human
oral microbe Streptococcus mutans.
AB - Streptococcus mutans is a major pathogen causing human dental caries. As a Gram
positive bacterium with a small genome (about 2 Mb) it is considered a poor
source of natural products. Due to a recent explosion in genomic data available
for S. mutans strains, we were motivated to explore the natural product
production potential of this organism. Bioinformatic characterization of 169
publically available genomes of S. mutans from human dental caries revealed a
surprisingly rich source of natural product biosynthetic gene clusters. Anti
SMASH analysis identified one nonribosomal peptide synthetase (NRPS) gene
cluster, seven polyketide synthase (PKS) gene clusters and 136 hybrid PKS/NRPS
gene clusters. In addition, 211 ribosomally synthesized and post-translationally
modified peptides (RiPPs) clusters and 615 bacteriocin precursors were identified
by a combined analysis using BAGEL and anti-SMASH. S. mutans harbors a rich and
diverse natural product genetic capacity, which underscores the importance of
probing the human microbiome and revisiting species that have traditionally been
overlooked as "poor" sources of natural products.
PMID- 27869146
TI - An ortholog of LEAFY in Jatropha curcas regulates flowering time and floral organ
development.
AB - Jatropha curcas seeds are an excellent biofuel feedstock, but seed yields of
Jatropha are limited by its poor flowering and fruiting ability. Thus,
identifying genes controlling flowering is critical for genetic improvement of
seed yield. We isolated the JcLFY, a Jatropha ortholog of Arabidopsis thaliana
LEAFY (LFY), and identified JcLFY function by overexpressing it in Arabidopsis
and Jatropha. JcLFY is expressed in Jatropha inflorescence buds, flower buds, and
carpels, with highest expression in the early developmental stage of flower buds.
JcLFY overexpression induced early flowering, solitary flowers, and terminal
flowers in Arabidopsis, and also rescued the delayed flowering phenotype of lfy
15, a LFY loss-of-function Arabidopsis mutant. Microarray and qPCR analysis
revealed several flower identity and flower organ development genes were
upregulated in JcLFY-overexpressing Arabidopsis. JcLFY overexpression in Jatropha
also induced early flowering. Significant changes in inflorescence structure,
floral organs, and fruit shape occurred in JcLFY co-suppressed plants in which
expression of several flower identity and floral organ development genes were
changed. This suggests JcLFY is involved in regulating flower identity, floral
organ patterns, and fruit shape, although JcLFY function in Jatropha floral
meristem determination is not as strong as that of Arabidopsis.
PMID- 27869147
TI - Interplay between VEGF and Nrf2 regulates angiogenesis due to intracranial venous
hypertension.
AB - Venous hypertension(VH) plays an important role in the pathogenesis of cerebral
arteriovenous malformations (AVMs) and is closely associated with the HIF
1alpha/VEGF signaling pathway. Nuclear factor erythroid 2-related factor 2(Nrf2)
significantly influences angiogenesis; however, the interplay between Nrf2 and
VEGF under VH in brain AVMs remains unclear. Therefore, our study aimed to
investigate the interplay between Nrf2 and VEGF due to VH in brain AVMs.
Immunohistochemistry indicated that Nrf2 and VEGF were highly expressed in human
brain AVM tissues. In vivo, we established a VH model in both wild-type (WT) and
siRNA-mediated Nrf2 knockdown rats. VH significantly increased the expression of
Nrf2 and VEGF. Loss of Nrf2 markedly inhibited the upregulation of VEGF, as
determined by Western blot analysis and qRT-PCR. In vitro, primary brain
microvascular endothelial cells (BMECs) were isolated from WT and Nrf2-/- mice,
and a VEGF-Nrf2 positive feed-back loop was observed in BMECs. By trans well
assay and angiogenesis assay, Nrf2 knockout significantly inhibited the migration
and vascular tube formation of BMECs. These findings suggest that the interplay
between Nrf2 and VEGF can contribute to VH-induced angiogenesis in brain AVMs
pathogenesis.
PMID- 27869148
TI - Motor noise is rich signal in autism research and pharmacological treatments.
AB - The human body is in constant motion, from every breath that we take, to every
visibly purposeful action that we perform. Remaining completely still on command
is a major achievement as involuntary fluctuations in our motions are difficult
to keep under control. Here we examine the noise-to-signal ratio of micro
movements present in time-series of head motions extracted from resting-state
functional magnetic resonance imaging scans in 1048 participants. These included
individuals with autism spectrum disorders (ASD) and healthy-controls in shared
data from the Autism Brain Imaging Data Exchange (ABIDE) and the Attention
Deficit Hyperactivity Disorder (ADHD-200) databases. We find excess noise and
randomness in the ASD cases, suggesting an uncertain motor-feedback signal. A
power-law emerged describing an orderly relation between the dispersion and shape
of the probability distribution functions best describing the stochastic
properties under consideration with respect to intelligence quotient (IQ-scores).
In ASD, deleterious patterns of noise are consistently exacerbated with the
presence of secondary (comorbid) neuropsychiatric diagnoses, lower verbal and
performance intelligence, and autism severity. Importantly, such patterns in ASD
are present whether or not the participant takes psychotropic medication. These
data unambiguously establish specific noise-to-signal levels of head micro
movements as a biologically informed core feature of ASD.
PMID- 27869149
TI - Multiple Resource Use Efficiency (mRUE): A New Concept for Ecosystem Production.
AB - The resource-driven concept, which is an important school for investigating
ecosystem production, has been applied for decades. However, the regulatory
mechanisms of production by multiple resources remain unclear. We formulated a
new algorithm model that integrates multiple resource uses to study ecosystem
production and tested its applications on a water-availability gradient in semi
arid grassland. The result of our experiment showed that changes in water
availability significantly affected the resources of light and nitrogen, and
altered the relationships among multiple resource absorption rate (epsilon),
multiple resource use efficiency (mRUE), and available resource (Ravail). The
increased water availability suppressed ecosystem mRUE (i.e., "declining marginal
returns"); The changes in mRUE had a negative effect on epsilon (i.e., "inverse
feedback"). These two processes jointly regulated that the stimulated single
resource availability would promote ecosystem production rather than suppress it,
even when mRUE was reduced. This study illustrated the use of the mRUE model in
exploring the coherent relationships among the key parameters on regulating the
ecosystem production for future modeling, and evaluated the sensitivity of this
conceptual model under different dataset properties. However, this model needs
extensive validation by the ecological community before it can extrapolate this
method to other ecosystems in the future.
PMID- 27869153
TI - Cell Senescence: Controlling the senescence-associated secretory phenotype.
PMID- 27869150
TI - Skin-on-a-chip model simulating inflammation, edema and drug-based treatment.
AB - Recent advances in microfluidic cell cultures enable the construction of in vitro
human skin models that can be used for drug toxicity testing, disease study.
However, current in vitro skin model have limitations to emulate real human skin
due to the simplicity of model. In this paper, we describe the development of
'skin-on-a-chip' to mimic the structures and functional responses of the human
skin. The proposed model consists of 3 layers, on which epidermal, dermal and
endothelial components originated from human, were cultured. The microfluidic
device was designed for co-culture of human skin cells and each layer was
separated by using porous membranes to allow interlayer communication. Skin
inflammation and edema were induced by applying tumor necrosis factor alpha on
dermal layer to demonstrate the functionality of the system. The expression
levels of proinflammatory cytokines were analyzed to illustrate the feasibility.
In addition, we evaluated the efficacy of therapeutic drug testing model using
our skin chip. The function of skin barrier was evaluated by staining tight
junctions and measuring a permeability of endothelium. Our results suggest that
the skin-on-a-chip model can potentially be used for constructing in vitro skin
disease models or for testing the toxicity of cosmetics or drugs.
PMID- 27869154
TI - Nuclear organization: NUP-tial binding to super-enhancers.
PMID- 27869155
TI - 3D solutions to complex gene regulation.
PMID- 27869156
TI - Stem cells: Coordinated expansion of cells in the skin.
PMID- 27869152
TI - Reversible strain control of magnetic anisotropy in magnetoelectric
heterostructures at room temperature.
AB - The ability to tune both magnetic and electric properties in magnetoelectric (ME)
composite heterostructures is crucial for multiple transduction applications
including energy harvesting or magnetic field sensing, or other transduction
devices. While large ME coupling achieved through interfacial strain-induced
rotation of magnetic anisotropy in magnetostrictive/piezoelectric multiferroic
heterostructures has been demonstrated, there are presently certain restrictions
for achieving a full control of magnetism in an extensive operational dynamic
range, limiting practical realization of this effect. Here, we demonstrate the
possibility of generating substantial reversible anisotropy changes through
induced interfacial strains driven by applied electric fields in magnetostrictive
thin films deposited on (0 1 1)-oriented domain-engineered ternary relaxor
ferroelectric single crystals with extended temperature and voltage ranges as
compared to binary relaxors. We show, through a combination of angular
magnetization and magneto-optical domain imaging measurements, that a 90 degrees
in-plane rotation of the magnetic anisotropy and propagation of magnetic domains
with low applied electric fields under zero electric field bias are realized. To
our knowledge, the present value attained for converse magnetoelectric coupling
coefficient is the highest achieved in the linear piezoelectric regime and
expected to be stable for a wide temperature range, thus representing a step
towards practical ME transduction devices.
PMID- 27869157
TI - DNA Repair: RNA-DNA hybrids: a double-edged sword in genomic stability.
PMID- 27869151
TI - The lectin-like protein 1 in Lactobacillus rhamnosus GR-1 mediates tissue
specific adherence to vaginal epithelium and inhibits urogenital pathogens.
AB - The probiotic Lactobacillus rhamnosus GR-1 has been documented to survive
implantation onto the vaginal epithelium and interfere with urogenital pathogens.
However, the molecular mechanisms involved are largely unknown. Here, we report
for the first time the construction of dedicated knock-out mutants in L.
rhamnosus GR-1 to enable the study of gene functions. In a search for genes
responsible for the adherence capacity of L. rhamnosus GR-1, a genomic region
encoding a protein with homology to lectin-like proteins was identified.
Phenotypic analyses of the knock-out mutant of L. rhamnosus GR-1 revealed a two
fold decreased adhesion to the vaginal and ectocervical epithelial cell lines
compared to wild-type. In contrast, the adhesion to gastro-intestinal epithelial
(Caco2) and endocervical cell lines (Hela and End1/E6E7) was not drastically
affected by the mutation, suggesting that the LGR-1_Llp1 lectins mediates tissue
tropism. The purified LGR-1_Llp1 protein also inhibited biofilm formation and
adhesion of uropathogenic Escherichia coli. For the first time, an important role
for a novel lectin-like protein in the adhesion capacity and host cell-specific
interaction of a vaginal probiotic Lactobacillus strain has been discovered, with
an additional role in pathogen inhibition.
PMID- 27869158
TI - Mapping the 3D genome: Aiming for consilience.
AB - The spatial organization of genomes is studied using microscopy- and chromosome
conformation capture (3C)-based methods. The two types of methods produce data
that are often consistent, but there are cases where they appear discordant.
These cases provide opportunities to derive better models of chromatin folding,
which can reconcile the datasets.
PMID- 27869159
TI - Fundamental Scaling Laws in Nanophotonics.
AB - The success of information technology has clearly demonstrated that
miniaturization often leads to unprecedented performance, and unanticipated
applications. This hypothesis of "smaller-is-better" has motivated optical
engineers to build various nanophotonic devices, although an understanding
leading to fundamental scaling behavior for this new class of devices is missing.
Here we analyze scaling laws for optoelectronic devices operating at micro and
nanometer length-scale. We show that optoelectronic device performance scales non
monotonically with device length due to the various device tradeoffs, and analyze
how both optical and electrical constrains influence device power consumption and
operating speed. Specifically, we investigate the direct influence of scaling on
the performance of four classes of photonic devices, namely laser sources,
electro-optic modulators, photodetectors, and all-optical switches based on three
types of optical resonators; microring, Fabry-Perot cavity, and plasmonic metal
nanoparticle. Results show that while microrings and Fabry-Perot cavities can
outperform plasmonic cavities at larger length-scales, they stop working when the
device length drops below 100 nanometers, due to insufficient functionality such
as feedback (laser), index-modulation (modulator), absorption (detector) or field
density (optical switch). Our results provide a detailed understanding of the
limits of nanophotonics, towards establishing an opto-electronics roadmap, akin
to the International Technology Roadmap for Semiconductors.
PMID- 27869160
TI - Pot1 OB-fold mutations unleash telomere instability to initiate tumorigenesis.
AB - Chromosomal aberrations are a hallmark of human cancers, with complex cytogenetic
rearrangements leading to genetic changes permissive for cancer initiation and
progression. Protection of Telomere 1 (POT1) is an essential component of the
shelterin complex and functions to maintain chromosome stability by repressing
the activation of aberrant DNA damage and repair responses at telomeres. Sporadic
and familial mutations in the oligosaccharide-oligonucleotide (OB) folds of POT1
have been identified in many human cancers, but the mechanism underlying how
hPOT1 mutations initiate tumorigenesis has remained unclear. Here we show that
the human POT1's OB-folds are essential for the protection of newly replicated
telomeres. Oncogenic mutations in hPOT1 OB-fold fail to bind to single-stranded
telomeric DNA, eliciting a DNA damage response at telomeres that promote
inappropriate chromosome fusions via the mutagenic alternative non-homologous end
joining (A-NHEJ) pathway. hPOT1 mutations also result in telomere elongation and
the formation of transplantable hematopoietic malignancies. Strikingly,
conditional deletion of both mPot1a and p53 in mouse mammary epithelium resulted
in development of highly invasive breast carcinomas and the formation of whole
chromosomes containing massive arrays of telomeric fusions indicative of multiple
breakage-fusion-bridge cycles. Our results reveal that hPOT1 OB-folds are
required to protect and prevent newly replicated telomeres from engaging in A
NHEJ mediated fusions that would otherwise promote genome instability to fuel
tumorigenesis.
PMID- 27869161
TI - Therapeutic targeting of necroptosis by Smac mimetic bypasses apoptosis
resistance in acute myeloid leukemia cells.
AB - Resistance to apoptosis, for example due to overexpression of Inhibitor of
Apoptosis (IAP) proteins, is associated with poor prognosis in acute myeloid
leukemia (AML). Here, we identify that Smac mimetics such as BV6, which
antagonizes IAP proteins, elicit necroptosis in AML cells, in which apoptosis is
inhibited pharmacologically by caspase inhibitors or genetically by caspase-8
knockdown. Importantly, BV6 triggers necroptosis also in apoptosis-resistant
patient-derived AML blasts, underlining the clinical relevance of our findings.
Mechanistically, we show that BV6-induced cell death depends on key components of
necroptosis signaling such as RIP1, RIP3 and MLKL, since pharmacological or
genetic inhibition of these proteins significantly protects AML cells from BV6
mediated cell death, whereas PGAM5 is dispensable. Interestingly, we identify
constitutive tumor necrosis factor-alpha (TNFalpha) secretion and an
autocrine/paracrine TNFalpha loop as critical mediators of BV6-induced
necroptosis in AML cell lines and patient-derived blasts, as the TNFalpha
blocking antibody Enbrel or tumor necrosis factor-alpha receptor 1 (TNFR1)
knockdown significantly rescue cell death. Notably, AML cells exhibit high basal
levels of TNFalpha compared to non-malignant CD34+ cells, which is further
increased by BV6. In conclusion, this is the first report showing that Smac
mimetics circumvent apoptosis resistance in AML cells by inducing necroptosis in
a TNFalpha-dependent manner, which has important implications for the development
of new strategies to overcome treatment resistance in AML.
PMID- 27869162
TI - The histone demethylase KDM4B regulates peritoneal seeding of ovarian cancer.
AB - Epithelial ovarian cancer (EOC) has poor prognosis and rapid recurrence because
of widespread dissemination of peritoneal metastases at diagnosis. Multiple
pathways contribute to the aggressiveness of ovarian cancer, including hypoxic
signaling mechanisms. In this study, we have determined that the hypoxia
inducible histone demethylase KDM4B is expressed in ~60% of EOC tumors assayed,
including primary and matched metastatic tumors. Expression of KDM4B in tumors is
positively correlated with expression of the tumor hypoxia marker CA-IX, and is
robustly induced in EOC cell lines exposed to hypoxia. KDM4B regulates expression
of metastatic genes and pathways, and loss of KDM4B increases H3K9 trimethylation
at the promoters of target genes like LOXL2, LCN2 and PDGFB. Suppressing KDM4B
inhibits ovarian cancer cell invasion, migration and spheroid formation in vitro.
KDM4B also regulates seeding and growth of peritoneal tumors in vivo, where its
expression corresponds to hypoxic regions. This is the first demonstration that a
Jumonji-domain histone demethylase regulates cellular processes required for
peritoneal dissemination of cancer cells, one of the predominant factors
affecting prognosis of EOC. The pathways regulated by KDM4B may present novel
opportunities to develop combinatorial therapies to improve existing therapies
for EOC patients.
PMID- 27869163
TI - Wwox-Brca1 interaction: role in DNA repair pathway choice.
AB - In this study, loss of expression of the fragile site-encoded Wwox protein was
found to contribute to radiation and cisplatin resistance of cells, responses
that could be associated with cancer recurrence and poor outcome. WWOX gene
deletions occur in a variety of human cancer types, and reduced Wwox protein
expression can be detected early during cancer development. We found that Wwox
loss is followed by mild chromosome instability in genomes of mouse embryo
fibroblast cells from Wwox-knockout mice. Human and mouse cells deficient for
Wwox also exhibit significantly enhanced survival of ionizing radiation and
bleomycin treatment, agents that induce double-strand breaks (DSBs). Cancer cells
that survive radiation recur more rapidly in a xenograft model of irradiated
breast cancer cells; Wwox-deficient cells exhibited significantly shorter tumor
latencies vs Wwox-expressing cells. This Wwox effect has important consequences
in human disease: in a cohort of cancer patients treated with radiation, Wwox
deficiency significantly correlated with shorter overall survival times. In
examining mechanisms underlying Wwox-dependent survival differences, we found
that Wwox-deficient cells exhibit enhanced homology directed repair (HDR) and
decreased non-homologous end-joining (NHEJ) repair, suggesting that Wwox
contributes to DNA DSB repair pathway choice. Upon silencing of Rad51, a protein
critical for HDR, Wwox-deficient cells were resensitized to radiation. We also
demonstrated interaction of Wwox with Brca1, a driver of HDR, and show via
immunofluorescent detection of repair proteins at ionizing radiation-induced DNA
damage foci that Wwox expression suppresses DSB repair at the end-resection step
of HDR. We propose a genome caretaker function for WWOX, in which Brca1-Wwox
interaction supports NHEJ as the dominant DSB repair pathway in Wwox-sufficient
cells. Taken together, the experimental results suggest that reduced Wwox
expression, a common occurrence in cancers, dysregulates DSB repair, enhancing
efficiency of likely mutagenic repair, and enabling radiation and cisplatin
treatment resistance.
PMID- 27869164
TI - The hot-spot p53R172H mutant promotes formation of giant spermatogonia triggered
by DNA damage.
AB - Overexpression of mutant p53 is a common finding in most cancers but testicular
tumours accumulate wild-type p53 (wtp53). In contrast to the accepted concept
that p53 homozygous mutant mice do not accumulate mutant p53 in normal cells, our
study on a mutant p53 mouse model of Li-Fraumeni syndrome harbouring the hot-spot
p53R172H mutation described an elevated level of mutant p53 in non-cancerous
mouse tissues. Here we use detailed immunohistochemical analysis to document the
expression of p53R172H in mouse testis. In developing and adult testes, p53R172H
was expressed in gonocytes, type A, Int, B spermatogonia as well as in pre
Sertoli cells and Leydig cells but was undetectable in spermatocytes and
spermatids. A similar staining pattern was demonstrated for wtp53. However, the
intensity of wtp53 staining was generally weaker than that of p53R172H, which
indicates that the expression of p53R172H can be a surrogate marker of p53 gene
transcription. Comparing the responses of wtp53 and p53R172H to irradiation, we
found persistent DNA double-strand breaks in p53R172H testes and the formation of
giant spermatogonia (GSG) following persistent DNA damage in p53R172H and p53
null mice. Strikingly, we found that p53R172H promotes spontaneous formation of
GSG in non-stressed p53R172H ageing mice. Two types of GSG: Viable and
Degenerative GSG were defined. We elucidate the factors involved in the formation
of GSG: the loss of p53 function is a requirement for the formation of GSG
whereas DNA damage acts as a promoting trigger. The formation of GSG does not
translate to higher efficacy of testicular tumorigenesis arising from mutant p53
cells, which might be due to the presence of delayed-onset of p53-independent
apoptosis.
PMID- 27869165
TI - TAp63 suppresses mammary tumorigenesis through regulation of the Hippo pathway.
AB - Mechanisms regulating the transition of mammary epithelial cells (MECs) to
mammary stem cells (MaSCs) and to tumor-initiating cells (TICs) have not been
entirely elucidated. The p53 family member, p63, is critical for mammary gland
development and contains transactivation domain isoforms, which have tumor
suppressive activities, and the DeltaN isoforms, which act as oncogenes. In the
clinic, p63 is often used as a diagnostic marker, and further analysis of the
function of TAp63 in the mammary gland is critical for improved diagnosis and
patient care. Loss of TAp63 in mice leads to the formation of aggressive
metastatic mammary adenocarcinoma at 9-16 months of age. Here we show that TAp63
is crucial for the transition of mammary cancer cells to TICs. When TAp63 is
lost, MECs express embryonic and MaSC signatures and activate the Hippo pathway.
These data indicate a crucial role for TAp63 in mammary TICs and provide a
mechanism for its role as a tumor- and metastasis-suppressor in breast cancer.
PMID- 27869166
TI - SKP2 loss destabilizes EZH2 by promoting TRAF6-mediated ubiquitination to
suppress prostate cancer.
AB - EZH2 is crucial for the progression of prostate cancer (PCa) and castration
resistant prostate cancer (CRPC) through upregulation and activation of
progenitor genes, as well as androgen receptor (AR)-target genes. However, the
mechanisms by which EZH2 is regulated in PCa and CRPC remain elusive. Here we
report that EZH2 is post-transcriptionally regulated by SKP2 in vitro in cultured
cells and in vivo in mouse models. We observed aberrant upregulation of Skp2,
Ezh2 and histone H3 lysine 27 trimethylation (H3K27me3) in both Pten null mouse
embryonic fibroblasts (MEFs) and Pten null mouse prostate tissues. Loss of Skp2
resulted in a striking decrease of Ezh2 levels in Pten/Trp53 double-null MEFs and
in prostate tumors of Pten/Trp53 double-null mutant mice. SKP2 knockdown
decreased EZH2 levels in human PCa cells through upregulation of TRAF6-mediated
and lysine(K) 63-linked ubiquitination of EZH2 for degradation. Ectopic
expression of TRAF6 promoted the K63-linked ubiquitination of EZH2 to decrease
EZH2 and H3K27me3 levels in PCa cells. In contrast, TRAF6 knockdown resulted in a
reduced EZH2 ubiquitination with an increase of EZH2 and H3K27me3 levels in PCa
cells. Furthermore, the catalytically dead mutant TRAF6 C70A abolished the TRAF6
mediated polyubiquitination of recombinant human EZH2 in vitro. Most importantly,
a concurrent elevation of Skp2 and Ezh2 was found in CRPC tumors of Pten/Trp53
mutant mice, and expression levels of SKP2 and EZH2 were positively correlated in
human PCa specimens. Taken together, our findings revealed a novel mechanism on
EZH2 ubiquitination and an important signaling network of SKP2-TRAF6
EZH2/H3K27me3, and targeting SKP2-EZH2 pathway may be a promising therapeutic
strategy for CRPC treatment.
PMID- 27869167
TI - Cystine addiction of triple-negative breast cancer associated with EMT augmented
death signaling.
AB - Despite the advances in the diagnosis and treatment of breast cancer, breast
cancers still cause significant mortality. For some patients, especially those
with triple-negative breast cancer, current treatments continue to be limited and
ineffective. Therefore, there remains an unmet need for a novel therapeutic
approach. One potential strategy is to target the altered metabolic state that is
rewired by oncogenic transformation. Specifically, this rewiring may render
certain outside nutrients indispensable. To identify such a nutrient, we
performed a nutrigenetic screen by removing individual amino acids to identify
possible addictions across a panel of breast cancer cells. This screen revealed
that cystine deprivation triggered rapid programmed necrosis, but not apoptosis,
in the basal-type breast cancer cells mostly seen in TNBC tumors. In contrast,
luminal-type breast cancer cells are cystine-independent and exhibit little death
during cystine deprivation. The cystine addiction phenotype is associated with a
higher level of cystine-deprivation signatures noted in the basal type breast
cancer cells and tumors. We found that the cystine-addicted breast cancer cells
and tumors have strong activation of TNFalpha and MEKK4-p38-Noxa pathways that
render them susceptible to cystine deprivation-induced necrosis. Consistent with
this model, silencing of TNFalpha and MEKK4 dramatically reduces cystine-deprived
death. In addition, the cystine addiction phenotype can be abrogated in the
cystine-addictive cells by miR-200c, which converts the mesenchymal-like cells to
adopt epithelial features. Conversely, the introduction of inducers of epithelial
mesenchymal transition (EMT) in cystine-independent breast cancer cells conferred
the cystine-addiction phenotype by modulating the signaling components of cystine
addiction. Together, our data reveal that cystine-addiction is associated with
EMT in breast cancer during tumor progression. These findings provide the genetic
and mechanistic basis to explain how cystine deprivation triggers necrosis by
activating pre-existing oncogenic pathways in cystine-addicted TNBC with
prominent mesenchymal features.
PMID- 27869169
TI - Critical role of the POT1 OB domain in maintaining genomic stability.
AB - Oligonucleotide/oligosaccharide-binding (OB) domain-containing proteins have been
identified as critical for telomere maintenance, DNA repair, transcription and
other DNA metabolism processes. Protection of telomere 1 (POT1), a telomere
binding protein, has an OB domain like single-strand binding protein (SSB1). In
this issue of Oncogene, Gu et al. present evidence that POT1, like SSB1, is
required to maintain genomic stability. This work, in conjunction with results
from previous investigators, highlights the importance of POT1 in telomere
metabolism. Inactivation of POT1 telomere protective functions in mouse models
lacking p53 expression in the breast epithelium unleashes a torrent of DNA damage
responses (DDRs) at the telomeres, culminating in karyotypic alterations with
massive arrays of telomere fusions. Therefore, POT1 is not only required to
promote telomere homeostasis, but also plays an essential role in maintaining a
stable genome.
PMID- 27869168
TI - miR-127 promotes EMT and stem-like traits in lung cancer through a feed-forward
regulatory loop.
AB - The coordination between cellular differentiation and the mesenchymal/stem
transition is essential for both embryo development and neoplasia, suggesting a
mechanistic link between these two major processes. In this work we show that miR
127, an embryo-expressing lung miRNA, was prominently induced in lung
adenocarcinoma and correlated with poor prognosis. Elevated miR-127 level drove a
pronounced shift from the epithelial to the mesenchymal phenotype in cancer
cells, and this shift was associated with their acquisition of stem-like traits,
increased resistance to the epidermal growth factor receptor inhibitor and tumor
propagating potential. In contrast, antagonizing miR-127 markedly reversed this
malignant transition, compromised the stem-like properties and the in vivo
tumorigenic capability of cancer cells. Importantly, a regulatory loop involving
the inflammatory signals NF-kappaB, miR-127 and tumor necrosis factor alpha
induced protein 3 was uncovered as a self-reinforcing circuitry that ensured an
aggressive transition in lung cancer. Thus, this work identifies a novel
molecular mechanism linking stemness, malignancy and inflammation, opening a new
avenue for cancer treatment.
PMID- 27869170
TI - Androgen receptor promotes melanoma metastasis via altering the miRNA-539
3p/USP13/MITF/AXL signals.
AB - Early studies demonstrated that male melanoma patients have worse survival than
female patients, yet the detailed mechanisms for this gender difference remain
unclear. We analyzed around 100 cases of human melanoma and found that androgen
receptor (AR) positive melanoma patients have worse survival outcomes compared
with AR-negative melanoma patients. Here we report that AR can have positive
roles to increase melanoma cell invasion in multiple cell lines in vitro and a
mouse model in vivo. Mechanism dissection suggest that AR increases melanoma cell
invasion via modulating the MITF-AXL signals via altering the miRNA-539-3p/USP13
signaling to increase MITF protein degradation through a reduction of de
ubiquitination. Restoring MITF can reverse AR-enhanced melanoma cell invasion.
Together, our results demonstrate that AR can promote melanoma metastasis via
altering the miRNA-539-3p/USP13/MITF/AXL signal and targeting this newly
identified signal with AR degradation enhancer ASC-J9 may help us to better
suppress the melanoma metastasis.
PMID- 27869171
TI - Epigenetic activation of the prostaglandin receptor EP4 promotes resistance to
endocrine therapy for breast cancer.
AB - Approximately 75% of breast cancers express estrogen receptor alpha (ERalpha) and
depend on estrogen signals for continued growth. Aromatase inhibitors (AIs)
prevent estrogen production and inhibit ER signaling, resulting in decreased
cancer recurrence and mortality. Advanced tumors treated with AIs almost always
develop resistance to these drugs via the upregulation of alternative growth
signals. The mechanisms that drive this resistance-especially epigenetic events
that alter gene expression-are, however, not well understood. Genome-wide DNA
methylation and expression analysis of cell line models of acquired AI resistance
indicated that prostaglandin E2 receptor 4 (PTGER4) is upregulated after
demethylation in resistant cells. Knockdown and inhibitor studies demonstrate
that PTGER4 is essential for estrogen-independent growth. Our exploratory
analysis of downstream signaling indicates that PTGER4 likely promotes AI
resistance via ligand-independent activation of the ERalpha-cofactor CARM1. We
believe that we have discovered a novel epigenetic mechanism for altering cell
signaling and acquiring endocrine therapy resistance. Our findings indicate that
PTGER4 is a potential drug target in AI-resistant cancers. In addition, the
epigenetic component of PTGER4 regulation suggests that further study of PTGER4
may yield valuable insights into how DNA methylation-targeted diagnoses and
treatments can improve AI-resistant breast cancer treatment.
PMID- 27869172
TI - A redox state-dictated signalling pathway deciphers the malignant cell
specificity of CD40-mediated apoptosis.
AB - CD40, a member of the tumour necrosis factor receptor (TNFR) superfamily, has the
capacity to cause extensive apoptosis in carcinoma cells, while sparing normal
epithelial cells. Yet, apoptosis is only achieved by membrane-presented CD40
ligand (mCD40L), as soluble receptor agonists are but weakly pro-apoptotic. Here,
for the first time we have identified the precise signalling cascade underpinning
mCD40L-mediated death as involving sequential TRAF3 stabilisation, ASK1
phosphorylation, MKK4 (but not MKK7) activation and JNK/AP-1 induction, leading
to a Bak- and Bax-dependent mitochondrial apoptosis pathway. TRAF3 is central in
the activation of the NADPH oxidase (Nox)-2 component p40phox and the elevation
of reactive oxygen species (ROS) is essential in apoptosis. Strikingly, CD40
activation resulted in down-regulation of Thioredoxin (Trx)-1 to permit ASK1
activation and apoptosis. Although soluble receptor agonist alone could not
induce death, combinatorial treatment incorporating soluble CD40 agonist and
pharmacological inhibition of Trx-1 was functionally equivalent to the signal
triggered by mCD40L. Finally, we demonstrate using normal, 'para-malignant' and
tumour-derived cells that progression to malignant transformation is associated
with increase in oxidative stress in epithelial cells, which coincides with
increased susceptibility to CD40 killing, while in normal cells CD40 signalling
is cytoprotective. Our studies have revealed the molecular nature of the tumour
specificity of CD40 signalling and explained the differences in pro-apoptotic
potential between soluble and membrane-bound CD40 agonists. Equally importantly,
by exploiting a unique epithelial culture system that allowed us to monitor
alterations in the redox-state of epithelial cells at different stages of
malignant transformation, our study reveals how pro-apoptotic signals can elevate
ROS past a previously hypothesised 'lethal pro-apoptotic threshold' to induce
death; an observation that is both of fundamental importance and carries
implications for cancer therapy.
PMID- 27869173
TI - Pterostilbene induces apoptosis and cell cycle arrest in diffuse large B-cell
lymphoma cells.
AB - Diffuse large B-cell lymphoma (DLBCL) is the most common type of non-Hodgkin
lymphoma (NHL). Pterostilbene, a natural dimethylated analog of resveratrol, has
been shown to possess diverse pharmacological activities, including anti
inflammatory, antioxidant and anticancer properties. However, to the best of our
knowledge, there has been no study of the effects of pterostilbene upon
hematological malignancies. Herein, we report the antitumor activity and
mechanism of pterostilbene against DLBCL cells both in vitro and in vivo. We
found that pterostilbene treatment resulted in a dose-dependent inhibition of
cell viability. In addition, pterostilbene exhibited a strong cytotoxic effect,
as evidenced not only by reductions of mitochondrial membrane potential (MMP) but
also by increases in cellular apoptotic index and reactive oxygen species (ROS)
levels, leading to arrest in the S-phase of the cell cycle. Furthermore,
pterostilbene treatment directly up-regulated p-p38MAPK and down-regulated p
ERK1/2. In vivo, intravenous administration of pterostilbene inhibited tumor
development in xenograft mouse models. Overall, the results suggested that
pterostilbene is a potential anti-cancer pharmaceutical against human DLBCL by a
mechanism involving the suppression of ERK1/2 and activation of p38MAPK signaling
pathways.
PMID- 27869174
TI - Developmental Reprogramming in Mesenchymal Stromal Cells of Human Subjects with
Idiopathic Pulmonary Fibrosis.
AB - Cellular plasticity and de-differentiation are hallmarks of tissue/organ
regenerative capacity in diverse species. Despite a more restricted capacity for
regeneration, humans with age-related chronic diseases, such as cancer and
fibrosis, show evidence of a recapitulation of developmental gene programs. We
have previously identified a resident population of mesenchymal stromal cells
(MSCs) in the terminal airways-alveoli by bronchoalveolar lavage (BAL) of human
adult lungs. In this study, we characterized MSCs from BAL of patients with
stable and progressive idiopathic pulmonary fibrosis (IPF), defined as <5% and
>=10% decline, respectively, in forced vital capacity over the preceding 6-month
period. Gene expression profiles of MSCs from IPF subjects with progressive
disease were enriched for genes regulating lung development. Most notably, genes
regulating early tissue patterning and branching morphogenesis were
differentially regulated. Network interactive modeling of a set of these genes
indicated central roles for TGF-beta and SHH signaling. Importantly, fibroblast
growth factor-10 (FGF-10) was markedly suppressed in IPF subjects with
progressive disease, and both TGF-beta1 and SHH signaling were identified as
critical mediators of this effect in MSCs. These findings support the concept of
developmental gene re-activation in IPF, and FGF-10 deficiency as a potentially
critical factor in disease progression.
PMID- 27869176
TI - Quantitative secretomic analysis of pancreatic cancer cells in serum-containing
conditioned medium.
AB - Pancreatic cancer is a highly metastatic and chemo-resistant disease. Secreted
proteins involved in cell-cell interactions play an important role in changing
the tumor microenvironment. Previous studies generally focus on the secretome of
cancer cell line from serum-free media, due to the serious interference of fetal
bovine serum (FBS). However, serum-starvation may alter expression patterns of
secreted proteins. Hence, efforts to decrease the interference of serum in
proteomic analysis of serum-containing media have been hampered to quantitatively
measure the tumor secretion levels. Recently, the metabolic labeling, protein
equalization, protein fractionation and filter-aided sample preparation (FASP)
strategy (MLEFF) has been successfully used to avoid the disturbance of serum on
secretome analysis. Here, this efficient method was applied for comparative
secretome analysis of two hamster pancreatic cancer cells with differentially
metastatic potentials, enabling the observation of 161 differentially expressed
proteins, including 106 proteins that had been previously reported and detected
in plasma. By integrated analysis of our data and publicly available
bioinformatics resources, we found that a combination panel consisting of CDH3,
PLAU, and LFNG might improve the prognosis of overall pancreatic cancer survival.
These secreted proteins may serve as a potential therapeutic targets for
pancreatic cancer metastasis.
PMID- 27869177
TI - Repressor logic modules assembled by rolling circle amplification platform to
construct a set of logic gates.
AB - Small molecule metabolites and their allosterically regulated repressors play an
important role in many gene expression and metabolic disorder processes. These
natural sensors, though valuable as good logic switches, have rarely been
employed without transcription machinery in cells. Here, two pairs of repressors,
which function in opposite ways, were cloned, purified and used to control DNA
replication in rolling circle amplification (RCA) in vitro. By using metabolites
and repressors as inputs, RCA signals as outputs, four basic logic modules were
constructed successfully. To achieve various logic computations based on these
basic modules, we designed series and parallel strategies of circular templates,
which can further assemble these repressor modules in an RCA platform to realize
twelve two-input Boolean logic gates and a three-input logic gate. The RCA-output
and RCA-assembled platform was proved to be easy and flexible for complex logic
processes and might have application potential in molecular computing and
synthetic biology.
PMID- 27869175
TI - An antibacterial and absorbable silk-based fixation material with impressive
mechanical properties and biocompatibility.
AB - Implant-associated infections and non-absorbing materials are two important
reasons for a second surgical procedure to remove internal fixation devices after
an orthopedic internal fixation surgery. The objective of this study was to
produce an antibacterial and absorbable fixation screw by adding gentamicin to
silk-based materials. The antibacterial activity was assessed against
Staphylococcus aureus (S. aureus) and Escherichia coli (E. coli) in vitro by
plate cultivation and scanning electron microscopy (SEM). We also investigated
the properties, such as the mechanical features, swelling properties,
biocompatibility and degradation, of gentamicin-loaded silk-based screws (GSS) in
vitro. The GSS showed significant bactericidal effects against S. aureus and E.
coli. The antibacterial activity remained high even after 4 weeks of immersion in
protease solution. In addition, the GSS maintained the remarkable mechanical
properties and excellent biocompatibility of pure silk-based screws (PSS).
Interestingly, after gentamicin incorporation, the degradation rate and water
absorbing capacity increased and decreased, respectively. These GSS provide both
impressive material properties and antibacterial activity and have great
potential for use in orthopedic implants to reduce the incidence of second
surgeries.
PMID- 27869178
TI - Importance of the C-terminal histidine residues of Helicobacter pylori GroES for
Toll-like receptor 4 binding and interleukin-8 cytokine production.
AB - Helicobacter pylori infection is associated with the development of gastric and
duodenal ulcers as well as gastric cancer. GroES of H. pylori (HpGroES) was
previously identified as a gastric cancer-associated virulence factor. Our group
showed that HpGroES induces interleukin-8 (IL-8) cytokine release via a Toll-like
receptor 4 (TLR4)-dependent mechanism and domain B of the protein is crucial for
interactions with TLR4. In the present study, we investigated the importance of
the histidine residues in domain B. To this end, a series of point mutants were
expressed in Escherichia coli, and the corresponding proteins purified.
Interestingly, H96, H104 and H115 were not essential, whereas H100, H102, H108,
H113 and H118 were crucial for IL-8 production and TLR4 interactions in KATO-III
cells. These residues were involved in nickel binding. Four of five residues,
H102, H108, H113 and H118 induced certain conformation changes in extended domain
B structure, which is essential for interactions with TLR4 and consequent IL-8
production. We conclude that interactions of nickel ions with histidine residues
in domain B help to maintain the conformation of the C-terminal region to
conserve the integrity of the HpGroES structure and modulate IL-8 release.
PMID- 27869179
TI - Interleukins 17 and 23 in patients with gastric neoplasms.
AB - Recently there has been heightened interest in the potential significance of
interleukin (IL)-17 and IL-23 in the development/progression of human
malignancies. Here, we analyzed the systemic levels of these cytokines in 75
patients with different types of gastric neoplasms (carcinoma, gastrointestinal
stromal tumors, neuroendocrine neoplasms, and lymphomas) and 42 healthy
volunteers. We found that patients with all types of gastric neoplasms have
significantly lower IL-23 levels. However, in comparison to the levels in healthy
individuals, IL-17 concentrations were lower only in patients with types of
gastric neoplasms other than carcinoma. Interestingly, IL-17 levels significantly
differed between patients with early and advanced gastric carcinoma. No
significant associations were detected between the systemic levels of examined
interleukins and TNM staging. However, peripheral levels of IL-23 were correlated
with the absolute numbers of circulating populations of bone marrow-derived
mesenchymal and very small embryonic/epiblast-like stem cells in patients with
gastric carcinoma. ROC curve analyses demonstrated that systemic levels of IL-17
seem to meet basic criteria for consideration as a helpful diagnostic marker in
the detection of gastric carcinoma. In conclusion, our study provides
translational evidence confirming the clinical significance of IL-17 and IL-23 in
the pathogenesis of different types of gastric neoplasms in humans.
PMID- 27869180
TI - Silver Nanoparticles Impact Biofilm Communities and Mussel Settlement.
AB - Silver nanoparticles (AgNPs) demonstrating good antimicrobial activity are widely
used in many fields. However, the impact of AgNPs on the community structures of
marine biofilms that drive biogeochemical cycling processes and the recruitment
of marine invertebrate larvae remains unknown. Here, we employed MiSeq sequencing
technology to evaluate the bacterial communities of 28-day-old marine biofilms
formed on glass, polydimethylsiloxane (PDMS), and PDMS filled with AgNPs and
subsequently tested the influence of these marine biofilms on plantigrade
settlement by the mussel Mytilus coruscus. AgNP-filled PDMS significantly reduced
the dry weight and bacterial density of biofilms compared with the glass and PDMS
controls. AgNP incorporation impacted bacterial communities by reducing the
relative abundance of Flavobacteriaceae (phylum: Bacteroidetes) and increasing
the relative abundance of Vibrionaceae (phylum: Proteobacteria) in 28-day-old
biofilms compared to PDMS. The settlement rate of M. coruscus on 28-day-old
biofilms developed on AgNPs was lower by >30% compared to settlement on control
biofilms. Thus, the incorporation of AgNPs influences biofilm bacterial
communities in the marine environment and subsequently inhibits mussel
settlement.
PMID- 27869181
TI - Functional states of rat cortical circuits during the unpredictable availability
of a reward-related cue.
AB - Proper performance of acquired abilities can be disturbed by the unexpected
occurrence of external changes. Rats trained with an operant conditioning task
(to press a lever in order to obtain a food pellet) using a fixed-ratio (1:1)
schedule were subsequently placed in a Skinner box in which the lever could be
removed randomly. Field postsynaptic potentials (fPSPs) were chronically evoked
in perforant pathway-hippocampal CA1 (PP-CA1), CA1-subiculum (CA1-SUB), CA1
medial prefrontal cortex (CA1-mPFC), mPFC-nucleus accumbens (mPFC-NAc), and mPFC
basolateral amygdala (mPFC-BLA) synapses during lever IN and lever OUT
situations. While lever presses were accompanied by a significant increase in
fPSP slopes at the five synapses, the unpredictable absence of the lever were
accompanied by decreased fPSP slopes in all, except PP-CA1 synapses. Spectral
analysis of local field potentials (LFPs) recorded when the animal approached the
corresponding area in the lever OUT situation presented lower spectral powers
than during lever IN occasions for all recording sites, apart from CA1. Thus, the
unpredictable availability of a reward-related cue modified the activity of
cortical and subcortical areas related with the acquisition of operant learning
tasks, suggesting an immediate functional reorganization of these neural circuits
to address the changed situation and to modify ongoing behaviors accordingly.
PMID- 27869182
TI - The effects of non-self-sustained oscillators on the en-trainment ability of the
suprachiasmatic nucleus.
AB - In mammals, the circadian rhythms of behavioral and physiological activities are
regulated by an endogenous clock located in the suprachiasmatic nucleus (SCN).
The SCN is composed of ~20,000 neurons, of which some are capable of self
sustained oscillations, while the others do not oscillate in a self-sustainable
manner, but show arrhythmic patterns or damped oscillations. Thus far, the
effects of these non-self-sustained oscillatory neurons are not fully explored.
Here, we examined how the proportion of the non-self-sustained oscillators
affects the free running period under constant darkness and the ability to
entrain to the light-dark cycle. We find that the proportion does not affect the
free running period, but plays a significant role in the range of entrainment. We
also find that its effect on the entrainment range depends on the region where
the non-self-sustained oscillators are located. If the non-self-sustained
oscillatory neurons are situated in the light-sensitive subregion, the
entrainment range narrows when the proportion increases. If they are situated in
the light-insensitive subregion, however, the entrainment range broadens with the
increase of the proportion. We suggest that the heterogeneity within the light
sensitive and light-insensitive subregions of the SCN has important consequences
for how the clock works.
PMID- 27869183
TI - Anion-controlled dimer distance induced unique solid-state fluorescence of cyano
substituted styrene pyridinium.
AB - Molecular packing arrangements play a key role in dominating the photophysical
properties of luminophores in aggregated state but fine control of the molecular
packing is a great challenge. This article describes a unique cyano substituted
styrene pyridinium with interesting solid-state fluorescence that can be finely
tuned by simple change of counteranions. The dilute solutions of the organic
salts (PyCl, PyNO3, PyOTs and PyPh4B) exhibit very weak fluorescence. The
crystals of the organic salts (PyCl, PyNO3, and PyOTs) show much enhanced
fluorescence compared with their dilute solutions. It is interesting that the
emissions changed from bluish-green to deep-blue and fluorescence quantum yields
increase from 2.5% to 13.1% with the increasing of steric hindrance of the anions
from chloridion, nitrate, to p-toluenesulfonate. Crystal and DFT studies reveal
that the enhanced fluorescence is ascribed to the formation of dimers and bigger
anions induce larger molecular separation in dimers. Tetraphenylboron anion with
very large steric hindrance impedes the formation of dimers and thus results in
non-fluorescent salt (PyPh4B). Meanwhile, this unique dimeric packing endows the
crystal of PyNO3 with anisotropic fluorescence.
PMID- 27869184
TI - In-situ growth of MnO2 crystals under nanopore-constraint in carbon nanofibers
and their electrochemical performance.
AB - Growing MnO2 nanocrystals in the bulk of porous carbon nanofibers is conducted in
a KMnO4 aqueous solution aimed to enhance the electrochemical performance of
MnO2. The rate of redox reaction between KMnO4 and carbon was controlled by the
concentration of KMnO4 in a neutral solution. The MnO2 nanoparticles grow along
with (211) crystal faces when the redox reaction happens on the surface of fibers
under 1D constraint, while the nanoparticles grow along with (200) crystal faces
when the redox reaction happens in the bulk of fibers under 3D constraint. The
composite, where MnO2 nanoparticles are formed in the bulk under a constraint,
yields an electrode material for supercapacitors showing good electron transport,
rapid ion penetration, fast and reversible Faradaic reaction, and excellent rate
performance. The capacitance of the composite electrode could be 1282 F g-1 under
a current density of 0.2 A g-1 in 1 M Na2SO4 electrolyte. A symmetric
supercapacitor delivers energy density of 36 Wh kg-1 with power density of 39 W
kg-1, and can maintain 7.5 Wh kg-1 at 10.3 kW kg-1. It exhibits an excellent
electrochemical cycling stability with 101% initial capacitance and 95% columbic
efficiency even after 1000 cycles of charge/discharge.
PMID- 27869185
TI - Metal artifact reduction through MVCBCT and kVCT in radiotherapy.
AB - This study proposes a new method for removal of metal artifacts from megavoltage
cone beam computed tomography (MVCBCT) and kilovoltage CT (kVCT) images. Both
images were combined to obtain prior image, which was forward projected to obtain
surrogate data and replace metal trace in the uncorrected kVCT image. The
corrected image was then reconstructed through filtered back projection. A
similar radiotherapy plan was designed using the theoretical CT image, the
uncorrected kVCT image, and the corrected image. The corrected images removed
most metal artifacts, and the CT values were accurate. The corrected image also
distinguished the hollow circular hole at the center of the metal. The
uncorrected kVCT image did not display the internal structure of the metal, and
the hole was misclassified as metal portion. Dose distribution calculated based
on the corrected image was similar to that based on the theoretical CT image. The
calculated dose distribution also evidently differed between the uncorrected kVCT
image and the theoretical CT image. The use of the combined kVCT and MVCBCT to
obtain the prior image can distinctly improve the quality of CT images containing
large metal implants.
PMID- 27869186
TI - Regulation of Anticancer Styrylpyrone Biosynthesis in the Medicinal Mushroom
Inonotus obliquus Requires Thioredoxin Mediated Transnitrosylation of S
nitrosoglutathione Reductase.
AB - The medicinal macrofungus Inonotus obliquus widely utilized as folk medicine in
Russia and Baltic countries is a source of phenylpropanoid-derived styrylpyrone
polyphenols that can inhibit tumor proliferation. Insights into the regulatory
machinery that controls I. obliquus styrylpyrone polyphenol biosynthesis will
enable strategies to increase the production of these molecules. Here we show
that Thioredoxin (Trx) mediated transnitrosylation of S-nitrosoglutathione
reductase (GSNOR) underpins the regulation of styrylpyrone production, driven by
nitric oxide (NO) synthesis triggered by P. morii coculture. NO accumulation
results in the S-nitrosylation of PAL and 4CL required for the synthesis of
precursor phenylpropanoids and styrylpyrone synthase (SPS), integral to the
production of styrylpyrone, inhibiting their activities. These enzymes are
targeted for denitrosylation by Trx proteins, which restore their activity.
Further, this Trx S-nitrosothiol (SNO) reductase activity was potentiated
following S-nitrosylation of Trx proteins at a non-catalytic cysteine (Cys)
residue. Intriguingly, this process was counterbalanced by Trx denitrosylation,
mediated by Trx-dependent transnitrosylation of GSNOR. Thus, unprecedented
interplay between Trx and GSNOR oxidoreductases regulates the biosynthesis of
styrylpyrone polyphenols in I. obliquus.
PMID- 27869187
TI - A new species of Trichoderma hypoxylon harbours abundant secondary metabolites.
AB - Some species of Trichoderma are fungicolous on fungi and have been extensively
studied and commercialized as biocontrol agents. Multigene analyses coupled with
morphology, resulted in the discovery of T. hypoxylon sp. nov., which was
isolated from surface of the stroma of Hypoxylon anthochroum. The new taxon
produces Trichoderma- to Verticillium-like conidiophores and hyaline conidia.
Phylogenetic analyses based on combined ITS, TEF1-alpha and RPB2 sequence data
indicated that T. hypoxylon is a well-distinguished species with strong bootstrap
support in the polysporum group. Chemical assessment of this species reveals a
richness of secondary metabolites with trichothecenes and
epipolythiodiketopiperazines as the major compounds. The fungicolous life style
of T. hypoxylon and the production of abundant metabolites are indicative of the
important ecological roles of this species in nature.
PMID- 27869189
TI - Species-Specific Standard Redox Potential of Thiol-Disulfide Systems: A Key
Parameter to Develop Agents against Oxidative Stress.
AB - Microscopic standard redox potential, a new physico-chemical parameter was
introduced and determined to quantify thiol-disulfide equilibria of biological
significance. The highly composite, codependent acid-base and redox equilibria of
thiols could so far be converted into pH-dependent, apparent redox potentials (E'
degrees ) only. Since the formation of stable metal-thiolate complexes precludes
the direct thiol-disulfide redox potential measurements by usual electrochemical
techniques, an indirect method had to be elaborated. In this work, the species
specific, pH-independent standard redox potentials of glutathione were determined
primarily by comparing it to 1-methylnicotinamide, the simplest NAD+ analogue.
Secondarily, the species-specific standard redox potentials of the two-electron
redox transitions of cysteamine, cysteine, homocysteine, penicillamine, and
ovothiol were determined using their microscopic redox equilibrium constants with
glutathione. The 30 different, microscopic standard redox potential values show
close correlation with the respective thiolate basicities and provide sound means
for the development of potent agents against oxidative stress.
PMID- 27869191
TI - Solar Output Controls Periodicity in Lake Productivity and Wetness at
Southernmost South America.
AB - Cyclic changes in total solar irradiance (TSI) during the Holocene are known to
affect global climatic conditions and cause cyclic climatic oscillations, e.g.,
Bond events and related changes of environmental conditions. However, the
processes how changes in TSI affect climate and environment of the Southern
Hemisphere, especially in southernmost South America, a key area for the global
climate, are still poorly resolved. Here we show that highly sensitive proxies
for aquatic productivity derived from sediments of a lake near the Chilean South
Atlantic coast (53 degrees S) strongly match the cyclic changes in TSI
throughout the Holocene. Intra-lake productivity variations show a periodicity of
~200-240 years coherent with the time series of TSI-controlled cosmogenic nuclide
10Be production. In addition TSI dependent periodicity of Bond events (~1500
years) appear to control wetness at the LH site indicated by mineral matter
erosion from the catchment to the lake assumingly through shifts of the position
of the southern westerly wind belt. Thus, both intra-lake productivity and
wetness at the southernmost South America are directly or indirectly controlled
by TSI.
PMID- 27869190
TI - Embodied neurofeedback with an anthropomorphic robotic hand.
AB - Neurofeedback-guided motor imagery training (NF-MIT) has been suggested as a
promising therapy for stroke-induced motor impairment. Whereas much NF-MIT
research has aimed at signal processing optimization, the type of sensory
feedback given to the participant has received less attention. Often the feedback
signal is highly abstract and not inherently coupled to the mental act performed.
In this study, we asked whether an embodied feedback signal is more efficient for
neurofeedback operation than a non-embodiable feedback signal. Inspired by the
rubber hand illusion, demonstrating that an artificial hand can be incorporated
into one's own body scheme, we used an anthropomorphic robotic hand to visually
guide the participants' motor imagery act and to deliver neurofeedback. Using two
experimental manipulations, we investigated how a participant's neurofeedback
performance and subjective experience were influenced by the embodiability of the
robotic hand, and by the neurofeedback signal's validity. As pertains to
embodiment, we found a promoting effect of robotic-hand embodiment in subjective,
behavioral, electrophysiological and electrodermal measures. Regarding
neurofeedback signal validity, we found some differences between real and sham
neurofeedback in terms of subjective and electrodermal measures, but not in terms
of behavioral and electrophysiological measures. This study motivates the further
development of embodied feedback signals for NF-MIT.
PMID- 27869188
TI - Structural and immunomodulatory differences among lactobacilli exopolysaccharides
isolated from intestines of mice with experimentally induced inflammatory bowel
disease.
AB - Characteristic changes in the microbiota biostructure and a decreased tolerance
to intestinal bacteria have been associated with inflammatory bowel disease
(IBD). However, few studies have examined the constituents of the intestinal
microbiota, including the surface molecules of the bacteria, in healthy and IBD
subsets. Here, we compare the chemical structures and immunomodulatory properties
of the exopolysaccharides (EPS) of lactobacilli isolated from mice with induced
IBD (IBD "+") versus those of healthy mice (IBD "-"). Classical structural
analyses were performed using nuclear magnetic resonance spectroscopy and mass
spectrometry. Immunomodulatory properties were assessed by stimulation of
dendritic cells derived from mouse bone marrow or human peripheral mononuclear
blood cells. Our results revealed that EPS produced by IBD "+" species are
structurally different from those isolated from IBD "-". Moreover, the
structurally different EPS generate different immune responses by dendritic
cells. We speculate that resident strains could, upon gut inflammation, switch to
producing EPS with specific motifs that are absent from lactobacilli IBD "-",
and/or that bacteria with a particular EPS structure might inhabit the inflamed
intestinal mucosa. This study may shed light on the role of EPS in IBD and help
the development of a specific probiotic therapy for this disease.
PMID- 27869193
TI - Towards 'smart lasers': self-optimisation of an ultrafast pulse source using a
genetic algorithm.
AB - Short-pulse fibre lasers are a complex dynamical system possessing a broad space
of operating states that can be accessed through control of cavity parameters.
Determination of target regimes is a multi-parameter global optimisation problem.
Here, we report the implementation of a genetic algorithm to intelligently locate
optimum parameters for stable single-pulse mode- locking in a Figure-8 fibre
laser, and fully automate the system turn-on procedure. Stable ultrashort pulses
are repeatably achieved by employing a compound fitness function that monitors
both temporal and spectral output properties of the laser. Our method of encoding
photonics expertise into an algorithm and applying machine-learning principles
paves the way to self-optimising 'smart' optical technologies.
PMID- 27869194
TI - A highly efficient, stable, durable, and recyclable filter fabricated by
femtosecond laser drilling of a titanium foil for oil-water separation.
AB - It has been a long standing challenge to efficiently separate oil and water since
prehistoric times, and now it has become even more desirable in oily wastewater
purification and oil spill cleanup. Here we introduce a super oil-water
separation filter with superhydrophilicity and underwater superoleophobicity,
fabricated using femtosecond laser micro-hole drilling of a titanium foil. Such a
simply-made filter, without any modification, can achieve a separation efficiency
exceeding 99% in eight typical oil-water mixtures. It remains highly efficient
after 40 cycles of recycling and after suffering erosion by corrosive media.
Furthermore, the used filter, polluted with oil, could be recovered by
ultraviolet illumination. The flux of filtered water is tunable by simply
selecting the aperture of the microhole or the spacing between adjacent
microholes. Such advanced functionality is due to roughness and the TiO2 layers
on the ablated surface during fabrication. With superhydrophilic and
superoleophobic surfaces, this oil-water filer is also suitable for applications
in anti-fouling, anti-smudge, anti-fog, and self-cleaning.
PMID- 27869192
TI - Peritoneal adhesion prevention with a biodegradable and injectable N,O
carboxymethyl chitosan-aldehyde hyaluronic acid hydrogel in a rat repeated-injury
model.
AB - Postoperative peritoneal adhesion is one of the serious issues because it induces
severe clinical disorders. In this study, we prepared biodegradable and
injectable hydrogel composed of N,O-carboxymethyl chitosan (NOCC) and aldehyde
hyaluronic acid (AHA), and assessed its anti-adhesion effect in a rigorous and
severe recurrent adhesion model which is closer to clinical conditions. The
flexible hydrogel, which gelated in 66 seconds at 37 degrees C, was cross-linked
by the schiff base derived from the amino groups of NOCC and aldehyde groups in
AHA. In vitro cytotoxicity test showed the hydrogel was non-toxic. In vitro and
in vivo degradation examinations demonstrated the biodegradable and
biocompatibility properties of the hydrogel. The hydrogel discs could prevent the
invasion of fibroblasts, whereas fibroblasts encapsulated in the porous 3
dimensional hydrogels could grow and proliferate well. Furthermore, the hydrogel
was applied to evaluate the anti-adhesion efficacy in a more rigorous recurrent
adhesion model. Compared with normal saline group and commercial hyaluronic acid
(HA) hydrogel, the NOCC-AHA hydrogel exhibited significant reduction of
peritoneal adhesion. Compared to control group, the blood and abdominal lavage
level of tPA was increased in NOCC-AHA hydrogel group. These findings suggested
that NOCC-AHA hydrogel had a great potential to serve as an anti-adhesion
candidate.
PMID- 27869195
TI - Quantum memory with strong and controllable Rydberg-level interactions.
AB - Realization of distributed quantum systems requires fast generation and long-term
storage of quantum states. Ground atomic states enable memories with storage
times in the range of a minute, however their relatively weak interactions do not
allow fast creation of non-classical collective states. Rydberg atomic systems
feature fast preparation of singly excited collective states and their efficient
mapping into light, but storage times in these approaches have not yet exceeded a
few microseconds. Here we demonstrate a system that combines fast quantum state
generation and long-term storage. An initially prepared coherent state of an
atomic memory is transformed into a non-classical collective atomic state by
Rydberg-level interactions in less than a microsecond. By sheltering the quantum
state in the ground atomic levels, the storage time is increased by almost two
orders of magnitude. This advance opens a door to a number of quantum protocols
for scalable generation and distribution of entanglement.
PMID- 27869197
TI - Polarization bandgaps and fluid-like elasticity in fully solid elastic
metamaterials.
AB - Elastic waves exhibit rich polarization characteristics absent in acoustic and
electromagnetic waves. By designing a solid elastic metamaterial based on three
dimensional anisotropic locally resonant units, here we experimentally
demonstrate polarization bandgaps together with exotic properties such as 'fluid
like' elasticity. We construct elastic rods with unusual vibrational properties,
which we denote as 'meta-rods'. By measuring the vibrational responses under
flexural, longitudinal and torsional excitations, we find that each vibration
mode can be selectively suppressed. In particular, we observe in a finite
frequency regime that all flexural vibrations are forbidden, whereas longitudinal
vibration is allowed-a unique property of fluids. In another case, the torsional
vibration can be suppressed significantly. The experimental results are well
interpreted by band structure analysis, as well as effective media with
indefinite mass density and negative moment of inertia. Our work opens an
approach to efficiently separate and control elastic waves of different
polarizations in fully solid structures.
PMID- 27869196
TI - T-cell stimuli independently sum to regulate an inherited clonal division fate.
AB - In the presence of antigen and costimulation, T cells undergo a characteristic
response of expansion, cessation and contraction. Previous studies have revealed
that population-level reproducibility is a consequence of multiple clones
exhibiting considerable disparity in burst size, highlighting the requirement for
single-cell information in understanding T-cell fate regulation. Here we show
that individual T-cell clones resulting from controlled stimulation in vitro are
strongly lineage imprinted with highly correlated expansion fates. Progeny from
clonal families cease dividing in the same or adjacent generations, with inter
clonal variation producing burst-size diversity. The effects of costimulatory
signals on individual clones sum together with stochastic independence;
therefore, the net effect across multiple clones produces consistent, but
heterogeneous population responses. These data demonstrate that substantial
clonal heterogeneity arises through differences in experience of clonal
progenitors, either through stochastic antigen interaction or by differences in
initial receptor sensitivities.
PMID- 27869198
TI - Sub-optimality in motor planning is retained throughout 9 days practice of 2250
trials.
AB - Optimality in motor planning, as well as accuracy in motor execution, is required
to maximize expected gain under risk. In this study, we tested whether humans are
able to update their motor planning. Participants performed a coincident timing
task with an asymmetric gain function, in which optimal response timing to gain
the highest total score depends on response variability. Their behaviours were
then compared using a Bayesian optimal decision model. After 9 days of practicing
2250 trials, the total score increased, and temporal variance decreased. On the
other hand, the participants showed consistent risk-seeking or risk-averse
behaviour, preserving suboptimal motor planning. These results suggest that a
human's computational ability to calculate an optimal motor plan is limited, and
it is difficult to improve it through repeated practice with a score feedback.
PMID- 27869199
TI - Ion mobility spectrometry as a simple and rapid method to measure the plasma
propofol concentrations for intravenous anaesthesia monitoring.
AB - The plasma propofol concentration is important information for anaesthetists to
monitor and adjust the anaesthesia depth for patients during a surgery operation.
In this paper, a stand-alone ion mobility spectrometer (IMS) was constructed for
the rapid measurement of the plasma propofol concentrations. Without any sample
pre-treatment, the plasma samples were dropped on a piece of glass microfiber
paper and then introduced into the IMS cell by the thermal desorption directly.
Each individual measurement could be accomplished within 1 min. For the plasma
propofol concentrations from 1 to 12 MUg mL-1, the IMS response was linear with a
correlation coefficient R2 of 0.998, while the limit of detection was evaluated
to be 0.1 MUg mL-1. These measurement results did meet the clinical application
requirements. Furthermore, other clinically-often-used drugs, including
remifentanil, flurbiprofen and atracurium, were found no significant interference
with the qualitative and quantitative analysis of the plasma propofol. The plasma
propofol concentrations measured by IMS were correlated well with those measured
by the high performance liquid chromatography (HPLC). The results confirmed an
excellent agreement between these two methods. Finally, this method was applied
to monitor the plasma propofol concentrations for a patient undergoing surgery,
demonstrating its capability of anaesthesia monitoring in real clinical
environments.
PMID- 27869200
TI - Classification of Tandem Mass Spectra for Identification of N- and O-linked
Glycopeptides.
AB - Analysis of intact glycopeptides by mass spectrometry is essential to determining
the microheterogeneity of protein glycosylation. Higher-energy collisional
dissociation (HCD) fragmentation of glycopeptides generates mono- or disaccharide
ions called oxonium ions that carry information about the structure of the
fragmented glycans. Here, we investigated the link between glycan structures and
the intensity of oxonium ions in the spectra of glycopeptides and utilized this
information to improve the identification of glycopeptides in biological samples.
Tandem spectra of glycopeptides from fetuin, glycophorin A, ovalbumin and gp120
tryptic digests were used to build a spectral database of N- and O-linked
glycopeptides. Logistic regression was applied to this database to develop model
to distinguish between the spectra of N- and O-linked glycopeptides. Remarkably,
the developed model was found to reliably distinguish between the N- and O-linked
glycopeptides using the spectral features of the oxonium ions using verification
spectral set. Finally, the performance of the developed predictive model was
evaluated in HILIC enriched glycopeptides extracted from human serum. The results
showed that pre-classification of tandem spectra based on their glycosylation
type improved the identification of N-linked glycopeptides. The developed model
facilitates interpretation of tandem mass spectrometry data for assignment of
glycopeptides.
PMID- 27869201
TI - Cardioprotection by combination of three compounds from ShengMai preparations in
mice with myocardial ischemia/reperfusion injury through AMPK activation-mediated
mitochondrial fission.
AB - GRS is a drug combination of three active components including ginsenoside Rb1,
ruscogenin and schisandrin. It derived from the well-known TCM formula ShengMai
preparations, a widely used traditional Chinese medicine for the treatment of
cardiovascular diseases in clinic. The present study explores the
cardioprotective effects of GRS on myocardial ischemia/reperfusion (MI/R) injury
compared with ShengMai preparations and investigates the underlying mechanisms.
GRS treatment significantly attenuated MI/R injury and exhibited similar efficacy
as Shengmai preparations, as evidenced by decreased myocardium infarct size,
ameliorated histological features, the decrease of LDH production and improved
cardiac function, and also produced a significant decrease of apoptotic index.
Mechanistically, GRS alleviated myocardial apoptosis by inhibiting the
mitochondrial mediated apoptosis pathway as reflected by inhibition of caspase-3
activity, normalization of Bcl-2/Bax levels and improved mitochondrial function.
Moreover, GRS prevented cardiomyocytes mitochondrial fission and upregulated
AMPKalpha phosphorylation. Interestingly, AMPK activation prevented hypoxia and
reoxygenation induced mitochondrial fission in cardiomyocytes and GRS actions
were significantly attenuated by knockdown of AMPKalpha. Collectively, these data
show that GRS is effective in mitigating MI/R injury by suppressing mitochondrial
mediated apoptosis and modulating AMPK activation-mediated mitochondrial fission,
thereby providing a rationale for future clinical applications and potential
therapeutic strategy for MI/R injury.
PMID- 27869203
TI - No effect of natural transformation on the evolution of resistance to
bacteriophages in the Acinetobacter baylyi model system.
AB - The adaptive benefits of natural transformation, the active uptake of free DNA
molecules from the environment followed by incorporation of this DNA into the
genome, may be the improved response to selection resulting from increased
genetic variation. Drawing analogies with sexual reproduction, transformation may
be particularly beneficial when selection rapidly fluctuates during coevolution
with virulent parasites ('the Red Queen Hypothesis'). Here we test this
hypothesis by experimentally evolving the naturally transformable and
recombinogenic species Acinetobacter baylyi with a cocktail of lytic phages. No
increased levels of resistance to phage were found in the wild type compared to a
recombination deficient DeltadprA strain after five days of evolution. When
exposed to A. baylyi DNA and phage, naturally transformable cells show greater
levels of phage resistance. However, increased resistance arose regardless of
whether they were exposed to DNA from phage-sensitive or -resistant A. baylyi,
suggesting resistance was not the result of transformation, but was related to
other benefits of competence. Subsequent evolution in the absence of phages did
not show that recombination could alleviate the cost of resistance. Within this
study system we found no support for transformation-mediated recombination being
an advantage to bacteria exposed to parasitic phages.
PMID- 27869202
TI - Suppression of Rac1 Signaling by Influenza A Virus NS1 Facilitates Viral
Replication.
AB - Influenza A virus (IAV) is a major human pathogen with the potential to become
pandemic. IAV contains only eight RNA segments; thus, the virus must fully
exploit the host cellular machinery to facilitate its own replication. In an
effort to comprehensively characterize the host machinery taken over by IAV in
mammalian cells, we generated stable A549 cell lines with over-expression of the
viral non-structural protein (NS1) to investigate the potential host factors that
might be modulated by the NS1 protein. We found that the viral NS1 protein
directly interacted with cellular Rac1 and facilitated viral replication. Further
research revealed that NS1 down-regulated Rac1 activity via post-translational
modifications. Therefore, our results demonstrated that IAV blocked Rac1-mediated
host cell signal transduction through the NS1 protein to facilitate its own
replication. Our findings provide a novel insight into the mechanism of IAV
replication and indicate new avenues for the development of potential therapeutic
targets.
PMID- 27869205
TI - Using instrumental variables to disentangle treatment and placebo effects in
blinded and unblinded randomized clinical trials influenced by unmeasured
confounders.
AB - Clinical trials traditionally employ blinding as a design mechanism to reduce the
influence of placebo effects. In practice, however, it can be difficult or
impossible to blind study participants and unblinded trials are common in medical
research. Here we show how instrumental variables can be used to quantify and
disentangle treatment and placebo effects in randomized clinical trials comparing
control and active treatments in the presence of confounders. The key idea is to
use randomization to separately manipulate treatment assignment and psychological
encouragement conversations/interactions that increase the participants' desire
for improved symptoms. The proposed approach is able to improve the estimation of
treatment effects in blinded studies and, most importantly, opens the doors to
account for placebo effects in unblinded trials.
PMID- 27869204
TI - mRNA changes in nucleus accumbens related to methamphetamine addiction in mice.
AB - Methamphetamine (METH) is a highly addictive psychostimulant that elicits
aberrant changes in the expression of microRNAs (miRNAs) and long non-coding RNAs
(lncRNAs) in the nucleus accumbens of mice, indicating a potential role of METH
in post-transcriptional regulations. To decipher the potential consequences of
these post-transcriptional regulations in response to METH, we performed strand
specific RNA sequencing (ssRNA-Seq) to identify alterations in mRNA expression
and their alternative splicing in the nucleus accumbens of mice following
exposure to METH. METH-mediated changes in mRNAs were analyzed and correlated
with previously reported changes in non-coding RNAs (miRNAs and lncRNAs) to
determine the potential functions of these mRNA changes observed here and how non
coding RNAs are involved. A total of 2171 mRNAs were differentially expressed in
response to METH with functions involved in synaptic plasticity, mitochondrial
energy metabolism and immune response. 309 and 589 of these mRNAs are potential
targets of miRNAs and lncRNAs respectively. In addition, METH treatment decreases
mRNA alternative splicing, and there are 818 METH-specific events not observed in
saline-treated mice. Our results suggest that METH-mediated addiction could be
attributed by changes in miRNAs and lncRNAs and consequently, changes in mRNA
alternative splicing and expression. In conclusion, our study reported a
methamphetamine-modified nucleus accumbens transcriptome and provided non-coding
RNA-mRNA interaction networks possibly involved in METH addiction.
PMID- 27869206
TI - Endotoxin free hyaluronan and hyaluronan fragments do not stimulate TNF-alpha,
interleukin-12 or upregulate co-stimulatory molecules in dendritic cells or
macrophages.
AB - The extracellular matrix glycosaminoglycan, hyaluronan, has been described as a
regulator of tissue inflammation, with hyaluronan fragments reported to stimulate
innate immune cells. High molecular mass hyaluronan is normally present in
tissues, but upon inflammation lower molecular mass fragments are generated. It
is unclear if these hyaluronan fragments induce an inflammatory response or are a
consequence of inflammation. In this study, mouse bone marrow derived macrophages
and dendritic cells (DCs) were stimulated with various sizes of hyaluronan from
different sources, fragmented hyaluronan, hyaluronidases and heavy chain modified
hyaluronan (HA-HC). Key pro-inflammatory molecules, tumour necrosis factor alpha,
interleukin-1 beta, interleukin-12, CCL3, and the co-stimulatory molecules, CD40
and CD86 were measured. Only human umbilical cord hyaluronan, bovine testes and
Streptomyces hyaluronlyticus hyaluronidase stimulated macrophages and DCs,
however, these reagents were found to be contaminated with endotoxin, which was
not fully removed by polymyxin B treatment. In contrast, pharmaceutical grade
hyaluronan and hyaluronan fragments failed to stimulate in vitro-derived or ex
vivo macrophages and DCs, and did not induce leukocyte recruitment after
intratracheal instillation into mouse lungs. Hence, endotoxin-free pharmaceutical
grade hyaluronan does not stimulate macrophages and DCs in our inflammatory
models. These results emphasize the importance of ensuring hyaluronan
preparations are endotoxin free.
PMID- 27869208
TI - Corrigendum: SparRec: An effective matrix completion framework of missing data
imputation for GWAS.
PMID- 27869209
TI - Mitigating effects of ex situ application of rice straw on CH4 and N2O emissions
from paddy-upland coexisting system.
AB - The in situ application of rice straw enhances CH4 emissions by a large margin.
The ex situ application of rice straw in uplands, however, may mitigate total
global warming potential (GWP) of CH4 and N2O emissions from paddy-upland
coexisting systems. To evaluate the efficiency of this practice, two field trials
were conducted in rice-rice-fallow and maize-rape cropping systems, respectively.
Year-round measurements of CH4 and N2O emissions were conducted to evaluate the
system-scaled GWP. The results showed that CH4 accounted for more than 98% of GWP
in paddy. Straw removal from paddy decreased 44.7% (302.1 kg ha-1 yr-1) of CH4
emissions and 51.2% (0.31 kg ha-1 yr-1) of N2O emissions, thus decreased 44.8%
(7693 kg CO2-eqv ha-1 yr-1) of annual GWP. N2O accounted for almost 100% of GWP
in upland. Straw application in upland had insignificant effects on CH4 and N2O
emissions, which increased GWP only by 91 kg CO2-eqv ha-1 yr-1. So, the transfer
of straw from paddy to upland could decrease GWP by 7602 kg CO2-eqv ha-1 yr-1.
Moreover, straw retention during late rice season contributed to 88.2% of annual
GWP increment. It is recommended to transfer early rice straw to upland
considering GWP mitigation, nutrient recycling and labor cost.
PMID- 27869207
TI - Erratum: Control of ADAM17 activity by regulation of its cellular localisation.
PMID- 27869210
TI - Delivery Room Quality Improvement Project Improved Compliance with Best Practices
for a Community NICU.
AB - A Quality Improvement bundle was implemented with the goal of standardizing the
multidisciplinary approach to delivery room management. We used a Pre-Post
Quality Improvement initiative with the following aims: (1) Placement of a
functioning pulse oximeter by two minutes after birth, (2) Delayed intubation,
(3) Normothermia on Neonatal Intensive Care Unit Admission, (4) Use of a pre
brief, debrief, and delivery room checklist. Data was collected for 548 infants,
which represents every admission to the Palomar Rady Children's Hospital Neonatal
Intensive Care Unit during the 35 month study period from January 1, 2010 to
November 30, 2012. The intervention began on May 1, 2011. The objective of
increasing the frequency of each goal was met. A significant decrease in rates of
retinopathy of prematurity in our post-intervention group was found. Odds ratio
0.00 (0.000, 0.696) p = 0.008. However, this was not confirmed in the
multivariable analysis so should be interpreted with caution. This quality
improvement project had a positive effect on newborn resuscitation at Palomar
Medical Center.
PMID- 27869211
TI - Homocysteine and Its Relationship to Asymptomatic Carotid Stenosis in a Chinese
Community Population.
AB - Little is known about the association between homocysteine (Hcy) and asymptomatic
CAS in the healthy population. The purpose of this study was to investigate the
relationship between Hcy levels and asymptomatic CAS in a Chinese community
population. The current study included 5393 participants who were age of 40 years
or older, and free of stroke, transient ischemic attack, and coronary artery
disease. Demographic and clinical variables were investigated, and the presence
of CAS was assessed by Color Doppler Ultrasound. A multivariate logistic
regression was used to examine the association between Hcy levels and
asymptomatic CAS. 361 (6.69%) participants were diagnosed with asymptomatic CAS,
who had higher Hcy levels compared with those without (p-value for trend =
0.0001). After adjusting other possible risk factors, Hcy > 19.3MUmol/L was
considered as an independent indicator of asymptomatic CAS (OR 1.53, 95%CI 1.05
2.23; p-value for trend = 0.0265), but with a difference between participants
with diabetes and without [OR (95%CI): 2.89(1.02-8.22) vs. 1.42(0.95-2.12); P
interaction < 0.05]. In this large-population, community-based study, Hcy is an
independent indicator of asymptomatic CAS, especially in patients with diabetes.
PMID- 27869213
TI - Highly Efficient Spin-Current Operation in a Cu Nano-Ring.
AB - An all-metal lateral spin-valve structure has been fabricated with a medial
Copper nano-ring to split the diffusive spin-current path. We have demonstrated
significant modulation of the non-local signal by the application of a magnetic
field gradient across the nano-ring, which is up to 30% more efficient than the
conventional Hanle configuration at room temperature. This was achieved by
passing a dc current through a current-carrying bar to provide a locally induced
Ampere field. We have shown that in this manner a lateral spin-valve gains an
additional functionality in the form of three-terminal gate operation for future
spintronic logic.
PMID- 27869214
TI - Irradiance-dependent UVB Photocarcinogenesis.
AB - Ultraviolet B (UVB) radiation from the sun may lead to photocarcinogenesis of the
skin. Sunscreens were used to protect the skin by reducing UVB irradiance, but
sunscreen use did not reduce sunburn episodes. It was shown that UVB-induced
erythema depends on surface exposure but not irradiance of UVB. We previously
showed that irradiance plays a critical role in UVB-induced cell differentiation.
This study investigated the impact of irradiance on UVB-induced
photocarcinogenesis. For hairless mice receiving equivalent exposure of UVB
radiation, the low irradiance (LI) UVB treated mice showed more rapid tumor
development, larger tumor burden, and more keratinocytes harboring mutant p53 in
the epidermis as compared to their high irradiance (HI) UVB treated counterpart.
Mechanistically, using cell models, we demonstrated that LI UVB radiation allowed
more keratinocytes harboring DNA damages to enter cell cycle via ERK-related
signaling as compared to its HI UVB counterpart. These results indicated that at
equivalent exposure, UVB radiation at LI has higher photocarcinogenic potential
as compared to its HI counterpart. Since erythema is the observed sunburn at
moderate doses and use of sunscreen was not found to associate with reduced
sunburn episodes, the biological significance of sunburn with or without
sunscreen use warrants further investigation.
PMID- 27869216
TI - Erratum: Lipid membrane-mediated attraction between curvature inducing objects.
PMID- 27869212
TI - The ERF transcription factor family in cassava: genome-wide characterization and
expression analyses against drought stress.
AB - Cassava (Manihot esculenta) shows strong tolerance to drought stress; however,
the mechanisms underlying this tolerance are poorly understood. Ethylene response
factor (ERF) family genes play a crucial role in plants responding to abiotic
stress. Currently, less information is known regarding the ERF family in cassava.
Herein, 147 ERF genes were characterized from cassava based on the complete
genome data, which was further supported by phylogenetic relationship, gene
structure, and conserved motif analyses. Transcriptome analysis suggested that
most of the MeERF genes have similar expression profiles between W14 and Arg7
during organ development. Comparative expression profiles revealed that the
function of MeERFs in drought tolerance may be differentiated in roots and leaves
of different genotypes. W14 maintained strong tolerance by activating more MeERF
genes in roots compared to Arg7 and SC124, whereas Arg7 and SC124 maintained
drought tolerance by inducing more MeERF genes in leaves relative to W14.
Expression analyses of the selected MeERF genes showed that most of them are
significantly upregulated by osmotic and salt stresses, whereas slightly induced
by cold stress. Taken together, this study identified candidate MeERF genes for
genetic improvement of abiotic stress tolerance and provided new insights into
ERF-mediated cassava tolerance to drought stress.
PMID- 27869215
TI - Crosstalk between sugarcane and a plant-growth promoting Burkholderia species.
AB - Bacterial species in the plant-beneficial-environmental clade of Burkholderia
represent a substantial component of rhizosphere microbes in many plant species.
To better understand the molecular mechanisms of the interaction, we combined
functional studies with high-resolution dual transcriptome analysis of sugarcane
and root-associated diazotrophic Burkholderia strain Q208. We show that
Burkholderia Q208 forms a biofilm at the root surface and suppresses the
virulence factors that typically trigger immune response in plants. Up-regulation
of bd-type cytochromes in Burkholderia Q208 suggests an increased energy
production and creates the microaerobic conditions suitable for BNF. In this
environment, a series of metabolic pathways are activated in Burkholderia Q208
implicated in oxalotrophy, microaerobic respiration, and formation of PHB
granules, enabling energy production under microaerobic conditions. In the plant,
genes involved in hypoxia survival are up-regulated and through increased
ethylene production, larger aerenchyma is produced in roots which in turn
facilitates diffusion of oxygen within the cortex. The detected changes in gene
expression, physiology and morphology in the partnership are evidence of a
sophisticated interplay between sugarcane and a plant-growth promoting
Burkholderia species that advance our understanding of the mutually beneficial
processes occurring in the rhizosphere.
PMID- 27869217
TI - Magnesium alloy covered stent for treatment of a lateral aneurysm model in rabbit
common carotid artery: An in vivo study.
AB - Magnesium alloy covered stents have rarely been used in the common carotid artery
(CCA). We evaluated the long-term efficacy of magnesium alloy covered stents in a
lateral aneurysm model in rabbit CCA. Magnesium alloy covered stents (group A, n
= 7) or Willis covered stents (group B, n = 5) were inserted in 12 New Zealand
White rabbits and they were followed up for 12 months. The long-term feasibility
for aneurysm occlusion was studied through angiograms; the changes in vessel area
and lumen area were assessed with IVUS. Complete aneurysmal occlusion was
achieved in all aneurysms. Angiography showed that the diameter of the stented
CCA in group A at 6 and 12 months was significantly greater than the diameter
immediately after stent placement. On intravascular ultrasound (IVUS)
examination, the mean lumen area of the stented CCA in group A was significantly
greater at 6 and 12 months than that immediately after stent placement; the mean
lumen area was also significantly greater in group A than in group B at the same
time points. The magnesium alloy covered stents proved to be an effective
approach for occlusion of lateral aneurysm in the rabbit CCA; it provides
distinct advantages that are comparable to that obtained with the Willis covered
stent.
PMID- 27869220
TI - Using the virtual reality device Oculus Rift for neuropsychological assessment of
visual processing capabilities.
AB - Neuropsychological assessment of human visual processing capabilities strongly
depends on visual testing conditions including room lighting, stimuli, and
viewing-distance. This limits standardization, threatens reliability, and
prevents the assessment of core visual functions such as visual processing speed.
Increasingly available virtual reality devices allow to address these problems.
One such device is the portable, light-weight, and easy-to-use Oculus Rift. It is
head-mounted and covers the entire visual field, thereby shielding and
standardizing the visual stimulation. A fundamental prerequisite to use Oculus
Rift for neuropsychological assessment is sufficient test-retest reliability.
Here, we compare the test-retest reliabilities of Bundesen's visual processing
components (visual processing speed, threshold of conscious perception, capacity
of visual working memory) as measured with Oculus Rift and a standard CRT
computer screen. Our results show that Oculus Rift allows to measure the
processing components as reliably as the standard CRT. This means that Oculus
Rift is applicable for standardized and reliable assessment and diagnosis of
elementary cognitive functions in laboratory and clinical settings. Oculus Rift
thus provides the opportunity to compare visual processing components between
individuals and institutions and to establish statistical norm distributions.
PMID- 27869221
TI - In-situ nano-crystal-to-crystal transformation synthesis of energetic materials
based on three 5,5'-azotetrazolate Cr(III) salts.
AB - The in-situ nano-crystal-to-crystal transformation (SCCT) synthesis provides a
powerful approach for tailoring controllable feature shapes and sizes of nano
crystals. In this work, three nitrogen-rich energetic nano-crystals based on 5,5'
azotetrazolate(AZT2-) Cr(III) salts were synthesized by means of SCCT
methodology. SEM and TEM analyses show that the energetic nano-crystals feature a
composition- and structure-dependent together with size-dependent thermal
stability. Moreover, nano-scale decomposition products can be obtained above 500
degrees C, providing a new method for preparing metallic oxide nano materials.
PMID- 27869218
TI - N-linked glycosylation at Asn152 on CD147 affects protein folding and stability:
promoting tumour metastasis in hepatocellular carcinoma.
AB - Cluster of differentiation 147 (CD147), also known as extracellular matrix
metalloproteinase inducer, is a transmembrane glycoprotein that mediates
oncogenic processes partly through N-glycosylation modifications. N-glycosylation
has been demonstrated to be instrumental for the regulation of CD147 function
during malignant transformation. However, the role that site-specific
glycosylation of CD147 plays in its defective function in hepatocellular
carcinomacells needs to be determined. Here, we demonstrate that the modification
of N-glycosylation at Asn152 on CD147 strongly promotes hepatocellular carcinoma
(HCC) invasion and migration. After the removal of N-glycans at Asn152, CD147 was
more susceptible to degradation by ER-localized ubiquitin ligase-mediated
endoplasmic reticulum-associated degradation (ERAD). Furthermore, N-linked
glycans at Asn152 were required for CD147 to acquire and maintain proper folding
in the ER. Moreover, N-linked glycans at Asn152 functioned as a recognition motif
that was directly mediated by the CNX quality control system. Two phases in the
retention-based ER chaperones system drove ER-localized CD147 trafficking to
degradation. Deletion of N-linked glycosylation at Asn152 on CD147 significantly
suppressed in situ tumour metastasis. These data could potentially shed light on
the molecular regulation of CD147 through glycosylation and provide a valuable
means of developing drugs that target N-glycans at Asn152 on CD147.
PMID- 27869219
TI - A Novel Role of Spred2 in the Colonic Epithelial Cell Homeostasis and
Inflammation.
AB - Rapid and adequate mucosal healing is important for a remission of ulcerative
colitis (UC) patients. Here, we examined whether Spred2, a member of the Sprouty
related EVH1-domain-containing proteins that inhibit the Ras/Raf/ERK pathway,
plays a role in colonic mucosal homeostasis and inflammation by using Spred2
knockout (KO) mice. We first detected increased epithelial cell proliferation and
cadherin 1 expression in the colon of naive Spred2 KO mice compared to wild-type
mice. Interestingly, Spred2 KO mice were resistant to dextran sulfate sodium
(DSS)-induced acute colitis as indicated by lower levels of body weight loss and
disease activity index. Histologically, epithelial cell injury and inflammation
were milder in the colonic mucosa of Spred2 KO mice on day 3 and almost
undetectable by day 8. Experiments with bone chimeric mice indicated that Spred2
deficiency in non-hematopoietic cells was responsible for the reduced sensitivity
to DSS. Finally, Spred2 KO mice developed significantly fewer tumors in response
to azoxymethane plus DSS. Taken together, our results demonstrate, for the first
time, that Spred2 plays an important role in the regulation of colonic epithelial
cell proliferation and inflammation by potentially down-regulating the activation
of ERK. Thus, Spred2 may be a new therapeutic target for the treatment of UC.
PMID- 27869222
TI - Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm
silicon (111) substrates employing different buffer layer configurations.
AB - AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm
diameter Si(111) substrates by using three different buffer layer configurations:
(a) Thick-GaN/3 * {AlxGa1-xN}/AlN, (b) Thin-GaN/3 * {AlxGa1-xN}/AlN, and (c) Thin
GaN/AlN, so as to have crack-free and low-bow (<50 MUm) wafer. Scanning electron
microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section
transmission electron microscopy, optical microscopy, atomic-force microscopy,
cathodoluminescence, Raman spectroscopy, X-ray diffraction (omega/2theta scan and
symmetric/asymmetric omega scan (rocking curve scan), reciprocal space mapping)
and Hall effect measurements are employed to study the structural, optical, and
electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer
layer stacks (i.e. thickness and content) on defectivity, stress, and two
dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It
is shown that 2DEG characteristics are heavily affected by the employed buffer
layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we
report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG
carrier concentration significantly. Buffer layer engineering is shown to be
essential for achieving high 2DEG mobility (>1800 cm2/V?s) and 2DEG carrier
concentration (>1.0 * 1013 cm-2) on Si(111) substrates.
PMID- 27869223
TI - Insight into the metabolic mechanism of scoparone on biomarkers for inhibiting
Yanghuang syndrome.
AB - Scoparone (6,7-dimethoxycoumarin) is the representative ingredient of Yinchenhao
(Artemisia capillaris Thunb.) which is a famous Chinese medicinal herb and shows
favorable efficacy for all kinds of liver disease, specifically for the treatment
of Yanghuang syndrome (YHS). The precise molecular mechanism concerning the
action of scoparone on YHS is yet to be fully elucidated. The aim of the present
study was to determine the mechanism of scoparone and evaluate its efficacy on
metabolite levels. The differential expression of metabolites responsible for the
pharmacological effects of scoparone was characterized and the protection effect
of scoparone against this disease. Using multivariate statistical analysis, 33
biomarkers were identified using precise MS/MS and play an important role in the
regulation of key metabolic pathways associated with liver disease. In addition,
pathological results also showed consistent changes in the YHS model group and
after treatment with scoparone, both the metabolic profile and histopathology
resembled that of normal level, which suggesting favorable efficacy over the
observed time period. The present work indicated that a metabolomics platform
provided a new insight into understanding the mechanisms of action of natural
medicines such as scoparone.
PMID- 27869224
TI - Selection of reliable reference genes for normalization of quantitative RT-PCR
from different developmental stages and tissues in amphioxus.
AB - Amphioxus is a closest living proxy to the ancestor of cephalochordates with
vertebrates, and key animal for novel understanding in the evolutionary origin of
vertebrate body plan, genome, tissues and immune system. Reliable analyses using
quantitative real-time PCR (qRT-PCR) for answering these scientific questions is
heavily dependent on reliable reference genes (RGs). In this study, we evaluated
stability of thirteen candidate RGs in qRT-PCR for different developmental stages
and tissues of amphioxus by four independent (geNorm, NormFinder, BestKeeper and
deltaCt) and one comparative algorithms (RefFinder). The results showed that the
top two stable RGs were the following: (1) S20 and 18 S in thirteen developmental
stages, (2) EF1A and ACT in seven normal tissues, (3) S20 and L13 in both
intestine and hepatic caecum challenged with lipopolysaccharide (LPS), and (4)
S20 and EF1A in gill challenged with LPS. The expression profiles of two target
genes (EYA and HHEX) in thirteen developmental stages were used to confirm the
reliability of chosen RGs. This study identified optimal RGs that can be used to
accurately measure gene expression under these conditions, which will benefit
evolutionary and functional genomics studies in amphioxus.
PMID- 27869225
TI - Noisy galvanic vestibular stimulation induces a sustained improvement in body
balance in elderly adults.
AB - Vestibular dysfunction causes postural instability, which is prevalent in the
elderly. We previously showed that an imperceptible level of noisy galvanic
vestibular stimulation (nGVS) can improve postural stability in patients with
bilateral vestibulopathy during the stimulus, presumably by enhancing vestibular
information processing. In this study, we investigated the after-effects of an
imperceptible long-duration nGVS on body balance in elderly adults. Thirty
elderly participants underwent two nGVS sessions in a randomised order. In
Session 1, participants received nGVS for 30 min twice with a 4-h interval. In
Session 2, participants received nGVS for 3 h. Two-legged stance tasks were
performed with eyes closed while participants stood on a foam rubber surface,
with and without nGVS, and parameters related to postural stability were measured
using posturography. In both sessions, the postural stability was markedly
improved for more than 2 h after the cessation of the stimulus and tended to
decrease thereafter. The second stimulation in Session 1 caused a moderate
additional improvement in body balance and promoted the sustainability of the
improvement. These results suggest that nGVS can lead to a postural stability
improvement in elderly adults that lasts for several hours after the cessation of
the stimulus, probably via vestibular neuroplasticity.
PMID- 27869226
TI - The effects of manganese oxide octahedral molecular sieve chitosan microspheres
on sludge bacterial community structures during sewage biological treatment.
AB - This study examines the effects of manganese oxide octahedral molecular sieve
chitosan microspheres (Fe3O4@OMS-2@CTS) on anaerobic and aerobic microbial
communities during sewage biological treatment. The addition of Fe3O4@OMS-2@CTS
(0.25 g/L) resulted in enhanced levels of operational performance for
decolourization dye X-3B. However, degradation dye X-3B inhibition in the
presence of Fe3O4@OMS-2@CTS was recorded as greater than or equal to 1.00 g/L.
Illumina MiSeq high throughput sequencing of the 16 S rRNA gene showed that 108
genera were observed during the anaerobic process, while only 71 genera were
observed during the aerobic process. The largest genera (Aequorivita) decreased
from 21.14% to 12.65% and the Pseudomonas genera increased from 10.57% to 12.96%
according to the abundance in the presence of 0.25 g/L Fe3O4@OMS-2@CTS during the
anaerobic process. The largest Gemmatimonas genera decreased from 21.46% to
11.68% and the Isosphaerae genera increased from 5.8% to 11.98% according to the
abundance in the presence of 0.25 g/L Fe3O4@OMS-2@CTS during the aerobic process.
Moreover, the X-ray photoelectron spectroscopy results show that the valence
states of Mn and Fe in Fe3O4@OMS-2@CTS changed during sewage biological
treatment.
PMID- 27869228
TI - Catalytic conversion of lignin pyrolysis model compound- guaiacol and its kinetic
model including coke formation.
AB - Lignin is the most difficult to be converted and most easy coking component in
biomass catalytic pyrolysis to high-value liquid fuels and chemicals. Catalytic
conversion of guaiacol as a lignin model compound was conducted in a fixed-bed
reactor over ZSM-5 to investigate its conversion and coking behaviors. The
effects of temperature, weight hourly space velocity (WHSV) and partial pressure
on product distribution were studied. The results show the maximum aromatic
carbon yield of 28.55% was obtained at temperature of 650 degrees C, WHSV of 8 h
1 and partial pressure of 2.38 kPa, while the coke carbon yield was 19.55%. The
reaction pathway was speculated to be removing methoxy group to form phenols with
further aromatization to form aromatics. The amount of coke increased with
increasing reaction time. The surface area and acidity of catalysts declined as
coke formed on the acid sites and blocked the pore channels, which led to the
decrease of aromatic yields. Finally, a kinetic model of guaiacol catalytic
conversion considering coke deposition was built based on the above reaction
pathway to properly predict product distribution. The experimental and model
predicting data agreed well. The correlation coefficient of all equations were
all higher than 0.90.
PMID- 27869227
TI - Hypoxia-induced vasculogenic mimicry formation in human colorectal cancer cells:
Involvement of HIF-1a, Claudin-4, and E-cadherin and Vimentin.
AB - Vasculogenic mimicry (VM) plays an important role in colorectal cancer (CRC)
metastasis, and both hypoxia and the epithelial-mesenchymal transition (EMT) are
necessary for VM. In this study, HIF-1alpha expression was upregulated in the VM
positive CRC cell line HCT-116 and thereby affected the expression of the EMT
related markers Claudin-4, E-cadherin (E-cd) and Vimentin(VIM). SB431542 and
U0126EtOH, which can inhibit of EMT were used to treat HCT-116 and HCT-8 in these
experiments. Both of the inhibitors had significant effect on EMT markers and the
formations of VM in CRC cells. In addition, knockdown of HIF-1alpha in the HCT
116 cells inhibited their capacity for VM. Our study reveals a regulatory role
for HIF-1alpha in VM and suggests that targeting either HIF-1alpha or EMT may be
a valuable strategy for the elimination of CRC metastasis.
PMID- 27869231
TI - GeS2-In2S3-CsI Chalcogenide Glasses Doped with Rare Earth Ions for Near- and Mid
IR Luminescence.
AB - Chalcogenide glass has been considered as a promising host for the potential
laser gain and amplifier media operating in near- and mid-IR spectral region. In
this work, the IR luminescence spectra of rare earth ions (Tm3+, Er3+, and Dy3+)
doped 65GeS2-25In2S3-10CsI chalcogenide glasses were measured under the
excitation of an 808 nm laser diode. To the best of our knowledge, it firstly
provides the luminescence spectra of a full near- and mid-IR spectral range from
1 to 4 MUm in rare earth ions doped chalcogenide glasses. The results of
absorption spectra, luminescence spectra, and fluorescence decay curves were
obtained in these samples with singly-, co- and triply-doping behaviors of Tm3+,
Er3+, and Dy3+ ions. In order to search possible efficient IR emissions, the
luminescence behavior was investigated specifically with the variation of doping
behaviors and dopant ions, especially in the samples co- and triply-doped active
ions. The results suggest that favorable near- and mid-IR luminescence of rare
earth ions can be further modified in chalcogenide glasses through an elaborated
design of doping behavior and optically active ions.
PMID- 27869230
TI - A graph-theoretical representation of multiphoton resonance processes in
superconducting quantum circuits.
AB - We propose a graph-theoretical formalism to study generic circuit quantum
electrodynamics systems consisting of a two level qubit coupled with a single
mode resonator in arbitrary coupling strength regimes beyond rotating-wave
approximation. We define colored-weighted graphs, and introduce different
products between them to investigate the dynamics of superconducting qubits in
transverse, longitudinal, and bidirectional coupling schemes. The intuitive and
predictive picture provided by this method, and the simplicity of the
mathematical construction, are demonstrated with some numerical studies of the
multiphoton resonance processes and quantum interference phenomena for the
superconducting qubit systems driven by intense ac fields.
PMID- 27869232
TI - Corrigendum: Bioluminescence Microscopy as a Method to Measure Single Cell
Androgen Receptor Activity Heterogeneous Responses to Antiandrogens.
PMID- 27869229
TI - Tetratricopeptide repeat domain 9A modulates anxiety-like behavior in female
mice.
AB - Tetratricopeptide repeat domain 9A (TTC9A) expression is abundantly expressed in
the brain. Previous studies in TTC9A knockout (TTC9A-/-) mice have indicated that
TTC9A negatively regulates the action of estrogen. In this study we investigated
the role of TTC9A on anxiety-like behavior through its functional interaction
with estrogen using the TTC9A-/- mice model. A battery of tests on anxiety
related behaviors was conducted. Our results demonstrated that TTC9A-/- mice
exhibited an increase in anxiety-like behaviors compared to the wild type
TTC9A+/+ mice. This difference was abolished after ovariectomy, and
administration of 17-beta-estradiol benzoate (EB) restored this escalated anxiety
like behavior in TTC9A-/- mice. Since serotonin is well-known to be the key
neuromodulator involved in anxiety behaviors, the mRNA levels of tryptophan
hydroxylase (TPH) 1, TPH2 (both are involved in serotonin synthesis), and
serotonin transporter (5-HTT) were measured in the ventromedial prefrontal cortex
(vmPFC) and dorsal raphe nucleus (DRN). Interestingly, the heightened anxiety in
TTC9A-/- mice under EB influence is consistent with a greater induction of TPH 2,
and 5-HTT by EB in DRN that play key roles in emotion regulation. In conclusion,
our data indicate that TTC9A modulates the anxiety-related behaviors through
modulation of estrogen action on the serotonergic system in the DRN.
PMID- 27869235
TI - Interactions of the Greater Ontong Java mantle plume component with the Osbourn
Trough.
AB - The Ontong Java-Manihiki-Hikurangi plateau (OJMHP) is considered to have
originated from a starting mantle plume, and have been rifted apart by two
spreading ridges. However, the ages of these spreading ridges and their possible
interactions with the presumed mantle plume are unclear. The Manihiki-Hikurangi
plateau has been rifted apart by the Osbourn Trough which formed the southwestern
Pacific crust to the east of the Tonga-Kermadec trench. Here we report Pb-Hf-Os
isotopes of the basaltic crust (Site U1365 of IODP Expedition 329) formed by the
Osbourn Trough. Linear regression of Re-Os isotopes results in an age of 103.7 +/
2.3 Ma for Site U1365 basalts, indicating that the Manihiki-Hikurangi plateau
was rifted apart by the Osbourn Trough with a spreading rate of ~190 mm/yr. The
superfast spreading rate supports the Osbourn as an abandoned segment of the
early Pacific spreading ridge, which initially overlapped with the giant starting
plume. Moreover, the Pb-Hf isotopes of some of Site U1365 basalts show distinct
differences from those of the Pacific mid-ocean ridge basalts, while they are
similar to the basalts of the Ontong Java and Manihiki plateaus. We suggest that
the OJMHP mantle plume components has been involved by the Osbourn spreading
center.
PMID- 27869234
TI - Autoimmune susceptibility imposed by public TCRbeta chains.
AB - Although the TCR repertoire is highly diverse, a small fraction of TCR chains,
referred to as public, preferentially form and are shared by most individuals.
Prior studies indicated that public TCRbeta may be preferentially deployed in
autoimmunity. We hypothesized that if these TCRbeta modulate the likelihood of a
TCRalphabeta heterodimer productively engaging autoantigen, because they are
widely present in the population and often high frequency within individual
repertoires, they could also broadly influence repertoire responsiveness to
specific autoantigens. We assess this here using a series of public and private
TCRbeta derived from autoimmune encephalomyelitis-associated TCR. Transgenic
expression of public, but not private, disease-associated TCRbeta paired with
endogenously rearranged TCRalpha endowed unprimed T cells with autoantigen
reactivity. Further, two of six public, but none of five private TCRbeta provoked
spontaneous early-onset autoimmunity in mice. Our findings indicate that single
TCRbeta are sufficient to confer on TCRalphabeta chains reactivity toward disease
associated autoantigens in the context of diverse TCRalpha. They further suggest
that public TCR can skew autoimmune susceptibility, and that subsets of public
TCR sequences may serve as disease- specific biomarkers or therapeutic targets.
PMID- 27869233
TI - Towards Functional Annotation of the Preimplantation Transcriptome: An RNAi
Screen in Mammalian Embryos.
AB - With readily available transcriptome-wide data, understanding the role of each
expressed gene is an essential next step. Although RNAi technologies allow for
genome-wide screens in cell culture, these approaches cannot replace strategies
for discovery in the embryo. Here we present, for the first time, a knockdown
screen in mouse preimplantation embryos. Early mammalian development encompasses
dynamic cellular, molecular and epigenetic events that are largely conserved from
mouse to man. We assayed 712 genes for requirements during preimplantation. We
identified 59 genes required for successful development or outgrowth and
implantation. We have characterized each phenotype and revealed cellular,
molecular, and lineage specific defects following knockdown of transcript.
Induced network analyses demonstrate this as a valid approach to identify
networks of genes that play important roles during preimplantation. Our approach
provides a robust and efficient strategy towards identification of novel
phenotypes during mouse preimplantation and facilitates functional annotation of
the mammalian transcriptome.
PMID- 27869236
TI - Sleep duration and metabolic syndrome.
PMID- 27869237
TI - Validity of mesothelin in occupational medicine practice.
PMID- 27869238
TI - Response to the letter to editors concerning "Validity of mesothelin in
occupational medicine practice".
PMID- 27869239
TI - Polish Mother and Child Cohort Study (REPRO_PL) - Methodology of the follow-up of
the children at the age of 7.
AB - Effects of environmental exposures in utero and in the first years of life on
early life health and development is a growing research area with major public
health implications. The main aim of this work has been to provide an overview of
the next step of the Polish Mother and Child Cohort Study (REPRO_PL) covering
exposure, health and neurodevelopment assessments of children at 7 years of age.
Details regarding methodology of the follow-up of the children are crucial for
cross-cohort collaboration and a full understanding of the future research
questions. Phase III of the REPRO_PL cohort covers a follow-up of 900 children at
the age of 7 years old. The questionnaire filled in by the mothers is composed
of: socio-demographic, child exposure and home environment information,
nutritional status and health data. In the case of 400 children, environmental
(including collection of urine, saliva and buccal cells), health status and
psychomotor assessments are performed. Health and development check consists of
physical measurements, child health status assessment (including lung function
tests, skin prick testing, an interview/examination by an allergist) and
psychomotor development tests (the Strength and Difficulties Questionnaire and
the Intelligence and Development Scales). The results of the study will become
available within the next few years. Extension of the REPRO_PL cohort with
examinations of children at the age of 7 years old may provide a better
understanding of the relationship between environmental and lifestyle-related
factors and children's health and neurodevelopment; and may further strengthen
scientific base for policies and interventions promoting healthy lifestyle. Int J
Occup Med Environ Health 2016;29(6):883-893.
PMID- 27869240
TI - Relationship between occupational stress and cardiovascular diseases risk factors
in drivers.
AB - OBJECTIVES: Of all work stressors, occupational stress is the leading cause of
many disorders among workers. Drivers are classified as a high risk group for
work related stress. This study set out to determine the relationship between
risk factors of cardiovascular diseases and occupational stress among drivers.
MATERIAL AND METHODS: Two hundred and twenty two Ilam's intercity drivers were
selected for the study. For measuring work stress, the Osipow work stress
questionnaire was used. After a 10-h fasting period, systolic and diastolic blood
pressure was recorded. Intravenous blood samples were taken to determine
cholesterol, triglyceride and blood glucose levels. The independent samples t
test and Pearson's correlation test were used to assess the relationship between
variables and occupational stress. RESULTS: Seventy-one percent of the intercity
drivers suffered from average to acute stress, and 3.1% of them suffered from
acute stress. There was no significant relationship between occupational stress
and diastolic blood pressure (p = 0.254) among the drivers. Nevertheless, the
Pearson's correlation test demonstrated a strong relationship between work stress
and blood glucose (p < 0.01), while no strong correlation was found for blood
triglycerides and cholesterol levels. CONCLUSIONS: Based on the results, high
rates of occupational stress were observed in the Ilam's intercity drivers.
Occupational stress may have effect on blood glucose levels but the results did
not suggest a considerable relationship between risk factors of cardiovascular
diseases and occupational stress among intercity drivers. Int J Occup Med Environ
Health 2016;29(6):895-901.
PMID- 27869241
TI - New market labor and obesity: A nation-wide Italian cross-sectional study.
AB - OBJECTIVES: To investigate the prevalence of obesity among different types of
employment status in the Italian working population, and to examine associated
risk factors. MATERIAL AND METHODS: Cross-sectional survey of 36 814 people that
declared to have been occupied with the same type of contract for at least 5
years was analyzed. Multivariable logistic regression models were built
considering workers' sex, age, education, family body mass index (BMI) category,
leisure time and occupational physical activity, weight control habits, smoking
habit, use of drugs, number of working hours per week, and type of working
contract. RESULTS: After adjusting for covariates, the importance of temporary
employment was confirmed by multivariate analysis, with odds ratio (OR) = 1.32
for obesity (95% confidence interval (CI): 1.07-1.63) with respect to employed
persons; the association was even more important in workers occupied for more
than 40 h/week (OR = 1.69, 95% CI: 1.07-2.66); moreover, shiftwork was confirmed
as a risk factor for obesity in workers (OR = 1.06, 95% CI: 0.94-1.2). Dealing
with different occupational group, some categories were associated with obesity;
in particular, this phenomenon involved people employed in agriculture (OR =
1.44, 95% CI: 1.22-1.7), transportation (OR = 1.53, 95% CI: 1.26-1.85), and
public administration (OR = 1.31, 95% CI: 1.1-1.55). CONCLUSIONS: Our analysis
suggest that obesity is strongly correlated with temporary employment. Maybe the
way out this pathway to obesity in the future might be working better, choosing
organizational flexibility rather than fixed term. Int J Occup Med Environ Health
2016;29(6):903-914.
PMID- 27869242
TI - Sperm quality and DNA integrity of coke oven workers exposed to polycyclic
aromatic hydrocarbons.
AB - OBJECTIVES: The objective of this study was to assess sperm quality and
deoxyribonucleic acid (DNA) integrity of coke oven workers exposed to polycyclic
aromatic hydrocarbons (PAHs) as compared to control subjects. MATERIAL AND
METHODS: The coke oven workers (N = 52) and administrative staff (N = 35) of a
steel plant served as the exposed and control groups, respectively. Exposure to
PAHs was assessed by measuring 1-hydroxypyren. Analysis of sperm quality
(concentration, motility, vitality, and morphology) was performed simultaneously
with sperm DNA integrity analysis, including DNA fragmentation, denaturation,
bulky DNA adducts, and 8-oxo-7,8-dihydro-2'-deoxyguanosine (8-oxo-dGuo). A
questionnaire was conducted to collect demographic and potential confounding
data. RESULTS: The coke oven workers had lower percentages of sperm motility,
vitality and normal morphology than the control group, but the difference was not
significant. For DNA integrity, the coke oven workers had significantly higher
concentrations of bulky DNA adducts and 8-oxo-dGuo than the control subjects (p =
0.009 and p = 0.048, respectively). However, DNA fragmentation percentages did
not significantly increase as compared to those in the subjects from the control
group (p = 0.232). There was no correlation between sperm quality parameters and
DNA integrity indicators. CONCLUSIONS: Occupational exposure of the coke oven
workers to PAHs was associated with decreased sperm DNA integrity. Int J Occup
Med Environ Health 2016;29(6):915-926.
PMID- 27869243
TI - Personal risk factors for carpal tunnel syndrome in female visual display unit
workers.
AB - OBJECTIVES: Carpal tunnel syndrome (CTS) is the most common nerve entrapment
syndrome, which since the beginning of the seventies has been linked to the
keyboard and visual display unit (VDU). The objective of this study was to
investigate the prevalence and personal factors associated with CTS in female VDU
workers in Italy. MATERIAL AND METHODS: Participants in this study were female
adult subjects, working >= 20 h/week (N = 631, mean age 38.14+/-7.81 years, mean
working age 12.9+/-7.24 years). Signs and symptoms were collected during
compulsory occupational medical surveillance. The binary logistic regression was
used to estimate adjusted odds ratios for the factors of interest. RESULTS:
Diagnosis of CTS was reported in 48 cases (7.6%, 11 of them or 1.7% after a
surgical correction) for the incidence of 5.94/1000 person-years. In general,
signs and symptoms of CTS were associated with the following demographic factors:
previous trauma of upper limb (adjusted odds ratio (ORa) = 8.093, 95% confidence
interval (CI): 2.347-27.904), history (> 5 years) of oral contraceptives
therapy/hormone replacement therapy (ORa = 3.77, 95% CI: 1.701-8.354) and
cervical spine signs/symptoms (ORa = 4.565, 95% CI: 2.281-9.136). CONCLUSIONS:
The prevalence of CTS was similar to the estimates for the general population of
Italy. Among personal risk factors, hormone therapy, previous trauma of the upper
limb and signs/symptoms of the cervical spine appeared to be associated with a
higher risk of CTS syndrome. Eventually, the results reinforce interpretation of
CTS in VDU workers as a work-related musculoskeletal disorder rather than a
classical occupational disease. Int J Occup Med Environ Health 2016;29(6):927
936.
PMID- 27869244
TI - Multi-instrument assessment of physical activity in female office workers.
AB - OBJECTIVES: The aim of this study was to examine the multi-instrument assessment
of physical activity in female office workers. MATERIAL AND METHODS: Fifty
healthy women (age (mean +/- standard deviation): 34.8+/-5.9 years, body height:
158+/-0.4 cm, body weight: 61.8+/-7.5 kg, body mass index: 24.6+/-2.7
kg/m2) workers from the same workplace volunteered to participate in
the study. Physical activity was measured with the 7-day Physical Activity
Assessment Questionnaire (7-d PAAQ), an objective multi-sensor armband tool, and
also a waist-mounted pedometer, which were both worn for 7 days. RESULTS: A
significant correlation between step numbers measured by armband and pedometer
was observed (r = 0.735), but the step numbers measured by these 2 methods were
significantly different (10 941+/-2236 steps/ day and 9170+/-2377 steps/day,
respectively; p < 0.001). There was a weak correlation between the value of 7-d
PAAQ total energy expenditure and the value of armband total energy expenditure
(r = 0.394, p = 0.005). However, total energy expenditure values measured by
armband and 7-d PAAQ were not significantly different (2081+/-370 kcal/ day and
2084+/-197 kcal/day, respectively; p = 0.96). In addition, physical activity
levels (average daily metabolic equivalents (MET)) measured by armband and 7-d
PAAQ were not significantly different (1.45+/-0.12 MET/day and 1.47+/-0.24
MET/day, respectively; p = 0.44). CONCLUSIONS: The results of this study showed
that the correlation between pedometer and armband measurements was higher than
that between armband measurements and 7-d PAAQ selfreports. Our results suggest
that none of the assessment methods examined here, 7-d PAAQ, pedometer, or
armband, is sufficient when used as a single tool for physical activity level
determination. Therefore, multi-instrument assessment methods are preferable. Int
J Occup Med Environ Health 2016;29(6):937-945.
PMID- 27869245
TI - Predictors of early return to work after a coronary artery bypass graft surgery
(CABG).
AB - OBJECTIVES: Identifying factors predictive of early return to work in patients
who underwent a coronary artery bypass graft surgery (CABG). MATERIAL AND
METHODS: Two hundred twenty-six working patients who volunteered and underwent a
primary coronary artery bypass surgery between September 2013 and May 2014 were
selected for the study and followed up for 6 months. Predictors of early return
to work (RTW) (within 2 months) were analyzed from variables in a prospectively
collected database and the 36-Item Short Form Health Survey (SF-36) questionnaire
carried out in the hospital and rehabilitation center as well as from the follow
up performed via the phone. RESULTS: One hundred and two (45.1%) and 155 (68.9%)
patients returned to work within 2 and 3 months after the surgery, respectively.
Furthermore, 196 patients (87.1%) returned to work within 6 months after the
surgery. In the univariate analysis, demographic or socioeconomic factors (such
as age, level of education, income), occupational factors (such as occupation
type, working hours per week, duration of the preoperative absence from work),
psychological factors (such as a patient's concern about adverse health effects
of RTW, feeling depressed, a patient's attitude towards his/her ability to RTW
and a patient's perception of his/her job stress level) and medical factors (such
as serum troponin T and creatine kinase MB (CKMB) level, pump time in surgery, co
surgery and dyslipidemia history) had a statistically significant correlation
with early return to work. The patients who early returned to work had
significantly higher scores in 3 domains on the SF-36 questionnaire (used for
assessing the patients' quality of life), compared to those who did not return to
work early (including physical functioning, role limitations due to physical
health and pain). CONCLUSIONS: In the present study we identified 4 new medical
factors that could be used as predictors of early return to work after CABG.
These factors are: normal serum troponin T level, shorter pump time in surgery,
normal mean arterial pressure (MAP) before the surgery and higher serum magnesium
(Mg) levels. Int J Occup Med Environ Health 2016;29(6):947-957.
PMID- 27869246
TI - Multiple assessment methods of prenatal exposure to radio frequency radiation
from telecommunication in the Mothers and Children's Environmental Health (MOCEH)
study.
AB - OBJECTIVES: To evaluate prenatal exposure to radiofrequency radiation (RFR) from
telecommunication using a mobile phone questionnaire, operator data logs of
mobile phone use and a personal exposure meter (PEM). MATERIAL AND METHODS: The
study included 1228 mother-infants pairs from the Mothers and Children's
Environmental Health (MOCEH) study - a multicenter prospective cohort study
ongoing since 2006, in which participants were enrolled at <= 20 weeks of
pregnancy, with a follow-up of a child birth and growth to assess the association
between prenatal environmental exposure and children's health. The questionnaire
included the average calling frequency per day and the average calling time per
day. An EME Spy 100 PEM was used to measure RFR among 269 pregnant women from
November 2007 to August 2010. The operators' log data were obtained from 21
participants. The Spearman's correlation test was performed to evaluate
correlation coefficient and 95% confidence intervals between the mobile phone use
information from the questionnaire, operators' log data, and data recorded by the
PEM. RESULTS: The operators' log data and information from the self-reported
questionnaire showed significantly high correlations in the average calling
frequency per day (rho = 0.6, p = 0.004) and average calling time per day (rho =
0.5, p = 0.02). The correlation between information on the mobile phone use in
the self-reported questionnaire and exposure index recorded by the PEM was poor.
But correlation between the information of the operators' log data and exposure
index for transmission of mobile communication was significantly high:
correlation coefficient (p-value) was 0.44 (0.07) for calling frequency per day,
and it was 0.49 (0.04) for calling time per day. CONCLUSIONS: The questionnaire
information on the mobile phone use showed moderate to high quality. Using
multiple methods for exposure assessment might be better than using only one
method. Int J Occup Med Environ Health 2016;29(6):959-972.
PMID- 27869247
TI - Effort-reward imbalance, overcommitment and their associations with all-cause and
mental disorder long-term sick leave - A case-control study of the Swedish
working population.
AB - OBJECTIVES: To investigate if effort-reward imbalance (ERI) and overcommitment
(OC) are associated with all-cause and mental disorder long-term sick leave (LS),
and to identify differences in associations between genders, private versus
public sector employees and socioeconomic status groups. MATERIAL AND METHODS:
The study uses a cross-sectional case-control design with a sample of 3477
persons on long-term sick leave of more than 59 days and a control group of 2078
in employment. Data on sick leave originate from social insurance registers,
while data on health, working and living conditions were gathered through a
survey. The binary logistic regression was used to test the multivariate
associations. RESULTS: Effort-reward imbalance was associated with all-cause LS
among the women (odds ratio (OR) = 1.58, 95% CI: 1.2-2.08), but not among the
men. Associations for mental disorder LS were evident for both ERI and OC among
both genders (ERI/OC: women OR = 2.76/2.82; men OR = 2.18/2.92). For the men
these associations were driven by high effort, while for the women it was low job
esteem in public sector and low job security in private sector. Among the highly
educated women, ERI was strongly related to mental disorder LS (OR = 6.94, 95%
CI: 3.2-15.04), while the highly educated men seemed to be strongly affected by
OC for the same outcome (OR = 5.79, 95% CI: 1.48-22.57). CONCLUSIONS: The study
confirmed the independent roles of ERI and OC for LS, with stronger associations
among the women and for mental disorders. The ERI model is a promising tool that
can contribute to understanding the prevailing gender gap in sick leave and
increasing sick leave due to mental disorders. Int J Occup Med Environ Health
2016;29(6):973-989.
PMID- 27869248
TI - Simplified risk assessment of noise induced hearing loss by means of 2
spreadsheet models.
AB - OBJECTIVES: The objective of this study has been to test 2 spreadsheet models to
compare the observed with the expected hearing loss for a Norwegian reference
population. MATERIAL AND METHODS: The prevalence rates of the Norwegian and the
National Institute for Occupational Safety and Health (NIOSH) definitions of
hearing outcomes were calculated in terms of sex and age, 20-64 years old, for a
screened (with no occupational noise exposure) (N = 18 858) and unscreened (N =
38 333) Norwegian reference population from the Nord-Trondelag Hearing Loss Study
(NTHLS). Based on the prevalence rates, 2 different spreadsheet models were
constructed in order to compare the prevalence rates of various groups of workers
with the expected rates. The spreadsheets were then tested on 10 different
occupational groups with varying degrees of hearing loss as compared to a
reference population. RESULTS: Hearing of office workers, train drivers,
conductors and teachers differed little from the screened reference values based
on the Norwegian and the NIOSH criterion. The construction workers, miners,
farmers and military had an impaired hearing and railway maintenance workers and
bus drivers had a mildly impaired hearing. The spreadsheet models give a valid
assessment of the hearing loss. CONCLUSIONS: The use of spreadsheet models to
compare hearing in occupational groups with that of a reference population is a
simple and quick method. The results are in line with comparable hearing
thresholds, and allow for significance testing. The method is believed to be
useful for occupational health services in the assessment of risk of noise
induced hearing loss (NIHL) and the preventive potential in groups of noise
exposed workers. Int J Occup Med Environ Health 2016;29(6):991-999.
PMID- 27869250
TI - Preliminary analyses of psychometric characteristics of the Polish version of the
Obsessive-Compulsive Inventory-Revised (OCI-R) in a non-clinical sample.
AB - OBJECTIVES: Obsessive-compulsive disorder (OCD) is an anxiety-spectrum disorder
that affects 1-2% of the adult population. People with OCD are more likely to
report impaired social and occupational functioning. Although effective
treatments of the OCD exist, many sufferers from this disorder are continuously
misdiagnosed. Therefore, improving the assessment of the OCD remains an important
area of scientific research. The main goal of the study is the initial
verification of psychometric properties in the Polish version of the Obsessive
Compulsive Inventory-Revised (OCI-R) in a college student sample. MATERIAL AND
METHODS: A group of students completed a battery of measures consisting of
obsessive-compulsive symptoms (The OCI-R, The Yale-Brown Obsessive Compulsive
Scale), depression (The Beck Depression Inventory) and anxiety trait (The State
Trait Anxiety Inventory). RESULTS: A confirmatory factor analysis, conducted on
data from 334 university students, supported a solid and replicable 6-fold factor
structure of the OCI-R. Further analyses on test-retest reliability (following a
1-month interval), convergent and divergent validity of the OCI-R were
respectively conducted in a group of 137 students who had completed a battery of
measures mentioned above. The results showed adequate testretest reliability for
the full scale and subscales cores, high internal consistency and confirmed
satisfactory convergent and divergent validity. CONCLUSIONS: The study
constitutes the first phase of work on a Polish version of measurement for
obsessive-compulsive symptoms. Satisfactory results obtained in a non-clinical
sample allow to recognize this method to be promising for further research. Int J
Occup Med Environ Health 2016;29(6):1011-1021.
PMID- 27869249
TI - A case-crossover study of sleep, fatigue, and other transient exposures at
workplace and the risk of non-fatal occupational injuries among the employees of
an Italian academic hospital.
AB - OBJECTIVES: Transient exposure with acute effect has been shown to affect the
risk of occupational injuries in various industrial settings and at the
healthcare workplace. The objective of this study has been to identify transient
exposures related to occupational injury risk in an Italian teaching hospital.
MATERIAL AND METHODS: A case-crossover study was conducted among the employees of
the University Hospital of Udine who reported an occupational injury, commuting
accident, or incident involving biological risk in a 15-month period in the years
2013 and 2014. The matched-pair interval approach was used to assess the role of
acute sleep deprivation whereas the usual frequency approach was used for other
13 transient exposures. RESULTS: Sleep hours were not associated with the risk of
injuries whereas a significant risk increase was associated with fatigue, rush,
distraction, emergency situations, teaching to or being taught by someone, non
compliant patients, bloody operative/work field, excess noise, complex
procedures, and anger. CONCLUSIONS: We identified transient exposures that
increased the risk of occupational injuries in an Italian teaching hospital,
providing indications for interventions to increase workers' safety at the
healthcare workplace. Int J Occup Med Environ Health 2016;29(6):1001-1009.
PMID- 27869251
TI - An Increased Genotoxic Risk in Lymphocytes from Phototherapy-Treated
Hyperbilirubinemic Neonates.
AB - BACKGROUND: Phototherapy is believed to be a safe method for the management of
hyperbilirubinemia. However, there are some controversial issues regarding the
genotoxic effects of phototherapy on DNA. The aim of this study was to
investigate morphologically both phototherapy-induced DNA double-strand breaks
(DSBs) and apoptosis in lymphocytes derived from jaundiced and non-jaundiced
neonates. METHODS: Newborns were divided into three groups, including
phototherapy-treated (PT, n=30) jaundiced newborns with total serum bilirubin
(TSB) levels >15 mg/dl, non-treated jaundiced newborns (C+, n=27), as positive,
as well as healthy negative (C-, n=30) controls with TSB levels ranging from 10
and 15 mg/dl and less than 5 mg/dl, respectively. Lymphocytes were isolated from
whole blood samples by Ficoll-isopaque density gradient centrifugation and then
assessed for DNA damage and apoptosis before and 24 hours after incubation at 37
degrees C in 5% CO2 using the neutral comet assay. RESULTS: DSB levels were
significantly much higher in the PT group compared to the controls before
incubation but decreased remarkably after the incubation period. As expected, no
statistical differences were found between the two control groups before and
after incubations. The frequency of apoptotic cells showed no significant
differences among all the three groups before incubation; however, it was
significantly increased in the PT group after incubation. CONCLUSION: It seems
that phototherapy in jaundiced infants is able not only to induce apoptosis in
newborn lymphocytes but also to affect indirectly DNA integrity.
PMID- 27869253
TI - Long-term stable stacked CsPbBr3 quantum dot films for highly efficient white
light generation in LEDs.
AB - We report highly efficient ethyl cellulose with CsPbBr3 perovskite QD films for
white light generation in LED application. Ethyl cellulose with CsPbBr3 quantum
dots is applied with Sr2Si5N8 : Eu2+ red phosphor on an InGaN blue chip,
achieving a highly efficient luminous efficacy of 67.93 lm W-1 under 20 mA
current.
PMID- 27869254
TI - Electronic structure of the germanium phosphide monolayer and Li-diffusion in its
bilayer.
AB - Based on the first-principles calculations, we predict that the monoclinic GeP
can be exfoliated into two-dimensional (2D) monolayers. In fact, the interlayer
van der Waals interactions are found to be comparable to those in black
phosphorus. For the first time, we also elaborate mechanical and electronic
properties of the monolayer for possible applications in optoelectronics.
Although the monolayer is an indirect-gap semiconductor, it turns into a direct
gap material under appropriate strain. Namely, the material exhibits a direct gap
of 2.27 eV under 2% in-plane contraction along the softer (=a) axis. In addition,
the contraction brings about an appreciable decrease in the effective mass of
electrons along the b direction. The monolayer also practically turns into a
direct-gap material under 4% tensile strain along the b direction. These results
are particularly interesting, because our calculation indicates that the
monolayer is about four times softer than graphene. Based on the calculation of
activation barriers for various possible paths, we also identify anisotropic Li
diffusion paths on the GeP monolayer as well as in the interlayer region of its
bilayer. In the interlayer region, four parallel paths are identified along the b
direction, where a Li atom can diffuse ~50 times faster than in the graphene
bilayer. Our detailed calculations suggest that GeP can be also useful as an
anode material in lithium ion batteries.
PMID- 27869252
TI - Resin-dentin Bond Stability of Experimental 4-META-based Etch-and-rinse Adhesives
Solvated by Ethanol or Acetone.
AB - PURPOSE: To investigate the influence of 4-META concentration and type of solvent
on the degree of conversion (DC%) and resin-dentin bond stability of experimental
etch-and-rinse adhesives. MATERIALS AND METHODS: Four different concentrations of
4-META (12 wt%, 20 wt%, 30 wt%, 40 wt%) were added to a model adhesive system
consisting of TEG-DMA (25 wt%), UDMA (20 wt%), HEMA (30 wt%), water (4 wt%),
camphorquinone (0.5 wt%), and tertiary amine (0.5 wt%) dissolved in 20% acetone
(A12, A20, A30 and A40) or 20% ethanol (E12, E20, E30 and E40). DC% was evaluated
by FT-IR spectroscopy. Human molars were wet ground until the occlusal dentin was
exposed, the adhesive systems were applied after 37% phosphoric acid etching, and
resin composite buildups were incrementally constructed. After storage in
distilled water at 37 degrees C for 24 h, the teeth were cut into resin-dentin
beams (cross-sectional area 1 mm2). Microtensile bond strength (MUTBS) was
evaluated after 24 h, 6 months, and 1 year of water storage at 37 degrees C. The
failure mode was categorized as adhesive, mixed, or cohesive. Data were analyzed
using ANOVA and Tukey's HSD test (alpha = 0.05). RESULTS: A12 presented the
lowest DC% (p < 0.05). All the other adhesive systems showed statistically
similar DC% (p > 0.05). All adhesive systems maintained resin-dentin bond
stability after 6 months of water storage, while only A40 and E40 maintained it
after 1 year. CONCLUSION: Irrespective of the type of organic solvent, the
incorporation of high concentrations of 4-META (40 wt%) improved the resin-dentin
bond stability of the experimental etch-and-rinse adhesive systems over a period
of 1 year.
PMID- 27869255
TI - Metal-catalyzed C-H functionalization involving isocyanides.
AB - Isocyanides have a broad range of applications in multicomponent reactions such
as Passerini and Ugi processes. Recent advances in metal catalysis have
tremendously increased the versatility of isocyanides in organic chemistry.
Suitable metal catalysts could selectively activate various C-H bonds to allow
direct functionalization under mild conditions, which represents a chemical
process with broad synthetic potential. The synergy from the combination of
isocyanide insertion and C-H bond activation offers an efficient and powerful
tool to establish complicated reactions and to construct useful substances, from
which the high potential of such strategy has been convincingly demonstrated in
drug discovery, organic synthesis, and materials science. The present review
highlights the most recent advances of isocyanide chemistry in metal-catalyzed C
H bond functionalization.
PMID- 27869256
TI - Two new phases of monolayer group-IV monochalcogenides and their piezoelectric
properties.
AB - Two stable structural phases of the monolayer group-IV monochalcogenides GeS,
GeSe, SnS and SnSe have been found using first-principles calculations, and will
be called as A-MX and H-MX. It is found that these two new phases are
energetically nearly degenerate with the pristine phase Z-MX and are
semiconductors for all four group-IV monochalcogenides. The band gaps of A-MX
range from 1.62 eV to 1.86 eV, while H-MX exhibits wider band gaps in the range
from 2.21 eV to 2.47 eV. Moreover, both of them exhibit significant piezoelectric
properties. The piezoelectric coefficient of A-MX is about 1-2 orders of
magnitude higher than those of other frequently used piezoelectric materials,
showing obvious anisotropic characters, while that of H-MX is isotropic and
comparable with those of other piezoelectric materials.
PMID- 27869257
TI - Scaling relationships for nonadiabatic energy relaxation times in warm dense
matter: toward understanding the equation of state.
AB - Understanding the dynamics of electron-ion energy transfer in warm dense (WD)
matter is important to the measurement of equation of state (EOS) properties and
for understanding the energy balance in dynamic simulations. In this work, we
present a comprehensive investigation of nonadiabatic electron relaxation and
thermal excitation dynamics in aluminum under high pressure and temperature.
Using quantum-classical trajectory surface hopping approaches, we examine the
role of nonadiabatic couplings and electronic decoherence in electron-nuclear
energy transfer in WD aluminum. The computed timescales range from 400 fs to 4.0
ps and are consistent with existing experimental studies. We have derived general
scaling relationships between macroscopic parameters of WD systems such as
temperature or mass density and the timescales of energy redistribution between
quantum and classical degrees of freedom. The scaling laws are supported by
computational results. We show that electronic decoherence plays essential role
and can change the functional dependencies qualitatively. The established scaling
relationships can be of use in modelling of WD matter.
PMID- 27869258
TI - Oxygen vacancy promoted methane partial oxidation over iron oxide oxygen carriers
in the chemical looping process.
AB - We perform ab initio DFT+U calculations and experimental studies of the partial
oxidation of methane to syngas on iron oxide oxygen carriers to elucidate the
role of oxygen vacancies in oxygen carrier reactivity. In particular, we explore
the effect of oxygen vacancy concentration on sequential processes of methane
dehydrogenation, and oxidation with lattice oxygen. We find that when CH4 adsorbs
onto Fe atop sites without neighboring oxygen vacancies, it dehydrogenates with
CHx radicals remaining on the same site and evolves into CO2via the complete
oxidation pathway. In the presence of oxygen vacancies, on the other hand, the
formed methyl (CH3) prefers to migrate onto the vacancy site while the H from CH4
dehydrogenation remains on the original Fe atop site, and evolves into CO via the
partial oxidation pathway. The oxygen vacancies created in the oxidation process
can be healed by lattice oxygen diffusion from the subsurface to the surface
vacancy sites, and it is found that the outward diffusion of lattice oxygen atoms
is more favorable than the horizontal diffusion on the same layer. Based on the
proposed mechanism and energy profile, we identify the rate-limiting steps of the
partial oxidation and complete oxidation pathways. Also, we find that increasing
the oxygen vacancy concentration not only lowers the barriers of CH4
dehydrogenation but also the cleavage energy of Fe-C bonds. However, the barrier
of the rate-limiting step cannot further decrease when the oxygen vacancy
concentration reaches 2.5%. The fundamental insight into the oxygen vacancy
effect on CH4 oxidation with iron oxide oxygen carriers can help guide the design
and development of more efficient oxygen carriers and CLPO processes.
PMID- 27869259
TI - The effect of top-layer chemistry on the formation of supported lipid bilayers on
polyelectrolyte multilayers: primary versus quaternary amines.
AB - The influence of the surface chemistry of polyelectrolyte multilayers (PEMs) on
the formation of lipid bilayers is studied here for PEMs with either
polyallylamine hydrochloride (PAH) or polydiallyldimethylammonium chloride
(PDADMAC) as a polycation as a top layer, and polystyrene sulfonate (PSS) as a
polyanion. Small unilamellar vesicles (SUVs) composed of phosphatidyl choline and
phosphatidyl serine at a 50 : 50 molar ratio are deposited on top of the PEM
films. The assembly of the SUVs into bilayers is studied via a quartz crystal
microbalance with dissipation (QCM-D) and fluorescence recovery after
photobleaching (FRAP). SUV deposition on PDADMAC/PSS results in vesicle
adsorption while on PAH/PSS under the same conditions a bilayer is formed mainly
due to weak interactions between the quaternary amines of PDADMAC. FRAP
measurements confirm that SUVs are not fused on top of PDADMAC/PSS. The effect of
phosphate ions, in solution, on the formation of lipid bilayers is also analysed.
X-ray photoelectron spectroscopy shows the complexation of phosphate salts to the
primary amines of PAH and no interaction with the quaternary amines of PDADMAC.
zeta-potential measurements show a potential close to 0 for the PAH/PSS
multilayers in PBS while PDADMAC/PSS displays a potential of 25 mV. A model is
presented for the formation of lipid bilayers on PAH/PSS PEMs taking into account
the role of phosphate ions in decreasing the electrostatic interactions between
SUVs and PEMs and the formation of hydrogen bonds between the phospholipids and
the primary amines of PAH.
PMID- 27869261
TI - Choosing the right precursor for thermal decomposition solution-phase synthesis
of iron nanoparticles: tunable dissociation energies of ferrocene derivatives.
AB - Organometallic coordination compounds in general and metallocenes in particular
are convenient precursors for the synthesis of metal nanoparticles through
thermal decomposition. The strength of the interaction between the metal ion and
its ligands determines the conditions under which decomposition occurs, most
importantly the range of temperatures and pressures at which a given compound is
useful as a precursor. We show that a comprehensive analysis of all individual
contributions to the ligand metal interactions that establishes the nature of the
interaction can be used to select compounds that are tuned to a specific
dissociation energy with advantageous properties under experimental conditions.
To this end, we apply the Morokuma-Ziegler-Energy Decomposition Analysis (MZ-EDA)
to a series of ferrocene analogues using high-level density functional theory
(DFT). We find that asymmetrically substituted ferrocene derivatives are unlikely
to be useful as precursors because of the large energy required to remove the
second cyclopentadienyl-derivative from the central iron atom. However, we are
able to establish that symmetrically substituted chloroferrocenes exhibit a wide
range of relatively low bond dissociation energies for both dissociation steps
and are hence good candidates for the synthesis of highly mono-disperse iron
nanoparticles.
PMID- 27869260
TI - Thermally induced phase separation in levitated polymer droplets.
AB - We report thermally induced rapid phase separation in PS/PVME polymer blends
using a unique contact free droplet based architecture. De-mixing of homogeneous
blends due to inter component dynamic asymmetry is aggravated by the externally
supplied heat. Separation of polymer blends is usually investigated in the bulk
which is a tedious process and requires several hours for completion.
Alternatively, separation in droplet configuration reduces the process timescale
by about 3-5 orders due to a constrained micron-sized domain [fast processing and
high throughput] while maintaining similar separation morphologies as in the
bulk. We observed the effect of heating rates on the phase separation length and
timescales. Furthermore, the separation length scale can be precisely controlled
across one order by simply tuning the heating rate. The methodology can be scaled
up for applications ranging from surface patterning to pharmaceutics.
PMID- 27869262
TI - Statistical thermodynamics of aromatic-aromatic interactions in aqueous solution.
AB - To elucidate the interactions between aromatic rings, which are believed to play
essential roles in a variety of biological processes, we analyze the water
mediated interactions between toluene molecules along face-to-face stacked (FF)
and point-to-face T-shaped (TS) paths using a statistical-mechanical theory of
liquids combined with a molecular model for water. The theory enables us to
decompose each interaction into physically insightful components, revealing
detailed hydration effects. The dimers (i.e., molecules in contact with each
other) formed in the FF and TS paths, which are referred to as "FF stacking" and
"TS contact", respectively, share almost the same stability in vacuum. In water,
however, the stability of the FF stacking increases whereas that of the TS
contact decreases. By the energetic hydration effect, for the FF stacking, more
than half of the London dispersion attractive interaction is cancelled out and
the electrostatic repulsive interaction is significantly screened. Importantly, a
large gain of water entropy occurs. For the TS contact, the London dispersion
interaction is almost completely cancelled out and the electrostatic component of
the water-mediated interaction becomes repulsive. It is accompanied by a water
entropy gain. The water-entropy effect is crucially important for the
participation of aromatic side chains in the close packing of a protein as well
as FF stacked arrangements of aromatic rings in the case of nucleotide base
interactions. The term "pi-pi stacking" is inappropriate for the stacking in
aqueous solution, because it sounds as if the London dispersion interaction was
the only contributor to it as in vacuum.
PMID- 27869263
TI - Combined electronic and thermodynamic approaches for enhancing the thermoelectric
properties of Ti-doped PbTe.
AB - Lead telluride based compounds are of great interest due to their enhanced
thermoelectric transport properties. Nevertheless, the donor type impurities in
this class of materials are currently mainly limited and alternative types of
donor impurities are still required for optimizing the thermoelectric
performance. In the current research titanium as a donor impurity in PbTe is
examined. Although titanium is known to form resonant levels above the conduction
band in PbTe, it does not enhance the thermo-power beyond the classical
predictions. Recent experiments showed that alloying with a small amount of Ti
(~0.1 at%) gives a significant increase in the figure of merit. In the current
research ab initio calculations were applied in order to correlate the reported
experimental results with a thermoelectric optimization model. It was found that
a Ti concentration of ~1.4 at% in the Pb sublattice is expected to maximize the
thermoelectric power factor. Using a statistical thermodynamic approach and in
agreement with the previously reported appearance of a secondary intermetallic
phase, the actual Ti solubility limit in PbTe is found to be ~0.3 at%. Based on
the proposed model, the mechanism for the formation of the previously observed
secondary phase is attributed to phase separation reactions, characterized by a
positive enthalpy of formation in the system. With extrapolation of the obtained
ab initio results, it is demonstrated that lower Ti-doping concentrations than
previously experimentally reported ones are expected to provide power factor
values close to the maximal one, making doping with Ti a promising opportunity
for the generation of highly efficient n-type PbTe-based thermoelectric
materials.
PMID- 27869264
TI - X-ray emission spectroscopy: highly sensitive techniques for time-resolved
probing of cerium reactivity under catalytic conditions.
AB - Oxygen storage materials such as ceria are used in many catalytic applications
because they can reversibly bind and release oxygen. Tools are needed to observe
and quantify this activity which involves a change in the cerium oxidation state
and to understand the involvement of cerium in catalytic processes. To prove that
cerium changes its oxidation state in the catalytic cycle the transient rates of
Ce3+ formation and decay should be compared to the overall reaction rate. For
such mechanistic studies the time resolution is essential as the quantification
of the Ce3+ species should be faster than the reaction rate. However, it is
challenging to follow the dynamic changes of the cerium oxidation state under
reaction conditions, especially when the concentration of cerium atoms involved
in the reaction cycle is low. In this paper, we evaluate the sensitivity of high
resolution X-ray emission-based methods for the in situ time-resolved
quantification of small concentrations of Ce3+ in ceria-based materials. We
demonstrate that resonant X-ray emission spectroscopy (RXES) at optimal
excitation energy is more sensitive than high energy resolution off-resonant
spectroscopy (HEROS) and non-resonant X-ray emission spectroscopy (non-resonant
XES) and that it can track the reactivity of less than 0.3% of cerium atoms in a
1% Pt/CeO2 catalyst in a plug-flow reactor with sub-second time resolution. These
results demonstrate that X-ray emission-based methods can be used as very
sensitive tools and provide new insights into dynamic changes of the oxidation
state in reducible oxides in a variety of applications.
PMID- 27869265
TI - Radiation-induced transformations of methanol molecules in low-temperature
solids: a matrix isolation study.
AB - The effect of X-ray irradiation on methanol molecules (CH3OH, CD3OH, and 13CH3OH)
isolated in solid noble gas matrices (Ne, Ar, Kr, and Xe) was studied by FTIR
spectroscopy at 6 K. CH2OH, H2CO, HCO and CO were found to be the main
degradation products. Somewhat unexpectedly, the production of CO is quite
prominent, even at low doses, and it strongly predominates in low-polarizable
matrices (especially, in neon). This result is explained by inefficient quenching
of excess energy in the H2CO molecules initially generated from methanol.
Relatively small amounts of CH4, CH3 and CO2 were also observed directly after
irradiation. The latter species presumably originates from methanol dimers or
methanol-water complexes. The mechanisms of radiolysis and annealing-induced
reactions are discussed and possible implications for the astrochemically
relevant ices are considered.
PMID- 27869266
TI - Fluorescent carbon nanoparticles: mimicking hydrogen peroxide properties in a
chemiluminescence system.
AB - Fluorescent carbon nanoparticles (FCNs), as novel luminescent reagents exhibiting
hydrogen peroxide mimicking properties, can directly react with luminol, NaHCO3
and NaHSO3 in alkaline conditions to yield novel chemiluminescence, and show
great potential towards further applications of ultra-weak chemiluminescence.
PMID- 27869267
TI - The reaction mechanism of polyalcohol dehydration in hot pressurized water.
AB - The use of high-temperature liquid water (HTW) as a reaction medium is a very
promising technology in the field of green chemistry. In order to fully exploit
this technology, it is crucial to unravel the reaction mechanisms of the
processes carried out in HTW. In this work, the reaction mechanism of 2,5
hexanediol dehydration in HTW has been studied by means of three different ab
initio simulations: the string method, metadynamics and molecular dynamics in
real time. It is found that the whole reaction involving protonation, bond
exchange and deprotonation occurs in a single step without a stable intermediate.
The hydrogen bonded network of the surrounding water has a vital role in
assisting an efficient proton relay at the beginning and at the end of the
reaction. It is confirmed that the reaction is energetically most favorable in
the SN2 pathway with an estimated barrier of 36 kcal mol-1, which explains the
high stereoselectivity and the reaction rate observed in experiment. The
mechanistic insights provided by our study are relevant for a prominent class of
reactions in the context of sustainable biomass processing, namely dehydration
reactions of polyalcohol molecules.
PMID- 27869269
TI - In situ phase behaviour of a high capacity LiCoPO4 electrode during constant or
pulsed charge of a lithium cell.
AB - The phase changes that occur during lithium extraction from LiCoPO4 in lithium
half-cells were studied using synchrotron X-ray diffraction. The existence of two
two-phase regions with an intermediate phase present was observed. Significant
variations in the composition of the phases of nominal stoichiometry LiCoPO4,
Li2/3CoPO4 and CoPO4 resulted in unit cell volume variations. On current pulsing,
lattice parameter shifts and phase recovery were directly observed.
PMID- 27869270
TI - Triplex-forming PNA modified with unnatural nucleobases: the role of protonation
entropy in RNA binding.
AB - Peptide nucleic acid (PNA) modified with unnatural nucleobases enables the
formation of a highly stable triplex with a double-stranded RNA at physiological
pH. In this communication, we evaluated kinetics and thermodynamics of PNA/RNA
triplex formation as a function of both pH and temperature. Protonation entropy
was found to be the major factor responsible for the destabilization of the
triplex and for the progressive decrease in the association rate at more basic
pHs.
PMID- 27869268
TI - Self-assembling nanowires of an amphiphilic camptothecin prodrug derived from
homologous derivative conjugation.
AB - A novel amphiphilic camptothecin prodrug, CPT-ss-Ir, consisting of CPT, Ir and a
disulfide bond linker, was synthesized, and it could self-assemble into nanowires
in aqueous solution. Upon intracellular triggering, active CPT and Ir species
were released to exert a considerable anticancer effect.
PMID- 27869272
TI - Tetracyclic dihydronaphthalene derivatives via gold-catalyzed aminative
homodimerization of ortho-alkynylbenzaldehydes.
AB - Gold(i) catalyzes domino homodimerization of o-alkynylbenzaldehydes via a
sequence of 6-endo-dig-cyclization, amine addition, and [4+2] cycloaddition
involving two bicyclic intermediates. The resulting products integrate
medicinally relevant 1,2-dihydronaphthalene and isochromene moieties into a
single amine-substituted tetracyclic framework.
PMID- 27869271
TI - Effects of strong interactions between Ti and ceria on the structures of Ti/CeO2.
AB - The effects of strong interactions between Ti and ceria on the structures of
Ti/CeO2(111) are systematically investigated by density functional theory
calculation. To our best knowledge, the adsorption energy of a Ti atom at the
hollow site of CeO2 is the highest value (-7.99 eV) reported in the literature
compared with those of Au (-0.88--1.26 eV), Ag (-1.42 eV), Cu (-2.69 eV), Pd (
1.75 eV), Pt (-2.62 eV) and Sn (-3.68 eV). It is very interesting to find that Ti
adatoms disperse at the hollow site of CeO2(111) to form surface TiOx species,
instead of aggregating to form Ti metal clusters for the Ti-CeO2 interactions
that are much stronger than those of Ti-Ti ones. Ti adatoms are completely
oxidized to Ti4+ ions if they are monatomically dispersed on the next near hollow
sites of CeO2(111) (xTi-NN-hollow); while Ti3+ ions are observed when they locate
at the near hollow sites (xTi-N-hollow). Due to the electronic repulsive effects
among Ti3+ ions, the adsorption energies of xTi-N-hollow are slightly weaker than
those of xTi-NN-hollow. Simultaneously, the existence of unstable Ti3+ ions on
xTi-N-hollow also leads to the restructuring of xTi-N-hollow by surface O atoms
of ceria transferring to the top of Ti3+ ions, or oxidation by O2 adsorption and
dissociation. Both processes improve the stability of the xTi/CeO2 system by Ti3+
oxidation. Correspondingly, surface TiO2-like species form. This work sheds light
into the structures of metal/CeO2 catalysts with strong interactions between the
metal and the ceria support.
PMID- 27869273
TI - An autonomic self-healing organogel with a photo-mediated modulus.
AB - A new method is described for fabricating autonomic, self-healing, deformable
organogels. We combined imidazolium-based poly(ionic liquid) (PIL) and azobenzene
grafted poly(carboxylic acid) (PAA-Azo) in N,N-dimethyl formamide. Further,
complexing PIL with unirradiated (trans) or irradiated (cis) PAA-Azo tuned the
elastic modulus of the organogel.
PMID- 27869274
TI - A platform for the development of novel biosensors by configuring allosteric
transcription factor recognition with amplified luminescent proximity homogeneous
assays.
AB - A wide range of chemicals can be sensed by allosteric transcription factors
(aTFs) in bacteria. Herein, we report a biosensing platform by using isolated
aTFs as recognition elements in vitro. Moreover, a general strategy to increase
the sensitivity of the aTF-based biosensors is provided. As a proof-of-concept,
we obtained by far the most sensitive uric acid and oxytetracycline biosensors by
using aTF HucR and OtrR as recognition elements, respectively. As a large number
of aTFs are present in bacteria, our work opens a novel route to develop
sensitive aTF-based biosensors.
PMID- 27869275
TI - Ratiometric and photoconvertible fluorescent protein-based voltage indicator
prototypes.
AB - To expand the toolbox of fluorescent protein-based voltage indicators, we
explored two distinct protein design strategies. Using these design strategies,
we created three new voltage indicators: a red intensiometric voltage indicator
(tdFlicR Delta110AR), a green/red ratiometric voltage indicator (tdFlicR-VK
ASAP), and a green to red photoconvertible voltage indicator (FlicGR1).
PMID- 27869277
TI - A chemical proteomic probe for detecting native carrier protein motifs in
nonribosomal peptide synthetases.
AB - Derivatization of a 5'-(vinylsulfonylaminodeoxy)adenosine scaffold with a
clickable functionality provided an activity-based probe that was used to label
native carrier protein (CP) motifs in nonribosomal peptide synthetases (NRPSs).
When coupled with a fluorescent tag, this probe selectively targeted
phosphopantetheinylated CPs (holo-form) from recombinant NRPS enzyme systems and
in whole proteomes.
PMID- 27869276
TI - Molecular tweezers target a protein-protein interface and thereby modulate
complex formation.
AB - Molecular tweezers for lysine and arginine select a few residues on a protein
surface and by their unique complexation mode disrupt a critical protein-protein
interaction. Detailed structural information was gained by NMR experiments,
strongly supported by QM/MM calculations and further substantiated by ITC,
fluorescence anisotropy, ELISA and bio-layer-interference studies.
PMID- 27869278
TI - Cellular delivery of enzyme-loaded DNA origami.
AB - In this communication, we show that active enzymes can be delivered into HEK293
cells in vitro when they are attached to tubular DNA origami nanostructures. We
use bioluminescent enzymes as a cargo and monitor their activity from a cell
lysate. The results show that the enzymes stay intact and retain their activity
in the transfection process. The method is highly modular, which makes it a
compelling candidate for a great variety of delivery applications.
PMID- 27869279
TI - Highly potent extranuclear-targeted luminescent iridium(iii) antitumor agents
containing benzimidazole-based ligands with a handle for functionalization.
AB - A series of 6 substitutionally inert and luminescent iridium(iii) antitumor
agents of the type [Ir(C?N)2(N?N)][PF6] containing a benzimidazole N?N ligand
with an ester group as a handle for further functionalization has been prepared.
They exhibit IC50 values in the high nanomolar range in some ovarian and breast
cancer cell lines (approximately 100* more cytotoxic than cisplatin (CDDP) in MDA
MB-231) and are located in the actin cortex predominantly as shown by confocal
luminescence microscopy. This discovery could open the door to a new large family
of drug bioconjugates with diverse and simultaneous functions.
PMID- 27869280
TI - Self-assembly of a supramolecular network with pseudo-rotaxane cross-linking
nodes and its transformation into a mechanically locked structure by rotaxane
formation.
AB - A main chain poly(crown ether) macromolecule has been cross-linked with a two
binding site guest to afford a stimuli-responsive supramolecular polymeric
network with pseudo-rotaxane nodes. This network forms gels and films that are
responsive to temperature and solvent polarity; however, the materials can be
shielded against both effects by transforming the pseudo-rotaxane junctions into
rotaxane links, creating a mechanically locked structure.
PMID- 27869281
TI - Solution self-assembly of plasmonic Janus nanoparticles.
AB - Janus nanoparticles bearing two different properties on a single particle are
amenable to self-assembly into higher-order structures via their directional
interaction. We show that gold/silica Janus nanoparticles self-assemble in
solution into clusters resembling colloidal micelles upon addition of a
hydrophobic thiol which provides them with a surface active amphiphilic
character. As the nanoparticles spontaneously assemble, the color of the solution
evolves due to the coupling of the surface plasmons. Time resolved
spectrophotometry in the visible and near-infrared ranges coupled to simulations
were used to probe the assembly process. A singular value decomposition analysis
reveals the presence of dimers as transient species. The structure of the
clusters was probed using small angle X-ray revealing that the Janus
nanoparticles assemble into clusters containing a few particles.
PMID- 27869282
TI - Adsorption and desorption behavior of ionic and nonionic surfactants on polymer
surfaces.
AB - We report combined experimental and computational studies aiming to elucidate the
adsorption properties of ionic and nonionic surfactants on hydrophobic polymer
surface such as poly(styrene). To represent these two types of surfactants, we
choose sodium dodecyl sulfate and poly(ethylene glycol)-poly(ethylene) block
copolymers, both commonly utilized in emulsion polymerization. By applying quartz
crystal microbalance with dissipation monitoring we find that the non-ionic
surfactants are desorbed from the poly(styrene) surface slower, and at low
surfactant concentrations they adsorb with stronger energy, than the ionic
surfactant. If fact, from molecular dynamics simulations we obtain that the
effective attractive force of these nonionic surfactants to the surface increases
with the decrease of their concentration, whereas, the ionic surfactant exhibits
mildly the opposite trend. We argue that the difference in this contrasting
behavior stems from the physico-chemical properties of the head group. Ionic
surfactants characterized by small and strongly hydrophilic head groups form an
ordered self-assembled structure at the interface whereas, non-ionic surfactants
with long and weakly hydrophilic head groups, which are also characterized by low
persistence lengths, generate a disordered layer. Consequently, upon an increase
in concentration, the layer formed by the nonionic surfactants prevents the
aprotic poly(ethylene glycol) head groups to satisfy all their hydrogen bonds
capabilities. As a response, water molecules intrude this surfactant layer and
partially compensate for the missing interactions, however, at the expense of
their ability to form hydrogen bonds as in bulk. This loss of hydrogen bonds,
either of the head groups or of the intruding water molecules, is the reason the
nonionic surfactants weaken their effective attraction to the interface with the
increase in concentration.
PMID- 27869283
TI - Controlling the electrical conductive network formation of polymer nanocomposites
via polymer functionalization.
AB - By adopting coarse-grained molecular dynamics simulations, the effect of polymer
functionalization on the relationship between the microstructure and the electric
percolation probability of nanorod filled polymer nanocomposites has been
investigated. At a low chain functionalization degree, the nanorods in the
polymer matrix form isolated aggregates with a local order structure. At a
moderate chain functionalization degree, the local order structure of the nanorod
aggregate is gradually broken up. Meanwhile, excessive functionalization chain
beads can connect the isolated aggregates together, which leads to the maximum
size of nanorod aggregation. At a high chain functionalization degree, it forms a
single nanorod structure in the matrix. As a result, the highest percolation
probability of the materials appears at the moderate chain functionalization
degree, which is attributed to the formation of the tightly connected nanorod
network by analyzing the main cluster. In addition, this optimum chain
functionalization degree exists at two chain functionalization modes (random and
diblock). Lastly, under the tensile field, even though the contact distance
between nanorods nearly remains unchanged, the topological structure of the
percolation network is broken down. While under the shear field, the contact
distance between nanorods increases and the topological structure of the
percolation network is broken down, which leads to a decrease in the percolation
probability. In total, the topological structure of the percolation network
dominates the percolation probability, which is not a necessary connection with
the contact distance between nanorods. In summary, this work presents further
understanding of the electric conductive properties of nanorod-filled
nanocomposites with functionalized polymers.
PMID- 27869284
TI - Phase separation and coexistence of hydrodynamically interacting microswimmers.
AB - A striking feature of the collective behavior of spherical microswimmers is that
for sufficiently strong self-propulsion they phase-separate into a dense cluster
coexisting with a low-density disordered surrounding. Extending our previous
work, we use the squirmer as a model swimmer and the particle-based simulation
method of multi-particle collision dynamics to explore the influence of
hydrodynamics on their phase behavior in a quasi-two-dimensional geometry. The
coarsening dynamics towards the phase-separated state is diffusive in an
intermediate time regime followed by a final ballistic compactification of the
dense cluster. We determine the binodal lines in a phase diagram of Peclet number
versus density. Interestingly, the gas binodals are shifted to smaller densities
for increasing mean density or dense-cluster size, which we explain using a
recently introduced pressure balance [S. C. Takatori, et al., Phys. Rev. Lett.
2014, 113, 028103] extended by a hydrodynamic contribution. Furthermore, we find
that for pushers and pullers the binodal line is shifted to larger Peclet numbers
compared to neutral squirmers. Finally, when lowering the Peclet number, the
dense phase transforms from a hexagonal "solid" to a disordered "fluid" state.
PMID- 27869285
TI - Discontinous change from thermally- to geometrically-dominated effective
interactions in colloidal solutions.
AB - We report numerical results for the effective potential arising between two
colloids immersed in a self-assembling cosolute which forms reversible clusters.
The potential is evaluated at cosolute state points with different densities and
temperatures but with the same connectivity properties. We find that the range of
the resulting effective potential is controlled only by the cosolute thermal
correlation length rather than by its connectivity length. We discuss the
significant differences from previous results focusing on the cosolute forming
irreversible clusters and we show that the irreversible bond case represents a
singular limit which cannot be accessed in equilibrium by continuously increasing
the bond lifetime.
PMID- 27869286
TI - Dynamic self-organization of side-propelling colloidal rods: experiments and
simulations.
AB - In recent years, there is a growing interest in designing artificial analogues of
living systems, fueled not only by potential applications as 'smart micro
machines', but also by the demand for simple models that can be used to study the
behavior of their more complex natural counterparts. Here, we present a facile,
internally driven, experimental system comprised of fluorescently labeled
colloidal silica rods of which the self-propulsion is powered by the
decomposition of H2O2 catalyzed by a length-wise half Pt coating of the particles
in order to study how shape anisotropy and swimming direction affect the
collective behavior. We investigated the emerging structures and their time
evolution for various particle concentrations in (quasi-)two dimensional systems
for three aspect ratios of the rods on a single particle level using a
combination of experiments and simulations. We found that the dynamic self
organization relied on a competition between self-propulsion and phoretic
attractions induced by phoresis of the rods. We observed that the particle
clustering behavior depends on the concentration as well as the aspect ratio of
the rods. Our findings provide a more detailed understanding of dynamic self
organization of anisotropic particles and the role the propulsion direction plays
in internally driven systems.
PMID- 27869287
TI - Dexmedetomidine for attenuating haemodynamic response to intubation stimuli in
morbidly obese patients anaesthetised using low-opioid technique: comparison with
fentanyl-based general anaesthesia.
AB - BACKGROUND: Anaesthesiologists are facing the problem of an increasing population
of morbidly obese patients. In order to minimize the risk of opioid-induced
postoperative respiratory failure, the intraoperative administration of opioids
should be reduced or replaced with other drugs. The purpose of this study was to
compare haemodynamic response elicited by intubation in morbidly obese patients
between two variants of anaesthesia induction: fentanylbased or low-opioid using
dexmedetomidine. METHODS: Forty-two morbidly obese patients scheduled for
bariatric surgery were randomly assigned to two groups: low-opioid using
dexmedetomidine (DEX) or fentanyl-based (FNT) anaesthesia. Patients were
premedicated with 100 MUg of fentanyl i.v. In the DEX group, a 10 minute infusion
of a loading dose of 200 MUg of dexmedetomidine was started. In the FNT group, 2
mg of intravenous midazolam was given. Thereafter, propofol was used in both
groups. In the FNT group, patients received a dose of fentanyl up to 5 MUg kg-1
of ideal body weight. Following administration of rocuronium, laryngoscopy and
tracheal intubation were performed. Haemodynamic parameters, including systolic
(SBP), diastolic (DBP) and mean arterial (MAP) blood pressure, as well as heart
rate (HR), were recorded before and after intubation. Patients who were not
intubated at first attempt were excluded from the study. RESULTS: Data from 33
patients were analysed. There were no statistically significant differences
between the DEX and FNT groups regarding demographic data. Haemodynamic response
to intubation was defined as mean change (d) in values of analysed parameters
that occurred during intubation. The following differences were observed: dSBP
FNT +11.6 mm Hg vs. DEX +0.4 mm Hg (P = 0.15); dDBP FNT +3.7 mm Hg vs. DEX +3.5
mm Hg (P = 0.98); dMAP FNT +8.6 mm Hg vs. DEX +1.4 mm Hg (P = 0.36); dHR FNT +2
beats min-1 vs. DEX -1 beat min-1 (P = 0.30). None of these comparisons yielded
significant differences. CONCLUSIONS: The study revealed no advantage of fentanyl
over low opioid dexmedetomidine-based induction of general anaesthesia in
attenuating cardiovascular response to intubation in morbidly obese patients.
PMID- 27869288
TI - Infraclavicular access to the axillary vein - new possibilities for the
catheterization of the central veins in the intensive care unit.
AB - Central vein cannulation is one of the most commonly performed procedures in
intensive care. Traditionally, the jugular and subclavian vein are recommended as
the first choice option. Nevertheless, these attempts are not always obtainable
for critically ill patients. For this reason, the axillary vein seems to be a
rational alternative approach. In this narrative review, we evaluate the
usefulness of the infraclavicular access to the axillary vein. The existing
evidence suggests that infraclavicular approach to the axillary vein is a
reliable method of central vein catheterization, especially when performed with
ultrasound guidance.
PMID- 27869289
TI - Integration of parallel 13 C-labeling experiments and in silico pathway analysis
for enhanced production of ascomycin.
AB - Herein, the hyper-producing strain for ascomycin was engineered based on 13 C
labeling experiments and elementary flux modes analysis (EFMA). First, the
metabolism of non-model organism Streptomyces hygroscopicus var. ascomyceticus
SA68 was investigated and an updated network model was reconstructed using 13 C-
metabolic flux analysis. Based on the precise model, EFMA was further employed to
predict genetic targets for higher ascomycin production. Chorismatase (FkbO) and
pyruvate carboxylase (Pyc) were predicted as the promising overexpression and
deletion targets, respectively. The corresponding mutant TD-FkbO and TD-DeltaPyc
exhibited the consistency effects between model prediction and experimental
results. Finally, the combined genetic manipulations were performed, achieving a
high-yield ascomycin engineering strain TD-DeltaPyc-FkbO with production up to
610 mg/L, 84.8% improvement compared with the parent strain SA68. These results
manifested that the integration of 13 C-labeling experiments and in silico
pathway analysis could serve as a promising concept to enhance ascomycin
production, as well as other valuable products. Biotechnol. Bioeng. 2017;114:
1036-1044. (c) 2016 Wiley Periodicals, Inc.
PMID- 27869290
TI - Developmental Differences in Infants' Fairness Expectations From 6 to 15 Months
of Age.
AB - The present research investigated the developmental trajectory of infants'
fairness expectations from 6 to 15 months of age (N = 150). Findings revealed a
developmental transition in infants' fairness expectations between 6 and 12
months, as indicated by enhanced visual attention to unfair outcomes of resource
distribution events (a 3:1 distribution) relative to fair outcomes (a 2:2
distribution). The onset of naturalistic sharing behavior predicted infants'
fairness expectations at transitional ages. Beyond this period of developmental
transition, the presence of siblings and infants' prompted giving behavior
predicted individual differences in infants' fairness concerns. These results
provide evidence for the role of experience in the acquisition of fairness
expectations and reveal early individual differences in such expectations.
PMID- 27869291
TI - Managing Innovation to Maximize Value Along the Discovery-Translation-Application
Continuum.
AB - Success in pharmaceutical development led to a record 51 drugs approved in the
past year, surpassing every previous year since 1950. Technology innovation
enabled identification and exploitation of increasingly precise disease targets
ensuring next generation diagnostic and therapeutic products for patient
management. The expanding biopharmaceutical portfolio stands, however, in
contradistinction to the unsustainable costs that reflect remarkable challenges
of clinical development programs. This annual Therapeutic Innovations issue
juxtaposes advances in translating molecular breakthroughs into transformative
therapies with essential considerations for lowering attrition and improving the
cost-effectiveness of the drug-development paradigm. Realizing the discovery
translation-application continuum mandates a congruent approval, adoption, and
access triad.
PMID- 27869292
TI - Model-based investigation of intracellular processes determining antibody Fc
glycosylation under mild hypothermia.
AB - Despite the positive effects of mild hypothermic conditions on monoclonal
antibody (mAb) productivity (qmAb ) during mammalian cell culture, the impact of
reduced culture temperature on mAb Fc-glycosylation and the mechanism behind
changes in the glycan composition are not fully established. The lack of
knowledge about the regulation of dynamic intracellular processes under mild
hypothermia restricts bioprocess optimization. To address this issue, a
mathematical model that quantitatively describes Chinese hamster ovary (CHO) cell
behavior and metabolism, mAb synthesis and mAb N-linked glycosylation profile
before and after the induction of mild hypothermia is constructed. Results from
this study show that the model is capable of representing experimental results
well in all of the aspects mentioned above, including the N-linked glycosylation
profile of mAb produced under mild hypothermia. Most importantly, comparison
between model simulation results for different culture temperatures suggests the
reduced rates of nucleotide sugar donor production and galactosyltransferase
(GalT) expression to be critical contributing factors that determine the
variation in Fc-glycan profiles between physiological and mild hypothermic
conditions in stable CHO transfectants. This is then confirmed using experimental
measurements of GalT expression levels, thereby closing the loop between the
experimental and the computational system. The identification of bottlenecks
within CHO cell metabolism under mild hypothermic conditions will aid bioprocess
optimization, for example, by tailoring feeding strategies to improve NSD
production, or manipulating the expression of specific glycosyltransferases
through cell line engineering. Biotechnol. Bioeng. 2017;114: 1570-1582. (c) 2016
The Authors. Biotechnology and Bioengineering Published by Wiley Periodicals Inc.
PMID- 27869293
TI - Addressing multilevel barriers to cervical cancer screening in Korean American
women: A randomized trial of a community-based intervention.
AB - BACKGROUND: Korean American women have among the lowest rates of cervical cancer
screening in the United States. The authors evaluated a multicomponent
intervention combining community education with navigation services to reduce
access barriers and increase screening rates in this underserved population. It
was hypothesized that cervical cancer screening rates would be higher among women
who received the intervention program compared with those in the control program.
METHODS: Korean American women (N = 705) were recruited from 22 churches. In this
matched-pair, group-randomized design, 347 women received the intervention, which
consisted of a culturally relevant cancer education program combined with
provision of navigation services. The control group (N = 358) received general
health education, including information about cervical cancer risk and screening
and where to obtain low-cost or no-cost screening. Screening behavior was
assessed 12 months after the program. RESULTS: Screening behavior data were
obtained from 588 women 12 months after the program. In both site-level and
participant-level analyses, the intervention program contributed to significantly
higher screening rates compared with the control program (odds ratio [OR], 25.9;
95% confidence interval [CI], 10.1-66.1; P < .001). In sensitivity analysis, the
treatment effect remained highly significant (OR, 16.7; 95% CI, 8.1-34.4; P <
.001). CONCLUSIONS: A multicomponent intervention combining community cancer
education with navigation services yielded significant increases in cervical
cancer screening rates among underscreened Korean American women. Community
accessible programs that incorporate cancer education with the delivery of key
navigation services can be highly effective in increasing cervical cancer
screening rates in this underserved population. Cancer 2017;123:1018-26. (c) 2016
American Cancer Society.
PMID- 27869294
TI - Enhancement of human neural stem cell self-renewal in 3D hypoxic culture.
AB - The pathology of neurological disorders is associated with the loss of neuronal
and glial cells that results in functional impairments. Human neural stem cells
(hNSCs), due to their self-renewing and multipotent characteristics, possess
enormous tissue-specific regenerative potential. However, the efficacy of
clinical applications is restricted due to the lack of standardized in vitro cell
production methods with the capability of generating hNSC populations with well
defined cellular compositions. At any point, a population of hNSCs may include
undifferentiated stem cells, intermediate and terminally differentiated
progenies, and dead cells. Due to the plasticity of hNSCs, environmental cues
play crucial roles in determining the cellular composition of hNSC cultures over
time. Here, we investigated the independent and synergistic effect of three
important environmental factors (i.e., culture dimensionality, oxygen
concentration, and growth factors) on the survival, renewal potential, and
differentiation of hNSCs. Our experimental design included two dimensional (2D)
versus three dimensional (3D) cultures and normoxic (21% O2 ) versus hypoxic (3%
O2 ) conditions in the presence and absence of epidermal growth factor (EGF) and
fibroblast growth factor-2 (FGF-2). Additionally, we discuss the feasibility of
mathematical models that predict hNSC growth and differentiation under these
culture conditions by adopting a negative feedback regulatory term. Our results
indicate that the synergistic effect of culture dimensionality and hypoxic oxygen
concentration in the presence of growth factors enhances the proliferation of
viable, undifferentiated hNSCs. Moreover, the same synergistic effect in the
absence of growth factors promotes the differentiation of hNSCs. Biotechnol.
Bioeng. 2017;114: 1096-1106. (c) 2016 Wiley Periodicals, Inc.
PMID- 27869297
TI - Association Between Results of Quality Assessment of Long-Term Care Facilities
and Hospital-Acquired Pneumonia in Individuals with Stroke or Neurodegenerative
Disease in Korea.
PMID- 27869296
TI - Segmented linear modeling of CHO fed-batch culture and its application to large
scale production.
AB - We describe a systematic approach to model CHO metabolism during
biopharmaceutical production across a wide range of cell culture conditions. To
this end, we applied the metabolic steady state concept. We analyzed and modeled
the production rates of metabolites as a function of the specific growth rate.
First, the total number of metabolic steady state phases and the location of the
breakpoints were determined by recursive partitioning. For this, the smoothed
derivative of the metabolic rates with respect to the growth rate were used
followed by hierarchical clustering of the obtained partition. We then applied a
piecewise regression to the metabolic rates with the previously determined number
of phases. This allowed identifying the growth rates at which the cells underwent
a metabolic shift. The resulting model with piecewise linear relationships
between metabolic rates and the growth rate did well describe cellular metabolism
in the fed-batch cultures. Using the model structure and parameter values from a
small-scale cell culture (2 L) training dataset, it was possible to predict
metabolic rates of new fed-batch cultures just using the experimental specific
growth rates. Such prediction was successful both at the laboratory scale with 2
L bioreactors but also at the production scale of 2000 L. This type of modeling
provides a flexible framework to set a solid foundation for metabolic flux
analysis and mechanistic type of modeling. Biotechnol. Bioeng. 2017;114: 785-797.
(c) 2016 The Authors. Biotechnology and Bioengineering Published by Wiley
Periodicals, Inc.
PMID- 27869298
TI - Informant Questionnaire on Cognitive Decline in the Elderly (IQCODE) for the
early diagnosis of dementia across a variety of healthcare settings.
AB - BACKGROUND: The Informant Questionnaire for Cognitive Decline in the Elderly
(IQCODE) is a structured interview based on informant responses that is used to
assess for possible dementia. IQCODE has been used for retrospective or
contemporaneous assessment of cognitive decline. There is considerable interest
in tests that may identify those at future risk of developing dementia. Assessing
a population free of dementia for the prospective development of dementia is an
approach often used in studies of dementia biomarkers. In theory, questionnaire
based assessments, such as IQCODE, could be used in a similar way, assessing for
dementia that is diagnosed on a later (delayed) assessment. OBJECTIVES: To
determine the diagnostic accuracy of IQCODE in a population free from dementia
for the delayed diagnosis of dementia (test accuracy with delayed verification
study design). SEARCH METHODS: We searched these sources on 16 January 2016:
ALOIS (Cochrane Dementia and Cognitive Improvement Group), MEDLINE Ovid SP,
Embase Ovid SP, PsycINFO Ovid SP, BIOSIS Previews on Thomson Reuters Web of
Science, Web of Science Core Collection (includes Conference Proceedings Citation
Index) on Thomson Reuters Web of Science, CINAHL EBSCOhost, and LILACS BIREME. We
also searched sources specific to diagnostic test accuracy: MEDION (Universities
of Maastricht and Leuven); DARE (Database of Abstracts of Reviews of Effects, in
the Cochrane Library); HTA Database (Health Technology Assessment Database, in
the Cochrane Library), and ARIF (Birmingham University). We checked reference
lists of included studies and reviews, used searches of included studies in
PubMed to track related articles, and contacted research groups conducting work
on IQCODE for dementia diagnosis to try to find additional studies. We developed
a sensitive search strategy; search terms were designed to cover key concepts
using several different approaches run in parallel, and included terms relating
to cognitive tests, cognitive screening, and dementia. We used standardised
database subject headings, such as MeSH terms (in MEDLINE) and other standardised
headings (controlled vocabulary) in other databases, as appropriate. SELECTION
CRITERIA: We selected studies that included a population free from dementia at
baseline, who were assessed with the IQCODE and subsequently assessed for the
development of dementia over time. The implication was that at the time of
testing, the individual had a cognitive problem sufficient to result in an
abnormal IQCODE score (defined by the study authors), but not yet meeting
dementia diagnostic criteria. DATA COLLECTION AND ANALYSIS: We screened all
titles generated by the electronic database searches, and reviewed abstracts of
all potentially relevant studies. Two assessors independently checked the full
papers for eligibility and extracted data. We determined quality assessment (risk
of bias and applicability) using the QUADAS-2 tool, and reported quality using
the STARDdem tool. MAIN RESULTS: From 85 papers describing IQCODE, we included
three papers, representing data from 626 individuals. Of this total, 22% (N =
135/626) were excluded because of prevalent dementia. There was substantial
attrition; 47% (N = 295) of the study population received reference standard
assessment at first follow-up (three to six months) and 28% (N = 174) received
reference standard assessment at final follow-up (one to three years). Prevalence
of dementia ranged from 12% to 26% at first follow-up and 16% to 35% at final
follow-up.The three studies were considered to be too heterogenous to combine, so
we did not perform meta-analyses to describe summary estimates of interest.
Included patients were poststroke (two papers) and hip fracture (one paper). The
IQCODE was used at three thresholds of positivity (higher than 3.0, higher than
3.12 and higher than 3.3) to predict those at risk of a future diagnosis of
dementia. Using a cut-off of 3.0, IQCODE had a sensitivity of 0.75 (95%CI 0.51 to
0.91) and a specificity of 0.46 (95%CI 0.34 to 0.59) at one year following
stroke. Using a cut-off of 3.12, the IQCODE had a sensitivity of 0.80 (95%CI 0.44
to 0.97) and specificity of 0.53 (95C%CI 0.41 to 0.65) for the clinical diagnosis
of dementia at six months after hip fracture. Using a cut-off of 3.3, the IQCODE
had a sensitivity of 0.84 (95%CI 0.68 to 0.94) and a specificity of 0.87 (95%CI
0.76 to 0.94) for the clinical diagnosis of dementia at one year after stroke.In
generaI, the IQCODE was sensitive for identification of those who would develop
dementia, but lacked specificity. Methods for both excluding prevalent dementia
at baseline and assessing for the development of dementia were varied, and had
the potential to introduce bias. AUTHORS' CONCLUSIONS: Included studies were
heterogenous, recruited from specialist settings, and had potential biases. The
studies identified did not allow us to make specific recommendations on the use
of the IQCODE for the future diagnosis of dementia in clinical practice. The
included studies highlighted the challenges of delayed verification dementia
research, with issues around prevalent dementia assessment, loss to follow-up
over time, and test non-completion potentially limiting the studies. Future
research should recognise these issues and have explicit protocols for dealing
with them.
PMID- 27869295
TI - Noninvasive assessment of tissue-engineered graft viability by oxygen-17 magnetic
resonance spectroscopy.
AB - Transplantation of macroencapsulated tissue-engineered grafts (TEGs) is being
investigated as a treatment for type 1 diabetes, but there is a critical need to
measure TEG viability both in vitro and in vivo. Oxygen deficiency is the most
critical issue preventing widespread implementation of TEG transplantation and
delivery of supplemental oxygen (DSO) has been shown to enhance TEG survival and
function in vivo. In this study, we demonstrate the first use of oxygen-17
magnetic resonance spectroscopy (17 O-MRS) to measure the oxygen consumption rate
(OCR) of TEGs and show that in addition to providing therapeutic benefits to
TEGs, DSO with 17 O2 can also enable measurements of TEG viability.
Macroencapsulated TEGs containing betaTC3 murine insulinoma cells were prepared
with three fractional viabilities and provided with 17 O2 . Cellular metabolism
of 17 O2 into nascent mitochondrial water (H217 O) was monitored by 17 O-MRS and,
from the measured data, OCR was calculated. For comparison, OCR was
simultaneously measured on a separate, but equivalent sample of cells with a well
established stirred microchamber technique. OCR measured by 17 O-MRS agreed well
with measurements made in the stirred microchamber device. These studies confirm
that 17 O-MRS can quantify TEG viability noninvasively. Biotechnol. Bioeng.
2017;114: 1118-1121. (c) 2016 Wiley Periodicals, Inc.
PMID- 27869299
TI - Anaerobic reduction of 2,6-dinitrotoluene by Shewanella oneidensis MR-1: Roles of
Mtr respiratory pathway and NfnB.
AB - Dinitrotoluene (DNT) is a widely present pollutant in aquatic environments, and
its biodegradation is an economically attractive way to effectively removal. In
aquatic environments, the presence of electrochemically active bacteria (EAB)
could contribute to the anaerobic bioreduction of DNT. However, the mechanism
behind such a biodegradation process at gene level remains to be further
elucidated. In this work, the anaerobic reduction of 2,6-dinitrotoluene (2,6-DNT)
by Shewanella oneidensis MR-1, a typical EAB in aquatic environments, was
investigated. S. oneidensis MR-1 was found to be able to obtain energy for growth
through the anaerobic respiration on 2,6-DNT. Experimental results show that the
Mtr respiratory pathway, a transmembrane electron transport chain, was involved
in the 2,6-DNT bioreduction. Knockout of cymA or nfnB resulted in a substantial
loss of its 2,6-DNT-reducing ability, indicating that both CymA and NfnB were the
key proteins in the microbial electron transfer chain. The genetic analysis
further confirms that the Mtr respiratory pathway and NfnB are mainly responsible
for the anaerobic reduction of 2,6-DNT by S. oneidensis MR-1. This work is useful
to better understand the anaerobic bioreduction of nitroaromatic compounds in
aquatic environments and remediate the environments contaminated by nitroaromatic
compounds. Biotechnol. Bioeng. 2017;114: 761-768. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27869300
TI - Changes in Care Goals and Treatment Orders Around the Occurrence of Health
Problems and Hospital Transfers in Dementia: A Prospective Study.
AB - OBJECTIVES: To explore changes in care goals and treatment orders around the
occurrence of pneumonia and intake problems, and whether hospitalization is in
line with earlier agreed-upon do-not-hospitalize orders. DESIGN: Data were
collected as part of the Dutch End of Life in Dementia study (2007-2011), a
longitudinal observational study with up to 3.5 years of follow-up. SETTING: Long
term care facilities (N = 28) in the Netherlands. PARTICIPANTS: Newly admitted
nursing home patients (N = 372) in various stages of dementia. MEASUREMENTS:
Semiannually, physicians completed questionnaires about care goals and treatment
orders, and they continuously registered episodes of pneumonia, intake problems
and hospitalization. We report on changes in care goals and treatment orders
during follow-up in relation to the developing of pneumonia and intake problems
and on hospitalization and reasons for hospitalization. RESULTS: The proportion
of patients with palliative care goals and do-not-treat orders rose during follow
up, especially before death. Treatment orders most frequently referred to
resuscitation and hospitalization (do-not order increased from 73% to 92%, and
from 28% to 76%, respectively). The proportions of patients with a palliative
care goal and do-not-treat orders were similar after developing pneumonia, but
increased after intake problems. During follow-up, 46 patients were hospitalized
one or more times. Hospitalization occurred despite a do-not-hospitalize order in
21% of decisions. The most frequently reported reason for hospitalization was a
fracture, especially in patients with a do-not-hospitalize order. CONCLUSION:
Care plans, including global care goals (predominantly palliative care goals),
are made soon after admission, and specific treatment orders are agreed upon in
more detail when the condition of the patient worsens. Establishing care plans
shortly after nursing home admission may help to prevent burdensome treatment.
PMID- 27869303
TI - Acute care - an important component of the continuum of care for HIV and
tuberculosis in developing countries.
PMID- 27869301
TI - Cerebral Amyloid Deposition Is Associated with Gait Parameters in the Mayo Clinic
Study of Aging.
AB - OBJECTIVES: To determine the cross-sectional association between cerebral amyloid
beta (Abeta) deposition and gait. DESIGN: Cross-sectional. SETTING: Population
based cohort study in Olmsted County, MN. PARTICIPANTS: Cognitively normal
individuals (n = 611), aged 50 to 69 years, enrolled in the Mayo Clinic Study of
Aging with concurrent PiB-PET imaging and gait assessment. Participants with a
history of stroke, alcoholism, Parkinson's disease, subdural hematoma, traumatic
brain injury, or normal pressure hydrocephalus were excluded. MEASUREMENTS: PiB
PET SUVR was measured in prefrontal, orbitofrontal, parietal, temporal, anterior
cingulate, posterior cingulate, and motor-specific regions of interest (ROIs).
Gait parameters (speed, cadence, stride length, double support time, and intra
individual stance time variability) were measured using GAITRite(r)
instrumentation. Linear regression models were adjusted for age, sex, body mass
index, education, APOE epsilon4 allele, Charlson comorbidity index, and
depression. In secondary analyses, we additionally adjusted for neurodegeneration
(hippocampal volume, FDG PET SUVR, and cortical thickness) in AD-associated
regions. RESULTS: In fully adjusted models including neuroimaging measures of
neurodegeneration, higher PiB-PET SUVR across all ROIs was associated with slower
gait speed (P < .05 except for the parietal ROI), lower cadence and longer double
support time (P <= .05 except for the motor ROI), and greater stance time
variability (P < .05). In sex-stratified analyses, the association between higher
PiB-PET SUVR across all ROIs and measures of gait was only present among women.
CONCLUSION: PiB-PET SUVR across ROIs, independent of general measures of AD
associated neurodegeneration, is associated with poorer performance on multiple
gait parameters among cognitively normal women, aged 50 to 69 years. Longitudinal
studies are needed to determine whether Abeta predicts gait decline in both women
and men.
PMID- 27869304
TI - Disclose or not? Effect of impression management tactics on hireability of
persons with epilepsy.
AB - OBJECTIVE: The purpose of this study is to determine the effect of assertive
impression management (IM) tactics, such as personal storytelling and self
promotion, on job interview outcomes of people with epilepsy. Specifically, the
effect of IM on interviewers' subjective impressions and evaluation of
hireability of job candidates with epilepsy were examined. METHODS: Ninety-nine
master's level graduate students majoring in business or human resources were
randomly assigned to three experimental conditions to view one of three 15-min
video clips of a job applicant interviewing for a technical computer sales
position. The three experimental conditions were (1) job applicant who did not
disclose any disability, (2) job applicant with epilepsy who did not use IM
tactics, and (3) job applicant with epilepsy who used IM tactics. After watching
the job interview video portraying the experimental conditions, participants were
asked to rate the job applicant in terms of general impression and hireability
for the described position. RESULTS: The use of IM was found to have a
significant effect on job interview outcomes (p < 0.01). Post hoc comparisons
indicated that the average rating of business/human resources students on both
general impression and hireability for the job applicant with epilepsy and IM
condition were significantly higher than for the job applicant with epilepsy who
did not use IM condition. Furthermore, mediation analysis revealed that general
impression is a mediator for the relationship between IM and interview outcomes.
SIGNIFICANCE: This study demonstrates that IM has a significant and positive
effect on job interview outcomes for persons with epilepsy. IM can be used as a
powerful strategy for empowering people with epilepsy to combat disability
stigma, and offset negative perceptions of employers about the potential of this
population to be productive workers.
PMID- 27869302
TI - Brain Function Is Linked to LDL Cholesterol in Older Adults with Cardiovascular
Risk.
AB - OBJECTIVES: To determine how cardiovascular risk is associated with working
memory task performance and task-related suppression of default-mode network
(DMN) activity in cognitively intact older adults. DESIGN: A cross-sectional
functional magnetic resonance imaging study of older adults with cardiovascular
risk factors. SETTING: Rotman Research Institute, Baycrest Health Sciences.
PARTICIPANTS: Thirty older adults with cardiovascular risk factors. MEASUREMENTS:
Participants provided health information and a blood sample, and underwent
functional magnetic resonance imaging during a working memory task and during a
breath-hold task to assess cerebrovascular reactivity. RESULTS: Higher plasma low
density lipoprotein cholesterol (LDL-C) was associated with poorer working memory
task performance (P = 0.008) and reduced task-related DMN suppression (P =
0.005). A composite index of cardiovascular risk, the Framingham General
Cardiovascular Risk Profile, showed no associations with task performance or task
related DMN suppression. These findings were independent of white matter burden
and cerebrovascular reactivity and thus cannot be accounted for by individual
differences in neurovascular health. CONCLUSION: These findings suggest a
deleterious effect of elevated LDL-C on working memory task performance and task
related DMN suppression in older adults with cardiovascular risk. The relations
between the Framingham General Cardiovascular Risk Profile, cognitive task
performance, and DMN function require further study.
PMID- 27869305
TI - Analysis of falls in patients with epilepsy enrolled in the perampanel phase III
randomized double-blind studies.
AB - OBJECTIVE: To analyze occurrence of falls among patients with partial seizures,
with/without secondarily generalized seizures (SGS), and primary generalized
tonic-clonic seizures (PGTCS) in the perampanel phase III clinical studies.
METHODS: Studies 304, 305, and 306 randomized subjects (>=12 years) with drug
resistant partial seizures (with/without SGS) to perampanel 2, 4, 8, or 12 mg or
placebo for double-blind treatment. The adverse event (AE) of falls was analyzed
in the Safety Analysis Set (N = 1480). Study 332 randomized subjects aged >=12
years with a diagnosis of PGTCS into perampanel 8 mg or placebo groups for double
blind treatment. In a systematic review of reported falls in the study 332 Safety
Analysis Set (N = 163), falls were queried to establish whether each was seizure
related; subjects with falls resulting from a seizure were not included in this
analysis. RESULTS: For studies 304/305/306, treatment-emergent falls occurred in
5.1% perampanel-treated versus 3.4% placebo-treated subjects with partial
seizures. Exposure-adjusted rate for falls (falls/subject-month of exposure) was
greater for total perampanel than for placebo (0.0175 vs. 0.0093) and was dose
related for those receiving perampanel. In subjects with SGS, incidence of
treatment-emergent falls was 4.3% in perampanel and 4.0% in placebo groups.
Exposure-adjusted rates were 0.0169 and 0.0097 falls per subject-month of
exposure in perampanel and placebo, respectively. For study 332, 2.5% perampanel
treated and 1.2% placebo-treated subjects with PGTCS had treatment-emergent falls
that were not part of a seizure. Exposure-adjusted rates were 0.0169 and 0.0032
falls per subject-month of exposure in perampanel and placebo, respectively.
SIGNIFICANCE: Results of the perampanel studies suggest that patients with
epilepsy should be monitored due to the common risk of falls.
PMID- 27869306
TI - Roles of Multifunctional COP9 Signalosome Complex in Cell Fate and Implications
for Drug Discovery.
AB - The eight subunits containing COP9 signalosome (CSN) complex, is highly conserved
among eukaryotes. CSN, identified as a negative regulator of photomorphogenesis,
has also been demonstrated to be important in proteolysis, cellular signal
transduction and cell cycle regulation in various eukaryotic organisms. This
review mainly summarizes the roles of CSN in cell cycle regulation, signal
transduction and apoptosis, and its potential as diagnostic biomarkers, drug
targets for cancer and infectious diseases. J. Cell. Physiol. 232: 1246-1253,
2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27869307
TI - Stupidity in the analytic field: Vicissitudes of the detachment process in
adolescence.
AB - This paper has the objective of broadening the understanding of technical aspects
in working with adolescents who defend themselves against detachment from
infantile aspects through defensive organizations. These organizations numb the
adolescent toward both triangular reality and narcissistic defenses. The families
of such young people may be part of the organization and the analyst can also be
recruited to participate in it. But the analyst's perception can become blurry
and this fact makes him appear stupid. Aspects of the myths of Narcissus and
Oedipus are used here as models for studying stupidity. The analysis of a
psychotic teenage girl who is symbiotic in relation to her family shows how the
analytical field can be invaded by defensive configurations. Collusions of
idealization and domination/submission involve the young person, her family and
the analyst but the defensive organizations are only identified after their
traumatic breakdown. The expansion of the symbolic network allows symbiotic
transgenerational organizations to be identified, while models related to
enactments prove helpful for understanding technical ups and downs. The paper
ends with imaginative conjectures where Oedipus, as 'patient', is compared to the
patient discussed here. These conjectures lead to reinterpretations of aspects of
the Oedipus myth. The reinterpretations, together with the theoretical and
clinical study, may serve as models for understanding the technical ups and downs
in working with troubled teens.
PMID- 27869308
TI - Signaling in the Auditory System: Implications in Hair Cell Regeneration and
Hearing Function.
AB - Ear is a sensitive organ involved in hearing and balance function. The complex
signaling network in the auditory system plays a crucial role in maintaining
normal physiological function of the ear. The inner ear comprises a variety of
host signaling pathways working in synergy to deliver clear sensory messages. Any
disruption, as minor as it can be, has the potential to affect this finely tuned
system with temporary or permanent sequelae including vestibular deficits and
hearing loss. Mutations linked to auditory symptoms, whether inherited or
acquired, are being actively researched for ways to reverse, silence, or suppress
them. In this article, we discuss recent advancements in understanding the
pathways involved in auditory system signaling, from hair cell development
through transmission to cortical centers. Our review discusses Notch and Wnt
signaling, cell to cell communication through connexin and pannexin channels, and
the detrimental effects of reactive oxygen species on the auditory system. There
has been an increased interest in the auditory community to explore the signaling
system in the ear for hair cell regeneration. Understanding signaling pathways in
the auditory system will pave the way for the novel avenues to regenerate sensory
hair cells and restore hearing function. J. Cell. Physiol. 232: 2710-2721, 2017.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27869309
TI - Roles of Notch Signaling in Adipocyte Progenitor Cells and Mature Adipocytes.
AB - Adipose tissues, composed with mature adipocytes and preadipocytic stromal/stem
cells, play crucial roles in whole body energy metabolism and regenerative
medicine. Mature adipocytes are derived and differentiated from mesenchymal stem
cells (MSCs) or preadipocytes. This differentiation process, also called
adipogenesis, is regulated by several signaling pathways and transcription
factors. Notch1 signaling is a highly conserved pathway that is indispensable for
stem cell hemostasis and tissue development. In adipocyte progenitor cells,
Notch1 signaling regulates the adipogenesis process including proliferation and
differentiation of the adipocyte progenitor cells in vitro. Notably, the roles of
Notch1 signaling in beige adipocytes formation, adipose development, and
function, and the whole body energy metabolism have been recently reported. Here,
we mainly review and discuss the roles of Notch1 signaling in adipogenesis in
vitro as well as in beige adipocytes formation, adipocytes dedifferentiation, and
function in vivo. J. Cell. Physiol. 232: 1258-1261, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27869310
TI - TRPV4 Regulates Tight Junctions and Affects Differentiation in a Cell Culture
Model of the Corneal Epithelium.
AB - TRPV4 (transient receptor potential vanilloid 4) is a cation channel activated by
hypotonicity, moderate heat, or shear stress. We describe the expression of TRPV4
during the differentiation of a corneal epithelial cell model, RCE1(5T5) cells.
TRPV4 is a late differentiation feature that is concentrated in the apical
membrane of the outmost cell layer of the stratified epithelia. Ca2+ imaging
experiments showed that TRPV4 activation with GSK1016790A produced an influx of
calcium that was blunted by the specific TRPV4 blocker RN-1734. We analyzed the
involvement of TRPV4 in RCE1(5T5) epithelial differentiation by measuring the
development of transepithelial electrical resistance (TER) as an indicator of the
tight junction (TJ) assembly. We showed that TRPV4 activity was necessary to
establish the TJ. In differentiated epithelia, activation of TRPV4 increases the
TER and the accumulation of claudin-4 in cell-cell contacts. Epidermal Growth
Factor (EGF) up-regulates the TER of corneal epithelial cultures, and we show
here that TRPV4 activation mimicked this EGF effect. Conversely, TRPV4 inhibition
or knock down by specific shRNA prevented the increase in TER. Moreover, TRPP2,
an EGF-activated channel that forms heteromeric complexes with TRPV4, is also
concentrated in the outmost cell layer of differentiated RCE1(5T5) sheets. This
suggests that the EGF regulation of the TJ may involve a heterotetrameric TRPV4
TRPP2 channel. These results demonstrated TRPV4 activity was necessary for the
correct establishment of TJ in corneal epithelia and as well as the regulation of
both the barrier function of TJ and its ability to respond to EGF. J. Cell.
Physiol. 232: 1794-1807, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27869311
TI - Hypoxia suppresses serum deprivation-induced degradation of the nucleus pulposus
cell extracellular matrix through the JNK and NF-kappaB pathways.
AB - Intervertebral disc (IVD) degeneration is associated with the imbalance between
anabolism and catabolism of the nucleus pulposus (NP) extracellular matrix (ECM).
Serum deprivation (SD) has been reported to exacerbate IVD degeneration; however,
the effect of SD on ECM metabolism is not fully understood. Hypoxia plays
important roles in maintaining the physiological functions of IVD cells; however,
whether hypoxia has any effect on NP ECM production under conditions of SD is
still unclear. In the current study, we established an in vitro SD model by
exposing NP cells to serum-free medium. SD decreased the expression of aggrecan
and collagen II, as well as the production of sulfated glycosaminoglycan (sGAG)
in a time-dependent manner. However, hypoxia abolished SD-mediated down
regulation of aggrecan and collagen II expression via JNK1/2 activation.
Moreover, hypoxia abolished SD-induced MMP-3 and MMP-13 expression by inhibiting
NF-kappaB activation, p65 translocation, and MMP-3 and MMP-13 promoter activity.
These results indicated that, hypoxia maintained ECM production under conditions
of SD. This effect was elicited in part through JNK1/2-mediated up-regulation of
matrix gene expression and down-regulation of MMP expression, through the
inhibition of NF-kappaB. (c) 2017 Orthopaedic Research Society. Published by
Wiley Periodicals, Inc. J Orthop Res 35:2059-2066, 2017.
PMID- 27869313
TI - The role of the miR-17-92 cluster in neurogenesis and angiogenesis in the central
nervous system of adults.
AB - It is well known that neurogenesis is not the only concern for the fully
functional recovery after brain or spinal cord injury, as it has been shed light
on the critical role of angiogenesis in improving neurological functional
recovery. Angiogenesis and neurogenesis coordinately interact with each other in
the developing and adult brain, during which they may respond to similar
mediators and receptors, in which they share a common posttranscriptional
regulator: the miR-17-92 cluster. The miR-17-92 cluster was initially described
as an oncogene and was later demonstrated to drive key physiological and
pathological responses during development and diseases respectively. It has been
reported that the miR-17-92 cluster regulates both neurogenesis and angiogenesis.
The miR-17-92 cluster modulates neural progenitor cells proliferation not only
during development but also during neurological disorders such as stroke. It has
also been shown that the endothelial miR-17-92 cluster regulates angiogenesis
during embryonic stage and adulthood. In this review, we have discussed the
actions of the miR-17-92 cluster in neuronal and vascular plasticity, and its
potential as a novel therapeutic strategy for CNS injury. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27869312
TI - The effect of EMG biofeedback assisted pelvic floor muscle therapy on symptoms of
the overactive bladder syndrome in women: A randomized controlled trial.
AB - AIMS: The overactive bladder syndrome (OAB) is defined as urinary urgency,
usually accompanied by frequency and nocturia, with or without urgency urinary
incontinence. Biofeedback-assisted pelvic muscle therapy (BAPFMT) is a first-line
treatment option for OAB. The aims of this study were to determine the efficacy
and effectiveness of BAPFMT on symptoms of OAB after 9 weeks of treatment and to
detect changes EMG activity of individual pelvic floor muscles (PFM) with the
MAPLe. METHODS: Patients were randomly divided into an intervention group that
received BAPFMT with the MAPLe or into a control group which received only toilet
behavior and lifestyle instructions. The Pelvic Floor Inventories (PeLFIs), the
King's Health Questionnaire (KHQ), a voiding dairy, a 24 h pad-test, and vaginal
EMG registration of the pelvic floor with the MAPLe were used at inclusion and
after 9 weeks follow-up to determine the effect of BAPFMT on complaints of OAB
and Quality of Life (QoL). RESULTS: Fifty-eight patients were included. The
PeLFIs, KHQ, voiding dairy, and 24 h pad-test showed significant improvements in
the intervention group compared to the control group in complaints of OAB and
QoL. EMG activity showed significant improvements for specific individual muscles
at the different sides and depths for rest, maximal voluntary contraction, and
endurance. CONCLUSIONS: EMG BAPFMT is effective in the OAB syndrome in women. It
significantly reduces symptoms and complaints of OAB and increases QoL for
patients. It shows that EMG changes in individual PFM, measured with the MAPLe,
are relevant and related to symptom reduction.
PMID- 27869316
TI - Phylogeny, phylogenetic inference, and cranial evolution in pitheciids and Aotus.
AB - Pitheciids, one of the major radiations of New World monkeys endemic to South and
Central America, are distributed in the Amazon and Orinoco basins, and include
Callicebus, Cacajao, Chiropotes, and Pithecia. Molecular phylogenetics strongly
support pitheciid monophyly, whereas morphological analyses infer a range of
phylogenies including a sister relationship between Aotus and Callicebus. We
collected geometric morphometric cranial data from pitheciids and Aotus, and used
cranial data for distance-based phylogenetic analysis and tests of phylogenetic
signal. Phylogenetic analyses of pitheciids were repeated with Lagothrix,
Callimico, and Saimiri outgroups for Procrustes shape with and without Aotus
based on the whole cranium and six anatomical regions. All phylogenetic signal
tests were significant, and tree lengths were shortest and had the least
morphological change over the phylogeny for Procrustes residuals from the cranial
base and palate. The majority of phylogenetic analyses of Procrustes shape for
pitheciids without Aotus supported the molecular phylogeny, and with Aotus
included the majority inferred an Aotus-Callicebus clade, although three analyses
with Callimico as outgroup supported the molecular phylogeny. The morphological
similarity of Aotus and Callicebus is likely a mix of plesiomorphy, allometry,
and homoplasy, and future phylogenetic inference of living and extinct
platyrrhine taxa should consider the impact of these factors alongside outgroup
selection and cranial region.
PMID- 27869315
TI - Aminoalkyl Derivatives of 8-Alkoxypurine-2,6-diones: Multifunctional 5-HT1A /5
HT7 Receptor Ligands and PDE Inhibitors with Antidepressant Activity.
AB - In the search for potential psychotropic agents, a new series of 3,7-dimethyl-
and 1,3-dimethyl-8-alkoxypurine-2,6-dione derivatives of arylpiperazines,
perhydroisoquinolines, or tetrahydroisoquinolines with flexible alkylene spacers
(5-16 and 21-32) were synthesized and evaluated for 5-HT1A /5-HT7 receptor
affinities as well as PDE4B1 and PDE10A inhibitory properties. The 1-(4-(4-(2
hydroxyphenyl)piperazin-1-yl)butyl)-3,7-dimethyl-8-propoxypurine-2,6-dione (16)
and 7-(2-hydroxyphenyl)piperazinylalkyl-1,3-dimethyl-8-ethoxypurine-2,6-diones
(31 and 32) as potent dual 5-HT1A /5-HT7 receptor ligands with antagonistic
activity produced an antidepressant-like effect in the forced swim test in mice.
This effect was similar to that produced by citalopram. All the tested compounds
were stronger phosphodiesterase isoenzyme inhibitors than theophylline and
theobromine. The most potent compounds, 15 and 16, were characterized by 51 and
52% inhibition, respectively, of PDE4B1 activity at a concentration of 10-5 M.
Concerning the above findings, it may be assumed that the inhibition of PDE4B1
may impact on the signal strength and specificity resulting from antagonism
toward the 5-HT1 and 5-HT7 receptors, especially in the case of compounds 15 and
16. This dual receptor and enzyme binding mode was analyzed and explained via
molecular modeling studies.
PMID- 27869314
TI - Runx1 Orchestrates Sphingolipid Metabolism and Glucocorticoid Resistance in
Lymphomagenesis.
AB - The three-membered RUNX gene family includes RUNX1, a major mutational target in
human leukemias, and displays hallmarks of both tumor suppressors and oncogenes.
In mouse models, the Runx genes appear to act as conditional oncogenes, as
ectopic expression is growth suppressive in normal cells but drives lymphoma
development potently when combined with over-expressed Myc or loss of p53. Clues
to underlying mechanisms emerged previously from murine fibroblasts where ectopic
expression of any of the Runx genes promotes survival through direct and indirect
regulation of key enzymes in sphingolipid metabolism associated with a shift in
the "sphingolipid rheostat" from ceramide to sphingosine-1-phosphate (S1P).
Testing of this relationship in lymphoma cells was therefore a high priority. We
find that ectopic expression of Runx1 in lymphoma cells consistently perturbs the
sphingolipid rheostat, whereas an essential physiological role for Runx1 is
revealed by reduced S1P levels in normal spleen after partial Cre-mediated
excision. Furthermore, we show that ectopic Runx1 expression confers increased
resistance of lymphoma cells to glucocorticoid-mediated apoptosis, and elucidate
the mechanism of cross-talk between glucocorticoid and sphingolipid metabolism
through Sgpp1. Dexamethasone potently induces expression of Sgpp1 in T-lymphoma
cells and drives cell death which is reduced by partial knockdown of Sgpp1 with
shRNA or direct transcriptional repression of Sgpp1 by ectopic Runx1. Together
these data show that Runx1 plays a role in regulating the sphingolipid rheostat
in normal development and that perturbation of this cell fate regulator
contributes to Runx-driven lymphomagenesis. J. Cell. Biochem. 118: 1432-1441,
2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27869317
TI - How to make a sterile helper.
AB - The sterile worker castes found in the colonies of social insects are often cited
as archetypal examples of altruism in nature. The challenge is to explain why
losing the ability to mate has evolved as a superior strategy for transmitting
genes into future generations. We propose that two conditions are necessary for
the evolution of sterility: completely overlapping generations and monogamy. A
review of the literature indicates that when these two conditions are met we
consistently observe the evolution of sterile helpers. We explain the theory and
evidence behind these ideas, and discuss the importance of ecology in predicting
whether sterility will evolve using examples from social birds, mammals, and
insects. In doing so, we offer an explanation for the extraordinary lifespans of
some cooperative species which hint at ways in which we can unlock the secrets of
long life.
PMID- 27869319
TI - The Ca2+ sensitizer CK-2066260 increases myofibrillar Ca2+ sensitivity and
submaximal force selectively in fast skeletal muscle.
AB - KEY POINTS: We report that the small molecule CK-2066260 selectively slows the
off-rate of Ca2+ from fast skeletal muscle troponin, leading to increased
myofibrillar Ca2+ sensitivity in fast skeletal muscle. Rodents dosed with CK
2066260 show increased hindlimb muscle force and power in response to submaximal
rates of nerve stimulation in situ. CK-2066260 has no effect on free cytosolic
[Ca2+ ] during contractions of isolated muscle fibres. We conclude that fast
skeletal muscle troponin sensitizers constitute a potential therapy to address an
unmet need of improving muscle function in conditions of weakness and premature
muscle fatigue. ABSTRACT: Skeletal muscle dysfunction occurs in many diseases and
can lead to muscle weakness and premature muscle fatigue. Here we show that the
fast skeletal troponin activator, CK-2066260, counteracts muscle weakness by
increasing troponin Ca2+ affinity, thereby increasing myofibrillar Ca2+
sensitivity. Exposure to CK-2066260 resulted in a concentration-dependent
increase in the Ca2+ sensitivity of ATPase activity in isolated myofibrils and
reconstituted hybrid sarcomeres containing fast skeletal muscle troponin C.
Stopped-flow experiments revealed a ~2.7-fold decrease in the Ca2+ off-rate of
isolated troponin complexes in the presence of CK-2066260 (6 vs. 17 s-1 under
control conditions). Isolated mouse flexor digitorum brevis fibres showed a
rapidly developing, reversible and concentration-dependent force increase at
submaximal stimulation frequencies. This force increase was not accompanied by
any changes in the free cytosolic [Ca2+ ] or its kinetics. CK-2066260 induced a
slowing of relaxation, which was markedly larger at 26 degrees C than at 31
degrees C and could be linked to the decreased Ca2+ off-rate of troponin C. Rats
dosed with CK-2066260 showed increased hindlimb isometric and isokinetic force in
response to submaximal rates of nerve stimulation in situ producing significantly
higher absolute forces at low isokinetic velocities, whereas there was no
difference in force at the highest velocities. Overall muscle power was increased
and the findings are consistent with a lack of effect on crossbridge kinetics. In
conclusion, CK-2066260 acts as a fast skeletal troponin activator that may be
used to increase muscle force and power in conditions of muscle weakness.
PMID- 27869321
TI - Performance and selectivity of cationic nanoparticle pseudo-stationary phases in
electrokinetic chromatography.
AB - Electrokinetic chromatography (EKC) is a powerful analytical technique that uses
an ionic pseudo-stationary phase (PSP) to separate neutral compounds. Although
anionic surfactants are the most common choice for PSP, cationic latex
nanoparticles are an attractive alternative. Reversible addition-fragmentation
chain transfer (RAFT) polymerization was used to synthesize several types of
diblock copolymers that self-assemble into latex nanoparticles, which were
characterized by a variety of techniques including diffusion NMR. The performance
of each nanoparticle as a PSP was studied by using a homologous series of ketones
and linear solvation energy relationships (LSER) analysis. A cationic homopolymer
coating was found to be necessary to prevent band broadening caused by analyte
interactions with nanoparticles adsorbed to the capillary surface. No significant
difference in methylene selectivity or LSER parameters was observed between
nanoparticles with different cationic shells, but differences were observed
between nanoparticles with different hydrophobic cores. Cationic latex
nanoparticles behaved more like anionic latex nanoparticles than like cationic
surfactants, suggesting that selectivity is primarily driven by the hydrophobic
portion of a PSP. Cationic latex nanoparticles in combination with a homopolymer
cationic capillary coating are an excellent choice for EKC analyses where an
anodic electroosmotic flow is required.
PMID- 27869320
TI - Molecular epidemiology of HIV-1 among the HIV infected people of Manipur,
Northeastern India: Emergence of unique recombinant forms.
AB - According to the Joint National Programme on HIV/AIDS (UNAIDS), the northeastern
region of India has the highest HIV prevalence in the country. This study was
conducted to determine the current HIV-1 molecular epidemiology of Manipur, a
state in northeast India. Blood samples from HIV-1 seropositive subjects were
collected between June 2011 and February 2014. The partial regions of HIV-1
genes; pol and tat-vpu-env were independently amplified, sequenced, analyzed, and
genotyped. Based on all sequences generated from 110 samples using pol and/or tat
vpu-env gene, the overall HIV-1 genotypes distribution of Manipur was as follows:
65.45% (72/110) subtype C, 32.73% (36/110) unique recombinant forms (URFs), and
1.82% (2/110) subtype B. The distribution of HIV-1 genotypes among the risk
groups was: heterosexual: 58.33% (35/60) subtype C, 38.33% (23/60) URFs, and
3.34% (2/60) subtype B; intravenous drug users (IDUs): 85.36% (35/41) subtype C,
9.76% (4/41) URFs, and 4.88% (2/41) subtype B; mother to child (MTC): 50% (3/6)
URFs and 50% (3/6) subtype C and blood transfusion: 100% (3/3) subtype C. The
findings for the first time revealed the emergence of URFs of HIV-1 in Manipur
which is predominant among the sexual and MTC risk groups as compared to IDUs.
Taking together, this study illustrated that Manipur is the "recombinant hotspot
of HIV" of India. The results will provide the clinical importance for continuous
monitoring of HIV-infections in order to design appropriate prevention measures
to limit the spread of new HIV infections.
PMID- 27869322
TI - TMEM230 stop codon mutation is rare in parkinson's disease and essential tremor
in eastern China.
PMID- 27869323
TI - Comparative treatment effectiveness of direct acting antiviral regimens for
hepatitis C: Data from the Veterans administration.
AB - BACKGROUND AND AIMS: Data addressing real world effectiveness of direct acting
antiviral agents in hepatitis C infected patients are now emerging. This study
compared the sustained virologic response rates achieved 12 weeks post-treatment
in patients treated with three such agents by the Veterans Health Administration.
METHODS: A retrospective cohort study was conducted using patients who terminated
treatment by July 1, 2015. Data were retrieved from the Veterans Health
Administration electronic medical records system. Patients were included if
sufficient viral load laboratory data were available to determine sustained
virologic response. Applying an intention to treat approach and logistic
regression analysis, the sustained virologic response rates achieved were
compared across drug regimens. RESULTS: A total of 11 464 patients met study
selection criteria. Without controlling for other risk factors, sustained
virologic response at least 12 weeks post treatment was achieved in 92% of
ledipasvir/ sofosbuvir, 86% of ombitasvir/paritaprevir/ritonavir/dasabuvir, and
83% of simeprevir/sofosbuvir patients. After adjusting for patient
characteristics, simeprevir/sofosbuvir (93.3%) and ledipasvir/sofosbuvir (96.2%)
patients were statistically more likely than
ombitasvir/paritaprevir/ritonavir/dasabuvir (91.8%) patients to demonstrate
sustained virologic response. Human immunodeficiency virus, hepatitis B
infection, diabetes, obesity, previous treatment history and augmentation therapy
using ribavirin did not impact sustained virologic response rates. Sustained
virologic response rates were lower for patients under age 65, with cirrhosis,
decompensated cirrhosis, hepatocellular carcinoma, indications of fibrosis, or a
non-genotype 1 infection. Women and Caucasian patients were more likely to
achieve a sustained virologic response. CONCLUSIONS: All three direct acting
antiviral regimens appear highly effective in achieving sustained virologic
response.
PMID- 27869324
TI - Overlaps between multiple system atrophy and multiple sclerosis: A novel
perspective.
PMID- 27869325
TI - A novel therapeutic approach for synucleinopathies.
PMID- 27869326
TI - Peroxiredoxin-2 up-regulation in inflammatory bowel disease: Friend or foe?
AB - BACKGROUND: Inflammatory bowel diseases (IBD) are chronic multi-factorial
inflammatory disorders. Accumulating investigations have provided compelling
evidence that describe the interplay of a complex genetic landscape and
inappropriate inflammatory response to intestinal microbes in disease
etiopathogenesis but still pose challenges in diagnostic practices. METHOD: In
this study, comparative proteomic analysis was conducted to identify disease
specific proteins underlying IBD pathogenetic mechanisms. Total blood proteins of
the IBD patients and healthy subjects were analyzed with one-dimensional
electrophoresis; differentially expressed bands were excised and subjected to
matrix-assisted laser desorption ionization-time of flight mass spectrometry
along with nanoflow liquid chromatography electrospray ionization-tandem mass
spectrometry analysis. Presence of glycosylation, hydroxylation, and
phosphorylation post-translational modifications was further investigated by
immunoprecipitation. RESULTS: Peroxiredoxin-2 (PRDX2) and hemoglobin-subunits
proteins, which are closely involved in the response to oxidative stress, were
identified. PRDX2 was selected for further validation using western blot and
reverse transcription-polymerase chain reaction. PRDX2 overexpression was
restricted to the protein level within the membrane fraction. Immunoprecipitation
identified PRDX2 to be post-translationally glycosylated and phosphorylated.
CONCLUSION: Our findings demonstrate the implication of PRDX2 in IBD. Future
studies are required to establish its functional role and to determine the
clinical utility.
PMID- 27869327
TI - The Selective Serotonin Reuptake Inhibitor Fluoxetine Directly Inhibits
Osteoblast Differentiation and Mineralization During Fracture Healing in Mice.
AB - Chronic use of selective serotonin reuptake inhibitors (SSRIs) for the treatment
of depression has been linked to osteoporosis. In this study, we investigated the
effect of chronic SSRI use on fracture healing in two murine models of bone
regeneration. First, we performed a comprehensive analysis of endochondral bone
healing in a femur fracture model. C57/BL6 mice treated with fluoxetine, the most
commonly prescribed SSRI, developed a normal cartilaginous soft-callus at 14 days
after fracture and demonstrated a significantly smaller and biomechanically
weaker bony hard-callus at 28 days. In order to further dissect the mechanism
that resulted in a smaller bony regenerate, we used an intramembranous model of
bone healing and revealed that fluoxetine treatment resulted in a significantly
smaller bony callus at 7 and 14 days postinjury. In order to test whether the
smaller bony regenerate following fluoxetine treatment was caused by an
inhibition of osteogenic differentiation and/or mineralization, we employed in
vitro experiments, which established that fluoxetine treatment decreases
osteogenic differentiation and mineralization and that this effect is serotonin
independent. Finally, in a translational approach, we tested whether cessation of
the medication would result in restoration of the regenerative potential.
However, histologic and MUCT analysis revealed non-union formation in these
animals with fibrous tissue interposition within the callus. In conclusion,
fluoxetine exerts a direct, inhibitory effect on osteoblast differentiation and
mineralization, shown in two disparate murine models of bone repair.
Discontinuation of the drug did not result in restoration of the healing
potential, but rather led to complete arrest of the repair process. Besides the
well-established effect of SSRIs on bone homeostasis, our study provides strong
evidence that fluoxetine use negatively impacts fracture healing. (c) 2017
American Society for Bone and Mineral Research.
PMID- 27869328
TI - Real-life efficacy and safety of paritaprevir/ritonavir, ombitasvir, and
dasabuvir in chronic hepatitis C patients in Hong Kong.
AB - BACKGROUND AND AIM: In registration studies, combination therapy of
paritaprevir/ritonavir, ombitasvir, and dasabuvir (PrOD) with and without
ribavirin for 12-24 weeks can achieve > 90% sustained virological response (SVR)
for genotype 1 hepatitis C virus (HCV) infection. However, data in Asia is
scanty. We aimed to study the efficacy and safety of this combination therapy in
chronic hepatitis C patients in Hong Kong. METHODS: We retrospectively analyzed
data from six local hospitals that have prescribed PrOD with and without
ribavirin to patients with genotype 1 chronic HCV infection as part of a global
compassionate program. RESULTS: Among 41 patients treated, 35 (85%) patients had
genotype 1b HCV infection, 6 (15%) had co-infection with human immunodeficiency
virus, 35 (85%) failed previous peginterferon and ribavirin therapy, 25 (61%) had
compensated liver cirrhosis, and 3 (7%) had liver transplantation. Thirty-five
(85%) patients received 12-week treatment and six patients received 24-week
treatment; 26 (63%) patients received ribavirin combination. Thirty-nine (95%;
95% confidence interval 88.5-100%) patients had undetectable HCV RNA at 12-week
post-treatment, that is, SVR. The two patients who did not develop SVR
discontinued treatment prematurely; both of them were treatment experienced with
liver cirrhosis complicated by acute renal failure unrelated to the treatment of
PrOD and ribavirin. No patient had hepatic decompensation. CONCLUSIONS:
Paritaprevir/ritonavir, ombitasvir, and dasabuvir with or without ribavirin is
effective and safe in patients with genotype 1 HCV infection in real-life
clinical setting in Hong Kong.
PMID- 27869329
TI - Novel compound heterozygous synaptojanin-1 mutation causes l-dopa-responsive
dystonia-parkinsonism syndrome.
PMID- 27869330
TI - Stretchable Porous Carbon Nanotube-Elastomer Hybrid Nanocomposite for Harvesting
Mechanical Energy.
AB - A stretchable porous nanocomposite (PNC) is reported based on a hybrid of a
multiwalled carbon nanotubes network and a poly(dimethylsiloxane) matrix for
harvesting energy from mechanical interactions. The deformation-enabled energy
generating process makes the PNC applicable to various mechanical interactions,
including pressing, stretching, bending, and twisting. It can be potentially used
as an energy solution for wearable electronics.
PMID- 27869331
TI - Morbidity and mortality following poliomyelitis - a lifelong follow-up.
AB - BACKGROUND AND PURPOSE: In the world today 10-20 million people are still living
with late effects of poliomyelitis (PM), but the long-term consequences of the
disease are not well known. The aim of this study was to describe lifelong
morbidity and mortality among Danes who survived PM. METHODS: Data from official
registers for a cohort of 3606 Danes hospitalized for PM in the period 1940-1954
were compared with 13 762 age- and gender-matched controls. RESULTS: Compared
with controls, mortality was moderately increased for both paralytic as well as
non-paralytic PM cases; Hazard Ratio, 1.31 (95% confidence interval, 1.18-1.44)
and 1.09 (95% confidence interval, 1.00-1.19), respectively. Hospitalization
rates were approximately 1.5 times higher among both paralytic and non-paralytic
PM cases as compared with controls. Discharge diagnoses showed a broad spectrum
of diseases. There were no major differences in morbidities between paralytic and
non-paralytic PM cases. CONCLUSIONS: Poliomyelitis has significant long-term
consequences on morbidity and mortality of both paralytic and non-paralytic
cases.
PMID- 27869334
TI - Prediction of long-term prognosis by heteroplasmy levels of the m.3243A>G
mutation in patients with the mitochondrial encephalomyopathy, lactic acidosis
and stroke-like episodes syndrome.
AB - BACKGROUND AND PURPOSE: Our aim was to determine the prognostic value of urine
and blood heteroplasmy in patients with the m.3243A>G mutation. METHODS: Adults
with the m.3243A>G mutation referred to our institution between January 2000 and
May 2014 were retrospectively included. The relationship between their baseline
clinical characteristics, their mutation load in urine and blood, and major
adverse events (MAEs) during follow-up, defined as medical complications
requiring a hospitalization or complicated by death, was studied. RESULTS: Of the
43 patients (age 45.6 +/- 13.3 years) included in the study, 36 patients were
symptomatic, including nine with evidence of focal brain involvement, and seven
were asymptomatic. Over a 5.5 +/- 4.0 year mean follow-up duration, 14 patients
(33%) developed MAEs. Patients with MAEs had a higher mutation load than others
in urine (60.1% +/- 13.8% vs. 40.6% +/- 26.2%, P = 0.01) and in blood (26.9% +/-
18.4% vs. 16.0% +/- 12.1%, P = 0.03). Optimal cutoff values for the prediction of
MAEs were 45% for urine and 35% for blood. In multivariate analysis, mutation
load in urine >=45% [odds ratio 25.3; 95% confidence interval (CI) 1.1-567.8; P =
0.04], left ventricular hypertrophy (odds ratio 16.7; 95% CI 1.3- 222.5; P =
0.03) and seizures (odds ratio 48.3; 95% CI 2.5-933; P = 0.01) were associated
with MAEs. CONCLUSIONS: Patients with the m.3243A>G mutation are at high risk of
MAEs, which can be independently predicted by mutation load in urine >=45%, a
personal history of seizures, and left ventricular hypertrophy.
PMID- 27869333
TI - Patient-specific 3D FLAIR for enhanced visualization of brain white matter
lesions in multiple sclerosis.
AB - PURPOSE: To improve the conspicuity of white matter lesions (WMLs) in multiple
sclerosis (MS) using patient-specific optimization of single-slab 3D fluid
attenuated inversion recovery (FLAIR) magnetic resonance imaging (MRI). MATERIALS
AND METHODS: Sixteen MS patients were enrolled in a prospective 3.0T MRI study.
FLAIR inversion time and echo time were automatically optimized for each patient
during the same scan session based on measurements of the relative proton density
and relaxation times of the brain tissues. The optimization criterion was to
maximize the contrast between gray matter (GM) and white matter (WM), while
suppressing cerebrospinal fluid. This criterion also helps increase the contrast
between WMLs and WM. The performance of the patient-specific 3D FLAIR protocol
relative to the fixed-parameter protocol was assessed both qualitatively and
quantitatively. RESULTS: Patient-specific optimization achieved a statistically
significant 41% increase in the GM-WM contrast ratio (P < 0.05) and 32% increase
in the WML-WM contrast ratio (P < 0.01) compared with fixed-parameter FLAIR. The
increase in WML-WM contrast ratio correlated strongly with echo time (P < 10-11
). Two experienced neuroradiologists indicated substantially higher lesion
conspicuity on the patient-specific FLAIR images over conventional FLAIR in 3-4
cases (intrarater correlation coefficient ICC = 0.72). In no case was the image
quality of patient-specific FLAIR considered inferior to conventional FLAIR by
any of the raters (ICC = 0.32). CONCLUSION: Changes in proton density and
relaxation times render fixed-parameter FLAIR suboptimal in terms of lesion
contrast. Patient-specific optimization of 3D FLAIR increases lesion conspicuity
without scan time penalty, and has potential to enhance the detection of subtle
and small lesions in MS. LEVEL OF EVIDENCE: 1 Technical Efficacy: Stage 1 J.
MAGN. RESON. IMAGING 2017;46:557-564.
PMID- 27869335
TI - Australia's rural medical workforce: Supply from its medical schools against
career stage, gender and rural-origin.
AB - OBJECTIVE: The aim of this study was to explore the association between career
stage and rural medical workforce supply among Australian-trained medical
graduates. DESIGN AND SETTING: Descriptive analysis using the national Medicine
in Australia: Balancing Employment and Life (MABEL) longitudinal study.
PARTICIPANTS: Australian-trained GPs and other specialists who participated in
the MABEL study, 2008-2013. MAIN OUTCOME MEASURE(S): Proportions of GPs and
specialists working in rural locations, according to career stage (establishing,
early, mid and late), gender and childhood-origin type (rural versus
metropolitan). RESULTS: Logistic regression models revealed that establishing-
and early-career GPs had significantly higher likelihood (OR 1.67 and 1.38,
respectively) of working rurally, but establishing and early-career doctors were
significantly less likely (OR 0.34 and 0.43, respectively) to choose general
practice, contributing proportionally fewer rural GPs overall (OR 0.77 and 0.75,
respectively) compared to late-career doctors. For specialists, there were no
significant associations between career cohorts and rural practice. Overall,
there was a significantly lower likelihood (OR 0.83) of establishing-career
doctors practising rurally. Women were similarly likely to be rural GPs but less
likely to be rural specialists, while rural-origin was consistently associated
with higher odds of rural practice. CONCLUSIONS: The supply of Australia's rural
medical workforce from its medical schools continues to be challenging, with
these data highlighting both their source and associations with doctors at
different career stages. Despite large investments through rural medical training
and rural workforce recruitment and retention policies, these data confirm
continued reliance on internationally trained medical graduates for large
proportions of rural supply is likely.
PMID- 27869336
TI - Use of the synergist piperonyl butoxide can slow the development of alpha
cypermethrin resistance in the whitefly Bemisia tabaci.
AB - The development of insecticide resistance in insect pests of crops is a growing
threat to sustainable food production, and strategies that slow the development
of resistance are therefore urgently required. The insecticide synergist
piperonyl butoxide (PBO) inhibits certain insect detoxification systems and so
may delay the evolution of metabolic resistance. In the current study we
characterized resistance development in the silverleaf whitefly, Bemisia tabaci,
after selection with either a neonicotinoid (thiacloprid) or pyrethroid (alpha
cypermethrin) insecticide alone or in combination with PBO. Resistance
development was significantly suppressed (> 60%) in the line selected with alpha
cypermethrin + PBO compared to the line selected with alpha-cypermethrin alone.
RNA sequencing (RNAseq) analyses revealed an increase in frequency of a knock
down resistance mutation but no differentially expressed genes were identified
that could explain the sensitivity shift. No significant difference was observed
in the level of resistance between the thiacloprid and thiacloprid + PBO selected
lines, and RNA sequencing (RNAseq) analyses revealed that the cytochrome P450
monooxygenase CYP6CM1, known to metabolize neonicotinoids, was significantly
upregulated (>10-fold) in both lines. The findings of this study demonstrate that
PBO used in combination with certain insecticides can suppress the development of
resistance in a laboratory setting; however, the mechanism by which PBO supresses
resistance development remains unclear.
PMID- 27869337
TI - "Bis-Click" Ligation of DNA: Template-Controlled Assembly, Circularisation and
Functionalisation with Bifunctional and Trifunctional Azides.
AB - Ligation and circularisation of oligonucleotides containing terminal triple bonds
was performed with bifunctional or trifunctional azides. Both reactions are high
yielding. Template-assisted bis-click ligation of two individual non
complementary oligonucleotide strands was accomplished to yield heterodimers
exclusively. In this context, the template fulfils two functions: it accelerates
the ligation reaction and controls product assembly (heterodimer vs. homodimer
formation). Intermolecular bis-click circularisation of one oligonucleotide
strand took place without template assistance. For construction of
oligonucleotides with terminal triple bonds in the nucleobase side chain, 7- or 5
functionalised 7-deaza-dA and dU residues were used. These oligonucleotides are
directly accessible by solid-phase synthesis. When trifunctional azides were
employed instead of bifunctional linkers, functionalisation of the remaining
azido group was performed with small molecules such as 1-ethynyl pyrene, biotin
propargyl amide or with ethynylated oligonucleotides. By this means, branched DNA
was constructed.
PMID- 27869338
TI - Conductance Oscillations in a Graphene/Nanocluster Hybrid Material: Toward Large
Area Single-Electron Devices.
AB - Large assemblies of self-organized aluminum nanoclusters embedded in an oxide
layer are formed on graphene templates and used to build tunnel-junction devices.
Unexpectedly, single-electron-transport behavior with well-defined Coulomb
oscillations is observed for a record junction area of up to 100 um2 containing
millions of metal islands. Such graphene-metal nanocluster hybrid materials offer
new prospects for single-electron electronics.
PMID- 27869339
TI - Multifunctional Benzoquinone Additive for Efficient and Stable Planar Perovskite
Solar Cells.
AB - Device stability of planar perovskite solar cells is improved by virtue of
multifunctional BQ additive. The morphology and crystal quality of the perovskite
films are improved because BQ slows the rate of perovskite crystal formation.
Electron transfer from perovskite to BQ reduces charge-recombination losses, and
the oxidizing ability of BQ effectively suppresses the formation of metallic lead
and improves device lifetime.
PMID- 27869340
TI - Dual 5' Cap Labeling Based on Regioselective RNA Methyltransferases and
Bioorthogonal Reactions.
AB - The ability to detect and localize defined RNA strands inside living cells
requires probes with high specificity, sensitivity, and signal-to-background
ratio. To track low-abundant biomolecules, such as strands of regular mRNA, and
distinguish fluorescence signal from the background after bioorthogonal reactions
in cells, it is imperative to employ turn-on concepts. Here, we have presented a
straightforward enzymatic approach to allow site-specific modification of two
different positions on the 5' cap of eukaryotic mRNA with either identical or
different small functional groups. The approach relies on two methyltransferases
and analogues of their natural co-substrate, and it can be extended to a three
enzyme cascade reaction for their in situ production. Subsequent labeling by
using bioorthogonal click reactions provided access to double labeling with
identical fluorophores or dual labeling with two different reporter groups, as
exemplified by a Cy5 dye, a FRET pair, and a fluorophore/biotin combination. Our
dual-labeling strategy addresses the need for increased sensitivity and should
improve the signal-to-background ratio after bioorthogonal reactions in cells.
PMID- 27869341
TI - Pseudonotohymena antarctica n. g., n. sp. (Ciliophora, Hypotricha), a New Species
from Antarctic Soil.
AB - A new soil ciliate, Pseudonotohymena antarctica n. g., n. sp., from King George
Island, Antarctica, is described based on live observation, protargol
impregnation, and its 18S rRNA gene. The new genus Pseudonotohymena is
morphologically similar to the genus Notohymena Blatterer and Foissner in the
following characteristics: 18 fronto-ventral-transverse cirri, a flexible body,
undulating membranes, dorsomarginal kineties, and the number of cirri in the
marginal rows. However, Pseudonotohymena differs from Notohymena particularly in
the dorsal ciliature, that is, in possessing a nonfragmented dorsal kinety (vs.
fragmented). In addition, the molecular phylogenetic relationship of the new
species differs from that of Notohymena species. On the basis of the
morphological features, the genetic data, and morphogenesis, we establish P.
antarctica n. g., n. sp. In addition, the cyst morphology of this species is
described.
PMID- 27869342
TI - Fluorogenic 2D Peptidosheet Unravels CD47 as a Potential Biomarker for Profiling
Hepatocellular Carcinoma and Cholangiocarcinoma Tissues.
AB - A 2D peptidosheet unravels CD47 as a potential biomarker to image hepatocarcinoma
and cholangiocarcinoma cells and tissues. Supramolecular assembly between water
soluble 2D MoS2 and a peptide probe produces the 2D peptidosheet suited for the
profiling of hepatocarcinoma and cholangiocarcinoma tissues over healthy tissues
on clinical specimens.
PMID- 27869344
TI - The Importance of Materials Design to Make Ions Flow: Toward Novel Materials
Platforms for Bioelectronics Applications.
AB - Chemical design criteria for materials for bioelectronics applications using a
series of copolymer derivatives based on poly(3-hexylthiophene) are established.
Directed chemical design via side-chain functionalization with polar groups
allows manipulation of ion transport and ion-to-electron transduction. Insights
gained will permit increased use of the plethora of materials employed in the
organic electronics area for application in the bioelectronics field.
PMID- 27869343
TI - An Arbitrary Color Light Emitter.
AB - The integration of a light-emitting transistor based on
graphene/insulator/semiconductor with downconversion emitters enables the
manipulation of emitted light covering the whole chromaticity space, including
white-light emission. This novel arbitrary-color light emitter offers a promising
approach for new applications in optoelectronic devices ranging from displays to
solid-state lighting.
PMID- 27869345
TI - Solution-Processed MoS2 /Organolead Trihalide Perovskite Photodetectors.
AB - Integration of organic/inorganic hybrid perovskites with metallic or
semiconducting phases of 2D MoS2 nanosheets via solution processing is
demonstrated. The results show that the collection of charge carriers is strongly
dependent on the electronic properties of the 2D MoS2 with metallic MoS2 showing
high responsivity and the semiconducting phase exhibiting high on/off ratios.
PMID- 27869346
TI - Association between dialysis treatment and cognitive decline: A study from the
Project in Sado for Total Health (PROST), Japan.
AB - AIM: Evidence for the association between dialysis treatment and cognitive
decline is limited. The present study aimed to determine whether dialysis
treatment is associated with cognitive decline in adult outpatients of a general
hospital in Japan. METHODS: This was a cross-sectional substudy of the Project in
Sado for Total Health (PROST). Total Health PROST targeted adult outpatients of a
general hospital in Sado City, Niigata, Japan. Among 753 patients (mean age 68.1
+/- 11.6 years) analyzed, 66 received dialysis. Cognitive state was evaluated
using the Mini-Mental State Examination, and those with a Mini-Mental State
Examination score <24 were considered "cognitively declined." The prevalence of
cognitive decline was compared by odds ratios calculated with multiple logistic
regression analysis. Variables included in the analyses were dialysis, age, sex
and self-reported histories of hypertension, diabetes, stroke and ischemic heart
disease. RESULTS: Of the 66 dialysis patients, 24 (36.4%) showed cognitive
decline, whereas 172 (25.0%) of 687 non-dialysis patients showed cognitive
decline. The age and sex-adjusted odds ratio for cognitive decline in dialysis
patients was 2.57 (95% confidence interval 1.43-4.61), relative to non-dialysis
patients. The odds ratio remained significant (odds ratio 2.69, 95% confidence
interval 1.49-4.88) even after adjusting for all covariates. CONCLUSION: The
prevalence of cognitive decline was high in dialysis patients relative to non
dialysis patients among outpatients of a general hospital in Japan. Geriatr
Gerontol Int 2017; 17: 1584-1587.
PMID- 27869347
TI - MYB transcription factor gene involved in sex determination in Asparagus
officinalis.
AB - Dioecy is a plant mating system in which individuals of a species are either male
or female. Although many flowering plants evolved independently from
hermaphroditism to dioecy, the molecular mechanism underlying this transition
remains largely unknown. Sex determination in the dioecious plant Asparagus
officinalis is controlled by X and Y chromosomes; the male and female karyotypes
are XY and XX, respectively. Transcriptome analysis of A. officinalis buds showed
that a MYB-like gene, Male Specific Expression 1 (MSE1), is specifically
expressed in males. MSE1 exhibits tight linkage with the Y chromosome, specific
expression in early anther development and loss of function on the X chromosome.
Knockout of the MSE1 orthologue in Arabidopsis induces male sterility. Thus, MSE1
acts in sex determination in A. officinalis.
PMID- 27869348
TI - Agricultural intensification and drought frequency increases may have landscape
level consequences for ephemeral ecosystems.
AB - Ephemeral wetlands in arid regions are often degraded or destroyed through poor
land-use practice long before they are ever studied or prioritized for
conservation. Climate change will likely also have implications for these
ecosystems given forecast changes in rainfall patterns in many arid environments.
Here, we present a conceptual diagram showing typical and modified ephemeral
wetlands in agricultural landscapes and how modification impacts on species
diversity and composition.
PMID- 27869349
TI - High-resolution Raman Spectroscopy for the Nanostructural Characterization of
Explosive Nanodiamond Precursors.
AB - The specific attributes of nanodiamonds have attracted increasing interest for
electronics or biomedical applications. An efficient synthetic route towards
nanodiamonds is via detonation of hexolite (i.e. a mixture of TNT [2,4,6
trinitrotoluene] and RDX [1,3,5-trinitro-1,3,5-triazine]). In particular,
detonation of hexolite crystallized by spray flash evaporation (SFE) yields
extremely small diamonds (<4 nm). To unravel the detonation mechanism, a
structural characterization of the explosives is required but is challenging due
to their thermal instability. We demonstrate a combination of conventional Raman
spectroscopy and tip-enhanced Raman spectroscopy (TERS) for resolving
morphological and structural differences of differently prepared hexolite
nanocomposites. The experiments allow for the first time a structural
differentiation of individual TNT and RDX crystals and 15-20 nm sized core-shell
structures, consequently providing a general approach to investigate the actual
composition of mixtures on the nanometer scale.
PMID- 27869350
TI - Skeletogenesis of Myiopsitta monachus (Psittaciformes) and sequence
heterochronies in Aves.
AB - The ossification sequence of Myiopsitta monachus was determined. Myiopsitta has a
similar sequence to other altricial birds, with delayed skeletons compared to
precocial species. The hindlimbs ossify before the forelimbs, a condition that
could be linked to altriciality. To determine the stability of the sequences of
ossification across birds, we selected species of different groups of Aves and
used event-pairing method and character mapping on a phylogeny. Our results show
that the homogeneity in the development of birds was supported by 56.77% of the
character states. Event-pair cracking phylogenetic method was applied to identify
sequence heterochronies. Results reveal a high number of heterochronies and show
that the long bones in limbs may behave as modules. In Myiopsitta, the ossa
ectethmoidale and mesethmoidale ossify early. These bones provide the origin site
of the Psittaciformes' novel adductor m. ethmomandibularis, associated with
strong bite forces, and its acceleration in the sequence may correspond to the
functional hypothesis. Also, the early appearance of some hyoid apparatus
elements occurs, and could be related to the development of tongue in
Psittaciformes and its role in handling food, and is in concordance with the
functional and size hypothesis.
PMID- 27869352
TI - Configurationally Stable Chiral Dithia-Bridged Hetero[4]helicene Radical Cation:
Electronic Structure and Absolute Configuration.
AB - A stable chiral hetero[4]helicene radical cation was synthesized and
characterized by UV/Vis absorption and EPR spectroscopy, as well as X-ray
crystallography. For the first time, a combination of chiroptical methods
involving ECD, ORD, and VCD, supported by quantum mechanical predictions, enabled
the elucidation of the absolute configuration of such open-shell helical species.
PMID- 27869351
TI - Social participation, willingness and quality of life: A population-based study
among older adults in rural areas of China.
AB - AIM: The present study aimed to reflect the current situation of social
participation in rural areas of China, willingness to participate in social
activities, association between health-related quality of life and social
participation, and factors related to social participation. METHODS: A total of
2644 rural adults aged 60 years and older were randomly selected and surveyed
with a self-rating questionnaire. We used the unified definition of social
participation in our study. The Medical Outcomes Study Short-Form Health Survey
was used to measure health-related quality of life. RESULTS: The overall
engagement of social activities was 26%. Those who participated in social
activities were more likely to have high scores of health-related quality of
life. Older men with a high educational level (OR 1.59, 95% CI 1.01-2.29) living
alone or with a spouse (OR 1.51, 95% CI 1.08-2.12), high objective social support
(OR 1.08, 95% CI 1.00-1.17) and high support utilization (OR 1.13, 95% CI 1.07
1.21) were inclined to engage in social participation. Older women with high
individual income (OR 1.74, 95% CI 1.25-2.43), single marital status (OR 1.53,
95% CI 1.11-2.10), normal weight (OR 1.92, 95% CI 1.10-3.34), overweight (OR
2.28, 95% CI 1.24-4.19), living alone or with a spouse (OR 1.55, 95% CI 1.20
2.00), objective social support (OR 1.11, 95% CI 1.04-1.18) and subjective social
support (OR 1.15, 95% CI 1.10-1.20) were more willing to engage in social
participation. CONCLUSIONS: Engagement in social activities is relatively low in
rural areas, and associations of willingness and health-related quality of life
with social participation were found. Policy-makers and government workers should
make appropriate types of encouragement policies around social participation for
older adults in rural areas. Geriatr Gerontol Int 2017; 17: 1593-1602.
PMID- 27869353
TI - Recent Progress in Ionic Iridium(III) Complexes for Organic Electronic Devices.
AB - Ionic iridium(III) complexes are emerging with great promise for organic
electronic devices, owing to their unique features such as ease of molecular
design and synthesis, excellent photophysical properties, superior redox
stability, and highly efficient emissions of virtually all colors. Here, recent
progress on new material design, regarding photo- and electroluminescence is
highlighted, including several interesting topics such as: i) color-tuning
strategies of cationic iridium(III) complexes, ii) widespread utilization in
phosphorescent light-emitting devices fabricated by not only solution processes
but also vacuum evaporation deposition, and iii) potential applications in data
record, storage, and sercurity. Results on anionic iridium(III) complexes and
"soft salts" are also discussed, indicating a new related subject. Finally, a
brief outlook is suggested, pointing out that ionic iridium(III) complexes should
play a more significant role in future organic electronic materials technology.
PMID- 27869355
TI - Delivery of Flightless I Neutralizing Antibody from Porous Silicon Nanoparticles
Improves Wound Healing in Diabetic Mice.
AB - Flightless I (Flii) is elevated in human chronic wounds and is a negative
regulator of wound repair. Decreasing its activity improves healing responses.
Flii neutralizing antibodies (FnAbs) decrease Flii activity in vivo and hold
significant promise as healing agents. However, to avoid the need for repeated
application in a clinical setting and to protect the therapeutic antibody from
the hostile environment of the wound, suitable delivery vehicles are required. In
this study, the use of porous silicon nanoparticles (pSi NPs) is demonstrated for
the controlled release of FnAb to diabetic wounds. We achieve FnAb loading
regimens exceeding 250 ug antibody per mg of vehicle. FnAb-loaded pSi NPs
increase keratinocyte proliferation and enhance migration in scratch wound
assays. Release studies confirm the functionality of the FnAb in terms of Flii
binding. Using a streptozotocin-induced model of diabetic wound healing, a
significant improvement in healing is observed for mice treated with FnAb-loaded
pSi NPs compared to controls, including FnAb alone. FnAb-loaded pSi NPs treated
with proteases show intact and functional antibody for up to 7 d post-treatment,
suggesting protection of the antibodies from proteolytic degradation in wound
fluid. pSi NPs may therefore enable new therapeutic approaches for the treatment
of diabetic ulcers.
PMID- 27869354
TI - Efficacy of olopatadine hydrochloride 0.1%, emedastine difumarate 0.05%, and
loteprednol etabonate 0.5% for Chinese children with seasonal allergic
conjunctivitis: a randomized vehicle-controlled study.
AB - BACKGROUND: Allergic conjunctivitis (AC) is a disease of various agents that
affects the physical and mental health of children. Although the most effective
therapy has not been found so far, it is essential to explore the considerable
therapeutic method. We compared the clinical efficacy of olopatadine, emedastine,
loteprednol etabonate (LE), and vehicle for treating seasonal allergic
conjunctivitis (SAC) in Chinese children. METHODS: Eighty cases of 160 eyes aged
from 5 to 10 years with SAC were available and those subjects were randomly
distributed into 4 groups. Both their eyes received olopatadine hydrochloride
0.1% twice a day, emedastine difumarate 0.05% twice a day, or LE 0.5% 4 times a
day, respectively, whereas those of the control group received artificial tears
(AT) 0.5% 3 times a day. This study was conducted successfully and the
observations were collected before treatment and on day 8 (+/-1 day) and day 15
(+/-2 days) afterward. The principal measurement of efficacy was focused on the
signs and symptoms of the subjects, evaluated before and after treatment, in
addition to visual acuity (VA) and fundus oculi. RESULTS: On day 8 (+/-1 day) and
day 15 (+/-2 days), all the antiallergic agents were found to be more effective
than vehicle (p < 0.05) in terms of all the symptoms and signs. However, there
was no statistical significance (p >= 0.05) shown among the treatment groups.
There were no evident changes in VA and no clinically significant changes were
observed in fundus oculi. CONCLUSION: After the treatment, the efficacy presented
a similar distribution among the trial groups.
PMID- 27869356
TI - Apremilast, an oral phosphodiesterase 4 (PDE4) inhibitor: A novel treatment
option for nurse practitioners treating patients with psoriatic disease.
AB - BACKGROUND AND PURPOSE: Apremilast is an oral nonbiologic medication approved for
the treatment of adult patients with active psoriatic arthritis and for patients
with moderate to severe plaque psoriasis. This article summarizes the efficacy
and safety of apremilast and provides characterization of the novel medication
with clinical perspectives to successfully incorporate this therapy into practice
for appropriate patients. DATA SOURCES: A review and synthesis of the results
from the ESTEEM (Efficacy and Safety Trial Evaluating the Effects of Apremilast
in Psoriasis) phase 3 clinical studies evaluating the efficacy, safety, and
tolerability of apremilast for the treatment of moderate to severe plaque
psoriasis was conducted. CONCLUSIONS: Results from the ESTEEM clinical trial
program demonstrate that apremilast significantly reduces the severity of
moderate to severe plaque psoriasis, has an acceptable safety profile, and is
generally well tolerated. IMPLICATIONS FOR PRACTICE: The novel mechanism of
action, convenience of oral administration, and acceptable side effect profile
make this medication an attractive choice for clinicians treating patients with
plaque psoriasis.
PMID- 27869357
TI - The impact of endoscopic sinus surgery on paranasal physiology in simulated sinus
cavities.
AB - BACKGROUND: Surgery improves symptoms for the majority of chronic rhinosinusitis
(CRS) patients; however, physiological changes in the sinus cavities remain
poorly characterized. Direct measurement of changes in airflow, pressure,
temperature, humidity, and intranasal spray distribution following surgery is
technically challenging. Accordingly, we have used computational fluid dynamic
modeling to quantify how these parameters change postoperatively. METHODS:
Computed tomography images from a normal control, a patient with CRS
preoperatively and postoperatively, and a patient following an endoscopic Lothrop
procedure (ELP) were used to create 4 three-dimensional models of the sinus
cavities. Changes in physiologic parameters and topical drug distribution were
modeled (inhaled air at 16 degrees C and 10% humidity) at the maxillary ostium,
frontal recess, and sphenoid ostium. RESULTS: Large differences were seen between
models. Following surgery, the maxillary ostia were found on average to be cooler
(by 2.4 degrees C), with an increased airflow (0.26 m/second; from 0 m/second),
and a 9% reduction in absolute humidity. Sphenoid ostial parameters followed a
similar trend. Significant changes in frontal recess physiology were seen
following ELP in which the recess was 4.2 degrees C cooler, had increased airflow
(0.76 m/second) and a 17% reduction in absolute humidity. Topical drug
distribution increased with surgery, particularly after ELP. CONCLUSION: Surgery
changes the geometry and physiology of the paranasal sinuses. These changes are
likely to have an impact on wound healing, mucociliary function, and microbial
ecology in postoperative cavities. Application of this model to further
understand the effects of surgery may help to optimize surgical techniques and
improve topical drug delivery.
PMID- 27869358
TI - Disease Systems Analysis of Bone Mineral Density and Bone Turnover Markers in
Response to Alendronate, Placebo, and Washout in Postmenopausal Women.
AB - A previously established mechanism-based disease systems model for osteoporosis
that is based on a mathematically reduced version of a model describing the
interactions between osteoclast (bone removing) and osteoblast (bone forming)
cells in bone remodeling has been applied to clinical data from women (n = 1,379)
receiving different doses and treatment regimens of alendronate, placebo, and
washout. The changes in the biomarkers, plasma bone-specific alkaline phosphatase
activity (BSAP), urinary N-telopeptide (NTX), lumbar spine bone mineral density
(BMD), and total hip BMD, were linked to the underlying mechanistic core of the
model. The final model gave an accurate description of all four biomarkers for
the different treatments. Simulations were used to visualize the dynamics of the
underlying network and the natural disease progression upon alendronate treatment
and discontinuation. These results complement the previous applications of this
mechanism-based disease systems model to data from various treatments for
osteoporosis.
PMID- 27869359
TI - Pitfalls in the use of epinephrine for anaphylaxis: patient and provider
opportunities for improvement.
AB - BACKGROUND: Epinephrine remains the mainstay of treatment for life-threatening
allergic reactions. A number of challenges are encountered with epinephrine,
resulting in underutilization and misutilization of epinephrine. The purpose of
this study was to identify the scope of epinephrine pitfalls and opportunities
for improvement in the management of allergy emergencies. METHODS: A PubMed
search from 1990 to 2015 was performed to identify all cases and reports
pertaining to the use and misuse of epinephrine for anaphylaxis. Studies were
assessed for obstacles or complications related to proper administration of
epinephrine for treatment of allergic reactions, and were divided into problems
originating with patients compared to healthcare providers. RESULTS: There were
1840 publications related to epinephrine use, of which 61 reports met inclusion
criteria for pitfalls in the use of epinephrine. The most common problems
reported related to lack of autoinjector availability (22), inadequate education
of patients or providers (9), uncertainty about when or how to administer
epinephrine (9), concern for systemic effects (13), failure to administer (8),
and accidental administration (2). Responsibility for errors was divided among
patients (18), providers (39), or both (4). CONCLUSION: Epinephrine is a potent
medication with lifesaving indications and is the standard of care for treatment
of anaphylaxis. The delivery of epinephrine in both trained and untrained
populations carries certain pitfalls and complications that can have serious
consequences. Identification of the scope of the problem is an important step in
improving education for both providers and patients who are tasked with use of
epinephrine for allergy emergencies.
PMID- 27869361
TI - Clinical outcomes according to molecular subtypes in stage II-III breast cancer
patients treated with neoadjuvant chemotherapy followed by surgery and
radiotherapy.
AB - AIM: We evaluated the tumor response and clinical outcomes according to molecular
subtypes in stage II-III breast cancer patients who received neo-adjuvant
chemotherapy (NAC) followed by surgery and radiotherapy. METHODS: We
retrospectively analyzed 329 patients with clinical stage II-III breast cancer
who received NAC followed by surgery and radiotherapy. Luminal A and B, HER2
enriched and triple-negative subgroups were identified. RESULTS: The overall
pathologic complete response (pCR) rate after NAC was 20.1% and the HER2-enriched
subgroup had the highest pCR rate (43.6%), whereas luminal A showed the lowest
rate of pCR (4.6%). The median follow-up duration was 55 months (range, 5-98
months). The 5-year overall survival (OS) and disease-free survival (DFS) rates
were 88.9% and 72.9%, respectively. In subgroup analysis, according to the
pathologic response (pCR vs non-pCR), the triple-negative subtype exhibited a
significant difference in 5-year OS rate (100.0% vs 71.6%, P = 0.005) and 5-year
DFS rate (93.1% vs 55.1%, P < 0.001). A distinct survival difference according to
molecular subtype was found, particularly in the non-pCR group (5-year OS and
DFS, P < 0.001, respectively). CONCLUSIONS: The non-pCR group showed
significantly decreased 5-year OS and DFS rates compared to the pCR group,
especially in triple negative and HER2-enriched breast cancer patients. A
significant difference in survival rates and molecular subtypes was found in
patients who failed to attain pCR.
PMID- 27869360
TI - Association between ratio indexes of body composition phenotypes and metabolic
risk in Italian adults.
AB - The ratio between fat mass (FM) and fat-free mass (FFM) has been used to
discriminate individual differences in body composition and improve prediction of
metabolic risk. Here, we evaluated whether the use of a visceral adipose tissue
to-fat-free mass index (VAT:FFMI) ratio was a better predictor of metabolic risk
than a fat mass index to fat-free mass index (FMI:FFMI) ratio. This is a cross
sectional study including 3441 adult participants (age range 18-81; men/women:
977/2464). FM and FFM were measured by bioelectrical impedance analysis and VAT
by ultrasonography. A continuous metabolic risk Z score and harmonised
international criteria were used to define cumulative metabolic risk and
metabolic syndrome (MetS), respectively. Multivariate logistic and linear
regression models were used to test associations between body composition indexes
and metabolic risk. In unadjusted models, VAT:FFMI was a better predictor of MetS
(OR 8.03, 95%CI 6.69-9.65) compared to FMI:FFMI (OR 2.91, 95%CI 2.45-3.46).
However, the strength of association of VAT:FFMI and FMI:FFMI became comparable
when models were adjusted for age, gender, clinical and sociodemographic factors
(OR 4.06, 95%CI 3.31-4.97; OR 4.25, 95%CI 3.42-5.27, respectively). A similar
pattern was observed for the association of the two indexes with the metabolic
risk Z score (VAT:FFMI: unadjusted b = 0.69 +/- 0.03, adjusted b = 0.36 +/- 0.03;
FMI:FFMI: unadjusted b = 0.28 +/- 0.028, adjusted b = 0.38 +/- 0.02). Our results
suggest that there is no real advantage in using either VAT:FFMI or FMI:FFMI
ratios as a predictor of metabolic risk in adults. However, these results warrant
confirmation in longitudinal studies.
PMID- 27869363
TI - Is the Memorial Sloan Kettering Cancer Centre (MSKCC) sarcoma nomogram useful in
an Asian population?
AB - AIM: A nomogram for prediction of 12-year sarcoma-specific survival has been
developed based on patients with soft tissue sarcomas treated in Memorial Sloan
Kettering Cancer Centre (MSKCC). We aim to evaluate the predictive accuracy of
the MSKCC sarcoma nomogram in a cohort of patients treated at an Asian
institution. This has not been validated in an Asian population and thus its
universal applicability remains unproven. MATERIALS AND METHODS: Between 1990 and
2013, 840 adult patients underwent treatment for primary soft tissue sarcoma
(STS) at the National Cancer Centre Singapore. Patients who presented with
locally recurrent or metastatic disease were excluded from the analysis. The
variables included in the MSKCC nomogram included age at diagnosis, tumor size,
histologic grade, histologic subtype, depth and site. A total of 399 patients
were left for analysis. The nomogram was validated by assessing its extent of
discrimination and level of calibration. RESULTS: All patients had deep tumors.
Disease occurred most commonly in the lower extremity (n = 149 [37.3%]), the most
common histologic subtype was "Others" (angiosarcoma, ewing's sarcoma,
endometrial stromal sarcoma, sarcoma NOS [not otherwise specified] and
rhabdomyosarcoma). Sixty-four percent of all patients had high-grade tumors while
36% had low-grade tumors. The median patient age at diagnosis was 54 years
(range: 17-88 years). The median follow up time for all patients and surviving
patients were 29 (range: 1-174) and 33 (range: 1-157) months, respectively. The
observed 5- and 10-year sarcoma-specific survival were 55% and 33%, respectively.
The concordance index was 0.71. For level of calibration, the observed
correspondence between predicted and actual outcomes suggest that the MSKCC
nomogram generally predicts well for patients with higher survival probability,
but consistently overpredicts survival for the other groups, in our cohort of
patients. CONCLUSION: The MSKCC sarcoma nomogram was found to be accurate in
terms of extent of discrimination. In terms of level of calibration, it generally
predicts well for patients with higher survival probability, but consistently
overpredicts survival for the other groups in our population.
PMID- 27869362
TI - Fractal Geometry-Based Decrease in Trimethoprim-Sulfamethoxazole Concentrations
in Overweight and Obese People.
AB - Trimethoprim-sulfamethoxazole (TMP-SMX) is one of the most widely drugs on earth.
The World Health Organization recommends it as an essential basic drug for all
healthcare systems. Dosing is inconsistently based on weight, assuming linear
relationships. Given that obesity is now a global "pandemic" it is vital that we
evaluate the effect of obesity on trimethoprim-sulfamethoxazole concentrations.
We conducted a prospective clinical experiment based on optimized design
strategies and artificial intelligence algorithms and found that weight and body
mass index (BMI) had a profound effect on drug clearance and volume of
distribution, and followed nonlinear fractal geometry-based relationships. The
findings were confirmed by demonstrating decreased TMP-SMX peak and area under
the concentration-time curves in overweight patients based on standard regression
statistics. The nonlinear relationships can now be used to identify new TMP-SMX
doses in overweight and obese patients for each of the infections caused by the
>60 pathogens for which the drug is indicated.
PMID- 27869364
TI - Assessing the reliability of ecotoxicological studies: An overview of current
needs and approaches.
AB - In general, reliable studies are well designed and well performed, and enough
details on study design and performance are reported to assess the study. For
hazard and risk assessment in various legal frameworks, many different types of
ecotoxicity studies need to be evaluated for reliability. These studies vary in
study design, methodology, quality, and level of detail reported (e.g., reviews,
peer-reviewed research papers, or industry-sponsored studies documented under
Good Laboratory Practice [GLP] guidelines). Regulators have the responsibility to
make sound and verifiable decisions and should evaluate each study for
reliability in accordance with scientific principles regardless of whether they
were conducted in accordance with GLP and/or standardized methods. Thus, a
systematic and transparent approach is needed to evaluate studies for
reliability. In this paper, 8 different methods for reliability assessment were
compared using a number of attributes: categorical versus numerical scoring
methods, use of exclusion and critical criteria, weighting of criteria, whether
methods are tested with case studies, domain of applicability, bias toward GLP
studies, incorporation of standard guidelines in the evaluation method, number of
criteria used, type of criteria considered, and availability of guidance
material. Finally, some considerations are given on how to choose a suitable
method for assessing reliability of ecotoxicity studies. Integr Environ Assess
Manag 2017;13:640-651. (c) 2016 The Authors. Integrated Environmental Assessment
and Management published by Wiley Periodicals, Inc. on behalf of Society of
Environmental Toxicology & Chemistry (SETAC).
PMID- 27869365
TI - Editorial: Asian Congress of Biotechnology 2015.
PMID- 27869367
TI - Errata.
PMID- 27869366
TI - Evolution of female-specific wingless forms in bagworm moths.
AB - The evolution of winglessness in insects has been typically interpreted as a
consequence of developmental and other adaptations to various environments that
are secondarily derived from a winged morph. Several species of bagworm moths
(Insecta: Lepidoptera, Psychidae) exhibit a case-dwelling larval life style along
with one of the most extreme cases of sexual dimorphism: wingless female adults.
While the developmental process that led to these wingless females is well known,
the origins and evolutionary transitions are not yet understood. To examine the
evolutionary patterns of wing reduction in bagworm females, we reconstruct the
molecular phylogeny of over 30 Asian species based on both mitochondrial
(cytochrome c oxidase subunit I) and nuclear (28S rRNA) DNA sequences. Under a
parsimonious assumption, the molecular phylogeny implies that: (i) the
evolutionary wing reduction towards wingless females consisted of two steps:
(Step I) from functional wings to vestigial wings (nonfunctional) and (Step II)
from vestigial wings to the most specialized vermiform adults (lacking wings and
legs); and (ii) vermiform morphs evolved independently at least twice. Based on
the results of our study, we suggest that the evolutionary changes in the
developmental system are essential for the establishment of different wingless
forms in insects.
PMID- 27869368
TI - Acta 3.0 - The state of your journal.
PMID- 27869370
TI - Conjunctival malignant melanoma in Denmark. Epidemiology, treatment and prognosis
with special emphasis on tumorigenesis and genetic profile.
PMID- 27869371
TI - VORWORT.
PMID- 27869372
TI - Pathogenesis and clinical presentation of rosacea as a key for a symptom-oriented
therapy.
AB - Rosacea is a common chronic inflammatory skin disorder that typically occurs in
adults and affects the face. Synonyms of rosacea include "acne rosacea",
"couperose" and "facial erythrosis", in German also "Kupferfinne" and "Rotfinne".
The disorder is characterised by a chronic and flaring course and is caused by a
genetically predisposed, multifactorial process. A higher incidence is seen in
people with fair skin and a positive family history. The characteristic rosacea
symptoms manifest primarily, but not exclusively centrofacially, with forehead,
nose, chin and cheeks significantly affected. Based on the various main symptoms
a classification of the individual clinical pictures can be performed. However, a
classification often does not reflect the clinical reality, since the various
symptoms commonly coexist. The present review provides an introduction on
pathogenesis and clinical manifestations of rosacea and prefers a symptom
oriented therapy approach.
PMID- 27869373
TI - Rosazea-Management: Update uber allgemeine Mabetanahmen und topische
Therapieoptionen.
AB - Obwohl bislang fur die Rosazea keine kurative Therapie besteht, konnen
verschiedene Optionen zur Behandlung der Symptome und zur Vorbeugung von
Exazerbationen empfohlen werden. Neben Selbsthilfemabetanahme wie der Vermeidung
von Triggerfaktoren und einer geeigneten Hautpflege sollte das Rosazea-Management
bei Patienten mit erythematoser und leichter bis schwerer papulopustuloser
Rosazea die Anwendung topischer Praparate als First-Line-Therapie umfassen. Da
Uberlappungen der charakteristischen Rosazea-Symptome im klinischen Alltag die
Regel sind, sollte die medikamentose Therapie auf die individuellen Symptome
zugeschnitten werden; auch eine Kombinationstherapie kann erforderlich sein. Zu
den fur die Behandlung der Hauptsymptome der Rosazea zugelassenen Wirkstoffen
gehoren Brimonidin gegen das Erythem sowie Ivermectin, Metronidazol oder
Azelainsaure gegen entzundliche Lasionen. Ihre Wirksamkeit wurde in zahlreichen
validen, gut kontrollierten Studien belegt. Daruber hinaus existieren
verschiedene nicht zugelassene topische Behandlungsmoglichkeiten, deren
Wirksamkeit und Sicherheit noch in grobetaeren, kontrollierten Studien zu
untersuchen ist.
PMID- 27869374
TI - State of the art: systemic rosacea management.
AB - Based on numerous trials, oral tetracyclines and most commonly their second
generation derivative doxycycline have become the main pillar in systemic rosacea
treatment. However, the only preparation that has been approved so far in this
setting is 40 mg doxycycline in an anti-inflammatory dosage and with a modified
release formulation. With the introduction of this once-daily, non-antibiotic
dosing of doxycycline, oral therapy is more commonly prescribed as first-line
treatment in moderate to severe papulopustular rosacea. In addition, topical and
oral strategies are often used in combination due to the more substantial
improvements compared to monotherapy. Although several other non-approved oral
agents like macrolides, isotretinoin, and carvedilol have been evaluated for
systemic treatment and showed promising results, yet the experience with these
drugs in rosacea is limited, and thus they should be reserved for special
situations.
PMID- 27869375
TI - Aktueller Stand der systemischen Rosazea-Therapie.
AB - Basierend auf den Daten zahlreicher Studien sind orale Tetracycline - und hier
insbesondere Doxycyclin als Tetracyclin der zweiten Generation - die Grundpfeiler
der systemischen Rosazea-Therapie. Bisher ist dafur jedoch nur Doxycyclin 40 mg
in antientzundlicher Dosierung mit veranderter Wirkstofffreisetzung zugelassen.
Seit Einfuhrung der Therapie mit Doxycyclin einmal taglich in nicht
antibiotischer Dosierung wird die orale Therapie haufiger als Erstbehandlung bei
mittelschwerer bis schwerer papulopustuloser Rosazea verschrieben. Oft wird diese
Behandlung aufgrund der besseren Wirksamkeit im Vergleich zur Monotherapie auch
mit einer topischen Behandlung kombiniert. Obwohl in der Systemtherapie weitere,
nicht zugelassene Wirkstoffe wie Makrolide, Isotretinoin und Carvedilol mit viel
versprechenden Ergebnissen untersucht wurden, ist die vorliegende Erfahrung
bisher begrenzt, so dass diese Substanzen speziellen Situationen vorbehalten
bleiben sollten.
PMID- 27869376
TI - Physikalische Methoden zur Behandlung der Rosazea.
AB - Die Rosazea ist durch vielfaltige vaskulare Veranderungen gekennzeichnet. Neben
Teleangiektasien und Erythemen treten haufig auch sogenannte "Flushings" auf.
Haupteinsatzgebiete von Licht- und Lasersystemen sind diese vaskularen
Veranderungen, wie Erytheme und Teleangiektasien. Neben dem KTP-Laser, dem
Farbstofflaser (PDL) und dem Nd:YAG-Laser kommen auch Blitzlampen (IPL) zum
Einsatz. Neben der Ruckbildung der vaskularen Komponente, ist auch eine
Verbesserung der papulopustulosen Komponente beschrieben. Wahrend der KTP-Laser
sehr gute Ergebnisse bei Teleangiektasien zeigt, werden der Farbstofflaser und
die Blitzlampen bevorzugt bei flachigen Erythemen eingesetzt. Der ND:YAG-Laser
kann bei Teleangiektasien und Erythemen eingesetzt werden, birgt aber von allen
Systemen das nicht einschatzbare Narbenbildungsrisiko in sich. Die Bildung von
Phymen stellt eine klinische Auspragung der Rosazea dar. Das am haufigsten
vorkommende ist das Rhinophym. Bei moderaten und schweren Formen steht die
Abtragung im Vordergrund. Die klassische chirurgische Abtragung stellt eine
Moglichkeit zur Behandlung dar, haufig kommt es hierbei jedoch intraoperativ zu
starkeren Blutungen. Alternativmethode ist die Elektrochirurgie und die
Dermabrasion, wobei bei beiden Methoden Narbenbildungen auftreten konnen. Neuere
Methoden wie die CO2 -Laserabtragung, eventuell in Kombination mit der Erbium:YAG
Abtragung, stellen sichere und komplikationsarmere Varianten dar.
PMID- 27869377
TI - Physical modalities for the treatment of rosacea.
AB - Rosacea is characterised by a wide variety of vascular changes. Apart from
telangiectasia and erythema, often so-called flushing occurs. These vascular
abnormalities can be targeted with specific light and laser devices. In addition
to KTP laser, pulsed dye laser (PDL) and Nd:YAG laser, also intense pulsed light
devices (IPLs) are used. The described therapeutic effects include the reduction
of vascular abnormalities and even improvement of papulopustular changes. While
the KTP laser shows very good results in telangiectasia, the dye laser and IPL
devices are used preferably in erythema. The Nd:YAG laser is also a possibility
for patients with telangiectasia and erythema. However, compared to the other
laser and light devices the Nd:YAG laser carries the highest risk of
unpredictable scarring. Phymatous changes are another clinical manifestation of
rosacea, mostly affecting the nose (rhinophyma). Moderate and severe cases are
commonly treated with ablation modalities. Traditional surgery is a treatment
option, but is often associated with major intraoperative bleeding. Alternative
methods include electrosurgery and dermabrasion, although both methods can cause
scarring. Newer methods such as CO2 laser ablation, possibly in combination with
the erbium:YAG laser, are safe alternatives with a lower risk of complications.
PMID- 27869378
TI - Pathogenese und Klinik der Rosazea als Schlussel fur eine symptomorientierte
Therapie.
AB - Rosazea ist eine haufige chronisch-entzundliche Hauterkrankung, die
typischerweise bei Erwachsenen vorkommt und das Gesicht betrifft. Synonyme der
Rosazea sind Acne rosacea, Kupferfinne, Rotfinne, Couperose und Rosacea. Die
Erkrankung ist durch einen chronischen und schubartigen Verlauf gekennzeichnet
und wird durch ein genetisch pradisponiertes, multifaktorielles Geschehen
bedingt. Ein vermehrtes Auftreten wird bei hellem Hauttyp und positiver
Familienanamnese verzeichnet. Die charakteristischen Rosazea-Symptome
manifestieren sich vorwiegend, aber nicht ausschliebetalich zentrofazial, wobei
Stirn, Nase, Kinn und die Wangen mabetageblich betroffen sind. Dabei werden
unterschiedliche Hauptsymptome voneinander unterschieden, anhand derer eine
Klassifikation der verschiedenen klinischen Bilder vorgenommen werden kann. Eine
Klassifizierung wird oftmals jedoch nicht der klinischen Realitat gerecht, da die
verschiedenen Symptome haufig gemeinsam auftreten. Diese Ubersichtarbeit fuhrt in
die Pathogenese und Klinik der Rosazea ein und pladiert fur einen
symptomorientierten Therapieansatz.
PMID- 27869380
TI - ?
PMID- 27869379
TI - Rosacea Management: Update on general measures and topical treatment options.
AB - Although there is presently no cure for rosacea, there are several recommended
treatment options available to control many of the symptoms and to prevent them
from getting worse. In addition to self-help measures like avoidance of trigger
factors and proper skin care, rosacea management should include topical
medications as one of the first-line choices for patients with erythematous and
mild to severe papulopustular rosacea. Since mixed forms of characteristic
rosacea symptoms are more common, medical treatment must be symptom-tailored for
each individual case and will often involve a combination therapy. Approved
topical agents for the major symptoms of rosacea encompass brimonidine for
erythema and ivermectin, metronidazole or azelaic acid for inflammatory lesions,
all of which have shown their efficacy in numerous valid, well-controlled trials.
In addition, there are several other, not approved topical treatments which are
possible options that require further validation in larger well-controlled
studies.
PMID- 27869381
TI - [Analysis of adiposity and physical fitness in young Colombian students].
AB - INTRODUCTION: Body mass index and physical fitness are important markers of a
healthy life style. Objective: To analyze adiposity and physical fitness in young
students from public schools in Armenia, Colombia, and examine differences
between sex and age groups. Materials and methods: We analyzed 1,150 students:
46.6% (n=536) males and 53.4% (n=614) females, with an age range of 10 to 20
years (15.45 +/- 2.52). Physical fitness was evaluated by the Course-Navette
test, the Horizontal Jump test, the 20-meter run test and the Sit-and-Reach test.
Body mass index and body fat were also assessed. Results: Compared with males,
females had significantly higher adiposity levels, body mass index (20.4 vs.
19.5) and body fat (23% vs. 11%). Males had higher levels of physical fitness in
all the variables analyzed, with statistically significant differences (p<0.001),
except in flexibility, where females achieved better results (3.1cm vs.1 3cm;
p=0.003). In both sexes, body mass index increased with age (p<0.001). However,
body fat decreased with age in males (p<0.001) and increased in females
(p<0.001). In males, physical fitness improved with age in all variables. In
females, no significant differences were found between age groups except in the
maximal oxygen uptake (VO2max, p<0.001). Conclusion: Adiposity was significantly
higher in women than in men. Males had a better level of physical fitness than
females, except in flexibility. Physical fitness improved significantly with age
in males, but did not change in females.
PMID- 27869382
TI - [Description of external cuticular setae of head, thorax, legs, abdomen and
genitalia of four Triatominae species].
AB - INTRODUCTION: The classification of the cuticular extensions of insects has been
proposed as a taxonomic tool; however, the internal and external processes of the
cuticular extensions of the Triatominae subfamily have not been fully analyzed
and categorized. Objective: To describe the setae from different regions of the
outer cuticle of several triatomine species by scanning electron microscopy.
Materials and methods: Triatomines were washed and dried, after which different
regions of the body from Eratyrus mucronatus, Triatoma maculata, Panstrongylus
geniculatus and Belminus ferroae specimens were dissected, mounted on graphite
double-sided adhesive tape over metal supports, metalized with gold and
micrographed for further analysis. Results: We described nine types of cuticular
setae. We found five types of setae in B. ferroae and T. maculata, four in P.
geniculatus and only one in E. mucronatus. According to the proposed typology,
type 3 seta was the most common in T. maculata, P. geniculatus and E. mucronatus,
whereas type 1a predominated in B. ferroae. Conclusion: Type 3 seta was the most
common in the Triatomini tribe (T. maculata, E mucronatus and P. geniculatus),
whereas type 1a seta was specific to B. ferroae (tribe Bolboderini), suggesting
that the surface morphology of the setae may have taxonomic value at tribe
taxonomic level.
PMID- 27869384
TI - [Atypical mucocutaneous manifestations in neonates and infants with chikungunya
fever in the municipalities of Cucuta, Los Patios and Villa del Rosario, Norte de
Santander, Colombia, 2014].
AB - INTRODUCTION: Atypical clinical manifestations have been observed in newborns and
infants suffering from fever caused by the chikungunya virus. Objective: To
describe the cases of fever caused by the chikungunya virus in newborns and
infants with atypical mucocutaneous lesions. Materials and methods: We reviewed
the clinical records, as well as lab tests and histopathological results, of
newborns and infants diagnosed with Chikungunya virus and atypical mucocutaneous
lesions in three regional hospitals. Results: Out of 18 suspected cases of
chikungunya virus in newborns and infants, 11 were positive and presented
atypical mucocutaneous manifestations. Six of the eleven confirmed cases
corresponded to children under five months of age. The most common symptoms were
fever, skin rash, irritability, and diarrhea. Three of the patients were infected
with both dengue and chikungunya viruses. The ulcers occurred in the scalp,
abdomen, genital and perianal region. CONCLUSIONS: We report mucocutaneous
manifestations in newborns and infants diagnosed with fever caused by the
chikungunya virus in Colombia. The rapid development of ulcers is most likely due
to the immune response to the virus. Special attention should be given to
pregnant women presenting symptoms of chikungunya virus infection prior to
delivery, and their offspring should be followed-up in order to monitor possible
complications.
PMID- 27869383
TI - [Geographic distribution of perinatal mortality due to congenital malformations
in Colombia, 1999-2008: An analysis of vital statistics data].
AB - INTRODUCTION: During 2012, 13% of the deaths worldwide in children under the age
of 28 days were due to congenital malformations. In Colombia, congenital
malformations are the second leading cause of infant mortality. Objective: To
determine the geographical distribution of extended perinatal mortality due to
congenital malformations in Colombia between 1999 and 2008. Materials and
methods: We conducted a cross-sectional study. We revised all death certificates
issued between 1999 and 2008. We defined perinatal mortality as fetal or non
fetal deaths within the first 28 days after delivery in children with body weight
>=500 grams, and congenital malformations according to ICD-10 diagnostic codes
Q000 - Q999. The annual birth projection was used as the denominator. We defined
high mortality areas due to congenital malformations as those in the 90th
percentile. Results: We recorded 22,361 perinatal deaths due to congenital
malformations. The following provinces exceeded the 90th perinatal mortality
percentile: Antioquia, Caldas, Risaralda, Huila, Quindio, Bogota, Valle del Cauca
and Guainia. Among the municipalities, the highest perinatal mortality rates were
found in Giraldo, Ciudad Bolivar, Riosucio, Liborina, Supia, Alejandria,
Sopetran, San Jeronimo, Santa Fe de Antioquia and Marmato (205.81 and 74.18 per
10.000 live births).The perinatal mortality rate due to malformations of the
circulatory system was 28.1 per 10.000 live births, whereas the rates for central
nervous system defects and chromosomal abnormalities were 13.7 and 7.0,
respectively. CONCLUSIONS: The Andean region showed high perinatal mortality
rates due to congenital malformations. There is an urgent need to identify
possible risk factors of perinatal mortality and implement successive prevention
programs in that particular region.
PMID- 27869386
TI - Prediction of the respiratory syncitial virus epidemic using climate variables in
Bogota, D.C.
AB - lntroduction: The respiratory syncitial virus is one of the most common causes of
mortality in children and older adults in the world. Objective: To predict the
initial week of outbreaks and to establish the most relevant climate variables
using naive Bayes classifiers and receiver operating characteristic curves (ROC).
Materials and methods: The initial dates of the outbreaks in children less than
five years old for the period 2005-2010 were obtained for Bogota, Colombia. We
selected the climatological variables using a correlation matrix and we
constructed 1,020 models using different climatological variables and data from
different weeks previous to the initial outbreak. In addition, we selected models
using a six-year period (2005-2010), a four-year period (2005-2008), and a two
year period (2009-2010). We obtained the best predictive models and the most
relevant climatological variables to predict the outbreak using naive Bayes
classifiers and ROC curves. Results: The best models were those using a two-year
period (2009-2010) and week 0, with 52% and 60% of effectiveness, respectively.
Humidity was the most frequent variable in the best models (62%). Conclusions: We
used naive Bayes classifiers to establish the best models to predict correctly
the initial week of the outbreak. Our results suggest that the best models used
humidity, wind speed and minimum temperature in outbreaks prediction.
PMID- 27869385
TI - [Phenotypic and molecular characterization of a Colombian family with
phenylketonuria].
AB - INTRODUCTION: Phenylketonuria is a metabolic disorder characterized by severe
neurological involvement and behavioral disorder, whose early diagnosis enables
an effective treatment to avoid disease sequelae, thus changing the prognosis.
Objective: To characterize a family with phenylketonuria in Colombia at clinical,
biochemical and molecular levels. Materials and methods: The population consisted
of seven individuals of a consanguineous family with four children with
suggestive symptoms of phenylketonuria. After signing an informed consent, blood
and urine samples were taken for colorimetric tests and high performance liquid
and thin layer chromatographies. DNA extraction and sequencing of the 13 exons of
the PAH gene were performed in all subjects. We designed primers for each exon
with the Primer 3 software using automatic sequencing equipment Abiprism 3100
Avant. Sequences were analyzed using the SeqScape, v2.0, software. Results: We
described the clinical and molecular characteristics of a Colombian family with
phenylketonuria and confirmed the presence of the mutation c.398_401delATCA. We
established a genotype-phenotype correlation, highlighting the interesting
clinical variability found among the affected patients despite having the same
mutation in all of them. Conclusions: Early recognition of this disease is very
important to prevent its neurological and psychological sequelae, given that
patients reach old age without diagnosis or proper management.
PMID- 27869387
TI - [Trends in pertussis mortality and morbidity in Colombia, 2002-2012].
AB - INTRODUCTION: Whooping cough is a public health problem that mainly affects
children under one year of age with highly lethal outcomes. It is a re-emerging
disease, which is preventable by immunization. Objective: To analyze mortality
and morbidity trends of whooping cough in Colombia between 2002 and 2012.
Materials and methods: We conducted a quantitative descriptive study of deaths by
pertussis between 2002 and 2012 using data from death certificates registered by
the Departamento Administrativo Nacional de Estadistica, and cases reported to
the Sistema Nacional de Vigilancia en Salud Publica, 2005-2012. Frequency
measurements, morbidity and mortality ratios and female increased mortality were
calculated. Results: Fifty one point two percent of the deaths occurred in women;
the year with more deaths was 2012; 67.3% occurred in urban areas, and 43.5% of
the subjects were affiliated to the subsidized health regime. The risk of illness
was 1.88 per 1,000 live births with increased risk in Vaupes and Vichada. The
risk of death was 0.02 per 1,000 live births; there was a decrease in the
lethality trend. Conclusions: Pertussis has reemerged with increasing mortality
and morbidity. Since this is a preventable disease through vaccination, it is
advisable to increase control and enhance vaccination coverage in both children
and adults, who are an important reservoir of the disease. The effective control
of pertussis demands continued work aimed at early identification. It is also
necessary to carry out actions to improve data quality in order to facilitate its
analysis and the generation of more valid information.
PMID- 27869388
TI - [Cost-effectiveness of diagnostic strategies of severe bacterial infection in
infants with fever without a source].
AB - INTRODUCTION: Serious bacterial infections in infants under 2-years-of-age with
fever without a source remains, despite advances in vaccination, a matter of
concern for both physicians and parents. Having cost-effectiveness information is
relevant to guide decision making in clinical practice in this scenario.
Objective: To determine the cost-effectiveness of four different strategies of
screening in Argentina for serious bacterial infection in children presenting
with fever without a source. Materials and methods: We designed a decision tree
to model a hypothetical cohort of 10,000 children with fever without a source. We
compared the incremental cost-effectiveness of four strategies to detect serious
bacterial infection: Rochester criteria + C reactive protein test, Rochester
criteria + procalcitonin test, Rochester criteria, and expectant observation.
Results: Rochester criteria + C reactive protein test was the most cost-effective
strategy with USD$ 784 for each correctly diagnosed case versus USD$ 839 of
Rochester criteria + procalcitonin test, USD$ 1,116 of expectant observation or
USD$ 1,193 of Rochester criteria. When the probability of serious bacterial
infections was equal or less than 14%, the strategy of choice was expectant
observation. Conclusions: The Rochester criteria + C reactive protein test was
the most cost-effective strategy to detect serious bacterial infection in one to
three months old children with fever without a source. However, in low risk
settings for such infection, the strategy of choice is expectant observation.
PMID- 27869389
TI - [Suicide mortality in Colombia and Mexico: Trends and impact between 2000 and
2013].
AB - INTRODUCTION: Suicides are one of the main public health issues globally.
Objective: To analyze the trends and impact of suicide mortality in Colombia and
Mexico between 2000 and 2013, nationally, by sex and age groups. Materials and
methods: Mortality vital statistics from the Colombian Departamento
Administrativo Nacional de Estadistica and the Mexican Instituto Nacional de
Estadistica y Geografia were used. We conducted a descriptive and cross sectional
study for which we calculated standardized mortality rates and years of life lost
in people between 0 and 100 years of age. Results: In Colombia, the suicide
mortality rate decreased between 2000 and 2013 for both sexes (28% for men and
38% for women); an opposite trend was observed in Mexico (with an increase of 34%
for males and 67% for females). In 2013, the years of life lost in Colombia were
0,32 among men and 0,15 among women, with a decreasing trend since 2000, whereas
in Mexico a level of 0,42 was observed in men and 0,2 in women, with an
increasing trend since 2000. The age groups where suicides had a bigger impact
were those of men 15 to 49 years of age in both countries, while suicides were
more uniformly distributed among women between 15 and 84 years of age.
CONCLUSION: Suicide mortality increased gradually in Mexico, whereas in Colombia
an opposite trend was observed. Suicides can be prevented, so it is fundamental
to implement public health policies focused on timely identification,
comprehensive prevention strategies and the study of associated risk factors.
PMID- 27869390
TI - [Factors related to treatment adherence in patients with tuberculosis in Pereira,
Colombia, 2012-2013].
AB - INTRODUCTION: According to the World Health Organization, the adherence to the
tuberculosis treatment is the extent to which a patient's medication taking
coincides with the prescribed treatment. The sum of cured patients and those who
have completed treatment (directly observed therapy strategy, DOTS) are pragmatic
indicators of the adherence. The patients who do not complete treatment
correspond to non-adherents. Objective: To determine the relationship between
anti-tuberculosis treatment adherence and socio-demographic, economic, clinical,
and drug-related objective and subjective factors in patients over 18 years of
age. Materials and methods: This was a descriptive, cross-sectional study of 174
patient records of the tuberculosis control program and 15 semi-structured
interviews to non-adherent patients during the period between June, 2012, and
June, 2013. Results: The adherence in patients with pulmonary tuberculosis was
94.8%. It was higher in patients in the social security contributory regime
(p=0.035). Non-adherence was 5.2%. Drug intolerance was higher in the group of
non-adherent patients. The lack of family support, absenteeism in the workplace,
economic impact and dissatisfaction with the timeliness and the care of the
health institution, were important in the decision of non-adherence. CONCLUSIONS:
Affiliation to the health system regime and drug tolerance were objective factors
related to adherence to treatment. The social and economic burden of disease is a
subjective factor associated with the decision of not adhering to treatment.
PMID- 27869391
TI - [First report of the F1534C mutation associated with cross-resistance to DDT and
pyrethroids in Aedes aegypti from Colombia].
AB - INTRODUCTION: The main strategy for the control of Aedes aegypti, vector of
dengue, chikungunya and Zika viruses, is based on the use of insecticides to
reduce its populations. However, their use has led to insect resistance to these
chemicals. Objective: To determine the presence of the F1534C mutation associated
with cross-resistance to DDT and pyrethroids in A. aegypti in Sincelejo,
Colombia. Materials and methods: We studied nine specimens of A. aegypti that
showed resistance to lambdacyhalothrin in bioassays developed by the Secretaria
de Salud de Sucre. We used a semi-nested PCR as previously described by Harris,
et al., to amplify exon 31 of the para gene of the voltage-dependent sodium
channel of A. aegypti. We sequenced, edited, and analyzed PCR products with the
MEGA 5 software. Results: We detected the wild and mutant alleles of exon 31 in
all of the nine mosquitoes tested, and observed the substitution of thymine for
guanine in the nucleotide sequence of the mutant allele, producing a change to
UGC in the UUC codon, which led to the replacement of phenylalanine by cysteine
in residue 1534 of the protein. Conclusion: The nine mosquitoes analyzed
presented a heterozygote genotype for the F1534C mutation, whose phenotypic
effect is knockdown resistance (kdr) to DDT and pyrethroids.
PMID- 27869392
TI - [Detection of Aedes albopictus (Skuse) (Diptera: Culicidae) in the municipality
of Istmina, Choco, Colombia].
AB - INTRODUCTION: Aedes albopictus is widely distributed around the world. Its
introduction to the Americas occurred in 1985 and it is considered a potential
vector of dengue viruses and one of the principal vectors of chikungunya virus.
In Colombia, this species was reported for the first time in Leticia (Amazonas)
in 1998, followed by Buenaventura (Valle del Cauca) in 2001, Barrancabermeja
(Santander) in 2010, and Medellin (Antioquia) in 2011. So far, this species has
been reported in ten departments of the country. Objective: To report the finding
of A. albopictus in the city of Istmina, Choco, and its implications for public
health. Materials and methods: In January 2015, we conducted an inspection of
immature stages of Aedes spp. in breeding sites in the neighborhoods of San
Agustin, Santa Genoveva and Subestacion in Istmina, Choco. The immature stages
collected in this municipality were identified at the Unidad de Entomologia of
the Laboratorio de Salud Publica Departamental de Choco, and confirmed by the
Laboratorio de Entomologia, Red Nacional de Laboratorios, Instituto Nacional de
Salud, in Bogota. RESULTS: In January 2015, twelve A. albopictus larvae were
found in the breeding sites located in Subestacion and San Agustin neighborhoods.
CONCLUSIONS: The occurrence of A. albopictus in the municipality of Istmina
underlines the importance of strengthening continuous entomological surveillance
strategies at national and local levels in the country, especially in Istmina and
its surrounding municipalities.
PMID- 27869393
TI - [Assessment of the spatial accessibility to the rabies vaccination campaign in
Bogota, Colombia].
AB - INTRODUCTION: The access of Bogota's population to health services is unknown,
and this hinders the planning of health prevention strategies. Objective: To
estimate the spatial accessibility to the vaccination sites of the 2011 campaign
against rabies in Bogota, Colombia, and to compare its efficiency with two other
spatial coverage methodologies. Materials and methods: Spatial accessibility was
determined using the two-step floating catchment area model (2SFCA). We
calculated spatial coverage by establishing circular buffer zones using Euclidean
distances, and irregular zones around the vaccination sites using Dijkstra's
algorithm on the city's street network. Results: The spatial coverage of the
program was 78.4% using the circular buffer zones, and 60.2% using Dijsktra's
algorithm. The spatial accessibility analysis revealed that the periphery of the
city had the lowest accessibility to the program. This peripheral area is a very
critical zone because it is an urban-rural interface, which represents a risk for
the re-introduction of rabies in the city. Conclusions: The 2SFCA spatial
accessibility model is an effective tool to identify isolated areas, evaluate
health services use more precisely, and provide basis for their strategic
location. We concluded that this approach had the potential to improve resource
efficiency when planning rabies control programs in urban environments such as
Bogota. The findings emphasize the need for surveillance and intervention in
isolated areas with low access to services.
PMID- 27869394
TI - [Recommendations for the surveillance of Aedes aegypti].
AB - Diseases caused by arboviruses transmitted by Aedes aegypti, such as dengue,
chikungunya and Zika, continue to rise in annual incidence and geographic
expansion. A key limitation for achieving control of A. aegypti has been the lack
of effective tools for monitoring its population, and thus determine what control
measures actually work. Surveillance of A. aegypti has been based mainly on
immature indexes, but they bear little relation to the number of mosquito
females, which are the ones capable of transmitting the viruses. The recent
development of sampling techniques for adults of this vector species promises to
facilitate surveillance and control activities. In this review, we present the
various monitoring techniques for this mosquito, along with a discussion of their
usefulness, and recommendations for improved entomological surveillance.
PMID- 27869396
TI - [Real-time quantification to analyze historical Colombian samples detecting a
short fragment of hypervariable region II of mitochondrial DNA].
AB - INTRODUCTION: Unlike other molecular biology studies, the analysis of ancient DNA
(aDNA) requires special infrastructure and methodological conditions to guarantee
the quality of the results. One of the main authenticity criteria is DNA
quantification, where quantitative real-time PCR is often used given its
sensitivity and specificity. Nevertheless, the implementation of these conditions
and methodologies to fulfill authenticity criteria imply higher costs. Objective:
To develop a simple and less costly method for mitochondrial DNA quantification
suitable for highly degraded samples. Materials and methods: The proposed method
is based on the use of mini-primers for the specific amplification of short
fragments of mitochondrial DNA. The subsequent purification of these amplified
fragments allows a standard curve to be constructed with concentrations in
accordance to the state of degradation of the samples. Results: The proposed
method successfully detected DNA from ancient samples including bone remains and
mummified tissue. DNA inhibitory substances were also detected. Conclusion: The
proposed method represents a simpler and cost-effective way to detect low amounts
of aDNA, and a tool to differentiate DNA-free samples from samples with
inhibitory substances.
PMID- 27869395
TI - [Allergen immunotherapy: Mechanisms of action, and therapeutic and socioeconomic
impact Consensus of the Asociacion Colombiana de Alergia, Asma e Imunologia].
AB - Allergies comprise a set of highly prevalent diseases. When allergic processes
are not controlled, they can endanger patients' health and lives, and have an
important economic and social impact. The aim of this paper is to present a
practical consensus of the scientific evidence on the use of immunotherapy in
allergic diseases. A collaborative review made by various institutes and
universities in Colombia was carried out upon request of the Asociacion
Colombiana de Alergia, Asma e Imunologia, led by general practitioners,
allergists, immunologists, internists and paediatricians with experience in the
field of allergies. As a result, based on current national and international
scientific evidence, we describe in detail what immunotherapy is about, its
indications, contraindications and its economic and health benefits. Conclusions
show immunotherapy as a clinically effective and safe treatment, which can
substantially reduce the cost of the overall treatment of allergic patients.
PMID- 27869398
TI - ?
PMID- 27869397
TI - ?
PMID- 27869399
TI - ?
PMID- 27869400
TI - ?
PMID- 27869401
TI - Yersiniosis in Poland in 2014
AB - OBJECTIVE: The aim of the study is to present the epidemiological situation of
yersiniosis in Poland in 2014 and compare it with the situation in the previous
years. MATERIAL AND METHODS: The evaluation was based on analysis of data from
the annual bulletins "Infectious diseases and poisoning in Poland" for the period
2009-2014 (MP Czarkowski et al., National Institute of Hygiene and GIS - Chief
Sanitary Inspectorate), interviews of individual yersiniosis cases, sent by the
sanitary-epidemiological stations from the whole country and the data on deaths
from the Department of Demographic Studies of the Central Statistical Office.
RESULTS: In 2014 in Poland 244 cases of yersiniosis were recorded (0.63/100 000).
Among them 241 infections were caused by Y. enterocolitica and 3 by Y.
pseudotuberculosis. There were 215 cases of intestinal yersiniosis and 29 of
extraintestinal. Hospitalization required 59.8% of patients. There were no deaths
reported which cause was infection with Yersinia. Intestinal yersiniosis was
manifested the mostly by symptoms as follow: diarrhea (88%, including 8% with
blood in stool), fever (66%), abdominal pain (49%) and vomiting (22%). The most
of the cases (131 - approx. 61%) of intestinal yersiniosis occurred among
children in the age group 0-4 years . Number of extra intestinal Yersinia
infections (20 cases) was higher than in 2013. The most frequent symptom of those
cases was osteoarticular pain, which was observed in 79% of patients. As in 2013
most cases of intestinal yersiniosis were reported from the Mazowieckie
voivodeship (104 cases). Serological type of Yersinia was determined only in 58
(24%) cases. This percentage was the lowest since the start of registration of
yersiniosis in the country in the year 2006. The identified serotypes were O3
(88%) O8 (6.9%) and O9 (5.2%). In 2014, 4 cases of infection with "American"
serotype O8 were identify. One family outbreak caused by Yersinia spp occurred in
2014. CONCLUSIONS: A significant decrease of serologically identified cases of
yersinia observed in 2014. could be explained by the test for Yersinia not being
reimbursed by routine health care insurance. Reporting cases of extraintestinal
yersiniosis from only few voivodeships suggests that the real number of
infections remains underreported. In 2014 significantly higher number of cases of
Yersinia infection occurred during the second and third quarter of the year.
Seasonality of yersiniosis in 2014 differs from seasonality in 2013. However, it
was similar to the seasonality observed in previous years (2009-2012).
PMID- 27869402
TI - Stem cell transfer in newborn infants through placental transfusion via delayed
umbilical cord clamping.
PMID- 27869403
TI - Community-acquired Staphylococcus aureus, a recent problem.
PMID- 27869404
TI - Being a doctor, being human.
PMID- 27869405
TI - An attempt to include happiness within the psychiatric disorders.
PMID- 27869406
TI - BRUE: a new term and approach that could improve our practice.
PMID- 27869407
TI - Community-acquired Staphylococcus aureus bacteremia in children: a cohort study
for 2010-2014.
AB - INTRODUCTION: Community-acquired methicillin-resistant Staphylococcus aureus
infections are a common, serious problem in pediatrics. OBJETIVE: To describe
antibiotic resistance in community-acquired Staphylococcus aureus (SA)
bacteremias. To compare the characteristics of SA bacteremias in terms of
methicillin resistance. MATERIALS AND METHODS: Prospective cohort enrolled
between January 2010 and December 2014. Inclusion criteria: infants and children
between 30 days old and 16 years old hospitalized at the Hospital de Pediatria J.
P. Garrahan due to community-acquired infections with SA growth identification in
blood cultures. Exclusion criteria: having a history of recent hospitalization,
attending a health care facility, living in a closed community, or having a
venous catheter. Microbiological, demographic, and clinical characteristics were
compared in terms of methicillin susceptibility. Statistical analysis: Stata10.
RESULTS: A total of 208 children were included; boys: 141 (68%). Their median age
was 60 months old (interquartile range: 29-130). Thirty-four patients (16%) had
an underlying disease. Methicillin-resistant Staphylococcus aureus was identified
in 136 children (65%). The rate of resistance to clindamycin was 9%. Significant
statistical differences were observed in the rate of underlying disease,
persistent bacteremia, sepsis at the time of admission, secondary source of
infection, admission to the intensive care unit, and surgery requirement. Twelve
patients (6%) died; community-acquired methicillin-resistant Staphylococcus
aureus was identified in all of them. CONCLUSIONS: In the studied cohort,
methicillin-resistant S taphylococcus aureus was predominant. The rate of
resistance to clindamycin was 9%. Community-acquired methicillin-resistant
Staphylococcus aureus infections prevailed among healthy children. Among patients
with methicillin-resistant Staphylococcus aureus infections there was a higher
rate of persistent bacteremia, admission to the ICU and surgery.
PMID- 27869409
TI - Communication skills of residents to families with Down syndrome babies.
AB - BACKGROUND: Generally, pediatricians are the first health caregivers to deliver
initial diagnosis of Down syndrome (DS) to the families. However, most of the
parents are not satisfied with the contents and how they receive information when
their child is born with DS. Pediatric residents should target educational
interventions to help parents to overcome with these issues and to provide
accurate information. The objective is to assess comfort levels and training
requirements of pediatric residents to communicate with parents of babies born
with Down syndrome. METHODS: Diagnostic Situations Inventory (DSI) is a rating
scale which included ten questions to assess discomfort level. A survey was sent
via mobile, websites and all the social media which were available to all
pediatrics residents in the country. Socio-demographic factors including
information about training requirement were collected as well. RESULTS: From the
326 participants, total mean discomfort level was 30.22 in DSI out of 50 which
was the highest score. Discomfort level was significantly increased in female
participants (p= 0.033). Being female (p= 0.014), having less residency level (p=
0.028), examining less number of patients with Down syndrome (p= 0.025) and
having higher discomfort levels (p= 0.001) were found to be related with
increased training requirement. From the residents, 84% declared the need for
additional training. CONCLUSIONS: This study showed that pediatric residents had
a high level of discomfort when communicating with parentes of newborn with Down
Syndrome. Female residentes had a discomfort level significantly higher than male
residentes.
PMID- 27869408
TI - Knowledge level, attitude and own experience of health professionals about
breastfeeding and breast milk in a city of Turkey: Cross-sectional study.
AB - INTRODUCTION: Worldwide consensus about breastfeeding is that the infant should
exclusively be breastfed until six months of life. Despite this, the rate of
exclusive breastfeeding for the first six months of age is low in so many
countries including Turkey. The aim of this study was to evaluate the knowledge,
the attitudes and the own experiences of health professionals about breastfeeding
and breast milk, in a metropolitan city in Turkey. MATERIALS AND METHODS: This
study was performed between July 2012 and December 2013. Study subjects included
healthprofessionals working in the university hospitals, research and training
hospitals, public and private hospitals; child, adolescent, women and
reproductive health services centers, family health centers, and community health
centers located in Ankara. A questionnaire was used to collect data. SPSS 11.5
package program was used for statistical analysis. RESULTS: A total of 715 people
participated in this study. From those who had children themselves 3.4% (n= 16)
had not breastfed their babies at all, and 7.6% (n= 36) had breastfed their baby
until second year of age. It was found that 28.4% of the participants had poor,
43.1% had average and 28.5% had good knowledge level about breastfeeding and
breast milk. CONCLUSION: This study reflects the lack of knowledge about
breastfeeding and breast milk among the health professionals in Turkey. Although
a great majority of participants agree the recommendation of WHO about the
duration ofbreastfeeding, the percentage ofbreastfeeding of health care
professionals during recommended period was very low.
PMID- 27869410
TI - The effect of strength training based on process approach intervention on balance
of children with developmental coordination disorder.
AB - INTRODUCTION: Balance is one of the main problems of children with developmental
coordination disorder (DCD). According to process-oriented approach, besides
strength training, neuromuscular adaptations can improve balance. OBJETIVE: To
evaluate the effects of strength training on improving static and dynamic balance
in DCD children. METHODS AND POPULATION: Children between 7 to 9 years old in
Tehran participated in the study through randomized controlled trial design.
Subjects were randomly divided into two experimental (n = 15) and control (n= 15)
groups. The participants exercised for 12 weeks and 24 sessions. The experimental
group received strength training using flexible Thera-band elastic exercise and
control group received routine exercises in physical education class. Isometric
strength of hip abductor muscles and plantar flexors were measured using hand
held dynamometer, and Bruininks-Oseretsky Test of Motor Proficiency, Second
Edition (BOT-2) was used for measurement of static and dynamic balance. Data were
analyzed using independent and paired sample t-tests. RESULTS: Strength training
significantly increased muscle strength in DCD children (P <0.001) and improved
their static balance performance (P <0.05); however, these exercises had no
significant effect on their dynamic balance performance (P >0.05). CONCLUSIONS:
The strength training leads to static balance improve in DCD children. There was
not an improvement in dynamic balance through the strength training in these
children.
PMID- 27869411
TI - Analysis of spatial-temporal clusters of childhood cancer incidence in the
province of Cordoba, Argentina (2004-2013).
AB - INTRODUCTION: In spite of its low incidence, childhood cancer is becoming
increasingly more relevant in Argentina. More advances have been made in cancer
treatment than in the study of its etiology or determining factors. There are no
investigations that analyze its spatial and temporal distribution or potential
clustering. OBJETIVE: To perform exploratory spatial and temporal analyses based
on the database of the Registry of Tumors of the Province of Cordoba (2004-2013)
to determine the clustering of childhood cancer incidence in Cordoba (Argentina).
POPULATIONS AND METHODS: Epidemiological, retrospective, ecological study. Data
from 1098 patients with malignancies aged 0-14 years old from the Registry of
Tumors of the Province of Cordoba (2004-2013) were used. A geographic information
system model was developed. The presence of spatial, temporal, and spatial
temporal clusters was analyzed in the districts of Cordoba using the SaTScan
software. RESULTS: Spatial clusters were detected, with a high number of cases,
for total tumors (p= 0.01), leukemias (p= 0.02), malignant neoplasms of lymphoid,
hematopoietic and related tissue (p= 0.03), central nervous system tumors (p=
0.03), and a high level of indicators of risk for renal tumors (p= 0.01). In
addition, a temporal cluster (p= 0.01) and a spatial-temporal cluster (p= 0.02)
for neuroblastoma and other peripheral nervous cell tumors were also observed.
CONCLUSIONS: Significant clusters were determined, with important associated
indicators observed in several districts of Cordoba. This is the first
methodological step towards the development of new investigations on the risk
factors for childhood cancer and its etiology.
PMID- 27869412
TI - Blood lead levels in children aged between 1 and 6 years old in La Plata,
Argentina. Identification of risk factors for lead exposure.
AB - INTRODUCTION: Lead has neurotoxic effects in children, even at a very low level
in blood. The risk factors (RFs) for lead exposure have not been adequately
identified in La Plata. The objectives of this study were to determine mean blood
lead levels and identify RFs in children aged 1 to 6 years old living in La Plata
and the outskirts. POPULATION AND METHODS: A cross-sectional study was conducted
in children who attended primary health care centers for a health check-up. Blood
lead levels were determined by atomic absorption spectroscopy, and a
socioenvironmental survey was administered to outline RFs. The Mann-Whitney test
was used to compare measurements. A multivariate statistical analysis was done to
establish the most relevant RFs. RESULTS: A total of 319 children participated
(51% were boys); the median (interquartile range) blood lead level was 2.2 pg/dL
(1.1-3.6 pg/dL). Significant mean differences in blood lead levels were observed
for age<= 3years old, anemia, pica behavior, overcrowding, dirt floors, and
maternal education < 7 years. Age<= 3years old and pica behavior were both RFs
with significant odds ratios (ORs). The OR as adjusted by logistic regression was
significant only for age<= 3years old. CONCLUSIONS: The median blood lead level
in the studied population was 2.2 pg/dL. The main RFs identified for lead
exposure were age<= 3years old and pica behavior. Other less relevant RFs
included anemia, maternal education < 7 years, overcrowding, and dirt floors.
PMID- 27869413
TI - Humoral immunity to tetanus, measles and rubella in children with acute
lymphoblastic leukemia after chemotherapy.
AB - Chemotherapy regimens and clinical support advances have improved survival in
children with acute lymphoblastic leukemia. The after-effects of treatment are a
reason for concern, including damage to the immune system induced by
immunosuppressive therapy which is reflected in the loss of antibody protection
provided by prior immunizations. Our goal was to assess the presence of measles,
rubella, and tetanus protective antibody titers among patients with acute
lymphoblastic leukemia after completing chemotherapy. Sixty-one children with
acute lymphoblastic leukemia seen at the Hospital Garrahan were included;
patients had finished their chemotherapy at least 6 months earlier and had a
complete immunization schedule before diagnosis. The rates of protective
antibodies were 46% (CI: 32-59) for measles, 53% (CI 40-67) for tetanus, and 60%
(CI 47-63) for rubella. These results strengthen the need to reconsider
revaccination in this group of patients.
PMID- 27869414
TI - Prevalence and clinical course of typical hemolytic uremic syndrome among
sibling.
AB - Introduction: Hemolytic uremic syndrome (HUS) isaninfectious disease caused by
Shiga toxin-producing Escherichia coli. The objective of this study was to assess
the risk of transmission and clinical course between siblings with typical HUS.
Population and methods: Medical records of children with typical HUS between 1997
and 2012 were reviewed. Sibling pairs were established as inclusion criteria. A
severity score was defined. Results: A total of 133 patients with HUS were
recorded; 40 had siblings and 4 progressed to HUS (10%). The mean age of the 4
sibling pairs was 29.3 months old (SD +/- 11.5); 5 (62.5%) were girls. The mean
time between each case was 5.7 days (SD +/- 3). HUS was more severe in the
siblings who became infected in the second place. Conclusion: The risk of HUS
transmission between siblings was 10%, and the clinical course of the second
sibling was less favorable.
PMID- 27869415
TI - A new challenge for the world: the eradication of polio.
AB - Poliovirus infects 100% of susceptible individuals and causes acute flaccid
paralysis in one out of200 infections. Type 1 causes epidemic poliomyelitis; type
2 has been eradicated worldwide; and type 3 is close to being eradicated. In this
region, the last case of wild poliovirus occurred in Peru in 1991. There are
still two endemic countries: Afghanistan and Pakistan, but countries where there
is no circulation of the wild poliovirus have also reported imported cases of
polio. In May 2012, the World Health Assembly declared the polio eradication a
programmatic emergency for global public health and, as a result, developed the
Polio Eradication and Endgame Strategic Plan 2013-2018. The Plan has four
objectives: 1) Detect and interrupt all poliovirus transmission and maintain
surveillance of acute flaccid paralysis in children < 15 years. 2) Strengthen
immunization systems and withdraw oral polio vaccine by the first trimester of
2016. Replace the trivalent oral polio vaccine with the bivalent oral vaccine,
containing serotypes 1 and 3, and introduce the inactivated polio vaccine in all
immunization schedules to maintain immunity against poliovirus type 2. 3) Contain
poliovirus and certify interruption of transmission. 4) Plan the exploitation of
the fight against polio and its impact on public health. The plan is expected to
reach its goals by 2018; all use of the oral polio vaccine will be interrupted
thereafter. Change in immunization schedules will require pediatricians to
provide advice and guidance to families depending on the varied situations of
everyday practice.
PMID- 27869416
TI - Non-alcoholic fatty liver disease: a new epidemic in children.
AB - Non-alcoholic fatty liver disease is considered one of the most common causes of
liver disease in adults and children, consistent with the increased prevalence of
obesity in both populations worldwide. It is a multifactorial condition involving
a broad spectrum of liver diseases than range from simple steatosis to
steatohepatitis, and characterized by histological findings of inflammation and
fibrosis. Its pathogenesis and progression are not fully understood yet, and a
more complete understanding of liver disease may aid in developing new therapies
and noninvasive diagnostic tools. Liver biopsy remains the gold standard for
disease staging. Although lifestyle and diet modifications are the keys in non
alcoholic fatty liver disease treatment, the development of new drugs may be
promising for patients failing first-line therapy.
PMID- 27869417
TI - Micronutrients and neurodevelopment: An update.
AB - Micronutrients make up the central nervous system structure and play major
functional roles: they stimulate nerve cell development, migration, and
differentiation. The goal of this study is to review the scientific literature
regarding the role of micronutrients in infant brain structure and function.
Thus, we aim at providing pediatricians with knowledge on the importance of
introducing all nutrients into the diet based on breast milk composition. The
following databases were reviewed: MEDLINE, through PubMed, TRIP Database, and
LILACS. An adequate nutrient supply, including calcium, copper, choline, iron,
folic acid, iodine, and vitamins during pregnancy and lactation and in
complementary feeding will have an effect on brain development and/or function.
PMID- 27869418
TI - [Mastitis update.]
PMID- 27869419
TI - [Klippel-Feil syndrome with tracheoesophageal fistula, bifid thumb and cerebral
angiolipoma.]
AB - The Klippel-Feil syndrome is a congenital malformation of the skull flap
involving complex cervical vertebrae and organs, characterized by a classic
triad: short neck, limitation of movement of the head due to cervical spine
fusion and low hairline in occipital region. It results from an error in the
axial skeleton segmentation of the embryo; its incidence is estimated at 1/40,000
42,000 births and predominates in females. The aim of this paper is to describe
the clinical picture of a patient with Klippel-Feil syndrome and multiple
malformations, including tracheoesophageal fistula, bifid thumb and intracranial
lipomas/angiolipomas,that have not been previously described in the syndrome, so
it is considered an exceptional finding.
PMID- 27869421
TI - [Gestational alloimmune liver disease: a case report].
AB - Gestational alloimmune liver disease, previously known as neonatal
hemochromatosis, is characterized by severe liver disease in neonatal period,
associated with intra and extrahepatic iron accumulation. It is postulated an
alloimmune origin, which has opened new opportunities in the treatment and
prevention during risk pregnancies, changing the prognosis of this pathology. We
report the case of a newborn that presents early liver failure, with clinical and
analytical features compatible with gestational alloimmune liver disease.
Exchange transfusion was made and gamma globulins were given, with good clinical
evolution.
PMID- 27869420
TI - Trichorhinophalangeal syndrome type II presenting with short stature in a child.
AB - Trichorhinophalangeal syndrome type II (TRPSII) (synonym: Langer-Giedon syndrome)
is a rare autosomal dominant contiguous gene syndrome, resulting from a
microdeletion encompassing the EXT1 and the TRPS1 gene at 8q24 (MIM#150230). This
syndrome combines the clinical features of two autosomal dominant disorders,
trichorhinophalangeal syndrome type I (MIM#190350) and hereditary multiple
osteochondromas type I (MIM # 133700). TRPSII is characterized by sparse scalp
hair, a long nose with a bulbous tip, long flat philtrum, cone-shaped epiphyses
of the phalanges, retarded bone age in infancy and multiple cartilaginous
osteochondromas. We report a Turkish patient who had the clinical features and
skeletal signs of TRPSII in whom a 13.8Mb deletion in 8q23.1- 8q24.13 was
detected.
PMID- 27869422
TI - [Scorpionism in children in Tucuman: descriptive analysis of cases in a second
level of complexity Hospital].
AB - Scorpionism in Tucuman is very frequent. The aim is to describe the clinical and
epidemiological characteristics of cases of scorpionism that were seen in the
Emergency Department of Eva Peron Hospital, Banda del Rio Sali, Tucuman, between
July 2013 and March 2015. Forty patients were assisted, 21 boys and 19 girls. The
average age was 6.89 years. Thirty four (85%) resultedmild, 3 (7.5%) moderated, 3
(7.5%) moderated-severe. Hands (22.6%) and feet (20%) were the places in the body
where the stings were more frequently localized. In only 3 cases the scorpion
could be identified as Tityus trivittatus. Six (the moderate and moderate-severe
cases) patients received specific antidote, with variable response. Although most
of the cases were mild, this is an entity with severe prognosis in some patients.
Epidemiological surveillance is necessary to arrange prevention measures, as we
do in this Hospital.
PMID- 27869423
TI - [Progressive moderate mitral regurgitation in a children with Axenfeld-Rieger
syndrome. The importance of cardiologic follow up].
AB - Axenfeld-Rieger syndrome is a congenital disease with an estimated prevalence of
one in 200,000 individuals. This is an ophthalmic disorder related to anterior
segment dysgenesis, which may be present from the neonatal period. It is
associated with extraocular affectations such as cranial dimorphism,
maxillofacial or dental anomalies. Cardiological or pituitary manifestations are
less common. The congenital heart disease in Axenfeld-Rieger syndrome has been
described in very few cases in the literature. We report a 7-year-old patient
with Axenfeld-Rieger syndrome and mild mitral insufficiency since the age of 3
years, which is progressing to moderate mitral regurgitation at the present time.
The cardiologic follow up may be indicated in patients with Axenfeld-Rieger
syndrome.
PMID- 27869424
TI - [Study of Medullary Thyroid Carcinoma from a proband].
AB - Thyroid cancer is an uncommon type of cancer, accounting less than 1% of all
cancers in adults, and 0.5-3% of all cancers in children. There are four
different types: papillary carcinoma (80-90% of cases), follicular (5-10%),
medullary (5%) and anaplastic cell (2-3%). Eighty per cent of cases of medullary
thyroid cancer are sporadic, but 20% are associated with an inherited syndrome
that is divided into three groups: multiple endocrine neoplasia type 1, multiple
endocrine neoplasia type 2 and familial medullary thyroid carcinoma. The
inherited forms are caused by a disruption in the RET oncogene, which is located
in the long arm of chromosome 10. A hereditary case of medullary thyroid
carcinoma is presented. It was detected because of a familial genetic study. The
purpose of the paper is emphasize the importance of the early diagnosis and the
intervention of multidisciplinary teams of experts.
PMID- 27869425
TI - Isolated omental panniculitis in a child with abdominal pain: case report.
AB - Isolated omental panniculitis is a rare entity mostly seen in adults. It presents
with the inflammation of the fatty tissue of the omentum. The symptoms may vary
from local (e.g. abdominal tenderness or palpable mass) to systemic
manifestations including abdominal pain, back pain, fever, weight loss and bowel
disturbances. We presented this case as a first awareness of omental panniculitis
in a child which must be kept in mind at the differential diagnosis of ileus so
that unnecessary surgeries might be avoided.
PMID- 27869426
TI - An umbilical venous catheter complication presented as acute abdomen: case
report.
AB - Umbilical venous catheterization has become a widely accepted intravenous route
for premature babies. These catheters allow administration of parenteral
nutrition and medication and facilitate blood sampling. Besides these benefits,
they also have significant potential complications like portal vein thrombosis,
infection, vascular or hepatic injury, arrhythmia and sepsis. One of the rare but
important complication is extravasation of the fluids due to misplacement of the
catheter. The typical symptoms of this condition are sudden deterioration,
hepatic enlargement, hematocrit drop, hypotension and abdominal distension. We
herein present a premature newborn with unusual acute abdomen findings suggesting
a surgical pathology after the extravasation of total parenteral nutrition into
the abdomen.
PMID- 27869427
TI - Vancomycin-resistant Enterococcus bacteremia in a child with acute myeloid
leukemia: successful treatment with daptomycin.
AB - Multiple-drug-resistant enterococcal infections canbe a serious problem in
pediatric patients particularly concomitance with severe underlying diseases and
lead to significant morbidity and mortality. The treatment options in children
are limited compared with adults. We report a 3-year old-boy with acute myeloid
leukemia (AML)-M7 and vancomycin-resistant enterococcus bacteremia successfully
treated with daptomycin. Daptomycin may be an alternative therapy for VRE
infections in children; more studies are needed for extended usage.
PMID- 27869428
TI - [Hemolytic anemia as the first clinical presentation of Wilson disease: a
pediatric case].
AB - : Wilson disease is an autosomal recessive disorder of the copper's hepatic
metabolism; it results in toxicity due to accumulation of the mineral. The
hemolytic anemia is present in 17% at some point of the disease, although it is a
rare initial clinical presentation. CASE REPORT: 11 years old boy who presented
with negative Coombs hemolytic anemia and elevation of liver enzymes. The
possibility of Wilson disease was considered, which was confirmed with the
finding of a Kayser-Fleischer ring in the eye exam. He also had a low
ceruloplasmin level in plasma and a high urinary copper excretion. He was treated
with D-penicillamine and pyridoxine.
PMID- 27869429
TI - [Topical corticosteroids as a therapeutic alternative in linear immunoglobulin A
bullous dermatosis in childhood: case report].
AB - Linear immunoglobulin A dermatosis of childhood is a rare autoimmune disorder.
Its etiology remains unknown, although it has been linked to drugs, infections,
immunological diseases and lymphoproliferative processes. We report the case of a
6 year old girl who consulted for perioral bullous lesions without other
symptoms. Neither treatment with mupirocin nor methylprednisolone therapy
achieved remission of cutaneous lesions. Skin biopsy showed a linear
immunoglobulin A dermatosis. It was not possible to start treatment with dapsone
because of a partial glucose-6-phosphate dehydrogenase deficiency, so topical
treatment was maintained with good evolution of lesions. Linear immunoglobulin A
dermatosis is a rare disease whose differential diagnosis includes other bullous
diseases. Pathology is essential for diagnosis. When treatment with dapsone is
not possible, topical corticosteroids may be an alternative, either alone or
associated with other treatments.
PMID- 27869430
TI - [Sepsis due to Pseudomona as a debut of a primary immunodeficiency in a child].
AB - X-linked agammaglobulinemia is a primary humoral immunodeficiency. It is a
recessive X-linked disorder characterized by low or absent circulating mature B
cells, hypo/agammaglobulinemia and no humoral response to immunizations due to
mutations along chromosome X. It is characterized by severe, recurrent and
difficult treatment infections. It is diagnosed in the first 6 months of life in
children; the only sign of alarm is the absent or decreased size of tonsils and
lymph nodes, but it is not always present. The main cornerstones of treatment are
immunoglobulin replacement therapy to maintain serum levels above 500-700 mg/dl
and infection control; this allows these patients to do their day-to-day
activities. We report a 2 year old boy with X-linked agammaglobulinemia, with no
history of interest, who presented with P. aeruginosa sepsis. He had an excellent
clinical improvement without further important infections after intravenous
immunoglobulin replacement therapy.
PMID- 27869431
TI - [Deletion on the short arm of chromosome 18 syndrome diagnosed by array
comparative genomic hybridization. Presentation of one case with a mild
phenotype].
AB - Deletion on the short arm of chromosome 18 is an infrequent syndrome and it is
characterized by the following features: mental retardation, growth retardation,
craniofacial malformations such as large ears, microcephaly, and short neck. The
phenotypical spectrum is a wide range of abnormalities including minor congenital
abnormalities to holoprosencephaly. We present a case of a 10 year old girl who
is found to have a deletion on the short arm of chromosome 18 (18p11.32-p11.21),
by conventional cytogenetic analysis and comparative genomic hybridization.
PMID- 27869432
TI - [Massive hydrothorax in a ease of hemolytic uremic syndrome: conservative
treatment without interruption of peritoneal dialysis].
AB - : The hydrothorax is a known but rare complication of acute and chronic
peritoneal dialysis. Patients with hemolytic uremic syndrome seem to be more
prone to this complication. Usually discontinuation of treatment is necessary due
to the lack of resolution or recurrence of hydrothorax and transfer to
hemodialysis, but some patients can continue dialysis with modification of
technique and with resolution of hydrothorax. CASE REPORT: a child with hemolytic
uremic syndrome presented a massive hydrothorax during acute peritoneal dialysis
which resolved with dialysis schema modification without interruption of
treatment.
PMID- 27869433
TI - [Conservative management of tracheal rupture in a child after blunt trauma].
AB - La rotura traqueal tras una contusion es rara, aunque potencialmente mortal. En
general, se recomienda el tratamiento quirurgico para la rotura traqueal, aunque
tambien se ha informado recientemente el abordaje conservador en los pacientes
con signos vitales y parametros respiratorios estables. El objetivo de este
estudio es informar sobre un caso de rotura traqueal tras una contusion en el
cuello producto de un accidente de bicicleta que se trato de manera conservadora.
PMID- 27869434
TI - [Childhood pemphigus vulgaris, a case report].
AB - Pemphigus vulgaris is a chronic disease that is characterized by flaccid blisters
and erosions, caused by immunoglobulin G autoantibodies against epidermal
components. It is highly unusual in the pediatric population; it represents the
1.4 - 2.9% of the total cases. We present a case of pemphigus vulgaris in a 12
year old girl, which has implied a challenge both in its diagnosis and in its
treatment.
PMID- 27869435
TI - [Adolescent idiopathic scoliosis].
AB - Adolescent idiopathic scoliosis is a 3D spinal deformity in frontal, sagittal and
axial planes, with high relevance in the pediatric population especially in
adolescents and females between 10 years of age and the end of growth spurt and
skeletal maturity. The radiographic manifestation is a curve greater than 10
degrees measured by Cobb method associated with vertebral rotation. "Idiopathic"
diagnosis has to be done after neuroanatomical anomalies of the posterior
cerebral fosa and spinal canal have been ruled out. The physical finding of a
thoracic or lumbar hump is the clinical manifestation of vertebral rotation seen
in a forward bending test (Adam's Test). It is recommended that all curves with a
magnitude greater than 20 degrees have to be controlled and treated by a spinal
surgeon being observation, bracing and surgery the different treatment options
based on the extent, progression of deformity and basically the clinical
condition of the patient.
PMID- 27869436
TI - [Diagnosis and treatment guideline: asthma in children > 6 years. Update 2016.
Executive summary].
PMID- 27869437
TI - Immunology Update: Foreword.
PMID- 27869438
TI - Immunology Update: Biologics.
AB - Biologics are substances made from a living organism or its products. These
include genes, proteins (eg, antibodies, receptors, enzymes, inhibitors),
recombinant proteins, and fusion proteins. Biologics often are produced using
recombinant DNA technology. For example, monoclonal antibodies are produced by
inserting human genes into immortalized cell cultures, which then produce the
gene product (ie, an antibody) in large quantity. Another approach is to fuse
genetic material from nonhuman sources (eg, mice) with human genetic material.
The fused gene is inserted into a tissue culture that produces the gene product
(ie, a chimeric monoclonal antibody). Biologics are used to manage many
conditions, including malignant and nonmalignant conditions. They are widely used
in the treatment of human epidermal growth factor receptor 2 (ERBB2 [formerly
HER2 or HER2/neu])-positive breast cancer. They also are used in the treatment of
leukemias, lymphomas, and colorectal and lung cancer. Biologics improve outcomes
in autoimmune disorders, such as rheumatoid arthritis, ankylosing spondylitis,
psoriasis, inflammatory bowel disease, and multiple sclerosis. Other uses include
erythropoietin for renal failure-associated anemia and the new proprotein
convertase subtilisin/kexin type 9 (PCSK9) inhibitors for treatment of patients
with persistently elevated low-density lipoprotein levels despite statin
treatment who are at high risk of cardiovascular events.
PMID- 27869439
TI - Immunology Update: Long-Term Care of Solid Organ Transplant Recipients.
AB - Nearly 31,000 US patients received solid organ transplants in 2015 and the number
is increasing. Care of transplant recipients includes management of a variety of
common posttransplantation issues. Skin cancers are common because of
immunosuppression and require skin examinations at intervals. Patients should be
educated about the need to report new skin lesions. The rates of other cancers
also are increased, including cancers of the head and neck, lung, esophagus,
cervix, and urinary tract. Osteoporosis is common in transplant recipients;
monitoring and early therapy are important. Patients should not smoke, and
vaccinations should be current except for live-virus vaccines, which are
contraindicated in patients with immunosuppression. Family physicians should be
familiar with the posttransplantation immunosuppression drugs their patients are
taking and know their adverse effects and drug interactions. For example,
calcineurin inhibitors (eg, cyclosporine, tacrolimus) can impair renal function
and increase rates of hypertension and myocardial ischemia. They also interact
with statins, macrolide antibiotics, diltiazem, and other drugs. Interval
laboratory testing is required to monitor the health of the transplanted organ
(eg, renal function tests for kidney transplants, transaminases for liver
transplants). Finally, clinicians should remain alert for development of
opportunistic infection.
PMID- 27869440
TI - Immunology Update: New Vaccines.
AB - A new 9-valent human papillomavirus (HPV) vaccine is effective against more
cancer-causing HPV types than previous vaccines. HPV vaccine series started with
previous vaccines can be completed with the 9-valent vaccine. Two new influenza
vaccines are available for adults 65 years and older: a high-dose vaccine and an
enhanced adjuvant vaccine. These elicit stronger antibody responses than standard
dose vaccines. Current guidelines specify no preference for the new versus
standard-dose vaccines. Two new group B meningococcal vaccines are intended for
use during outbreaks and for patients with asplenia, complement deficiencies,
frequent occupational meningococcus exposure, or for patients who desire
protection from type B meningococcus. These are not substitutes for the
quadrivalent vaccine already in use. For pneumococcus, new recommendations state
that 13-valent pneumococcal conjugate vaccine (PCV13) should be administered to
patients 65 years and older, followed at least 1 year later by the polyvalent
pneumococcal polysaccharide vaccine (PPSV23). For patients ages 19 to 64 years
with immunocompromise and not previously vaccinated against pneumococcus,
administration of these two vaccines should be separated by at least 8 weeks.
Rotavirus vaccine is standard for infants at age 2 months. Also, there is a new
cholera vaccine approved for use in the United States.
PMID- 27869442
TI - [Two autopsy cases in which previous surgery facilitated the positive
identification of decomposed bodies].
AB - The positive identification of decomposed corpses is often difficult. We describe
two autopsy cases in which medical materials, which had been implanted during
previous surgical treatments, facilitated positive identification. The discovery
of decomposed corpses is increasingly common in Japan, due to the increasing
number of lonely deaths. Implanted medical materials and devices may be a useful
tool for personal identification in the near future.
PMID- 27869441
TI - Immunology Update: Primary Immunodeficiency Diseases.
AB - There are 264 primary immunodeficiencies (PIDs), most of which are rare. They are
caused by complement deficiencies, defects in phagocyte function, impaired T-cell
function, and/or impaired B-cell function with antibody deficiencies. Most
patients with PIDs will present, at varying ages, with frequent infections. These
infections can be common respiratory tract infections such as otitis media or
pneumonia, or they can be unusual bacterial, fungal, or parasitic infections.
Neonatal screening for severe combined immunodeficiency syndrome, one of the most
common and serious PIDs, is now performed in most US states, but many PIDs
manifest and are detected after birth. Clinicians should be alert for PIDs when
patients have unusual or frequent infection and perform a diagnostic evaluation.
After ruling out HIV and hepatitis C infection, the next step is to obtain a
complete blood count, immunodeficiency panel, and immunoglobulin and complement
levels. If results are abnormal, or if a PID is suspected clinically but the
diagnosis is not clear, prompt referral to an appropriate subspecialist is
indicated. Some PIDs can be managed with stem cell transplantation, and
transplantation before the first serious infection is associated with better
outcomes. In addition, antimicrobial prophylaxis is indicated for many PIDs
patients to prevent opportunistic infections.
PMID- 27869443
TI - [Post mortem investigation of alveolar air in forensic practice].
AB - Exhaled air from biological materials is used for the purpose of toxicologico
chemical analysis particularly in detecting of alcohol influence in traffic or
within a working process. Similarly, still a more and more actual requirement
seems to be a necessity to analyse alveolar air from necroptic material. This
necessity is emphasised not only by the fact, that inhalant intoxications in the
form of poisoning represent their substantial part, but also a demand to evidence
exactly a noxious agent both specifically and also in at least two materials. And
particularly this is sometimes a subject to search for evidence in alveolar air.
The authors present their authors certificates for Isolation of alveolar air from
autopsy material, issued by the Office for Patents and Inventions in Prague,
verified in practice, both by destruction of lung tissue, both the evacuation of
alveolar air into a defined volume, hermetically closed space that is used for
the toxicological analysis of gaseous and volatile xenobiotics. They propose
experimentally verified procedures and conditions obtained by time-consuming
examination. To isolation procedures were subjected an adequate number of lung
lobes from lungs removed in 201 autopsy cases. The authors practically tested the
presupposed amount of alveolar air in individuals according to gender and age.
Gradually they have validated various prototypes and optimization methods and
their application in solving particular inhaled lethal intoxications and deaths
in the irrespirable environment.
PMID- 27869444
TI - [BRCA1 and BRCA2 - pathologists starting kit].
AB - Dysfunction of tumor suppressor genes BRCA1 and BRCA2 is involved in the
pathogenesis of malignant tumors, especially breast and ovarian carcinoma.
BRCA1/2 genes may be inactivated by germinal and somatic mutations or epigenetic
changes. Germinal mutations are responsible for the hereditary breast and ovarian
carcinoma syndrome. Defects of BRCA1/2 genes lead to the failure of homologous
recombination, the basic mechanism for DNA double strand break repair. The
resultant genomic instability is associated with a high risk of malignant
transformation of the cell, but it also results in a higher sensitivity of tumors
to platinum-based chemotherapeutic compounds which damage DNA structure directly.
Inhibitors of poly(ADP-ribose) polymerase (PARP) are the next generation of
antitumor agents aimed on the suppression of DNA single strand break repair. In
homologous recombination deficient tumors, PARP inhibitors lead to accumulation
of DNA damage and death of neoplastic cells through the mechanism of synthetic
lethality. Platinum-based agents and PARP inhibitors are effective not only
against tumors with germinal and somatic BRCA1/2 mutations but also against
sporadic carcinomas with epigenetic BRCA1/2 inactivation or with defects of other
independent genes involved in the control of homologous recombination. This
phenomenon is represented by the term "BRCAness". Mutational analysis is used for
the assessment of BRCA1/2 status, but it is complicated by the prominent length
of BRCA1/2 genes and a wide spectrum of possible genetic alterations. Therefore,
next generation sequencing seems to represent an optimal approach for BRCA1/2
evaluation nowadays. Development of reliable diagnostic tests for BRCAness in
sporadic tumors and efforts to reverse platinum and PARP inhibitors resistance
represent the key objectives of the forthcoming research.
PMID- 27869445
TI - [Breast cancer in BRCA1/2 mutation carriers].
AB - Inherited mutations in BRCA1 and BRCA2 genes represent the most important cause
of hereditary breast cancer. This highly penetrating familial cancer syndrome,
including also the onset of ovarian cancer and other malignancies at relatively
low age, represents a substantial medical problem. The affected families should
be managed actively. When compared to spontaneous tumors, the breast carcinomas
in BRCA1 mutation carriers exhibit a relatively different, despite non-specific,
phenotype (often triple negative, medullary features) arousing suspicion of
hereditary background. In the contrary, the distribution of phenotypes of breast
carcinomas in BRCA2 carriers is similar to distribution in the non-affected
population. The lymphocytic lobulitis is observed significantly more often in non
cancerous breast tissue of BRCA1/2 mutation carriers, but again, this feature is
not specific.
PMID- 27869446
TI - [Oncopathological aspects of BRCA1 and BRCA2 genes inactivation in tumors of
ovary, fallopian tube and pelvic peritoneum].
AB - Ovarian carcinoma represents a heterogeneous group of malignant epithelial tumors
which could be divided into two fundamental groups: Type I (endometrioid
carcinoma, clear cell carcinoma, low grade serous carcinoma, mucinous carcinoma
and more rare seromucinous carcinoma and malignant Brenner tumor) and type II
(high grade serous carcinoma - HGSC). HGSC is the most frequent ovarian carcinoma
which may be etiologically linked to inactivation of tumor suppressor genes
BRCA1/2 and TP53 and differs from type I carcinomas by higher aggressiveness,
tendency to peritoneal spread and worse prognosis. A precursor lesion of HGSC was
described as a serous tubal intraepithelial carcinoma (STIC) which is usually
localized in fimbria of the fallopian tube from where tumor cells are capable to
implant on ovary and pelvic peritoneum. Therefore, HGSC may present itself not
only as a tuboovarian tumor but also as a primary peritoneal carcinoma. HGSC
constitutes a dominant group within hereditary ovarian carcinomas as a
manifestation of hereditary breast and ovarian cancer or site-specific ovarian
cancer syndromes which are associated with germinal mutations of BRCA1/2 genes.
BRCA1 deficient HGSC show characteristic histological appearance which
encompasses SET features (Solid-pseudoEndometrioid-Transitional), significant
nuclear atypia and mitotic activity, geographic necrosis, marked lymphocytic
infiltration and abnormalities in TP53 expression. Use of immunohistochemistry as
a screening method for BRCA1/2 inactivation is questionable at this time.
Bilateral adnexectomy is considered to be a standard prophylactic treatment of
women affected by germinal BRCA1/2 mutation. In that case, fallopian tubes should
be submitted completely for the histological evaluation according to the SEE-FIM
protocol (Sectioning and Extensively Examining the FIMbria) due to the risk of
STIC or occult HGSC. Tumors with BRCA1/2 inactivation show a better therapeutic
response to platinum-based chemotherapeutic compounds and a more favorable
prognosis. Inhibitors of poly(ADP-ribose) polymerase (PARP) are the next
generation of antitumor agents comprising olaparib which is implemented in
clinical practice currently. Germinal or somatic inactivation of BRCA1/2 serves
as a predictor for targeted oncologic therapy by PARP inhibitors, therefore
evaluation of these genes in ovarian carcinoma patients will be carried out by
departments of pathology and clinical genetics. Next generation sequencing seems
to be an ideal method for the reduction of the time factor and optimization of
BRCA1/2 analysis. Unfortunately, a routine test for the evaluation of homologous
recombination functionality and detection of "BRCAness" in sporadic tumors is
still not available.
PMID- 27869448
TI - Clear cell sarcoma of vulva. A case report.
AB - We report the case of a 67-year-old female with clear cell sarcoma (CCS) of the
vulva. Grossly, the tumor was a partly exophytical vulvar mass, measuring 20 x 15
cm. At the time of presentation, the patient showed metastases to the lung,
inguinal and pelvic lymph nodes. Histologically, the tumor consisted of oval or
spindle cells with only mild nuclear pleomorphism and rare mitoses (up to 2/10
HPF). The cytoplasm was pale eosinophilic or clear. The tumor cells were arranged
in confluent sheets. There were large areas of necrosis and surface ulceration.
Immunohistochemically, the tumor cells showed expression of S-100 protein and
focal melan A and HMB45 expression. Fluorescent in situ hybridization analysis
revealed rearrangement of the EWSR1 gene. To the best of our knowledge, this is
the first report of CCS arising in the vulva.
PMID- 27869447
TI - [Testing of mutations in BRCA1 and BRCA2 genes in tumor tissues - possibilities
and limitations].
AB - Development of targeted cancer therapy is accompanied by a search for markers
allowing prediction of response to the particular treatment. Recently, the
interest is focused, among other neoplasms, also on the therapy of ovarian cancer
using new inhibitors of poly (ADP-ribose) polymerase (PARP) proteins, nuclear
enzymes involved in the repair of single-stranded DNA breaks. The greatest
benefit from the administration of PARP inhibitors have patients with a
deleterious or potentially deleterious germ-line or somatic mutation of BRCA1 or
BRCA2, two genes responsible for repair of double stranded DNA breaks. There has
been described a wide spectrum of mutations of BRCA 1/2, from point substitutions
to large deletions, including sometimes even several exons of the gene.Unlike the
testing of germ-line mutations provided for many years by the medical
geneticists, somatic mutations in the tumor tissue have not been routinely tested
so far. Detection of BRCA1/2 mutations in the tumor is significantly different
from testing of germ-line mutations. In comparison with the analysis of DNA
isolated from blood samples, testing of DNA isolated from the FFPE tissue
encounters challenges based on heterogeneous representation of tumor cells in the
tissue samples, on the presence of multiple neoplastic clones and on the
infiltration of tissue by the non-neoplastic elements, as well as difficulties
caused by variable proportion of apoptotic and necrotic cells deteriorating the
overall quality of isolated DNA.Regarding the testing methods, NGS appears to be
the optimal choice because of its complexity, speed of implementation into
routine diagnostics as well as sensitivity for detection of a BRCA 1/2 mutations.
When introduced into everyday laboratory practice, the functioning quality
control system is of utmost importance. Provided there is a high probability of
detection of the so far unreported variations in BRCA 1/2 genes, an introduction
of a shared database of somatic variants diagnosed in the Czech Republic would be
of enormous benefit.
PMID- 27869449
TI - [Diffuse tenosynovial giant cell tumor of the cervical spine destroying vertebra
C6 - a case report].
AB - Presented is a case of 59-year-old woman with longstanding neck pain who has been
promptly operated for spinal cord compression. Imaging studies disclosed ill
defined cervical paravertebral soft tissue mass at the level of vertebra C5/6
abutting left-sided intervertebral joint and destroying neighboring both
vertebral arch and processus spinosus. Submitted specimen was interpreted as a
possible metastatic skeletal process by clinicians and referring pathologist
favored diagnosis of giant cell tumor/osteoclastoma of the bone. Microscopic
features were consistent with giant cell lesion where uniform mononuclear mosaic
stromal component dominated the unevenly distributed loose clusters of osteoclast
like giant cells frequently imparting appearance of peculiar pseudoalveolar
spaces. Additionally, alternating geographic xanthomatous and densely hyalinized/
osteoid-like zones with speckled, coarsely granular haemosiderin pigment
completed the variegated structural composition. The tumor infiltrated adjacent
striated muscles; either original bone structures and/or extracellular matrix
deposits were not identified. Immunohistochemical stains with p63, SATB2, desmin,
EMA, clusterin and S100protein turned out to be completely negative. FISH
analysis revealed no rearrangement of CSF1 gene. The diagnosis of the diffuse
tenosynovial giant cell tumor was rendered.
PMID- 27869450
TI - Basal cell carcinoma of the skin with mixed histomorphology: a comparative study.
AB - Basal cell carcinoma (BCC) of the skin exhibits a very heterogeneous
histomorphology, on the basis of which it is classified into several subtypes and
variants. In many cases, however, a definite categorization remains difficult,
because BCC may consist of more than one histopathological subtype. There are
limited data exploring the characteristics of these mixed BCCs, since they have
not been specifically analysed. The aim of this study was to estimate the
prevalence of BCCs with mixed histomorphology observed in a set of primary BCCs
and to compare their clinicopathological features with a single type BCC
subgroup. A total of 911 histologically proven primary BCCs from 697 patients
were investigated. Prevalence of single and mixed type BCCs was 64.9 % and 35.1
%, respectively. In mixed type BCC subgroup, a very heterogeneous histomorphology
was found comprising a mixture of two to four different subtypes in various
proportions. The most frequent combinations included nodular-infiltrative,
superficial-nodular, nodular-trichoepithelial and nodular-micronodular subtype.
Comparative analysis of the two given subgroups showed that mixed type BCCs were
significantly more frequently localized on the extrafacial regions of the head
(30.0 % vs. 20.0 %, p = 0.02) and less often on the face (37.2 % vs. 45.2 %, p =
0.03). There were not convincing differences in the occurrence of single vs mixed
type BCCs in other parts of the body. Histologically, mixed type BCCs exhibited
an aggressive-growth pattern more frequently (64.6 % vs. 13.0 %, p < 0.0001).
Positive surgical margins were significantly more common in mixed type BCC
subgroup (17.8 % vs. 12.6 %, p = 0.02). Cutaneous BCCs with mixed histomorphology
represented about one third of the cases. It is a common finding in routine
pathological practice, probably suggestive of evolution and phenotypic
transformation of the cancer. Since mixed type BCCs are frequently composed of
aggressive histological subtypes, regardless the personal habits in description
or terminology among pathologists, the presence of aggressive-growth component in
tumor tissue should always be mentioned in final biopsy report.
PMID- 27869452
TI - Dyadic effects of attachment on mental health: Couples in a postdisaster context.
AB - Research on mental health following disasters has led to the identification of
many individual protective and risk factors for postdisaster mental health.
However, there is little understanding of the exact influence that disasters have
on the functioning of intimate relationships. Especially relevant are attachment
styles, which are likely to play an important role in the provision and
perception of social support between partners, and subsequent mental health
outcomes. Heterosexual couples (N = 127) affected by the 2009 Victorian "Black
Saturday" Bushfires in southeastern Australia were surveyed for disaster
experiences, posttraumatic stress disorder (PTSD) symptoms, depression, and
attachment style between May 2012 and January 2013, approximately 3 years after
the disaster. Using actor-partner interdependence models (APIM), we examined both
intrapersonal and interpersonal associations of attachment anxiety and avoidance
with depression and PTSD, in combination with shared disaster exposure. Male
partners' attachment avoidance was associated with depression and PTSD in both
partners. By contrast, a female partner's attachment avoidance was associated
with greater depression and PTSD in herself, but fewer PTSD symptoms in a male
partner. Amid the chronic stressors of a postdisaster setting, the attachment
avoidance of the male partner may play a particularly negative role, with his
tendency toward isolation and denial becoming especially maladaptive for the
couple as a whole. The female partner's attachment avoidance is likewise an
important factor, but its associations with negative social support and
relationship breakup must be clarified to understand its impact on partnership
functioning. (PsycINFO Database Record
PMID- 27869451
TI - Internet-delivered, family-based treatment for early-onset OCD: A pilot
randomized trial.
AB - OBJECTIVE: Despite advances in supported treatments for early onset obsessive
compulsive disorder (OCD), progress has been constrained by regionally limited
expertise in pediatric OCD. Videoteleconferencing (VTC) methods have proved
useful for extending the reach of services for older individuals, but no
randomized clinical trials (RCTs) have evaluated VTC for treating early onset
OCD. METHOD: RCT comparing VTC-delivered family based cognitive-behavioral
therapy (FB-CBT) versus clinic-based FB-CBT in the treatment of children ages 4-8
with OCD (N = 22). Pretreatment, posttreatment, and 6-month follow-up assessments
included mother-/therapist-reports and independent evaluations masked to
treatment condition. Primary analyses focused on treatment retention, engagement
and satisfaction. Hierarchical linear modeling preliminarily evaluated the
effects of time, treatment condition, and their interactions. "Excellent
response" was defined as a 1 or 2 on the Clinical Global Impressions-Improvement
Scale. RESULTS: Treatment retention, engagement, alliance and satisfaction were
high across conditions. Symptom trajectories and family accommodation across both
conditions showed outcomes improving from baseline to posttreatment, and
continuing through follow-up. At posttreatment, 72.7% of Internet cases and 60%
of Clinic cases showed "excellent response," and at follow-up 80% of Internet
cases and 66.7% of Clinic cases showed "excellent response." Significant
condition differences were not found across outcomes. CONCLUSIONS: VTC methods
may offer solutions to overcoming traditional barriers to care for early onset
OCD by extending the reach of real-time expert services regardless of children's
geographic proximity to quality care. (PsycINFO Database Record
PMID- 27869453
TI - In search of a new identity: An institutional consultation at a sub-acute
inpatient unit in a general hospital.
AB - INTRODUCTION: Hospitals are constantly morphing under the pressure of ever
evolving health care technologies, procedures, and reimbursement practices. In
turn, healthy institutional identities and personnel allegiance contribute to
counterbalance the potentially destabilizing effects of those changes. An
institutional consultation was requested at a general hospital recently created
sub-acute unit (SAU) due to malaise and dissatisfaction in the nursing staff.
METHOD: The consultation included a total of 3 group meetings with the SAU
nursing staff as well as observation of procedures and milieu in this and
adjacent units. In the course of this process, a structure of demeaning
narratives about the unit and the nursing personnel's own role in the context of
changes within the hospital was detected, destabilized, and transformed, and the
kernel of a new identity for the unit was developed, using a shift in a routine
evaluation procedure for patient's progress as a fulcrum for generating change.
RESULTS: Follow-up evaluation indicated a qualitative improvement in the unit
milieu and nurses' job satisfaction, resonating with an enhancement in the
staff's identification with the unit's reformulated mission as part of a
constructive continuum of care. This improvement was also acknowledged and
rewarded by hospital administration. DISCUSSION: While maintaining a stance of
positive connotation, assumptions of good intent, and a systemic view of the
organization, demeaning collective narratives can be transformed through timely
interventions that restitute pride and meaningfulness to the personnel and
improve the unit's quality of care. (PsycINFO Database Record
PMID- 27869454
TI - Meta-analyses of the relationship between conformity to masculine norms and
mental health-related outcomes.
AB - Despite theoretical postulations that individuals' conformity to masculine norms
is differentially related to mental health-related outcomes depending on a
variety of contexts, there has not been any systematic synthesis of the empirical
research on this topic. Therefore, the authors of this study conducted meta
analyses of the relationships between conformity to masculine norms (as measured
by the Conformity to Masculine Norms Inventory-94 and other versions of this
scale) and mental health-related outcomes using 78 samples and 19,453
participants. Conformity to masculine norms was modestly and unfavorably
associated with mental health as well as moderately and unfavorably related to
psychological help seeking. The authors also identified several moderation
effects. Conformity to masculine norms was more strongly correlated with negative
social functioning than with psychological indicators of negative mental health.
Conformity to the specific masculine norms of self-reliance, power over women,
and playboy were unfavorably, robustly, and consistently related to mental health
related outcomes, whereas conformity to the masculine norm of primacy of work was
not significantly related to any mental health-related outcome. These findings
highlight the need for researchers to disaggregate the generic construct of
conformity to masculine norms and to focus instead on specific dimensions of
masculine norms and their differential associations with other outcomes.
(PsycINFO Database Record
PMID- 27869455
TI - An interference model of visual working memory.
AB - The article introduces an interference model of working memory for information in
a continuous similarity space, such as the features of visual objects. The model
incorporates the following assumptions: (a) Probability of retrieval is
determined by the relative activation of each retrieval candidate at the time of
retrieval; (b) activation comes from 3 sources in memory: cue-based retrieval
using context cues, context-independent memory for relevant contents, and noise;
(c) 1 memory object and its context can be held in the focus of attention, where
it is represented with higher precision, and partly shielded against
interference. The model was fit to data from 4 continuous-reproduction
experiments testing working memory for colors or orientations. The experiments
involved variations of set size, kind of context cues, precueing, and retro
cueing of the to-be-tested item. The interference model fit the data better than
2 competing models, the Slot-Averaging model and the Variable-Precision resource
model. The interference model also fared well in comparison to several new models
incorporating alternative theoretical assumptions. The experiments confirm 3
novel predictions of the interference model: (a) Nontargets intrude in recall to
the extent that they are close to the target in context space; (b) similarity
between target and nontarget features improves recall, and (c) precueing-but not
retro-cueing-the target substantially reduces the set-size effect. The success of
the interference model shows that working memory for continuous visual
information works according to the same principles as working memory for more
discrete (e.g., verbal) contents. Data and model codes are available at
https://osf.io/wgqd5/. (PsycINFO Database Record
PMID- 27869456
TI - Evaluation of relational reasoning by a transitive inference task in attention
deficit/hyperactivity disorder.
AB - OBJECTIVE: Here we explored whether children with ADHD have a deficit in
relational reasoning, a skill subtending the acquisition of many cognitive
abilities and social rules. METHOD: We analyzed the performance of a group of
children with ADHD during a transitive inference task, a task requiring first to
learn the reciprocal relationship between adjacent items of a rank ordered series
(e.g., A>B; B>C; C>D; D>E; E>F), and second, to deduct the relationship between
novel pairs of items never matched during the learning (e.g., B>D; C>E). RESULTS:
As a main result, we observed that children with ADHD were impaired in performing
inferential reasoning problems. The deficit in relational reasoning was found to
be related to the difficulty in managing a unified representation of ordered
items. CONCLUSION: The present finding documented a novel deficit in ADHD,
contributing to improving the understanding of the disorder. (PsycINFO Database
Record
PMID- 27869457
TI - Autosomal dominant cerebellar ataxia, deafness, and narcolepsy (ADCA-DN)
associated with progressive cognitive and behavioral deterioration.
AB - OBJECTIVE: Autosomal dominant cerebellar ataxia with deafness and narcolepsy
(ADCA-DN) is an emerging syndrome caused by mutations in the C-terminus end of
the TS domain of the DNMT1 gene. ADCA-DN is also associated with sensorimotor
polyneuropathy, extrapyramidal, and dysautonomic signs, as well as dementia.
Little has been reported about the progressive cognitive impairment associated
with ADCA-DN. Our objective is to provide a detailed characterization of the
cognitive profile of ADCA-DN. METHOD: Three members of a kindred with ADCA-DN
underwent comprehensive neuropsychological testing and neuroimaging. RESULTS: At
baseline, 2 individuals demonstrated cognitive profiles with executive
difficulties in some areas consistent with frontal-system dysfunction
behaviorally and on standardized testing. The third individual was further in the
disease course and exhibited more globally impaired cognition consistent with a
diagnosis of dementia. CONCLUSIONS: This family demonstrated progressive
neurodegeneration beginning with isolated areas of executive dysfunction and
leading to globally impaired cognition and dementia. Cognitive decline occurred
in parallel with neurological deterioration. The cognitive profile is similar to
case reports of other individuals with an allelic neurological phenotype,
Hereditary Sensory Autonomic Neuropathy 1E, also caused by DNMT1 mutations.
(PsycINFO Database Record
PMID- 27869458
TI - Smith and Lilienfeld's meta-analysis of the response modulation hypothesis:
Important theoretical and quantitative clarifications.
AB - In the first meta-analytic review of the response modulation hypothesis (RMH), an
attention-based model for understanding the etiology of psychopathy, Smith and
Lilienfeld (2015) report that the average effect size for response modulation
deficits in psychopathic individuals fell in the small to medium range (r = .20;
p < .001, d = .41). Moreover, support for the RMH extended to both psychopathy
dimensions, applied across diverse assessments and settings, and spanned child,
adult, female, and male samples. The analysis also revealed good empirical
support for a central tenet of the RMH, namely that response modulation deficits
are not limited to the processing of threat or other emotion stimuli.
Unfortunately, the Smith and Lilienfeld meta-analysis contains several
theoretical and quantitative problems, including failing to distinguish
adequately between the tasks used to evaluate RMH predictions and the theory
itself, confusion regarding the evolution of the RMH and its impact on effect
sizes, misinterpretations of RMH predictions and evidence regarding dominant
response sets, passive avoidance, and primary task performance, and biased
statements promoting the low fear model over the RMH. In this response, we
endeavor to reduce misunderstanding by addressing the most salient issues, with
the hope that increasing clarity will sharpen the focus of future research and
result in more valid assessments of the RMH. (PsycINFO Database Record
PMID- 27869459
TI - The perils of unitary models of the etiology of mental disorders-The response
modulation hypothesis of psychopathy as a case example: Rejoinder to Newman and
Baskin-Sommers (2016).
AB - We respond to Newman and Baskin-Sommers's (2016) criticisms of our meta-analytic
and narrative synthesis of the response modulation hypothesis (RMH) of
psychopathy (Smith & Lilienfeld, 2015). We concur with Newman and Baskin-Sommers
that our results offer modest support for the RMH and that several of our
arguments apply with equal force to rival etiological models of psychopathy.
Nevertheless, we contend that Newman and Baskin-Sommers' criticisms of our
findings and conclusions are unconvincing, and that the research support for the
RMH is considerably more mixed than implied by Newman and Baskin-Sommers. We
address a number of conceptual and methodological concerns regarding the RMH
literature, especially (a) the ambiguous operationalization of a dominant
response set, (b) selective and inconsistent interpretation of findings, (c) the
failure of successive modifications in the RMH to bolster the model's predictive
power, (d) the hazards of ex juvantibus logic (reasoning backward from what
works), (e) reliance on a positive test strategy in theory testing, and (f) the
questionable assumption that psychopathy is a monolithic entity, rendering it
unlikely that the RMH provides a comprehensive causal account of psychopathy. We
conclude with a discussion of broader lessons for the psychopathy field imparted
by the RMH debate, with particular emphasis on the problematic track record of
models of specific etiology in the field of psychopathology at large. (PsycINFO
Database Record
PMID- 27869460
TI - "Neural responses to gains and losses in children of suicide attempters":
Correction to Tsypes et al. (2016).
AB - Reports an error in "Neural Responses to Gains and Losses in Children of Suicide
Attempters" by Aliona Tsypes, Max Owens, Greg Hajcak and Brandon E. Gibb (Journal
of Abnormal Psychology, Advanced Online Publication, Nov 3, 2016, np). In the
article, Figure 1 had incorrect axis labels. There was also an error in the
abstract, which did not state that DeltaFN was calculated as FN to losses minus
FN to gains. All versions of this article have been corrected. (The following
abstract of the original article appeared in record 2016-53087-001.) Suicidal
behavior aggregates within families, yet the specific mechanisms of suicide-risk
transmission are poorly understood. Despite some evidence that abnormal patterns
of reward responsiveness might constitute one such potential mechanism, empirical
evidence is lacking. The goal of this study was to examine neural responses to
gains and losses in children of suicide attempters with no personal history of
suicide attempt (SA) themselves. To objectively assess these neural responses, we
used feedback negativity (FN), a psychophysiological marker of responsiveness to
reward and loss. Participants were 66 parents and their 7-11-year-old children
(22 with parental history of SA and 44 demographically and clinically matched
children of parents with no SA history). Diagnostic interviews were used to
gather information about psychiatric diagnoses, symptoms, and histories of
suicidal thoughts and behaviors. Children also completed a guessing task, during
which continuous electroencephalography (EEG) was recorded. The FN was scored as
the mean amplitude, 275-375 ms, following gain or loss feedback at frontocentral
sites (Fz and FCz). Children of suicide attempters exhibited significantly more
negative DeltaFN (i.e., FN to losses minus FN to gains) than children of parents
with no SA history. We found that this difference in DeltaFN was due specifically
to children of parents with a history of SA exhibiting a stronger response to
loss, and no group differences were observed for responses to gains. The results
suggest that an increased neural response to loss might represent one of the
potential pathways of the familial transmission of suicide risk. (PsycINFO
Database Record
PMID- 27869462
TI - Development and validation of a brief trauma screening measure for children: The
Child Trauma Screen.
AB - OBJECTIVE: Childhood exposure to trauma, including violence and abuse, is a major
public health concern that has resulted in increased efforts to promote trauma
informed child-serving systems. Trauma screening is an important component of
such trauma-informed systems, yet widespread use of trauma screening is rare in
part due to the lack of brief, validated trauma screening measures for children.
We describe development and validation of the Child Trauma Screen (CTS), a 10
item screening measure of trauma exposure and posttraumatic stress disorder
(PTSD) symptoms for children consistent with the DSM-5 definition of PTSD.
METHOD: Study 1 describes measure development incorporating analysis to derive
items based on existing measures from 1,065 children and caregivers together with
stakeholder input to finalize item selection. Study 2 describes validation of the
CTS with a clinical sample of 74 children and their caregivers. RESULTS: Results
support the CTS as an empirically derived, reliable measure to screen children
for trauma exposure and PTSD symptoms with strong convergent, divergent, and
criterion validity. CONCLUSION: The CTS is a promising measure for rapidly and
reliably screening children for trauma exposure and PTSD symptoms. Future
research is needed to confirm validation and to examine feasibility and utility
of its use across various child-serving systems. (PsycINFO Database Record
PMID- 27869461
TI - Race differences in depression vulnerability following Hurricane Katrina.
AB - OBJECTIVE: This study investigated whether racial disparities in depression were
present after Hurricane Katrina. METHOD: Data were gathered from 932 New Orleans
residents who were present when Hurricane Katrina struck, and who returned to New
Orleans the following year. Multiple logistic regression models evaluated racial
differences in screening positive for depression (a score >=16 on the Center for
Epidemiologic Studies Depression Scale), and explored whether differential
vulnerability (prehurricane physical and mental health functioning and education
level), differential exposure to hurricane-related stressors, and loss of social
support moderated and/or reduced the association of race with depression.
RESULTS: A univariate logistic regression analysis showed the odds for screening
positive for depression were 86% higher for African Americans than for Caucasians
(odds ratio [OR] = 1.86 [1.28-2.71], p = .0012). However, after controlling
simultaneously for sociodemographic characteristics, preexisting vulnerabilities,
social support, and trauma-specific factors, race was no longer a significant
correlate for screening positive for depression (OR = 1.54 [0.95-2.48], p =
.0771). CONCLUSIONS: The racial disparity in postdisaster depression seems to be
confounded by sociodemographic characteristics, preexisting vulnerabilities,
social support, and trauma-specific factors. Nonetheless, even after adjusting
for these factors, there was a nonsignificant trend effect for race, which could
suggest race played an important role in depression outcomes following Hurricane
Katrina. Future studies should examine these associations prospectively, using
stronger assessments for depression, and incorporate measures for discrimination
and segregation, to further understand possible racial disparities in depression
after Hurricane Katrina. (PsycINFO Database Record
PMID- 27869463
TI - Posttraumatic stress disorder in Latina women: Examining the efficacy of the
Moms' Empowerment Program.
AB - OBJECTIVE: Intimate partner violence (IPV) is a serious public health problem,
affecting every 1 in 4 women in their lifetime. Latinas have been found to
experience IPV at rates equal to or even higher than rates in the general
population. The consequences of experiencing such violence can be severe, and
result in increased risk for developing both physical and mental health problems,
notably, posttraumatic stress disorder (PTSD). Although treatments for PTSD in
IPV-exposed women have been developed and evaluated, this is the first study to
test the efficacy of a program tailored specifically to meet the needs of Latinas
who experience IPV. METHOD: This study examines the efficacy of a Spanish
language adaptation of the Moms' Empowerment Program, a 10-week group treatment
program for IPV-exposed women. A total of 93 low-income, mostly immigrant Latinas
were included in this community trial. All women were Spanish-speaking, and
information about violence exposure and PTSD symptoms were collected immediately
before and after the implementation of the intervention. RESULTS: Findings show
that women who participated in the intervention had a significantly greater
reduction in PTSD symptoms than women in the wait-list comparison group. Specific
reductions by symptom domains were also analyzed. CONCLUSIONS: This adaptation of
a program designed to reduce problems associated with experiencing IPV addressed
several mental health treatment needs for Latinas, particularly the need for
services in Spanish. These findings demonstrate that it is possible to tailor
current treatment programs for IPV in ways that are both effective and culturally
sensitive. (PsycINFO Database Record
PMID- 27869464
TI - Customized computer-based administration of the PCL-5 for the efficient
assessment of PTSD: A proof-of-principle study.
AB - OBJECTIVE: To investigate the potential of customized computer-based testing
procedures to reduce the mean test length of the Posttraumatic Stress Checklist
for DSM-5 (PCL-5). METHOD: A retrospective analysis was conducted using responses
from 942 adults who had completed the full-length (20-item) PCL-5 in the
aftermath of Hurricane Sandy. The abilities of 2 testing procedures, curtailment
and stochastic curtailment, to lessen the instrument's mean test length while
maintaining the same result as the full-length PCL-5 ("positive" or "negative")
were evaluated in a post hoc simulation. Curtailment and stochastic curtailment
track a respondent's answers as she takes the instrument and stop the test if
future items are unable or unlikely to change the result. The performance of each
procedure was recorded under 2 scoring methods: a total-score-based method and a
cluster-based method. Each procedure's sensitivity, specificity, and overall
agreement with the full-length PCL-5 were computed. RESULTS: Curtailment reduced
the mean test length by 40% under the total-score-based method, and by more than
70% under the cluster-based method, while exhibiting 100% sensitivity,
specificity, and overall agreement with the full-length PCL-5. Stochastic
curtailment reduced the mean test length by up to 88% under the total-score-based
method, and up to 84% under the cluster-based method, while always exhibiting at
least 92% sensitivity and 99.8% overall agreement, as well as 100% specificity,
for the full-length PCL-5. CONCLUSIONS: Curtailment and stochastic curtailment
have potential to enhance the efficiency of the PCL-5 when this assessment is
administered by computer. The 2 procedures should be evaluated in future
prospective studies. (PsycINFO Database Record
PMID- 27869466
TI - Safety first: Instrumentality for reaching safety determines attention allocation
under threat.
AB - Theories of attention to emotional information suggest that attentional processes
prioritize threatening information. In this article, we suggest that attention
will prioritize the events that are most instrumental to a goal in any given
context, which in threatening situations is typically reaching safety. To test
our hypotheses, we used an attentional cueing paradigm that contained cues
signaling imminent threat (i.e., aversive noises) as well as cues that allowed
participants to avoid threat (instrumental safety signals). Correct reactions to
instrumental safety signals seemingly allowed participants to lower the
presentation rate of the threat. Experiment 1 demonstrates that attention
prioritizes instrumental safety signals over threat signals. Experiment 2
replicates this finding and additionally compares instrumental safety signals to
other action-relevant signals controlling for action relevance as cause of the
effects. Experiment 3 demonstrates that when actions toward threat signals permit
to avoid threat, attention prioritizes threat signals. Taken together, these
results support the view that instrumentality for reaching safety determines the
allocation of attention under threat. (PsycINFO Database Record
PMID- 27869465
TI - Association of peritraumatic dissociation with symptoms of depression and
posttraumatic stress disorder.
AB - AIM: In this study, we evaluated whether peritraumatic dissociation (PD) was
associated with symptoms of depression and posttraumatic stress disorder (PTSD),
and whether this association was modified by trauma prior to police work. METHOD:
Symptoms of depression, PTSD, peritraumatic dissociative experience (PDE), and
trauma prior to police work were measured using the Center for Epidemiologic
Studies Depression scale, PTSD Checklist-Civilian, PDE questionnaire, and the
Brief Trauma questionnaire, respectively, in 328 police officers. Separate
regression models were used to assess if either symptoms of depression or PTSD
were associated with PD stratified by prior trauma. Means were adjusted for race,
number of drinks per week, and smoking. RESULTS: PD was associated with symptoms
of PTSD and depression (beta = 0.65, p < .001 and beta = 0.27, p < .001,
respectively). PD was positively associated with symptoms of PTSD regardless of
prior trauma (beta = 0.61, p < .001(without prior trauma), 0.75, p < .001 (with
prior trauma). In contrast to PTSD, depression symptoms were significantly
associated with PD scores in individuals with prior trauma (beta = 0.47, p <
.001), but not in individuals without prior trauma (beta = 0.13, p = .165).
LIMITATIONS: This is a cross-sectional study. Outcomes were obtained via self
report and were not clinically diagnosed. Aspects of both the trauma event as
well as the symptoms and severity of PD may have introduced recall bias.
CONCLUSION: These results add to the literature indicating that PD plays a role
in symptoms of PTSD and depression and how prior trauma may modify this
relationship. (PsycINFO Database Record
PMID- 27869467
TI - How the social ecology and social situation shape individuals' affect valence and
arousal.
AB - Many theories highlight the role social contexts play in shaping affective
experience. However, little is known about how individuals' social environments
influence core affect on short time-scales (e.g., hours). Using experience
sampling data from the iSAHIB, wherein 150 adults aged 18 to 89 years reported on
64,213 social interactions (average 6.92 per day, SD = 2.85) across 9 weeks of
daily life, we examined how 4 features of individuals' social ecology (between
person differences) and immediate social situations (within-person changes) were
associated with core affect-valence and arousal-and how those associations differ
with age. Results from multilevel models revealed that familiarity, importance,
type of social partner, and gender composition of the social context were
associated with affect valence and/or affect arousal. Higher familiarity, higher
importance, and same-gender composition were associated with more positive affect
valence and higher arousal. Interactions with family and friends were linked to
more positive valence whereas nonfamily social partners were linked to higher
arousal. Age moderated the associations between importance and affect arousal,
and between type of social partner and both dimensions of core affect. Findings
align with theoretical propositions, contributing to but also suggesting need for
further precision regarding how development shapes the interplay between social
context and moment-to-moment affective experience. (PsycINFO Database Record
PMID- 27869468
TI - Termination in cognitive-behavioral therapy with children, adolescents, and
parents.
AB - The process of terminating cognitive-behavioral therapy (CBT) with families has
been largely neglected in the literature, with the limited research focused on
premature termination. This article describes the natural termination process in
CBT with children, adolescents, and their parents. Based on existing theories, we
describe a cognitive-behavioral model for: (a) initiating and engaging in
discussion of termination, (b) processing the termination of treatment and the
therapeutic relationship, (c) key aspects of the termination process in the final
session, and (d) the very end of the final session (saying goodbye). For each of
the 4 components, we review relevant theories, provide clinical exchanges to
demonstrate techniques, and provide related research support. (PsycINFO Database
Record
PMID- 27869469
TI - Saying good goodbyes to your clients: A functional analytic psychotherapy (FAP)
perspective.
AB - Functional analytic psychotherapy (FAP) promotes client growth by shaping
clients' daily life problems that also show up in session with their therapists.
FAP therapists create evocative contexts within therapy that afford clients the
opportunity to practice, refine, and be reinforced for new, more adaptive
behaviors which then can be generalized into their outside lives. In FAP, the
termination process will vary from client to client depending on the nature of
the client's problems and targets. For many clients, the process can be a rich,
multifaceted, final opportunity to evoke, reinforce, and promote generalization
of clients' in-session improvements, particularly improvements related to
vulnerable self-expression in the service of intimate and close relationships. By
making explicit agreements at the outset of therapy to participate in an
intentional termination process, and by later providing an evocative structure
for ending therapy with vulnerable emotional expression, clients have the
opportunity to develop more adaptive behaviors in the context of relationship
endings which can be a painful part of the human experience. Equipped with the
skills of open-hearted communication developed from an authentic relationship
with their therapist, clients can leave therapy on a trajectory of further growth
in interpersonal connection and living more boldly. (PsycINFO Database Record
PMID- 27869470
TI - Terminating supervision.
AB - The focus of this paper is on the termination of clinical supervision. Although
clinical supervision is considered the backbone of most mental health training
programs, it gets relatively little theoretical or empirical attention. The
termination of supervision has received even less attention. In this paper, we
describe an approach to terminating supervision in our treatment team, which
integrates intensive assessment with a relational perspective in a clinical
science training program (Levendosky & Hopwood, 2016). We describe our
established conceptual framework, review empirical evidence, and provide verbatim
examples from final supervision meetings on our team to elaborate the importance
of conceptualizing individual differences across trainees and parallels between
supervision and psychotherapy dynamics. We conclude by emphasizing the need for
research on supervision in general and supervision termination in particular.
(PsycINFO Database Record
PMID- 27869471
TI - A collaborative approach to psychotherapy termination.
AB - Collaboration has been recognized as an important relationship variable in
psychotherapy that is linked to client treatment outcomes. Although many
therapists seek to build a collaborative working relationship with their clients
when making treatment decisions, collaboration is also an important technique
that can be used to help clients plan for a successful termination. Collaborative
termination strategies can first be used in the initial session in order to
address clients' termination expectations. Strategies can also be used throughout
treatment to help clients focus on their treatment goals. Last, collaborative
termination strategies should be used in the final session to help clients take
ownership of their gains and to equalize the therapeutic relationship. In this
article, we provide specific recommendations for collaborating with clients in
preparing for psychotherapy termination. Case examples demonstrating these
strategies are also provided. (PsycINFO Database Record
PMID- 27869472
TI - Patients' affective processes within initial experiential dynamic therapy
sessions.
AB - Research has indicated that patients' in-session experience of previously avoided
affects may be important for effective psychotherapy. The aim of this study was
to investigate patients' in-session levels of affect experiencing in relation to
their corresponding levels of insight, motivation, and inhibitory affects in
initial Experiential Dynamic Therapy (EDT) sessions. Four hundred sixty-six 10
min video segments from 31 initial sessions were rated using the Achievement of
Therapeutic Objectives Scale. A series of multilevel growth models, controlling
for between-therapist variability, were estimated to predict patients' adaptive
affect experiencing (Activating Affects) across session segments. In line with
our expectations, higher within-person levels of Insight and Motivation related
to higher levels of Activating Affects per segment. Contrary to expectations,
however, lower levels of Inhibition were not associated with higher levels of
Activating Affects. Further, using a time-lagged model, we did not find that the
levels of Insight, Motivation, or Inhibition during one session segment predicted
Activating Affects in the next, possibly indicating that 10-min segments may be
suboptimal for testing temporal relationships in affective processes. Our results
suggest that, to intensify patients' immediate affect experiencing in initial EDT
sessions, therapists should focus on increasing insight into defensive patterns
and, in particular, motivation to give them up. Future research should examine
the impact of specific inhibitory affects more closely, as well as between
therapist variability in patients' in-session adaptive affect experiencing.
(PsycINFO Database Record
PMID- 27869473
TI - The headwinds/tailwinds asymmetry: An availability bias in assessments of
barriers and blessings.
AB - Seven studies provide evidence of an availability bias in people's assessments of
the benefits they've enjoyed and the barriers they've faced. Barriers and
hindrances command attention because they have to be overcome; benefits and
resources can often be simply enjoyed and largely ignored. As a result of this
"headwind/tailwind" asymmetry, Democrats and Republicans both claim that the
electoral map works against them (Study 1), football fans take disproportionate
note of the challenging games on their team's schedules (Study 2), people tend to
believe that their parents have been harder on them than their siblings are
willing to grant (Study 3), and academics think that they have a harder time with
journal reviewers, grant panels, and tenure committees than members of other
subdisciplines (Study 7). We show that these effects are the result of the
enhanced availability of people's challenges and difficulties (Studies 4 and 5)
and are not simply the result of self-serving attribution management (Studies 6
and 7). We also show that the greater salience of a person's headwinds can lead
people to believe they have been treated unfairly and, as a consequence, more
inclined to endorse morally questionable behavior (Study 7). Our discussion
focuses on the implications of the headwind/tailwind asymmetry for a variety of
ill-conceived policy decisions. (PsycINFO Database Record
PMID- 27869474
TI - Coming in 2017: Our 15th Anniversary!
PMID- 27869475
TI - How Biobanks Are Assessing and Measuring Their Financial Sustainability.
AB - As guest editors of this sustainability issue of Biopreservation and Biobanking
focused on business planning, utilization, and marketing, we invited a number of
experts from different sectors of the biobanking arena to provide their views on
business planning issues. Each expert was asked to provide a brief background
statement on their biobanks, to build a context to understand their answers to
the sustainability questions. We hope that these insights and experiences can
provide valuable considerations and ideas for other biobanks who wish to develop
or refine their own business plans, measure their utilization rates, and work
toward financial sustainability. In addition, after the expert input was
gathered, the guest editors invited an additional expert to provide summary
comments and observations on cost and operational optimization strategies. The
broad experiences from all of the experts included and scope of the biobanks they
represent should provide a level of relevant representation for all interested
parties.
PMID- 27869476
TI - Low-level laser therapy effectiveness in accelerating orthodontic tooth movement:
A randomized controlled clinical trial.
AB - OBJECTIVE: To evaluate the effectiveness of low-level laser therapy (LLLT) in
accelerating orthodontic tooth movement of crowded maxillary incisors. MATERIALS
AND METHODS: This two-arm, parallel-group, randomized controlled trial involved
26 patients with severe to extreme maxillary incisors irregularity according to
Little's irregularity index, indicating two first premolars extraction. Patients
were randomly assigned to either the laser group or the control group (13 each).
Following premolars extraction, orthodontic treatment with fixed appliances was
initiated for both groups. Immediately after insertion of the first archwire,
patients in the laser group received a LLL dose from an 830-nm wavelength Ga-Al
As semiconductor laser device with energy of 2 J/point. The laser was applied to
each maxillary incisor's root at four points (two buccal, two palatal).
Application was repeated on days 3, 7, 14, and then every 15 days starting from
the second month until the end of the leveling and alignment stage. Alignment
progress was evaluated on the study casts taken before inserting the first
archwire (T0), after 1 month of treatment commencement (T1), after 2 months (T2),
and at the end of the leveling and alignment stage (T3). The outcome measures
were the overall time needed for leveling and alignment and the leveling and
alignment improvement percentage. RESULTS: A statistically significant difference
was found between the two groups in the overall treatment time (P < .001) and the
leveling and alignment improvement percentage at T1 (P = .004) and T2; (P =
.001). CONCLUSION: LLLT is an effective method for accelerating orthodontic tooth
movement.
PMID- 27869479
TI - The 2017 ISBER Annual Meeting & Exhibits in Toronto "Due North: Aligning
Biobanking Practice with Evolving Evidence and Innovation".
PMID- 27869477
TI - A Review of Living Collections with Special Emphasis on Sustainability and Its
Impact on Research Across Multiple Disciplines.
AB - Formal living collections have unique characteristics that distinguish them from
other types of biorepositories. Comprising diverse resources, microbe culture
collections, crop and biodiversity plant germplasm collections, and animal
germplasm repositories are commonly allied with specific research communities or
stakeholder groups. Among living collections, microbial culture collections have
very long and unique life histories, with some being older than 100 years.
Regulatory, financial, and technical developments have impacted living
collections in many ways. International treaty obligations and restrictions on
release of genetically modified organisms complicate the activities of living
collections. Funding for living collections is a continuing challenge and
threatens to create a two-tier system where medically relevant collections are
well funded and all other collections are underfunded and hence understaffed.
Molecular, genetic, and whole genome sequence analysis of contents of microbes
and other living resource collections bring additional value to living
collections.
PMID- 27869478
TI - Etravirine and Rilpivirine Drug Resistance Among HIV-1 Subtype C Infected
Children Failing Non-Nucleoside Reverse Transcriptase Inhibitor-Based Regimens in
South India.
AB - We have analyzed reverse transcriptase (RT) region of HIV-1 pol gene from 97 HIV
infected children who were identified as failing first-line therapy that included
first-generation non-nucleoside RT inhibitors (Nevirapine and Efavirenz) for at
least 6 months. We found that 54% and 65% of the children had genotypically
predicted resistance to second-generation non-nucleoside RT inhibitors drugs
Etravirine (ETR) and Rilpivirine, respectively. These cross-resistance mutations
may compromise future NNRTI-based regimens, especially in resource-limited
settings. To complement these investigations, we also analyzed the sequences in
Stanford database, Monogram weighted score, and DUET weighted score algorithms
for ETR susceptibility and found almost perfect agreement between the three
algorithms in predicting ETR susceptibility from genotypic data.
PMID- 27869481
TI - Papillary vs Nonpapillary Puncture in Percutaneous Nephrolithotomy: A Prospective
Randomized Trial.
AB - INTRODUCTION: Literature suggests that the percutaneous punctures for
percutaneous nephrolithotomy (PCNL) must be performed at the papilla of the renal
calix and a puncture at the infundibulum or the direction of the pelvis is not
advisable because of increased hemorrhagic risk. A prospective randomized study
was conducted to investigate the safety in terms of blood loss of the
infundibular approach for PCNL. MATERIALS AND METHODS: Patients with renal stones
with an accumulative size of at least 2 cm were randomly assigned to one of two
parallel groups to undergo PCNL with either papillary (Group 1) or infundibular
(Group 2) renal access. The primary outcome measures were the reduction in
hemoglobin on first postoperative day and the need for transfusion during the
first postoperative month. Secondary endpoints included the operative and
fluoroscopy time, number of accesses performed, overall complication rate,
hospitalization time, and complications up to 3 months. RESULTS: In total, 27 and
28 patients were enrolled in Groups 1 and 2, respectively. Patient age, body mass
index, and stone size were similar among the groups (p = 0.672, 0.256, and 0.889,
respectively). Reduction in hemoglobin and transfusion rate did not differ among
Groups 1 and 2 (p = 0.916, p = 1.0, respectively). Operative time was higher in
the case of Group 1 (p = 0.027). The overall complications rate was 7.4% for
Group 1 and 7.14% for Group 2. Hospitalization time was not significantly
different in the study groups (p = 0.724). CONCLUSIONS: The infundibular approach
for PCNL to the posterior middle renal calices is not associated with higher
blood loss or transfusion rate in comparison with the respective approach to the
fornix of the papilla when the currently described technique is performed.
PMID- 27869480
TI - Caregiver profiles in dementia related to quality of life, depression and
perseverance time in the European Actifcare study: the importance of social
health.
AB - OBJECTIVES: To identify caregiver profiles of persons with mild to moderate
dementia and to investigate differences between identified caregiver profiles,
using baseline data of the international prospective cohort study Actifcare.
METHODS: A latent class analysis was used to discover different caregiver
profiles based on disease related characteristics of 453 persons with dementia
and their 453 informal caregivers. These profiles were compared with regard to
quality of life (CarerQoL score), depressive symptoms (HADS-D score) and
perseverance time. RESULTS: A 5-class model was identified, with the best
Bayesian Information Criterion value, significant likelihood ratio test (p <
0.001), high entropy score (0.88) and substantive interpretability. The classes
could be differentiated on two axes: (i) caregivers' age, relationship with
persons with dementia, severity of dementia, and (ii) tendency towards stress and
difficulty adapting to stress. Classes showed significant differences with all
dependent variables, and were labelled 'older low strain', 'older intermediate
strain', 'older high strain', 'younger low strain' and 'younger high strain'.
CONCLUSION: Differences exist between types of caregivers that explain
variability in quality of life, depressive symptoms and perseverance time. Our
findings may give direction for tailored interventions for caregivers of persons
with dementia, which may improve social health and reduce health care costs.
PMID- 27869482
TI - Clinical trial transparency update: an assessment of the disclosure of results of
company-sponsored trials associated with new medicines approved in Europe in
2013.
AB - BACKGROUND: The objective of this study was to assess the timely disclosure of
results of company-sponsored clinical trials related to all new medicines
approved by the European Medicines Agency (EMA) during 2013. This is an extension
of two previously reported studies of trials related to all new medicines
approved in Europe in 2009, 2010 and 2011, and in 2012. The original study found
that over a three year period over three-quarters of all trials were disclosed
within 12 months and almost 90% were disclosed by the end of the study. The
extension study (2012 approvals) showed an improvement in results disclosure
within 12 months to 90%, and an overall disclosure rate of 92% by the end of the
study. METHODS: The methodology used was exactly as previously reported. Various
publicly available information sources were searched for both clinical trial
registration and disclosure of results. All completed company-sponsored trials
related to each new medicine approved for marketing by the EMA in 2013, carried
out in patients and recorded on a clinical trials registry and/or included in an
EMA European Public Assessment Report (EPAR), were included. Information sources
were searched between 1 May and 31 July 2015. OUTCOME MEASURES AND RESULTS: The
main outcome measure was the proportion of trials for which results had been
disclosed on a registry or in the scientific literature either within 12 months
of the later of either first regulatory approval or trial completion, or by 31
July 2015 (end of survey). Of the completed trials associated with 34 new
medicines licensed to 24 different companies in 2013, results of 90% (484/539)
had been disclosed within 12 months, and results of 93% (500/539) had been
disclosed by 31 July 2015. CONCLUSIONS: The disclosure rate within 12 months of
90% suggests that industry is continuing to achieve disclosure in a timely
manner. The overall disclosure rate at study end of 93% indicates that the
improvement in transparency amongst company-sponsored trials has been maintained
in the trials associated with new medicines approved in 2013.
PMID- 27869484
TI - Two new monoterpenes from Sibiraea laevigata.
AB - Two new monoterpenes, 3-(2-oxo-4-methyl-3-pentenyl)furan-5H-2-one (1) and 3-[(2E)
4-hydroxyl-4-methyl-2-pentenyl)]furan-5H-2-one (2), along with eight known
compounds (3-10), were isolated from the stalks and infructescence of Sibiraea
laevigata. Their structures were elucidated by spectroscopic methods including
extensive 1D and 2D NMR techniques. In addition, all of these isolates were
evaluated for their cytotoxic and antioxidant activities. The results showed that
compounds 5-7 displayed cytotoxicity with IC50 values ranging from 34.8 to 43.2
MUg ml-1 against tumor cell lines. Furthermore, 5 and 9 showed antioxidant
activities.
PMID- 27869485
TI - The Practice of Hatha Yoga for the Treatment of Pain Associated with
Endometriosis.
AB - OBJECTIVES: The aim of this study was to compare chronic pelvic pain, menstrual
patterns, and quality of life (QoL) in two groups of women with endometriosis:
those who did and those who did not participate in a specific 8-week yoga
intervention. METHOD: This was a randomized controlled trial. It was conducted at
the University of Campinas Medical School, Campinas, SP, Brazil. Forty women were
randomly divided into two groups: an intervention group of women who practiced
yoga (n = 28), and a control group of women who did not practice yoga (n = 12).
Participants attended 90-min scheduled yoga sessions twice a week for 8 weeks.
Additionally, an Endometriosis Health Profile (EHP)-30 questionnaire was applied
to evaluate women's QoL at admission and 2 months later upon completion of the
yoga program. Menstrual and daily pain patterns were evaluated through a daily
calendar (visual analog scale). RESULTS: The degree of daily pain was
significantly lower among the women who practiced yoga compared with the non-yoga
group (p = 0.0007). There was an improvement of QoL in both groups between
baseline and the end of the study evaluation. In relation to EHP-30 domains, pain
(p = 0.0046), impotence (p = 0.0006), well-being (p = 0.0009), and image (p =
0.0087) from the central questionnaire, and work (p = 0.0027) and treatment (p =
0.0245) from the modular questionnaire were significantly different between the
study groups over time. There was no significant difference between the two
groups regarding the diary of menstrual patterns (p = 0.96). CONCLUSIONS: Yoga
practice was associated with a reduction in levels of chronic pelvic pain and an
improvement in QoL in women with endometriosis.
PMID- 27869487
TI - The incidence of incisional hernias following ileostomy reversal in colorectal
cancer patients treated with anterior resection.
AB - INTRODUCTION The aim of this study was to identify the rate of incisional hernia
formation following ileostomy reversal in patients who underwent anterior
resection for colorectal cancer. In addition, we aimed to ascertain risk factors
for the development of reversal-site incisional hernias and to record the
characteristics of the resultant hernias. MATERIALS AND METHODS Using a
prospectively compiled database of colorectal cancer patients who were treated
with anterior resection, we identified individuals who had undergone both
ileostomy formation and subsequent reversal of their ileostomies from January
2005 to December 2014. Medical records were reviewed to record descriptive
patient data about risk factors for hernia formation, operative details and any
subsequent operations. Computed tomography reports were reviewed to identify the
number, site and characteristics of incisional hernias. RESULTS A total of 121
patients were included in this study; 14.9% (n = 18) developed an incisional
hernia at the ileostomy reversal site; 17.4% (n = 21) at a non-ileostomy site and
6.6% (n = 8) developed both. The reversal-site hernias were smaller both in width
and length compared with the non-ileostomy-site hernias. Risk factors for the
development of reversal-site incisional hernias were higher body mass index
(BMI), lower age, open surgery, longer reversal time and a history of previous
hernias. We did not detect a difference in the size of the incisional hernias
that developed in patients with these specific risk factors. CONCLUSIONS
Incisional hernias are a significant complication of ileostomy reversal. Further
evaluation of the use of prophylactic mesh to reduce the incidence of incisional
hernias may be worthwhile.
PMID- 27869488
TI - A randomised controlled trial assessing the efficacy of co-phenylcaine nasal
spray in flexible transnasal pharyngolaryngoscopy.
AB - INTRODUCTION The aim of this study was to assess whether using co-phenylcaine
nasal spray before flexible transnasal pharyngolaryngoscopy results in reduced
pain and discomfort during the procedure. METHODS This was a randomised
controlled trial. Eighty-four patients were randomised to receive either co
phenylcaine nasal spray or placebo nasal spray before performing transnasal
flexible pharyngolaryngoscopy. Patient reported outcome measures included pain,
discomfort, unpleasantness and willingness to repeat the procedure while
clinician reported outcome measures comprised ease of examination and quality of
view obtained during the procedure. RESULTS There was no statistically
significant difference in scores for pain, discomfort, unpleasantness and
willingness to repeat the procedure between the co-phenylcaine and placebo
groups. On the other hand, ease of examination scores were significantly better
for the co-phenylcaine group than for the placebo group. CONCLUSIONS The majority
of patients do not find flexible pharyngolaryngoscopy unpleasant or painful with
or without topical nasal anaesthesia. However, the spray does appear to help the
examiner in completing a satisfactory assessment.
PMID- 27869486
TI - Enucleation versus hepatectomy for giant hepatic haemangiomas: a meta-analysis.
AB - INTRODUCTION Hepatic haemangiomas are the most common benign liver tumours. They
can be treated with surgical resection such as enucleation or hepatectomy if
necessary. However, controversy remains over the clinical outcome and safety of
these two methods. In this study, we performed a comprehensive meta-analysis to
compare the efficacy of liver resection with enucleation for giant haemangiomas.
METHODS The online databases PubMed, Embase and CNKI (China National Knowledge
Infrastructure) were searched for relevant original articles. We compared
operation time, blood loss, transfusion requirements, inflow occlusion time and
postoperative complications between enucleation and hepatectomy. RESULTS Seven
controlled clinical trials met the predefined inclusion criteria. Analysis
indicated that the enucleation group had significantly shorter operation time
(weighted mean difference, WMD -28.22, 95% confidence interval, CI, -54.82 to
1.62), less blood loss (WMD -395.92, 95% CI -521.25 to -270.58) and fewer
complications (odds ratio, OR, 0.47, 95 % CI 0.34 to 0.65). There were no
significant differences between enucleation and hepatectomy with regard to
transfusion requirements (OR 0.61, 95% CI 0.22 to 1.68) and inflow occlusion time
(WMD 7.91, 95% CI -5.62 to 21.44). CONCLUSIONS Enucleation has advantages over
hepatectomy in relation to operation time, blood loss and complications.
Enucleation is a safe and effective treatment for giant hepatic haemangioma.
PMID- 27869483
TI - The epigenetic impacts of social stress: how does social adversity become
biologically embedded?
AB - Epigenetic mechanisms are implicated in the processes through which social
stressors erode health in humans and other animals. Here I review progress in
elucidating the biological pathways underlying the social gradient in health,
with particular emphasis on how behavioral stresses influence epigenomic
variation linked to health. The evidence that epigenetic changes are involved in
embedding of social status-linked chronic stress is reviewed in the context of
current knowledge about behavior within animal dominance hierarchies and the
impacts of social position on behaviors that affect health. The roles of
epigenetic mechanisms in responses to trauma and the evidence for their
involvement in intergenerational transmission of the biological impacts of
traumatic stress are also considered. Taken together, the emerging insights have
important implications for development of strategies to improve societal health
and well-being.
PMID- 27869489
TI - An atypical presentation of necrotising fasciitis.
AB - Necrotising fasciitis is a rare infection with a high mortality rate. Clinical
manifestation may be influenced by an underlying disease state. We report a case
of a 70-year-old man with an anaplastic large-cell lymphoma who presented with
inconclusive signs of necrotising fasciitis following a neutropenic phase after
chemotherapy. Surgical exploration did not reveal the typical macroscopic
features of necrotising fasciitis. Microbiological investigations revealed
Escherichia coli. The lack of massive tissue inflammation, probably due to the
neutropenia in our patient, has not been described by other authors. Diagnostic
uncertainties owing to masked or uncharacteristic signs in immune compromised
patients may lead to a delayed surgical debridement, of which clinicians should
be aware.
PMID- 27869490
TI - Congenital diaphragmatic hernia causing cardiac arrest in a 30-year-old woman.
AB - Congenital diaphragmatic hernia (CDH) usually presents in infancy with
respiratory failure requiring urgent surgical correction. Mortality in this group
of patients remains poor and persistent pulmonary hypertension is a significant
contributor. It is therefore rare for patients to reach adulthood undiagnosed.
CDH is often identified incidentally in adults but when symptoms arise, they
relate to the organ involved, and include gastrointestinal symptoms of dyspepsia
and obstruction, as well as respiratory complaints such as dyspnoea. We present
the case of a 30-year-old woman who was admitted with non-specific symptoms of
upper abdominal discomfort but whose deteriorating condition culminated in a
cardiac arrest, as an unreported presentation of CDH. The patient presented
initially with severe left upper quadrant pain. Her chest x-ray on admission
suggested a raised left hemidiaphragm. She went on to have computed tomography
(CT) of the thorax and abdomen as well as oesophagogastroduodenoscopy, which
raised the suspicion of diaphragmatic eventration. Repeat CT was performed after
the patient collapsed on the ward five days following admission, revealing
tracheal deviation, and a strangulated Bochdalek hernia containing stomach and
spleen. After transfer to the anaesthetic room, she suffered a cardiac arrest.
Advanced life support was required to return spontaneous cardiac function. She
was intubated and ventilated, and a needle thoracostomy was performed to
decompress the tension gastrothorax. Emergency laparotomy revealed a gangrenous
stomach and spleen. Total gastrectomy with primary Roux-en-Y reconstruction,
splenectomy and insertion of a feeding jejunostomy were performed. The patient
recovered well postoperatively and was discharged two weeks following surgery.
PMID- 27869491
TI - Self injection of foreign materials into the penis.
AB - Injection of the subcutaneous tissues of the penis for enlargement of penile
girth has been practised for many years by laypeople and medical practitioners
alike. However, with recognition of the complications, the practice has died out.
We report a series of five patients who presented having injected foreign
materials into the subcutaneous tissues of their penises, including paraffin and
mineral oils. Our patients had a variable time course of presentation ranging
from 1 day following injection to over 26 years. Self-injection of the
subcutaneous tissues of the penis is an unusual presentation for a penile mass
but should be considered as a differential diagnosis in patients with a long
latent period to presentation or with characteristic magnetic resonance imaging
and histological appearances.
PMID- 27869492
TI - Risk factors for development of primary bladder squamous cell carcinoma.
AB - INTRODUCTION The aim of this study was to investigate the prevalence of risk
factors for primary squamous cell carcinoma (SCC) of the bladder. MATERIALS A
total of 90 cases of primary SCC of the bladder were identified through
multicentre analysis. Patient demographics, stage and grade of cancer at
presentation, management and outcomes were recorded. The presence of known risk
factors (catheter use, neuropathic bladder, smoking history, recurrent urinary
tract infection and bladder stones) was also documented. RESULTS Over half of the
patients had at least one identifiable risk factor for the development of primary
bladder SCC: 13.9% of patients had a history of catheter use (clean intermittent
self-catheterisation [CISC] in 11.1%), 10.0% of patients had a neuropathic
bladder, 27.8% were smokers or ex-smokers and 20.0% had a documented history of
recurrent urinary tract infection. Statistical analysis of the results showed no
association between risk factors and grade of tumour at presentation. CONCLUSIONS
These data further support the association between primary bladder SCC and
several of the well documented risk factors for its development. Chronic use of
CISC may confer a greater risk for development of SCC than thought previously.
Further evidence of the role of CISC in primary SCC is required to justify
routine screening and to determine exactly when surveillance of the bladder
should begin for this group of patients.
PMID- 27869493
TI - Laparoscopy-assisted gastrectomy in the elderly: experience from a UK centre.
AB - BACKGROUND Gastric cancer has a high incidence in the elderly in the UK, with a
significant number of patients aged 75 years or more. While surgery forms the
mainstay of treatment, evidence pertaining to the management of gastric cancer in
the Western population in this age group is scarce. METHODS We retrospectively
reviewed the outcomes of laparoscopy-assisted total and distal gastrectomies at
our centre from 2005 to 2015. Patients aged 70 years or above were included in
the elderly group. RESULTS A total of 60 patients underwent laparoscopy-assisted
gastrectomy over a 10-year period, with a predominance of male patients. There
was no significant difference in the rate of overall surgical and non-surgical
complications, in-hospital mortality, operation time and length of hospital stay,
between the elderly and non-elderly groups. Univariate analysis, performed for
risk factors relating to anastomotic leak and surgical complications, showed that
age over 70 years and higher American Association of Anesthesiologists grades are
associated with a higher, though not statistically significant, number of
anastomotic leaks (P = 1.000 and P = 0.442, respectively) and surgical
complications (P = 0.469 and P = 0.162, respectively). The recurrence rate within
the first 3 years of surgery was significantly higher in the non-elderly group
compared with the elderly group (Log Rank test, P = 0.002). There was no
significant difference in survival between the two groups (Log Rank test, P =
0.619). CONCLUSIONS Laparoscopy-assisted gastrectomy is safe and feasible in an
elderly population. There is a need for well-designed, prospective, randomised
studies with quality of life data to inform our practice in future.
PMID- 27869494
TI - Necrotising fasciitis caused by metastatic endometrial cancer: a rare cause of a
life-threatening condition.
AB - We report a case of necrotising fasciitis caused by metastatic endometrial
adenocarcinoma. Metastases to the lumbar spine with local invasion to the
iliopsoas muscle led to an iliopsoas abscess, which subsequently progressed to
necrotising fasciitis of the flank. This patient lacked common risk factors for
necrotising fasciitis. There are no previous reports in the literature of
necrotising fasciitis with this aetiology. We discuss the available evidence for
aetiology of and risk factors for necrotising fasciitis, and the relation of time
to surgery with prognosis.
PMID- 27869495
TI - A comparison of airborne bacterial fallout between orthopaedic and vascular
surgery.
AB - INTRODUCTION The objective of the study was to compare bacterial fallout during
vascular prosthesis insertion and orthopaedic major joint replacement performed
in conventional and laminar flow ventilation, respectively. MATERIALS AND METHODS
A prospective single-centre case control study of 21 consecutive elective
vascular procedures involving prosthetic graft insertion and 24 consecutive
elective orthopaedic major joint replacements were tested for degree of bacterial
fallout using agar settle plates. Preparation time, waiting time and total
procedure duration were collected at the time of surgery, and bacterial colony
counts on the agar settle plates from airborne bacterial fallout were counted
after an incubation period. RESULTS Bacterial fallout count in vascular
prosthetic graft insertion was 15-fold greater than in orthopaedic prosthetic
joint insertion (15, (IQR 15) vs 1, (IQR 3) respectively, P < 0.0001, Wilcoxon).
Waiting time and patient transfer did not significantly increase bacterial
fallout counts during the procedure (P = 0.9). CONCLUSIONS Vascular surgical
theatres have significantly higher bacterial fallout compared with orthopaedic
theatres. This may be partly explained by orthopaedic surgery being routinely
performed in laminar flow ventilation, a practice which has not been widely
adopted for vascular surgery, in which prosthetic infection may also result in
significant mortality and morbidity.
PMID- 27869497
TI - Appendicular abscess as an unprecedented cause of an inferior lumbar hernia.
AB - Inferior lumbar hernias are uncommon hernias resulting from a defect in the
abdominal wall. They can occur during infancy (congenital defect), albeit less
frequently, or spontaneously after trauma or surgery with a lumbar incision. With
fewer than 300 cases reported over the past 3 centuries, clinical diagnosis is
often confusing owing to non-specific symptoms, relating to the gastrointestinal,
genitourinary or musculoskeletal systems. We present a case of an inferior lumbar
hernia following spontaneous rupture of a retrocaecally tracked appendicular
abscess and a brief literature review.
PMID- 27869496
TI - A systematic review of the prognostic value of lymph node ratio, number of
positive nodes and total nodes examined in pancreatic ductal adenocarcinoma.
AB - BACKGROUND Pancreatic ductal adenocarcinoma is the most common pancreatic cancer.
Five-year overall survival is currently 3.3-6.0%. The aim of this review was to
evaluate the prognostic value of lymph node ratio, number of positive nodes and
total nodes examined on overall survival rate following pancreatic resection.
MATERIALS AND METHODS A literature search was conducted of MEDLINE, EMBASE, the
Cochrane Library and Central Register of Controlled Trials and the Cochrane
Database of Systematic Review databases, from January 1996 to January 2016.
RESULTS Overall, 19 studies including 4,883 patients examined the relationship
between lymph node ratio and overall survival. A high lymph node ratio was
associated with decreased overall survival in 17 studies. A total of 12 studies
examined the relationship between the number of positive nodes and overall
survival, and 11 studies revealed that an increase in the number of positive
nodes was associated with decreased overall survival. In 15 studies examining the
relationship between the total nodes examined and overall survival, there was no
association with overall survival in 12 studies. CONCLUSIONS Lymph node ratio and
number of positive nodes are factors associated with overall survival in
pancreatic ductal adenocarcinoma, but not total nodes examined.
PMID- 27869498
TI - An Intervention With Meaning.
AB - BACKGROUND: The Veterans Health Administration (VHA) health-care system utilizes
a multilevel suicide prevention intervention that features the use of
standardized safety plans with veterans considered to be at high risk for
suicide. AIMS: Little is known about clinician perceptions on the value of safety
planning with veterans at high risk for suicide. METHOD: Audio-recorded
interviews with 29 VHA behavioral health treatment providers in a southeastern
city were transcribed and analyzed using qualitative methodology. RESULTS:
Clinical providers consider safety planning feasible, acceptable, and valuable to
veterans at high risk for suicide owing to the collaborative and interactive
nature of the intervention. Providers identified the types of veterans who easily
engaged in safety planning and those who may experience more difficulty with the
process. CONCLUSION: Additional research with VHA providers in other locations
and with veteran consumers is needed.
PMID- 27869499
TI - Capsaicinoids improve egg production by regulating ovary nuclear transcription
factors against heat stress in quail.
AB - 1. To examine the molecular mechanism of capsaicinoid supplementation from
capsicum extract, laying Japanese quail (n = 180, 5 weeks old) were reared either
at 22 degrees C for 24 h/d (thermoneutral, TN) or at 34 degrees C for 8 h/d (heat
stress, HS) and fed on one of three diets containing 0, 25 or 50 mg of
capsaicinoids per kilogram for 12 weeks (2 * 3 factorial arrangement). 2. The
results revealed that exposure to HS decreased feed consumption by 10.7% and egg
production by 13.6%, increased serum and ovary malondialdehyde (MDA) levels by
66.9% and 88.1%, respectively, and reduced ovary superoxide dismutase (SOD),
catalase (CAT) and glutathione peroxidase (GSH-Px) activities by 28.3%, 48.7% and
43.8%, respectively. 3. There were magnifications in the ovary nuclear factor
kappa-light-chain-enhancer of activated B cell (NF-kappaB) levels by 42.4% and
suppressions in nuclear factor (erythroid-derived 2)-like 2 (Nrf2), protein
kinase B (Akt) and haem-oxygenase 1 (HO-1) levels by 29.2%, 38.2% and 30.7%,
respectively, in heat-stressed quail. 4. With increasing supplemental
capsaicinoids, there were linear increases in egg production, antioxidant enzyme
activity, linear decreases in ovary MDA and NF-kappaB levels and linear increases
in ovary Nrf2, Akt and HO-1 levels at a greater extent in quail reared under TN
condition than those reared under HS condition. Two-way treatment interactions
showed that the degree of restorations in all response variables was more notable
under the HS environment than under the TN environment as supplemental
capsaicinoid level was increased. 5. In conclusion, capsaicinoid supplementation
alleviates oxidative stress through regulating the ovary nuclear transcription
factors in heat-stressed quail.
PMID- 27869500
TI - Lower Frailty Is Associated with Successful Cognitive Aging Among Older Adults
with HIV.
AB - Aging with HIV poses unique and complex challenges, including avoidance of
neurocognitive disorder. Our objective here is to identify the prevalence and
predictors of successful cognitive aging (SCA) in a sample of older adults with
HIV. One hundred three HIV-infected individuals aged 50 and older were recruited
from the Modena HIV Metabolic Clinic in Italy. Participants were treated with
combination antiretroviral therapy for at least 1 year and had suppressed plasma
HIV viral load. SCA was defined as the absence of neurocognitive impairment (as
defined by deficits in tasks of episodic learning, information processing speed,
executive function, and motor skills) depression, and functional impairment
(instrumental activities of daily living). In cross-sectional analyses, odds of
SCA were assessed in relation to HIV-related clinical data, HIV-Associated Non
AIDS (HANA) conditions, multimorbidity (>=2HANA conditions), and frailty. A
frailty index was calculated as the number of deficits present out of 37 health
variables. SCA was identified in 38.8% of participants. Despite no differences in
average chronologic age between groups, SCA participants had significantly fewer
HANA conditions, a lower frailty index, and were less likely to have
hypertension. In addition, hypertension (odds ratio [OR] = 0.40, p = .04),
multimorbidity (OR = 0.35, p = .05), and frailty (OR = 0.64, p = .04) were
significantly associated with odds of SCA. Frailty is associated with the
likelihood of SCA in people living with HIV. This defines an opportunity to apply
knowledge from geriatric population research to people aging with HIV to better
appreciate the complexity of their health status.
PMID- 27869501
TI - Shared decision-making in dementia care planning: barriers and facilitators in
two European countries.
AB - BACKGROUND: Shared decision-making (SDM) is a means of allowing people with
dementia to take part in making choices, be autonomous and participate in social
activities. Involving them in SDM is an important way of promoting social health.
However, including families and dementia residents in decision-making can be
challenging for care staff working in nursing homes. The objective of this study
was to identify barriers and facilitators regarding the implementation of an SDM
framework for care planning in two nursing homes, one in Italy and one in the
Netherlands. METHODS: Focus group interviews were conducted with healthcare
professionals who, after being trained, applied the SDM framework. Content
analysis was used to analyze the data. RESULTS: Six months after the feasibility
trial, focus group interviews with healthcare professionals (n = 10 in Italy; n =
9 in the Netherlands) were held. We found 6 themes and 15 categories. Within
these themes, facilitators and barriers were identified. The categories of team
collaboration, communication skills and nursing home policy were found to be
facilitators to the implementation process, whereas regulations, lack of funding
and of involvement of family caregivers were the main barriers. Family attitudes
towards SDM could be both. The main difference between countries concerned the
residents' cognitive status that influenced their degree of involvement.
CONCLUSION: Communication skills training for professionals, training of family
caregivers, and involvement of the management in the implementation process seem
to be crucial factors in successfully implementing SDM in nursing homes, and
increasing the involvement of families and dementia residents in decision-making.
PMID- 27869502
TI - Influence of endophytic Bacillus pumilus and EDTA on the phytoextraction of Cu
from soil by using Cicer arietinum.
AB - In developing countries, soil contamination with metals is ubiquitous, which
poses a serious threat to the ecosystem. The current study was designed to screen
out the nested belongings of Cicer arietinum plants and Bacillus pumilus (KF
875447) in extracting copper (Cu) from contaminated soils. A pot experiment was
executed by growing C. arietinum seedlings either inoculated with B. pumilus or
uninoculated along with the application of 5 mM ethylenediaminetetraacetic acid
(EDTA). Plants were subjected to three different concentrations of Cu (250, 350,
and 500 ppm) for 48 days. An increase in Cu uptake was observed in C. arietinum
plants inoculated with B. pumilus as compared to uninoculated ones. C. arietinum
exhibited improved values for different growth parameters in the presence of B.
pumilus, that is, root length (37%), shoot length (31%), whole plant fresh as
well as (45%) dry weight (27%), and chlorophyll contents (32%). More than 70% of
tolerance index (TI) was observed for plants at 500 ppm Cu treatment. Addition of
B. pumilus and EDTA significantly increased metal uptake by C. arietinum up to 19
and 36%, respectively, while the application of B. pumilus and EDTA in
combination increased metal accumulation by 41%. The calculated bioaccumulation
and translocation factor (TF) revealed that C. arietinum possess phytoextraction
potential for Cu, and this ability is significantly improved with application of
B. pumilus and EDTA amendments.
PMID- 27869503
TI - Social health and dementia: a European consensus on the operationalization of the
concept and directions for research and practice.
AB - BACKGROUND: Because the pattern of illnesses changes in an aging population and
many people manage to live well with chronic diseases, a group of health care
professionals recently proposed reformulating the static WHO definition of health
towards a dynamic one based on the ability to physically, mentally and socially
adapt and self-manage. This paper is the result of a collaborative action of the
INTERDEM Social Health Taskforce to operationalize this new health concept for
people with dementia, more specifically the social domain, and to formulate
directions for research and practice to promote social health in dementia.
METHOD: Based on the expertise of the Social Health Taskforce members (N = 54)
three groups were formed that worked on operationalizing the three social health
dimensions described by Huber et al.: (1) capacity to fulfil potential and
obligations; (2) ability to manage life with some degree of independence; (3)
participation in social activities. For each dimension also influencing factors,
effective interventions and knowledge gaps were inventoried. After a consensus
meeting, the operationalizations of the dimensions were reviewed by the European
Working Group of People with Dementia (EWGPWD). RESULTS: The social health
dimensions could be well operationalized for people with dementia and are
assessed as very relevant according to the Social Health Taskforce and EWGPWD.
Personal (e.g. sense of coherence, competencies), disease-related (e.g. severity
of cognitive impairments, comorbidity), social (support from network, stigma) and
environmental factors (e.g. enabling design, accessibility) that can influence
the person with dementia's social health and many interventions promoting social
health were identified. CONCLUSION: A consensus-based operationalization of
social health in dementia is proposed, and factors that can influence, and
interventions that improve, social health in dementia identified. Recommendations
are made for research and practice.
PMID- 27869504
TI - Initial Content Validation Results of a New Simulation Model for Flexible
Ureteroscopy: The Key-Box.
AB - PURPOSE: We sought to test the content validity of a new training model for
flexible ureteroscopy: the Key-Box. MATERIAL AND METHODS: Sixteen medical
students were randomized to undergo a 10-day training consisting of performing 10
different exercises aimed at learning specific movements with the flexible
ureteroscope, and how to catch and release stones with a nitinol basket using the
Key-Box (n = 8 students in the training group, n = 8 students in the nontraining
control group). Subsequently, an expert endourologist (O.T.) blindly assessed
skills acquired by the whole cohort of students through two exercises on
ureteroscope manipulation and one exercise on stone capture selected among those
used for the training. A performance scale (1-5) assessing different steps of the
procedure was used to evaluate each student. Time to complete the exercises was
measured. Mann-Whitney Rank Sum test was used for comparisons between the two
groups. RESULTS: Mean scores obtained by trained students were significantly
higher compared with those obtained by nontrained students (all p < 0.001). All
trained students were able to complete the two exercises on ureteroscope
manipulation within 3 minutes, whereas two students (25%) were not able to finish
the exercise on stone capture. Conversely, four (50%) and six (75%) nontrained
students were not able to finish one out of the two exercises on ureteroscope
manipulation and the exercise on stone capture, respectively. The mean time to
complete the three exercises was 76.3, 69.9, and 107 and 172.5, 137.9, and 168
seconds in the trained and nontrained groups, respectively (all p < 0.001).
CONCLUSIONS: The K-Box(r) seems to be a valid easy-to-use training model for
initiating novel endoscopists to flexible ureteroscopy.
PMID- 27869505
TI - Using Propensity Scores to Examine the Association Between Behavioral
Inhibition/Activation and Nonsuicidal and Suicidal Self-Injury.
AB - BACKGROUND: Self-injurious behavior (e.g., nonsuicidal self-injury, suicide
attempts) is a serious public health concern. One potentially important but
understudied predictor of nonsuicidal and suicidal self-injury involves the
behavioral inhibition and activation system (BIS/BAS). AIMS: The goal of the
current study was to examine the relationship between nonsuicidal and suicidal
self-injury and BIS/BAS, and to consider the influence of related variables in
the relationship. Examination through this framework allowed us to consider BIS
and BAS as potential unique risk factors of self-injury. METHOD: After examining
the relationship between nonsuicidal and suicidal self-injury and BIS/BAS among
1,912 participants, we used propensity scores to match participants' propensity
for nonsuicidal self-injury and suicide attempts based on demographic variables
(e.g., gender, age) and related risk factors (e.g., anxiety, depressive
symptomology, impulsivity, and substance use problems). RESULTS: Participants who
reported nonsuicidal self-injury or attempted suicide scored higher on BIS and
BAS compared with those without a history of these behaviors. After matching
procedures, however, the only group difference found was on BIS between those
with and without a history of nonsuicidal self-injury. CONCLUSION: Results
support the notion that the behavioral inhibition system might play a role in
nonsuicidal self-injury but not in suicidal self-injury.
PMID- 27869506
TI - Testing a Model of Functional Impairment in Telephone Crisis Support Workers.
AB - BACKGROUND: It is well known that helping professionals experience functional
impairment related to elevated symptoms of psychological distress as a result of
frequent empathic engagement with distressed others. Whether telephone crisis
support workers are impacted in a similar way is not currently reported in the
literature. AIMS: The purpose of this study was to test a hypothesized model of
factors contributing to functional impairment in telephone crisis support
workers. METHOD: A national sample of 210 telephone crisis support workers
completed an online survey including measures of emotion regulation, symptoms of
general psychological distress and suicidal ideation, intentions to seek help for
symptoms, and functional impairment. Structural equation modeling was used to
test the fit of the data to the hypothesized model. RESULTS: Goodness-of-fit
indices were adequate and supported the interactive effects of emotion
regulation, general psychological distress, suicidal ideation, and intentions to
seek help for ideation on functional impairment. CONCLUSION: These results
warrant the deliberate management of telephone crisis support workers' impairment
through service selection, training, supervision, and professional development
strategies. Future research replicating and extending this model will further
inform the modification and/or development of strategies to optimize telephone
crisis support workers' well-being and delivery of support to callers.
PMID- 27869507
TI - Parent-Child Connectedness and Long-Term Risk for Suicidal Ideation in a
Nationally Representative Sample of US Adolescents.
AB - BACKGROUND: Few studies have addressed on the role of parent-child connectedness
(PCC) on adolescents' risk for suicidal ideation from a longitudinal,
developmental perspective. AIM: This study examined PCC during adolescence and
risk of suicidal ideation into adulthood among a nationally representative sample
of American adolescents. METHOD: The study includes 13,234 adolescents aged 11-18
from the National Longitudinal Study of Adolescent to Adult Health (Add Health)
who were surveyed during adolescence (1994-1995) and then again in early
adulthood (2008-2009). Multinomial logistic regression estimated the association
between PCC during adolescence and having ideation during the adolescence period
only, in adulthood only, and in both adolescence and adulthood as compared with
those without suicidal ideation. RESULTS: After adjusting for depressive symptoms
and other parent and adolescent characteristics, adolescents in two-parent
households who reported higher PCC during adolescence had lower relative risk of
having ideation during adolescence alone and in both adolescence and adulthood.
In mother-only households, higher mother connectedness was also associated with
decreased risk of having adolescent ideation. CONCLUSION: PCC is an important
modifiable target for the prevention of suicidal ideation from adolescence into
adulthood.
PMID- 27869508
TI - Risk Factors and Mediators of Suicidal Ideation Among Korean Adolescents.
AB - BACKGROUND: A significant number of Korean adolescents have suicidal ideations
and it is more prevalent among adolescents than any other age group in Korea.
AIMS: This study was conducted to attain a better understanding of the
contributing factors to suicidal ideation among Korean adolescents. METHOD: We
recruited 569 high school students in Grades 10 and 11 in Pyeongtaek, Korea. The
Beck Scale for Suicidal Ideation was used to measure suicidal ideation as the
outcome variable. The Interpersonal Needs Questionnaire, the Beck Hopelessness
Scale, the School Related Stress Scale, the Olweus Bully/Victim Questionnaire,
and the Youth Risk Behavior Surveillance questions were used to measure thwarted
belongingness and perceived burdensomeness, hopelessness, school-related stress,
bullying, and previous suicidal behaviors, respectively. Data analyses included
descriptive statistics and structural equation modeling. RESULTS: The findings
suggest that perceived burdensomeness, hopelessness, school-related stress, and
previous suicidal behaviors have significant direct effects on suicidal ideation.
Hopelessness fully mediated the relation between thwarted belongingness and
suicidal ideation, and partially mediated between perceived burdensomeness,
school-related stress, and suicidal ideation. CONCLUSION: These findings provide
more specific directions for a multidimensional suicide prevention program in
order to be successful in reducing suicide rates among Korean adolescents.
PMID- 27869509
TI - Encapsulated benzoic acid supplementation in broiler diets influences gut
bacterial composition and activity.
AB - 1. The present study investigated the effects of encapsulated benzoic acid (BA)
supplementation in broiler feed on performance and gastrointestinal microbiota.
2. Eighty broilers were randomly divided into two groups. Birds in the control
group were fed on maize-soybean-based diets. Birds in the treatment group were
provided the same diet supplemented with 2 g/kg BA encapsulated in a vegetable
oil matrix. 3. At the end of the trial (d 35), pH, bacterial composition and
metabolites were determined in the crop, jejunum, ileum and caecum. 4. Growth
performance variables and pH were not significantly different. 5. BA
concentration decreased rapidly in the proximal gut. However, the treatment diet
showed higher BA in the crop, jejunum, ileum and caecum. 6. Total lactate in the
crop and D-lactate in the jejunum was higher in the BA treated group. Caecal
total and branched chain fatty acids were decreased due to the treatment. 7.
Lactobacilli populations were significantly altered by BA supplementation. A
trend for increased lactobacilli was observed in the crop, while it became
significant in the jejunum and ileum. Lactobacillus species responded differently
to the treatment. Four of 5 measured Lactobacillus species, particularly in the
ileum, followed the course observed for total lactobacilli; only Lactobacillus
salivarius was not modified. 8. Correlation analysis showed that BA modified the
intestinal microbiota. Lactobacilli correlated negatively to all studied
clostridial clusters and enterobacteria. Clostridial clusters IV and XIVa were
significantly increased in the jejunum, whereas only clostridial cluster XIVa was
increased in the caecum. 9. Encapsulated BA modified the intestinal microbiota
which can lead to the conclusion, that the main beneficial mode of action of BA
in the gut appears to be the enhancement of lactic acid bacteria, which in turn
may act as a vanguard against pathogens.
PMID- 27869510
TI - The effectiveness of commercial desiccants and uncooked rice in removing moisture
from hearing aids.
AB - OBJECTIVE: In many low- and middle-income countries, the availability of hearing
technology is limited, with few options for hearing aid repairs. Minimising
moisture damage to hearing aid electronics improves function and longevity;
however, desiccants that absorb moisture from hearing aid components are
unavailable in many regions. This study compared the effectiveness of uncooked
white rice and seven commercial silica gel desiccants in removing moisture from
hearing aids. DESIGN: Relative humidity measurements in a test chamber were
obtained from a water-saturated BTE hearing aid prior to and after placement in
uncooked white rice and seven different silica gel desiccants. STUDY SAMPLE: Two
BTE hearing aids, seven silica gel desiccants and white rice comprised the study
sample. RESULTS: All desiccants and the white rice were effective in removing
moisture from hearing aids, with Hal Hen Super Dri Aid showing the largest mean
reduction in relative humidity. Based on analysis of covariance results, white
rice was statistically similar to several of the commercial desiccants.
CONCLUSIONS: White rice shows promise as an effective alternative to commercial
desiccants in reducing moisture in hearing aids when silica gel products are
unavailable. As this study was conducted in a relatively dry region, additional
research may be needed.
PMID- 27869511
TI - Acoustic reflexes are common but not pervasive: evidence from the National Health
and Nutrition Examination Survey, 1999-2012.
AB - OBJECTIVE: To determine whether acoustic reflexes are pervasive (i.e.
sufficiently prevalent to provide 95% confidence of at least 95% prevalence) and
might be invoked in damage-risk criteria (DRC) and health hazard assessments
(HHA) for impulsive noise. DESIGN: Cross-sectional analyses of a nationally
representative study. STUDY SAMPLE: National Health and Nutrition Examination
Survey (NHANES) data collected between 1999 and 2012 were used. Over 60 thousand
reflex traces obtained from 15,106 NHANES participants were used in the study,
along with demographic, audiometric, health and exposure variables obtained in
that study. RESULTS: Acoustic reflexes were not sufficiently prevalent to be
deemed pervasive by any detection method or in any subgroup defined by age or
audiometric characteristics. The odds of observing acoustic reflexes were greater
for women, young adults, and people with better hearing sensitivity. Abnormally
high tympanometric admittance and "Other" race/ethnicity (i.e. people who do not
self-identify as exclusively Non-Hispanic White, Non-Hispanic Black, Mexican
American, or Hispanic) were associated with lower odds. CONCLUSIONS: Acoustic
reflexes are not sufficiently prevalent to be included in DRC and HHA for
impulsive noise.
PMID- 27869512
TI - Controversies in the management of cancer-associated thrombosis.
AB - INTRODUCTION: Cancer associated thrombosis (CAT) is a frequent complication among
cancer patients. It is associated with increased morbidity, mortality, and
psychological burden. Areas covered: Low-molecular-weight heparin monotherapy for
the initial 6 months is considered the standard of care for the acute and long
term management of CAT. For patients at high risk of recurrent CAT (e.g. active
cancer or still undergoing anticancer therapy) beyond the initial 6 months of
treatment, continuation of anticoagulation therapy for secondary prevention is
usually recommended. The management of anticoagulation therapy is more
challenging in patients with cancer. Cancer patients are more likely to have
recurrent events despite anticoagulation, thrombocytopenia due to their
chemotherapy regimens or have incidental pulmonary embolism diagnosed on their
staging imaging. Expert commentary: We will review expert consensuses and
opinions in order to guide clinicians on how to tailor the management of CAT in
these special circumstances.
PMID- 27869513
TI - Adverse effects of pesticides on central auditory functions in tobacco growers.
AB - OBJECTIVE: To investigate the effects of exposure to pesticides on the central
auditory functions (CAF) of Brazilian tobacco growers. DESIGN: This was a cross
sectional study carried out between 2010 and 2012. Participants were evaluated
with two behavioural procedures to investigate CAF, the random gap detection test
(RGDT) and the dichotic digit test in Portuguese (DDT). STUDY SAMPLE: A total of
22 growers exposed to pesticides (study group) and 21 subjects who were not
exposed to pesticides (control group) were selected. RESULTS: No significant
differences between groups were observed for pure-tone thresholds. A significant
association between pesticide exposure and the results for RGDT and DDT was
found. Significant differences between pesticide-exposed and nonexposed subjects
were found for RGDT frequency average and DDT binaural average, when including
age and hearing level as covariates. Age was significantly associated with RGDT
frequency average, DDT left ear score, DDT binaural average and DDT right ear
advantage. Hearing levels were not significantly associated with any of the test
scores. The relative risk of failing the DDT and RGDT for the study group was
1.88 (95% CI: 1.10-3.20) and 1.74 (95% CI: 1.06-2.86), respectively, as compared
with the control group. CONCLUSIONS: The results showed that tobacco growers
exposed to pesticides exhibited signs of central auditory dysfunction
characterised by decrements in temporal processing and binaural integration
processes/abilities.
PMID- 27869515
TI - Vi capsular polysaccharide: Synthesis, virulence, and application.
AB - Vi capsular polysaccharide, a linear homopolymer of alpha-1,4-linked N
acetylgalactosaminuronate, is characteristically produced by Salmonella enterica
serovar Typhi. The Vi capsule covers the surface of the producing bacteria and
serves as an virulence factor via inhibition of complement-mediated killing and
promoting resistance against phagocytosis. Furthermore, Vi also represents a
predominant protective antigen and plays a key role in the development of
vaccines against typhoid fever. Herein, we reviewed the latest advances
associated with the Vi polysaccharide, from its synthesis and transport within
bacterial cells, mechanisms involved in virulence, immunological characteristics,
and applications in vaccine, as well as its purification and detection methods.
PMID- 27869516
TI - Frequency and clinical implications of SOX11 expression in Burkitt lymphoma.
PMID- 27869517
TI - CT fluoroscopy-guided percutaneous drainage: comparison of the one step and the
Seldinger techniques.
AB - OBJECTIVE: To evaluate the one step technique compared with the Seldinger
technique in computed tomography (CT) fluoroscopy-guided percutaneous drainage of
abdominal and pelvic abscess. MATERIAL AND METHODS: Seventy-six consecutive
patients (49 men, 27 women; mean age 63.5 years, range 19-87 years) with
abdominal and pelvic abscess were included in this study. Drainages were
performed with the one step (n = 46) and with the Seldinger (n = 48) technique
between September 2012 and June 2014. RESULTS: The technical success and clinical
success rates were 95.8% and 93.5%, respectively, for the one step group, and
97.8% and 95.7%, respectively, for the Seldinger group. The mean procedure time
was significantly shorter with the one step than with the Seldinger method (15.0
+/- 4.3 min, range 10-29 min vs. 21.0 +/- 9.5 min, range 13-54 min, p < .01). The
mean abscess size and depth were 73.4 +/- 44.0 mm and 42.5 +/- 19.3 mm,
respectively, in the one step group, and 61.0 +/- 22.8 mm and 35.0 +/- 20.7 mm in
the Seldinger group. CONCLUSION: The one step technique was easier and faster
than the Seldinger technique. The effectiveness of both techniques was similar
for the CT fluoroscopy-guided percutaneous drainage of abdominal and pelvic
abscess.
PMID- 27869518
TI - Gender differences in identifying emotions from auditory and visual stimuli.
AB - The present study focused on gender differences in emotion identification from
auditory and visual stimuli produced by two male and two female actors.
Differences in emotion identification from nonsense samples, language samples and
prolonged vowels were investigated. It was also studied whether auditory stimuli
can convey the emotional content of speech without visual stimuli, and whether
visual stimuli can convey the emotional content of speech without auditory
stimuli. The aim was to get a better knowledge of vocal attributes and a more
holistic understanding of the nonverbal communication of emotion. Females tended
to be more accurate in emotion identification than males. Voice quality
parameters played a role in emotion identification in both genders. The emotional
content of the samples was best conveyed by nonsense sentences, better than by
prolonged vowels or shared native language of the speakers and participants.
Thus, vocal non-verbal communication tends to affect the interpretation of
emotion even in the absence of language. The emotional stimuli were better
recognized from visual stimuli than auditory stimuli by both genders. Visual
information about speech may not be connected to the language; instead, it may be
based on the human ability to understand the kinetic movements in speech
production more readily than the characteristics of the acoustic cues.
PMID- 27869519
TI - A post-planktonic era of in vitro infectious models: issues and changes addressed
by a clinically relevant wound like media.
AB - Medical science is pitted against an ever-increasing rise in antibiotic tolerant
microorganisms. Concurrently, during the past decade, biofilms have garnered much
attention within research and clinical practice. Although the significance of
clinical biofilms is becoming very apparent, current methods for diagnostics and
direction of therapy plans in many hospitals do not reflect this knowledge; with
many of the present tools proving to be inadequate for accurately mimicking the
biofilm phenomenon. Based on current findings, we address some of the fundamental
issues overlooked by clinical labs: the paradigm shifts that need to occur in
assessing chronic wounds; better simulation of physiological conditions in vitro;
and the importance of incorporating polymicrobial populations into biofilm
models. In addition, this review considers using a biofilm relevant in vitro
model for cultivating and determining the antibiotic tolerance and susceptibility
of microorganisms associated with chronic wounds. This model presents itself as a
highly rapid and functional tool that can be utilized by hospitals in an aim to
improve bedside treatments.
PMID- 27869521
TI - Body and spirit: Tibetan medical paintings.
PMID- 27869520
TI - Outpatient single-port laparoscopic percutaneous Morgagni hernia repair assisted
by an optical forceps.
AB - Morgagni hernia is a relatively rare form of diaphragmatic hernia in the
pediatric age group and it is conventionally treated with open surgical repair.
Minimal access surgery is currently being adapted for many procedures in
children. However, to date just a few techniques have been described relevant to
minimal access surgical repair of Morgagni hernia in children. Herein, we report
two cases of Morgagni hernia repaired by a new single-port laparoscopic technique
assisted by an optical forceps. Two infants, a one-year-old boy and an eight
month-old girl, were operated with this technique in just 26 and 35 min and were
discharged with oral analgesic prescription by postoperative hour 5 and 8,
respectively. In the English-language literature, these cases are the first
reports of laparoscopic Morgagni hernia repair that were performed as an
outpatient procedure. Also, the technique introduced can be easily applied by a
single surgeon without an assistant to operate the laparoscope.
PMID- 27869522
TI - Current aspects of Salmonella contamination in the US poultry production chain
and the potential application of risk strategies in understanding emerging
hazards.
AB - One of the leading causes of foodborne illness in poultry products is Salmonella
enterica. Salmonella hazards in poultry may be estimated and possible control
methods modeled and evaluated through the use of quantitative microbiological
risk assessment (QMRA) models and tools. From farm to table, there are many
possible routes of Salmonella dissemination and contamination in poultry. From
the time chicks are hatched through growth, transportation, processing, storage,
preparation, and finally consumption, the product could be contaminated through
exposure to different materials and sources. Examination of each step of the
process is necessary as well as an examination of the overall picture to create
effective countermeasures against contamination and prevent disease. QMRA
simulation models can use either point estimates or probability distributions to
examine variables such as Salmonella concentrations at retail or at any given
point of processing to gain insight on the chance of illness due to Salmonella
ingestion. For modeling Salmonella risk in poultry, it is important to look at
variables such as Salmonella transfer and cross contamination during processing.
QMRA results may be useful for the identification and control of critical sources
of Salmonella contamination.
PMID- 27869524
TI - Altered expression pattern of SLAM family receptors on pathological B cells of
patients with chronic lymphocytic leukemia.
PMID- 27869523
TI - Nelarabine in the treatment of pediatric and adult patients with T-cell acute
lymphoblastic leukemia and lymphoma.
AB - INTRODUCTION: T-cell acute lymphoblastic leukemia (ALL) and lymphoma (LBL) are
aggressive hematologic neoplasms that are treated with combination chemotherapy
in the frontline, but have limited options in the relapsed or refractory setting.
Based on observations in patients with purine nucleoside phosphorylase (PNP)
deficiency, a guanosine nucleoside analogue, arabinosylguanine (ara-G) was
developed that provided T-cell specificity. Nelarabine was developed as the water
soluble, clinically useful-prodrug of ara-G and based on its activity was
approved for the treatment of relapsed or refractory T-ALL/LBL. Areas covered: In
this narrative review, we will summarize the preclinical studies, early dose
finding studies, and efficacy studies that led to approval of nelarabine. The
review will succinctly cover response rates and safety signals reported during
clinical development. We will also cover more recent work with nelarabine,
including combination studies, modified dosing schedules, and frontline treatment
approaches. Expert commentary: Based on evidence from the literature review and
our own experience with nelarabine, we conclude that it is an effective agent in
the treatment of T-cell malignancies. Understanding the factors that modulate the
risk of dose-limiting neurotoxicity, how to mitigate this toxicity, and how to
safely combine it with other active agents will continue to broaden its use.
PMID- 27869526
TI - PGC-1alpha over-expression suppresses the skeletal muscle atrophy and myofiber
type composition during hindlimb unloading.
AB - Disuse leads to severe muscle atrophy and a slow-to-fast myofiber-type
transition. PGC-1alpha (Peroxisome proliferator-activated receptor gamma
coactivator 1alpha) is documented to play an important role in muscle atrophy and
slow-twitch myofiber determination. Transcription of atrophy-related Atrogin-1 by
FoxO3 can be reduced by PGC-1alpha. While Smad3 augments FoxO3-induced Atrogin-1
and MuRF1 promoter activity. So PGC-1alpha, as a transcription co-activator, may
regulate hindlimb unloading (HU)-induced myofiber-type transition and muscle
atrophy through Smad3. Our results showed that transgenic PGC-1alpha mice
resisted HU-induced muscle loss, atrophy-related genes expression, and slow-to
fast myofiber-type transition. Furthermore, over-expression of PGC-1alpha
resisted the increase in pSmad3 during muscle atrophy in vivo and in vitro. And,
PGC-1alpha over-expression inhibited the expression of atrogenes via suppressing
the phosphorylation of Smad3 in vitro. Thus, PGC-1alpha is effective in
regulating myofiber-type transition during HU, and it alleviates skeletal muscle
atrophy partially through suppressing the activation of Smad3.
PMID- 27869525
TI - Oxidative Priority, Meal Frequency, and the Energy Economy of Food and Activity:
Implications for Longevity, Obesity, and Cardiometabolic Disease.
AB - In most modern societies, the relationship that many individuals have with food
has fundamentally changed from previous generations. People have shifted away
from viewing food as primarily sustenance, and rather now seek out foods based on
pure palatability or specific nutrition. However, it is far from clear what
optimal nutrition is for the general population or specific individuals. We
previously described the Food Triangle as a way to organize food based on an
increasing energy density paradigm, and now expand on this model to predict the
impact of oxidative priority and both nutrient and fiber density in relation to
caloric load. When combined with meal frequency, integrated energy expenditure,
macronutrient oxidative priority, and fuel partitioning expressed by the
respiratory quotient, our model also offers a novel explanation for chronic
overnutrition and the cause of excess body fat accumulation. Herein, we not only
review how metabolism is a dynamic process subject to many regulators that
mediate the fate of ingested calories but also discuss how the Food Triangle
predicts the oxidative priority of ingested foods and provides a conceptual
paradigm for healthy eating supported by health and longevity research.
PMID- 27869527
TI - Impact of touring, performance schedule, and definitions on 1-year injury rates
in a modern dance company.
AB - There is ongoing debate about how to define injury in dance: the most
encompassing one or a time-loss definition. We examined the relationship between
touring, performance schedule and injury definition on injury rates in a
professional modern dance company over one-year. In-house healthcare management
tracked 35 dancers for work-related musculoskeletal injuries (WMSI), time-loss
injuries (TLinj), complaints, and exposure. The year was divided into 6 segments
to allow comparison of effects of performance, rehearsal, and touring.
Injuries/segment were converted into injuries/1000-h dance exposure. We conducted
negative binomial regression analysis to determine differences between segments,
P <= 0.05. Twenty WMSI, 0.44 injuries/1000-h, were sustained over one-year. WMSI
were 6 times more likely to occur in Segment-6, compared with other segments
(incident rate ratio = 6.055, P = 0.031). The highest rate of TLinj and traumatic
injuries also occurred in Segment-6, reflecting concentrated rehearsal, New York
season and performances abroad. More overuse injuries occurred in Segment-2, an
international tour, attributed to raked stages. Lack of methods to quantify
performance other than injury may mask effects of touring on dancer's well-being.
Tracking complaints permits understanding of stressors to specific body regions
and healthcare utilisation; however, TLinj remain the most important injuries to
track because they impact other dancers and organisational costs.
PMID- 27869529
TI - Low fundamental movement skill proficiency is associated with high BMI and body
fatness in girls but not boys aged 6-11 years old.
AB - This study examined differences in children's body mass index (BMI) and body
fatness (BF%) as a function of gender and fundamental movement skill (FMS)
proficiency. Following ethics approval and parental consent, 248, 6-11 year-old
children (112 boys, 136 girls) underwent assessment of 7 FMS: sprint run, side
gallop, hop, kick, catch, throw and vertical jump. FMS tertiles ("high", "medium"
or "low" FMS) were created based on the summed components of the FMS. Skinfold
measures were used to calculate BF%. Physical activity (PA) was assessed using
pedometry and maturation predicted using anthropometry. Data were analysed using
a 2 (Gender) * 3 (FMS tertile) ways analysis of covariance (ANCOVA), controlling
for age, maturation and PA. Age (P = .001) and maturation (P = .006) were
associated with BMI. Girls classified as high FMS proficiency had significantly
lower BMI compared to girls with low and medium FMS proficiency. Age (P = .0001)
and maturation (P = .007) were associated with BF%. BF% was also higher for girls
with low FMS compared to those with medium and high FMS. BF% and BMI were not
different across FMS tertile in boys. Such findings suggest focusing on FMS may
be especially important for healthy weight, particularly in girls.
PMID- 27869530
TI - Composing alarms: considering the musical aspects of auditory alarm design.
AB - Short melodies are commonly linked to referents in jingles, ringtones, movie
themes, and even auditory displays (i.e., sounds used in human-computer
interactions). While melody associations can be quite effective, auditory alarms
in medical devices are generally poorly learned and highly confused. Here, we
draw on approaches and stimuli from both music cognition (melody recognition) and
human factors (alarm design) to analyze the patterns of confusions in a paired
associate alarm-learning task involving both a standardized melodic alarm set
(Experiment 1) and a set of novel melodies (Experiment 2). Although contour
played a role in confusions (consistent with previous research), we observed
several cases where melodies with similar contours were rarely confused -
melodies holding musically distinctive features. This exploratory work suggests
that salient features formed by an alarm's melodic structure (such as repeated
notes, distinct contours, and easily recognizable intervals) can increase the
likelihood of correct alarm identification. We conclude that the use of musical
principles and features may help future efforts to improve the design of auditory
alarms.
PMID- 27869528
TI - The Association Between Measures of Fitness and Metabolic Health in Treatment
Seeking Youth with Obesity.
AB - BACKGROUND: Both cardiorespiratory fitness (CRF) and measures of muscular fitness
are associated with metabolic syndrome in adults. However, limited information
exists about these relationships in youth with severe obesity who are at
increased risk of metabolic dysfunction. The purpose of this study was to examine
the relationship between fitness and metabolic health in treatment-seeking youth
with obesity. METHODS: Data for this analysis were collected at the time of
baseline visits at a stage 3 pediatric weight management center. Maximal
voluntary contractions were obtained by using isometric hand-grip dynamometry,
and CRF was obtained from a maximal treadmill test. Resting blood pressure and
fasting measures of blood lipids, glucose, and insulin were used to calculate a
continuous metabolic syndrome score (cMetS); homeostasis model assessment of
insulin resistance (HOMA-IR) was calculated from fasting insulin and glucose.
Relationships between measures of fitness and metabolic health were evaluated by
using partial correlations adjusted for age. RESULTS: Sixty-nine participants (21
boys, 48 girls) were included in this analysis. Of these, 46% (n = 32) met the
criteria for metabolic syndrome. No differences were found between boys and girls
for any variable analyzed. Muscular strength was positively associated with cMetS
(r = 0.35), though this association weakened after adjustment for body mass index
percentile. CRF was inversely associated with homeostasis model assessment of
insulin resistance (HOMA-IR) (r = -0.26) and fasting insulin (r = -0.27). Body
fat percentage was positively associated with insulin (r = 0.36). No significant
relationship was found between CRF and cMetS. CONCLUSION: Contrary to previous
studies, CRF was not associated with metabolic syndrome in this group. Muscular
strength, however, was associated with cMetS. Notably, CRF was associated with
elevated HOMA-IR, which may be seen as a precursor to metabolic syndrome. These
results suggest that CRF and muscular strength influence metabolic function
independently.
PMID- 27869531
TI - Associations Between Lifestyle Characteristics and the Presence of Nonalcoholic
Fatty Liver Disease: A Case-Control Study.
AB - BACKGROUND: Dietary and physical activity (PA) habits have been suggested as
important factors for nonalcoholic fatty liver disease (NAFLD). Published data
are mainly focused on the effect of either diet or exercise, whereas data on
other aspects like sleep remain sparse. The aim of this study was to explore
potential associations between dietary intake, PA, and sleeping habits, and the
presence of NAFLD. METHODS: One hundred patients with ultrasound-proven NAFLD and
55 healthy controls matched for age, sex, and body mass index were included.
Dietary habits were assessed through a semiquantitative validated food frequency
questionnaire. PA level was assessed with a validated questionnaire. Total night
sleep hours and duration of midday rest were also recorded. Optimal sleep
duration was defined as sleep hours >=7 and <=9 hr/day. RESULTS: Patients
compared to controls consumed less vegetables and nuts, more sweets, drank less
coffee and alcohol (all P < 0.05), and exhibited a lower level of PA (P = 0.006).
PA level [odds ratio (OR) per 100 metabolic equivalent of task-min/day = 0.74,
95% confidence interval (CI) 0.61-0.89, P = 0.002] was associated with lower
probability of having NAFLD, whereas sweets consumption (OR = 2.13, 95% CI 1.22
3.71, P = 0.008) was associated with increased probability, after adjusting for
several confounders, including body weight status. Optimal sleep duration was
marginally and inversely associated with NAFLD presence (OR = 0.38, 95% CI 0.14
1.01, P = 0.05). CONCLUSION: Higher PA level and optimal sleep duration are
associated with lower likelihood, whereas sweets consumption is associated with
higher likelihood of having NAFLD. These associations are independent of body
weight status and energy intake.
PMID- 27869533
TI - Commentary on Some Recent Theses Relevant to Combating Aging: December 2016.
PMID- 27869532
TI - Molecular Characterization of Methicillin-Resistant Staphylococcus aureus from
Outpatients in Northern Japan: Increasing Tendency of ST5/ST764 MRSA-IIa with
Arginine Catabolic Mobile Element.
AB - Arginine catabolic mobile element (ACME) is a genomic island of staphylococcus
and is considered to confer enhanced ability to survive and growth on host
bacterial cells. ACME has been typically identified in Panton-Valentine
Leukocidin (PVL)-positive ST8 methicillin-resistant Staphylococcus aureus (MRSA)
with SCCmec type IVa (USA300 clone), and it is also found in other lineages at
low frequency. Prevalence and molecular characteristics of PVL+and/or ACME+ MRSA
were investigated for 624 clinical isolates collected from outpatients in
northern Japan from 2013 to 2014. Both PVL genes and ACME type I were detected in
nine isolates (1.4%), which were ST8-MRSA-SCCmec IVa/spa type t008/agr-I; whereas
solely PVL genes were positive in two isolates, ST30-MRSA-SCCmec IV and ST59-MRSA
SCCmec V. ACME type II' (previously referred to as ACME DeltaII) was detected in
36 isolates (5.8%) with SCCmec II and V (32 and 4 isolates, respectively),
exhibiting an increased rate within SCCmec II-MRSA (7.1%) compared with our
previous studies (0.86-4.5%, 2008-2011). ACME II'-positive MRSA strains were
classified into ST5-SCCmec IIa/V or ST764-SCCmec IIa belonging to five different
spa types, with t002 being dominant. They harbored mostly enterotoxin gene
clusters (seg-sei-sem-sen-seo-seu) and some more enterotoxin genes (seb1, seb2,
sec3, sel, sep), showing resistance to more antimicrobials than ST8-MRSA-SCCmec
IVa. ACME-SCCmec composite island (CI) of the 36 ACME II'-positive MRSA was
classified into five types (ii)-(vi), among which type (ii) (orfX-PsiSCCDeltaJ1
SCCmec I-ACME II'-SCCmec II) was dominant and subdivided into the A3 variant and
the less common A2 variant. CI types (v) and (vi) were considered novel genetic
organizations having speG (acetyltransferase genes for polyamines) in inserted
SCC4610/SCC266-like genetic elements. The present study revealed increased
prevalence and genetic diversity of the ST5/ST764-MRSA-SCCmec II with ACME II' in
northern Japan.
PMID- 27869534
TI - Training to Improve Walking after Pediatric Spinal Cord Injury: A Systematic
Review of Parameters and Walking Outcomes.
AB - Walking or locomotor training is often initiated following pediatric spinal cord
injury (SCI). There is no synthesis of the literature on interventions targeting
walking for pediatric SCI, although this would assist future clinical trials and
interventions. To address this need, we completed a systematic review to
summarize the who, what, when, and how of walking interventions in children with
SCI. Participant characteristics, training parameters, and walking outcomes with
training in pediatric SCI were identified and compared with training parameters
and outcomes in adults with SCI. The PubMed, Medline, AMED, Embase, PsycInfo,
Cochrane Central Register of Controlled Trials, Cochrane Database of Systematic
Reviews, and CINAHL databases were searched for studies that included
participants aged 1-17 years with a SCI acquired post-birth, physical
interventions, and pre- and post-training walking measures. Two researchers
evaluated each study's risk of bias using a domain-based approach. Training
parameters and walking outcomes were extracted. Total training duration (duration
* frequency * number of weeks) was calculated. Thirteen pediatric studies (n = 43
children) were included; all but one were case series/reports. Risk of bias was
high in the pediatric studies. A 2012 adult review was updated (11 studies
added). As with adults, the training durations, frequencies, and modes used with
the children varied; however, overground walking practice was included in 10/13
pediatric studies. Improvements in walking capacity, speed, and distance were
comparable between children and adults. There was a trend for greater gains with
greater total training durations. There is a paucity of high-quality research
examining interventions targeting walking after pediatric SCI; however, intensive
training, including practice overground, results in notable improvements.
PMID- 27869535
TI - Serum Thyroid-Stimulating Hormone Levels and Frailty in the Elderly: The Progetto
Veneto Anziani Study.
AB - High or low thyroid-stimulating hormone (TSH) levels seem to be associated with
several negative outcomes in the elderly, but the literature about TSH and
frailty is still limited. In this article, we investigated whether TSH is
associated with prevalent and incident frailty in a cohort of older community
dwelling subjects. Among 3099 initially screened in the Progetto Veneto Anziani
Study, 2571 men and women aged >=65 years (for cross-sectional analyses) and 1732
(longitudinal, mean follow-up period of 4.4 years) were divided into sex-specific
quintiles according to baseline serum TSH concentrations within normal range (0.3
and 4.2 mUI/L). Frailty was defined as the presence of three among five Fried's
criteria. At baseline, taking those in the third quintile of serum TSH as
reference (Q3) and adjusting for potential confounders, participants in the
highest (Q5) quintile had an increased odds ratio (OR) of having frailty (OR =
1.55; 95% confidence interval [CI]: 1.03-2.33 for men; OR = 1.97; 95% CI: 1.59
2.45 for women). Men in Q1 had significant higher odds of having muscle weakness
and exhaustion, while those in Q5 had muscle weakness and slow gait speed. Women
in Q1 had significantly higher odds of having all the Fried's criteria (except
for exhaustion), while those in Q5 reported a significantly higher presence of
muscle weakness and slow gait speed. At follow-up, men in Q5 had an increased
risk of frailty (OR = 1.37; 95% CI: 1.02-1.91) similar to women in Q1 (OR = 1.47;
95% CI: 1.21-1.78). In conclusion, men with higher and women with lower serum TSH
levels are at increased risk of frailty.
PMID- 27869536
TI - Comparative analysis of nonvolatile and volatile metabolites in Lichtheimia
ramosa cultivated in different growth media.
AB - Lichtheimia ramosa is one of the predominant filamentous fungi in Korean
traditional nuruk. The nonvolatile and volatile metabolites of L. ramosa
cultivated in three growth media: complete medium (CM), potato dextrose broth
(PDB), and sabouraud dextrose broth (SDB), were investigated and compared. Among
nonvolatile metabolites, serine, lysine, and ornithine increased in CM and PDB
cultivated with L. ramosa during the exponential phase. In addition, glucose
level increased in CM whereas decreased in PDB and SDB. The major volatile
metabolites in the extract samples were acetic acid, ethanol, 3-methyl-2-buten-1
ol, 2-phenylethanol, ethylacetate, 2-furaldehyde, 5-(hydroxymethyl)-2
furaldehyde, 2,3-dihydro-3,5,-dihydroxy-6-methyl-4H-pyran-4-one, and alpha
humulene. In particular, the levels of volatile metabolites related to makgeolli
(e.g., acetic acid, ethanol, and ethyl acetate) were highest in extracts
cultivated in CM. On the other hand, the level of 2-phenylethanol was relatively
higher in PDB and SDB, possibly due to there being more phenylalanine present in
the biomass sample in media.
PMID- 27869539
TI - ?
PMID- 27869538
TI - Stigma receptivity over the lifetime of the hermaphroditic flower of Elsholtzia
rugulosa was negatively correlated with pollen viability.
AB - Dichogamy is generally thought to be a mechanism that prevents self-fertilization
in flowering plants. This study aims to investigate the relationships between
floral age and stigma receptivity, style length and pollen viability, and define
how floral characters avoid self-pollination in a gynodioecious Chinese plant,
Elsholtzia rugulosa. We assessed the relationships between flower age and style
length, stigma receptivity, and pollen viability in E. rugulosa. This species
produces 2 forms with plants bearing either hermaphrodite flowers (H) or female
flowers (F). Corolla length in F flowers was shorter than the corolla length of H
flowers and produced no pollen. H flowers were protandrous, pollen release of H
flowers occurred before stigma receptivity. Stigma receptivity was significantly
positively correlated with style length in both F flowers and H flowers. Pollen
viability in H flowers declined significantly with floral age. Our results
suggest that self-pollination in H flowers is likely reduced by dichogamy because
stigma receptivity and pollen viability were effectively separated in time.
However, because H inflorescences typically have multiple flowers open at the
same time means that geitonogamous selfing is not avoided.
PMID- 27869540
TI - The Brief Cognitive Impairment Scale (BCIS): preliminary investigation of a
severe-stage dementia test emphasizing cognitive processing and interpersonal
tolerance.
AB - We describe the development and validation of The Brief Cognitive Impairment
Scale (BCIS), a cognitive screening instrument designed for persons with severe
stage dementia. Psychometric analyses were performed on neuropsychological data
from long-term care residents (N = 247) who completed a brief battery of tests,
including the BCIS. A principle component analysis yielded three factors that
provide insight into how persons with severe dementia cognitively process
information and may tolerate specific aspects of social stimulation, such as
during personal care. A BCIS cut score can be used to identify severe dementia
with a sensitivity of .82, a specificity of .84, and an area under the curve of
.89. It may be used by clinicians or caregivers when advanced dementia is
suspected, as an alternative to measures with suspected floor effects, when
residents cannot tolerate more demanding assessment tools, and as part of non
pharmacologic treatment plans for behavior disturbances associated with dementia.
PMID- 27869541
TI - Callosal disconnection neglect: reassessment after 34 years.
AB - In 1984, Watson and Heilman reported a patient with a partial callosal
disconnection following an infarction of the anterior portion of her corpus
callosum. This woman's performance on line-bisection tasks revealed "callosal
disconnection neglect." The objective of this research is to reexamine this woman
34 years after her callosal disconnection to gain information about her recovery.
The patient completed visual line-bisection tasks in which horizontal lines were
placed in the right, left, and center hemispaces and she performed these
bisections using her right or left hand. Unlike her performance 34 years ago in
which each hand deviated to its ipsilateral hemispace, with greater deviation
when lines were placed in the contralateral rather than ipsilateral hemispace,
currently, there were no significant main effects for hand or spatial position.
Thus, there were notable differences between this woman's most recent performance
on the line bisection and her previous performance 34 years ago. Unlike her prior
testing 34 years back, this woman's most recent performance resembled the
performance of a previous tested healthy control group for whom differences in
hand and hemispace were not found. It remains unclear whether her callosal
disconnection neglect improved because each hemisphere learned to allocate
ipsilateral spatial attention or because she learned a compensatory strategy in
which she turned her body so that the lines placed in her right or left hemispace
were now toward her midline.
PMID- 27869537
TI - RNA binding to APOBEC deaminases; Not simply a substrate for C to U editing.
AB - Apolipoprotein B mRNA Editing Catalytic Polypeptide-like 1 or APOBEC1 was
discovered in 1993 as the zinc-dependent cytidine deaminase responsible for the
production of an in frame stop codon in apoB mRNA through modification of
cytidine at nucleotide position 6666 to uridine. At the time of this discovery
there was much speculation concerning the mechanism of base modification RNA
editing which has been rekindled by the discovery of multiple C to U RNA editing
events in the 3' UTRs of mRNAs and the finding that other members of the APOBEC
family while able to bind RNA, have the biological function of being DNA mutating
enzymes. Current research is addressing the mechanism for these nucleotide
modification events that appear not to adhere to the mooring sequence-dependent
model for APOBEC1 involving the assembly of a multi protein containing editosome.
This review will summarize our current understanding of the structure and
function of APOBEC proteins and examine how RNA binding to them may be a
regulatory mechanism.
PMID- 27869542
TI - Treatment challenge of a cyanobacterium Romeria elegans bloom in a South
Australian wastewater treatment plant - a case study.
AB - A bloom of the non-toxic cyanobacterium Romeria elegans in waste stabilisation
ponds (WSPs) within Angaston waste water treatment plant (WWTP) has posed an
unprecedented treatment challenge for the local water utility. The water from the
WSPs is chlorinated for safety prior to reuse on nearby farmland. Cyanobacteria
concentrations of approximately 1.2 * 106 cells mL-1 increased the chlorine
demand dramatically. Operators continuously increased the disinfectant dose up to
50 mg L-1 to achieve operational guideline values for combined chlorine (0.5-1.0
mg L-1) prior to reuse. Despite this, attempts to achieve targeted combined
chlorine residual (CCR) failed. In this study, samples from the waste
stabilisation pond at Angaston WWTP were chlorinated over a range of doses.
Combined chlorine, disinfection by-product formation, cyanobacteria cell
concentration, Escherichia coli inactivation, as well as dissolved organic carbon
and free ammonia were monitored. This study shows that, in the occurrence of
cyanobacterial blooms, CCR does not directly suggest pathogen removal efficiency
and is therefore not an ideal parameter to evaluate the effectiveness of
disinfection process in WWTP. Instead, E. coli removal is a more direct and
practical parameter for the determination of the efficiency of the disinfection
process.
PMID- 27869543
TI - Direct Bonding of Chitosan Biomaterials to Tissues Using Transglutaminase for
Surgical Repair or Device Implantation.
AB - Natural biomaterials, such as chitosan and collagen, are useful for biomedical
applications because they are biocompatible, mechanically robust, and
biodegradable, but it is difficult to rapidly and tightly bond them to living
tissues. In this study, we demonstrate that the microbial transglutaminase (mTG),
can be used to rapidly (<5 min) bond chitosan and collagen biomaterials to the
surfaces of hepatic, cardiac, and dermal tissues, as well as to functionalized
polydimethylsiloxane (PDMS) materials that are used in medical products. The mTG
bonded chitosan patches effectively sealed intestinal perforations, and a newly
developed two-component mTG-bonded chitosan spray effectively repaired ruptures
in a breathing lung when tested ex vivo. The mechanical strength of mTG-catalyzed
chitosan adhesive bonds were comparable to those generated by commonly used
surgical glues. These results suggest that mTG preparations may be broadly
employed to bond various types of organic materials, including polysaccharides,
proteins, and functionalized inorganic polymers to living tissues, which may open
new avenues for biomedical engineering, medical device integration, and tissue
repair.
PMID- 27869544
TI - Cloning and expression analysis of a novel tissue-specific dopa decarboxylase
mRNA splicing variant in Bombyx mori.
AB - Dopa decarboxylase (DDC) protein is involved in the synthesis of dopamine and
serotonin. Here, we show that in the silkworm Bombyx mori, a novel DDC splicing
variant is selectively expressed in the brain and subesophageal ganglia. In
Drosophila melanogaster, a neuron-specific isoform of DDC is known to be
alternatively spliced in a similar manner.
PMID- 27869545
TI - Noninvasive Monitoring of Three-Dimensional Chondrogenic Constructs Using
Molecular Beacon Nanosensors.
AB - Chondrogenic differentiation of human mesenchymal stem cells (MSCs) in three
dimensional hydrogel holds promise as a method for repairing injured articular
cartilage. Given MSC plasticity (its potential to mature into alternative
lineages), nondestructive monitoring is critical for the optimization of
chondrogenic differentiation conditions and the evaluation of the final product.
However, conventional validation/assessments of the differentiation process
(i.e., quantitative reverse transcription polymerase chain reaction [qRT-PCR] and
histology) are end-point assays requiring disruption of the sample. This report
introduces molecular beacon (MB)-based nanosensors to achieve noninvasive
monitoring of chondrogenic differentiation. These nanosensors consist of
biodegradable poly(lactic-co-glycolic acid) nanoparticles (PLGA NPs)
encapsulating MBs to detect Type II Collagen (Col2) and glyceraldehyde-3
phosphate dehydrogenase (GAPDH) mRNAs that serve as lineage-specific and
housekeeping biomarkers, respectively. The sustainable release of MBs from MB-NPs
allows longitudinal monitoring of MSCs undergoing chondrogenic differentiation
over a period of 28 days. Dual-colored MB loading ensures accurate assessment of
Col2 mRNA expression level, where potential heterogeneity in nanosensor uptake
and retention by MSCs are taken into account. When normalized nanosensor signal
was compared against qRT-PCR result, a tight correlation was observed (R2 =
0.9301). Finally, nanosensor usage was compatible with MSC potency with minimal
influence on chondrogenic, adipogenic, and osteogenic differentiation.
PMID- 27869546
TI - Models for nearly every occasion: Part I - One box models.
AB - The standard "well mixed room," "one box" model cannot be used to predict
occupational exposures whenever the scenario involves the use of local controls.
New "constant emission" one box models are proposed that permit either local
exhaust or local exhaust with filtered return, coupled with general room
ventilation or the recirculation of a portion of the general room exhaust. New
"two box" models are presented in Part II of this series. Both steady state and
transient models were developed. The steady state equation for each model,
including the standard one box steady state model, is augmented with an
additional factor reflecting the fraction of time the substance was generated
during each task. This addition allows the easy calculation of the average
exposure for cyclic and irregular emission patterns, provided the starting and
ending concentrations are zero or near zero, or the cumulative time across all
tasks is long (e.g., several tasks to a full shift). The new models introduce
additional variables, such as the efficiency of the local exhaust to immediately
capture freshly generated contaminant and the filtration efficiency whenever
filtered exhaust is returned to the workspace. Many of the model variables are
knowable (e.g., room volume and ventilation rate). A structured procedure for
calibrating a model to a work scenario is introduced that can be applied to both
continuous and cyclic processes. The "calibration" procedure generates estimates
of the generation rate and all of remaining unknown model variables.
PMID- 27869547
TI - Dynamic Risk Stratification for Predicting Recurrence in Patients with
Differentiated Thyroid Cancer Treated Without Radioactive Iodine Remnant Ablation
Therapy.
AB - BACKGROUND: Increased incidence of small differentiated thyroid cancer (DTC) has
emphasized the need for risk stratification and individualized disease management
for these low risk DTCs. The aim of this study was to validate a new dynamic risk
stratification (DRS) system for the prediction of structural recurrent/persistent
disease in patients with DTC treated without radioactive iodine (RAI) remnant
ablation therapy. METHODS: This historical cohort study included 357 patients
with DTC treated with lobectomy or total thyroidectomy without RAI therapy. We
stratified patient response to initial treatment as excellent, indeterminate,
biochemical incomplete, and structural incomplete according to the DRS system.
RESULTS: During a median follow-up of 8.6 years, 3.6% patients had structural
recurrent DTC. The response was excellent in 71.7% patients, indeterminate in
18.5%, biochemical incomplete in 8.4%, and structural incomplete in 1.4%. There
were significant differences in DFS among the DRS groups (p < 0.001). The hazard
ratio (HR) of recurrent/persistent disease was significantly higher in the
biochemical incomplete group (HR = 20.8, p < 0.001) and structural incomplete
group (HR = 243.3, p < 0.001) compared with the excellent group. However, the
Tumor Node Metastasis staging system and the American Thyroid Association initial
risk classification did not effectively predict recurrence of DTC. CONCLUSIONS:
The new DRS system was effective for predicting risk of recurrent/persistent
disease in patients with DTC who underwent lobectomy or total thyroidectomy
without RAI remnant ablation.
PMID- 27869548
TI - Evaluation of a sprinkler cooling system on inhalable dust and ammonia
concentrations in broiler chicken production.
AB - Workers are exposed to dust in broiler chicken production during daily work
activities. Poultry dust may contain inflammatory agents (e.g., endotoxin) and
inhalation exposure has been associated with pulmonary symptoms. Current practice
to reduce worker exposure to poultry dust is the use of respiratory protection
(e.g., elastomeric face-piece respirator with a P100 and ammonia chemical
cartridge). Limited research has been conducted to evaluate engineering controls
to reduce dust and ammonia concentrations in broiler chicken production;
therefore, the purpose of this research was to evaluate the effectiveness of a
water sprinkling system to reduce inhalable dust and ammonia concentrations in a
broiler chicken house. Inhalable dust and ammonia concentrations were measured
daily for the production cycle of a flock of broiler chickens (63 days).
Inhalable dust was measured gravimetrically using an inhalable sampler and
ammonia was measured by a direct reading sensor. Sampling was performed on a
stationary mannequin inside two broiler chicken houses. One house used a
sprinkler cooling system to deliver a water mist throughout the house and the
second house was an untreated control. The sprinkler system activated after day 5
of chicken placement, releasing water periodically from 6 am to 10 pm. The amount
of sprinkling increased at day 10 and day 15 as recommended by the manufacturer.
Geometric mean (GM) inhalable dust concentrations measured in the treatment house
(5.5 mg/m3) were not different (p = 0.33) than those found in the control house
(6.0 mg/m3). The GM ammonia concentrations were also not different (p = 0.34)
across the treatment and control house [10.6 ppm (GSD: 1.80); GM 9.51 ppm (GSD:
1.77)], respectively. The use of cost effective engineering, administrative and
personal exposure controls are needed in the poultry industry to effectively
reduce worker's exposure to hazardous concentrations of dust and ammonia.
PMID- 27869549
TI - Carotid artery stenting with double cerebral embolic protection in asymptomatic
patients - a diffusion-weighted MRI controlled study.
AB - BACKGROUND: The aim of this study was to compare the simultaneous double
protection method (proximal balloon plus distal filter) with distal-filter
protection or proximal-balloon protection alone in asymptomatic patients during
carotid artery stenting. PATIENTS AND METHODS: 119 consecutive patients were
investigated for carotid artery stentings in the extracranial internal carotid
artery with the use of distal filters (n = 41, 34.4 %), proximal balloon (MoMa)
protection (n = 40, 33.6 %) or double protection (n = 38, 31.9 %). Magnetic
resonance imaging (MRI) was performed on all patients before the procedure, and
control diffusion-weighted MRI (DW-MRI) was obtained within 24-48 h after the
procedure. Procedural data, complications, success rate, major adverse
cardiovascular events, and MRI findings were collected. RESULTS: New cerebral
high-intensity (HI) lesions were observed in 47 (39.4 %) patients. HI lesions
were observed in 22 (53.6 %), 15 (37.5 %), and 10 (26.3 %) of the patients with
distal filters, proximal protection, and double protection, respectively (p =
0.004). The average number of HI lesions on DW-MRI was 1.80 in the distal-filter
group, 0.90 in the proximal-balloon group, and 0.55 in the double-protection
group (p < 0.001). Procedure and fluoroscopy times were slightly longer in the
double-protection group compared to the distal- or proximal-protection groups (p
= 0.001). CONCLUSIONS: The double (proximal plus distal) cerebral embolic
protection technique is safe and effective for minimizing the risk of cerebral
embolization, even in patients with asymptomatic carotid artery stenosis, despite
slightly longer procedure and fluoroscopy times.?.
PMID- 27869550
TI - Models for nearly every occasion: Part II - Two box models.
AB - The "well-mixed room" two box models are often used to predict near and far field
concentrations for a specific task, but are limited to scenarios where local
exhaust controls are not used. In Part II of this series, new two box models are
presented that permit local controls that either exhaust to the outside or return
filtered air to the workspace. Additional models are presented that also allow
for the recirculation of a filtered portion of the general ventilation flowrate.
During the concentration increase phase the emission rate is assumed to be
relatively constant. Both steady state and transient equations were developed for
each scenario. An additional factor, representing the fraction of time that the
substance is being emitted during a task or set of tasks, was added to the
standard and new steady state models. This simple modification permits the easy
calculation of the average near and far field concentrations for cyclic and
irregular emission patterns, provided the starting and ending concentrations are
identical (e.g., zero or near zero) or the cumulative task time is long (e.g.,
two or more task cycles to a full shift, depending upon the number of room air
changes per task). Additional variables are introduced with the new models, such
as the efficiency of a local control to immediately capture freshly generated
contaminant and the filtration efficiency whenever filtered exhaust is returned
to the workspace. Many of the model variables are knowable (e.g., room volume and
ventilation rate). Others can be approximated using manufacturer specifications
or published values (e.g., filtration efficiency). A structured procedure for
calibrating a model to a work scenario is presented that can be applied to both
continuous and cyclic processes. The "calibration" procedure generates estimates
of all of the unknown model variables, including the generation rate and the
effective near field flowrate (which takes into account potentially complex near
field air currents as well as any thermal plumes created by a hot process).
PMID- 27869551
TI - Critical limb ischaemia and the response to bone marrow-derived cell therapy
according to tcPO2 measurement.
AB - BACKGROUND: Cell therapy is an emerging potential biotherapy for critical limb
ischaemia (CLI) patients who are not eligible for revascularization. However, the
findings on this technique's efficacy are inconsistent. Trials investigating this
topic focused on the more severe CLI patients who were often beyond any therapy.
Therefore, identifying those who may truly benefit from cell transplantation is
now warranted. To this end, we studied the prognostic value of tcPO2 for major
amputation after 1 year in patients treated with bone marrow-derived cells.
PATIENTS AND METHODS: CLI patients ineligible for revascularization were included
in a cell-therapy pilot study. On inclusion, patients underwent tcPO2 measurement
in supine and sitting positions. For a tcPO2 < 10 mmHg in the supine position,
the vascular reserve was defined by tcPO2 > 30 mmHg in the sitting position.
Patients were administered intramuscular injections of mononuclear cells derived
from aspirated bone marrow. RESULTS: In total, 25 patients (a lower limbs) were
included for analysis. At inclusion, 11 lower limbs had tcPO2 at rest > 10 mmHg,
and 16 lower limbs had a tcPO2 < 10 mmHg. The success probability for cell
therapy was 0.79 (95 % CI 0.38-0.94) and 0.44 (95 % CI 0.18-0.67), respectively
(p = 0.1). Of the 16 limbs with tcPO2 < 10 mmHg, the success rate was
considerably higher in patients demonstrating a tcPO2 increase in a sitting
position of over 30 mmHg (6/8, success probability 0.71, 95 % CI 0.26-0.92)
compared to those without (2/8, success probability 0.15, 95 % CI 0.01-0.48, p =
0.03). CONCLUSIONS: For patients with chronic CLI for whom cellular therapy is a
therapeutic option, a tcPO2 < 10 mmHg at rest, without vascular reserve (i. e. <
30 mmHg when sitting), is a prognostic indicator for poor outcome.?.
PMID- 27869552
TI - Validation of a method for sedatives and beta-blockers determination in swine,
bovine and equine kidney using liquid chromatography coupled with tandem mass
spectrometry.
AB - A method for the determination of five sedatives and 14 beta-blocker residues in
swine, bovine and equine kidney was validated. Samples were extracted with
acetonitrile and purified using dispersive solid phase extraction (d-SPE) with
Celite 545 with subsequent analysis by LC-MS/MS. A simplified protocol was
applied to validate the method scope extension to include new matrices.
Parameters such as recovery, trueness, linearity (r2), relative standard
deviation (RSD), decision limit (CCalpha) and method capability (CCbeta) were
measured for the bovine and equine kidney matrices. The method was applied to the
analysis of more than 300 real samples and is currently included in the Brazilian
National Residue Control Plan.
PMID- 27869553
TI - Radiation-Induced Chemical Reactions in Hydrogel of Hydroxypropyl Cellulose
(HPC): A Pulse Radiolysis Study.
AB - We performed studies on pulse radiolysis of highly transparent and shape-stable
hydrogels of hydroxypropyl cellulose (HPC) that were prepared using a radiation
crosslinking technique. Several fundamental aspects of radiation-induced chemical
reactions in the hydrogels were investigated. With radiation doses less than 1
kGy, degradation of the HPC matrix was not observed. The rate constants of the
HPC composing the matrix, with two water decomposition radicals [hydroxyl radical
(*OH) and hydrated electron ([Formula: see text])] in the gels, were determined
to be 4.5 * 109 and 1.8 * 107 M-1 s-1, respectively. Direct ionization of HPC in
the matrix slightly increased the initial yield of [Formula: see text], but the
additionally produced amount of [Formula: see text] disappeared immediately
within 200 ps, indicating fast recombination of [Formula: see text] with hole
radicals on HPC or on surrounding hydration water molecules. Reactions of
[Formula: see text] with nitrous oxide (N2O) and nitromethane (CH3NO2) were also
examined. Decay of [Formula: see text] due to scavenging by N2O and CH3NO2 were
both slower in hydrogels than in aqueous solutions, showing slower diffusions of
the reactants in the gel matrix. The degree of decrease in the decay rate was
more effective for N2O than for CH3NO2, revealing lower solubility of N2O in gel
than in water. It is known that in viscous solvents, such as ethylene glycol,
CH3NO2 exhibits a transient effect, which is a fast reaction over the contact
distance of reactants and occurs without diffusions of reactants. However, such
an effect was not observed in the hydrogel used in the current study. In
addition, the initial yield of [Formula: see text], which is affected by the
amount of the scavenged precursor of [Formula: see text], in hydrogel containing
N2O was slightly higher than that in water containing N2O, and the same tendency
was found for CH3NO2.
PMID- 27869554
TI - Genome-Wide Deletion Screening with the Array CGH Method in Mouse Offspring
Derived from Irradiated Spermatogonia Indicates that Mutagenic Responses are
Highly Variable among Genes.
AB - Until the end of the 20th century, mouse germ cell data on induced mutation
rates, which were collected using classical genetic methods at preselected
specific loci, provided the principal basis for estimates of genetic risks from
radiation in humans. The work reported on here is an extension of earlier efforts
in this area using molecular methods. It focuses on validating the use of array
comparative genomic hybridization (array CGH) methods for identifying radiation
induced copy number variants (CNVs) and specifically for DNA deletions. The
emphasis on deletions stems from the view that it constitutes the predominant
type of radiation-induced genetic damage, which is relevant for estimating
genetic risks in humans. In the current study, deletion mutations were screened
in the genomes of F1 mice born to unirradiated or 4 Gy irradiated sires at the
spermatogonia stage (100 offspring each). The array CGH analysis was performed
using a "2M array" with over 2 million probes with a mean interprobe distance of
approximately 1 kb. The results provide evidence of five molecularly-confirmed
paternally-derived deletions in the irradiated group (5/100) and one in the
controls (1/100). These data support a calculation, which estimates that the
mutation rate is 1 * 10-2/Gy per genome for induced deletions; this is much lower
than would be expected if one assumes that the specific locus rate of 1 * 10
5/locus per Gy (at 34 loci) is applicable to other genes in the genome. The low
observed rate of induced deletions suggests that the effective number of
genes/genomic regions at which recoverable deletions could be induced would be
only approximately 1,000. This estimate is far lower than expected from the size
of the mouse genome (>20,000 genes). Such a discrepancy between observation and
expectation can occur if the genome contains numerous genes that are far less
sensitive to radiation-induced deletions, if many deletion-bearing offspring are
not viable or if the current method is substandard for detecting small deletions.
PMID- 27869555
TI - The Influence of the CTIP Polymorphism, Q418P, on Homologous Recombination and
Predisposition to Radiation-Induced Tumorigenesis (mainly rAML) in Mice.
AB - Exposure to ionizing radiation increases the incidence of acute myeloid leukemia
(AML), which has been diagnosed in Japanese atomic bombing survivors, as well as
patients treated with radiotherapy. The genetic basis for susceptibility to
radiation-induced AML is not well characterized. We previously identified a
candidate murine gene for susceptibility to radiation-induced AML (rAML): C
terminal binding protein (CTBP)-interacting protein (CTIP)/retinoblastoma binding
protein 8 (RBBP8). This gene is essential for embryonic development, double
strand break (DSB) resection in homologous recombination (HR) and tumor
suppression. In the 129S2/SvHsd mouse strain, a nonsynonymous single nucleotide
polymorphism (nsSNP) in Ctip, Q418P, has been identified. We investigated the
role of Q418P in radiation-induced carcinogenesis and its effect on CTIP function
in HR. After whole-body exposure to 3 Gy of X rays, 11 out of 113 (9.7%)
129S2/SvHsd mice developed rAML. Furthermore, 129S2/SvHsd mouse embryonic
fibroblasts (MEFs) showed lower levels of recruitment of HR factors, Rad51 and
replication protein A (RPA) to radiation-induced foci, compared to CBA/H and
C57BL/6 MEFs, isolated from rAML-sensitive and resistant strains, respectively.
Mitomycin C and alpha particles induced lower levels of sister chromatid
exchanges in 129S2/SvHsd cells compared to CBA/H and C57BL/6. Our data
demonstrate that Q418P nsSNP influences the efficiency of CTIP function in HR
repair of DNA DSBs in vitro and in vivo, and appears to affect susceptibility to
rAML.
PMID- 27869557
TI - Extreme Positions in Sport Science and the Importance of Context: It Depends?
PMID- 27869556
TI - Evaluation of On- and Off-Line Bioluminescence Tomography System for Focal
Irradiation Guidance.
AB - In response to the limitations of computed tomography (CT) and cone-beam CT
(CBCT) in irradiation guidance, especially for soft-tissue targets without the
use of contrast agents, our group developed a solution that implemented
bioluminescence tomography (BLT) as the image-guidance modality for preclinical
radiation research. However, adding such a system to existing small animal
irradiators is no small task. A potential solution is to utilize an off-line BLT
system in close proximity to the irradiator, with stable and effective animal
transport between the two systems. In this study, we investigated the
localization accuracy of an off-line BLT system when used for the small animal
radiation research platform (SARRP) and compared the results with those of an on
line system. The CBCT was equipped on both the off-line BLT system and the SARRP,
with a distance of 5 m between them. To evaluate the setup error during animal
transport between the two systems, the mice underwent CBCT imaging on the SARRP
and were then transported to the off-line system for a second CBCT imaging
session. The normalized intensity difference of the two images and the
corresponding histogram and correlation were computed to evaluate if the
transport process perturbed animal positioning. Strong correlation (correlation
coefficients >0.95) between the SARRP and the off-line mouse CBCT was observed.
The offset of the implanted light source center can be maintained within 0.2 mm
during transport. To compare the target localization accuracy using the on-line
SARRP BLT and the off-line system, a self-illuminated bioluminescent source was
implanted in the abdomen of anesthetized mice. In addition to the application for
dose calculation, CBCT imaging was also employed to generate the mesh grid of the
imaged mouse for BLT reconstruction. Two scenarios were devised and compared,
which involved localization of the luminescence source based on either: 1. on
line SARRP bioluminescence image and CBCT; or 2. off-line bioluminescence image
and SARRP CBCT. The first scenario is assumed to have the least setup error,
because no animal transport was involved. The second scenario examines if an off
line BLT system, with the mesh generated from the SARRP CBCT, can be used to
guide SARRP irradiation when there is minimal target contrast in CBCT. Stability
during animal transport between the two systems was maintained. The center of
mass (CoM) of the light source reconstructed by the off-line BLT had an offset of
1.0 +/- 0.4 mm from the true CoM derived from the SARRP CBCT. These results are
comparable to the offset of 1.0 +/- 0.2 mm using on-line BLT. With CBCT
information provided by the SARRP and effective animal immobilization during
transport, these findings support the utilization of an off-line BLT-guided
system, in close proximity to the SARRP, for accurate soft-tissue target
localization. In addition, a dedicated standalone BLT system for our partner site
at the University of Pennsylvania was introduced in this study.
PMID- 27869559
TI - Two-Way Regularized Fuzzy Clustering of Multiple Correspondence Analysis.
AB - Multiple correspondence analysis (MCA) is a useful tool for investigating the
interrelationships among dummy-coded categorical variables. MCA has been combined
with clustering methods to examine whether there exist heterogeneous subclusters
of a population, which exhibit cluster-level heterogeneity. These combined
approaches aim to classify either observations only (one-way clustering of MCA)
or both observations and variable categories (two-way clustering of MCA). The
latter approach is favored because its solutions are easier to interpret by
providing explicitly which subgroup of observations is associated with which
subset of variable categories. Nonetheless, the two-way approach has been built
on hard classification that assumes observations and/or variable categories to
belong to only one cluster. To relax this assumption, we propose two-way fuzzy
clustering of MCA. Specifically, we combine MCA with fuzzy k-means simultaneously
to classify a subgroup of observations and a subset of variable categories into a
common cluster, while allowing both observations and variable categories to
belong partially to multiple clusters. Importantly, we adopt regularized fuzzy k
means, thereby enabling us to decide the degree of fuzziness in cluster
memberships automatically. We evaluate the performance of the proposed approach
through the analysis of simulated and real data, in comparison with existing two
way clustering approaches.
PMID- 27869560
TI - Syncope, seizure or both?
AB - Ictal asystole (IA) is a rare phenomenon in patients with seizures with an
incidence of 0.27-0.4% and has been proposed as a possible mechanism of sudden
unexpected death in epilepsy patients (SUDEP). We present a case of a 53-year-old
woman who initially presented with episodes of expressive aphasia and was treated
with antiepileptic drugs (AEDs). While on therapy she experienced episodes of
syncope. During her hospitalization for tapering of AEDs and 24-hour EEG
monitoring, the patient developed a seizure followed by sinus bradycardia and an
18-second sinus pause, resulting in loss of consciousness and slowing of cerebral
activity. Ten seconds after the return of cardiac activity, the EEG showed return
of cerebral activity. A dual chamber pacemaker was implanted.
PMID- 27869558
TI - Polynitroxylated Pegylated Hemoglobin-A Novel, Small Volume Therapeutic for
Traumatic Brain Injury Resuscitation: Comparison to Whole Blood and Dose Response
Evaluation.
AB - Resuscitation with polynitroxylated pegylated hemoglobin (PNPH), a pegylated
bovine hemoglobin decorated with nitroxides, eliminated the need for fluid
administration, reduced intracranial pressure (ICP) and brain edema, and produced
neuroprotection in vitro and in vivo versus Lactated Ringer's solution (LR) in
experimental traumatic brain injury (TBI) plus hemorrhagic shock (HS). We
hypothesized that resuscitation with PNPH would improve acute physiology versus
whole blood after TBI+HS and would be safe and effective across a wide dosage
range. Anesthetized mice underwent controlled cortical impact and severe HS to
mean arterial pressure (MAP) of 25-27 mm Hg for 35 min, then were resuscitated
with PNPH, autologous whole blood, or LR. Markers of acute physiology, including
mean arterial blood pressure (MAP), heart rate (HR), blood gases/chemistries, and
brain oxygenation (PbtO2), were monitored for 90 min on room air followed by 15
min on 100% oxygen. In a second experiment, the protocol was repeated, except
mice were resuscitated with PNPH with doses between 2 and 100 mL/kg. ICP and 24 h
%-brain water were evaluated. PNPH-resuscitated mice had higher MAP and lower HR
post-resuscitation versus blood or LR (p < 0.01). PNPH-resuscitated mice, versus
those resuscitated with blood or LR, also had higher pH and lower serum potassium
(p < 0.05). Blood-resuscitated mice, however, had higher PbtO2 versus those
resuscitated with LR and PNPH, although PNPH had higher PbtO2 versus LR (p <
0.05). PNPH was well tolerated across the dosing range and dramatically reduced
fluid requirements in all doses-even 2 or 5 mL/kg (p < 0.001). ICP was
significantly lower in PNPH-treated mice for most doses tested versus in LR
treated mice, although %-brain water did not differ between groups. Resuscitation
with PNPH, versus resuscitation with LR or blood, improved MAP, HR, and ICP,
reduced acidosis and hyperkalemia, and was well tolerated and effective across a
wide dosing range, supporting ongoing pre-clinical development of PNPH for TBI
resuscitation.
PMID- 27869562
TI - Wanting to Be Seen: Young People's Experiences of Performative Making Out.
AB - People engage in sexual behavior for many reasons, some of which require an
audience (e.g., arousing onlookers, making someone jealous). In this study, we
investigated the prevalence, motivations, and outcomes of young people's
experiences with performative making out-making out with someone and wanting
others to see. Of the 155 female and 194 male college students who completed the
online questionnaire, 32% of the women and 37% of the men reported having done
this, often before entering college. Significantly more women than men reported
same-sex performative experiences. We used thematic analysis to identify themes
in the qualitative data. Participants' motivations included enhancing their
image, causing jealousy or envy, demonstrating a relationship, sexually arousing
men, and participating in fun and games. Men reported that their reputations were
enhanced more often than damaged; women reported the opposite pattern. These
results provide insights into the functions of sexual behavior as a means of
communication and highlight gender differences consistent with problematic
cultural belief systems such as "slut shaming," victim blaming, and sexual double
standards.
PMID- 27869561
TI - Successful drug-coated balloon angioplasty and single anti-platelet therapy to
treat an ischaemic stroke patient with haemorrhage and acute coronary syndrome.
AB - A 55-year-old male presented with two challenging problems, i.e. acute coronary
syndrome (ACS) and a major bleeding episode. He first presented with ischaemic
stroke and was treated with thrombolysis. However this was complicated by
haemorrhagic transformation. He subsequently developed ACS with urgent coronary
angiography demonstrating a critical stenosis in the proximal left anterior
descending artery. Percutaneous coronary intervention (PCI) was deemed necessary
but we were mindful of causing bleeding complications from the use of anti
thrombotic therapy. Despite the complexities, we used a novel approach in terms
of PCI strategy and anti-platelet regimen (drug-coated balloon angioplasty and a
single anti-platelet therapy) and achieved a successful outcome.
PMID- 27869564
TI - Willingness to Engage in Consensual Nonmonogamy Among Emerging Adults: A
Structural Equation Analysis of Sexual Identity, Casual Sex Attitudes, and
Gender.
AB - Research on consensual nonmonogamy (CNM) has increased over the past decade.
However, willingness to engage in CNM is an understudied phenomenon within this
body of literature. Little research has examined the correlates of this aspect of
CNM or focused on individuals in the developmental period of emerging adulthood.
This study used multigroup structural equation modeling (SEM) to test a
conceptual model of emerging adults' (ages 18 to 29; N = 890) willingness to
engage in CNM. Results indicated that emerging adult
experimentation/possibilities, sexual identity exploration, and permissive
attitudes toward casual sex were all related to willingness to engage in CNM.
Results also showed that the pathway from emerging adult
experimentation/possibilities to willingness to engage in CNM was differentially
mediated across gender. Specifically, for women there was an indirect (and
positive) pathway from experimentation/possibilities to willingness to engage in
CNM through sexual identity exploration. For men there was an indirect (and
positive) pathway from experimentation/possibilities to willingness to engage in
CNM through permissive attitudes toward casual sex. Implications for future
studies on CNM among emerging adults are discussed.
PMID- 27869563
TI - Subjective Report of Side Effects of Prescribed and Nonprescribed Psychostimulant
Use in Young Adults.
AB - BACKGROUND: Side effects of prescribed and nonprescribed psychostimulant use are
understudied. OBJECTIVES: The study examined side effects of prescribed and
nonprescribed psychostimulant use in a college sample with attention to possible
gender differences. METHODS: 2716 undergraduates (1448 male) between the ages of
17 and 57 years (M = 19.43 years, SD = 1.7 years) completed an online survey that
included questions about the subjective side effects of prescribed and
nonprescribed psychostimulant use. RESULTS: Results suggested that prescribed
users more frequently reported side effects, compared to nonprescribed users. For
prescribed users, females more frequently reported appetite, somatic, and anxiety
related side effects compared to males. For nonprescribed users, while females
reported more somatic and anxiety-related side effects, males more frequently
reported loss of sex drive and sweating as side effects. Conclusions/Importance:
These findings suggest prescribed users of psychostimulants more frequently
report side effects with prominent gender differences in line with gender roles.
PMID- 27869566
TI - Gastrointestinal comorbidities which complicate the treatment of anorexia
nervosa.
AB - Patients with anorexia nervosa often voice a multitude of symptoms in regards to
their gastrointestinal tract. These complaints can complicate the treatment of
their eating disorder as they distract attention from the important goal of
weight restoration. Moreover, the restricting of certain food groups also makes
the task of weight restoration substantially more difficult, or may result in
binging. Therefore a working knowledge of common gastrointestinal comorbidities,
such as celiac disease, irritable bowel syndrome, inflammatory bowel disease, and
gastroparesis, is useful when treating a patient who has anorexia nervosa.
PMID- 27869567
TI - Use of the Daily Phone Diary to Study Religiosity and Mood: Convergent Validity.
AB - Studies of religious/spiritual behavior frequently rely on self-reported
questionnaire data, which is susceptible to bias. The Daily Phone Diary (DPD) was
developed to minimize bias in reporting activities and behavior across a 24-hour
period. A cross-sectional study of 126 parents of children with cystic fibrosis
was used to establish the validity of the DPD to study religious/spiritual
behaviors. Longitudinal models were used to determine the odds of improved mood
during religious/spiritual activities. Convergent validity was found.
Participants had increased odds of improved mood during religious/spiritual
activities compared to nonreligious/spiritual activities. Associations with
gender and religious affiliations were found. The DPD is a valid tool for
studying religious/spiritual activities and opens novel avenues for chaplaincy
research and the development of chaplaincy interventions incorporating these
findings.
PMID- 27869569
TI - Change in expressed emotion and treatment outcome in adolescent anorexia nervosa.
AB - Expressed emotion (EE) has been associated with poor outcomes in anorexia nervosa
(AN); however, whether changes in EE predict superior treatment outcomes is
unknown. The current study examined whether decreases in EE during an open trial
of a novel family-based treatment for AN predicted symptoms at end of treatment.
Forty-seven adolescents (12-18 years of age) with AN or sub-threshold AN and
their parents (mothers: n = 47, fathers: n = 39) participated in 6 months of
family treatment. Measures of AN symptomatology (Eating Disorder Examination
completed by adolescent and end of treatment recovery status) and parental EE
(Family Questionnaire completed by parents which measures two facets of EE:
critical communication [CC] and emotional over-involvement [EOI]) were collected
at baseline and end of treatment. Parental EOI, but not CC, significantly
decreased during the course of treatment. Change in mothers', but not fathers',
EE accounted for additional variance in AN symptomatology at end of treatment
above baseline EE and baseline AN symptom levels. Findings suggest a greater
emphasis on parent support during treatment may improve outcomes.
PMID- 27869568
TI - The carrot and the stick? Strategies to improve compliance with college campus
tobacco policies.
AB - OBJECTIVE: Tobacco-free policies are being rapidly adopted nationwide, yet
compliance with these policies remains a challenge. This study explored college
campus key informants' experiences with tobacco policies, and their perceived
benefits, drawbacks, and outcomes. PARTICIPANTS: The sample for this study was 68
key informants representing 16 different California universities with varying
tobacco policies (no smoking indoors and within 20 feet of entrances, designated
smoking areas, 100% smoke-free, and 100% tobacco-free). METHODS: Qualitative,
descriptive study. Semistructured interviews were transcribed verbatim and
analyzed using content analysis. RESULTS: Strategies to improve compliance ranged
from a social approach to a heavy focus on punitive enforcement. Key informants
from campuses using a social approach alone reported barriers to improving
compliance, including a perceived lack of efficacy of the approach. However,
these campuses found it challenging to incorporate enforcement through campus
police or security. CONCLUSIONS: College campus decision makers should explore
using a combined approach (social approach as well as formal enforcement), with
enforcement primarily the responsibility of nonpolice university channels (eg,
Student Affairs, employee supervisors).
PMID- 27869570
TI - Use of Primates in Research: What Do We Know About Captive Strepsirrhine
Primates?
AB - The increasing debate and restrictions on primate research have prompted many
surveys about their status. However, there is a lack of information regarding
strepsirrhine primates in the literature. This study provides an overview of
research on strepsirrhines in captivity by analyzing scientific articles
published from 2010 to 2013 and assessing publicly available government reports
in Europe and the United States. Data on taxonomy, country, research area,
research class, and type of institution were extracted. The 174 qualifying
articles showed that species in the Galagidae and Cheirogaleidae families were
used more often in invasive studies of neuroscience and metabolism, while the
most commonly used species in noninvasive studies of behavior and cognition were
true lemurs (family Lemuridae). France conducted the greatest number of invasive
research projects, and the Duke Lemur Center was the institution with the most
noninvasive studies. This study investigates how strepsirrhines are used in
captive research and identifies issues in need of further review, which suggest
that increased participation by the scientific community in the monitoring of
strepsirrhine research is warranted.
PMID- 27869571
TI - Enhancing Health Communication Outcomes Among Ethnic Minority Patients: The
Effects of the Match Between Participation Preferences and Perceptions and Doctor
Patient Concordance.
AB - Ethnic minority patients are less participative in medical consultations compared
to ethnic majority patients. It is thus important to find effective strategies to
enhance ethnic minority patients' participation and improve subsequent health
outcomes. This study therefore aimed to investigate the relation between the
match between patients' preferred and perceived participation and doctor-patient
concordance in preferred doctor-patient relationship on patient satisfaction,
fulfillment of information needs, and understanding of information among Turkish
Dutch and Dutch patients. Pre- and postconsultation questionnaires were filled
out by 136 Dutch and 100 Turkish-Dutch patients in the waiting rooms of 32
general practitioners (GPs). GPs completed a questionnaire too. Results showed
that a match between patients' preferred and perceived participation was related
to higher patient satisfaction, more fulfillment of information needs, and more
understanding of information than a mismatch for both patient groups. For doctor
patient concordance a conditional main effect on all outcome measures emerged
only among Turkish-Dutch patients. That is, for patients who were discordant with
their GP, higher perceived participation was related to lower satisfaction, worse
fulfillment of information needs, and worse understanding of the information. In
order to improve medical communication GPs should thus primarily be trained to
tailor their communication styles to match patients' preferences for
participation.
PMID- 27869572
TI - Is listening to fat talk the same as participating in fat talk?
AB - Our study examined whether verbally participating in fat talk conversations had a
different effect on women's body image perception than merely listening to
friends engage in fat talk conversations. Participants were 321 women who
completed measures of listening to and participating in fat talk conversations,
drive for thinness, body dissatisfaction, and dietary restraint. Results
indicated that verbally participating in fat talk was a much stronger predictor
of women's drive for thinness, body dissatisfaction, and dietary restraint than
merely listening to friends engage in fat talk conversations.
PMID- 27869573
TI - The chemokine, CXCL16, and its receptor, CXCR6, are constitutively expressed in
human annulus fibrosus and expression of CXCL16 is up-regulated by exposure to IL
1beta in vitro.
AB - Chemokines are an important group of soluble molecules with specialized functions
in inflammation. The roles of many specialized chemokines and their receptors
remain poorly understood in the human intervertebral disc. We investigated CXCL16
and its receptor, CXCR6, to determine their immunolocalization in disc tissue and
their presence following exposure of cultured human annulus fibrosus cells to
proinflammatory cytokines. CXCL16 is a marker for inflammation; it also can
induce hypoxia-inducible factor 1alpha (HIF-1alpha), which is a phenotypic marker
of heathy nucleus pulposus tissue. We found CXCL16 and CXCR6 immunostaining in
many cells of the annulus portion of the disc. Molecular studies showed that
annulus fibrosus cells exposed to IL-1beta, but not TNF-alpha, exhibited
significant up-regulation of CXCL16 expression vs. control cells. There was no
significant difference in the percentage of annulus cells that exhibited
immunolocalization of CXCL16 in grade I/II, grade III or grade IV/V specimens.
The presence of CXCL16 and its receptor, CXCR6, in the annulus in vivo suggests
the need for future research concerning the role of this chemokine in
proinflammatory functions, HIF-1alpha expression and disc vascularization.
PMID- 27869574
TI - International Study of Chaplains' Attitudes About Research.
AB - An online survey was conducted by twelve professional chaplain organizations to
assess chaplains' attitudes about and involvement in research. A total of 2,092
chaplains from 23 countries responded to the survey. Over 80% thought research
was definitely important and nearly 70% thought chaplains should definitely be
research literate. Just over 40% said they regularly read research articles and
almost 60% said they occasionally did. The respondents rated their own research
literacy as 6.5 on a 0-10 scale. Significant positive inter-correlations were
found among all four measures: importance of (a) research and (b) research
literacy; (c) frequency of reading articles; and (d) research literacy rating.
Approximately 35% were never involved, 37% had been involved, 17% were currently
involved, and 11% expected to be involved in research. The last three groups were
significantly more likely to think research and research literacy were important
and to read research articles than chaplains who were never involved in research.
Given chaplains' interest in research, actions should be undertaken to facilitate
further research engagement.
PMID- 27869575
TI - Self-Affirmation Theory and Performance Feedback: When Scoring High Makes You
Feel Low.
AB - Video games have a wide variety of benefits for players. The current study
examines how video games can also increase players' willingness to internalize
important but threatening self-information. Research suggests that negative
information regarding a valued self-image evokes defensive strategies aimed at
dismissing or discrediting the source of information. Self-Affirmation Theory
proposes that affirming or bolstering an important self-image unrelated to the
previous threat can be an effective strategy for reducing defensiveness.
Participants in the current study completed a fictitious intelligence test and
received negative or no feedback, followed by 15 minutes of video game play that
resulted in positive or no feedback. Results suggest that participants who valued
video game success as part of their identity exhibited less defensive strategies
in the form of increased test credibility ratings and lower self-perceptions of
intelligence. This suggests that performing well on a video game is an
affirmational resource for players whose identities are contingent upon such
success. However, results also indicate that players who did not value video game
success but received positive video game feedback exhibited more defensive
reactions to the negative intelligence test feedback. This suggests that while
players who value video game success as part of their identity may reap benefits
from video game play after a self-threat, those who do not value such success may
experience more harmful effects.
PMID- 27869576
TI - Using Visual Metaphors in Health Messages: A Strategy to Increase Effectiveness
for Mental Illness Communication.
AB - Depression is highly prevalent among college students. Although treatment is
often available on university campuses, many stigma-based barriers prevent
students from seeking help. Communication strategies, such as the use of
metaphors, are needed to reduce barriers. Specially, the use of visual metaphors,
as a strategic message design tactic, may be an effective communication strategy
to increase message appeal and engagement. Using a 2-phase approach, this study
first identified common metaphors students use to conceptualize mental illness.
Messages incorporating conceptual and visual metaphors were then designed and
tested to determine their potential in reducing stigma. Participants (n = 256)
were randomly assigned to 1 of 4 conditions in a between-subjects experiment:
messages with visual and textual metaphors, messages with straightforward visuals
and textual metaphors, text-based metaphor messages, or a control group. Overall,
metaphorical messages are appealing, the use of visual metaphors leads to greater
message engagement, and messages based on conceptual metaphors have the potential
to reduce stigma. The use of conceptual and visual metaphors in campaign design
is an effective strategy to communicate about a complex health topic, such as
mental illness, and should be considered for use in campaigns to reduce barriers
for help-seeking behavior.
PMID- 27869577
TI - In this issue: Realising benefits and that informatics is all about the patient.
AB - None.
PMID- 27869578
TI - Open Source Paradigm: A Synopsis of The Cathedral and the Bazaar for Health and
Social Care.
AB - BACKGROUND: Open source software (OSS) is becoming more fashionable in health and
social care, although the ideas are not new. However progress has been slower
than many had expected. OBJECTIVE: The purpose is to summarise the Free/Libre
Open Source Software (FLOSS) paradigm in terms of what it is, how it impacts
users and software engineers and how it can work as a business model in health
and social care sectors. METHOD: Much of this paper is a synopsis of Eric
Raymond's seminal book The Cathedral and the Bazaar, which was the first
comprehensive description of the open source ecosystem, set out in three long
essays. Direct quotes from the book are used liberally, without reference to
specific passages. The first part contrasts open and closed source approaches to
software development and support. The second part describes the culture and
practices of the open source movement. The third part considers business models.
CONCLUSION: A key benefit of open source is that users can access and collaborate
on improving the software if they wish. Closed source code may be regarded as a
strategic business risk that that may be unacceptable if there is an open source
alternative. The sharing culture of the open source movement fits well with that
of health and social care.
PMID- 27869579
TI - The acceptability to patients of video-consulting in general practice: semi
structured interviews in three diverse general practices.
AB - BACKGROUND: To improve patient access to healthcare, the UK government has
encouraged technology-based approaches including internet video-consulting.
However, little is known about patient acceptance of video-consulting as a
consulting method. We aimed to explore primary care patients' views video
consulting. METHOD: We used semi-structured interviews to survey 270 patients in
NHS Lothian. Three diverse General Practices were chosen purposively and
sequential patients attending the practice at a range of different times of day
were invited to participate. Patients were asked to indicate their level of
computer proficiency and provide their views on the use of video-call consulting
and what specific applications it might have. We found that 135 of 270
respondents (50%, 95% CI 43.9%-56.1%) would use video-consulting. Patients under
60 years were over two times more likely to use it (OR 2.2, 95% CI 2.1-6.6, n =
248) and evidence of a positive trend between increasing computer proficiency and
those who would video-consult was found, (chi2 = 43.97, p < 0.0005, n=270).
Patients who had previously used video-calling services (such as SkypeTM)were
approximately six times more likely to favour video-consulting than those who had
not (OR 5.9, 95% CI 3.5-9.9, n = 270). CONCLUSIONS: This suggests strong patient
interest in video-consulting in primary care, however, it is possible that in the
short to medium term there may be access inequality favouring younger and more
technically able people. Further studies are needed to determine the content,
safety, efficacy and cost-effectiveness of employing this medium.
PMID- 27869580
TI - Qualitative analysis of multi-disciplinary round-table discussions on the
acceleration of benefits and data analytics through hospital electronic
prescribing (ePrescribing) systems.
AB - BACKGROUND: Electronic systems that facilitate prescribing, administration and
dispensing of medicines (ePrescribing systems) are at the heart of international
efforts to improve the safety, quality and efficiency of medicine management.
Considering the initial costs of procuring and maintaining ePrescribing systems,
there is a need to better understand how to accelerate and maximise the financial
benefits associated with these systems. OBJECTIVES: We sought to investigate how
different sectors are approaching the realisation of returns on investment from
ePrescribing systems in U.K. hospitals and what lessons can be learned for future
developments and implementation strategies within healthcare settings. METHOD: We
conducted international, multi-disciplinary, round-table discussions with 21
participants from different backgrounds including policy makers, healthcare
organisations, academic researchers, vendors and patient representatives. The
discussions were audio-recorded, transcribed and then thematically analysed with
the qualitative analysis software NVivo10. RESULTS: There was an over-riding
concern that realising financial returns from ePrescribing systems was
challenging. The underlying reasons included substantial fixed costs of care
provision, the difficulties in radically changing the medicines management
process and the lack of capacity within NHS hospitals to analyse and exploit the
digital data being generated. Any future data strategy should take into account
the need to collect and analyse local and national data (i.e. within and across
hospitals), setting comparators to measure progress (i.e. baseline measurements)
and clear standards guiding data management so that data are comparable across
settings. CONCLUSIONS: A more coherent national approach to realising financial
benefits from ePrescribing systems is needed as implementations progress and the
range of tools to collect information will lead to exponential data growth. The
move towards more sophisticated closed-loop systems that integrate prescribing,
administration and dispensing, as well as increasingly empowered patients
accessing their data through portals and portable devices, will accelerate these
developments. Meaningful analysis of data will be the key to realise benefits
associated with systems.
PMID- 27869581
TI - Physical Activity in Ankylosing Spondylitis: evaluation and analysis of an
eHealth tool.
AB - BACKGROUND: Ankylosing spondylitis (AS) is a chronic inflammatory condition
characterised by spinal arthritis and exercise is often recommended to reduce the
symptoms and improve mobility. However, very little evidence exists for the value
of exercise in AS. OBJECTIVES: Firstly, this pilot study aimed to evaluate an
eHealth tool, the AS Observer, specifically designed to monitor symptoms, quality
of life and physical activity in AS, in terms of patient experience and
suitability in generating data for epidemiological studies. Secondly, it also
investigated the collected data to determine if physical activity benefited
individuals with AS. METHOD: The AS Observer was designed to enable weekly
monitoring of AS symptoms and exercise using a web based platform. Participants
with AS (n = 223) were recruited to use the AS observer. They provided baseline
data and completed online weekly data entry for 12 weeks (e.g. Bath Ankylosing
Spondylitis Activity Index (BASDAI), howRu, International Physical Activity
Questionnaire (IPAQ)). Panel data analysis with fixed effects models investigated
associations between variables. Activity type data and exit questionnaires were
subjected to qualitative thematic analysis. RESULTS: In general, the AS Observer
was well received and considered useful by participants, with 66% providing a
positive response. The collected data suggested that IPAQ is inversely associated
with total BASDAI, stiffness, tenderness and pain, but not fatigue. Stratified
analysis demonstrated differential associations between BASDAI, IPAQ and howRU
based on sex, HLA-B27 status and disease duration. Approximately half of the
participants frequently did therapy and three-quarters undertook at least some
vigorous activity ranging from formal exercise to recreation and (house) work.
Despite some technical challenges, tool evaluation suggested that the AS Observer
was a useful self-monitoring tool for participants. CONCLUSION: This pilot study
demonstrated that increased exercise intensity and duration were associated with
an improved BASDAI symptom score in a cohort of participants with AS.
Furthermore, it provided further evidence of the value of using eHealth tools for
clinical purposes and data collection for research, inclusive of the development
of treatment pathways and disease management strategies.
PMID- 27869583
TI - Patient-facing Technology for Identification of COPD in Primary Care.
AB - BACKGROUND: The proliferation of mobile devices and emergence of interoperable
'mHealth' apps is accelerating development and deployment of patient-facing risk
assessments in primary care. The present study describes a user-centered design
and an agile development approach to creation of an app for assessing
lungfunction as part of a randomized controlled trial for the dentification of
chronic obstructive lung disease in primary care. METHOD: Seventeen patients
recruited from a hospital-based, ambulatory family medicine clinic agreed to be
videotaped while using the app, Lung Age, on a first-generation iPad prior to
their provideR encounter. Subsequently, participants were interviewed using a
semi-structured interview guide upon exiting their medical visit. RESULTS:
Observational data indicated that participants took advantage of the portability
and flexibility of the tablet device in the exam room to engage with the Lung Age
app with the optionto share and discuss their results with their providers.
Results from the semistructured interviews indicated that participants perceived
the Lung Age app as intuitive and easy to use. CONCLUSIONS: These results
demonstrate that tablet computers and mHealth apps can be used to deploy
acceptable and useable electronic risk assessments in primary care settings.
Future research focused on the impact and outcomes of patient-centered, mHealth
apps for risk screening in primary care is warranted.
PMID- 27869582
TI - Implementing and Using a Patient Portal: A qualitative exploration of patient and
provider perspectives on engaging patients.
AB - BACKGROUND: The use of portals might be expected to rise; however, adoption has
been slow. Development of portals has occurred with limited patient involvement.
This paper fills a need for literature concerning perspectives regarding the
value of portals, how best to organize and provide portals, and critically how to
seek patient involvement in implementation.ObjectiveThe objective was to explore
the feelings, ideas, and expectations of patients and primary care providers
concerning the implementation and use of patient portals. METHOD: The study
employed a descriptive qualitative design interviewing seven patients and four
providers from an interdisciplinary primary health care clinic in Ontario,
Canada. Patients were older with at least one chronic condition. Interviews were
analysed independently by three coders who then met to synthesize the findings.
RESULTS: There was limited experience of portals and substantial convergence
between patients and providers regarding concerns and potential benefits with an
overall positive view. Four themes emerged: 1) the Context in which patient
portal use takes place; 2) the Necessary conditions for use of a patient portal;
3) the Implementation of a patient portal; and 4) the Use of a patient portal for
care. CONCLUSIONS: Findings highlight that it is not sufficient to engage
patients in the use of a portal; it is critical that patients be engaged in the
early stages of implementation. With many health and fitness electronic tools
available (e.g. Fitbit(c)), this study remind us that tools are not enough.
Patient engagement requires patient-centred partnerships between patients and
health care providers.
PMID- 27869584
TI - The Melbourne East Monash General Practice Database (MAGNET): Using data from
computerised medical records to create a platform for primary care and health
services research.
AB - The Melbourne East MonAsh GeNeral PracticE DaTabase (MAGNET) research platform
was launched in 2013 to provide a unique data source for primary care and health
services research in Australia. MAGNET contains information from the
computerised records of 50 participating general practices and includes data from
the computerised medical records of more than 1,100,000 patients. The data
extracted is patient-level episodic information and includes a variety of fields
related to patient demographics and historical clinical information, along with
the characteristics of the participating general practices. While there are
limitations to the data that is currently available, the MAGNET research platform
continues to investigate other avenues for improving the breadth and quality of
data, with the aim of providing a more comprehensive picture of primary care in
Australia.
PMID- 27869585
TI - The critical components of an electronic care plan tool for primary care: an
exploratory qualitative study.
AB - BACKGROUND: A critical need exists for effective electronic tools that facilitate
multidisciplinary care for complex patients in patient-centered medical
homes.Objective To identify the essential components of a primary care (PC) based
electronic care plan (ECP) tool that facilitates coordination of care for complex
patients. METHOD: Three focus groups and nine semi-structured interviews were
conducted at an academic PC practice in order to identify the ideal components of
an ECP. RESULTS: Critical components of an ECP identified included: 1) patient
background information, including patient demographics, care team member
designation and key patient contacts, 2) user- and patient-centric task
management functionalities, 3) a summary of a patient's care needs linked to the
responsible member of the care team and 4) integration with the electronic
medical record. We then designed an ECP mockup incorporating these components.
CONCLUSION: Our investigation identified key principles that healthcare software
developers can integrate into PC and patient-centered ECP tools.
PMID- 27869586
TI - Hyperfamiliarity in Amnestic and Vascular Mild Cognitive Impairment.
AB - OBJECTIVE: Hyperfamiliarity is a phenomenon where new stimuli are perceived as
familiar. Previous studies have demonstrated familiarity disorder in mild
cognitive impairment (MCI), but mostly from the perspective of a
neuropsychological approach, and the exact correlation of MCI aetiologies with
the phenomenon remains uncertain. Based on current evidence suggesting a frontal
subcortical pathway contributing to familiarity processing, we hypothesize that
individuals with a vascular aetiology of MCI will likely suffer more familiarity
deficits. This study aims to examine the real-life hyperfamiliarity symptoms in
amnestic versus vascular MCI. METHODS: Informants of 11 amnestic and 9 vascular
cognitive impairment patients were interviewed about the frequency of
hyperfamiliarity symptoms in the previous month. MRI brain images of vascular
cognitive impairment patients were analysed as well. RESULTS: Patients with
vascular cognitive impairment with no dementia (VCIND) showed a significantly
higher frequency of hyperfamiliarity for people but not places or objects. Within
VCIND patients, overall basal ganglia hyperintensities, particularly in the
putamen, were found to significantly correlate to hyperfamiliarity. CONCLUSIONS:
Patients with VCIND suffer more real-life hyperfamiliarity during people
recognition compared to patients with amnestic mild cognitive impairment (aMCI),
despite a comparative global decline in cognitive. This is likely due to impaired
memory retrieval and matching processes resulting from subcortical ischaemic
lesions.
PMID- 27869587
TI - Tuberculosis-Associated Death among Adult Wild Boars, Spain, 2009-2014.
AB - We investigated adult Eurasian wild boar (Sus scrofa) survival and death in 2
tuberculosis-endemic populations with different harvest pressure in Spain.
Overall, tuberculosis accounted for 30% of total deaths. Increased survival in
protected areas has direct implications for wild boar management and tuberculosis
control.
PMID- 27869588
TI - Human Infection with Novel Spotted Fever Group Rickettsia Genotype, China, 2015.
AB - Only 4 species of spotted fever group rickettsiae have been detected in humans in
China. However, phylogenetic analysis of samples from 5 ill patients in China
indicated infection with a novel spotted fever group Rickettsia, designated
Rickettsia sp. XY99. Clinical signs resembled those of severe fever with
thrombocytopenia syndrome.
PMID- 27869589
TI - Rift Valley Fever Outbreak in Livestock, Mozambique, 2014.
AB - In early 2014, abortions and death of ruminants were reported on farms in Maputo
and Gaza Provinces, Mozambique. Serologic analysis and quantitative and
conventional reverse transcription PCR confirmed the presence of Rift Valley
fever virus. The viruses belonged to lineage C, which is prevalent among Rift
Valley fever viruses in southern Africa.
PMID- 27869590
TI - Detection and Genotyping of Coxiella burnetii in Pigs, South Korea, 2014-2015.
AB - We assessed Coxiella burnetii prevalence and genotypes in pigs in South Korea
during 2014-2015. Prevalence was low among 1,030 samples tested by ELISA and
immunofluorescent assay and 1,124 samples tested by PCR. Despite this finding,
possible transmission of C. burnetii from pigs to humans cannot be excluded.
PMID- 27869592
TI - Assessing the Epidemic Potential of RNA and DNA Viruses.
AB - Many new and emerging RNA and DNA viruses are zoonotic or have zoonotic origins
in an animal reservoir that is usually mammalian and sometimes avian. Not all
zoonotic viruses are transmissible (directly or by an arthropod vector) between
human hosts. Virus genome sequence data provide the best evidence of
transmission. Of human transmissible virus, 37 species have so far been
restricted to self-limiting outbreaks. These viruses are priorities for
surveillance because relatively minor changes in their epidemiologies can
potentially lead to major changes in the threat they pose to public health. On
the basis of comparisons across all recognized human viruses, we consider the
characteristics of these priority viruses and assess the likelihood that they
will further emerge in human populations. We also assess the likelihood that a
virus that can infect humans but is not capable of transmission (directly or by a
vector) between human hosts can acquire that capability.
PMID- 27869593
TI - Evaluating Healthcare Claims for Neurocysticercosis by Using All-Payer All-Claims
Data, Oregon, 2010-2013.
AB - To characterize the frequency of neurocysticercosis, associated diagnostic codes,
and place of infection, we searched Oregon's All Payer All-Claims dataset for
2010-2013. Twice as many cases were found by searching inpatient and outpatient
data than by inpatient data alone. Studies relying exclusively on inpatient data
underestimate frequency and miss less severe disease.
PMID- 27869591
TI - Vertebrate Host Susceptibility to Heartland Virus.
AB - Heartland virus (HRTV) is a recently described phlebovirus initially isolated in
2009 from 2 humans who had leukopenia and thrombocytopenia. Serologic assessment
of domestic and wild animal populations near the residence of 1 of these persons
showed high exposure rates to raccoons, white-tailed deer, and horses. To our
knowledge, no laboratory-based assessments of viremic potential of animals
infected with HRTV have been performed. We experimentally inoculated several
vertebrates (raccoons, goats, chickens, rabbits, hamsters, C57BL/6 mice, and
interferon-alpha/beta/gamma receptor-deficient [Ag129]) mice with this virus. All
animals showed immune responses against HRTV after primary or secondary exposure.
However, neutralizing antibody responses were limited. Only Ag129 mice showed
detectable viremia and associated illness and death, which were dose dependent.
Ag129 mice also showed development of mean peak viral antibody titers >8 log10
PFU/mL, hemorrhagic hepatic lesions, splenomegaly, and large amounts of HRTV
antigen in mononuclear cells and hematopoietic cells in the spleen.
PMID- 27869594
TI - Horizontal Transmission of Chronic Wasting Disease in Reindeer.
AB - We challenged reindeer by the intracranial route with the agent of chronic
wasting disease sourced from white-tailed deer, mule deer, or elk and tested for
horizontal transmission to naive reindeer. Reindeer were susceptible to chronic
wasting disease regardless of source species. Horizontal transmission occurred
through direct contact or indirectly through the environment.
PMID- 27869595
TI - Infectious Dose of Listeria monocytogenes in Outbreak Linked to Ice Cream, United
States, 2015.
AB - The relationship between the number of ingested Listeria monocytogenes cells in
food and the likelihood of developing listeriosis is not well understood. Data
from an outbreak of listeriosis linked to milkshakes made from ice cream produced
in 1 factory showed that contaminated products were distributed widely to the
public without any reported cases, except for 4 cases of severe illness in
persons who were highly susceptible. The ingestion of high doses of L.
monocytogenes by these patients infected through milkshakes was unlikely if
possible additional contamination associated with the preparation of the
milkshake is ruled out. This outbreak illustrated that the vast majority of the
population did not become ill after ingesting a low level of L. monocytogenes but
raises the question of listeriosis cases in highly susceptible persons after
distribution of low-level contaminated products that did not support the growth
of this pathogen.
PMID- 27869596
TI - Unusual Ebola Virus Chain of Transmission, Conakry, Guinea, 2014-2015.
AB - In October 2015, a new case of Ebola virus disease in Guinea was detected. Case
investigation, serology, and whole-genome sequencing indicated possible
transmission of the virus from an Ebola virus disease survivor to another person
and then to the case-patient reported here. This transmission chain over 11
months suggests slow Ebola virus evolution.
PMID- 27869597
TI - Digital PCR for Quantifying Norovirus in Oysters Implicated in Outbreaks, France.
AB - Using samples from oysters clearly implicated in human disease, we quantified
norovirus levels by using digital PCR. Concentrations varied from 43 to 1,170 RNA
copies/oyster. The analysis of frozen samples from the production area showed the
presence of norovirus 2 weeks before consumption.
PMID- 27869598
TI - Highly Divergent Dengue Virus Type 2 in Traveler Returning from Borneo to
Australia.
AB - Dengue virus type 2 was isolated from a tourist who returned from Borneo to
Australia. Phylogenetic analysis identified this virus as highly divergent and
occupying a basal phylogenetic position relative to all known human and sylvatic
dengue virus type 2 strains and the most divergent lineage not assigned to a new
serotype.
PMID- 27869599
TI - Streptococcus agalactiae Serotype IV in Humans and Cattle, Northern Europe1.
AB - Streptococcus agalactiae is an emerging pathogen of nonpregnant human adults
worldwide and a reemerging pathogen of dairy cattle in parts of Europe. To learn
more about interspecies transmission of this bacterium, we compared
contemporaneously collected isolates from humans and cattle in Finland and
Sweden. Multilocus sequence typing identified 5 sequence types (STs) (ST1, 8, 12,
23, and 196) shared across the 2 host species, suggesting possible interspecies
transmission. More than 54% of the isolates belonged to those STs. Molecular
serotyping and pilus island typing of those isolates did not differentiate
between populations isolated from different host species. Isolates from humans
and cattle differed in lactose fermentation, which is encoded on the accessory
genome and represents an adaptation to the bovine mammary gland. Serotype IV
ST196 isolates were obtained from multiple dairy herds in both countries. Cattle
may constitute a previously unknown reservoir of this strain.
PMID- 27869600
TI - Reemergence of St. Louis Encephalitis Virus, California, 2015.
AB - St. Louis encephalitis virus infection was detected in summer 2015 in southern
California after an 11-year absence, concomitant with an Arizona outbreak.
Sequence comparisons showed close identity of California and Arizona isolates
with 2005 Argentine isolates, suggesting introduction from South America and
underscoring the value of continued arbovirus surveillance.
PMID- 27869601
TI - Detection of Vaccinia Virus in Dairy Cattle Serum Samples from 2009, Uruguay.
AB - We detected orthopoxvirus in 28 of 125 serum samples collected during 2009 from
cattle in Uruguay. Two samples were PCR-positive for vaccinia virus and had
sequences similar to those for vaccinia virus associated with outbreaks in
Brazil. Autochthonous circulation of vaccinia virus in Uruguay and other South
American countries cannot be ruled out.
PMID- 27869602
TI - Secondary Shiga Toxin-Producing Escherichia coli Infection, Japan, 2010-2012.
AB - To evaluate the potential public health risk caused by secondary Shiga toxin
producing Escherichia coli (STEC) infections in Japan, we investigated the
prevalence and characteristics of STEC isolated from healthy adults during 2010
2012. Although prevalence among healthy adults was high, most STEC organisms
displayed characteristics rarely found in isolates from symptomatic patients.
PMID- 27869603
TI - Hepatitis E Virus in Yellow Cattle, Shandong, Eastern China.
PMID- 27869605
TI - Schmallenberg Virus in Zoo Ruminants, France and the Netherlands.
PMID- 27869604
TI - Molecular, Spatial, and Field Epidemiology Suggesting TB Transmission in
Community, Not Hospital, Gaborone, Botswana.
AB - During 2012-2015, 10 of 24 patients infected with matching genotypes of
Mycobacterium tuberculosis received care at the same hospital in Gaborone,
Botswana. Nosocomial transmission was initially suspected, but we discovered
plausible sites of community transmission for 20 (95%) of 21 interviewed
patients. Active case-finding at these sites could halt ongoing transmission.
PMID- 27869606
TI - Pathogenic Lineage of mcr-Negative Colistin-Resistant Escherichia coli, Japan,
2008-2015.
PMID- 27869607
TI - New Hepatitis E Virus Genotype in Bactrian Camels, Xinjiang, China, 2013.
PMID- 27869608
TI - Dual Emergence of Usutu Virus in Common Blackbirds, Eastern France, 2015.
PMID- 27869609
TI - Introgressed Animal Schistosomes Schistosoma curassoni and S. bovis Naturally
Infecting Humans.
PMID- 27869611
TI - Chlamydia-Related Bacteria in Free-Living and Captive Great Apes, Gabon.
PMID- 27869610
TI - Electrolyte and Metabolic Disturbances in Ebola Patients during a Clinical Trial,
Guinea, 2015.
AB - By using data from a 2015 clinical trial on Ebola convalescent-phase plasma in
Guinea, we assessed the prevalence of electrolyte and metabolic abnormalities at
admission and their predictive value to stratify patients into risk groups.
Patients underwent testing with a point-of-care device. We used logistic
regression to construct a prognostic model and summarized the predictive value
with the area under the receiver operating curve. Abnormalities were common among
patients, particularly hypokalemia, hypocalcemia, hyponatremia, raised
creatinine, high anion gap, and anemia. Besides age and PCR cycle threshold
value, renal dysfunction, low calcium levels, and low hemoglobin levels were
independently associated with increased risk for death. A prognostic model using
all 5 factors was highly discriminatory (area under the receiver operating curve
0.95; 95% CI 0.90-0.99) and enabled the definition of risk criteria to guide
targeted care. Most patients had a very low (<5%) or very high (>80%) risk for
death.
PMID- 27869612
TI - Baylisascaris procyonis Roundworm Seroprevalence among Wildlife Rehabilitators,
United States and Canada, 2012-2015.
AB - Baylisascaris procyonis roundworms can cause potentially fatal neural larva
migrans in many species, including humans. However, the clinical spectrum of
baylisascariasis is not completely understood. We tested 347 asymptomatic adult
wildlife rehabilitators for B. procyonis antibodies; 24 were positive, suggesting
that subclinical baylisascariasis is occurring among this population.
PMID- 27869613
TI - Effect of Live Poultry Market Interventions on Influenza A(H7N9) Virus,
Guangdong, China.
AB - Since March 2013, three waves of human infection with avian influenza A(H7N9)
virus have been detected in China. To investigate virus transmission within and
across epidemic waves, we used surveillance data and whole-genome analysis of
viruses sampled in Guangdong during 2013-2015. We observed a geographic shift of
human A(H7N9) infections from the second to the third waves. Live poultry market
interventions were undertaken in epicenter cities; however, spatial phylogenetic
analysis indicated that the third-wave outbreaks in central Guangdong most likely
resulted from local virus persistence rather than introduction from elsewhere.
Although the number of clinical cases in humans declined by 35% from the second
to the third waves, the genetic diversity of third-wave viruses in Guangdong
increased. Our results highlight the epidemic risk to a region reporting
comparatively few A(H7N9) cases. Moreover, our results suggest that live-poultry
market interventions cannot completely halt A(H7N9) virus persistence and
dissemination.
PMID- 27869614
TI - Cutaneous Granulomas in Dolphins Caused by Novel Uncultivated Paracoccidioides
brasiliensis.
AB - Cutaneous granulomas in dolphins were believed to be caused by Lacazia loboi,
which also causes a similar disease in humans. This hypothesis was recently
challenged by reports that fungal DNA sequences from dolphins grouped this
pathogen with Paracoccidioides brasiliensis. We conducted phylogenetic analysis
of fungi from 6 bottlenose dolphins (Tursiops truncatus) with cutaneous
granulomas and chains of yeast cells in infected tissues. Kex gene sequences of
P. brasiliensis from dolphins showed 100% homology with sequences from cultivated
P. brasiliensis, 73% with those of L. loboi, and 93% with those of P. lutzii.
Parsimony analysis placed DNA sequences from dolphins within a cluster with human
P. brasiliensis strains. This cluster was the sister taxon to P. lutzii and L.
loboi. Our molecular data support previous findings and suggest that a novel
uncultivated strain of P. brasiliensis restricted to cutaneous lesions in
dolphins is probably the cause of lacaziosis/lobomycosis, herein referred to as
paracoccidioidomycosis ceti.
PMID- 27869615
TI - Highly Pathogenic Influenza A(H5Nx) Viruses with Altered H5 Receptor-Binding
Specificity.
AB - Emergence and intercontinental spread of highly pathogenic avian influenza
A(H5Nx) virus clade 2.3.4.4 is unprecedented. H5N8 and H5N2 viruses have caused
major economic losses in the poultry industry in Europe and North America, and
lethal human infections with H5N6 virus have occurred in Asia. Knowledge of the
evolution of receptor-binding specificity of these viruses, which might affect
host range, is urgently needed. We report that emergence of these viruses is
accompanied by a change in receptor-binding specificity. In contrast to ancestral
clade 2.3.4 H5 proteins, novel clade 2.3.4.4 H5 proteins bind to fucosylated
sialosides because of substitutions K222Q and S227R, which are unique for highly
pathogenic influenza virus H5 proteins. North American clade 2.3.4.4 virus
isolates have retained only the K222Q substitution but still bind fucosylated
sialosides. Altered receptor-binding specificity of virus clade 2.3.4.4 H5
proteins might have contributed to emergence and spread of H5Nx viruses.
PMID- 27869617
TI - HIV-1 Subtype C, Tenofovir, and the Relationship With Treatment Failure and Drug
Resistance.
PMID- 27869618
TI - Meaningful Thresholds for the Volume-Outcome Relationship in Total Knee
Arthroplasty.
AB - BACKGROUND: Increasing evidence supports the finding that patients undergoing a
total knee arthroplasty with high-volume physicians and hospitals achieve better
outcomes. Unfortunately, the existing definitions for high-volume surgeons and
hospitals are highly variable and entirely arbitrary. The aim of this study was
to identify a set of meaningful hospital and surgeon total knee arthroplasty
volume thresholds. METHODS: Using 289,976 patients undergoing primary total knee
arthroplasty from an administrative database, we applied stratum-specific
likelihood ratio (SSLR) analysis of a receiver operating characteristic (ROC)
curve to generate sets of volume thresholds most predictive of adverse outcomes.
The outcomes considered for surgeon volume included 90-day complication and 2
year revision. For hospital volume, we considered 90-day complications and 90-day
mortality. RESULTS: SSLR analysis of the ROC curves for 90-day complication and 2
year revision rates by surgeon volume identified four volume categories: 0 to 12,
13 to 59, 60 to 145, and >=146 total knee arthroplasties per year. Complication
rates decreased significantly (p < 0.05) in progressively higher-volume
categories. Revision rates followed a similar pattern, but did not decrease
between surgeons performing 60 to 145 arthroplasties per year and those
performing >=146 arthroplasties per year. SSLR analysis of 90-day complication
and 90-day mortality rates by hospital volume also identified four volume
categories: 0 to 89, 90 to 235, 236 to 644, and >=645 total knee arthroplasties
per year. Complication rates decreased significantly (p < 0.05) in progressively
higher-volume categories, but the rates did not decrease between hospitals
performing 236 to 644 arthroplasties per year and those performing >=645
arthroplasties per year. Mortality rates for hospitals with >=645 total knee
arthroplasties per year were significantly lower (p < 0.05) than those below the
threshold. CONCLUSIONS: Our study supports the use of SSLR analysis of ROC curves
for risk-based volume stratification in total knee arthroplasty volume-outcomes
research. SSLR analysis established meaningful volume definitions for low,
medium, high, and very high-volume total knee arthroplasty surgeons and
hospitals. This should help patients, surgeons, hospitals, and policymakers to
make decisions with regard to the optimal delivery of total knee arthroplasty.
LEVEL OF EVIDENCE: Therapeutic Level III. See Instructions for Authors for a
complete description of levels of evidence.
PMID- 27869616
TI - Tet2 and Tet3 cooperate with B-lineage transcription factors to regulate DNA
modification and chromatin accessibility.
AB - Ten-eleven translocation (TET) enzymes oxidize 5-methylcytosine, facilitating DNA
demethylation and generating new epigenetic marks. Here we show that concomitant
loss of Tet2 and Tet3 in mice at early B cell stage blocked the pro- to pre-B
cell transition in the bone marrow, decreased Irf4 expression and impaired the
germline transcription and rearrangement of the Igkappa locus. Tet2/3-deficient
pro-B cells showed increased CpG methylation at the Igkappa 3' and distal
enhancers that was mimicked by depletion of E2A or PU.1, as well as a global
decrease in chromatin accessibility at enhancers. Importantly, re-expression of
the Tet2 catalytic domain in Tet2/3-deficient B cells resulted in demethylation
of the Igkappa enhancers and restored their chromatin accessibility. Our data
suggest that TET proteins and lineage-specific transcription factors cooperate to
influence chromatin accessibility and Igkappa enhancer function by modulating the
modification status of DNA.
PMID- 27869619
TI - Five-Year Survival of 20,946 Unicondylar Knee Replacements and Patient Risk
Factors for Failure: An Analysis of German Insurance Data.
AB - BACKGROUND: Improvements in implant design and surgical technique of unicondylar
knee arthroplasty have led to reduced revision rates, but patient selection seems
to be crucial for success of such arthroplasties. The purpose of the present
study was to analyze the 5-year implant survival rate of unicondylar knee
replacements in Germany and to identify patient factors associated with an
increased risk of revision, including >30 comorbid conditions. METHODS: Using
nationwide billing data of the largest German health-care insurance for inpatient
hospital treatment, we identified patients who underwent unicondylar knee
arthroplasty between 2006 and 2012. Kaplan-Meier survival curves with revision as
the end point and log-rank tests were used to evaluate 5-year implant survival. A
multivariable Cox regression model was used to determine factors associated with
revision. The risk factors of age, sex, diagnosis, comorbidities, type of implant
fixation, and hospital volume were analyzed. Hazard ratios (HRs) and 95%
confidence intervals (95% CIs) were calculated. RESULTS: During the study period,
a total of 20,946 unicondylar knee arthroplasties were included. The number of
unicondylar knee arthroplasties per year increased during the study period from
2,527 in 2006 to 4,036 in 2012. The median patient age was 64 years
(interquartile range, 56 to 72 years), and 60.4% of patients were female. During
the time evaluated, the 1-year revision rate decreased from 14.3% in 2006 to 8.7%
in 2011. The 5-year survival rate was 87.8% (95% CI, 87.3% to 88.3%). Significant
risk factors (p < 0.05) for unicondylar knee arthroplasty revision were younger
age (the HR was 2.93 [95% CI, 2.48 to 3.46] for patient age of <55 years, 1.86
[95% CI, 1.58 to 2.19] for 55 to 64 years, and 1.52 [95% CI, 1.29 to 1.79] for 65
to 74 years; patient age of >74 years was used as the reference); female sex (HR,
1.18 [95% CI, 1.07 to 1.29]); complicated diabetes (HR, 1.47 [95% CI, 1.03 to
2.12]); depression (HR, 1.29 [95% CI, 1.06 to 1.57]); obesity, defined as a body
mass index of >=30 kg/m2 (HR, 1.13 [95% CI, 1.02 to 1.26]); and low-volume
hospitals, denoted as an annual hospital volume of <=10 cases (HR, 1.60 [95% CI,
1.39 to 1.84]), 11 to 20 cases (HR, 1.47 [95% CI, 1.27 to 1.70]), and 21 to 40
cases (HR, 1.31 [95% CI, 1.14 to 1.51]) (>40 cases was used as the reference).
CONCLUSIONS: Apart from known risk factors, this study showed a significant
negative influence of obesity, depression, and complicated diabetes on the 5-year
unicondylar knee replacement survival rate. Surgical indications and preoperative
patient counseling should consider these findings. LEVEL OF EVIDENCE: Prognostic
Level III. See Instructions for Authors for a complete description of levels of
evidence.
PMID- 27869620
TI - Safety and Outcomes of Inpatient Compared with Outpatient Surgical Procedures for
Ankle Fractures.
AB - BACKGROUND: As the cost of health-care delivery rises in the era of bundled
payments for care, there is an impetus toward minimizing hospitalization.
Evidence to support the safety of open reduction and internal fixation (ORIF) of
ankle fractures in the outpatient setting is largely anecdotal. METHODS: Patients
who underwent ORIF from 2005 to 2013 were identified via postoperative diagnoses
of ankle fracture and Current Procedural Terminology codes; patients with open
fractures and patients who were emergency cases were excluded. Patients
undergoing inpatient and outpatient surgical procedures were propensity score
matched to reduce differences in the baseline characteristics. Primary tracked
outcomes included medical and surgical complications, readmission, and
reoperation within 30 days of the procedure. Binary logistic regression models
were created that determined the risk-adjusted relationship between admission
status and primary outcomes. RESULTS: Outpatient surgical procedures were
associated with lower rates of urinary tract infection (0.4% compared with 0.9%;
p = 0.041), pneumonia (0.0% compared with 0.5%; p = 0.002), venous thromboembolic
events (0.3% compared with 0.8%; p = 0.049), and bleeding requiring transfusion
(0.1% compared with 0.6%; p = 0.012). Outpatient status was independently
associated with reduced 30-day medical morbidity (odds ratio, 0.344 [95%
confidence interval, 0.201 to 0.589]). No significant differences were uncovered
with respect to surgical complications (p = 0.076), unplanned reoperations (p =
0.301), and unplanned readmissions (p = 0.358). CONCLUSIONS: In patients with
closed fractures and minimal comorbidities, outpatient ORIF was associated with
reduced risk of select 30-day medical morbidity and no difference in surgical
morbidity, reoperations, and readmissions relative to inpatient. Factors
unaccounted for when creating matched cohorts may impact our results. Our
findings lend reassurance to surgeons who defer admission for low-risk patients.
LEVEL OF EVIDENCE: Therapeutic Level III. See Instructions for Authors for a
complete description of levels of evidence.
PMID- 27869621
TI - Ponseti Treatment of Rigid Residual Deformity in Congenital Clubfoot After
Walking Age.
AB - BACKGROUND: There is no established treatment for rigid residual deformity of
congenital clubfoot (CCF) after walking age. Soft-tissue procedures, osseous
procedures, and external fixation have been performed with unpredictable results.
We applied the Ponseti method to patients with this condition in order to improve
the outcomes of treatment. METHODS: We retrospectively reviewed the cases of 44
patients (68 feet) with congenital clubfoot whose mean age (and standard
deviation) at treatment was 4.8 +/- 1.6 years. All patients had been previously
treated in other institutions by various conservative and surgical protocols.
Residual deformity was evaluated using the International Clubfoot Study Group
Score (ICFSGS), and stiffness was rated by the number of casts needed for
deformity correction. Ponseti manipulation and cast application was performed.
Equinus was usually treated with percutaneous heel-cord surgery, while the cavus
deformity was treated with percutaneous fasciotomy when needed. Tibialis anterior
tendon transfer (TATT) was performed in patients over 3 years old. At the time of
follow-up, the results were evaluated using the ICFSGS. RESULTS: Before
treatment, 12 feet were graded as fair and 56, as poor. Two to 4 casts were
applied, with each cast worn for 4 weeks. Stiffness was moderate (2 casts) in 23
feet, severe (3 casts) in 30 feet, and very severe (4 casts) in 15 feet.
Percutaneous heel-cord surgery was performed in 28 feet; open posterior release,
in 5 feet; plantar fasciotomy, in 30 feet; and TATT, in 60 feet. The mean length
of follow-up was 4.9 +/- 1.8 years. Eight feet had an excellent result; 49 feet,
a good result; and 11 feet, a fair result. No patient had pain. All of the feet
showed significant improvement. CONCLUSIONS: Ponseti treatment with TATT, which
was performed in 88% of the feet, was effective, and satisfactory results were
achieved in 84% of the feet. At the time of follow-up, no patient showed an
abnormal gait, all feet were plantigrade and flexible, but 2 feet (2.9%) had
relapsed. LEVEL OF EVIDENCE: Therapeutic Level IV. See Instructions for Authors
for a complete description of levels of evidence.
PMID- 27869622
TI - ACL Deficiency Increases Forces on the Medial Femoral Condyle and the Lateral
Meniscus with Applied Rotatory Loads.
AB - BACKGROUND: The articular surfaces and menisci act with the anterior cruciate
ligament (ACL) to stabilize the knee joint. Their role in resisting applied
rotatory loads characteristic of instability events is unclear despite commonly
observed damage to these intra-articular structures in the acute and chronic ACL
injury settings. METHODS: Ten fresh-frozen human cadaveric knees were mounted to
a robotic manipulator. Combined valgus and internal rotation torques were applied
in the presence and absence of a 300-N compressive load. Forces carried by the
individual menisci and via cartilage-to-cartilage contact on each femoral condyle
in ACL-intact and ACL-sectioned states were measured using the principle of
superposition. RESULTS: In response to applied valgus and internal rotation
torques in the absence of compression, sectioning of the ACL increased the net
force carried by the lateral meniscus by at most 65.8 N (p < 0.001). Moreover,
the anterior shear force carried by the lateral meniscus increased by 25.7 N (p <
0.001) and 36.5 N (p = 0.042) in the absence and presence of compression,
respectively. In response to applied valgus and internal rotation torques,
sectioning of the ACL increased the net force carried by cartilage-to-cartilage
contact on the medial femoral condyle by at most 38.9 N (p = 0.006) and 46.7 N (p
= 0.040) in the absence and presence of compression, respectively. Additionally,
the lateral shear force carried by cartilage-to-cartilage contact on the medial
femoral condyle increased by at most 21.0 N (p = 0.005) and by 28.0 N (p = 0.025)
in the absence and presence of compression, respectively. Forces carried by the
medial meniscus and by cartilage-to-cartilage contact on the lateral femoral
condyle changed by <5 N as a result of ACL sectioning. CONCLUSIONS: ACL
sectioning increased the net forces carried by the lateral meniscus and medial
femoral condyle-and the anterior shear and lateral shear forces, respectively-in
response to multiplanar valgus and internal rotation torque. CLINICAL RELEVANCE:
These loading patterns provide a biomechanical rationale for clinical patterns of
intra-articular derangement such as lateral meniscal injury and osseous
remodeling of the medial compartment seen with ACL insufficiency.
PMID- 27869623
TI - Contamination of the Surgical Field with Propionibacterium acnes in Primary
Shoulder Arthroplasty.
AB - BACKGROUND: Propionibacterium acnes is a common pathogen identified in
postoperative shoulder infection. It has been shown to be present in culture
specimens during primary shoulder arthroplasty; however, recent work has
suggested that it is most likely to be a contaminant. Our aim was to identify the
potential sources of contamination in shoulder arthroplasty. METHODS: Tissue
swabs were obtained for microbiological analysis from consecutive patients
undergoing primary shoulder arthroplasty. Routine surgical technique was
maintained, and 5 specimens were taken from different sites: (1) the subdermal
layer, (2) the tip of the surgeon's glove, (3) the inside scalpel blade (used for
deeper incision), (4) the forceps, and (5) the outside scalpel blade (used for
the skin incision). RESULTS: Forty patients (25 female patients and 15 male
patients) were included. Thirteen (33%) of the 40 patients had at least 1 culture
specimen positive for P. acnes. Two (8%) of the 25 female patients and 11 (73%)
of the 15 male patients had >=1 culture specimen positive for P. acnes. The most
common site of growth of P. acnes was the subdermal layer (12 positive samples),
followed by the forceps (7 positive samples), the tip of the surgeon's glove (7
positive samples), the outside scalpel blade (4 positive samples), and the inside
scalpel blade (1 positive sample). There were 27 of 75 swabs that were positive
on culture for P. acnes in male patients compared with 4 of 125 swabs in female
patients. Male patients had 66 times (95% confidence interval, 6 to 680 times)
higher odds of having a positive culture indicating subdermal colonization
compared with female patients (p < 0.001). CONCLUSIONS: P. acnes is a common
contaminant of the surgical field in primary shoulder arthroplasty. The subdermal
layer may be the source of this contamination, and the prevalence of P. acnes in
the surgical wound may be due to the surgeon's manipulation with gloves and
instruments. Our findings are consistent with those regarding the increased rates
of P. acnes bacterial load and intraoperative growth in male patients compared
with female patients. CLINICAL RELEVANCE: P. acnes is likely to be spread
throughout the surgical field from the subdermal layer via soft-tissue handling
by the surgeon and instruments. Strategies need to be utilized to minimize this
contact and to reduce the chance of colonization.
PMID- 27869624
TI - Surgical Outcome and Prognostic Analysis of Transoral Atlantoaxial Reduction
Plate System for Basilar Invagination: A Voxel-Based Morphometry Study.
AB - BACKGROUND: The use of a transoral atlantoaxial reduction plate (TARP) system is
an effective surgical approach for the treatment of basilar invagination. With
the aim of improving the therapeutic efficacy of the TARP operation, we conducted
a voxel-based morphometric study to quantitatively investigate the descent of the
odontoid process and craniocervical volume changes. METHODS: We enrolled 20
patients with basilar invagination who underwent a TARP procedure. Craniocervical
computed tomography (CT) scanning and a 3-dimensional (3-D) reconstruction of the
craniocervical junction were performed. Craniocervical volumes and odontoid
process descent distances were measured preoperatively and postoperatively.
Individual neurological function was evaluated according to the Japanese
Orthopaedic Association (JOA) scoring system for cervical disorders. Pearson
correlation analysis was applied for statistical testing. RESULTS: Surgical
efficacy (the JOA-score improvement rate) was significantly associated with the
craniocervical volume improvement rate, the odontoid descent distance, and the
absolute craniocervical volume changes (p < 0.01 for all), with correlation
coefficients (r) of 0.83, 0.80, and 0.61, respectively. No significant
correlation was noted between surgical efficacy and age, symptom duration,
preoperative neurological function, odontoid process displacement, or change in
clivus-odontoid angle (p > 0.05). The craniocervical volume improvement rate was
significantly associated with the odontoid descent distance (r = 0.8; p < 0.01),
but it was not associated with the odontoid displacement or the change in the
clivus-odontoid angle (p > 0.05). CONCLUSIONS: We found that the odontoid descent
distance predicted the craniocervical volume improvement rate following TARP
procedures in patients with basilar invagination, and we believe that both can
serve as predictors of surgical efficacy. We believe that planning the odontoid
descent distance preoperatively may help to improve the efficacy of TARP
operations. LEVEL OF EVIDENCE: Prognostic Level III. See Instructions for Authors
for a complete description of levels of evidence.
PMID- 27869625
TI - Asymptomatic Pseudotumors in Patients with Taper Corrosion of a Dual-Taper
Modular Femoral Stem: MARS-MRI and Metal Ion Study.
AB - BACKGROUND: Modularity in total hip arthroplasty facilitates intraoperative
restoration of patient anatomy. Although dual-taper modular total hip
arthroplasty offers potential advantages for optimizing the hip center of
rotation, it has been associated with modular taper corrosion. This corrosion has
led to adverse local tissue reactions (pseudotumors) at the neck-stem junction
and elevated metal-ion levels. However, the occurrence of taper-corrosion-related
pseudotumors in patients who remain asymptomatic following total hip arthroplasty
with a dual-taper modular femoral stem remains largely unknown. The aims of this
study were (1) to determine the prevalence of asymptomatic pseudotumors by
utilizing metal artifact reduction sequence magnetic resonance imaging (MARS-MRI)
and (2) compare serum metal-ion levels between symptomatic and asymptomatic
patients with a dual-taper modular stem total hip replacement. METHODS: We
performed a retrospective cross-sectional study of 97 consecutive patients who
had been treated with a dual-taper modular femoral stem total hip arthroplasty.
Eighty-three patients were stratified into symptomatic and asymptomatic groups
and evaluated with MARS-MRI, measurement of serum metal-ion levels, and the
University of California at Los Angeles (UCLA) functional hip score. RESULTS: The
prevalence of pseudotumors as determined with MARS-MRI was 15% in our
asymptomatic patients and 36% in the overall cohort. The median serum cobalt
level and cobalt/chromium ratio were significantly higher in patients with a
pseudotumor than in those without a pseudotumor (8.0 versus 2.0 MUg/L [p = 0.004]
and 10.3 versus 2.4 MUg/L [p = 0.012], respectively). However, there was no
significant difference in the serum cobalt level or cobalt/chromium ratio between
symptomatic patients with a pseudotumor and asymptomatic patients with a
pseudotumor (7.6 versus 6.2 MUg/L [p = 0.37] and 8.3 versus 10.6 MUg/L [p =
0.46], respectively). The UCLA scores of asymptomatic patients with a pseudotumor
were similar to those of patients without a pseudotumor (6.7 versus 6.6).
CONCLUSIONS: The prevalence of asymptomatic taper-corrosion-related pseudotumors
on MARS-MRI in this study demonstrated that the absence of symptoms does not
exclude the presence of adverse local tissue reactions. Elevated cobalt levels
and cobalt/chromium ratios were associated with the presence of pseudotumors in
asymptomatic and symptomatic patients. Cross-sectional imaging such as MARS-MRI
is indicated for patients with elevated metal-ion levels. A longitudinal study is
required to determine whether asymptomatic patients with taper-corrosion-related
pseudotumors will develop symptoms with time. LEVEL OF EVIDENCE: Therapeutic
Level IV. See Instructions for Authors for a complete description of levels of
evidence.
PMID- 27869626
TI - Primary Linked Semiconstrained Total Elbow Arthroplasty for Rheumatoid Arthritis:
A Single-Institution Experience with 461 Elbows Over Three Decades.
AB - BACKGROUND: Elbow arthroplasty is the treatment of choice for end-stage
rheumatoid arthritis (RA). The purpose of this study was to determine the long
term outcome of a linked semiconstrained elbow arthroplasty implant design in
patients with RA. METHODS: Between 1982 and 2006, 461 primary total elbow
arthroplasties using the Coonrad-Morrey prosthesis were performed in 387 patients
with RA. Fifty-five of the arthroplasties were performed to treat concurrent
traumatic or posttraumatic conditions. There were 305 women (365 elbows, 79%) and
82 men (96 elbows, 21%). Ten patients (10 elbows) were lost to follow-up, 9
patients (10 elbows) died, and 6 patients (6 elbows) underwent revision surgery
within the first 2 years. For the 435 elbows (362 patients, 94%) with a minimum
of 2 years of follow-up, the median follow-up was 10 years (range, 2 to 30
years). RESULTS: At the most recent follow-up, 49 (11%) of the elbows had
undergone component revision or removal (deep infection, 10 elbows; and
mechanical failure, 39 elbows). Eight additional elbows were considered to have
radiographic evidence of loosening. For surviving implants followed for a minimum
of 2 years, the median Mayo Elbow Performance Score (MEPS) was 90 points. Bushing
wear was identified in 71 (23%) of the surviving elbows with a minimum of 2 years
of radiographic follow-up; however, only 2% of the elbows had been revised for
isolated bushing wear. The rate of survivorship free of implant revision or
removal for any reason was 92% (95% confidence interval [CI] = 88% to 94%) at 10
years, 83% (95% CI = 77% to 88%) at 15 years, and 68% (95% CI = 56% to 78%) at 20
years. The survivorship at 20 years was 88% (95% CI = 83% to 92%) with revision
due to aseptic loosening as the end point and 89% (95% CI = 77% to 95%) with
isolated bushing exchange as the end point. Risk factors for implant revision for
any cause included male sex, a history of concomitant traumatic pathology, and
implantation of an ulnar component with a polymethylmethacrylate surface finish.
CONCLUSIONS: Elbow arthroplasty using a cemented linked semiconstrained elbow
arthroplasty provides satisfactory clinical results in the treatment of RA with a
reasonable rate of survivorship free of mechanical failure at 20 years. Although
bushing wear was identified on radiographs in approximately one-fourth of the
patients, revision for isolated bushing wear was uncommon. LEVEL OF EVIDENCE:
Therapeutic Level IV. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 27869627
TI - The American Academy of Orthopaedic Surgeons Evidence-Based Clinical Practice
Guideline on: Management of Carpal Tunnel Syndrome.
PMID- 27869628
TI - What's New in Shoulder and Elbow Surgery.
PMID- 27869629
TI - Coagulation Profile of Patients with Adolescent Idiopathic Scoliosis Undergoing
Posterior Spinal Fusion.
AB - BACKGROUND: Blood loss and transfusion requirements during posterior spinal
fusion for adolescent idiopathic scoliosis remain a concern. The mechanism of
bleeding in these patients is poorly characterized. Thromboelastography is a
comprehensive test of a patient's coagulation system commonly used in cardiac
surgical procedures. It has not been well studied for use in patients with
adolescent idiopathic scoliosis. METHODS: A prospective, observational study of
the coagulation profile of patients with adolescent idiopathic scoliosis
undergoing posterior spinal fusion is presented. Healthy patients with adolescent
idiopathic scoliosis without a bleeding abnormality were analyzed during
posterior spinal fusion. Standard coagulation laboratory and thromboelastogram
measures were obtained at the time of the incision and at 1-hour intervals during
the surgical procedure. Laboratory values were analyzed in relation to outcomes
such as bleeding, transfusion, and a fibrinolysis score. RESULTS: Fifty-eight
patients were observed. Eighty-one percent of patients were female, the mean age
was 13.5 years, a mean of 11.1 levels were fused, the median estimated blood loss
was 645 mL, and 47% of patients received blood products. Overall, laboratory
values remained stable throughout the surgical procedure. Mild increases in
prothrombin time and partial thromboplastin time were observed, and platelets
remained stable. From thromboelastogram analysis, an acceleration of clot
formation (decreased reaction time) and a slight increase in clot lysis
(increased lysis percentage at 30 minutes) were observed. A fibrinolysis score
compiled from the presence of fibrin degradation products, the presence of D
dimers, and increased prothrombin time rose steadily over surgical time. The
fibrinolysis score was predictive of both transfusion and greater estimated blood
loss per level. CONCLUSIONS: The stress of posterior spinal fusion induces a
hypercoagulable state in patients with adolescent idiopathic scoliosis. Over the
first 2 hours of a surgical procedure, varying degrees of fibrinolysis develop.
Platelets and coagulation factors are not depleted. Our data support the use of
antifibrinolytic therapy for patients with adolescent idiopathic scoliosis.
PMID- 27869631
TI - Inconsistencies Between Physician-Reported Disclosures at the AAOS Annual Meeting
and Industry-Reported Financial Disclosures in the Open Payments Database.
AB - BACKGROUND: The purpose of this study was to determine the rate and type of
inconsistencies between disclosures self-reported by physicians at a major
academic meeting in the United States and industry-reported disclosures in the
Open Payments database for a concordant time period. METHODS: Disclosures for
every first and last author from the United States with a medical degree of a
podium or poster presentation at the 2014 American Academy of Orthopaedic
Surgeons (AAOS) Annual Meeting were collected and were compared with the
disclosures reported in the Open Payments database to determine if any
inconsistencies were present and, if so, within which category. RESULTS: In
total, 1,925 total AAOS presenters were identified, and 1,113 met the inclusion
criteria. Based on AAOS disclosures, 432 (39%) should have been listed within the
Open Payments database. There were 125 presenters (11%) who reported an AAOS
disclosure and thus should have been included in the Open Payments database, but
were not included. An additional 259 presenters (23%) had >=1 AAOS disclosures
that were not reported or were improperly categorized in the Open Payments
database. Inconsistencies were more common for authors who had significantly more
poster presentations (p < 0.001), podium presentations (p = 0.01), total
presentations (p < 0.001), and AAOS disclosures (p < 0.001) and a significantly
higher value of payments in the Open Payments database (p < 0.001). CONCLUSIONS:
In this sample, there was a 35% rate of inconsistency between physician-reported
financial relationships for presenters at the AAOS Annual Meeting and industry
reported relationships published in the Open Payments database.
PMID- 27869630
TI - A Prospective Evaluation of Opioid Utilization After Upper-Extremity Surgical
Procedures: Identifying Consumption Patterns and Determining Prescribing
Guidelines.
AB - BACKGROUND: Although adequate management of postoperative pain with oral
analgesics is an important aspect of surgical procedures, inadvertent
overprescribing can lead to excess availability of opioids in the community for
potential diversion. The purpose of our study was to prospectively evaluate
opioid consumption following outpatient upper-extremity surgical procedures to
determine opioid utilization patterns and to develop prescribing guidelines.
METHODS: All patients undergoing outpatient upper-extremity surgical procedures
over a consecutive 6-month period had the following prospective data collected:
patient demographic characteristics, surgical details, anesthesia type, and
opioid prescription and consumption patterns. Analysis of variance and post hoc
comparisons were performed using t tests, with the p value for multiple pairwise
tests adjusted by the Bonferroni correction. RESULTS: A total of 1,416 patients
with a mean age of 56 years (range, 18 to 93 years) were included in the study.
Surgeons prescribed a mean total of 24 pills, and patients reported consuming a
mean total of 8.1 pills, resulting in a utilization rate of 34%. Patients
undergoing soft-tissue procedures reported requiring fewer opioids (5.1 pills for
2.2 days) compared with fracture surgical procedures (13.0 pills for 4.5 days) or
joint procedures (14.5 pills for 5.0 days) (p < 0.001). Patients who underwent
wrist surgical procedures required a mean number of 7.5 pills for 3.1 days and
those who underwent hand surgical procedures required a mean number of 7.7 pills
for 2.9 days, compared with patients who underwent forearm or elbow surgical
procedures (11.1 pills) and those who underwent upper arm or shoulder surgical
procedures (22.0 pills) (p < 0.01). Procedure type, anatomic location, anesthesia
type, age, and type of insurance were also all significantly associated with
reported opioid consumption (p < 0.001). CONCLUSIONS: In this large, prospective
evaluation of postoperative opioid consumption, we found that patients are being
prescribed approximately 3 times greater opioid medications than needed following
upper-extremity surgical procedures. We have provided general prescribing
guidelines, and we recommend that surgeons carefully examine their patients'
opioid utilization and consider customizing their opioid prescriptions on the
basis of anatomic location and procedure type to prescribe the optimal amount of
opioids while avoiding dissemination of excess opioids.
PMID- 27869632
TI - P-Hacking in Orthopaedic Literature: A Twist to the Tail.
AB - BACKGROUND: "P-hacking" occurs when researchers preferentially select data or
statistical analyses until nonsignificant results become significant. We wanted
to evaluate if the phenomenon of p-hacking was evident in orthopaedic literature.
METHODS: We text-mined through all articles published in three top orthopaedic
journals in 2015. For anonymity, we cipher-coded the three journals. We included
all studies that reported a single p value to answer their main hypothesis. These
p values were then charted and frequency graphs were generated to illustrate any
evidence of p-hacking. Binomial tests were employed to look for evidence of
evidential value and significance of p-hacking. RESULTS: Frequency plots for all
three journals revealed evidence of p-hacking. Binomial tests for all three
journals were significant for evidence of evidential value (p < 0.0001 for all).
However, the binomial test for p-hacking was significant only for one journal (p
= 0.0092). CONCLUSIONS: P-hacking is an evolving phenomenon that threatens to
jeopardize the evidence-based practice of medicine. Although our results show
that there is good evidential value for orthopaedic literature published in our
top journals, there is some evidence of p-hacking of which authors and readers
should be wary.
PMID- 27869633
TI - Should Only the Highest-Volume Surgeons and Centers Be Doing Primary Total Knee
Arthroplasty? Commentary on an article by Sean Wilson, BA, et al.: "Meaningful
Thresholds for the Volume-Outcome Relationship in Total Knee Arthroplasty".
PMID- 27869635
TI - Erratum.
PMID- 27869634
TI - Goals and Challenges of Identifying Asymptomatic Pseudotumors in Patients Treated
with Total Hip Arthroplasty: Commentary on an article by Young-Min Kwon, MD, PhD,
et al.: "Asymptomatic Pseudotumors in Patients with Taper Corrosion of a Dual
Taper Modular Femoral Stem: MARS-MRI and Metal Ion Study".
PMID- 27869636
TI - Insignificant influence of the matrix on the melting of incoherently embedded tin
and zinc nanoparticles.
AB - For studying the melting point depression of metals, isolated metallic
nanoparticles embedded in a matrix are usually prepared by mechanical milling. Al
is the main available matrix material. In this work, to explore possible
alternative matrices for further investigation of melting, mechanically milled
metal-nonmetal systems are developed, namely Sn-LiF, Zn-LiF and Zn-Al2O3. The
outcome indicates that different matrices do not have a significantly different
influence on the melting of Sn and Zn. Theoretical analyses of both the
thermodynamics and kinetics of surface-induced melting may support this
experimental finding.
PMID- 27869637
TI - The lattice stiffening transition in UO2 single crystals.
AB - The effective Debye temperatures ([Formula: see text]) of the surface region of
UO2 single crystals, prepared by the hydrothermal synthesis technique, were
obtained from temperature-dependent x-ray photoemission in the temperature range
of 300 K-623 K. A lattice stiffening transition, characterized by different
regions of different effective Debye temperature, 500 +/- 59 K below 475 K and
165 +/- 21 K above 475 K is identified. A comparison of the temperature
dependence of the effective UO2 Debye temperature, with the changes in the
lattice expansion coefficient for UO2, support strong lattice-phonon interaction
arising from the Jahn-Teller distortion.
PMID- 27869638
TI - A realistic quantum capacitance model for quantum Hall edge state based Fabry
Perot interferometers.
AB - In this work, the classical and the quantum capacitances are calculated for a
Fabry-Perot interferometer operating in the integer quantized Hall regime. We
first consider a rotationally symmetric electrostatic confinement potential and
obtain the widths and the spatial distribution of the insulating (incompressible)
circular strips using a charge density profile stemming from self-consistent
calculations. Modelling the electrical circuit of capacitors composed of metallic
gates and incompressible/compressible strips, we investigate the conditions to
observe Aharonov-Bohm (quantum mechanical phase dependent) and Coulomb blockade
(capacitive coupling dependent) effects reflected in conductance oscillations. In
a last step, we solve the Schrodinger and the Poisson equations self-consistently
in a numerical manner taking into account realistic experimental geometries. We
find that, describing the conductance oscillations either by Aharanov-Bohm or
Coulomb blockade strongly depends on sample properties also other than size,
therefore, determining the origin of these oscillations requires further
experimental and theoretical investigation.
PMID- 27869639
TI - Thermal response in van der Waals heterostructures.
AB - We solve numerically the Boltzmann transport equations of the phonons and
electrons to understand the thermoelectric response in heterostructures of M2CO2
(M: Ti, Zr, Hf) MXenes with transition metal dichalcogenide monolayers. Low
frequency optical phonons are found to occur as a consequence of the van der
Waals bonding, contribute significantly to the thermal transport, and compensate
for the reduced contributions of the acoustic phonons (increased scattering cross
sections in heterostructures), such that the thermal conductivities turn out to
be similar to those of the bare MXenes. Our results indicate that the important
superlattice design approach of thermoelectrics (to reduce the thermal
conductivity) may be effective for two-dimensional van der Waals materials when
used in conjunction with intercalation.
PMID- 27869640
TI - Flexible anodized aluminum oxide membranes with customizable back contact
materials.
AB - Anodized aluminum oxide (AAO) membranes were fabricated using flexible
substrate/carrier material. This method facilitates the use of AAO templates with
many different materials as substrates that are otherwise incompatible with most
anodization techniques. Thin titanium (Ti) and tungsten (W) layers were employed
as interlayer materials. Titanium enhances adhesion. Tungsten not only helps
eliminate the barrier layer but also plays a critical role in enabling the use of
flexible substrates. The resulting flexible templates provide new, exciting
opportunities in photovoltaic and other device applications. CuInSe2 nanowires
were electrochemically deposited into porous AAO templates with molybdenum (Mo)
as the back contact material. The feasibility of using any material to form a
contact with semiconductor nanowires has been demonstrated for the first time
enabling new avenues in photovoltaic applications.
PMID- 27869641
TI - Orbital breathing effects in the computation of x-ray d-ion spectra in solids by
ab initio wave-function-based methods.
AB - In existing theoretical approaches to core-level excitations of transition-metal
ions in solids relaxation and polarization effects due to the inner core hole are
often ignored or described phenomenologically. Here we set up an ab initio
computational scheme that explicitly accounts for such physics in the calculation
of x-ray absorption and resonant inelastic x-ray scattering spectra. Good
agreement is found with experimental transition-metal L-edge data for the
strongly correlated d 9 cuprate Li2CuO2, for which we determine the absolute
scattering intensities. The newly developed methodology opens the way for the
investigation of even more complex d n electronic structures of group VI B to
VIII B correlated oxide compounds.
PMID- 27869642
TI - Metal-free N-doped carbon nanofibers as an efficient catalyst for oxygen
reduction reactions in alkaline and acid media.
AB - The development of metal-free catalysts to replace the use of Pt has played an
important role in relation to its application to fuel cells. We report N-doped
carbon nanofibers as the catalyst of an oxygen reduction reaction, which were
synthesized via carbonizing bacterial cellulose-polypyrrole composites. The as
prepared material exhibited remarkable catalytic activity toward the oxygen
reduction reaction with comparable onset potential and the ability to limit the
current density of commercial Pt/C catalysts in both alkaline and acid media due
to the unique porous three-dimensional network structure and the doped nitrogen
atoms. The effect of N functionalities on catalytic behavior was systematically
investigated. The results demonstrated that pyridinic-N was the dominating factor
for catalytic performance toward the oxygen reduction reaction. Additionally, N
doped carbon nanofibers also demonstrated excellent cycling stability (93.2% and
89.4% retention of current density after chronoamperometry 20 000 s in alkaline
and media, respectively), obviously superior to Pt/C.
PMID- 27869643
TI - First-principles calculations of momentum distributions of annihilating electron
positron pairs in defects in UO2.
AB - We performed first-principles calculations of the momentum distributions of
annihilating electron-positron pairs in vacancies in uranium dioxide. Full atomic
relaxation effects (due to both electronic and positronic forces) were taken into
account and self-consistent two-component density functional theory schemes were
used. We present one-dimensional momentum distributions (Doppler-broadened
annihilation radiation line shapes) along with line-shape parameters S and W. We
studied the effect of the charge state of the defect on the Doppler spectra. The
effect of krypton incorporation in the vacancy was also considered and it was
shown that it should be possible to observe the fission gas incorporation in
defects in UO2 using positron annihilation spectroscopy. We suggest that the
Doppler broadening measurements can be especially useful for studying impurities
and dopants in UO2 and of mixed actinide oxides.
PMID- 27869644
TI - Hydrogen gas sensors from polysilicon nanobelt devices selectively modified with
sensing materials.
AB - Double-junction n+/n-/n+ polysilicon nanobelts featuring selectively deposited
sensing materials have been investigated for application as H2 gas sensors. The
selective modification of the devices was performed through a combination of
localized ablation of a resist and lift-off of a previous catalyst material
deposited through e-beam evaporation. Four nanobelt devices, differentiated by
their doping concentrations at the n- region (from 2.5 * 1013 to 2.5 * 1014 cm
2), were analyzed in terms of the responses to H2 and their self-heating effects.
A low doping concentration improved the response at room temperature, owing to a
longer Debye length. The variation in the H2-induced surface potential associated
with temperature, accounting for degradation in the response of the nanobelts
with Joule heating bias, was analyzed in terms of the I-V characteristics of the
double-junction device. Among various catalysts (Pt, Pd, Pt/Pd) evaluated for
their H2 sensing characteristics, an ultrathin film of Pt/Pd was most favorable.
PMID- 27869646
TI - Magnetoelectric effects in the spiral magnets CuCl2 and CuBr2.
AB - The nature and symmetry of transition mechanisms in the spin-spiral copper
halides CuCl2 and CuBr2 are analyzed theoretically. The magnetoelectric effects
observed in the two multiferroic compounds are described and their phase diagram
at zero and applied magnetic fields are worked out. The emergence of the electric
polarization at zero field below the paramagnetic phase is shown to result from
the coupling of two distinct spin-density waves and to be only partly related to
the Dzialoshinskii-Moriya interactions. Applying a magnetic field along the two
fold monoclinic axis of CuCl2 yields a decoupling of the spin-density waves
modifying the symmetry of the phase and the spin-spiral orientation. The
remarkable periodic dependences of the magnetic susceptibility and polarization,
on rotating the field in the monoclinic plane, are described theoretically.
PMID- 27869645
TI - Size effect on high temperature variable range hopping in Al+ implanted 4H-SiC.
AB - The hole transport properties of heavily doped 4H-SiC (Al) layers with Al
implanted concentrations of 3 * 1020 and 5 * 1020 cm-3 and annealed in the
temperature range 1950-2100 degrees C, have been analyzed to determine the main
transport mechanisms. This study shows that the temperature dependence of the
resistivity (conductivity) may be accounted for by a variable range hopping (VRH)
transport into an impurity band. Depending on the concentration of the implanted
impurities and the post-implantation annealing treatment, this VRH mechanism
persists over different temperature ranges that may extend up to room
temperature. In this framework, two different transport regimes are identified,
having the characteristic of an isotropic 3D VRH and an anisotropic nearly 2D
VRH. The latter conduction mechanism appears to take place in a rather thick
layer (about 400 nm) that is too large to induce a confinement effect of the
carrier hops. The possibility that an anisotropic transport may be induced by a
structural modification of the implanted layer because of a high density of basal
plane stacking faults (SF) in the implanted layers is considered. The
interpretation of the conduction in the heaviest doped samples in terms of nearly
2D VRH is supported by the results of the transmission electron microscopy (TEM)
investigation on one of the 5 * 1020 cm-3 Al implanted samples of this study.
In this context, the average separation between basal plane SFs, measured along
the c-axis, which is orthogonal to the carrier transport during electrical
characterization, appears to be in keeping with the estimated value of the
optimal hopping length of the VRH theory. Conversely, no SFs are detected by TEM
in a sample with an Al concentration of 1 * 1019 cm-3 where a 3D nearest
neighbor hopping (NNH) transport is observed.
PMID- 27869647
TI - Temperature measurement of Joule heated silicon micro/nanowires using selectively
decorated quantum dots.
AB - We developed a novel method to measure local temperature at micro/nano-scale
regions using selective deposition of quantum dots (QDs) as a sensitive
temperature probe and measured the temperature of Joule heated silicon microwires
(SiMWs) and silicon nanowires (SiNWs) by this method. The QDs are selectively
coated only on the surface of the SiMWs and SiNWs by a sequential process
composed of selective opening of a polymethyl methacrylate layer via Joule
heating, covalent bonding of QDs, and lift-off process. The temperatures of the
Joule-heated SiMWs and SiNWs can be measured by characterizing the temperature
dependent shift of photoluminescence peak of the selectively deposited QDs even
with far-field optics. The validity of the extracted temperature has been also
confirmed by comparing with numerical simulation results. The proposed method can
potentially provide micro/nanoscale measurement of localized temperatures for a
wide range of electrical and optical devices.
PMID- 27869648
TI - Hippo signaling interactions with Wnt/beta-catenin and Notch signaling repress
liver tumorigenesis.
AB - Malignant tumors develop through multiple steps of initiation and progression,
and tumor initiation is of singular importance in tumor prevention, diagnosis,
and treatment. However, the molecular mechanism whereby a signaling network of
interacting pathways restrains proliferation in normal cells and prevents tumor
initiation is still poorly understood. Here, we have reported that the Hippo,
Wnt/beta-catenin, and Notch pathways form an interacting network to maintain
liver size and suppress hepatocellular carcinoma (HCC). Ablation of the mammalian
Hippo kinases Mst1 and Mst2 in liver led to rapid HCC formation and activated Yes
associated protein/WW domain containing transcription regulator 1 (YAP/TAZ),
STAT3, Wnt/beta-catenin, and Notch signaling. Previous work has shown that
abnormal activation of these downstream pathways can lead to HCC. Rigorous
genetic experiments revealed that Notch signaling forms a positive feedback loop
with the Hippo signaling effector YAP/TAZ to promote severe hepatomegaly and
rapid HCC initiation and progression. Surprisingly, we found that Wnt/beta
catenin signaling activation suppressed HCC formation by inhibiting the positive
feedback loop between YAP/TAZ and Notch signaling. Furthermore, we found that
STAT3 in hepatocytes is dispensable for HCC formation when mammalian sterile 20
like kinase 1 and 2 (Mst1 and Mst2) were removed. The molecular network we have
identified provides insights into HCC molecular classifications and therapeutic
developments for the treatment of liver tumors caused by distinct genetic
mutations.
PMID- 27869649
TI - Randomized trial of calcipotriol combined with 5-fluorouracil for skin cancer
precursor immunotherapy.
AB - BACKGROUND: Actinic keratosis is a precursor to cutaneous squamous cell
carcinoma. Long treatment durations and severe side effects have limited the
efficacy of current actinic keratosis treatments. Thymic stromal lymphopoietin
(TSLP) is an epithelium-derived cytokine that induces a robust antitumor immunity
in barrier-defective skin. Here, we investigated the efficacy of calcipotriol, a
topical TSLP inducer, in combination with 5-fluorouracil (5-FU) as an
immunotherapy for actinic keratosis. METHODS: The mechanism of calcipotriol
action against skin carcinogenesis was examined in genetically engineered mouse
models. The efficacy and safety of 0.005% calcipotriol ointment combined with 5%
5-FU cream were compared with Vaseline plus 5-FU for the field treatment of
actinic keratosis in a randomized, double-blind clinical trial involving 131
participants. The assigned treatment was self-applied to the entirety of the
qualified anatomical sites (face, scalp, and upper extremities) twice daily for 4
consecutive days. The percentage of reduction in the number of actinic keratoses
(primary outcome), local skin reactions, and immune activation parameters were
assessed. RESULTS: Calcipotriol suppressed skin cancer development in mice in a
TSLP-dependent manner. Four-day application of calcipotriol plus 5-FU versus
Vaseline plus 5-FU led to an 87.8% versus 26.3% mean reduction in the number of
actinic keratoses in participants (P < 0.0001). Importantly, calcipotriol plus 5
FU treatment induced TSLP, HLA class II, and natural killer cell group 2D (NKG2D)
ligand expression in the lesional keratinocytes associated with a marked CD4+ T
cell infiltration, which peaked on days 10-11 after treatment, without pain,
crusting, or ulceration. CONCLUSION: Our findings demonstrate the synergistic
effects of calcipotriol and 5-FU treatment in optimally activating a CD4+ T cell
mediated immunity against actinic keratoses and, potentially, cancers of the skin
and other organs. TRIAL REGISTRATION: ClinicalTrials.gov NCT02019355. FUNDING:
Not applicable (investigator-initiated clinical trial).
PMID- 27869650
TI - Inhibiting mitochondrial respiration prevents cancer in a mouse model of Li
Fraumeni syndrome.
AB - Li-Fraumeni syndrome (LFS) is a cancer predisposition disorder caused by germline
mutations in TP53 that can lead to increased mitochondrial metabolism in
patients. However, the implications of altered mitochondrial function for
tumorigenesis in LFS are unclear. Here, we have reported that genetic or
pharmacologic disruption of mitochondrial respiration improves cancer-free
survival in a mouse model of LFS that expresses mutant p53. Mechanistically,
inhibition of mitochondrial function increased autophagy and decreased the
aberrant proliferation signaling caused by mutant p53. In a pilot study, LFS
patients treated with metformin exhibited decreases in mitochondrial activity
concomitant with activation of antiproliferation signaling, thus reproducing the
effects of disrupting mitochondrial function observed in LFS mice. These
observations indicate that a commonly prescribed diabetic medicine can restrain
mitochondrial metabolism and tumorigenesis in an LFS model, supporting its
further consideration for cancer prevention in LFS patients.
PMID- 27869651
TI - Pericyte MyD88 and IRAK4 control inflammatory and fibrotic responses to tissue
injury.
AB - Fibrotic disease is associated with matrix deposition that results in the loss of
organ function. Pericytes, the precursors of myofibroblasts, are a source of
pathological matrix collagens and may be promising targets for treating
fibrogenesis. Here, we have shown that pericytes activate a TLR2/4- and MyD88
dependent proinflammatory program in response to tissue injury. Similarly to
classic immune cells, pericytes activate the NLRP3 inflammasome, leading to IL
1beta and IL-18 secretion. Released IL-1beta signals through pericyte MyD88 to
amplify this response. Unexpectedly, we found that MyD88 and its downstream
effector kinase IRAK4 intrinsically control pericyte migration and conversion to
myofibroblasts. Specific ablation of MyD88 in pericytes or pharmacological
inhibition of MyD88 signaling by an IRAK4 inhibitor in vivo protected against
kidney injury by profoundly attenuating tissue injury, activation, and
differentiation of myofibroblasts. Our data show that in pericytes, MyD88 and
IRAK4 are key regulators of 2 major injury responses: inflammatory and
fibrogenic. Moreover, these findings suggest that disruption of this MyD88
dependent pathway in pericytes might be a potential therapeutic approach to
inhibit fibrogenesis and promote regeneration.
PMID- 27869653
TI - Semiparametric Multinomial Ordinal Model to Analyze Spatial Patterns of Child
Birth Weight in Nigeria.
AB - Background: Birth weight is an important health parameter for obstetricians and
gynaecologists. It is a good health indicator of a child-bearing mother and a
strong predictor of infant morbidity and mortality. Methods: This paper utilizes
data on 28,647 children born between 2003-2008 obtained from the 2008 Nigeria
Demographic and Health Survey (NDHS). For a simple epidemiological convenience,
the occurrence of a newborn weight can intuitively be considered to be
categorical in nature and the thresholds can be put on a continuous scale. In
survey reporting, the mothers frequently estimate their infant's birth weight and
make a classification in ordinal category (low, normal, large) instead of actual
birth weight. The study fits a multinomial regression model to analyze the
relationships between the polytomous response and different kind of covariates in
a unified manner. We estimate the fixed effects of bio-social covariates
parametrically and the non-linear effect modeled using P-spline. The spatial
component was modeled using conditional autoregressive error. A penalized maximum
likelihood estimation was performed to estimate the model parameters. Results: We
found risk factors that are positively associated with low birth weight, which
include multiple birth, short birth interval, death of sibling, childhood
diarrhea, fever, mother's smoking, firewood/dung cooking and poor household.
Results further showed that iron syrup supplementation, antenatal attendance,
mother literacy and household wealth had significant association with low
probability of low birth weight. The finding also showed spatial patterns, which
are not captured by the underlying determinants, and we produced probability
predictive maps of the spatial residual effects. Conclusions: In addition to the
statistical relevance of our method, the generated spatial maps identify highly
endemic areas of low birth weight that can assist government agency to channel
scarce health resources. A comprehensive approach which institutes a combination
of interventions to improve the overall health care of the women is needed.
PMID- 27869655
TI - A Shared Decision-Making Approach to Telemedicine: Engaging Rural Patients in
Glycemic Management.
AB - Telemedicine can connect specialist health care providers with patients in remote
and underserved areas. It is especially relevant in diabetes care, where a
proliferation of treatment options has added further complexity to the care of an
already complex, highly prevalent disease. Recent developments in health reform
encourage delivery systems to use team-based models and engage patients in shared
decision-making (SDM), where patients and providers together make health care
decisions that are tailored to the specific characteristics and values of the
patient. The goal of this project was to design, integrate, and evaluate a team
based, SDM approach delivered to patients with diabetes in a rural community,
building upon the previously established telemedicine for reach, education,
access, and treatment (TREAT) model. Patients in this feasibility study
demonstrated improvement in hemoglobin A1c values, and reported better
understanding of diabetes. Providers reported the SDM aids increased cohesion
among team members (including patients) and facilitated patient education and
behavioral goal setting. This project demonstrated that SDM could be integrated
into the workflow of a telemedicine team visit with good provider and patient
satisfaction.
PMID- 27869652
TI - Epithelial-to-mesenchymal transition drives a pro-metastatic Golgi compaction
process through scaffolding protein PAQR11.
AB - Tumor cells gain metastatic capacity through a Golgi phosphoprotein 3-dependent
(GOLPH3-dependent) Golgi membrane dispersal process that drives the budding and
transport of secretory vesicles. Whether Golgi dispersal underlies the pro
metastatic vesicular trafficking that is associated with epithelial-to
mesenchymal transition (EMT) remains unclear. Here, we have shown that, rather
than causing Golgi dispersal, EMT led to the formation of compact Golgi
organelles with improved ribbon linking and cisternal stacking. Ectopic
expression of the EMT-activating transcription factor ZEB1 stimulated Golgi
compaction and relieved microRNA-mediated repression of the Golgi scaffolding
protein PAQR11. Depletion of PAQR11 dispersed Golgi organelles and impaired
anterograde vesicle transport to the plasma membrane as well as retrograde
vesicle tethering to the Golgi. The N-terminal scaffolding domain of PAQR11 was
associated with key regulators of Golgi compaction and vesicle transport in pull
down assays and was required to reconstitute Golgi compaction in PAQR11-deficient
tumor cells. Finally, high PAQR11 levels were correlated with EMT and shorter
survival in human cancers, and PAQR11 was found to be essential for tumor cell
migration and metastasis in EMT-driven lung adenocarcinoma models. We conclude
that EMT initiates a PAQR11-mediated Golgi compaction process that drives
metastasis.
PMID- 27869654
TI - The Safety Attitudes of Senior Managers in the Chinese Coal Industry.
AB - Introduction: Senior managers' attitudes towards safety are very important
regarding the safety practices in an organization. The study is to describe the
current situation of senior managers' attitudes towards safety in the Chinese
coal industry. Method: We evaluated the changing trends as well as the reasons
for these changes in the Chinese coal industry in 2009 and in 2014 with 168
senior manager samples from large Chinese state-owned coal enterprises.
Evaluations of 15 safety concepts were performed by means of a questionnaire.
Results and Conclusions: Results indicate that, in 2014, three concepts were at a
very high level (mean > 4.5), and six were at a relatively high level (4.5 > mean
> 4.0). Analyses of changing trends revealed that nine concepts improved
significantly, while four greatly declined in 2014 compared to those in 2009. The
data reported here suggest that the reasons for the significant improvement with
respect to the nine concepts include the improvement in social and legal
environments, the improvement of the culture of social safety, workers' safety
demands being met, and scientific and technical advances in the coal industry.
The decline of the four concepts seemed to be caused by a poor awareness of
managers in the coal industry that safety creates economic benefits, insufficient
information on safety, inadequate attention to the development of a safety
culture and safety management methods, and safety organizations and workers'
unions not playing their role effectively. Practical Applications: We therefore
recommend strengthening the evidence that safety creates economic benefits,
providing incentives for employees to encourage their participation in safety
management, and paying more attention to the prevention of accidents in coal
mines via safety organizations and unions. These results can provide guidelines
for workers, industrialists, and government regarding occupational safety in the
whole coal industry.
PMID- 27869656
TI - Approach for Self-Calibrating CO2 Measurements with Linear Membrane-Based Gas
Sensors.
AB - Linear membrane-based gas sensors that can be advantageously applied for the
measurement of a single gas component in large heterogeneous systems, e.g., for
representative determination of CO2 in the subsurface, can be designed depending
on the properties of the observation object. A resulting disadvantage is that the
permeation-based sensor response depends on operating conditions, the individual
site-adapted sensor geometry, the membrane material, and the target gas
component. Therefore, calibration is needed, especially of the slope, which could
change over several orders of magnitude. A calibration-free approach based on an
internal gas standard is developed to overcome the multi-criterial slope
dependency. This results in a normalization of sensor response and enables the
sensor to assess the significance of measurement. The approach was proofed on the
example of CO2 analysis in dry air with tubular PDMS membranes for various CO2
concentrations of an internal standard. Negligible temperature dependency was
found within an 18 K range. The transformation behavior of the measurement signal
and the influence of concentration variations of the internal standard on the
measurement signal were shown. Offsets that were adjusted based on the stated
theory for the given measurement conditions and material data from the literature
were in agreement with the experimentally determined offsets. A measurement
comparison with an NDIR reference sensor shows an unexpectedly low bias (<1%) of
the non-calibrated sensor response, and comparable statistical uncertainty.
PMID- 27869657
TI - Real-Time Lane Region Detection Using a Combination of Geometrical and Image
Features.
AB - Over the past few decades, pavement markings have played a key role in
intelligent vehicle applications such as guidance, navigation, and control.
However, there are still serious issues facing the problem of lane marking
detection. For example, problems include excessive processing time and false
detection due to similarities in color and edges between traffic signs
(channeling lines, stop lines, crosswalk, arrows, etc.). This paper proposes a
strategy to extract the lane marking information taking into consideration its
features such as color, edge, and width, as well as the vehicle speed. Firstly,
defining the region of interest is a critical task to achieve real-time
performance. In this sense, the region of interest is dependent on vehicle speed.
Secondly, the lane markings are detected by using a hybrid color-edge feature
method along with a probabilistic method, based on distance-color dependence and
a hierarchical fitting model. Thirdly, the following lane marking information is
extracted: the number of lane markings to both sides of the vehicle, the
respective fitting model, and the centroid information of the lane. Using these
parameters, the region is computed by using a road geometric model. To evaluate
the proposed method, a set of consecutive frames was used in order to validate
the performance.
PMID- 27869659
TI - Full-Field Optical Coherence Tomography Using Galvo Filter-Based Wavelength Swept
Laser.
AB - We report a wavelength swept laser-based full-field optical coherence tomography
for measuring the surfaces and thicknesses of refractive and reflective samples.
The system consists of a galvo filter-based wavelength swept laser and a simple
Michelson interferometer. Combinations of the reflective and refractive samples
are used to demonstrate the performance of the system. By synchronizing the
camera with the source, the cross-sectional information of the samples can be
seen after each sweep of the swept source. This system can be effective for the
thickness measurement of optical thin films as well as for the depth
investigation of samples in industrial applications. A resolution target with a
glass cover slip and a step height standard target are imaged, showing the cross
sectional and topographical information of the samples.
PMID- 27869660
TI - Use of a Fluorescent Aptamer RNA as an Exonic Sequence to Analyze Self-Splicing
Ability of aGroup I Intron from Structured RNAs.
AB - Group I self-splicing intron constitutes an important class of functional RNA
molecules that can promote chemical transformation. Although the fundamental
mechanism of the auto-excision from its precursor RNA has been established,
convenient assay systems for its splicing activity are still useful for a further
understanding of its detailed mechanism and of its application. Because some host
RNA sequences, to which group I introns inserted form stable three-dimensional
(3D) structures, the effects of the 3D structures of exonic elements on the
splicing efficiency of group I introns are important but not a fully investigated
issue. We developed an assay system for group I intron self-splicing by employing
a fluorescent aptamer RNA (spinach RNA) as a model exonic sequence inserted by
the Tetrahymena group I intron. We investigated self-splicing of the intron from
spinach RNA, serving as a model exonic sequence with a 3D structure.
PMID- 27869658
TI - Nano-Engineered Biomimetic Optical Sensors for Glucose Monitoring in Diabetes.
AB - Diabetes is a rapidly growing disease that can be monitored at an individual
level by controlling the blood glucose level, hence minimizing the negative
impact of the disease. Significant research efforts have been focused on the
design of novel and improved technologies to overcome the limitations of existing
glucose analysis methods. In this context, nanotechnology has enabled the
diagnosis at the single cell and molecular level with the possibility of
incorporation in advanced molecular diagnostic biochips. Recent years have
witnessed the exploration and synthesis of various types of nanomaterials with
enzyme-like properties, with their subsequent integration into the design of
biomimetic optical sensors for glucose monitoring. This review paper will provide
insights on the type, nature and synthesis of different biomimetic nanomaterials.
Moreover, recent developments in the integration of these nanomaterials for
optical glucose biosensing will be highlighted, with a final discussion on the
challenges that must be addressed for successful implementation of these nano
devices in the clinical applications is presented.
PMID- 27869661
TI - An Exploratory Investigation of Endotoxin Levels in Novice Long Distance
Triathletes, and the Effects of a Multi-Strain Probiotic/Prebiotic, Antioxidant
Intervention.
AB - Gastrointestinal (GI) ischemia during exercise is associated with luminal
permeability and increased systemic lipopolysaccharides (LPS). This study aimed
to assess the impact of a multistrain pro/prebiotic/antioxidant intervention on
endotoxin unit levels and GI permeability in recreational athletes. Thirty
healthy participants (25 males, 5 females) were randomly assigned either a
multistrain pro/prebiotic/antioxidant (LAB4ANTI; 30 billion CFU.day-1 containing
10 billion CFU.day-1Lactobacillus acidophilus CUL-60 (NCIMB 30157), 10 billion
CFU.day-1Lactobacillus acidophillus CUL-21 (NCIMB 30156), 9.5 billion CFU.day
1Bifidobacterium bifidum CUL-20 (NCIMB 30172) and 0.5 billion CFU.day
1Bifidobacterium animalis subspecies lactis CUL-34 (NCIMB 30153)/55.8 mg.day-1
fructooligosaccharides/ 400 mg.day-1 alpha-lipoic acid, 600 mg.day-1N-acetyl
carnitine); matched pro/prebiotic (LAB4) or placebo (PL) for 12 weeks preceding a
long-distance triathlon. Plasma endotoxin units (via Limulus amebocyte lysate
chromogenic quantification) and GI permeability (via 5 h urinary lactulose (L):
mannitol (M) recovery) were assessed at baseline, pre-race and six days post
race. Endotoxin unit levels were not significantly different between groups at
baseline (LAB4ANTI: 8.20 +/- 1.60 pg.mL-1; LAB4: 8.92 +/- 1.20 pg.mL-1; PL: 9.72
+/- 2.42 pg.mL-1). The use of a 12-week LAB4ANTI intervention significantly
reduced endotoxin units both pre-race (4.37 +/- 0.51 pg.mL-1) and six days post
race (5.18 +/- 0.57 pg.mL-1; p = 0.03, etap2 = 0.35), but only six days post-race
with LAB4 (5.01 +/- 0.28 pg.mL-1; p = 0.01, etap2 = 0.43). In contrast, endotoxin
units remained unchanged with PL. L:M significantly increased from 0.01 +/- 0.01
at baseline to 0.06 +/- 0.01 with PL only (p = 0.004, etap2 = 0.51). Mean race
times (h:min:s) were not statistically different between groups despite faster
times with both pro/prebiotoic groups (LAB4ANTI: 13:17:07 +/- 0:34:48; LAB4:
12:47:13 +/- 0:25:06; PL: 14:12:51 +/- 0:29:54; p > 0.05). Combined multistrain
pro/prebiotic use may reduce endotoxin unit levels, with LAB4ANTI potentially
conferring an additive effect via combined GI modulation and antioxidant
protection.
PMID- 27869662
TI - The Cell Killing Mechanisms of Hydroxyurea.
AB - Hydroxyurea is a well-established inhibitor of ribonucleotide reductase that has
a long history of scientific interest and clinical use for the treatment of
neoplastic and non-neoplastic diseases. It is currently the staple drug for the
management of sickle cell anemia and chronic myeloproliferative disorders. Due to
its reversible inhibitory effect on DNA replication in various organisms,
hydroxyurea is also commonly used in laboratories for cell cycle synchronization
or generating replication stress. However, incubation with high concentrations or
prolonged treatment with low doses of hydroxyurea can result in cell death and
the DNA damage generated at arrested replication forks is generally believed to
be the direct cause. Recent studies in multiple model organisms have shown that
oxidative stress and several other mechanisms may contribute to the majority of
the cytotoxic effect of hydroxyurea. This review aims to summarize the progress
in our understanding of the cell-killing mechanisms of hydroxyurea, which may
provide new insights towards the improvement of chemotherapies that employ this
agent.
PMID- 27869664
TI - Anti-Melanogenic Activity of Gagunin D, a Highly Oxygenated Diterpenoid from the
Marine Sponge Phorbas sp., via Modulating Tyrosinase Expression and Degradation.
AB - Tyrosinase is the rate-limiting enzyme critical for melanin synthesis and
controls pigmentation in the skin. The inhibition of tyrosinase is currently the
most common approach for the development of skin-whitening cosmetics. Gagunin D
(GD), a highly oxygenated diterpenoid isolated from the marine sponge Phorbas
sp., has exhibited cytotoxicity toward human leukemia cells. However, the effect
of GD on normal cells and the molecular mechanisms remain to be elucidated. In
the present study, we identified for the first time the anti-melanogenic activity
of GD and its precise underlying mechanisms in mouse melan-a cells. GD
significantly inhibited melanin synthesis in the melan-a cells and a
reconstructed human skin model. Further analysis revealed that GD suppressed the
expression of tyrosinase and increased the rate of tyrosinase degradation. GD
also inhibited tyrosinase enzymatic activity. In addition, GD effectively
suppressed the expression of proteins associated with melanosome transfer. These
findings suggest that GD is a potential candidate for cosmetic formulations due
to its multi-functional properties.
PMID- 27869663
TI - Dietary Protein Sources and Incidence of Breast Cancer: A Dose-Response Meta
Analysis of Prospective Studies.
AB - Protein is important to the human body, and different sources of protein may have
different effects on the risk of breast cancer. Thus, we conducted a meta
analysis to investigate the association between different dietary protein sources
and breast cancer risk. PubMed and several databases were searched until December
2015. Relevant articles were retrieved according to specific searching criteria.
Forty-six prospective studies were included. The summary relative risk (RR) for
highest versus lowest intake was 1.07 (95% confidence interval (CI) 1.01-1.14, I2
= 34.6%) for processed meat, 0.92 (95% CI 0.84-1.00, I2 = 0%) for soy food, 0.93
(95% CI 0.85-1.00, I2 = 40.1%) for skim milk, and 0.90 (95% CI 0.82-1.00, I2 =
0%) for yogurt. Similar conclusions were obtained in dose-response association
for each serving increase: total red meat (RR: 1.07; 95% CI 1.01-1.14, I2 =
7.1%), fresh red meat (RR: 1.13; 95% CI 1.01-1.26, I2 = 56.4%), processed meat
(RR: 1.09; 95% CI 1.02-1.17, I2 = 11.8%), soy food (RR: 0.91; 95% CI 0.84-1.00,
I2 = 0%), and skim milk (RR: 0.96; 95% CI 0.92-1.00, I2 = 11.9%). There was a
null association between poultry, fish, egg, nuts, total milk, and whole milk
intake and breast cancer risk. Higher total red meat, fresh red meat, and
processed meat intake may be risk factors for breast cancer, whereas higher soy
food and skim milk intake may reduce the risk of breast cancer.
PMID- 27869666
TI - Gingival Crevicular Fluid as a Novel Potential Source of Biomarkers Distinguishes
Pubertal from Post-Pubertal Subjects.
AB - Detection of pubertal growth peak is vital in orthodontic treatment timing and
planning. Gingival crevicular fluid (GCF) contains abundant proteins from
different sources and has been proven to be an ideal source of biomarkers. Matrix
assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI
TOF/MS) is an advanced technique that can detect low-molecular-weight peptides
with high sensitivity and resolution. The aim of this research was to identify
novel candidate biomarkers in GCF to help the diagnosis of pubertal growth peak
by MALDI-TOF/MS. Results showed that the peak intensities of six peptides were
significantly different between two groups: 1660.2 Da, 1783.0 Da, 2912.5 Da,
4178.6 Da, 5064.9 Da, and 6108.9 Da and are considered to be potential candidate
biomarkers to identify pubertal growth peak. Further studies are needed to
identify sequence information of these candidate biomarkers.
PMID- 27869665
TI - Anticancer Effects of Rosemary (Rosmarinus officinalis L.) Extract and Rosemary
Extract Polyphenols.
AB - Cancer cells display enhanced growth rates and a resistance to apoptosis. The
ability of cancer cells to evade homeostasis and proliferate uncontrollably while
avoiding programmed cell death/apoptosis is acquired through mutations to key
signaling molecules, which regulate pathways involved in cell proliferation and
survival. Compounds of plant origin, including food components, have attracted
scientific attention for use as agents for cancer prevention and treatment. The
exploration into natural products offers great opportunity to evaluate new
anticancer agents as well as understand novel and potentially relevant mechanisms
of action. Rosemary extract has been reported to have antioxidant, anti
inflammatory, antidiabetic and anticancer properties. Rosemary extract contains
many polyphenols with carnosic acid and rosmarinic acid found in highest
concentrations. The present review summarizes the existing in vitro and in vivo
studies focusing on the anticancer effects of rosemary extract and the rosemary
extract polyphenols carnosic acid and rosmarinic acid, and their effects on key
signaling molecules.
PMID- 27869668
TI - Validation of Remote Sensing Retrieval Products using Data from a Wireless Sensor
Based Online Monitoring in Antarctica.
AB - Of the modern technologies in polar-region monitoring, the remote sensing
technology that can instantaneously form large-scale images has become much more
important in helping acquire parameters such as the freezing and melting of ice
as well as the surface temperature, which can be used in the research of global
climate change, Antarctic ice sheet responses, and cap formation and evolution.
However, the acquirement of those parameters is impacted remarkably by the
climate and satellite transit time which makes it almost impossible to have
timely and continuous observation data. In this research, a wireless sensor-based
online monitoring platform (WSOOP) for the extreme polar environment is applied
to obtain a long-term series of data which is site-specific and continuous in
time. Those data are compared and validated with the data from a weather station
at Zhongshan Station Antarctica and the result shows an obvious correlation. Then
those data are used to validate the remote sensing products of the freezing and
melting of ice and the surface temperature and the result also indicated a
similar correlation. The experiment in Antarctica has proven that WSOOP is an
effective system to validate remotely sensed data in the polar region.
PMID- 27869669
TI - Compressed Symmetric Nested Arrays and Their Application for Direction-of-Arrival
Estimation of Near-Field Sources.
AB - In this paper, a new sensor array geometry, called a compressed symmetric nested
array (CSNA), is designed to increase the degrees of freedom in the near field.
As its name suggests, a CSNA is constructed by getting rid of some elements from
two identical nested arrays. The closed form expressions are also presented for
the sensor locations and the largest degrees of freedom obtainable as a function
of the total number of sensors. Furthermore, a novel DOA estimation method is
proposed by utilizing the CSNA in the near field. By employing this new array
geometry, our method can identify more sources than sensors. Compared with other
existing methods, the proposed method achieves higher resolution because of
increased array aperture. Simulation results are demonstrated to verify the
effectiveness of the proposed method.
PMID- 27869670
TI - Homologous Prime-Boost Vaccination with OVA Entrapped in Self-Adjuvanting
Archaeosomes Induces High Numbers of OVA-Specific CD8+ T Cells that Protect
Against Subcutaneous B16-OVA Melanoma.
AB - Homologous prime-boost vaccinations with live vectors typically fail to induce
repeated strong CD8+ T cell responses due to the induction of anti-vector
immunity, highlighting the need for alternative delivery vehicles. The unique
ether lipids of archaea may be constituted into liposomes, archaeosomes, which do
not induce anti-carrier responses, making them an ideal candidate for use in
repeat vaccination systems. Herein, we evaluated in mice the maximum threshold of
antigen-specific CD8+ T cell responses that may be induced by multiple homologous
immunizations with ovalbumin (OVA) entrapped in archaeosomes derived from the
ether glycerolipids of the archaeon Methanobrevibacter smithii (MS-OVA). Up to
three immunizations with MS-OVA administered in optimized intervals (to allow for
sufficient resting of the primed cells prior to boosting), induced a potent anti
OVA CD8+ T cell response of up to 45% of all circulating CD8+ T cells. Additional
MS-OVA injections did not add any further benefit in increasing the memory of
CD8+ T cell frequency. In contrast, OVA expressed by Listeria monocytogenes (LM
OVA), an intracellular bacterial vector failed to evoke a boosting effect after
the second injection, resulting in significantly reduced antigen-specific CD8+ T
cell frequencies. Furthermore, repeated vaccination with MS-OVA skewed the
response increasingly towards an effector memory (CD62low) phenotype. Vaccinated
animals were challenged with B16-OVA at late time points after vaccination (+7
months) and were afforded protection compared to control. Therefore, archaeosomes
constituted a robust particulate delivery system to unravel the kinetics of CD8+
T cell response induction and memory maintenance and constitute an efficient
vaccination regimen optimized for tumor protection.
PMID- 27869672
TI - Analysis of Multi-Antenna GNSS Receiver Performance under Jamming Attacks.
AB - Although antenna array-based Global Navigation Satellite System (GNSS) receivers
can be used to mitigate both narrowband and wideband electronic interference
sources, measurement distortions induced by array processing methods are not
suitable for high precision applications. The measurement distortions have an
adverse effect on the carrier phase ambiguity resolution, affecting the
navigation solution. Depending on the array attitude information availability and
calibration parameters, different spatial processing methods can be implemented
although they distort carrier phase measurements in some cases. This paper
provides a detailed investigation of the effect of different array processing
techniques on array-based GNSS receiver measurements and navigation performance.
The main novelty of the paper is to provide a thorough analysis of array-based
GNSS receivers employing different beamforming techniques from tracking to
navigation solution. Two beamforming techniques, namely Power Minimization (PM)
and Minimum Power Distortionless Response (MPDR), are being investigated. In the
tracking domain, the carrier Doppler, Phase Lock Indicator (PLI), and Carrier-to
Noise Ratio (C/N0) are analyzed. Pseudorange and carrier phase measurement
distortions and carrier phase position performance are also evaluated.
Performance analyses results from simulated GNSS signals and field tests are
provided.
PMID- 27869667
TI - Metabolomics, a Powerful Tool for Agricultural Research.
AB - Metabolomics, which is based mainly on nuclear magnetic resonance (NMR), gas
chromatography (GC) or liquid-chromatography (LC) coupled to mass spectrometry
(MS) analytical technologies to systematically acquire the qualitative and
quantitative information of low-molecular-mass endogenous metabolites, provides a
direct snapshot of the physiological condition in biological samples. As
complements to transcriptomics and proteomics, it has played pivotal roles in
agricultural and food science research. In this review, we discuss the capacities
of NMR, GC/LC-MS in the acquisition of plant metabolome, and address the
potential promise and diverse applications of metabolomics, particularly
lipidomics, to investigate the responses of Arabidopsis thaliana, a primary plant
model for agricultural research, to environmental stressors including heat,
freezing, drought, and salinity.
PMID- 27869671
TI - The Evolution of Total Phenolic Compounds and Antioxidant Activities during
Ripening of Grapes (Vitis vinifera L., cv. Tempranillo) Grown in Semiarid Region:
Effects of Cluster Thinning and Water Deficit.
AB - A study was made of how water status (rainfed vs. irrigated) and crop load (no
cluster thinning vs. cluster thinning) can together affect the grapes of Vitis
vinifera cv. Tempranillo vines growing in a semiarid zone of Extremadura (Spain).
The grapes were monitored at different stages of ripening, measuring the
peroxidase (POX) and superoxide dismutase (SOD) antioxidant activities and the
phenolic content (flavonoids and phenylpropanoids), together with other
parameters. The irrigation regime was adjusted to provide 100% of crop
evapotranspiration (ETc). The findings confirmed previous results that both
thinning and water deficit advance ripening, while irrigation and high crop load
(no thinning) lengthen the growth cycle. The SOD activity remained practically
constant throughout ripening in the thinned treatments and was always lower than
in the unthinned treatments, an aspect which could have been the cause of the
observed greater level of lipid peroxidation in the water deficit, thinned
treatment. The nonspecific peroxidase activity was very low, especially in the
thinned treatments. The effect of thinning was enhanced when combined with water
deficit, inducing increases in phenylpropanoids and, above all, flavonoids at the
harvest stage of ripening, while leaving the polyphenol oxidase activity (PPO)
unaffected.
PMID- 27869674
TI - Transdermal Permeation and Anti-Inflammation Activities of Novel Sinomenine
Derivatives.
AB - Sinomenine is extracted from Sinomenii caulis (a traditional Chinese medicine),
and it is used as the active ingredient in rheumatic arthritis treatments. It has
been used in clinical applications for decades. However, there are some
disadvantages, including low activity in transdermal permeation and a high dosage
being clinically required. To overcome these defects, sinomenine was used as a
primer, and structural modification was performed. In our study, eight new
compounds were screened out by transdermal permeation in vitro and anti
inflammatory response in vitro and in vivo. Compound 1a exhibited the most potent
transdermal permeation and anti-inflammatory activity. Based on these results,
further development of this compound may be warranted.
PMID- 27869673
TI - 3D Visualization of Developmental Toxicity of 2,4,6-Trinitrotoluene in Zebrafish
Embryogenesis Using Light-Sheet Microscopy.
AB - Environmental contamination by trinitrotoluene is of global concern due to its
widespread use in military ordnance and commercial explosives. Despite known long
term persistence in groundwater and soil, the toxicological profile of
trinitrotoluene and other explosive wastes have not been systematically measured
using in vivo biological assays. Zebrafish embryos are ideal model vertebrates
for high-throughput toxicity screening and live in vivo imaging due to their
small size and transparency during embryogenesis. Here, we used Single Plane
Illumination Microscopy (SPIM)/light sheet microscopy to assess the developmental
toxicity of explosive-contaminated water in zebrafish embryos and report 2,4,6
trinitrotoluene-associated developmental abnormalities, including defects in
heart formation and circulation, in 3D. Levels of apoptotic cell death were
higher in the actively developing tissues of trinitrotoluene-treated embryos than
controls. Live 3D imaging of heart tube development at cellular resolution by
light-sheet microscopy revealed trinitrotoluene-associated cardiac toxicity,
including hypoplastic heart chamber formation and cardiac looping defects, while
the real time PCR (polymerase chain reaction) quantitatively measured the
molecular changes in the heart and blood development supporting the developmental
defects at the molecular level. Identification of cellular toxicity in zebrafish
using the state-of-the-art 3D imaging system could form the basis of a sensitive
biosensor for environmental contaminants and be further valued by combining it
with molecular analysis.
PMID- 27869675
TI - Pterostilbene Inhibits Human Multiple Myeloma Cells via ERK1/2 and JNK Pathway In
Vitro and In Vivo.
AB - Multiple myeloma (MM) is the second most common malignancy in the hematologic
system, which is characterized by accumulation of plasma cells in bone marrow.
Pterostilbene (PTE) is a natural dimethylated analog of resveratrol, which has
anti-oxidant, anti-inflammatory and anti-tumor properties. In the present study,
we examined the anti-tumor effect of PTE on MM cell lines both in vitro and in
vivo using the cell counting kit (CCK)-8, apoptosis assays, cell cycle analysis,
reactive oxygen species (ROS) generation, JC-1 mitochondrial membrane potential
assay, Western blotting and tumor xenograft models. The results demonstrated that
PTE induces apoptosis in the H929 cell line and causes cell cycle arrest at G0/G1
phase by enhancing ROS generation and reducing mitochondrial membrane potential.
The anti-tumor effect of PTE may be caused by the activation of the extracellular
regulated protein kinases (ERK) 1/2 and c-Jun N-terminal kinase (JNK) signaling
pathways. Additionally, mice treated with PTE by intraperitoneal injection
demonstrated reduced tumor volume. Taken together, the results of this study
indicate that the anti-tumor effect of PTE on MM cells may provide a new
therapeutic option for MM patients.
PMID- 27869676
TI - Nitrogen Removal from Landfill Leachate by Microalgae.
AB - Landfill leachates result from the degradation of solid residues in sanitary
landfills, thus presenting a high variability in terms of composition. Normally,
these effluents are characterized by high ammoniacal-nitrogen (N-NH4+)
concentrations, high chemical oxygen demands and low phosphorus concentrations.
The development of effective treatment strategies becomes difficult, posing a
serious problem to the environment. Phycoremediation appears to be a suitable
alternative for the treatment of landfill leachates. In this study, the potential
of Chlorella vulgaris for biomass production and nutrients (mainly nitrogen and
phosphorus) removal from different compositions of a landfill leachate was
evaluated. Since microalgae also require phosphorus for their growth, different
loads of this nutrient were evaluated, giving the following N:P ratios: 12:1,
23:1 and 35:1. The results have shown that C. vulgaris was able to grow in the
different leachate compositions assessed. However, microalgal growth was higher
in the cultures presenting the lowest N-NH4+ concentration. In terms of nutrients
uptake, an effective removal of N-NH4+ and phosphorus was observed in all the
experiments, especially in those supplied with phosphorus. Nevertheless, N-NO3-
removal was considered almost negligible. These promising results constitute
important findings in the development of a bioremediation technology for the
treatment of landfill leachates.
PMID- 27869678
TI - Correction: Griffith, D.M., et al. Novel Improved Synthesis of HSP70 Inhibitor,
Pifithrin-MU. In Vitro Synergy Quantification of Pifithrin-MU Combined with Pt
Drugs in Prostate and Colorectal Cancer Cells. Molecules 2016, 21, 949.
AB - n/a.
PMID- 27869677
TI - Antimicrobial Activity of Zabofloxacin against Clinically Isolated Streptococcus
pneumoniae.
AB - Zabofloxacin is a novel fluoroquinolone agent that has potent activity against
gram-positive pathogens. In this study, we confirmed that zabofloxacin showed the
most potent in vitro and in vivo activities against drug-resistant Streptococcus
pneumoniae. Among the fluoroquinolone compounds, zabofloxacin showed the most
potent in vitro activity against clinical isolates of penicillin-sensitive S.
pneumoniae (minimum inhibitory concentration, MIC90: 0.03 mg/L) and penicillin
resistant S. pneumoniae (MIC90: 0.03 mg/L). Against quinolone-resistant S.
pneumoniae, zabofloxacin (MIC90: 1 mg/L) was more active than ciprofloxacin,
sparfloxacin, and moxifloxacin; however, its activity was the same as that of
gemifloxacin. The in vivo activity of zabofloxacin was most potent among the
quinolone compounds tested against the systemic infection and respiratory tract
infection models in mice.
PMID- 27869679
TI - Transcriptome-Based Discovery of Fusarium graminearum Stress Responses to FgHV1
Infection.
AB - Fusarium graminearum hypovirus 1 (FgHV1), which is phylogenetically related to
Cryphonectria hypovirus 1 (CHV1), is a virus in the family Hypoviridae that
infects the plant pathogenic fungus F. graminearum. Although hypovirus FgHV1
infection does not attenuate the virulence of the host (hypovirulence), it
results in defects in mycelial growth and spore production. We now report that
the vertical transmission rate of FgHV1 through asexual spores reached 100%.
Using RNA deep sequencing, we performed genome-wide expression analysis to reveal
phenotype-related genes with expression changes in response to FgHV1 infection. A
total of 378 genes were differentially expressed, suggesting that hypovirus
infection causes a significant alteration of fungal gene expression. Nearly two
times as many genes were up-regulated as were down-regulated. A differentially
expressed gene enrichment analysis identified a number of important pathways.
Metabolic processes, the ubiquitination system, and especially cellular redox
regulation were the most affected categories in F. graminearum challenged with
FgHV1. The p20, encoded by FgHV1 could induce H2O2 accumulation and
hypersensitive response in Nicotiana benthamiana leaves. Moreover, hypovirus
FgHV1 may regulate transcription factors and trigger the RNA silencing pathway in
F. graminearum.
PMID- 27869680
TI - Gut Bacteria and Hydrogen Sulfide: The New Old Players in Circulatory System
Homeostasis.
AB - Accumulating evidence suggests that gut bacteria play a role in homeostasis of
the circulatory system in mammals. First, gut bacteria may affect the nervous
control of the circulatory system via the sensory fibres of the enteric nervous
system. Second, gut bacteria-derived metabolites may cross the gut-blood barrier
and target blood vessels, the heart and other organs involved in the regulation
of the circulatory system. A number of studies have shown that hydrogen sulfide
(H2S) is an important biological mediator in the circulatory system. Thus far,
research has focused on the effects of H2S enzymatically produced by
cardiovascular tissues. However, some recent evidence indicates that H2S released
in the colon may also contribute to the control of arterial blood pressure.
Incidentally, sulfate-reducing bacteria are ubiquitous in mammalian colon, and
H2S is just one among a number of molecules produced by the gut flora. Other gut
bacteria-derived compounds that may affect the circulatory system include
methane, nitric oxide, carbon monoxide, trimethylamine or indole. In this paper,
we review studies that imply a role of gut microbiota and their metabolites, such
as H2S, in circulatory system homeostasis.
PMID- 27869681
TI - Induction of Laccase, Lignin Peroxidase and Manganese Peroxidase Activities in
White-Rot Fungi Using Copper Complexes.
AB - Ligninolytic enzymes, such as laccase, lignin peroxidase and manganese
peroxidase, are biotechnologically-important enzymes. The ability of five white
rot fungal strains Daedaleopsis confragosa, Fomes fomentarius, Trametes gibbosa,
Trametes suaveolens and Trametes versicolor to produce these enzymes has been
studied. Three different copper(II) complexes have been prepared
((Him)[Cu(im)4(H2O)2](btc).3H2O, where im = imidazole, H3btc = 1,3,5
benzenetricarboxylic acid, [Cu3(pmdien)3(btc)](ClO4)3.6H2O) and
[Cu3(mdpta)3(btc)](ClO4)3.4H2O, where pmdien = N,N,N',N'',N''-pentamethyl
diethylenetriamine and mdpta = N,N-bis-(3-aminopropyl)methyl- amine), and their
potential application for laccase and peroxidases induction have been tested. The
enzyme-inducing activities of the complexes were compared with that of copper
sulfate, and it has been found that all of the complexes are suitable for the
induction of laccase and peroxidase activities in white-rot fungi; however, the
newly-synthesized complex M1 showed the greatest potential for the induction.
With respect to the different copper inducers, this parameter seems to be
important for enzyme activity, which depends also on the fungal strains.
PMID- 27869682
TI - An Indoor Monitoring System for Ambient Assisted Living Based on Internet of
Things Architecture.
AB - The study of systems and architectures for ambient assisted living (AAL) is
undoubtedly a topic of great relevance given the aging of the world population.
The AAL technologies are designed to meet the needs of the aging population in
order to maintain their independence as long as possible. As people typically
spend more than 90% of their time in indoor environments, indoor air quality
(iAQ) is perceived as an imperative variable to be controlled for the
inhabitants' wellbeing and comfort. Advances in networking, sensors, and embedded
devices have made it possible to monitor and provide assistance to people in
their homes. The continuous technological advancements make it possible to build
smart objects with great capabilities for sensing and connecting several possible
advancements in ambient assisted living systems architectures. Indoor
environments are characterized by several pollutant sources. Most of the
monitoring frameworks instantly accessible are exceptionally costly and only
permit the gathering of arbitrary examples. iAQ is an indoor air quality system
based on an Internet of Things paradigm that incorporates in its construction
Arduino, ESP8266, and XBee technologies for processing and data transmission and
micro sensors for data acquisition. It also allows access to data collected
through web access and through a mobile application in real time, and this data
can be accessed by doctors in order to support medical diagnostics. Five smaller
scale sensors of natural parameters (air temperature, moistness, carbon monoxide,
carbon dioxide, and glow) were utilized. Different sensors can be included to
check for particular contamination. The results reveal that the system can give a
viable indoor air quality appraisal in order to anticipate technical
interventions for improving indoor air quality. Indeed indoor air quality might
be distinctively contrasted with what is normal for a quality living environment.
PMID- 27869684
TI - Quantitative Structure Activity Relationship of Cinnamaldehyde Compounds against
Wood-Decaying Fungi.
AB - Cinnamaldehyde, of the genius Cinnamomum, is a major constituent of the bark of
the cinnamon tree and possesses broad-spectrum antimicrobial activity. In this
study, we used best multiple linear regression (BMLR) to develop quantitative
structure activity relationship (QSAR) models for cinnamaldehyde derivatives
against wood-decaying fungi Trametes versicolor and Gloeophyllun trabeum. Based
on the two optimal QSAR models, we then designed and synthesized two novel
cinnamaldehyde compounds. The QSAR models exhibited good correlation
coefficients: R2Tv = 0.910 for Trametes versicolor and R2Gt = 0.926 for
Gloeophyllun trabeum. Small errors between the experimental and calculated values
of two designed compounds indicated that these two QSAR models have strong
predictability and stability.
PMID- 27869683
TI - Sub-Acute Toxicity Study of Graphene Oxide in the Sprague-Dawley Rat.
AB - Graphene oxide (GO) is an oxidized derivative of graphene used in biotechnology
and medicine. The safety of GO is uncertain, so we evaluated its toxicity in male
rats. Rat tail veins were injected with 2.5, 5, or 10 mg/kg GO for seven days and
behavioral patterns, pathology, and tissue morphology were assessed. Data show
that behaviors were not altered according to an open field test and a functional
observational battery test, but histopathological analysis indicated that GO
caused inflammation of the lung, liver, and spleen. GO also reduced cholesterol,
high density lipoprotein (HDL), and low density lipoprotein (LDL). No other
organs were modified. Thus, high concentrations of GO are toxic for the lung,
liver, and spleen, but the mechanism by which this occurs requires more study.
PMID- 27869685
TI - Three-Dimensional Biologically Relevant Spectrum (BRS-3D): Shape Similarity
Profile Based on PDB Ligands as Molecular Descriptors.
AB - The crystallized ligands in the Protein Data Bank (PDB) can be treated as the
inverse shapes of the active sites of corresponding proteins. Therefore, the
shape similarity between a molecule and PDB ligands indicated the possibility of
the molecule to bind with the targets. In this paper, we proposed a shape
similarity profile that can be used as a molecular descriptor for ligand-based
virtual screening. First, through three-dimensional (3D) structural clustering,
300 diverse ligands were extracted from the druggable protein-ligand database, sc
PDB. Then, each of the molecules under scrutiny was flexibly superimposed onto
the 300 ligands. Superimpositions were scored by shape overlap and property
similarity, producing a 300 dimensional similarity array termed the "Three
Dimensional Biologically Relevant Spectrum (BRS-3D)". Finally, quantitative or
discriminant models were developed with the 300 dimensional descriptor using
machine learning methods (support vector machine). The effectiveness of this
approach was evaluated using 42 benchmark data sets from the G protein-coupled
receptor (GPCR) ligand library and the GPCR decoy database (GLL/GDD). We compared
the performance of BRS-3D with other 2D and 3D state-of-the-art molecular
descriptors. The results showed that models built with BRS-3D performed best for
most GLL/GDD data sets. We also applied BRS-3D in histone deacetylase 1
inhibitors screening and GPCR subtype selectivity prediction. The advantages and
disadvantages of this approach are discussed.
PMID- 27869686
TI - The Association of Serum Bisphenol A with Thyroid Autoimmunity.
AB - Introduction: Data on the association of bisphenol A (BPA) exposure and
autoimmunity in humans is unclear. Objective: To elucidate the influence of BPA
on thyroid autoimmunity, in the present study we assessed the association between
serum BPA and thyroid autoantibodies. Methods: Serum samples from 2361 subjects,
aged >=15 years, from the Thai 4th National Health Examination Survey were
measured for BPA, antithyroglobulin (TgAb), antithyroperoxidase (TPOAb) and
antithyrotrophin receptor (TRAb) antibodies. Results: The proportion of subjects
positive for TgAb, TPOAb and TRAb were 11.1%, 14.9% and 1.9%, respectively. With
regard to BPA, 51.9% had serum BPA levels exceeding the detection limit of the
assay (0.3). There was a significant increasing trend for subjects with TgAb (p <
0.05) and TPOAb (p < 0.001) positivity as BPA quartiles increased, particularly
in the highest quartile. In contrast, no relationship between BPA quartiles and
TRAb was found. Logistic regression analysis showed that age, gender and BPA
quartiles were determinants of TPOAb or TgAb positivity, independent of BMI.
However, only the association between BPA and TPOAb positivity was consistent in
both men and women. Conclusions: BPA was independently associated with TPOAb
positivity. However, its mechanism related to TPOAb positivity, subsequently
leading to autoimmune thyroid disease, needs further investigation.
PMID- 27869687
TI - Structure, Spatial and Temporal Distribution of the Culex pipiens Complex in
Shanghai, China.
AB - BACKGROUND: Culex pipiens molestus was first reported in Shanghai in 2010. The
population structures and seasonal distributions of Culex pipiens subspecies C.
p. molestus, Culex pipiens pallens, and Culex pipiens quinquefasciatus are not
well known. METHODS: From late February to November 2013, we conducted daily
field surveillance of mosquitoes at eight sites at two green lands and three
residential areas in downtown Shanghai. Morphological comparison and DV/D ratios
(DV/D is an indicator of mosquito taxonomy) were used to identify adult
mosquitoes. RESULTS: The distribution curves of the Culex pipiens complex members
indicated seasonal fluctuations. The temperature range of 20-25 degrees C was
the most suitable for adult activity. Micro-environmental factors may
differentiate the complex population structures. Hybridization between C. p.
pallens and C. p. quinquefasciatus was common and neither "DV/D = 0.40" nor "DV/D
= 0.50" can distinguish these subspecies and their hybrids. Conclusion: the
population structure of the Culex pipiens complex is complex and characterized by
significant hybridization. Measures other than DV/D ratios are needed for the
discrimination of subspecies. The C. p. molestus invasion might result in the
transmission of novel vector-borne diseases in Shanghai.
PMID- 27869688
TI - Comparison of Secular Trends in Cervical Cancer Mortality in China and the United
States: An Age-Period-Cohort Analysis.
AB - Background: As one of the most common cancers in the female population, cervical
cancer has ranked as the second most incident gynecological cancer in recent
years, trailing only breast cancer. We aimed to assess and compare the secular
trends in cervical cancer mortality in China and the United States and analyze
the independent effects of chronological age, time period and birth cohort using
age-period-cohort (APC) analysis. Methods: We performed an age-period-cohort
analysis using the intrinsic estimator method to estimate the independent effects
of age, time period, and birth cohort on cervical cancer mortality. We collected
mortality data for China and the United States from the WHO Mortality Database
and China Health Statistical Yearbook database. Results: We examined the general
trends in cervical mortality rates in China and the United States during the
periods 1988-2012 and 1953-2012, respectively. The age-standardized mortality
rates (ASMRs) for cervical cancer in urban China, rural China and the U.S. showed
a general decreasing trend during the observation period, except for urban China,
which experienced a significant increase beginning in 2002. The mortality rates
for cervical cancer in the three areas showed a general increasing trend with
age, regardless of the period effect. Period effects declined steadily in both
rural China (from 0.19 to -0.26) and the U.S. (from -0.20 to -0.43); however, a
slight increasing trend was identified (from -0.25 to 0.33) in urban China, which
indicated that the risk of mortality increased with time. Cohort effects peaked
in the cohort born in 1911-1915 in both rural China and urban China, declined
consistently in the cohort born before 1950, and then decreased again in the
cohort born after 1976-1980. The cohort effect in the U.S. peaked in the birth
cohort born in 1876-1880, then leveled off and slightly decreased in younger
generations. Conclusions: Our study showed that in general, cervical cancer
mortality rates increased with age and decreased with birth cohort in the U.S.,
while the risk of mortality was highest in the cohort born during 1946-1975 in
urban China. Additionally, the risk of mortality consistently increased with age
in women younger than 64 years old in urban and rural China and began to decline
in older groups. Although the age and cohort effects were relatively strong, the
period effect may be the key factor affecting cervical cancer mortality trends,
mainly reflecting the immediate effects of effective treatment and the
implementation of screening.
PMID- 27869689
TI - Multi-Axis Force/Torque Sensor Based on Simply-Supported Beam and
Optoelectronics.
AB - This paper presents a multi-axis force/torque sensor based on simply-supported
beam and optoelectronic technology. The sensor's main advantages are: (1) Low
power consumption; (2) low-level noise in comparison with conventional methods of
force sensing (e.g., using strain gauges); (3) the ability to be embedded into
different mechanical structures; (4) miniaturisation; (5) simple manufacture and
customisation to fit a wide-range of robot systems; and (6) low-cost fabrication
and assembly of sensor structure. For these reasons, the proposed multi-axis
force/torque sensor can be used in a wide range of application areas including
medical robotics, manufacturing, and areas involving human-robot interaction.
This paper shows the application of our concept of a force/torque sensor to
flexible continuum manipulators: A cylindrical MIS (Minimally Invasive Surgery)
robot, and includes its design, fabrication, and evaluation tests.
PMID- 27869690
TI - Biogenesis of Triterpene Dimers from Orthoquinones Related to Quinonemethides:
Theoretical Study on the Reaction Mechanism.
AB - The biogenetic origin of triterpene dimers from the Celastraceae family has been
proposed as assisted hetero-Diels-Alder reaction (HDA). In this work,
computational calculation of HDA between natural quinonemethides (tingenone and
isopristimerol) and hypothetical orthoquinones has been performed at the M06-2X/6
31G(d) level of theory. We have located all the HDA transition states supporting
the biogenetic route via HDA cycloadditions. We found that all reactions take
place through a concerted inverse electron demand and asynchronous mechanism. The
enzymatic assistance for dimer formation was analyzed in terms of the calculated
transition state energy barrier.
PMID- 27869693
TI - Putting the Barker Theory into the Future: Time to Act on Preventing Pediatric
Obesity.
AB - Growth and development are key characteristics of childhood and sensitive markers
of health and adequate nutrition. The first 1000 days of life-conception through
24 months of age-represent a fundamental period for development and thus the
prevention of childhood obesity and its adverse consequences is mandatory. There
are many growth drivers during this complex phase of life, such as nutrition,
genetic and epigenetic factors, and hormonal regulation. The challenge thus
involves maximizing the potential for normal growth without increasing the risk
of associated disorders. The Mediterranean Nutrition Group (MeNu Group), a group
of researchers of the Mediterranean Region, in this Special Issue titled "Prevent
Obesity in the First 1000 Days", presented results that advanced the science of
obesity risk factors in early life, coming both from animal model studies and
studies in humans. In the future, early-life intervention designs for the
prevention of pediatric obesity will need to look at different strategies, and
the MeNu Group is available for guidance regarding an appropriate conceptual
framework to accomplish either prevention or treatment strategies to tackle
pediatric obesity.
PMID- 27869692
TI - A Stereocontrolled Protocol to Highly Functionalized Fluorinated Scaffolds
through a Fluoride Opening of Oxiranes.
AB - A novel selective and substrate-dependent synthetic protocol has been developed
towards the synthesis of various fluorine-containing, highly functionalized
cycloalkane derivatives. The method involves the stereoselective epoxidation of
some unsaturated cyclic beta-amino acid derivatives as model compounds, followed
by a regioselective fluoride opening of oxiranes under various conditions with
Deoxofluor and XtalFluor-E reagents, thereby offering an insight into this new
epoxide opening methodology with fluoride.
PMID- 27869691
TI - Bacterial Biotransformation of Pentachlorophenol and Micropollutants Formed
during Its Production Process.
AB - Pentachlorophenol (PCP) is a toxic and persistent wood and cellulose preservative
extensively used in the past decades. The production process of PCP generates
polychlorinated dibenzo-p-dioxins and polychlorinated dibenzofurans (PCDD/Fs) as
micropollutants. PCDD/Fs are also known to be very persistent and dangerous for
human health and ecosystem functioning. Several physico-chemical and biological
technologies have been used to remove PCP and PCDD/Fs from the environment.
Bacterial degradation appears to be a cost-effective way of removing these
contaminants from soil while causing little impact on the environment. Several
bacteria that cometabolize or use these pollutants as their sole source of carbon
have been isolated and characterized. This review summarizes current knowledge on
the metabolic pathways of bacterial degradation of PCP and PCDD/Fs. PCP can be
successfully degraded aerobically or anaerobically by bacteria. Highly
chlorinated PCDD/Fs are more likely to be reductively dechlorinated, while less
chlorinated PCDD/Fs are more prone to aerobic degradation. The biochemical and
genetic basis of these pollutants' degradation is also described. There are
several documented studies of effective applications of bioremediation techniques
for the removal of PCP and PCDD/Fs from soil and sediments. These findings
suggest that biodegradation can occur and be applied to treat these contaminants.
PMID- 27869694
TI - The C-Terminal O-S Acyl Shift Pathway under Acidic Condition to Propose Peptide
Thioesters.
AB - Peptide-thioester is a pivotal intermediate for peptide ligation and N-, C
terminal cyclization. In this study, desired pathway and the side products of two
C-terminal handles, hydroxyethylthiol (HET) and hydroxypropylthiol (HPT) are
described in different conditions as well as kinetic studies. In addition, a new
mechanism of C-terminal residue racemization is proposed on the basis of
differentiation of products derived from the two C-terminal handles in preparing
peptide thioesters through an acid-catalyzed tandem thiol switch, first by an
intramolecular O-S acyl shift, and then by an intermolecular S-S exchange.
PMID- 27869695
TI - Studies in a Murine Model Confirm the Safety of Griffithsin and Advocate Its
Further Development as a Microbicide Targeting HIV-1 and Other Enveloped Viruses.
AB - Griffithsin (GRFT), a lectin from Griffithsia species, inhibits human
immunodeficiency virus-1 (HIV-1) replication at sub-nanomolar concentrations,
with limited cellular toxicity. However, in vivo safety of GRFT is not fully
understood, especially following parenteral administration. We first assessed
GRFT's effects in vitro, on mouse peripheral blood mononuclear cell (mPBMC)
viability, mitogenicity, and activation using flow-cytometry, as well as cytokine
secretion through enzyme-linked immunosorbent assay (ELISA). Toxicological
properties of GRFT were determined after a single subcutaneous administration of
50 mg/kg or 14 daily doses of 10 mg/kg in BALB/c mice. In the context of
microbicide development, toxicity of GRFT at 2 mg/kg was determined after
subcutaneous, intravaginal, and intraperitoneal administrations, respectively.
Interestingly, GRFT caused no significant cell death, mitogenicity, activation,
or cytokine release in mPBMCs, validating the usefulness of a mouse model. An
excellent safety profile for GRFT was obtained in vivo: no overt changes were
observed in animal fitness, blood chemistry or CBC parameters. Following GRFT
treatment, reversible splenomegaly was observed with activation of certain spleen
B and T cells. However, spleen tissues were not pathologically altered by GRFT
(either with a single high dose or chronic doses). Finally, no detectable
toxicity was found after mucosal or systemic treatment with 2 mg/kg GRFT, which
should be further developed as a microbicide for HIV prevention.
PMID- 27869697
TI - Characteristics of the Protoporphyrin IX Binding Sites on Human Serum Albumin
Using Molecular Docking.
AB - Human serum albumin (HSA) is the main plasma protein responsible for a
distribution of drugs in the human circulatory system. The binding to HSA is one
of the factors that determines both the pharmacological actions and the side
effects of drugs. The derivative of heme, protoporphyrin IX (PpIX), is a
hydrophobic photosensitizer widely used in photodynamic diagnosis and therapy of
various malignant disorders. Using absorption and fluorescence spectroscopy, it
has been demonstrated that PpIX forms complexes with HSA. Its binding sites in
the tertiary structure of HSA were found in the subdomains IB and IIA. PpIX binds
to HSA in one class of binding sites with the association constant of 1.68 * 105
M-1 and 2.30 * 105 M-1 for an excitation at wavelength lambdaex = 280 nm and 295
nm, respectively. The binding interactions between HSA and PpIX have been studied
by means of molecular docking simulation using the CLC Drug Discovery Workbench
(CLC DDWB) computer program. PpIX creates a strong 'sandwich-type' complex
between its highly conjugated porphine system and aromatic side chains of
tryptophan and tyrosine. In summary, fluorescent studies on binding interactions
between HSA and PpIX have been confirmed by the results of computer simulation.
PMID- 27869698
TI - Podophyllotoxin-Loaded Nanostructured Lipid Carriers for Skin Targeting: In Vitro
and In Vivo Studies.
AB - Nanostructured lipid carriers (NLC) exhibit high skin targeting efficiency and
good safety. They are promising vehicles for topical drug delivery. This study
aims to increase the skin distribution of podophyllotoxin (POD) by incorporating
it into NLCs. Two kinds of POD-loaded NLCs (POD-NLCs)-POD-NLCformulation 1 and
POD-NLCformulation 2-were prepared and characterized. Their skin targeting
efficiencies were compared by conducting in vitro and in vivo experiments.
Obviously smaller mean particle size was observed for POD-NLCformulation 1 (106
nm) than POD-NLCformulation 2 (219 nm), whereas relatively low POD loadings (less
than 0.5%) were observed for both POD-NLCformulation 1 (0.33%) and POD
NLCformulation 2 (0.49%). Significantly higher in vitro and in vivo rat skin
deposit amounts of POD (p ? 0.01) were detected after the topical application of
POD-NLCformulation 1 compared to POD-NLCformulation 2. To visualize the skin
distribution behavior of hydrophobic active pharmaceutical ingredients (APIs)
when NLCs were used as carriers, POD was replaced with Nile red (NR-a hydrophobic
fluorescent probe), and the distribution behavior of NR-NLCformulation 1 and NR
NLCformulation 2 in rat skin in vivo was observed using confocal laser scanning
microscopy (CLSM). Higher fluorescent intensity was observed in rat skin after
the topical application of NR-NLCformulation 1 than NR-NLCformulation 2,
suggesting that higher skin targeting efficiency might be obtained when NLCs with
smaller mean particle size were used as carriers for hydrophobic APIs. This
result was in accordance with those of skin distribution evaluation experiments
of POD-NLCs. Skin irritation property of POD-NLCformulation 1 was investigated
and no irritation was observed in intact or damaged rabbit skin, suggesting it is
safe for topical use. Our results validated the safety of NLCs when applied
topically. More importantly, mean particle size might be an important parameter
for formulation optimization when NLCs are used as carriers for hydrophobic APIs
for topical application, considering that their loading is relatively low.
PMID- 27869696
TI - Pilot-Scale Production and Thermostability Improvement of the M23 Protease
Pseudoalterin from the Deep Sea Bacterium Pseudoalteromonas sp. CF6-2.
AB - Pseudoalterin is the most abundant protease secreted by the marine sedimental
bacterium Pseudoalteromonas sp. CF6-2 and is a novel cold-adapted metalloprotease
of the M23 family. Proteases of the M23 family have high activity towards
peptidoglycan and elastin, suggesting their promising biomedical and
biotechnological potentials. To lower the fermentive cost and improve the
pseudoalterin production of CF6-2, we optimized the fermentation medium by using
single factor experiments, added 0.5% sucrose as a carbon source, and lowered the
usage of artery powder from 1.2% to 0.6%. In the optimized medium, pseudoalterin
production reached 161.15 +/- 3.08 U/mL, 61% greater than that before
optimization. We further conducted a small-scale fermentation experiment in a 5-L
fermenter and a pilot-scale fermentation experiment in a 50-L fermenter.
Pseudoalterin production during pilot-scale fermentation reached 103.48 +/- 8.64
U/mL, 77% greater than that before the medium was optimized. In addition, through
single factor experiments and orthogonal tests, we developed a compound
stabilizer for pseudoalterin, using medically safe sugars and polyols. This
stabilizer showed a significant protective effect for pseudoalterin against
enzymatic thermal denaturation. These results lay a solid foundation for the
industrial production of pseudoalterin and the development of its biomedical and
biotechnological potentials.
PMID- 27869699
TI - Palladium(ii)-Acetylacetonato Complexes with Mesoionic Carbenes: Synthesis,
Structures and Their Application in the Suzuki-Miyaura Cross Coupling Reaction.
AB - A series of novel palladium(ii) acetylacetonato complexes bearing mesoionic
carbenes (MICs) have been synthesized and characterized. The synthesis of the
complexes of type (MIC)Pd(acac)I (MIC = 1-mesityl-3-methyl-4-phenyl-1,2,3-triazol
5-ylidene (1), 1,4-(2,4,6-methyl)-phenyl-3-methyl-1,2,3-triazol-5-ylidene (2),
1,4-(2,6-diisopropyl)-phenyl-3-methyl-1,2,3-triazol-5-ylidene (3); acac =
acetylacetonato) via direct metalation starting from the corresponding triazolium
iodides and palladium(ii) acetylacetonate is described herein. All complexes were
characterized by 1H- and 13C-NMR spectroscopy and high resolution mass
spectrometry. Additionally, two of the complexes were characterized by single
crystal X-ray crystallography confirming a square-planar coordination geometry of
the palladium(ii) center. A delocalized bonding situation was observed within the
triazolylidene rings as well as for the acac ligand respectively. Complex 2 was
found to be an efficient pre-catalyst for the Suzuki-Miyaura cross coupling
reaction between aryl-bromides or -chlorides with phenylboronic acid.
PMID- 27869700
TI - Preclinical and Clinical Studies on Antioxidative, Antihypertensive and
Cardioprotective Effect of Marine Proteins and Peptides-A Review.
AB - High seafood consumption has traditionally been linked to a reduced risk of
cardiovascular diseases, mainly due to the lipid lowering effects of the long
chained omega 3 fatty acids. However, fish and seafood are also excellent sources
of good quality proteins and emerging documentation show that, upon digestion,
these proteins are sources for bioactive peptides with documented favorable
physiological effects such as antioxidative, antihypertensive and other
cardioprotective effects. This documentation is mainly from in vitro studies, but
also animal studies are arising. Evidence from human studies evaluating the
positive health effects of marine proteins and peptides are scarce. In one study,
a reduction in oxidative stress after intake of cod has been documented and a few
human clinical trials have been performed evaluating the effect on blood
pressure. The results are, however, inconclusive. The majority of the human
clinical trials performed to investigate positive health effects of marine
protein and lean fish intake, has focused on blood lipids. While some studies
have documented a reduction in triglycerides after intake of lean fish, others
have documented no effects.
PMID- 27869701
TI - The Role of Individual Disulfide Bonds of MU-Conotoxin GIIIA in the Inhibition of
NaV1.4.
AB - MU-Conotoxin GIIIA, a peptide toxin isolated from Conus geographus,
preferentially blocks the skeletal muscle sodium channel NaV1.4. GIIIA folds
compactly to a pyramidal structure stabilized by three disulfide bonds. To assess
the contributions of individual disulfide bonds of GIIIA to the blockade of
NaV1.4, seven disulfide-deficient analogues were prepared and characterized, each
with one, two, or three pairs of disulfide-bonded Cys residues replaced with Ala.
The inhibitory potency of the analogues against NaV1.4 was assayed by whole cell
patch-clamp on rNaV1.4, heterologously expressed in HEK293 cells. The
corresponding IC50 values were 0.069 +/- 0.005 MUM for GIIIA, 2.1 +/- 0.3 MUM for
GIIIA-1, 3.3 +/- 0.2 MUM for GIIIA-2, and 15.8 +/- 0.8 MUM for GIIIA-3 (-1, -2
and -3 represent the removal of disulfide bridges Cys3-Cys15, Cys4-Cys20 and
Cys10-Cys21, respectively). Other analogues were not active enough for IC50
measurement. Our results indicate that all three disulfide bonds of GIIIA are
required to produce effective inhibition of NaV1.4, and the removal of any one
significantly lowers its sodium channel binding affinity. Cys10-Cys21 is the most
important for the NaV1.4 potency.
PMID- 27869702
TI - EPS-Then and Now.
AB - "Slime" played a brief and spectacular role in the 19th century founded by the
theory of primordial slime by Ernst Haeckel. However, that substance was never
found and eventually abandoned. Further scientific attention slowly began in the
1930s referring to slime as a microbial product and then was inspired by "How
bacteria stick" by Costerton et al. in 1978, and the matrix material was
considered to be polysaccharides. Later, it turned out that proteins, nucleic
acids and lipids were major other constituents of the extracellular polymeric
substances (EPS), an acronym which was highly discussed. The role of the EPS
matrix turns out to be fundamental for biofilms, in terms of keeping cells in
proximity and allowing for extended interaction, resource capture, mechanical
strength and other properties, which emerge from the life of biofilm organisms,
including enhanced tolerance to antimicrobials and other stress. The EPS
components are extremely complex and dynamic and fulfil many functional roles,
turning biofilms into the most ubiquitous and successful form of life on Earth.
PMID- 27869704
TI - A Node Localization Algorithm Based on Multi-Granularity Regional Division and
the Lagrange Multiplier Method in Wireless Sensor Networks.
AB - With the integrated development of the Internet, wireless sensor technology,
cloud computing, and mobile Internet, there has been a lot of attention given to
research about and applications of the Internet of Things. A Wireless Sensor
Network (WSN) is one of the important information technologies in the Internet of
Things; it integrates multi-technology to detect and gather information in a
network environment by mutual cooperation, using a variety of methods to process
and analyze data, implement awareness, and perform tests. This paper mainly
researches the localization algorithm of sensor nodes in a wireless sensor
network. Firstly, a multi-granularity region partition is proposed to divide the
location region. In the range-based method, the RSSI (Received Signal Strength
indicator, RSSI) is used to estimate distance. The optimal RSSI value is computed
by the Gaussian fitting method. Furthermore, a Voronoi diagram is characterized
by the use of dividing region. Rach anchor node is regarded as the center of each
region; the whole position region is divided into several regions and the sub
region of neighboring nodes is combined into triangles while the unknown node is
locked in the ultimate area. Secondly, the multi-granularity regional division
and Lagrange multiplier method are used to calculate the final coordinates.
Because nodes are influenced by many factors in the practical application, two
kinds of positioning methods are designed. When the unknown node is inside
positioning unit, we use the method of vector similarity. Moreover, we use the
centroid algorithm to calculate the ultimate coordinates of unknown node. When
the unknown node is outside positioning unit, we establish a Lagrange equation
containing the constraint condition to calculate the first coordinates.
Furthermore, we use the Taylor expansion formula to correct the coordinates of
the unknown node. In addition, this localization method has been validated by
establishing the real environment.
PMID- 27869703
TI - Occurrence of Fusarium Mycotoxins in Cereal Crops and Processed Products (Ogi)
from Nigeria.
AB - In Nigeria, maize, sorghum, and millet are very important cash crops. They are
consumed on a daily basis in different processed forms in diverse cultural
backgrounds. These crops are prone to fungi infestation, and subsequently may be
contaminated with mycotoxins. A total of 363 samples comprising of maize (136),
sorghum (110), millet (87), and ogi (30) were collected from randomly selected
markets in four agro-ecological zones in Nigeria. Samples were assessed for
Fusarium mycotoxins contamination using a multi-mycotoxin liquid chromatography
tandem mass spectrometry (LC-MS/MS) method. Subsequently, some selected samples
were analysed for the occurrence of hidden fumonisins. Overall, 64% of the
samples were contaminated with at least one toxin, at the rate of 77%, 44%, 59%,
and 97% for maize, sorghum, millet, and ogi, respectively. Fumonisins were the
most dominant, especially in maize and ogi, occurring at the rate of 65% and 93%
with mean values of 935 and 1128 MUg/kg, respectively. The prevalence of
diacetoxyscirpenol was observed in maize (13%), sorghum (18%), and millet (29%),
irrespective of the agro-ecological zone. Other mycotoxins detected were
deoxynivalenol, zearalenone, and their metabolites, nivalenol, fusarenon-X, HT-2
toxin, and hidden fumonisins. About 43% of the samples were contaminated with
more than one toxin. This study suggests that consumption of cereals and cereal
based products, ogi particularly by infants may be a source of exposure to
Fusarium mycotoxins.
PMID- 27869706
TI - Mycotoxin Contamination in Sugarcane Grass and Juice: First Report on Detection
of Multiple Mycotoxins and Exposure Assessment for Aflatoxins B1 and G1 in
Humans.
AB - This study was conducted to investigate the natural co-occurrence of multiple
toxic fungal and bacterial metabolites in sugarcane grass and juice intended for
human consumption in Upper Egypt. Quantification of the target analytes has been
done using the "dilute and shoot" approach followed by liquid chromatography
tandem mass spectrometry (LC-MS/MS). A total number of 29 and 33 different
metabolites were detected in 21 sugarcane grass and 40 juice samples,
respectively, with a trend of concentrations being higher in grass than in juice.
Among the regulated mycotoxins, only aflatoxin B1 (AFB1) and aflatoxin G1 (AFG1)
were detected. The prevalence of AFB1 was in 48% of grass samples and in 58% of
juice with a maximum concentration of 30.6 MUg/kg and 2.10 MUg/kg, respectively.
AFG1 was detected in 10% of grass samples (7.76 MUg/kg) and 18% of juice samples
(34 MUg/kg). Dietary exposure was assessed using a juice frequency questionnaire
of adult inhabitants in Assiut City. The assessment revealed different levels of
exposure to AFB1 between males and females in winter and summer seasons. The
estimated seasonal exposure ranged from 0.20 to 0.40 ng/kg b.w./day in winter and
from 0.38 to 0.90 ng/kg b.w./day in summer.
PMID- 27869708
TI - The Development of a Gas-Liquid Two-Phase Flow Sensor Applicable to CBM Wellbore
Annulus.
AB - The measurement of wellbore annulus gas-liquid two-phase flow in CBM (coalbed
methane) wells is of great significance for reasonably developing gas drainage
and extraction processes, estimating CBM output, judging the operating conditions
of CBM wells and analyzing stratum conditions. Hence, a specially designed sensor
is urgently needed for real-time measurement of gas-liquid two-phase flow in CBM
wellbore annulus. Existing flow sensors fail to meet the requirements of the
operating conditions of CBM wellbore annulus due to such factors as an
inapplicable measurement principle, larger size, poor sealability, high
installation accuracy, and higher requirements for fluid media. Therefore, based
on the principle of a target flowmeter, this paper designs a new two-phase flow
sensor that can identify and automatically calibrate different flow patterns of
two-phase flows. Upon the successful development of the new flow sensor, lab and
field tests were carried out, and the results show that the newly designed
sensor, with a measurement accuracy of +/-2.5%, can adapt to the operating
conditions of CBM wells and is reliable for long-term work.
PMID- 27869705
TI - The Combined Application of the Caco-2 Cell Bioassay Coupled with In Vivo (Gallus
gallus) Feeding Trial Represents an Effective Approach to Predicting Fe
Bioavailability in Humans.
AB - Research methods that predict Fe bioavailability for humans can be extremely
useful in evaluating food fortification strategies, developing Fe-biofortified
enhanced staple food crops and assessing the Fe bioavailability of meal plans
that include such crops. In this review, research from four recent poultry
(Gallus gallus) feeding trials coupled with in vitro analyses of Fe-biofortified
crops will be compared to the parallel human efficacy studies which used the same
varieties and harvests of the Fe-biofortified crops. Similar to the human
studies, these trials were aimed to assess the potential effects of regular
consumption of these enhanced staple crops on maintenance or improvement of iron
status. The results demonstrate a strong agreement between the in vitro/in vivo
screening approach and the parallel human studies. These observations therefore
indicate that the in vitro/Caco-2 cell and Gallus gallus models can be integral
tools to develop varieties of staple food crops and predict their effect on iron
status in humans. The cost-effectiveness of this approach also means that it can
be used to monitor the nutritional stability of the Fe-biofortified crop once a
variety has released and integrated into the food system. These screening tools
therefore represent a significant advancement to the field for crop development
and can be applied to ensure the sustainability of the biofortification approach.
PMID- 27869707
TI - Ex-Vivo Characterization of Bioimpedance Spectroscopy of Normal, Ischemic and
Hemorrhagic Rabbit Brain Tissue at Frequencies from 10 Hz to 1 MHz.
AB - Stroke is a severe cerebrovascular disease and is the second greatest cause of
death worldwide. Because diagnostic tools (CT and MRI) to detect acute stroke
cannot be used until the patient reaches the hospital setting, a portable
diagnostic tool is urgently needed. Because biological tissues have different
impedance spectra under normal physiological conditions and different
pathological states, multi-frequency electrical impedance tomography (MFEIT) can
potentially detect stroke. Accurate impedance spectra of normal brain tissue
(gray and white matter) and stroke lesions (ischemic and hemorrhagic tissue) are
important elements when studying stroke detection with MFEIT. To our knowledge,
no study has comprehensively measured the impedance spectra of normal brain
tissue and stroke lesions for the whole frequency range of 1 MHz within as short
as possible an ex vivo time and using the same animal model. In this study, we
established intracerebral hemorrhage and ischemic models in rabbits, then
measured and analyzed the impedance spectra of normal brain tissue and stroke
lesions ex vivo within 15 min after animal death at 10 Hz to 1 MHz. The results
showed that the impedance spectra of stroke lesions significantly differed from
those of normal brain tissue; the ratio of change in impedance of ischemic and
hemorrhagic tissue with regard to frequency was distinct; and tissue type could
be discriminated according to its impedance spectra. These findings further
confirm the feasibility of detecting stroke with MFEIT and provide data
supporting further study of MFEIT to detect stroke.
PMID- 27869710
TI - Simulation of Attacks for Security in Wireless Sensor Network.
AB - The increasing complexity and low-power constraints of current Wireless Sensor
Networks (WSN) require efficient methodologies for network simulation and
embedded software performance analysis of nodes. In addition, security is also a
very important feature that has to be addressed in most WSNs, since they may work
with sensitive data and operate in hostile unattended environments. In this
paper, a methodology for security analysis of Wireless Sensor Networks is
presented. The methodology allows designing attack-aware embedded
software/firmware or attack countermeasures to provide security in WSNs. The
proposed methodology includes attacker modeling and attack simulation with
performance analysis (node's software execution time and power consumption
estimation). After an analysis of different WSN attack types, an attacker model
is proposed. This model defines three different types of attackers that can
emulate most WSN attacks. In addition, this paper presents a virtual platform
that is able to model the node hardware, embedded software and basic wireless
channel features. This virtual simulation analyzes the embedded software behavior
and node power consumption while it takes into account the network deployment and
topology. Additionally, this simulator integrates the previously mentioned
attacker model. Thus, the impact of attacks on power consumption and software
behavior/execution-time can be analyzed. This provides developers with essential
information about the effects that one or multiple attacks could have on the
network, helping them to develop more secure WSN systems. This WSN attack
simulator is an essential element of the attack-aware embedded software
development methodology that is also introduced in this work.
PMID- 27869709
TI - Cerebral Microvascular and Systemic Effects Following Intravenous Administration
of the Perfluorocarbon Emulsion Perftoran.
AB - Oxygen-carrying perfluorocarbon (PFC) fluids have the potential to increase
tissue oxygenation during hypoxic states and to reduce ischemic cell death.
Regulatory approval of oxygen therapeutics was halted due to concerns over
vasoconstrictive side effects. The goal of this study was to assess the potential
vasoactive properties of Perftoran by measuring brain pial arteriolar diameters
in a healthy rat model. Perftoran, crystalloid (saline) or colloid (Hextend)
solutions were administered as four sequential 30 min intravenous (IV) infusions,
thus allowing an evaluation of cumulative dose-dependent effects. There were no
overall changes in diameters of small-sized (<50 MUm) pial arterioles within the
Perftoran group, while both saline and Hextend groups exhibited vasoconstriction.
Medium-sized arterioles (50-100 MUm) showed minor (~8-9%) vasoconstriction within
saline and Hextend groups and only ~5% vasoconstriction within the Perftoran
group. For small- and medium-sized pial arterioles, the mean percent change in
vessel diameters was not different among the groups. Although there was a
tendency for arterial blood pressures to increase with Perftoran, pressures were
not different from the other two groups. These data show that Perftoran, when
administered to healthy anesthetized rats, does not cause additional
vasoconstriction in cerebral pial arterioles or increase systemic blood pressure
compared with saline or Hextend.
PMID- 27869711
TI - Preparation and Characterization of Hydrophilically Modified PVDF Membranes by a
Novel Nonsolvent Thermally Induced Phase Separation Method.
AB - In this study, a nonsolvent thermally-induced phase separation (NTIPS) method was
first proposed to fabricate hydrophilically-modified poly(vinylidene fluoride)
(PVDF) membranes to overcome the drawbacks of conventional thermally-induced
phase separation (TIPS) and nonsolvent-induced phase separation (NIPS) methods.
Hydrophilically-modified PVDF membranes were successfully prepared by blending in
hydrophilic polymer polyvinyl alcohol (PVA) at 140 degrees C. A series of
PVDF/PVA blend membranes was prepared at different total polymer concentrations
and blend ratios. The morphological analysis via SEM indicated that the formation
mechanism of these hydrophilically-modified membranes was a combined NIPS and
TIPS process. As the total polymer concentration increased, the tensile strength
of the membranes increased; meanwhile, the membrane pore size, porosity and water
flux decreased. With the PVDF/PVA blend ratio increased from 10:0 to 8:2, the
membrane pore size and water flux increased. The dynamic water contact angle of
these membranes showed that the hydrophilic properties of PVDF/PVA blend
membranes were prominently improved. The higher hydrophilicity of the membranes
resulted in reduced membrane resistance and, hence, higher permeability. The
total resistance Rt of the modified PVDF membranes decreased significantly as the
hydrophilicity increased. The irreversible fouling related to pore blocking and
adsorption fouling onto the membrane surface was minimal, indicating good
antifouling properties.
PMID- 27869714
TI - Beverage Consumption Habits around the World: The Burden of Disease Attributable
to Hydration.
AB - Dehydration occurs when the body loses more water than is taken in.[...].
PMID- 27869712
TI - Beneficial Effects of Pterocarpan-High Soybean Leaf Extract on Metabolic Syndrome
in Overweight and Obese Korean Subjects: Randomized Controlled Trial.
AB - Pterocarpans are known to have antifungal and anti-inflammatory properties.
However, little is known about the changes in transcriptional profiles in
response to a pterocarpan-high soybean leaf extract (PT). Therefore, this study
investigated the effects of PT on blood glucose and lipid levels, as well as on
the inflammation-related gene expression based on a peripheral blood mononuclear
cells (PBMCs) mRNA sequencing analysis in Korean overweight and obese subjects
with mild metabolic syndrome. The participants were randomly assigned to two
groups and were administered either placebo (starch, 3 g/day) or PT (2 g/day) for
12 weeks. The PT intervention did not change body weight, body fat percentage and
body mass index (BMI). However, PT significantly decreased the glycosylated
hemoglobin (HbA1c), plasma glucose, free fatty acid, total cholesterol, and non
HDL cholesterol levels after 12 weeks. Furthermore, PT supplementation
significantly lowered the homeostatic index of insulin resistance, as well as the
plasma levels of inflammatory markers. Finally, the mRNA sequencing analysis
revealed that PT downregulated genes related to immune responses. PT
supplementation is beneficial for the improvement of metabolic syndrome by
altering the fasting blood and plasma glucose, HbA1c, plasma lipid levels and
inflammation-related gene expression in PBMCs.
PMID- 27869713
TI - Role of Pea Enation Mosaic Virus Coat Protein in the Host Plant and Aphid Vector.
AB - Understanding the molecular mechanisms involved in plant virus-vector
interactions is essential for the development of effective control measures for
aphid-vectored epidemic plant diseases. The coat proteins (CP) are the main
component of the viral capsids, and they are implicated in practically every
stage of the viral infection cycle. Pea enation mosaic virus 1 (PEMV1,
Enamovirus, Luteoviridae) and Pea enation mosaic virus 2 (PEMV2, Umbravirus,
Tombusviridae) are two RNA viruses in an obligate symbiosis causing the pea
enation mosaic disease. Sixteen mutant viruses were generated with mutations in
different domains of the CP to evaluate the role of specific amino acids in viral
replication, virion assembly, long-distance movement in Pisum sativum, and aphid
transmission. Twelve mutant viruses were unable to assemble but were able to
replicate in inoculated leaves, move long-distance, and express the CP in newly
infected leaves. Four mutant viruses produced virions, but three were not
transmissible by the pea aphid, Acyrthosiphon pisum. Three-dimensional modeling
of the PEMV CP, combined with biological assays for virion assembly and aphid
transmission, allowed for a model of the assembly of PEMV coat protein subunits.
PMID- 27869715
TI - Randomized Prospective Double-Blind Studies to Evaluate the Cognitive Effects of
Inositol-Stabilized Arginine Silicate in Healthy Physically Active Adults.
AB - Inositol-stabilized arginine silicate (ASI; Nitrosigine(r)) has been validated to
increase levels of arginine, silicon and nitric oxide production. To evaluate
potential enhancement of mental focus and clarity, ASI (1500 mg/day) was tested
in two double-blind placebo-controlled crossover (DBPC-X) studies using the Trail
Making Test (TMT, Parts A and B). In the two studies, healthy males took ASI for
14 and 3 days, respectively. In the first study, after 14 days of dosing, TMT B
time decreased significantly from baseline (28% improvement, p = 0.045). In the
second study evaluating shorter-term effects, TMT B time decreased significantly
compared to placebo (33% improvement, p = 0.024) in a 10-min period. After 3 days
of dosing, TMT B time significantly decreased from baseline scores (35%
improvement, p < 0.001). These findings show that ASI significantly improved the
ability to perform complex cognitive tests requiring mental flexibility,
processing speed and executive functioning.
PMID- 27869716
TI - A Genome-Wide Identification and Analysis of the Basic Helix-Loop-Helix
Transcription Factors in Brown Planthopper, Nilaparvata lugens.
AB - The basic helix-loop-helix (bHLH) transcription factors in insects play essential
roles in multiple developmental processes including neurogenesis, sterol
metabolism, circadian rhythms, organogenesis and formation of olfactory sensory
neurons. The identification and function analysis of bHLH family members of the
most destructive insect pest of rice, Nilaparvata lugens, may provide novel tools
for pest management. Here, a genome-wide survey for bHLH sequences identified 60
bHLH sequences (NlbHLHs) encoded in the draft genome of N. lugens. Phylogenetic
analysis of the bHLH domains successfully classified these genes into 40 bHLH
families in group A (25), B (14), C (10), D (1), E (8) and F (2). The number of
NlbHLHs with introns is higher than many other insect species, and the average
intron length is shorter than those of Acyrthosiphon pisum. High number of
ortholog families of NlbHLHs was found suggesting functional conversation for
these proteins. Compared to other insect species studied, N. lugens has the
highest number of bHLH members. Furthermore, gene duplication events of SREBP,
Kn(col), Tap, Delilah, Sim, Ato and Crp were found in N. lugens. In addition, a
putative full set of NlbHLH genes is defined and compared with another insect
species. Thus, our classification of these NlbHLH members provides a platform for
further investigations of bHLH protein functions in the regulation of N. lugens,
and of insects in general.
PMID- 27869717
TI - Inflammatory Properties of Diet and Glucose-Insulin Homeostasis in a Cohort of
Iranian Adults.
AB - We aimed to investigate associations of the dietary inflammatory index (DII) with
glucose-insulin homeostasis markers, and the risk of glucose intolerance. This
cross-sectional study included 2975 adults from the Tehran Lipid and Glucose
Study. Fasting plasma glucose (FPG), 2-h post-load glucose (2h-PG), and fasting
serum insulin were measured. Homeostatic model assessment of insulin resistance
index (HOMA-IR) and beta-cell function (HOMA-B), and the quantitative insulin
sensitivity check index (QUICKI) were calculated. Glucose tolerance abnormalities
included impaired fasting glucose (IFG), impaired glucose tolerance (IGT), and
type 2 diabetes (T2DM). DII scores were positively associated with 2h-PG (beta =
0.04; p = 0.05). There was no significant linear trend across quartiles of DII
for adjusted means of glucose-insulin homeostasis markers. Participants in the
highest quartile of DII score tended to have higher FPG compared to those in the
second quartile of DII score (5.46 vs. 5.38 mmol/L, p = 0.07) and higher fasting
insulin and HOMA-IR compared to those in the lowest quartile (8.52 vs. 8.12 uU/mL
for fasting insulin, p = 0.07; 2.06 vs. 1.96 for HOMA-IR, p = 0.08). No
significant associations were observed between DII and risk of IFG, IGT, T2DM,
and insulin resistance. Among glucose-insulin homeostasis markers, DII had a
positive weak association only with 2h-PG.
PMID- 27869718
TI - Endocrine Dysfunction in Female FMR1 Premutation Carriers: Characteristics and
Association with Ill Health.
AB - Female FMR1 premutation carriers (PMC) have been suggested to be at greater risk
of ill health, in particular endocrine dysfunction, compared to the general
population. We set out to review the literature relating to endocrine
dysfunction, including premature ovarian insufficiency (POI), in female PMCs, and
then to consider whether endocrine dysfunction in itself may be predictive of
other illnesses in female PMCs. A systematic review and pilot data from a semi
structured health questionnaire were used. Medline, Embase, and PsycInfo were
searched for papers concerning PMCs and endocrine dysfunction. For the pilot
study, self-reported diagnoses in females were compared between PMCs with
endocrine dysfunction (n = 18), PMCs without endocrine dysfunction (n = 14), and
individuals without the premutation (n = 15). Twenty-nine papers were identified
in the review; the majority concerned POI and reduced fertility, which are
consistently found to be more common in PMCs than controls. There was some
evidence that thyroid dysfunction may occur more frequently in subgroups of PMCs
and that those with endocrine difficulties have poorer health than those without.
In the pilot study, PMCs with endocrine problems reported higher levels of
fibromyalgia (p = 0.03), tremor (p = 0.03), headache (p = 0.01) and obsessive
compulsive disorder (p = 0.009) than either comparison group. Further larger
scale research is warranted to determine whether female PMCs are at risk of
endocrine disorders other than those associated with reproduction and whether
endocrine dysfunction identifies a high-risk group for the presence of other
health conditions.
PMID- 27869720
TI - 30iemes Journees Franco-Belges de Pharmacochimie.
AB - The "Journees Franco-Belges de Pharmacochimie" is a recognized annual meeting in
organic and medicinal chemistry known for the quality of scientific exchange and
conviviality. Young researchers were encouraged to present their work and share
ideas with senior scientists. Abstracts of plenary lectures, oral communications,
and posters presented during the meeting are collected in this report.
PMID- 27869721
TI - The Benefit of Conserving and Gaining Resources after Trauma: A Systematic
Review.
AB - BACKGROUND: Traumatic events involve loss of resources, which has consistently
been found to be associated with developing stress-related illness such as
posttraumatic stress disorder (PTSD). OBJECTIVE: The purpose of this systematic
literature review was to determine if there is evidence for the salutatory effect
of resource gain on PTSD, and if there are intervention models that utilize and
assess gain in PTSD. DATA SOURCES: All relevant online databases were
systematically searched using key terms and a method, detailed in Figure 1.
RESULTS: Of 22 relevant articles, there were three intervention studies, one
longitudinal naturalistic study, eleven non-intervention association studies
focusing on PTSD, and eight non-intervention association studies not focusing on
PTSD. The intervention and naturalistic studies showed a significant positive
effect on PTSD by specifically targeting the gain of resources during an
intervention. Other non-intervention research supports the notion that resource
loss is pathogenic and resource gain is beneficial after traumatic exposure.
CONCLUSIONS: Interventions that develop and assess effects of gain of various
types of resources on stress-related illness should be encouraged. Interventions
that already have proven efficacy for PTSD might include standardized assessment
of resource loss and gain to further understand mechanisms of action.
PMID- 27869722
TI - Virtual Distances Methodology as Verification Technique for AACMMs with a
Capacitive Sensor Based Indexed Metrology Platform.
AB - This paper presents a new verification procedure for articulated arm coordinate
measuring machines (AACMMs) together with a capacitive sensor-based indexed
metrology platform (IMP) based on the generation of virtual reference distances.
The novelty of this procedure lays on the possibility of creating virtual points,
virtual gauges and virtual distances through the indexed metrology platform's
mathematical model taking as a reference the measurements of a ball bar gauge
located in a fixed position of the instrument's working volume. The measurements
are carried out with the AACMM assembled on the IMP from the six rotating
positions of the platform. In this way, an unlimited number and types of
reference distances could be created without the need of using a physical gauge,
therefore optimizing the testing time, the number of gauge positions and the
space needed in the calibration and verification procedures. Four evaluation
methods are presented to assess the volumetric performance of the AACMM. The
results obtained proved the suitability of the virtual distances methodology as
an alternative procedure for verification of AACMMs using the indexed metrology
platform.
PMID- 27869719
TI - Targeted Molecular Imaging in Adrenal Disease-An Emerging Role for Metomidate PET
CT.
AB - Adrenal lesions present a significant diagnostic burden for both radiologists and
endocrinologists, especially with the increasing number of adrenal
'incidentalomas' detected on modern computed tomography (CT) or magnetic
resonance imaging (MRI). A key objective is the reliable distinction of benign
disease from either primary adrenal malignancy (e.g., adrenocortical carcinoma or
malignant forms of pheochromocytoma/paraganglioma (PPGL)) or metastases (e.g.,
bronchial, renal). Benign lesions may still be associated with adverse sequelae
through autonomous hormone hypersecretion (e.g., primary aldosteronism, Cushing's
syndrome, phaeochromocytoma). Here, identifying a causative lesion, or
lateralising the disease to a single adrenal gland, is key to effective
management, as unilateral adrenalectomy may offer the potential for curing
conditions that are typically associated with significant excess morbidity and
mortality. This review considers the evolving role of positron emission
tomography (PET) imaging in addressing the limitations of traditional cross
sectional imaging and adjunctive techniques, such as venous sampling, in the
management of adrenal disorders. We review the development of targeted molecular
imaging to the adrenocortical enzymes CYP11B1 and CYP11B2 with different
radiolabeled metomidate compounds. Particular consideration is given to iodo
metomidate PET tracers for the diagnosis and management of adrenocortical
carcinoma, and the increasingly recognized utility of 11C-metomidate PET-CT in
primary aldosteronism.
PMID- 27869723
TI - Quencher-Free Fluorescence Method for the Detection of Mercury(II) Based on
Polymerase-Aided Photoinduced Electron Transfer Strategy.
AB - A new quencher-free Hg2+ ion assay method was developed based on polymerase
assisted photoinduced electron transfer (PIET). In this approach, a probe is
designed with a mercury ion recognition sequence (MRS) that is composed of two T
rich functional areas separated by a spacer of random bases at the 3'-end, and a
sequence of stacked cytosines at the 5'-end, to which a fluorescein (FAM) is
attached. Upon addition of Hg2+ ions into this sensing system, the MRS folds into
a hairpin structure at the 3'-end with Hg2+-mediated base pairs. In the presence
of DNA polymerase, it will catalyze the extension reaction, resulting in the
formation of stacked guanines, which will instantly quench the fluorescence of
FAM through PIET. Under optimal conditions, the limit of detection for Hg2+ ions
was estimated to be 5 nM which is higher than the US Environmental Protection
Agency (EPA) standard limit. In addition, no labeling with a quencher was
requiring, and the present method is fairly simple, fast and low cost. It is
expected that this cost-effective fluorescence method might hold considerable
potential in the detection of Hg2+ ions in real biological and environmental
samples.
PMID- 27869725
TI - Wireless Mid-Infrared Spectroscopy Sensor Network for Automatic Carbon Dioxide
Fertilization in a Greenhouse Environment.
AB - In this paper, a wireless mid-infrared spectroscopy sensor network was designed
and implemented for carbon dioxide fertilization in a greenhouse environment. A
mid-infrared carbon dioxide (CO2) sensor based on non-dispersive infrared (NDIR)
with the functionalities of wireless communication and anti-condensation
prevention was realized as the sensor node. Smart transmission power regulation
was applied in the wireless sensor network, according to the Received Signal
Strength Indication (RSSI), to realize high communication stability and low-power
consumption deployment. Besides real-time monitoring, this system also provides a
CO2 control facility for manual and automatic control through a LabVIEW platform.
According to simulations and field tests, the implemented sensor node has a
satisfying anti-condensation ability and reliable measurement performance on CO2
concentrations ranging from 30 ppm to 5000 ppm. As an application, based on the
Fuzzy proportional, integral, and derivative (PID) algorithm realized on a
LabVIEW platform, the CO2 concentration was regulated to some desired
concentrations, such as 800 ppm and 1200 ppm, in 30 min with a controlled
fluctuation of <+/-35 ppm in an acre of greenhouse.
PMID- 27869724
TI - Mosquito Oviposition Behavior and Vector Control.
AB - The burden of gene transfer from one mosquito generation to the next falls on the
female and her eggs. The selection of an oviposition site that guarantees egg and
larval survival is a critical step in the reproductive process. The dangers
associated with ephemeral aquatic habitats, lengthy droughts, freezing winters,
and the absence of larval nutrition makes careful oviposition site selection by a
female mosquito extremely important. Mosquito species exhibit a remarkable
diversity of oviposition behaviors that ensure eggs are deposited into
microenvironments conducive for successful larval development and the emergence
of the next mosquito generation. An understanding of mosquito oviposition
behavior is necessary for the development of surveillance and control
opportunities directed against specific disease vectors. For example, Aedes
aegypti Linnaeus is the vector of viruses causing important human diseases
including yellow fever, dengue, chikungunya, and Zika. The preference of this
species to oviposit in natural and artificial containers has facilitated the
development of Ae. aegypti-specific surveillance and toxic oviposition traps
designed to detect and control this important vector species in and around
disease foci. A better understanding of the wide diversity of mosquito
oviposition behavior will allow the development of new and innovative
surveillance and control devices directed against other important mosquito
vectors of human and animal disease.
PMID- 27869726
TI - Highly Sensitive and Practical Detection of Plant Viruses via Electrical
Impedance of Droplets on Textured Silicon-Based Devices.
AB - Early diagnosis of plant virus infections before the disease symptoms appearance
may represent a significant benefit in limiting disease spread by a prompt
application of appropriate containment steps. We propose a label-free procedure
applied on a device structure where the electrical signal transduction is
evaluated via impedance spectroscopy techniques. The device consists of a droplet
suspension embedding two representative purified plant viruses i.e., Tomato
mosaic virus and Turnip yellow mosaic virus, put in contact with a highly
hydrophobic plasma textured silicon surface. Results show a high sensitivity of
the system towards the virus particles with an interestingly low detection limit,
from tens to hundreds of attomolar corresponding to pg/mL of sap, which refers,
in the infection time-scale, to a concentration of virus particles in still
symptomless plants. Such a threshold limit, together with an envisaged
engineering of an easily manageable device, compared to more sophisticated
apparatuses, may contribute in simplifying the in-field plant virus diagnostics.
PMID- 27869728
TI - A Holistic Model of Care to Support Those Living with and beyond Cancer.
AB - BACKGROUND: Globally, the burden of cancer continues to increase and it is well
documented that while not a homogeneous population, cancer patients and cancer
survivors face many physical, psychological, social, spiritual, and financial
issues. Cancer care is shifting from a disease-focused to a patient-centered
approach resulting in an increased need to address these concerns. METHODS:
Utilizing a quality improvement approach, this paper describes an integrated
cancer care model at Bloomhill Cancer Center (BCC) in Queensland, Australia that
demonstrates the ability to meet the holistic needs of patients living with and
beyond cancer and to identify opportunities for better practice and service
provision. RESULTS: Survey results indicate that 67% and 77% of respondents were
very satisfied and 27% and 17% were satisfied with their first contact and very
satisfied with their first meeting with a nurse at BCC. Clients also reported
being very satisfied (46%) or satisfied (30%) with the emotional support they
received at BCC and over 90% were very satisfied or satisfied with the touch
therapies that the received. CONCLUSION: Due to the early success of the
interventions provided by BCC, the model potentially offers other states and
countries a framework for supportive cancer care provision for people living with
and beyond cancer.
PMID- 27869727
TI - Respiratory Diseases in University Students Associated with Exposure to
Residential Dampness or Mold.
AB - University students are frequently exposed to residential dampness or mold (i.e.,
visible mold, mold odor, dampness, or water leaks), a well-known contributor to
asthma, allergic rhinitis, and respiratory infections. This study aims to: (a)
describe the prevalence of these respiratory diseases among university students;
and (b) examine the independent contribution of residential dampness or mold to
these diseases. An online survey was conducted in March 2014 among the 26,676
students registered at the Universite de Sherbrooke (Quebec, Canada). Validated
questions and scores were used to assess self-reported respiratory diseases
(i.e., asthma-like symptoms, allergic rhinitis, and respiratory infections),
residential dampness or mold, and covariates (e.g., student characteristics).
Using logistic regressions, the crude and adjusted odd ratios between residential
dampness or mold and self-reported respiratory diseases were examined. Results
from the participating students (n = 2097; response rate: 8.1%) showed high
prevalence of allergic rhinitis (32.6%; 95% CI: 30.6-34.7), asthma-like symptoms
(24.0%; 95% CI: 22.1-25.8) and respiratory infections (19.4%; 95% CI: 17.7-21.2).
After adjustment, exposure to residential dampness or mold was associated with
allergic rhinitis (OR: 1.25; 95% CI: 1.01-1.55) and asthma-like symptoms (OR:
1.70; 95% CI: 1.37-2.11), but not with respiratory infections (OR: 1.07; 95% CI:
0.85-1.36). Among symptomatic students, this exposure was also associated with
uncontrolled and burdensome respiratory symptoms (p < 0.01). University students
report a high prevalence of allergic rhinitis, asthma-like symptoms and
respiratory infections. A common indoor hazard, residential dampness or mold, may
play a role in increasing atopic respiratory diseases and their suboptimal
control in young adults. These results emphasize the importance for public health
organizations to tackle poor housing conditions, especially amongst university
students who should be considered "at-risk".
PMID- 27869729
TI - Auditing the Immunization Data Quality from Routine Reports in Shangyu District,
East China.
AB - Objective: To evaluate the immunization data quality in Shangyu District, East
China. Methods: An audit for immunization data for the year 2014 was conducted in
20 vaccination clinics of Shangyu District. The consistency of immunization data
was estimated by verification factors (VFs), which was the proportion of vaccine
doses reported as being administered that could be verified by written
documentation at vaccination clinics. The quality of monitoring systems was
evaluated using the quality index (QI). Results: The VFs of 20 vaccine doses
ranged from 0.94 to 1.04 at the district level. The VFs for the 20 vaccination
clinics ranged from 0.57 to 1.07. The VFs for Shangyu District was 0.98. The mean
of total QI score of the 20 vaccination clinics was 80.32%. A significant
correlation between the VFs of the 3rd dose of the diphtheria-tetanus-pertussis
combined vaccine (DTP) and QI scores was observed at the vaccination clinic
level. Conclusions: Deficiencies in data consistency and immunization reporting
practice in Shangyu District were observed. Targeted measures are suggested to
improve the quality of the immunization reporting system in vaccination clinics
with poor data consistency.
PMID- 27869730
TI - Context-Aware Fusion of RGB and Thermal Imagery for Traffic Monitoring.
AB - In order to enable a robust 24-h monitoring of traffic under changing
environmental conditions, it is beneficial to observe the traffic scene using
several sensors, preferably from different modalities. To fully benefit from
multi-modal sensor output, however, one must fuse the data. This paper introduces
a new approach for fusing color RGB and thermal video streams by using not only
the information from the videos themselves, but also the available contextual
information of a scene. The contextual information is used to judge the quality
of a particular modality and guides the fusion of two parallel segmentation
pipelines of the RGB and thermal video streams. The potential of the proposed
context-aware fusion is demonstrated by extensive tests of quantitative and
qualitative characteristics on existing and novel video datasets and benchmarked
against competing approaches to multi-modal fusion.
PMID- 27869731
TI - Sensor for In-Motion Continuous 3D Shape Measurement Based on Dual Line-Scan
Cameras.
AB - The acquisition of three-dimensional surface data plays an increasingly important
role in the industrial sector. Numerous 3D shape measurement techniques have been
developed. However, there are still limitations and challenges in fast
measurement of large-scale objects or high-speed moving objects. The innovative
line scan technology opens up new potentialities owing to the ultra-high
resolution and line rate. To this end, a sensor for in-motion continuous 3D shape
measurement based on dual line-scan cameras is presented. In this paper, the
principle and structure of the sensor are investigated. The image matching
strategy is addressed and the matching error is analyzed. The sensor has been
verified by experiments and high-quality results are obtained.
PMID- 27869732
TI - Insect Gallers and Their Plant Hosts: From Omics Data to Systems Biology.
AB - Gall-inducing insects are capable of exerting a high level of control over their
hosts' cellular machinery to the extent that the plant's development, metabolism,
chemistry, and physiology are all altered in favour of the insect. Many gallers
are devastating pests in global agriculture and the limited understanding of
their relationship with their hosts prevents the development of robust management
strategies. Omics technologies are proving to be important tools in elucidating
the mechanisms involved in the interaction as they facilitate analysis of plant
hosts and insect effectors for which little or no prior knowledge exists. In this
review, we examine the mechanisms behind insect gall development using evidence
from omics-level approaches. The secretion of effector proteins and induced
phytohormonal imbalances are highlighted as likely mechanisms involved in gall
development. However, understanding how these components function within the
system is far from complete and a number of questions need to be answered before
this information can be used in the development of strategies to engineer or
breed plants with enhanced resistance.
PMID- 27869734
TI - Factors Affecting the Formation of 2:1 Host:Guest Inclusion Complexes of 2-[(R
Phenyl)amine]-1,4-naphthalenediones (PAN) in beta- and gamma-Cyclodextrins.
AB - The molecular hosts cyclodextrins form inclusion complexes with a wide variety of
guests, resulting in complexes with various host:guest stoichiometries. In the
case of a series of 19 1,4-naphthoquinolines as guests with either beta- or gamma
cyclodextrin studied using electrospray mass spectroscopy, in most cases only 1:1
complexes were observed, with 2:1 host:guest complexes observed in just 6 out of
38 host:guest combinations. It is shown that these higher-order complexes were
observed only in the case of small (or no) electronically withdrawing
substituents, and were much less likely in the case of the larger gamma
cyclodextrin host. The size and electronic properties of the substituents
involved shows that both steric and electronic factors must be taken into account
in predicting which cyclodextrin host:guest stoichiometries will be stable enough
to form (or once formed, be robust enough to be observed in the ESI-MS
experiments). It is clear that the prediction of host-guest stoichiometry for a
specific host-guest pair is complicated, and involves a subtle interplay of both
electronic and steric factors. However, there are definite trends, which can be
used to help predict host:guest stoichiometry for a given host-guest pair.
PMID- 27869733
TI - Antiviral Therapy by HIV-1 Broadly Neutralizing and Inhibitory Antibodies.
AB - Human immunodeficiency virus type 1 (HIV-1) infection causes acquired immune
deficiency syndrome (AIDS), a global epidemic for more than three decades. HIV-1
replication is primarily controlled through antiretroviral therapy (ART) but this
treatment does not cure HIV-1 infection. Furthermore, there is increasing viral
resistance to ART, and side effects associated with long-term therapy.
Consequently, there is a need of alternative candidates for HIV-1 prevention and
therapy. Recent advances have discovered multiple broadly neutralizing antibodies
against HIV-1. In this review, we describe the key epitopes on the HIV-1 Env
protein and the reciprocal broadly neutralizing antibodies, and discuss the
ongoing clinical trials of broadly neutralizing and inhibitory antibody therapy
as well as antibody combinations, bispecific antibodies, and methods that improve
therapeutic efficacy by combining broadly neutralizing antibodies (bNAbs) with
latency reversing agents. Compared with ART, HIV-1 therapeutics that incorporate
these broadly neutralizing and inhibitory antibodies offer the advantage of
decreasing virus load and clearing infected cells, which is a promising prospect
in HIV-1 prevention and treatment.
PMID- 27869735
TI - Low Expression of CAPON in Glioma Contributes to Cell Proliferation via the Akt
Signaling Pathway.
AB - CAPON is an adapter protein for nitric oxide synthase 1 (NOS1). CAPON has two
isoforms in the human brain: CAPON-L (long form of CAPON) and CAPON-S (short form
of CAPON). Recent studies have indicated the involvement of CAPON in
tumorigenesis beyond its classical role in NOS1 activity regulation. In this
study, we found that the protein levels of CAPON-S, but not than CAPON-L, were
significantly decreased in glioma tissues. Therefore, we established lentivirus
mediated stable cell lines with CAPON-S overexpression or down-regulation, and
investigated the role of CAPON-S in the proliferation of glioma cells by using
CCK8, EdU, and flow cytometry assays. Overexpression of CAPON-S reduced the cell
variability and the percentage of EdU-positive cells, and arrested the cells in
the G1 phase in glioma cells. Silencing of CAPON by short-hairpin RNA showed the
opposite effects. Furthermore, an intracellular signaling array revealed that
overexpression of CAPON-S resulted in a remarkable reduction in the
phosphorylation of Akt and S6 ribosomal protein in glioma cells, which was
further confirmed by Western blot. These findings suggest that CAPON may function
as a tumor suppressor in human brain glioma and that the inactivation of the Akt
signaling pathway caused by CAPON-S overexpression may provide insight into the
underlying mechanism of CAPON in glioma cell proliferation.
PMID- 27869736
TI - Circulating IL-27 Is Elevated in Rheumatoid Arthritis Patients.
AB - Cytokines are key immunoregulatory molecules that regulate T lymphocyte-mediated
immune responses and inflammatory reactions. We determined whether there is
aberrant expression of interleukin-27 (IL-27) in rheumatoid arthritis (RA)
patients and investigated the clinical significance of these changes. IL-27 is a
key cellular factor that regulates the differentiation of CD4+ T cells, which can
secrete interleukin-10 (IL-10) and interleukin-17 (IL-17) in vivo. Concentrations
of serum IL-27 in 67 RA patients, and 36 sex- and age-matched control subjects
were measured by enzyme-linked immunosorbent assay (ELISA). Results showed that
concentrations of serum IL-27 in all RA patients were significantly higher than
in healthy control subjects, and there was a significant and positive correlation
between serum IL-27 levels and disease activity in all RA patients. Levels of
serum IL-27 in RA patients were significantly correlated with disease activity
score in 28 joints (DAS28). Moreover, immunosuppressive treatment with
leflunomide downregulated the levels of IL-27 in active RA patients. Therefore,
the elevated production of circulating T cell inflammatory factors contributes to
the pathogenesis of RA, and serum IL-27 could potentially serve as a new
biomarker of RA disease activity.
PMID- 27869738
TI - Plants Producing Ribosome-Inactivating Proteins in Traditional Medicine.
AB - Ribosome-inactivating proteins (RIPs) are enzymes that deadenylate nucleic acids
and are broadly distributed in the plant kingdom. Many plants that contain RIPs
are listed in the pharmacopoeias of folk medicine all over the world, mostly
because of their toxicity. This review analyses the position occupied in
traditional medicine by plants from which RIPs have been isolated. The overview
starts from the antique age of the Mediterranean area with ancient Egypt,
followed by the Greek and Roman classic period. Then, the ancient oriental
civilizations of China and India are evaluated. More recently, Unani medicine and
European folk medicine are examined. Finally, the African and American folk
medicines are taken into consideration. In conclusion, a list of RIP-expressing
plants, which have been used in folk medicine, is provided with the geographical
distribution and the prescriptions that are recommended by traditional healers.
Some final considerations are provided on the present utilization of such herbal
treatments, both in developing and developed countries, often in the absence of
scientific validation. The most promising prospect for the medicinal use of RIP
expressing plants is the conjugation of purified RIPs to antibodies that
recognise tumour antigens for cancer therapy.
PMID- 27869737
TI - Toosendanin Exerts an Anti-Cancer Effect in Glioblastoma by Inducing Estrogen
Receptor beta- and p53-Mediated Apoptosis.
AB - Glioblastoma (GBM) is the most common primary brain tumor with median survival of
approximately one year. This dismal poor prognosis is due to resistance to
currently available chemotherapeutics; therefore, new cytotoxic agents are
urgently needed. In the present study, we reported the cytotoxicity of
toosendanin (TSN) in the GBM U87 and C6 cell lines in vitro and in vivo. By using
the MTT (3-(4,5-dimethyl-2-thiazolyl)-2,5-diphenyl-2-H-tetrazolium bromide)
assay, flow cytometry analysis, and Western blot, we found that TSN inhibited U87
and C6 cell proliferation and induced apoptosis at a concentration as low as 10
nM. Administration of TSN also reduced tumor burden in a xenograft model of
athymic nude mice. Pharmacological and molecular studies suggested that estrogen
receptor beta (ERbeta) and p53 were prominent targets for TSN. GBM cell apoptosis
induced by TSN was a stepwise biological event involving the upregulation of
ERbeta and contextual activation of functional p53. Collectively, our study
indicates, for the first time, that TSN is a candidate of novel anti-cancer drugs
for GBM. Furthermore, ERbeta and p53 could act as predictive biomarkers for the
sensitivity of cancer to TSN.
PMID- 27869739
TI - Perinatal Risk Factors and Genu Valgum Conducive to the Onset of Growing Pains in
Early Childhood.
AB - The most prevalent musculoskeletal disorder of childhood with unclear aetiology
is growing pains (GPs). Anatomic deformities and factors that change bone
turnover are implicated in GP pathophysiology. Perinatal risk factors alter the
bone metabolism affecting the bone mineral density and content. The aim of our
study was to analyze the relationship between GPs, knock knees and perinatal
factors. The examined population consisted of 276 children aged 3-7 years. Among
them, ten pairs of dizygotic twins were evaluated. The data were collected by
using a combination of semi-structured questionnaires, clinical examinations and
medical charts of the children and the obstetric history of the mothers. A total
of 78 children presenting GPs met Peterson's criteria. Genu valgum severity was a
significant factor for GP manifestation and for their increased frequency and
intensity. Subsequently, perinatal factors regarding gestational age, Apgar
score, head circumference (lower than 33 cm) and birth length or weight (smaller
than 50 cm and 3000 g, respectively) made a remarkable contribution to the
development of GPs. Conversely, antenatal corticosteroid treatment, increased
maternal age and maternal smoking during pregnancy were not predictive of the
disorder. Our data are potentially supportive for the "bone strength" theory and
for the contribution of anatomical disturbances in GP appearance.
PMID- 27869740
TI - Functional Role of the C-Terminal Amphipathic Helix 8 of Olfactory Receptors and
Other G Protein-Coupled Receptors.
AB - G protein-coupled receptors (GPCRs) transduce various extracellular signals, such
as neurotransmitters, hormones, light, and odorous chemicals, into intracellular
signals via G protein activation during neurological, cardiovascular, sensory and
reproductive signaling. Common and unique features of interactions between GPCRs
and specific G proteins are important for structure-based design of drugs in
order to treat GPCR-related diseases. Atomic resolution structures of GPCR
complexes with G proteins have revealed shared and extensive interactions between
the conserved DRY motif and other residues in transmembrane domains 3 (TM3), 5
and 6, and the target G protein C-terminal region. However, the initial
interactions formed between GPCRs and their specific G proteins remain unclear.
Alanine scanning mutagenesis of the murine olfactory receptor S6 (mOR-S6)
indicated that the N-terminal acidic residue of helix 8 of mOR-S6 is responsible
for initial transient and specific interactions with chimeric Galpha15_olf,
resulting in a response that is 2.2-fold more rapid and 1.7-fold more robust than
the interaction with Galpha15. Our mutagenesis analysis indicates that the
hydrophobic core buried between helix 8 and TM1-2 of mOR-S6 is important for the
activation of both Galpha15_olf and Galpha15. This review focuses on the
functional role of the C-terminal amphipathic helix 8 based on several recent
GPCR studies.
PMID- 27869743
TI - A Metal-Free Regioselective Multicomponent Approach for the Synthesis of Free
Radical Scavenging Pyrimido-Fused Indazoles and Their Fluorescence Studies.
AB - This study deals with a new and efficient metal-free regioselective synthesis of
pyrimido-fused indazoles with nitrogen ring junction motifs. We have developed a
metal-free domino type reaction between 3-aminoindazole, aryl aldehydes and
aceotophenones in the presence of KOH/DMF that leads to pyrimido[1,2-b]indazole
analogues. Response Surface Methodology (RSM) coupled with a Box-Behnken design
(BBD) were utilized for exploring the effect of base used (A), temperature of
reaction (B) and (C), reaction time. This approach can allow access to a variety
of pyrimidoindazole fluorophores and related compounds. The compound N,N-dimethyl
4-(2-phenylpyrimido[1,2-b]indazol-4-yl)aniline (4e) displays the maximum
fluorescence intensity at 518 nm and shows a fluorescence quantum yield of 0.068.
The synthesized pyramido-fused indazoles have been evaluated for their free
radical scavenging activity and compound 4f showed good antioxidant activity.
PMID- 27869742
TI - Design, Synthesis and Structure-Activity Relationships of Novel Diaryl Urea
Derivatives as Potential EGFR Inhibitors.
AB - Two novel series of diaryl urea derivatives 5a-i and 13a-l were synthesized and
evaluated for their cytotoxicity against H-460, HT-29, A549, and MDA-MB-231
cancer cell lines in vitro. Therein, 4-aminoquinazolinyl-diaryl urea derivatives
5a-i demonstrated significant activity, and seven of them are more active than
sorafenib, with IC50 values ranging from 0.089 to 5.46 MUM. Especially, compound
5a exhibited the most active potency both in cellular (IC50 = 0.15, 0.089, 0.36,
and 0.75 MUM, respectively) and enzymatic assay (IC50 = 56 nM against EGFR),
representing a promising lead for further optimization.
PMID- 27869745
TI - Many Activities, One Structure: Functional Plasticity of Ribozyme Folds.
AB - Catalytic RNAs, or ribozymes, are involved in a number of essential biological
processes, such as replication of RNA genomes and mobile genetic elements, RNA
splicing, translation, and RNA degradation. The function of ribozymes requires
the formation of active sites decorated with RNA functional groups within defined
three-dimensional (3D) structures. The genotype (sequence) of RNAs ultimately
determines what 3D structures they adopt (as a function of their environmental
conditions). These 3D structures, in turn, give rise to biochemical activity,
which can further elaborate them by catalytic rearrangements or association with
other molecules. The fitness landscape of a non-periodic linear polymer, such as
RNA, relates its primary structure to a phenotype. Two major challenges in the
analysis of ribozymes is to map all possible genotypes to their corresponding
catalytic activity (that is, to determine their fitness landscape
experimentally), and to understand whether their genotypes and three-dimensional
structures can support multiple different catalytic functions. Recently, the
combined results of experiments that employ in vitro evolution methods, high
throughput sequencing and crystallographic structure determination have hinted at
answers to these two questions: while the fitness landscape of ribozymes is
rugged, meaning that their catalytic activity cannot be optimized by a smooth
trajectory in sequence space, once an RNA achieves a stable three-dimensional
fold, it can be endowed with distinctly different biochemical activities through
small changes in genotype. This functional plasticity of highly structured RNAs
may be particularly advantageous for the adaptation of organisms to drastic
changes in selective pressure, or for the development of new biotechnological
tools.
PMID- 27869741
TI - Isoliquiritigenin Attenuates Atherogenesis in Apolipoprotein E-Deficient Mice.
AB - Isoliquiritigenin (ISL) exhibits antioxidation and anti-inflammation activity. We
sought to investigate the effects and mechanism of ISL on the development of
atherosclerotic lesions in apolipoprotein E-deficient (apoE-/-) mice. Firstly, we
determined that ISL reduced the mRNA levels of inflammatory factors interleukin 6
(IL-6), tumor necrosis factor alpha (TNF-alpha), and monocyte chemotactic protein
1 (MCP-1), while it increased the expression of several lipoprotein-related genes
in peritoneal macrophages treated with lipopolysaccharide (LPS). ISL also
enhanced peroxisome proliferator-activated receptor gamma (PPARgamma) protein
levels and reversed the changes of ATP-binding cassette transporter A (ABCA1) and
cluster of differentiation 36 (CD36) in macrophages treated with oxidative low
density lipoprotein (ox-LDL). Then, in an in vivo study, female apoE-/- mice were
fed a Western diet with ISL (0, 20, 100 mg/kg/day) added for 12 weeks. We found
that ISL decreased the plasma cholesterol levels of very low-density lipoprotein
(VLDL)/LDL, promoted plasma superoxide dismutase (SOD) and paraoxonase-1 (PON1)
activities, and decreased plasma IL-6, TNF-alpha, and MCP-1 levels. Moreover, ISL
significantly reduced the atherosclerotic lesions and hepatic steatosis in apoE-/
mice. In the liver, ISL altered the expression of several key genes (such as
SRBI, ABCA1, ABCG8, PPARgamma, and FASN) involving cholesterol-selective uptake
and excretion into bile, triglyceride (TG) biosynthesis, and inflammation. These
results suggest that the atheroprotective effects of ISL are due to the
improvement of lipid metabolism, antioxidation, and anti-inflammation, which
involve PPARgamma-dependent signaling.
PMID- 27869744
TI - Protective Effect of Tempol against Cisplatin-Induced Ototoxicity.
AB - One of the major adverse effects of cisplatin chemotherapy is hearing loss.
Cisplatin-induced ototoxicity hampers treatment because it often necessitates
dose reduction, which decreases cisplatin efficacy. This study was performed to
investigate the effect of Tempol on cisplatin-induced ototoxicity in an auditory
cell line, House Ear Institute-Organ of Corti 1 (HEI-OC1). Cultured HEI-OC1 cells
were exposed to 30 MUM cisplatin for 24 h with or without a 2 h pre-treatment
with Tempol. Cell viability was determined using 3-[4,5-dimethylthiazol-2-yl]-2,5
diphenyltetrazolium bromide (MTT) assay and apoptotic cells were identified using
terminal deoxynucleotidyl transferase dUTP nick end labeling of nuclei (TUNEL)
assay and flow cytometry. The effects of Tempol on cisplatin-induced cleaved
poly(ADP-ribose) polymerase, cleaved caspase, and mitochondrial inducible nitric
oxide synthase expression were evaluated using western blot analysis. Levels of
intracellular reactive oxygen species (ROS) were measured to assess the effects
of Tempol on cisplatin-induced ROS accumulation. Mitochondria were evaluated by
confocal microscopy, and the mitochondrial membrane potential was measured to
investigate whether Tempol protected against cisplatin-induced mitochondrial
dysfunction. Cisplatin treatment decreased cell viability, and increased
apoptotic features and markers, ROS accumulation, and mitochondrial dysfunction.
Tempol pre-treatment before cisplatin exposure significantly inhibited all these
cisplatin-induced effects. These results demonstrate that Tempol inhibits
cisplatin-induced cytotoxicity in HEI-OC1, and could play a preventive role
against cisplatin-induced ototoxicity.
PMID- 27869746
TI - Dew Point Calibration System Using a Quartz Crystal Sensor with a Differential
Frequency Method.
AB - In this paper, the influence of temperature on quartz crystal microbalance (QCM)
sensor response during dew point calibration is investigated. The aim is to
present a compensation method to eliminate temperature impact on frequency
acquisition. A new sensitive structure is proposed with double QCMs. One is kept
in contact with the environment, whereas the other is not exposed to the
atmosphere. There is a thermal conductivity silicone pad between each crystal and
a refrigeration device to keep a uniform temperature condition. A differential
frequency method is described in detail and is applied to calibrate the frequency
characteristics of QCM at the dew point of -3.75 degrees C. It is worth noting
that frequency changes of two QCMs were approximately opposite when temperature
conditions were changed simultaneously. The results from continuous experiments
show that the frequencies of two QCMs as the dew point moment was reached have
strong consistency and high repeatability, leading to the conclusion that the
sensitive structure can calibrate dew points with high reliability.
PMID- 27869747
TI - The Vitamin E Analog Gamma-Tocotrienol (GT3) and Statins Synergistically Up
Regulate Endothelial Thrombomodulin (TM).
AB - Statins; a class of routinely prescribed cholesterol-lowering drugs; inhibit 3
hydroxy-3-methylglutaryl-coenzymeA reductase (HMGCR) and strongly induce
endothelial thrombomodulin (TM); which is known to have anti-inflammatory; anti
coagulation; anti-oxidant; and radioprotective properties. However; high-dose
toxicity limits the clinical use of statins. The vitamin E family member gamma
tocotrienol (GT3) also suppresses HMGCR activity and induces TM expression
without causing significant adverse side effects; even at high concentrations. To
investigate the synergistic effect of statins and GT3 on TM; a low dose of
atorvastatin and GT3 was used to treat human primary endothelial cells. Protein
level TM expression was measured by flow cytometry. TM functional activity was
determined by activated protein C (APC) generation assay. Expression of Kruppel
like factor 2 (KLF2), one of the key transcription factors of TM, was measured by
quantitative reverse transcription polymerase chain reaction (qRT-PCR). TM
expression increased in a dose-dependent manner after both atorvastatin and GT3
treatment. A combined treatment of a low-dose of atorvastatin and GT3
synergistically up-regulated TM expression and functional activity. Finally;
atorvastatin and GT3 synergistically increased KLF2 expression. These findings
suggest that combined treatment of statins with GT3 may provide significant
health benefits in treating a number of pathophysiological conditions; including
inflammatory and cardiovascular diseases.
PMID- 27869749
TI - Ultrasound-Assisted Extraction May Not Be a Better Alternative Approach than
Conventional Boiling for Extracting Polysaccharides from Herbal Medicines.
AB - In clinical practice polysaccharides from herbal medicines are conventionally
prepared by boiling water extraction (BWE), while ultrasound-assisted extraction
(UAE) has often been used instead employed in laboratory research due to its
strong extraction ability and efficiency. However, if and how the polysaccharides
obtained by UAE and BWE are comparable, and hence whether the UAE-based research
is instructive for the actual usage of herbal polysaccharides still requires
further evaluation. To address this issue, here we chemically analyzed and
compared the UAE- and BWE-obtained polysaccharides from three herbal medicines,
i.e., Ginseng Radix, Astragali Radix and Dendrobii Officinalis Caulis. Then, the
spike recovery of two series of standard dextran and pullulan by UAE and BWE was
tested. The results showed that the polysaccharides from the herbal medicines by
UAE were quantitatively and qualitatively different with those by BWE. The
powerful extraction ability and polysaccharide degradation caused by ultrasound
collectively contributed to these differences. It was then revealed that not only
the UAE conditions but also the polysaccharide structures could affect the
extraction ability and polysaccharide degradation. Given these, we highly
recommended that the effects of UAE on polysaccharides from herbal medicines
should be first carefully considered before employing it in relevant chemical and
pharmacological analysis.
PMID- 27869748
TI - Design of New Antibacterial Enhancers Based on AcrB's Structure and the
Evaluation of Their Antibacterial Enhancement Activity.
AB - Previously, artesunate (AS) and dihydroartemisinine 7 (DHA7) were found to have
antibacterial enhancement activity against Escherichia coli via inhibition of the
efflux pump AcrB. However, they were only effective against E. coli standard
strains. This study aimed to develop effective antibacterial enhancers based on
the previous work. Our results demonstrate that 86 new antibacterial enhancers
were designed via 3D-SAR and molecular docking. Among them, DHA27 had the best
antibacterial enhancement activity. It could potentiate the antibacterial effects
of ampicillin against not only E. coli standard strain but also clinical strains,
and of beta-lactam antibiotics, not non-beta-lactamantibiotics. DHA27 could
increase the accumulation of daunomycin and nile red within E. coli ATCC 35218,
but did not increase the bacterial membrane permeability. DHA27 reduced acrB's
mRNA expression of E. coli ATCC 35218 in a dose-dependent manner, and its
antibacterial enhancement activity is related to the degree of acrB mRNA
expression in E. coli clinical strains. The polypeptides from AcrB were obtained
via molecular docking assay; the pre-incubated polypeptides could inhibit the
activity of DHA27. Importantly, DHA27 had no cytotoxicity on cell proliferation.
In conclusion, among newly designed antibacterial enhancers, DHA27 had favorable
physical and pharmacological properties with no significant cytotoxicity at
effective concentrations, and might serve as a potential efflux pump inhibitor in
the future.
PMID- 27869750
TI - Biophysical Approach to Mechanisms of Cancer Prevention and Treatment with Green
Tea Catechins.
AB - Green tea catechin and green tea extract are now recognized as non-toxic cancer
preventives for humans. We first review our brief historical development of green
tea cancer prevention. Based on exciting evidence that green tea catechin, (-)
epigallocatechin gallate (EGCG) in drinking water inhibited lung metastasis of
B16 melanoma cells, we and other researchers have studied the inhibitory
mechanisms of metastasis with green tea catechins using biomechanical tools,
atomic force microscopy (AFM) and microfluidic optical stretcher. Specifically,
determination of biophysical properties of cancer cells, low cell stiffness, and
high deformability in relation to migration, along with biophysical effects, were
studied by treatment with green tea catechins. The study with AFM revealed that
low average values of Young's moduli, indicating low cell stiffness, are closely
associated with strong potential of cell migration and metastasis for various
cancer cells. It is important to note that treatments with EGCG and green tea
extract elevated the average values of Young's moduli resulting in increased
stiffness (large elasticity) of melanomas and various cancer cells. We discuss
here the biophysical basis of multifunctions of green tea catechins and green tea
extract leading to beneficial effects for cancer prevention and treatment.
PMID- 27869751
TI - Effects of Power Ultrasound on Stability of Cyanidin-3-glucoside Obtained from
Blueberry.
AB - Power ultrasound (US) could potentially be used in the food industry in the
future. However, the extent of anthocyanin degradation by US requires
investigation. Cyanidin-3-glucoside (Cy-3-glu) obtained from blueberry extracts
was used as research material to investigate the effect of power ultrasound on
food processing of anthocyanin-rich raw materials. The effects of ultrasonic
waves on the stability of Cy-3-glu and on the corresponding changes in UV-Vis
spectrum and antioxidant activity were investigated, and the mechanisms of
anthocyanin degradation induced by ultrasonic waves were discussed. To explore Cy
3-glu degradation in different environments, we kept the Cy-3-glu solution
treated with ultrasonic waves in four concentrations (0%, 10%, 20%, and 50%) of
ethanol aqueous solutions to simulate water, beer, wine, and liquor storage
environment according to the chemical kinetics method. Results show that the
basic spectral characteristics of Cy-3-glu did not significantly change after
power ultrasound cell crusher application at 30 degrees C. However, with
anthocyanin degradation, the intensity of the peak for Cy-3-glu at 504 nm
significantly decreased (p < 0.05). The degradation kinetics of Cy-3-glu by
ultrasonic waves (200-500 W frequency) fitted well to first-order reaction
kinetics, and the degradation rate constant of Cy-3-glu under power ultrasound
was considerably larger than that under thermal degradation (p < 0.05). The
sensitivity of the anthocyanins of blueberry to temperature increased with
increasing ethanol concentration, and the longest half-life was observed in 20%
ethanol aqueous solution.
PMID- 27869752
TI - Extracting Plant Phenology Metrics in a Great Basin Watershed: Methods and
Considerations for Quantifying Phenophases in a Cold Desert.
AB - Plant phenology is recognized as important for ecological dynamics. There has
been a recent advent of phenology and camera networks worldwide. The established
PhenoCam Network has sites in the United States, including the western states.
However, there is a paucity of published research from semi-arid regions. In this
study, we demonstrate the utility of camera-based repeat digital imagery and use
of R statistical phenopix package to quantify plant phenology and phenophases in
four plant communities in the semi-arid cold desert region of the Great Basin. We
developed an automated variable snow/night filter for removing ephemeral snow
events, which allowed fitting of phenophases with a double logistic algorithm. We
were able to detect low amplitude seasonal variation in pinyon and juniper
canopies and sagebrush steppe, and characterize wet and mesic meadows in area
averaged analyses. We used individual pixel-based spatial analyses to separate
sagebrush shrub canopy pixels from interspace by determining differences in
phenophases of sagebrush relative to interspace. The ability to monitor plant
phenology with camera-based images fills spatial and temporal gaps in remotely
sensed data and field based surveys, allowing species level relationships between
environmental variables and phenology to be developed on a fine time scale thus
providing powerful new tools for land management.
PMID- 27869753
TI - Identification and Expression Analysis of Polygalacturonase Family Members during
Peach Fruit Softening.
AB - Polygalacturonase (PG) is an important hydrolytic enzyme involved in pectin
degradation during fruit softening. However, the roles of PG family members in
fruit softening remain unclear. We identified 45 PpPG genes in the peach genome
which are clustered into six subclasses. PpPGs consist of four to nine exons and
three to eight introns, and the exon/intron structure is basically conserved in
all but subclass E. Only 16 PpPG genes were expressed in ripening fruit, and
their expression profiles were analyzed during storage in two peach cultivars
with different softening characteristics. Eight PGs (PpPG1, -10, -12, -13, -15,
23, -21, and -22) in fast-softening "Qian Jian Bai" (QJB) fruit and three PGs
(PpPG15, -21, and -22) in slow-softening "Qin Wang" (QW) fruit exhibited
softening-associated patterns; which also were affected by ethylene treatment.
Our results suggest that the different softening characters in QW and QJB fruit
is related to the amount of PG members. While keeping relatively lower levels
during QW fruit softening, the expression of six PGs (PpPG1, -10, -12, -11, -14,
and -35) rapidly induced by ethylene. PpPG24, -25 and -38 may not be involved in
softening of peach fruit.
PMID- 27869755
TI - Distribution of Type I Restriction-Modification Systems in Streptococcus suis: An
Outlook.
AB - Streptococcus suis is a porcine commensal and pathogen with zoonotic potential.
We recently identified a novel Type I restriction-modification (R-M) system in a
zoonotic S. suis clone which has emerged in the Netherlands. Here, we describe
the DNA inversions in the specificity subunit of this system in S. suis serotype
2, clonal complex 20 and explain the absence of domain movement by the absence of
repeats. In addition, we identified a core Type I R-M system present in 95% of
the isolates and found an association of the distribution of Type I R-M systems
in the S. suis genome with population structure. We speculate on the potential
role of Type I R-M systems in S. suis given the recently described associations
of Type I R-M systems with virulence and propose future research directions.
PMID- 27869754
TI - A Comparative Pharmacokinetics Study of the Anti-Parkinsonian Drug Pramipexole.
AB - The present study aimed to compare pharmacokinetic parameters of two pramipexole
0.25 mg formulations in order to show bioequivalence. The study was conducted in
a randomized, open-label, two-period, two-sequence, and crossover design,
involving 23 healthy volunteers. One of the 0.25 mg formulations of pramipexole
evaluated in the study was manufactured by PT Dexa Medica, Palembang, Indonesia,
the other, used as the reference, by Boehringer Ingelheim Pharma GmbH & Co. KG,
Ingelheim am Rhein, Germany. All eligible subjects were required to fast before
each drug administration period, which was separated by a one-week washout
period. Pramipexole concentrations in plasma were assayed using a validated ultra
performance liquid chromatography with mass spectrometry (UPLC-MS/MS) detector.
The evaluated pharmacokinetic parameters included the area under the plasma
concentration curve from time zero to the last observed measurable concentration
(AUC0-t), the area under the plasma concentration curve extrapolated to infinite
time (AUC0-infinity), the maximum plasma concentration (Cmax), the time to reach
Cmax (tmax), and the plasma concentration half-life (t1/2). To evaluate the
bioequivalence of those two pramipexole formulations, 90% confidence intervals
(CIs) for geometric mean ratios of both formulations were calculated for AUC and
Cmax parameters, while tmax and t1/2 differences were analyzed on the non
transformed data using Wilcoxon matched-pairs and a Student's paired t-test,
respectively. The 90% CIs for the geometric mean ratios of the two pramipexole
formulations were 95.89% (90.73%-101.34%), 95.53% (89.75%-101.68%), and 92.11%
(84.35%-100.58%) for AUC0-t, AUC0-infinity, and Cmax, respectively. There were no
statistically significant differences for tmax and t1/2 between the two
pramipexole formulations. It is concluded that two pramipexole formulations in
this study were bioequivalent.
PMID- 27869756
TI - A Review of Temperature, pH, and Other Factors that Influence the Survival of
Salmonella in Mayonnaise and Other Raw Egg Products.
AB - Salmonellosis is one of the main causes of foodborne illnesses worldwide, with
outbreaks predominately linked to contamination of eggs and raw egg products,
such as mayonnaise. This review explores previous studies that have investigated
Salmonella control mechanisms utilized in the production of raw egg mayonnaise
and other food products. Apart from the use of pasteurized eggs, the main control
mechanism identified is the pH of the raw egg products, which plays an important
role in the consistency and stability while affecting the survival of Salmonella
spp. However, currently there is no consensus regarding the critical pH limit for
the control of Salmonella. The effectiveness of pH as a control mechanism is
influenced by the type of acid used, with the effectiveness of lemon juice
compared with vinegar highly debated. Additionally, Salmonella susceptibility to
pH stresses may also be influenced by storage temperature (in some studies
refrigeration temperatures protected Salmonella spp. from acidulants) and is
further complicated by the development of Salmonella cross-tolerance-induced
responses, pH homeostasis achieved by the cellular antiport and symport systems,
and acid tolerance response (ATR). These mechanisms all provide Salmonella with
an added advantage to ensure survival under various pH conditions. Other
confounding factors include the fat content, and the addition of NaCl, garlic and
plant essential oils (PEOs) from mint, cinnamon, cardamom and clove.
PMID- 27869757
TI - Clostridium perfringens Sialidases: Potential Contributors to Intestinal
Pathogenesis and Therapeutic Targets.
AB - Clostridium perfringens is a major cause of histotoxic and intestinal infections
of humans and other animals. This Gram-positive anaerobic bacterium can produce
up to three sialidases named NanH, NanI, and NanJ. The role of sialidases in
histotoxic infections, such as gas gangrene (clostridial myonecrosis), remains
equivocal. However, recent in vitro studies suggest that NanI may contribute to
intestinal virulence by upregulating production of some toxins associated with
intestinal infection, increasing the binding and activity of some of those
toxins, and enhancing adherence of C. perfringens to intestinal cells. Possible
contributions of NanI to intestinal colonization are further supported by
observations that the C. perfringens strains causing acute food poisoning in
humans often lack the nanI gene, while other C. perfringens strains causing
chronic intestinal infections in humans usually carry a nanI gene. Certain
sialidase inhibitors have been shown to block NanI activity and reduce C.
perfringens adherence to cultured enterocyte-like cells, opening the possibility
that sialidase inhibitors could be useful therapeutics against C. perfringens
intestinal infections. These initial in vitro observations should be tested for
their in vivo significance using animal models of intestinal infections.
PMID- 27869758
TI - The Impact of Rurality and Disadvantage on the Diagnostic Interval for Breast
Cancer in a Large Population-Based Study of 3202 Women in Queensland, Australia.
AB - Delays in diagnosing breast cancer (BC) can lead to poorer outcomes. We
investigated factors related to the diagnostic interval in a population-based
cohort of 3202 women diagnosed with BC in Queensland, Australia. Interviews
ascertained method of detection and dates of medical/procedural appointments, and
clinical information was obtained from medical records. Time intervals were
calculated from self-recognition of symptoms (symptom-detected) or mammogram
(screen-detected) to diagnosis (diagnostic interval (DI)). The cohort included
1560 women with symptom-detected and 1642 with screen-detected BC. Symptom
detected women had higher odds of DI of >60 days if they were Indigenous (OR =
3.12, 95% CI = 1.40, 6.98); lived in outer regional (OR = 1.50, 95% CI = 1.09,
2.06) or remote locations (OR = 2.46, 95% CI = 1.39, 4.38); or presented with a
"non-lump" symptom (OR = 1.84, 95% CI = 1.43, 2.36). For screen-detected BC,
women who were Indigenous (OR = 2.36, 95% CI = 1.03, 5.80); lived in remote
locations (OR = 2.35, 95% CI = 1.24, 4.44); or disadvantaged areas (OR = 1.69,
95% CI = 1.17, 2.43) and attended a public screening facility (OR = 2.10, 95% CI
= 1.40, 3.17) had higher odds of DI > 30 days. Our study indicates a disadvantage
in terms of DI for rural, disadvantaged and Indigenous women. Difficulties in
accessing primary care and diagnostic services are evident. There is a need to
identify and implement an efficient and effective model of care to minimize
avoidable longer diagnostic intervals.
PMID- 27869760
TI - Spotlight on the Underdogs-An Analysis of Underrepresented Alternaria Mycotoxins
Formed Depending on Varying Substrate, Time and Temperature Conditions.
AB - Alternaria (A.) is a genus of widespread fungi capable of producing numerous,
possibly health-endangering Alternaria toxins (ATs), which are usually not the
focus of attention. The formation of ATs depends on the species and complex
interactions of various environmental factors and is not fully understood. In
this study the influence of temperature (7 degrees C, 25 degrees C), substrate
(rice, wheat kernels) and incubation time (4, 7, and 14 days) on the production
of thirteen ATs and three sulfoconjugated ATs by three different Alternaria
isolates from the species groups A. tenuissima and A. infectoria was determined.
High-performance liquid chromatography coupled with tandem mass spectrometry was
used for quantification. Under nearly all conditions, tenuazonic acid was the
most extensively produced toxin. At 25 degrees C and with increasing incubation
time all toxins were formed in high amounts by the two A. tenuissima strains on
both substrates with comparable mycotoxin profiles. However, for some of the
toxins, stagnation or a decrease in production was observed from day 7 to 14. As
opposed to the A. tenuissima strains, the A. infectoria strain only produced low
amounts of ATs, but high concentrations of stemphyltoxin III. The results provide
an essential insight into the quantitative in vitro AT formation under different
environmental conditions, potentially transferable to different field and storage
conditions.
PMID- 27869759
TI - A Cell Biological Perspective on Past, Present and Future Investigations of the
Spindle Assembly Checkpoint.
AB - The spindle assembly checkpoint (SAC) is a quality control mechanism that ensures
accurate chromosome segregation during cell division. It consists of a
mechanochemical signal transduction mechanism that senses the attachment of
chromosomes to the spindle, and a signaling cascade that inhibits cell division
if one or more chromosomes are not attached. Extensive investigations of both
these component systems of the SAC have synthesized a comprehensive understanding
of the underlying molecular mechanisms. This review recounts the milestone
results that elucidated the SAC, compiles a simple model of the complex molecular
machinery underlying the SAC, and highlights poorly understood facets of the
biochemical design and cell biological operation of the SAC that will drive
research forward in the near future.
PMID- 27869761
TI - Effect of Fusarium-Derived Metabolites on the Barrier Integrity of Differentiated
Intestinal Porcine Epithelial Cells (IPEC-J2).
AB - The human, animal and plant pathogen Fusarium, which contaminates agricultural
commodities worldwide, produces numerous secondary metabolites. An example is the
thoroughly-investigated deoxynivalenol (DON), which severely impairs
gastrointestinal barrier integrity. However, to date, the toxicological profile
of other Fusarium-derived metabolites, such as enniatins, beauvericin,
moniliformin, apicidin, aurofusarin, rubrofusarin, equisetin and bikaverin, are
poorly characterized. Thus we examined their effects-as metabolites alone and as
metabolites in combination with DON-on the intestinal barrier function of
differentiated intestinal porcine epithelial cells (IPEC-J2) over 72 h.
Transepithelial electrical resistance (TEER) was measured at 24-h intervals,
followed by evaluation of cell viability using neutral red (NR) assay. Enniatins
A, A1, B and B1, apicidin, aurofusarin and beauvericin significantly reduced
TEER. Moniliformin, equisetin, bikaverin and rubrofusarin had no effect on TEER.
In the case of apicidin, aurofusarin and beauvericin, TEER reductions were
further substantiated by the addition of otherwise no-effect DON concentrations.
In all cases, viability was unaffected, confirming that TEER reductions were not
due to compromised viability. Considering the prevalence of mycotoxin
contamination and the diseases associated with intestinal barrier disruption,
consumption of contaminated food or feed may have substantial health
implications.
PMID- 27869765
TI - Localization of CO2 Leakage from a Circular Hole on a Flat-Surface Structure
Using a Circular Acoustic Emission Sensor Array.
AB - Leak localization is essential for the safety and maintenance of storage vessels.
This study proposes a novel circular acoustic emission sensor array to realize
the continuous CO2 leak localization from a circular hole on the surface of a
large storage vessel in a carbon capture and storage system. Advantages of the
proposed array are analyzed and compared with the common sparse arrays.
Experiments were carried out on a laboratory-scale stainless steel plate and leak
signals were obtained from a circular hole in the center of this flat-surface
structure. In order to reduce the influence of the ambient noise and dispersion
of the acoustic wave on the localization accuracy, ensemble empirical mode
decomposition is deployed to extract the useful leak signal. The time differences
between the signals from the adjacent sensors in the array are calculated through
correlation signal processing before estimating the corresponding distance
differences between the sensors. A hyperbolic positioning algorithm is used to
identify the location of the circular leak hole. Results show that the circular
sensor array has very good directivity toward the circular leak hole.
Furthermore, an optimized method is proposed by changing the position of the
circular sensor array on the flat-surface structure or adding another circular
sensor array to identify the direction of the circular leak hole. Experiential
results obtained on a 100 cm * 100 cm stainless steel plate demonstrate that the
full-scale error in the leak localization is within 0.6%.
PMID- 27869764
TI - Drivers' Visual Search Patterns during Overtaking Maneuvers on Freeway.
AB - Drivers gather traffic information primarily by means of their vision. Especially
during complicated maneuvers, such as overtaking, they need to perceive a variety
of characteristics including the lateral and longitudinal distances with other
vehicles, the speed of others vehicles, lane occupancy, and so on, to avoid
crashes. The primary object of this study is to examine the appropriate visual
search patterns during overtaking maneuvers on freeways. We designed a series of
driving simulating experiments in which the type and speed of the leading vehicle
were considered as two influential factors. One hundred and forty participants
took part in the study. The participants overtook the leading vehicles just like
they would usually do so, and their eye movements were collected by use of the
Eye Tracker. The results show that participants' gaze durations and saccade
durations followed normal distribution patterns and that saccade angles followed
a log-normal distribution pattern. It was observed that the type of leading
vehicle significantly impacted the drivers' gaze duration and gaze frequency. As
the speed of a leading vehicle increased, subjects' saccade durations became
longer and saccade angles became larger. In addition, the initial and destination
lanes were found to be key areas with the highest visual allocating proportion,
accounting for more than 65% of total visual allocation. Subjects tended to more
frequently shift their viewpoints between the initial lane and destination lane
in order to search for crucial traffic information. However, they seldom directly
shifted their viewpoints between the two wing mirrors.
PMID- 27869763
TI - Crash Risk Prediction Modeling Based on the Traffic Conflict Technique and a
Microscopic Simulation for Freeway Interchange Merging Areas.
AB - This paper evaluates the traffic safety of freeway interchange merging areas
based on the traffic conflict technique. The hourly composite risk indexes (HCRI)
was defined. By the use of unmanned aerial vehicle (UAV) photography and video
processing techniques, the conflict type and severity was judged. Time to
collision (TTC) was determined with the traffic conflict evaluation index. Then,
the TTC severity threshold was determined. Quantizing the weight of the conflict
by direct losses of different severities of freeway traffic accidents, the
calculated weight of the HCRI can be obtained. Calibration of the relevant
parameters of the micro-simulation simulator VISSIM is conducted by the travel
time according to the field data. Variables are placed into orthogonal tables at
different levels. On the basis of this table, the trajectory file of every
traffic condition is simulated, and then submitted into a surrogate safety
assessment model (SSAM), identifying the number of hourly traffic conflicts in
the merging area, a statistic of HCRI. Moreover, the multivariate linear
regression model was presented and validated to study the relationship between
HCRI and the influencing variables. A comparison between the HCRI model and the
hourly conflicts ratio (HCR), without weight, shows that the HCRI model fitting
degree was obviously higher than the HCR. This will be a reference to design and
implement operational planners.
PMID- 27869762
TI - Dose-Response Relationship between Dietary Magnesium Intake and Risk of Type 2
Diabetes Mellitus: A Systematic Review and Meta-Regression Analysis of
Prospective Cohort Studies.
AB - The epidemiological evidence for a dose-response relationship between magnesium
intake and risk of type 2 diabetes mellitus (T2D) is sparse. The aim of the study
was to summarize the evidence for the association of dietary magnesium intake
with risk of T2D and evaluate the dose-response relationship. We conducted a
systematic review and meta-analysis of prospective cohort studies that reported
dietary magnesium intake and risk of incident T2D. We identified relevant studies
by searching major scientific literature databases and grey literature resources
from their inception to February 2016. We included cohort studies that provided
risk ratios, i.e., relative risks (RRs), odds ratios (ORs) or hazard ratios
(HRs), for T2D. Linear dose-response relationships were assessed using random
effects meta-regression. Potential nonlinear associations were evaluated using
restricted cubic splines. A total of 25 studies met the eligibility criteria.
These studies comprised 637,922 individuals including 26,828 with a T2D
diagnosis. Compared with the lowest magnesium consumption group in the
population, the risk of T2D was reduced by 17% across all the studies; 19% in
women and 16% in men. A statistically significant linear dose-response
relationship was found between incremental magnesium intake and T2D risk. After
adjusting for age and body mass index, the risk of T2D incidence was reduced by
8%-13% for per 100 mg/day increment in dietary magnesium intake. There was no
evidence to support a nonlinear dose-response relationship between dietary
magnesium intake and T2D risk. The combined data supports a role for magnesium in
reducing risk of T2D, with a statistically significant linear dose-response
pattern within the reference dose range of dietary intake among Asian and US
populations. The evidence from Europe and black people is limited and more
prospective studies are needed for the two subgroups.
PMID- 27869766
TI - Supporting Teens with Chronic Pain to Obtain High School Credits: Chronic Pain 35
in Alberta.
AB - Chronic pain is a significant problem in children and teens, and adolescents with
chronic pain often struggle to attend school on a regular basis. We present in
this article a novel program we developed that integrates attendance at a group
cognitive-behavioural chronic pain self-management program with earning high
school credits. We collaborated with Alberta Education in the development of this
course, Chronic Pain 35. Adolescents who choose to enroll are invited to
demonstrate their scientific knowledge related to pain, understanding of and
engagement with treatment homework, and demonstrate their creativity by
completing a project, which demonstrates at least one concept. Integrating
Chronic Pain 35 into an adolescent's academic achievements is a creative strategy
that facilitates the engagement of adolescents in learning and adopting pain
coping techniques. It also helps teens to advocate for themselves in the school
environment and improve their parents' and teachers' understanding of adolescent
chronic pain. This is one of the first successful collaborations between a
pediatric health program and provincial education leaders, aimed at integrating
learning and obtaining school credit for learning about and engaging in health
self-management for teens. The authors hope this paper serves as an effective
reference model for any future collaborating programs aimed at supporting teens
with chronic pain to obtain high school credits.
PMID- 27869767
TI - Clinical Decision-Making in the Treatment of Schizophrenia: Focus on Long-Acting
Injectable Antipsychotics.
AB - The purpose of this study was to identify clinician characteristics associated
with higher prescription rates of long-acting injectable (LAI) antipsychotics, as
well as the sources that influence medical decision-making regarding the
treatment of schizophrenia. We surveyed 202 psychiatrists during six regional
French conferences (Bordeaux, Lyon, Marseille, Nice, Paris, and Strasbourg). Data
on the characteristics of practice, prescription rates of antipsychotic, and
information sources about their clinical decisions were collected. Most
psychiatrists used second-generation antipsychotics (SGAs), and preferentially an
oral formulation, in the treatment of schizophrenia. LAI SGAs were prescribed to
30.4% of schizophrenic patients. The duration and type of practice did not
influence the class or formulation of antipsychotics used. The clinicians
following the higher percentage of schizophrenic patients were associated with a
higher use of LAI antipsychotics and a lower use of oral SGAs. Personal
experience, government regulatory approval, and guidelines for the treatment of
schizophrenia were the three main contributing factors guiding clinicians'
decision-making regarding the treatment of schizophrenia. The more clinicians
follow schizophrenic patients, the more they use LAI antipsychotics. The
development of specialized programs with top specialists should lead to better
use of LAI antipsychotics in the treatment of schizophrenia.
PMID- 27869768
TI - Synthesis of Non-Toxic Silica Particles Stabilized by Molecular Complex Oleic
Acid/Sodium Oleate.
AB - The present work is focused on the preparation of biocompatible silica particles
from sodium silicate, stabilized by a vesicular system containing oleic acid
(OLA) and its alkaline salt (OLANa). Silica nanoparticles were generated by the
partial neutralization of oleic acid (OLA), with the sodium cation present in the
aqueous solutions of sodium silicate. At the molar ratio OLA/Na+ = 2:1, the molar
ratio (OLA/OLANa = 1:1) required to form vesicles, in which the carboxyl and
carboxylate groups have equal concentrations, was achieved. In order to obtain
hydrophobically modified silica particles, octadecyltriethoxysilane (ODTES) was
added in a sodium silicate sol-gel mixture at different molar ratios. The
interactions between the octadecyl groups from the modified silica and the oleyl
chains from the OLA/OLANa stabilizing system were investigated via simultaneous
thermogravimetry (TG) and differential scanning calorimetry (DSC) (TG-DSC)
analyses.A significant decrease in vaporization enthalpy and an increase in
amount of ODTES were observed. Additionally, that the hydrophobic interaction
between OLA and ODTES has a strong impact on the hybrids' final morphology and on
their textural characteristics was revealed. The highest hydrodynamic average
diameter and the most negative zeta potential were recorded for the hybrid in
which the ODTES/sodium silicate molar ratio was 1:5. The obtained mesoporous
silica particles, stabilized by the OLA/OLANa vesicular system, may find
application as carriers for hydrophobic bioactive molecules.
PMID- 27869770
TI - Direct Separation of Pregabalin Enantiomers Using a Zwitterionic Chiral Selector
by High Performance Liquid Chromatography Coupled to Mass Spectrometry and
Ultraviolet Detection.
AB - The chromatographic resolution of pregabalin enantiomers has been often achieved
by derivatization of the molecule, in order to reach enough sensitivity at low
concentrations of the minor enantiomer present in the active principle. In the
present article, the development and optimization of two liquid chromatographic
methods are presented for the direct resolution of pregabalin enantiomers on a
chiral stationary phase (CSP) containing a zwitterionic selector derived from
cinchona alkaloid and sulfonic acid (CHIRALPAK ZWIX). The key parameters for the
separation as well as the compatibility of chromatographic conditions with
different detection modes (ultraviolet and mass spectrometry) were investigated.
The resulting methods were found to be selective, of high performance and low
limits of detection (2 ug/mL by UV and 1 ng/mL by MS, respectively) and
quantification (6 ug/mL by UV and 5 ng/mL by MS, respectively) for the minor
enantiomer which is considered as a chiral impurity.
PMID- 27869771
TI - Selenium Nanoparticles Attenuate Oxidative Stress and Testicular Damage in
Streptozotocin-Induced Diabetic Rats.
AB - We investigated the protective and antioxidative effects of selenium
nanoparticles (SeNPs) in streptozotocin STZ-induced diabetic rats. STZ-diabetic
rats were exposed daily to treatments with SeNPs and/or insulin and then the
effect of these treatments on the parameters correlated to oxidative damage of
the rat testes were assessed. Biochemical analysis revealed that SeNPs are able
to ameliorate the reduction in the serum testosterone caused by STZ-induced
diabetes. Furthermore, SeNPs could significantly decrease testicular tissue
oxidative stress markers, namely lipid peroxidation and nitric oxide. In
contrast, treatment of the STZ-diabetic rats with SeNPs increased the glutathione
content and antioxidant enzyme activities in testicular tissues. Moreover,
microscopic analysis proved that SeNPs are able to prevent histological damage in
the testes of STZ-diabetic rats. Molecular analysis revealed that the mRNA level
of Bcl-2 (B-cell lymphoma 2) is significantly upregulated. On the contrary, the
mRNA level of Bax (Bcl-2 Associated X Protein) was significantly downregulated.
Furthermore, treatment of STZ-diabetic rats with SeNPs led to an elevation in the
expression of PCNA (Proliferating Cell Nuclear Antigen Gene). Interestingly, the
insulin treatment also exhibited a significant improvement in the testicular
function in STZ-diabetic rats. Collectively, our results demonstrated the
possible effects of SeNPs in attenuating diabetes-induced oxidative damage, in
particular in testicular tissue.
PMID- 27869769
TI - Zebrafish: A Model for the Study of Toxicants Affecting Muscle Development and
Function.
AB - The rapid progress in medicine, agriculture, and allied sciences has enabled the
development of a large amount of potentially useful bioactive compounds, such as
drugs and pesticides. However, there is another side of this phenomenon, which
includes side effects and environmental pollution. To avoid or minimize the
uncontrollable consequences of using the newly developed compounds, researchers
seek a quick and effective means of their evaluation. In achieving this goal, the
zebrafish (Danio rerio) has proven to be a highly useful tool, mostly because of
its fast growth and development, as well as the ability to absorb the molecules
diluted in water through its skin and gills. In this review, we focus on the
reports concerning the application of zebrafish as a model for assessing the
impact of toxicants on skeletal muscles, which share many structural and
functional similarities among vertebrates, including zebrafish and humans.
PMID- 27869772
TI - Aphis Glycines Virus 2, a Novel Insect Virus with a Unique Genome Structure.
AB - The invasive soybean aphid, Aphis glycines, is a major pest in soybeans,
resulting in substantial economic loss. We analyzed the A. glycines transcriptome
to identify sequences derived from viruses of A. glycines. We identified
sequences derived from a novel virus named Aphis glycines virus 2 (ApGlV2). The
assembled virus genome sequence was confirmed by reverse transcription polymerase
chain reaction (RT-PCR) and Sanger sequencing, conserved domains were
characterized, and distribution, and transmission examined. This virus has a
positive sense, single-stranded RNA genome of ~4850 nt that encodes three
proteins. The RNA-dependent RNA polymerase (RdRp) of ApGlV2 is a permuted RdRp
similar to those of some tetraviruses, while the capsid protein is structurally
similar to the capsid proteins of plant sobemoviruses. ApGlV2 also encodes a
larger minor capsid protein, which is translated by a readthrough mechanism.
ApGlV2 appears to be widespread in A. glycines populations and to persistently
infect aphids with a 100% vertical transmission rate. ApGlV2 is susceptible to
the antiviral RNA interference (RNAi) pathway. This virus, with its unique genome
structure with both plant- and insect-virus characteristics, is of particular
interest from an evolutionary standpoint.
PMID- 27869774
TI - Performance Evaluation of Proximal Sensors for Soil Assessment in Smallholder
Farms in Embu County, Kenya.
AB - Four proximal soil sensors were tested at four smallholder farms in Embu County,
Kenya: a portable X-ray fluorescence sensor (PXRF), a mobile phone application
for soil color determination by photography, a dual-depth electromagnetic
induction (EMI) sensor, and a LED-based soil optical reflectance sensor.
Measurements were made at 32-43 locations at each site. Topsoil samples were
analyzed for plant-available nutrients (N, P, K, Mg, Ca, S, B, Mn, Zn, Cu, and
Fe), pH, total nitrogen (TN) and total carbon (TC), soil texture, cation exchange
capacity (CEC), and exchangeable aluminum (Al). Multivariate prediction models of
each of the lab-analyzed soil properties were parameterized for 576 sensor
variable combinations. Prediction models for K, N, Ca and S, B, Zn, Mn, Fe, TC,
Al, and CEC met the setup criteria for functional, robust, and accurate models.
The PXRF sensor was the sensor most often included in successful models. We
concluded that the combination of a PXRF and a portable soil reflectance sensor
is a promising combination of handheld soil sensors for the development of in
situ soil assessments as a field-based alternative or complement to laboratory
measurements.
PMID- 27869773
TI - Neighborhood Characteristics: Influences on Pain and Physical Function in Youth
at Risk for Chronic Pain.
AB - Neighborhood features such as community socioeconomic status, recreational
facilities, and parks have been correlated to the health outcomes of the
residents living within those neighborhoods, especially with regard to health
related quality of life, body mass index, and physical activity. The interplay
between one's built environment and one's perceptions may affect physical health,
well-being, and pain experiences. In the current study, neighborhood
characteristics and attitudes about physical activity were examined in a high
risk (youths with a parent with chronic pain) and low-risk (youths without a
parent with chronic pain) adolescent sample. There were significant differences
in neighborhood characteristics between the high-risk (n = 62) and low-risk (n =
77) samples (ages 11-15), with low-risk participants living in residences with
more walkability, closer proximity to parks, and higher proportion of
neighborhood residents having college degrees. Results indicate that neighborhood
features (e.g., walkability and proximity to parks), as well as positive
attitudes about physical activity were correlated with lower levels of pain and
pain-related disability, and higher performance in physical functioning tests.
These findings suggest that the built environment may contribute to pain outcomes
in youth, above and beyond the influence of family history of pain.
PMID- 27869775
TI - Plant Virus Infection and the Ubiquitin Proteasome Machinery: Arms Race along the
Endoplasmic Reticulum.
AB - The endoplasmic reticulum (ER) is central to plant virus replication,
translation, maturation, and egress. Ubiquitin modification of ER associated
cellular and viral proteins, alongside the actions of the 26S proteasome, are
vital for the regulation of infection. Viruses can arrogate ER associated
ubiquitination as well as cytosolic ubiquitin ligases with the purpose of
directing the ubiquitin proteasome system (UPS) to new targets. Such targets
include necessary modification of viral proteins which may stabilize certain
complexes, or modification of Argonaute to suppress gene silencing. The UPS
machinery also contributes to the regulation of effector triggered immunity
pattern recognition receptor immunity. Combining the results of unrelated
studies, many positive strand RNA plant viruses appear to interact with cytosolic
Ub-ligases to provide novel avenues for controlling the deleterious consequences
of disease. Viral interactions with the UPS serve to regulate virus infection in
a manner that promotes replication and movement, but also modulates the levels of
RNA accumulation to ensure successful biotrophic interactions. In other
instances, the UPS plays a central role in cellular immunity. These opposing
roles are made evident by contrasting studies where knockout mutations in the UPS
can either hamper viruses or lead to more aggressive diseases. Understanding how
viruses manipulate ER associated post-translational machineries to better manage
virus-host interactions will provide new targets for crop improvement.
PMID- 27869776
TI - Complementary Feeding Strategies to Facilitate Acceptance of Fruits and
Vegetables: A Narrative Review of the Literature.
AB - Complementary feeding (CF), which should begin after exclusive breastfeeding for
six months, according to the World Health Organization (WHO), or after four
months and before six months according to the European Society for Pediatric
Gastroenterology Hepatology and Nutrition (ESPGHAN), is a period when the infant
implicitly learns what, when, how, and how much to eat. At the onset of CF, the
brain and the gut are still developing and maturing, and food experiences
contribute to shaping brain connections involved in food hedonics and in the
control of food intake. These learning processes are likely to have a long-term
impact. Children's consumption of fruit and vegetables (FV) is below
recommendations in many countries. Thus, it is crucial to establish preferences
for FV early, when infants are learning to eat. The development of food
preferences mainly starts when infants discover their first solid foods. This
narrative review summarizes the factors that influence FV acceptance at the start
of the CF period: previous milk feeding experience; timing of onset of CF;
repeated exposures to the food; variety of foods offered as of the start of the
CF period; quality and sensory properties of the complementary foods; quality of
the meal time context; and parental responsive feeding.
PMID- 27869778
TI - Agarose and Its Derivatives as Supports for Enzyme Immobilization.
AB - Agarose is a polysaccharide obtained from some seaweeds, with a quite particular
structure that allows spontaneous gelation. Agarose-based beads are highly
porous, mechanically resistant, chemically and physically inert, and sharply
hydrophilic. These features-that could be further improved by means of covalent
cross-linking-render them particularly suitable for enzyme immobilization with a
wide range of derivatization methods taking advantage of chemical modification of
a fraction of the polymer hydroxyls. The main properties of the polymer are
described here, followed by a review of cross-linking and derivatization methods.
Some recent, innovative procedures to optimize the catalytic activity and
operational stability of the obtained preparations are also described, together
with multi-enzyme immobilized systems and the main guidelines to exploit their
performances.
PMID- 27869777
TI - The Effects of Mild Gestational Hyperglycemia on Exclusive Breastfeeding
Cessation.
AB - Gestational diabetes increases the risk of a range of adverse perinatal outcomes,
including breastfeeding failure, but the best cut-off point for gestational
diabetes is unknown. The purpose of this study was to evaluate the association
between mild gestational glucose tolerance impairment and the early cessation of
exclusive breastfeeding (EBF). This is an observational study of 768 women with
full term pregnancies that were screened for gestational diabetes at 24-28 weeks
gestation. Subjects were divided into two groups: those with a normal 1-h glucose
challenge test and those with an elevated 1-h glucose challenge test but still
did not qualify for gestational diabetes. We constructed multivariable logistic
regression models using data from 616 women with normal gestational glucose
tolerance and 152 women with an isolated positive 1-h glucose challenge test. The
risk of early exclusive breastfeeding cessation was found to increase in women
with mildly impaired glucose tolerance during pregnancy (adjusted OR, 1.65; 95%
CI: 1.11, 2.45). Risks of early EBF cessation were also independently associated
with the amount of neonatal weight loss and admission to the neonatal ward.
Instead, parity was associated with a decreased risk for shorter EBF duration.
Insulin resistance-even in the absence of gestational diabetes mellitus-may be an
impeding factor for EBF.
PMID- 27869780
TI - The Parent Psychological Flexibility Questionnaire (PPFQ): Item Reduction and
Validation in a Clinical Sample of Swedish Parents of Children with Chronic Pain.
AB - In pediatric chronic pain, research indicates a positive relation between
parental psychological flexibility (i.e., the parent's willingness to experience
distress related to the child's pain in the service of valued behavior) and level
of functioning in the child. This points to the utility of targeting parental
psychological flexibility in pediatric chronic pain. The Parent Psychological
Flexibility Questionnaire (PPFQ) is currently the only instrument developed for
this purpose, and two previous studies have indicated its reliability and
validity. The current study sought to validate the Swedish version of the 17-item
PPFQ (PPFQ-17) in a sample of parents (n = 263) of children with chronic pain.
Factor structure and internal reliability were evaluated by means of principal
component analysis (PCA) and Cronbach's alpha. Concurrent criterion validity was
examined by hierarchical multiple regression analyses with parental anxiety and
depression as outcomes. The PCA supported a three-factor solution with 10 items
explaining 69.5% of the total variance. Cronbach's alpha (0.86) indicated good
internal consistency. The 10-item PPFQ (PPFQ-10) further explained a significant
amount of variance in anxiety (29%), and depression (35.6%), confirming
concurrent validity. In conclusion, results support the reliability and validity
of the PPFQ-10, and suggest its usefulness in assessing psychological flexibility
in parents of children with chronic pain.
PMID- 27869781
TI - Molecular Docking Optimization in the Context of Multi-Drug Resistant and
Sensitive EGFR Mutants.
AB - The human Epidermal Growth Factor (EGFR) plays an important role in signaling
pathways, such as cell proliferation and migration. Mutations like G719S, L858R,
T790M, G719S/T790M or T790M/L858R can alter its conformation, and, therefore,
drug responses from lung cancer patients. In this context, candidate drugs are
being tested and in silico studies are necessary to know how these mutations
affect the ligand binding site. This problem can be tackled by using a multi
objective approach applied to the molecular docking problem. According to the
literature, few studies are related to the application of multi-objective
approaches by minimizing two or more objectives in drug discovery. In this study,
we have used four algorithms (NSGA-II, GDE3, SMPSO and MOEA/D) to minimize two
objectives: the ligand-receptor intermolecular energy and the RMSD score. We have
prepared a set of instances that includes the wild-type EGFR kinase domain and
the same receptor with somatic mutations, and then we assessed the performance of
the algorithms by applying a quality indicator to evaluate the convergence and
diversity of the reference fronts. The MOEA/D algorithm yields the best solutions
to these docking problems. The obtained solutions were analyzed, showing
promising results to predict candidate EGFR inhibitors by using this multi
objective approach.
PMID- 27869782
TI - Semisynthetic and SAR Studies of Amide Derivatives of Neocrotocembraneic Acid as
Potential Antitumor Agents.
AB - A series of novel amide derivatives of cembranoid neocrotocembraneic acid were
designed and synthesized. The antiproliferative activities of these derivatives
were evaluated against three human tumor cell lines (the human cervical cancer
cell line HeLa, chronic myeloid leukemia cell line K562 and leukemia multidrug
resistant cell line K562/A02). Some of the synthesized compounds exhibited
moderate to good activity against all three cancer cell lines. Particularly,
compound 8a exhibited more potent antiproliferative activity than the reference
drug etoposide against drug-resistant cell line K562/A02, indicating that it
possessed a great potential for further development as a multidrug resistance
modulator by structural modification.
PMID- 27869779
TI - Immunomodulatory Function of the Tumor Suppressor p53 in Host Immune Response and
the Tumor Microenvironment.
AB - The tumor suppressor p53 is the most frequently mutated gene in human cancers.
Most of the mutations are missense leading to loss of p53 function in inducing
apoptosis and senescence. In addition to these autonomous effects of p53
inactivation/dysfunction on tumorigenesis, compelling evidence suggests that p53
mutation/inactivation also leads to gain-of-function or activation of non
autonomous pathways, which either directly or indirectly promote tumorigenesis.
Experimental and clinical results suggest that p53 dysfunction fuels pro-tumor
inflammation and serves as an immunological gain-of-function driver of
tumorigenesis via skewing immune landscape of the tumor microenvironment (TME).
It is now increasingly appreciated that p53 dysfunction in various cellular
compartments of the TME leads to immunosuppression and immune evasion. Although
our understanding of the cellular and molecular processes that link p53 activity
to host immune regulation is still incomplete, it is clear that
activating/reactivating the p53 pathway in the TME also represents a compelling
immunological strategy to reverse immunosuppression and enhance antitumor
immunity. Here, we review our current understanding of the potential cellular and
molecular mechanisms by which p53 participates in immune regulation and discuss
how targeting the p53 pathway can be exploited to alter the immunological
landscape of tumors for maximizing therapeutic outcome.
PMID- 27869784
TI - Phytochemical Profiling of Flavonoids, Phenolic Acids, Terpenoids, and Volatile
Fraction of a Rosemary (Rosmarinus officinalis L.) Extract.
AB - This paper presents a comprehensive analysis of the phytochemical profile of a
proprietary rosemary (Rosmarinus officinalis L.) extract rich in carnosic acid. A
characterization of the (poly)phenolic and volatile fractions of the extract was
carried out using mass spectrometric techniques. The (poly)phenolic composition
was assessed by ultra-high performance liquid chromatography-electrospray
ionization-mass spectrometry (UHPLC-ESI-MSn) and a total of 57 compounds were
tentatively identified and quantified, 14 of these being detected in rosemary
extract for the first time. The rosemary extract contained 24 flavonoids (mainly
flavones, although flavonols and flavanones were also detected), 5 phenolic
acids, 24 diterpenoids (carnosic acid, carnosol, and rosmanol derivatives), 1
triterpenoid (betulinic acid), and 3 lignans (medioresinol derivatives). Carnosic
acid was the predominant phenolic compound. The volatile profile of the rosemary
extract was evaluated by head space solid-phase microextraction (HS-SPME) linked
to gas chromatography-mass spectrometry (GC-MS). Sixty-three volatile molecules
(mainly terpenes, alcohols, esters, aldehydes, and ketones) were identified. This
characterization extends the current knowledge on the phytochemistry of
Rosmarinus officinalis and is, to our knowledge, the broadest profiling of its
secondary metabolites to date. It can assist in the authentication of rosemary
extracts or rosemary-containing products or in testing its bioactivity. Moreover,
this methodological approach could be applied to the study of other plant-based
food ingredients.
PMID- 27869783
TI - Comparative Analysis of RNAi-Based Methods to Down-Regulate Expression of Two
Genes Expressed at Different Levels in Myzus persicae.
AB - With the increasing availability of aphid genomic data, it is necessary to
develop robust functional validation methods to evaluate the role of specific
aphid genes. This work represents the first study in which five different
techniques, all based on RNA interference and on oral acquisition of double
stranded RNA (dsRNA), were developed to silence two genes, ALY and Eph,
potentially involved in polerovirus transmission by aphids. Efficient silencing
of only Eph transcripts, which are less abundant than those of ALY, could be
achieved by feeding aphids on transgenic Arabidopsis thaliana expressing an RNA
hairpin targeting Eph, on Nicotiana benthamiana infected with a Tobacco rattle
virus (TRV)-Eph recombinant virus, or on in vitro-synthesized Eph-targeting
dsRNA. These experiments showed that the silencing efficiency may differ greatly
between genes and that aphid gut cells seem to be preferentially affected by the
silencing mechanism after oral acquisition of dsRNA. In addition, the use of
plants infected with recombinant TRV proved to be a promising technique to
silence aphid genes as it does not require plant transformation. This work
highlights the need to pursue development of innovative strategies to
reproducibly achieve reduction of expression of aphid genes.
PMID- 27869786
TI - A role for leucoanthocyanidin reductase in the extension of proanthocyanidins.
AB - Proanthocyanidins (PAs) are the second most abundant plant polyphenolic compounds
after lignin. PAs affect taste, mouth feel and astringency of many fruits, wines
and beverages1,2, have been associated with reduced risks of cardiovascular
disease, cancer and Alzheimer's disease3-5, can improve nutrition and prevent
bloat in ruminant animals6 and enhance soil nitrogen retention7. PAs are
oligomers and polymers of flavan-3-ols, primarily (-)-epicatechin and (+)
catechin, but the mechanism by which the monomers polymerize and become insoluble
is currently unknown. Leucoanthocyanidin reductase (LAR) has been shown to
convert leucocyanidin to (+)-catechin8,9. Here, we report that loss of function
of LAR in the model legume Medicago truncatula leads unexpectedly to loss of
soluble epicatechin-derived PAs, increased levels of insoluble PAs, and
accumulation of 4beta-(S-cysteinyl)-epicatechin, which provides the 4->8 linked
extension units during non-enzymatic PA polymerization. LAR converts 4beta-(S
cysteinyl)-epicatechin back to epicatechin, the starter unit in PAs, thereby
regulating the relative proportions of starter and extension units and
consequently the degree of PA oligomerization.
PMID- 27869785
TI - Classic IL-6R signalling is dispensable for intestinal epithelial proliferation
and repair.
AB - Inflammatory bowel disease is characterized by disturbed cytokine signalling in
the mucosa. Inhibition of the proinflammatory interleukin (IL)-6 pathway is a
promising new therapeutic strategy, but safety concerns arise as IL-6 signalling
also contributes to epithelial repair of the intestinal mucosa. To which extent
IL-6 classic or trans-signalling contributes to intestinal repair remains
elusive. We tested the influence of IL-6 classic signalling on intestinal repair
and proliferation. Whereas IL-6 induced STAT3 phosphorylation in the colonic
cancer cell lines, primary non-malignant intestinal organoids did not respond to
IL-6 classic signalling. Mice deficient in intestinal IL-6R (IL-6RDeltaIEC mice)
did not display increased susceptibility to acute dextran sulfate sodium (DSS)
induced colitis. In the azoxymethane DSS model IL-6RDeltaIEC mice were not
protected from inflammation-induced carcinogenesis but showed comparable tumor
load to wild-type mice. These data indicate that classic signalling is not the
major pathway to transduce IL-6 stimuli into the intestinal epithelium.
PMID- 27869787
TI - Obligate plant farming by a specialized ant.
AB - Many epiphytic plants have associated with ants to gain nutrients. Here, we
report a novel type of ant-plant symbiosis in Fiji where one ant species actively
and exclusively plants the seeds and fertilizes the seedlings of six species of
Squamellaria (Rubiaceae). Comparison with related facultative ant plants suggests
that such farming plays a key role in mutualism stability by mitigating the
critical re-establishment step.
PMID- 27869788
TI - Systems biology: A new CAM era.
PMID- 27869789
TI - Genome-guided design of a defined mouse microbiota that confers colonization
resistance against Salmonella enterica serovar Typhimurium.
AB - Protection against enteric infections, also termed colonization resistance,
results from mutualistic interactions of the host and its indigenous microbes.
The gut microbiota of humans and mice is highly diverse and it is therefore
challenging to assign specific properties to its individual members. Here, we
have used a collection of murine bacterial strains and a modular design approach
to create a minimal bacterial community that, once established in germ-free mice,
provided colonization resistance against the human enteric pathogen Salmonella
enterica serovar Typhimurium (S. Tm). Initially, a community of 12 strains,
termed Oligo-Mouse-Microbiota (Oligo-MM12), representing members of the major
bacterial phyla in the murine gut, was selected. This community was stable over
consecutive mouse generations and provided colonization resistance against S. Tm
infection, albeit not to the degree of a conventional complex microbiota.
Comparative (meta)genome analyses identified functions represented in a
conventional microbiome but absent from the Oligo-MM12. By genome-informed
design, we created an improved version of the Oligo-MM community harbouring three
facultative anaerobic bacteria from the mouse intestinal bacterial collection
(miBC) that provided conventional-like colonization resistance. In conclusion, we
have established a highly versatile experimental system that showed efficacy in
an enteric infection model. Thus, in combination with exhaustive bacterial strain
collections and systems-based approaches, genome-guided design can be used to
generate insights into microbe-microbe and microbe-host interactions for the
investigation of ecological and disease-relevant mechanisms in the intestine.
PMID- 27869791
TI - Corrigendum: Endogenous Arabidopsis messenger RNAs transported to distant
tissues.
PMID- 27869790
TI - The genome of Onchocerca volvulus, agent of river blindness.
AB - Human onchocerciasis is a serious neglected tropical disease caused by the
filarial nematode Onchocerca volvulus that can lead to blindness and chronic
disability. Control of the disease relies largely on mass administration of a
single drug, and the development of new drugs and vaccines depends on a better
knowledge of parasite biology. Here, we describe the chromosomes of O. volvulus
and its Wolbachia endosymbiont. We provide the highest-quality sequence assembly
for any parasitic nematode to date, giving a glimpse into the evolution of
filarial parasite chromosomes and proteomes. This resource was used to
investigate gene families with key functions that could be potentially exploited
as targets for future drugs. Using metabolic reconstruction of the nematode and
its endosymbiont, we identified enzymes that are likely to be essential for O.
volvulus viability. In addition, we have generated a list of proteins that could
be targeted by Federal-Drug-Agency-approved but repurposed drugs, providing
starting points for anti-onchocerciasis drug development.
PMID- 27869792
TI - Genomic diversity in Onchocerca volvulus and its Wolbachia endosymbiont.
AB - Ongoing elimination efforts have altered the global distribution of Onchocerca
volvulus, the agent of river blindness, and further population restructuring is
expected as efforts continue. Therefore, a better understanding of population
genetic processes and their effect on biogeography is needed to support
elimination goals. We describe O. volvulus genome variation in 27 isolates from
the early 1990s (before widespread mass treatment) from four distinct locales:
Ecuador, Uganda, the West African forest and the West African savanna. We
observed genetic substructuring between Ecuador and West Africa and between the
West African forest and savanna bioclimes, with evidence of unidirectional gene
flow from savanna to forest strains. We identified forest:savanna-discriminatory
genomic regions and report a set of ancestry informative loci that can be used to
differentiate between forest, savanna and admixed isolates, which has not
previously been possible. We observed mito-nuclear discordance possibly stemming
from incomplete lineage sorting. The catalogue of the nuclear, mitochondrial and
endosymbiont DNA variants generated in this study will support future basic and
translational onchocerciasis research, with particular relevance for ongoing
control programmes, and boost efforts to characterize drug, vaccine and
diagnostic targets.
PMID- 27869793
TI - Lyme disease spirochaete Borrelia burgdorferi does not require thiamin.
AB - Thiamin pyrophosphate (ThDP), the active form of thiamin (vitamin B1), is
believed to be an essential cofactor for all living organisms1,2. Here, we report
the unprecedented result that thiamin is dispensable for the growth of the Lyme
disease pathogen Borrelia burgdorferi (Bb)3. Bb lacks genes for thiamin
biosynthesis and transport as well as known ThDP-dependent enzymes4, and we were
unable to detect thiamin or its derivatives in Bb cells. We showed that
eliminating thiamin in vitro and in vivo using BcmE, an enzyme that degrades
thiamin, has no impact on Bb growth and survival during its enzootic infectious
cycle. Finally, high-performance liquid chromatography analysis reveals that the
level of thiamin and its derivatives in Ixodes scapularis ticks, the enzootic
vector of Bb, is extremely low. These results suggest that by dispensing with use
of thiamin, Borrelia, and perhaps other tick-transmitted bacterial pathogens, are
uniquely adapted to survive in tick vectors before transmitting to mammalian
hosts. To our knowledge, such a mechanism has not been reported previously in any
living organisms.
PMID- 27869794
TI - Covalently deposited dyes: a new chromogen paradigm that facilitates analysis of
multiple biomarkers in situ.
AB - Multiplexed analysis of multiple biomarkers in a tissue sample requires use of
reporter dyes with specific spectral properties that enable discrimination of
signals. Conventional chromogens with broad absorbance spectra, widely used in
immunohistochemistry (IHC), offer limited utility for multiplexed detection. Many
dyes with narrow absorbance spectra, eg rhodamines, fluoresceins, and cyanines,
potentially useful for multiplexed detection are well-characterized; however,
generation of a chromogenic reagent useful for IHC analysis has not been
demonstrated. Studies reported herein demonstrate utility of tyramine-chemistry
for synthesis of a wide variety of new chromogenic dye conjugates useful for
multiplexed in situ analysis using conventional light microscopes. The dyes,
useful individually or in blends to generate new colors, provide signal
sensitivity and dynamic range similar to conventional DAB chromogen, while
enabling analysis of co-localized biomarkers. It is anticipated that this new
paradigm will enable generation of a wide variety of new chromogens, useful for
both research and clinical biomarker analysis that will benefit clinicians and
patients.
PMID- 27869795
TI - CD68/macrosialin: not just a histochemical marker.
AB - CD68 is a heavily glycosylated glycoprotein that is highly expressed in
macrophages and other mononuclear phagocytes. Traditionally, CD68 is exploited as
a valuable cytochemical marker to immunostain monocyte/macrophages in the
histochemical analysis of inflamed tissues, tumor tissues, and other
immunohistopathological applications. CD68 alone or in combination with other
cell markers of tumor-associated macrophages showed a good predictive value as a
prognostic marker of survival in cancer patients. Lowression of CD68 was found in
the lymphoid cells, non-hematopoietic cells (fibroblasts, endothelial cells,
etc), and tumor cells. Cell-specific CD68 expression and differentiated
expression levels are determined by the complex interplay between transcription
factors, regulatory transcriptional elements, and epigenetic factors. Human CD68
and its mouse ortholog macrosialin belong to the family of LAMP proteins located
in the lysosomal membrane and share many structural similarities such as the
presence of the LAMP-like domain. Except for a second LAMP-like domain present in
LAMPs, CD68/microsialin has a highly glycosylated mucin-like domain involved in
ligand binding. CD68 has been shown to bind oxLDL, phosphatidylserine, apoptotic
cells and serve as a receptor for malaria sporozoite in liver infection. CD68 is
mainly located in the endosomal/lysosomal compartment but can rapidly shuttle to
the cell surface. However, the role of CD68 as a scavenger receptor remains to be
confirmed. It seems that CD68 is not involved in binding bacterial/viral
pathogens, innate, inflammatory or humoral immune responses, although it may
potentially be involved in antigen processing/presentation. CD68 could be
functionally important in osteoclasts since its deletion leads to reduced bone
resorption capacity. The role of CD68 in atherosclerosis is contradictory.
PMID- 27869796
TI - Longitudinal microcomputed tomography-derived biomarkers for lung metastasis
detection in a syngeneic mouse model: added value to bioluminescence imaging.
AB - With more patients dying from metastasis than from primary cancers, metastasis is
a very important area in cancer research. Investigators thereby heavily rely on
animal models of metastasis to common organs such as the lung to improve our
insight into the pathogenesis and to research novel therapeutic approaches to
combat metastasis. In this experimental context, novel tools that allow
longitudinal monitoring of lung metastasis in individual animals are highly
needed. We have therefore evaluated for the first time microcomputed tomography
(MUCT) as a very efficient and crossvalidated means to noninvasively and
repeatedly monitor metastasis to the lung in individual, free-breathing syngeneic
mice. Two individual clones of KLN205 cancer cells were intravenously injected in
syngeneic DBA/2 mice and lung metastasis was monitored weekly during 3 weeks
using MUCT, and was compared with the current gold standard histology and
bioluminescence imaging (BLI). MUCT enabled us to visualize diffuse tumor
morphology and also to extract four different biomarkers that quantify not only
tumor load but also aerated space in the lung as a marker of vital lung capacity
and potential compensatory mechanisms. Complementary to BLI, applying this novel
MUCT-based approach enabled us to unravel sensitively and efficiently differences
in metastatic potential between two cellular clones. In conclusion, MUCT and BLI
offer biomarkers that describe different and complementary aspects of lung
metastasis, underlining the importance of multimodality follow-up. The added
value of MUCT findings is important to better assess lung metastasis and
host/lung response in preclinical studies, which will be valuable for
translational applications.
PMID- 27869797
TI - The receptor for advanced glycation end products impairs collateral formation in
both diabetic and non-diabetic mice.
AB - Diabetics often have poor perfusion in their limbs as a result of peripheral
artery disease and an impaired ability to generate collateral vessels. The
receptor for advanced glycation end products (RAGE) is one protein that is
thought to play a detrimental role in collateral development in diabetics due to
increased levels of advanced glycation end products (AGE), one of its ligands, in
diabetes. Thus, the aim of this study was to investigate the role of RAGE in both
diabetic and non-diabetic settings in a model of collateral formation in mice.
Streptozotocin was used to induce diabetes in both wild type and RAGE knockout
mice. Increased levels of the AGE, NE-(carboxymethyl) lysine (CML), were
confirmed via an ELISA. A hindlimb ischemia model, in which the femoral artery is
ligated, was used to drive collateral growth and reperfusion was assessed using
laser Doppler perfusion imaging and histological analysis of vessels in the
muscle. Both of these measurements showed impaired collateral growth in diabetic
compared with wild-type mice as well as improved collateral growth in both
diabetic and non-diabetic RAGE knockout mice when compared their wild-type
counterparts. Distance on a freely accessed running wheel, used as a measure of
perfusion recovery, showed that wild-type diabetic mice had functionally impaired
recovery compared with their wild-type counterparts. Immunohistochemistry and
immunoblotting showed that HMGB-1 (high-mobility group box 1), another RAGE
ligand, was increased in the ischemic leg compared with the non-ischemic leg in
all mice. This increase in HMGB-1 may explain improvement in animals lacking RAGE
and its subsequent signaling. In conclusion, this study shows that RAGE impairs
collateral growth in a diabetic setting and also in a non-diabetic setting. This
demonstrates the importance of RAGE and alternate RAGE ligands in the setting of
collateral vessel growth.
PMID- 27869798
TI - Gluten-induced symptoms in diarrhea-predominant irritable bowel syndrome are
associated with increased myosin light chain kinase activity and claudin-15
expression.
AB - The mechanisms underlying diarrhea-predominant irritable bowel syndrome (IBS-D)
are poorly understood, but increased intestinal permeability is thought to
contribute to symptoms. A recent clinical trial of gluten-free diet (GFD)
demonstrated symptomatic improvement, relative to gluten-containing diet (GCD),
which was associated with reduced intestinal permeability in non-celiac disease
IBS-D patients. The aim of this study was to characterize intestinal epithelial
tight junction composition in IBS-D before and after dietary gluten challenge.
Biopsies from 27 IBS-D patients (13 GFD and 14 GCD) were examined by H&E staining
and semiquantitative immunohistochemistry for phosphorylated myosin II regulatory
light chain (MLC), MLC kinase, claudin-2, claudin-8 and claudin-15. Diet-induced
changes were assessed and correlated with urinary mannitol excretion (after oral
administration). In the small intestine, epithelial MLC phosphorylation was
increased or decreased by GCD or GFD, respectively, and this correlated with
increased intestinal permeability (P<0.03). Colonocyte expression of the
paracellular Na+ channel claudin-15 was also markedly augmented following GCD
challenge (P<0.05). Conversely, colonic claudin-2 expression correlated with
reduced intestinal permeability (P<0.03). Claudin-8 expression was not affected
by dietary challenge. These data show that alterations in MLC phosphorylation and
claudin-15 and claudin-2 expression are associated with gluten-induced
symptomatology and intestinal permeability changes in IBS-D. The results provide
new insight into IBS-D mechanisms and can explain permeability responses to
gluten challenge in these patients.
PMID- 27869799
TI - Transcript, protein and metabolite temporal dynamics in the CAM plant Agave.
AB - Already a proven mechanism for drought resilience, crassulacean acid metabolism
(CAM) is a specialized type of photosynthesis that maximizes water-use efficiency
by means of an inverse (compared to C3 and C4 photosynthesis) day/night pattern
of stomatal closure/opening to shift CO2 uptake to the night, when
evapotranspiration rates are low. A systems-level understanding of temporal
molecular and metabolic controls is needed to define the cellular behaviour
underpinning CAM. Here, we report high-resolution temporal behaviours of
transcript, protein and metabolite abundances across a CAM diel cycle and, where
applicable, compare the observations to the well-established C3 model plant
Arabidopsis. A mechanistic finding that emerged is that CAM operates with a diel
redox poise that is shifted relative to that in Arabidopsis. Moreover, we
identify widespread rescheduled expression of genes associated with signal
transduction mechanisms that regulate stomatal opening/closing. Controlled
production and degradation of transcripts and proteins represents a timing
mechanism by which to regulate cellular function, yet knowledge of how this
molecular timekeeping regulates CAM is unknown. Here, we provide new insights
into complex post-transcriptional and -translational hierarchies that govern CAM
in Agave. These data sets provide a resource to inform efforts to engineer more
efficient CAM traits into economically valuable C3 crops.
PMID- 27869801
TI - Direct dorsal hippocampal-prelimbic cortex connections strengthen fear memories.
AB - The ability to regulate the consolidation and strengthening of memories for
threatening experiences is critical for mental health, and its dysregulation may
lead to psychopathologies. Re-exposure to the context in which the threat was
experienced can either increase or decrease fear response through distinct
processes known, respectively, as reconsolidation or extinction. Using a context
retrieval-dependent memory-enhancement model in rats, we report that memory
strengthens through activation of direct projections from dorsal hippocampus to
prelimbic (PL) cortex and activation of critical PL molecular mechanisms that are
not required for extinction. Furthermore, while sustained PL brain-derived
neurotrophic factor (BDNF) expression is required for memory consolidation,
retrieval engages PL BDNF to regulate excitatory and inhibitory synaptic proteins
neuroligin 1 and neuroligin 2, which promote memory strengthening while
inhibiting extinction. Thus, context retrieval-mediated fear-memory enhancement
results from a concerted action of mechanisms that strengthen memory through
reconsolidation while suppressing extinction.
PMID- 27869800
TI - A rapidly acting glutamatergic ARC->PVH satiety circuit postsynaptically
regulated by alpha-MSH.
AB - Arcuate nucleus (ARC) neurons sense the fed or fasted state and regulate hunger.
Agouti-related protein (AgRP) neurons in the ARC (ARCAgRP neurons) are stimulated
by fasting and, once activated, they rapidly (within minutes) drive hunger. Pro
opiomelanocortin (ARCPOMC) neurons are viewed as the counterpoint to ARCAgRP
neurons. They are regulated in an opposite fashion and decrease hunger. However,
unlike ARCAgRP neurons, ARCPOMC neurons are extremely slow in affecting hunger
(many hours). Thus, a temporally analogous, rapid ARC satiety pathway does not
exist or is presently unidentified. Here we show that glutamate-releasing ARC
neurons expressing oxytocin receptor, unlike ARCPOMC neurons, rapidly cause
satiety when chemo- or optogenetically manipulated. These glutamatergic ARC
projections synaptically converge with GABAergic ARCAgRP projections on
melanocortin-4 receptor (MC4R)-expressing satiety neurons in the paraventricular
hypothalamus (PVHMC4R neurons). Transmission across the ARCGlutamatergic->PVHMC4R
synapse is potentiated by the ARCPOMC neuron-derived MC4R agonist, alpha
melanocyte stimulating hormone (alpha-MSH). This excitatory ARC->PVH satiety
circuit, and its modulation by alpha-MSH, provides insight into regulation of
hunger and satiety.
PMID- 27869802
TI - Molecular analysis of circulating tumor cells identifies distinct copy-number
profiles in patients with chemosensitive and chemorefractory small-cell lung
cancer.
AB - In most patients with small-cell lung cancer (SCLC)-a metastatic, aggressive
disease-the condition is initially chemosensitive but then relapses with acquired
chemoresistance. In a minority of patients, however, relapse occurs within 3
months of initial treatment; in these cases, disease is defined as
chemorefractory. The molecular mechanisms that differentiate chemosensitive from
chemorefractory disease are currently unknown. To identify genetic features that
distinguish chemosensitive from chemorefractory disease, we examined copy-number
aberrations (CNAs) in circulating tumor cells (CTCs) from pretreatment SCLC blood
samples. After analysis of 88 CTCs isolated from 13 patients (training set), we
generated a CNA-based classifier that we validated in 18 additional patients
(testing set, 112 CTC samples) and in six SCLC patient-derived CTC explant
tumors. The classifier correctly assigned 83.3% of the cases as chemorefractory
or chemosensitive. Furthermore, a significant difference was observed in
progression-free survival (PFS) (Kaplan-Meier P value = 0.0166) between patients
designated as chemorefractory or chemosensitive by using the baseline CNA
classifier. Notably, CTC CNA profiles obtained at relapse from five patients with
initially chemosensitive disease did not switch to a chemorefractory CNA profile,
which suggests that the genetic basis for initial chemoresistance differs from
that underlying acquired chemoresistance.
PMID- 27869803
TI - Genome-wide CRISPR screens reveal a Wnt-FZD5 signaling circuit as a druggable
vulnerability of RNF43-mutant pancreatic tumors.
AB - Forward genetic screens with CRISPR-Cas9 genome editing enable high-resolution
detection of genetic vulnerabilities in cancer cells. We conducted genome-wide
CRISPR-Cas9 screens in RNF43-mutant pancreatic ductal adenocarcinoma (PDAC)
cells, which rely on Wnt signaling for proliferation. Through these screens, we
discovered a unique requirement for a Wnt signaling circuit: engaging FZD5, one
of the ten Frizzled receptors encoded in the human genome. Our results uncover an
underappreciated level of context-dependent specificity at the Wnt receptor
level. We further derived a panel of recombinant antibodies that reports the
expression of nine FZD proteins and confirms that FZD5 functional specificity
cannot be explained by protein expression patterns. Additionally, antibodies that
specifically bind FZD5 and FZD8 robustly inhibited the growth of RNF43-mutant
PDAC cells grown in vitro and as xenografts in vivo, providing orthogonal support
for the functional specificity observed genetically. Proliferation of a patient
derived PDAC cell line harboring an RNF43 variant was also selectively inhibited
by the FZD5 antibodies, further demonstrating their use as a potential targeted
therapy. Tumor organoid cultures from colorectal carcinoma patients that carried
RNF43 mutations were also sensitive to the FZD5 antibodies, highlighting the
potential generalizability of these findings beyond PDAC. Our results show that
CRIPSR-based genetic screens can be leveraged to identify and validate cell
surface targets for antibody development and therapy.
PMID- 27869804
TI - Systemic depletion of L-cyst(e)ine with cyst(e)inase increases reactive oxygen
species and suppresses tumor growth.
AB - Cancer cells experience higher oxidative stress from reactive oxygen species
(ROS) than do non-malignant cells because of genetic alterations and abnormal
growth; as a result, maintenance of the antioxidant glutathione (GSH) is
essential for their survival and proliferation. Under conditions of elevated ROS,
endogenous L-cysteine (L-Cys) production is insufficient for GSH synthesis. This
necessitates uptake of L-Cys that is predominantly in its disulfide form, L
cystine (CSSC), via the xCT(-) transporter. We show that administration of an
engineered and pharmacologically optimized human cyst(e)inase enzyme mediates
sustained depletion of the extracellular L-Cys and CSSC pool in mice and non
human primates. Treatment with this enzyme selectively causes cell cycle arrest
and death in cancer cells due to depletion of intracellular GSH and ensuing
elevated ROS; yet this treatment results in no apparent toxicities in mice even
after months of continuous treatment. Cyst(e)inase suppressed the growth of
prostate carcinoma allografts, reduced tumor growth in both prostate and breast
cancer xenografts and doubled the median survival time of TCL1-Tg:p53-/- mice,
which develop disease resembling human chronic lymphocytic leukemia. It was
observed that enzyme-mediated depletion of the serum L-Cys and CSSC pool
suppresses the growth of multiple tumors, yet is very well tolerated for
prolonged periods, suggesting that cyst(e)inase represents a safe and effective
therapeutic modality for inactivating antioxidant cellular responses in a wide
range of malignancies.
PMID- 27869807
TI - A proposed biology- and biomarker-based algorithm for management of acute GvHD.
PMID- 27869806
TI - Preliminary efficacy of a brief family intervention to prevent declining quality
of life secondary to parental bone marrow transplantation.
AB - The primary purpose of this research was to develop and evaluate the efficacy and
feasibility of a brief, cost-effective family-focused intervention to promote
adaptive coping and quality of life throughout a parent's bone marrow
transplantation (BMT). Targeted outcomes were cohesion, decreased use of
avoidance coping, open communication and effective management of emotional
distress. Participants included an intervention group of 31 families and 29
families in a control group who received usual care. Each family included the BMT
recipient, a partner/caregiver and children 10-18 years old. The intervention
included two dyadic sessions for the BMT recipient and the partner/caregiver, one
individual session for the caregiver and two digital video discs (DVDs) for
children. Statistical analyses indicated that the intervention had a positive
impact on at least one aspect of the adaptation of each family member. Caregivers
reported the most distress but benefitted least from the intervention, whereas
recipients and children reported improvement in distress. Ratings of
satisfaction/acceptability were high, with 97% responding that they would
recommend the intervention to others. Plans for future research include increased
intervention intensity for the caregiver, a larger more diverse sample and
implementation over an extended period post BMT.
PMID- 27869805
TI - Engineered human pluripotent-stem-cell-derived intestinal tissues with a
functional enteric nervous system.
AB - The enteric nervous system (ENS) of the gastrointestinal tract controls many
diverse functions, including motility and epithelial permeability. Perturbations
in ENS development or function are common, yet there is no human model for
studying ENS-intestinal biology and disease. We used a tissue-engineering
approach with embryonic and induced pluripotent stem cells (PSCs) to generate
human intestinal tissue containing a functional ENS. We recapitulated normal
intestinal ENS development by combining human-PSC-derived neural crest cells
(NCCs) and developing human intestinal organoids (HIOs). NCCs recombined with
HIOs in vitro migrated into the mesenchyme, differentiated into neurons and glial
cells and showed neuronal activity, as measured by rhythmic waves of calcium
transients. ENS-containing HIOs grown in vivo formed neuroglial structures
similar to a myenteric and submucosal plexus, had functional interstitial cells
of Cajal and had an electromechanical coupling that regulated waves of
propagating contraction. Finally, we used this system to investigate the cellular
and molecular basis for Hirschsprung's disease caused by a mutation in the gene
PHOX2B. This is, to the best of our knowledge, the first demonstration of human
PSC-derived intestinal tissue with a functional ENS and how this system can be
used to study motility disorders of the human gastrointestinal tract.
PMID- 27869809
TI - Absence of spontaneous response improvement beyond day +100 after autologous stem
cell transplantation in multiple myeloma.
AB - The response evaluation after autologous stem-cell transplantation (ASCT) is
usually performed at day +100 in patients with multiple myeloma (MM). A recent
report suggests that improvement in the response can be observed beyond day +100.
The aim of the present study has been to evaluate the rate of improved response
and outcome beyond day +100 after ASCT, with and without maintenance therapy. One
hundred and forty-four patients who underwent single ASCT with chemosensitive
disease and achieved less than CR at day 100 post ASCT were evaluated. Seventy
four patients (51.4%) did not receive any maintenance with only one of them
showing an upgrade in the response. The remaining 70 patients (48.6%) received
maintenance therapy; eleven of them (15.7%) improved their response beyond day
+100. The outcome of these patients was better than those who did not upgrade
their response in both progression-free survival and overall survival (P=0.019
and P=0.031, respectively). In conclusion, the improvement in response beyond day
+100 after ASCT in patients not receiving any therapy is exceedingly rare. A
minority of patients receiving maintenance therapy after ASCT upgrades their
response and this finding is associated with better outcome.
PMID- 27869810
TI - Hematopoietic stem cell transplantation in FANCJ/BRIP1 fanconi anemia.
PMID- 27869808
TI - Low-dose thymoglobulin as second-line treatment for steroid-resistant acute GvHD:
an analysis of the JSHCT.
AB - A nationwide retrospective study for the clinical outcomes of 99 patients who had
received thymoglobulin at a median total dose of 2.5 mg/kg (range, 0.5-18.5
mg/kg) as a second-line treatment for steroid-resistant acute GvHD was conducted.
Of the 92 evaluable patients, improvement (complete or partial response) was
observed in 55 patients (60%). Multivariate analysis demonstrated that male sex
and grade III and IV acute GvHD were associated with a lower improvement rate,
whereas thymoglobulin dose (<2.0, 2.0-3.9 and ?4.0 mg/kg) was NS. Factors
associated with significantly higher nonrelapse mortality included higher patient
age (?50 years), grade IV acute GvHD, no improvement of GvHD and higher dose of
thymoglobulin (hazard ratio, 2.55; 95% confidence interval, 1.34-4.85; P=0.004
for 2.0-3.9 mg/kg group and 1.79; 0.91-3.55; P=0.093 for ?4.0 mg/kg group).
Higher dose of thymoglobulin was associated with a higher incidence of bacterial
infections, CMV antigenemia and any additional infection. Taken together, low
dose thymoglobulin at a median total dose of 2.5 mg/kg provides a comparable
response rate to standard-dose thymoglobulin reported previously, and <2.0 mg/kg
thymoglobulin is recommended in terms of the balance between efficacy and adverse
effects.
PMID- 27869811
TI - Diffuse large B-cell lymphoma: the challenge of relapse after an autologous stem
cell transplant.
PMID- 27869812
TI - Low day +100 serum epidermal growth factor levels are associated with acute GvHD
after allogeneic hematopoietic cell transplantation.
PMID- 27869813
TI - Covalently circularized nanodiscs for studying membrane proteins and viral entry.
AB - We engineered covalently circularized nanodiscs (cNDs) which, compared with
standard nanodiscs, exhibit enhanced stability, defined diameter sizes and
tunable shapes. Reconstitution into cNDs enhanced the quality of nuclear magnetic
resonance spectra for both VDAC-1, a beta-barrel membrane protein, and the G
protein-coupled receptor NTR1, an alpha-helical membrane protein. In addition, we
used cNDs to visualize how simple, nonenveloped viruses translocate their genomes
across membranes to initiate infection.
PMID- 27869814
TI - Photometry unlocks 3D information from 2D localization microscopy data.
AB - We developed a straightforward photometric method, temporal, radial-aperture
based intensity estimation (TRABI), that allows users to extract 3D information
from existing 2D localization microscopy data. TRABI uses the accurate
determination of photon numbers in different regions of the emission pattern of
single emitters to generate a z-dependent photometric parameter. This method can
determine fluorophore positions up to 600 nm from the focal plane and can be
combined with biplane detection to further improve axial localization.
PMID- 27869815
TI - TACO produces robust multisample transcriptome assemblies from RNA-seq.
AB - Accurate transcript structure and abundance inference from RNA sequencing (RNA
seq) data is foundational for molecular discovery. Here we present TACO, a
computational method to reconstruct a consensus transcriptome from multiple RNA
seq data sets. TACO employs novel change-point detection to demarcate transcript
start and end sites, leading to improved reconstruction accuracy compared with
other tools in its class. The tool is available at http://tacorna.github.io and
can be readily incorporated into RNA-seq analysis workflows.
PMID- 27869816
TI - mScarlet: a bright monomeric red fluorescent protein for cellular imaging.
AB - We report the engineering of mScarlet, a truly monomeric red fluorescent protein
with record brightness, quantum yield (70%) and fluorescence lifetime (3.9 ns).
We developed mScarlet starting with a consensus synthetic template and using
improved spectroscopic screening techniques; mScarlet's crystal structure reveals
a planar and rigidified chromophore. mScarlet outperforms existing red
fluorescent proteins as a fusion tag, and it is especially useful as a Forster
resonance energy transfer (FRET) acceptor in ratiometric imaging.
PMID- 27869817
TI - The aryl hydrocarbon receptor AhR links atopic dermatitis and air pollution via
induction of the neurotrophic factor artemin.
AB - Atopic dermatitis is increasing worldwide in correlation with air pollution.
Various organic components of pollutants activate the transcription factor AhR
(aryl hydrocarbon receptor). Through the use of AhR-CA mice, whose keratinocytes
express constitutively active AhR and that develop atopic-dermatitis-like
phenotypes, we identified Artn as a keratinocyte-specific AhR target gene whose
product (the neurotrophic factor artemin) was responsible for epidermal hyper
innervation that led to hypersensitivity to pruritus. The activation of AhR via
air pollutants induced expression of artemin, alloknesis, epidermal hyper
innervation and inflammation. AhR activation and ARTN expression were positively
correlated in the epidermis of patients with atopic dermatitis. Thus, AhR in
keratinocytes senses environmental stimuli and elicits an atopic-dermatitis
pathology. We propose a mechanism of air-pollution-induced atopic dermatitis via
activation of AhR.
PMID- 27869818
TI - TCRalpha-TCRbeta pairing controls recognition of CD1d and directs the development
of adipose NKT cells.
AB - The interaction between the T cell antigen receptor (TCR) expressed by natural
killer T cells (NKT cells) and the antigen-presenting molecule CD1d is distinct
from interactions between the TCR and major histocompatibility complex (MHC). Our
molecular modeling suggested that a hydrophobic patch created after TCRalpha
TCRbeta pairing has a role in maintaining the conformation of the NKT cell TCR.
Disruption of this patch ablated recognition of CD1d by the NKT cell TCR but not
interactions of the TCR with MHC. Partial disruption of the patch, while
permissive to the recognition of CD1d, significantly altered NKT cell
development, which resulted in the selective accumulation of adipose-tissue
resident NKT cells. These results indicate that a key component of the TCR is
essential for the development of a distinct population of NKT cells.
PMID- 27869819
TI - A cycle of Zap70 kinase activation and release from the TCR amplifies and
disperses antigenic stimuli.
AB - Cell-surface-receptor pathways amplify weak, rare and local stimuli to induce
cellular responses. This task is accomplished despite signaling components that
segregate into nanometer-scale membrane domains. Here we describe a 'catch-and
release' mechanism that amplified and dispersed stimuli by releasing activated
kinases from receptors lacking intrinsic catalytic activity. Specifically, we
discovered a cycle of recruitment, activation and release for Zap70 kinases at
phosphorylated T cell antigen receptors (TCRs). This turned the TCR into a
'catalytic unit' that amplified antigenic stimuli. Zap70 released from the TCR
remained at the membrane, translocated, and phosphorylated spatially distinct
substrates. The mechanisms described here are based on widely used protein
domains and post-translational modifications; therefore, many membrane-associated
pathways might employ similar mechanisms for signal amplification and dispersion.
PMID- 27869822
TI - Current-induced switching in a magnetic insulator.
AB - The spin Hall effect in heavy metals converts charge current into pure spin
current, which can be injected into an adjacent ferromagnet to exert a torque.
This spin-orbit torque (SOT) has been widely used to manipulate the magnetization
in metallic ferromagnets. In the case of magnetic insulators (MIs), although
charge currents cannot flow, spin currents can propagate, but current-induced
control of the magnetization in a MI has so far remained elusive. Here we
demonstrate spin-current-induced switching of a perpendicularly magnetized
thulium iron garnet film driven by charge current in a Pt overlayer. We estimate
a relatively large spin-mixing conductance and damping-like SOT through spin Hall
magnetoresistance and harmonic Hall measurements, respectively, indicating
considerable spin transparency at the Pt/MI interface. We show that spin currents
injected across this interface lead to deterministic magnetization reversal at
low current densities, paving the road towards ultralow-dissipation spintronic
devices based on MIs.
PMID- 27869820
TI - TET proteins regulate the lineage specification and TCR-mediated expansion of
iNKT cells.
AB - TET proteins oxidize 5-methylcytosine in DNA to 5-hydroxymethylcytosine and other
oxidation products. We found that simultaneous deletion of Tet2 and Tet3 in mouse
CD4+CD8+ double-positive thymocytes resulted in dysregulated development and
proliferation of invariant natural killer T cells (iNKT cells). Tet2-Tet3 double
knockout (DKO) iNKT cells displayed pronounced skewing toward the NKT17 lineage,
with increased DNA methylation and impaired expression of genes encoding the key
lineage-specifying factors T-bet and ThPOK. Transfer of purified Tet2-Tet3 DKO
iNKT cells into immunocompetent recipient mice resulted in an uncontrolled
expansion that was dependent on the nonclassical major histocompatibility complex
(MHC) protein CD1d, which presents lipid antigens to iNKT cells. Our data
indicate that TET proteins regulate iNKT cell fate by ensuring their proper
development and maturation and by suppressing aberrant proliferation mediated by
the T cell antigen receptor (TCR).
PMID- 27869821
TI - Synthetic recording and in situ readout of lineage information in single cells.
AB - Reconstructing the lineage relationships and dynamic event histories of
individual cells within their native spatial context is a long-standing challenge
in biology. Many biological processes of interest occur in optically opaque or
physically inaccessible contexts, necessitating approaches other than direct
imaging. Here we describe a synthetic system that enables cells to record lineage
information and event histories in the genome in a format that can be
subsequently read out of single cells in situ. This system, termed memory by
engineered mutagenesis with optical in situ readout (MEMOIR), is based on a set
of barcoded recording elements termed scratchpads. The state of a given
scratchpad can be irreversibly altered by CRISPR/Cas9-based targeted mutagenesis,
and later read out in single cells through multiplexed single-molecule RNA
fluorescence hybridization (smFISH). Using MEMOIR as a proof of principle, we
engineered mouse embryonic stem cells to contain multiple scratchpads and other
recording components. In these cells, scratchpads were altered in a progressive
and stochastic fashion as the cells proliferated. Analysis of the final states of
scratchpads in single cells in situ enabled reconstruction of lineage information
from cell colonies. Combining analysis of endogenous gene expression with lineage
reconstruction in the same cells further allowed inference of the dynamic rates
at which embryonic stem cells switch between two gene expression states. Finally,
using simulations, we show how parallel MEMOIR systems operating in the same cell
could enable recording and readout of dynamic cellular event histories. MEMOIR
thus provides a versatile platform for information recording and in situ, single
cell readout across diverse biological systems.
PMID- 27869823
TI - High-resolution three-dimensional structural microscopy by single-angle Bragg
ptychography.
AB - Coherent X-ray microscopy by phase retrieval of Bragg diffraction intensities
enables lattice distortions within a crystal to be imaged at nanometre-scale
spatial resolutions in three dimensions. While this capability can be used to
resolve structure-property relationships at the nanoscale under working
conditions, strict data measurement requirements can limit the application of
current approaches. Here, we introduce an efficient method of imaging three
dimensional (3D) nanoscale lattice behaviour and strain fields in crystalline
materials with a methodology that we call 3D Bragg projection ptychography
(3DBPP). This method enables 3D image reconstruction of a crystal volume from a
series of two-dimensional X-ray Bragg coherent intensity diffraction patterns
measured at a single incident beam angle. Structural information about the sample
is encoded along two reciprocal-space directions normal to the Bragg diffracted
exit beam, and along the third dimension in real space by the scanning beam. We
present our approach with an analytical derivation, a numerical demonstration,
and an experimental reconstruction of lattice distortions in a component of a
nanoelectronic prototype device.
PMID- 27869824
TI - Reducing the efficiency-stability-cost gap of organic photovoltaics with highly
efficient and stable small molecule acceptor ternary solar cells.
AB - Technological deployment of organic photovoltaic modules requires improvements in
device light-conversion efficiency and stability while keeping material costs
low. Here we demonstrate highly efficient and stable solar cells using a ternary
approach, wherein two non-fullerene acceptors are combined with both a scalable
and affordable donor polymer, poly(3-hexylthiophene) (P3HT), and a high
efficiency, low-bandgap polymer in a single-layer bulk-heterojunction device. The
addition of a strongly absorbing small molecule acceptor into a P3HT-based non
fullerene blend increases the device efficiency up to 7.7 +/- 0.1% without any
solvent additives. The improvement is assigned to changes in microstructure that
reduce charge recombination and increase the photovoltage, and to improved light
harvesting across the visible region. The stability of P3HT-based devices in
ambient conditions is also significantly improved relative to polymer:fullerene
devices. Combined with a low-bandgap donor polymer (PBDTTT-EFT, also known as
PCE10), the two mixed acceptors also lead to solar cells with 11.0 +/- 0.4%
efficiency and a high open-circuit voltage of 1.03 +/- 0.01 V.
PMID- 27869825
TI - Entropic contributions enhance polarity compensation for CeO2(100) surfaces.
AB - Surface structure controls the physical and chemical response of materials.
Surface polar terminations are appealing because of their unusual properties but
they are intrinsically unstable. Several mechanisms, namely metallization,
adsorption, and ordered reconstructions, can remove thermodynamic penalties
rendering polar surfaces partially stable. Here, for CeO2(100), we report a
complementary stabilization mechanism based on surface disorder that has been
unravelled through theoretical simulations that: account for surface energies and
configurational entropies; show the importance of the ion distribution
degeneracy; and identify low diffusion barriers between conformations that ensure
equilibration. Disordered configurations in oxides might also be further
stabilized by preferential adsorption of water. The entropic stabilization term
will appear for surfaces with a high number of empty sites, typically achieved
when removing part of the ions in a polar termination to make the layer charge
zero. Assessing the impact of surface disorder when establishing new structure
activity relationships remains a challenge.
PMID- 27869826
TI - Pan-cancer analysis of somatic copy-number alterations implicates IRS4 and IGF2
in enhancer hijacking.
AB - Extensive prior research focused on somatic copy-number alterations (SCNAs)
affecting cancer genes, yet the extent to which recurrent SCNAs exert their
influence through rearrangement of cis-regulatory elements (CREs) remains
unclear. Here we present a framework for inferring cancer-related gene
overexpression resulting from CRE reorganization (e.g., enhancer hijacking) by
integrating SCNAs, gene expression data and information on topologically
associating domains (TADs). Analysis of 7,416 cancer genomes uncovered several
pan-cancer candidate genes, including IRS4, SMARCA1 and TERT. We demonstrate that
IRS4 overexpression in lung cancer is associated with recurrent deletions in cis,
and we present evidence supporting a tumor-promoting role. We additionally
pursued cancer-type-specific analyses and uncovered IGF2 as a target for enhancer
hijacking in colorectal cancer. Recurrent tandem duplications intersecting with a
TAD boundary mediate de novo formation of a 3D contact domain comprising IGF2 and
a lineage-specific super-enhancer, resulting in high-level gene activation. Our
framework enables systematic inference of CRE rearrangements mediating
dysregulation in cancer.
PMID- 27869827
TI - Titin-truncating variants affect heart function in disease cohorts and the
general population.
AB - Titin-truncating variants (TTNtv) commonly cause dilated cardiomyopathy (DCM).
TTNtv are also encountered in ~1% of the general population, where they may be
silent, perhaps reflecting allelic factors. To better understand TTNtv, we
integrated TTN allelic series, cardiac imaging and genomic data in humans and
studied rat models with disparate TTNtv. In patients with DCM, TTNtv throughout
titin were significantly associated with DCM. Ribosomal profiling in rat showed
the translational footprint of premature stop codons in Ttn, TTNtv-position
independent nonsense-mediated degradation of the mutant allele and a signature of
perturbed cardiac metabolism. Heart physiology in rats with TTNtv was
unremarkable at baseline but became impaired during cardiac stress. In healthy
humans, machine-learning-based analysis of high-resolution cardiac imaging showed
TTNtv to be associated with eccentric cardiac remodeling. These data show that
TTNtv have molecular and physiological effects on the heart across species, with
a continuum of expressivity in health and disease.
PMID- 27869828
TI - Tumor-suppressor genes that escape from X-inactivation contribute to cancer sex
bias.
AB - There is a striking and unexplained male predominance across many cancer types. A
subset of X-chromosome genes can escape X-inactivation, which would protect
females from complete functional loss by a single mutation. To identify putative
'escape from X-inactivation tumor-suppressor' (EXITS) genes, we examined somatic
alterations from >4,100 cancers across 21 tumor types for sex bias. Six of 783
non-pseudoautosomal region (PAR) X-chromosome genes (ATRX, CNKSR2, DDX3X, KDM5C,
KDM6A, and MAGEC3) harbored loss-of-function mutations more frequently in males
(based on a false discovery rate < 0.1), in comparison to zero of 18,055
autosomal and PAR genes (Fisher's exact P < 0.0001). Male-biased mutations in
genes that escape X-inactivation were observed in combined analysis across many
cancers and in several individual tumor types, suggesting a generalized
phenomenon. We conclude that biallelic expression of EXITS genes in females
explains a portion of the reduced cancer incidence in females as compared to
males across a variety of tumor types.
PMID- 27869831
TI - Normal-incidence reflectance difference spectroscopy based on a liquid crystal
variable retarder.
AB - We propose liquid crystal variable retarder-based reflectance difference
spectroscopy for normal-incidence measurements. Principles, instrumentation, data
collection and reduction, and calibration procedures are provided. The signal
noise is better than 10-3, and the spectral range is from 1.6 to 2.4
eV with 346 photon energy channels. As a demonstration, reflectance difference
signals of a multilayer pentacene film on poly (ethylene terephthalate) (PET)
film are presented with different polarization azimuths. The characteristic peaks
at 1.8 and 1.97 eV, corresponding to the Davydov splitting of pentacene crystal,
are observed, which indicate well-ordered in-plane anisotropic structure of
pentacene crystal film on PET. Thanks to normal incidence, this design is immune
to adjusting the optical structure for the measurements with different working
distances, and the objective lens is easily integrated to realize microarea
measurements.
PMID- 27869832
TI - Generation of high contrast and high spatial quality idler from a low-gain
optical parametric amplifier.
AB - The temporal contrast of a regeneratively amplified, sub-picosecond pulse is
enhanced by employing a low-gain optical parametric amplification stage self
pumped by the second harmonic of the pulse. Through careful characterization of
the two related nonlinear processes and optimization of the non-collinear
geometry, a robust high-contrast idler pulse has been generated, with excellent
spatial quality in both the near and far field. The overall energy conversion
efficiency exceeds 14%, with 33% intensity conversion efficiency. The temporal
cleaning is implemented without any bandwidth losses or spectral shift and
produces approximately 20% temporal shortening. These experimental findings are
in excellent agreement with numerical calculations.
PMID- 27869833
TI - Single-ended mid-infrared laser-absorption sensor for simultaneous in situ
measurements of H2O, CO2, CO, and temperature in combustion
flows.
AB - The development and demonstration of a four-color single-ended mid-infrared
tunable laser-absorption sensor for simultaneous measurements of H2O,
CO2, CO, and temperature in combustion flows is described. This sensor
operates by transmitting laser light through a single optical port and measuring
the backscattered radiation from within the combustion device. Scanned-wavelength
modulation spectroscopy with second-harmonic detection and first-harmonic
normalization (scanned-WMS-2f/1f) was used to account for variable signal
collection and nonabsorption losses in the harsh environment. Two tunable diode
lasers operating near 2551 and 2482 nm were utilized to measure H2O
concentration and temperature, while an interband cascade laser near 4176 nm and
a quantum cascade laser near 4865 nm were used for measuring CO2 and
CO, respectively. The lasers were modulated at either 90 or 112 kHz and scanned
across the peaks of their respective absorption features at 1 kHz, leading to a
measurement rate of 2 kHz. A hybrid demultiplexing strategy involving both
spectral filtering and frequency-domain demodulation was used to decouple the
backscattered radiation into its constituent signals. Demonstration measurements
were made in the exhaust of a laboratory-scale laminar methane-air flat-flame
burner at atmospheric pressure and equivalence ratios ranging from 0.7 to 1.2. A
stainless steel reflective plate was placed 0.78 cm away from the sensor head
within the combustion exhaust, leading to a total absorption path length of 1.56
cm. Detection limits of 1.4% H2O, 0.6% CO2, and 0.4% CO by
mole were reported. To the best of the authors' knowledge, this work represents
the first demonstration of a mid-infrared laser-absorption sensor using a single
ended architecture in combustion flows.
PMID- 27869834
TI - Wide-bandgap nonlinear crystal LiGaS2 for femtosecond mid-infrared
spectroscopy with chirped-pulse upconversion.
AB - Femtosecond time-resolved mid-infrared (MIR) spectroscopy based on chirped-pulse
upconversion is a promising method for observing molecular vibrational dynamics.
A quantitative study on nonlinear media for upconversion is still essential for
wide applications, particularly at the frequencies below 2000 cm-1.
We evaluate wide-bandgap nonlinear crystals of Li-containing ternary
chalcogenides based on their performance as the upconversion medium for
femtosecond MIR spectroscopy. The upconversion efficiency is measured as a
function of the MIR pulse frequency and the chirped pulse energy.
LiGaS2 is found to be an efficient crystal for the upconversion of MIR
pulses in a wide frequency range of 1100-2700 cm-1, especially below
2000 cm-1. By using LiGaS2 as an efficient upconversion
crystal, we develop a MIR pump-probe spectroscopy system with a spectral
resolution of 2.5 cm-1, a time resolution of 0.2 ps, and a probe
window of 120 cm-1. Vibrational relaxation dynamics of CO stretching
modes of Mn2(CO)10 in cyclohexane and bovine serum albumin
in D2O are demonstrated with a high signal-to-noise ratio.
PMID- 27869835
TI - Variable diameter CO2 laser ring-cutting system adapted to a zoom
microscope for applications on polymer tapes.
AB - This paper presents the conception and implementation of a variable diameter ring
cutting system for a CO2 laser with a working wavelength of 10.6 MUm.
The laser-cutting system is adapted to an observation zoom microscope for
combined use and is applicable for the extraction of small circular areas from
polymer films, such as forensic adhesive tapes in a single shot. As an important
characteristic for our application, the variable diameter ring-cutting system
provides telecentricity in the target area. Ring diameters are continuously
tunable between 500 MUm and 2 mm. A minimum width of less than 20 MUm was found
for the ring profile edge. The basic characteristics of the system, including
telecentricity, were experimentally evaluated and demonstrated by cutting
experiments on different polymer tapes and further exemplary samples.
PMID- 27869830
TI - Inactivation of Capicua drives cancer metastasis.
AB - Metastasis is the leading cause of death in people with lung cancer, yet the
molecular effectors underlying tumor dissemination remain poorly defined. Through
the development of an in vivo spontaneous lung cancer metastasis model, we show
that the developmentally regulated transcriptional repressor Capicua (CIC)
suppresses invasion and metastasis. Inactivation of CIC relieves repression of
its effector ETV4, driving ETV4-mediated upregulation of MMP24, which is
necessary and sufficient for metastasis. Loss of CIC, or an increase in levels of
its effectors ETV4 and MMP24, is a biomarker of tumor progression and worse
outcomes in people with lung and/or gastric cancer. Our findings reveal CIC as a
conserved metastasis suppressor, highlighting new anti-metastatic strategies that
could potentially improve patient outcomes.
PMID- 27869836
TI - Active thermal fine laser tuning in a broad spectral range and optical properties
of cholesteric liquid crystal.
AB - In this study, we achieved active fine laser tuning in a broad spectral range
with dye-doped cholesteric liquid crystal wedge-type cells through temperature
control. The spatial pitch gradient of each position of the wedge cell at room
temperature was almost maintained after developing a temperature gradient. To
achieve the maximum tuning range, the chiral dopant concentration, thickness,
thickness gradient, and temperature gradient on the wedge cell should be matched
properly. In order to understand the laser tuning mechanism for temperature
change, we studied the temperature dependence of optical properties of the
photonic bandgap of cholesteric liquid crystals. In our cholesteric liquid
crystal samples, when temperature was increased, photonic bandgaps were shifted
toward blue, while the width of the photonic bandgap was decreased, regardless of
whether the helicity was left-handed or right-handed. This is mainly due to the
combination of decreased refractive indices, higher molecular anisotropy of
chiral molecules, and increased chiral molecular solubility. We envisage that
this kind of study will prove useful in the development of practical active
tunable CLC laser devices.
PMID- 27869837
TI - Ultra-flat supercontinuum generated from high-power, picosecond telecommunication
fiber laser source.
AB - An ultra-flat, high-power supercontinuum generated from a picosecond
telecommunication fiber laser was presented. The pulse from a carbon nanotube
mode-locked oscillator was amplified using an Er-Yb codoped fiber amplifier. The
output of the system achieved an average power of 2.7 W, with the center
wavelength at 1564 nm and a FWHM of 6 nm in the spectral domain. By passing this
amplified high-power pulse through a 4.6 m highly nonlinear photonic crystal
fiber, an ultra-flat supercontinuum spanning 1600-2180 nm is generated. And the
average power of the supercontinuum achieves 1 W.
PMID- 27869838
TI - Analytical comparison of pulses generated by locking three and five longitudinal
modes in free-running class B lasers.
AB - We consider the coherent oscillation of five longitudinal modes, which leads to
the coupling of modes in the frequency domain and generation of short pulses in
the time domain. The aim is to audit the mechanism of mode locking in more detail
by extending the analytical solution of the Maxwell-Bloch equations of motion
from three to five mode states. The characteristics of pulses including height,
repetition, and duration have been calculated for a five-mode class B laser, and
the changes are compared with respect to the three-mode state. The effects of
other parameters, such as the mean damping rate of cavity mirrors, the frequency
detuning of cavity longitudinal modes, and the laser pumping rate have also been
investigated. The central and adjacent modes supply the energy of pulses. The
amplification gains of five oscillating modes, together with the contribution to
forming pulses, are evaluated. It is finally demonstrated that the results
satisfy the energy conservation law.
PMID- 27869839
TI - Investigation on iterative multiuser detection physical layer network coding in
two-way relay free-space optical links with turbulences and pointing errors.
AB - Physical layer network coding (PNC) improves the throughput in wireless networks
by enabling two nodes to exchange information using a minimum number of time
slots. The PNC technique is proposed for two-way relay channel free space optical
(TWR-FSO) communications with the aim of maximizing the utilization of network
resources. The multipair TWR-FSO is considered in this paper, where a single
antenna on each pair seeks to communicate via a common receiver aperture at the
relay. Therefore, chip interleaving is adopted as a technique to separate the
different transmitted signals at the relay node to perform PNC mapping.
Accordingly, this scheme relies on the iterative multiuser technique for
detection of users at the receiver. The bit error rate (BER) performance of the
proposed system is examined under the combined influences of atmospheric loss,
turbulence-induced channel fading, and pointing errors (PEs). By adopting the
joint PNC mapping with interleaving and multiuser detection techniques, the BER
results show that the proposed scheme can achieve a significant performance
improvement against the degrading effects of turbulences and PEs. It is also
demonstrated that a larger number of simultaneous users can be supported with
this new scheme in establishing a communication link between multiple pairs of
nodes in two time slots, thereby improving the channel capacity.
PMID- 27869840
TI - Tunable mode rotator for space-division multiplexing based on a few mode
polarization maintaining fiber.
AB - In this paper, a fiber-based tunable mode rotator is proposed and demonstrated by
using the few mode-polarization maintaining fiber (FM-PMF). The mode
birefringence in the FM-PMF causes phase difference between two orthogonal
degenerate modes. With rotating the FM-PMF segment and getting a variation of
aligning angle between the injected LP11 (LP21) mode axis
and FM-PMF axis, another intended LP11 (LP21) mode with a
certain orientation can be controllably generated at the FM-PMF output.
PMID- 27869841
TI - Endlessly single-mode photonic crystal fiber as a high resolution probe.
AB - We sample ultra-broadband light, focused onto a diffraction-limited spot, to an
endlessly single-mode photonic crystal fiber (ESM) and detect both the field
amplitude and phase using a SEA TADPOLE interferometer. We resolve spatial
features up to 2.5 times finer than the fiber mode size while sampling the
periodic features of the bipolar oscillating field in the transverse section. The
resolution enhancement is expected also in other types of single-mode fibers in
intensity measurements and leads to an inexpensive method for characterizing the
point-spread function of such optical fields, e.g., diffraction-limited spots
from microscope objectives. In addition, we demonstrate the guidance of a high-NA
light field in the fine structure of an ESM fiber mode. The results are
especially valuable for devices where a fiber tip acts as an input slit and
defines the spatial resolution, e.g., fiber-based interferometers, spectrometers,
and sensors.
PMID- 27869842
TI - Fabrication of short-wavelength infrared dual-band-pass filter based on
combination of Fabry-Perot filters.
AB - Dual-band-pass filters are key optical components in dual-spectral detection
applications; it is imperative to fabricate them in a relatively simple way for
practical use. In this paper, a dual-band-pass filter working in short-wavelength
infrared is developed by the combination of two Fabry-Perot (F-P) filters, each
having a transparent band. These two filters are designed separately, and
deposited at different sides of a substrate. The total layers' number of the dual
band-pass filter is limited to 34; these layers are monitored by the method of
direct transmittance level cut monitoring with a single monitor wavelength. The
percent of optical extrema monitoring strategy is adopted in the deposition. The
spectra of the F-P filters and the dual-band-pass filter are tested. The shorter
pass-band's average transmittance is above 84%, the longer one is above 88%, and
four of the pass-bands' edge steepness values are 1.4%,2.8%,1.9%, and 1.7%.
PMID- 27869844
TI - Scintillations of higher order laser beams in anisotropic atmospheric turbulence.
AB - The scintillation index of higher order laser beams is examined when such beams
propagate in anisotropic atmospheric turbulence. Anisotropy is introduced through
non-Kolmogorov atmospheric turbulence. The scintillation index results are
obtained by employing the Rytov method solution; thus the results are valid for
weak anisotropic atmospheric turbulence and for horizontal links. Variations in
the scintillations are shown for various higher order laser modes against the
changes in the optical source size, power law exponent of anisotropic non
Kolmogorov spectrum, anisotropic factors, and link length. Our results can be
used in the design of optical wireless communication systems used between
airplanes.
PMID- 27869843
TI - Effect of background dielectric on TE-polarized photonic bandgap of
metallodielectric photonic crystals using Dirichlet-to-Neumann map method.
AB - Using the Dirichlet-to-Neumann map method, we have calculated the photonic band
structure of two-dimensional metallodielectric photonic crystals having the
square and triangular lattices of circular metal rods in a dielectric background.
We have selected the transverse electric mode of electromagnetic waves, and the
resulting band structures showed the existence of photonic bandgap in these
structures. We theoretically study the effect of background dielectric on the
photonic bandgap.
PMID- 27869845
TI - Angular velocity estimation based on star vector with improved current
statistical model Kalman filter.
AB - Angular velocity information is a requisite for a spacecraft guidance,
navigation, and control system. In this paper, an approach for angular velocity
estimation based merely on star vector measurement with an improved current
statistical model Kalman filter is proposed. High-precision angular velocity
estimation can be achieved under dynamic conditions. The amount of calculation is
also reduced compared to a Kalman filter. Different trajectories are simulated to
test this approach, and experiments with real starry sky observation are
implemented for further confirmation. The estimation accuracy is proved to be
better than 10-4 rad/s under various conditions. Both the simulation
and the experiment demonstrate that the described approach is effective and shows
an excellent performance under both static and dynamic conditions.
PMID- 27869846
TI - Simultaneous measurement of refractive index distribution and topography by
integrated transmission and reflection digital holographic microscopy.
AB - We propose a method for simultaneously measuring dynamic changes of the
refractive index distribution and surface topography, which integrates the
transmission and reflection digital holographic microscopy based on polarization
and angular multiplexing techniques. The complex amplitudes of the transmitted
and reflected object waves can be simultaneously retrieved. The phase information
of the reflected object wave is directly used to determine the topography of the
specimen which corresponds to its physical thickness. Assuming that the
refractive index distribution is uniform in the direction of the specimen
thickness, the refractive index distribution can be deduced from the phase
distributions of the transmitted and reflected object waves without any
approximation. The refractive index distribution and dynamic changes of the
topography of a tiny deionized water droplet have been measured for the
availability of the proposed method.
PMID- 27869847
TI - Sizing submicron particles from optical scattering data collected with oblique
incidence illumination.
AB - As submicron particles play an important role in a variety of ecosystems that
include aqueous, terrestrial, and atmospheric, a measurement system to quantify
them is highly desirable. In pursuit of formulating and fabricating a system to
size them using visible light, a system that collects multi-directional scattered
light from individual particles is proposed. A prototype of the system was
simulated, built, and tested via calibration with a set of polystyrene spheres in
water with known sizes. Results indicate that the system can accurately resolve
the size of these particles in the 0.1 to 0.8 MUm range. The system incorporates
a design that uses oblique illumination to collect scattered light over a large
range of both forward and backward scatter angles. This is then followed by the
calculation of a ratio of forward to backscattered light, integrated over a
suitably defined range. The monotonic dependence of this ratio upon particle size
leads to an accurate estimate of particle size. The method was explored first,
using simulations, and followed with a working version. The sensitivity of the
method to a range of relative refractive index was tested using simulations. The
results indicate that the technique is relatively insensitive to this parameter
and thus of potential use in the analysis of particles from a variety of
ecosystems. The paper concludes with a discussion of a variety of pragmatic
issues, including the required dynamic range as well as further research needed
with environmentally relevant specimens to create a pragmatic instrument.
PMID- 27869848
TI - Low-cost encoder using a phase shifting algorithm utilizing polarization
properties of light.
AB - In this work a novel polyphase optical encoder is presented, which uses a
mathematical algorithm to take advantage of the polarization properties of light.
This optical encoder has excellent accuracy, precision, and resolution in
measuring the angular position of a shaft. Furthermore, it is designed to work
with low-cost optical components. Initially, a light beam passes through a
rotating polarizer, and readings are obtained from low-cost photoresistors
located under phase shifted analyzers to generate characteristic curves for each
of the phases, in the plane formed by the measured angle and the intensity of
light. These curves are correlated to the ideal polarization curve formed by
Malus' law via polynomial expressions to obtain the relationship between actual
values and the ideal square cosine. After this characterization process, the
encoder is ready for indefinite use, and operational data are introduced to a
phase shifting algorithm, to obtain the angle position of any rotating device.
PMID- 27869849
TI - Optical coherence tomography imaging of capillary reperfusion after ischemic
stroke.
AB - Although progress has been made for recanalization therapies after ischemic
stroke, post-treatment imaging studies show that tissue reperfusion cannot be
attained despite satisfactory recanalization in a significant percentage of
patients. Hence, investigation of microcirculatory changes in both surface and
deep cortical levels after ischemia reperfusion is important for understanding
the post-stroke blood flow dynamics. In this study, we applied optical coherence
tomography (OCT) imaging of cerebral blood flow for the quantification of the
microcirculatory changes. We obtained OCT microangiogram of the brain cortex in a
mouse stroke model and analyzed the data to trace changes in the capillary
perfusion level (CPL) before, during, and after the stroke. The CPL changes were
estimated in 1 and 2 h ischemia groups as well as in a non-ischemic sham-operated
group. For the estimation of CPL, a decorrelation amplitude-based algorithm was
implemented and used. As a result, the CPL considerably decreased during ischemia
but recovered to the baseline when recanalization was performed 1 h after
ischemia; however, the CPL was significantly reduced when recanalization was
delayed to 2 h after ischemia. These data demonstrate that ischemia causes
microcirculation dysfunction, leading to a decreased capillary reperfusion after
recanalization. Microcirculatory no-reflow warrants more rigorous assessment in
clinical trials, whereas advanced optical imaging techniques may provide
mechanistic insight and solutions in experimental studies.
PMID- 27869850
TI - Effects of particle locations on reconstructed particle images in digital
holography.
AB - The intensity and phase reconstructed from digital in-line holograms by the
convolution approach are analyzed. Distortions of particle images depending on
their position in the plane transverse to the optical axis are identified. For
this purpose, the object fields of numerically simulated particle holograms as
well as of experimental data are reconstructed. The results of three-dimensional
correlations of numerical and experimental data are superior when the numerically
generated reference volumes are adapted to the transverse locations of the
particle. Thus, proof is given that the characteristics of a particle image
change distinctly with the transverse position of the particle and that the
numerical model successfully simulates these changes. Hence, this knowledge can
be integrated in future particle position detection algorithms.
PMID- 27869851
TI - Calibration of oblique-incidence reflectivity difference for label-free detection
of a molecular layer.
AB - Oblique-incidence reflectivity difference (OI-RD) is a form of polarization
modulation ellipsometry that measures properties of thin films on a solid surface
through the change in polarization state of light upon reflection from the
surface. The measurement accuracy depends on the precision of the phase
modulation amplitude and azimuthal alignments of key polarizing optical elements
and, thus, requires careful calibration. In the present work, we describe robust
methods of such calibrations that enable precise determination of the modulation
amplitude and static retardation of a phase modulator and azimuths of key
polarizing optics in an OI-RD system.
PMID- 27869852
TI - Polarized transfer functions of the ocean surface for above-surface determination
of the vector submarine light field.
AB - A method is developed to determine the underwater polarized light field from
above sea surface observations. A hybrid approach combining vector radiative
transfer simulations and the Monte Carlo method is used to determine the transfer
functions of polarized light for wind-driven ocean surfaces. Transfer functions
for surface-reflected skylight and upward transmission of light through the sea
surface are presented for many common viewing and solar geometries for clear-sky
conditions. Sensitivity of reflection matrices to environmental conditions is
examined and can vary up to 50% due to wind speed, 25% due to atmospheric aerosol
load, and 10% due to radiometer field-of-view. Scalar transmission is largely
independent of water type and varies a few percent with wind speed, while
polarized components can change up to 10% in high winds. Considerations for
determining the water-leaving radiance (scalar or vector) are discussed.
PMID- 27869853
TI - Global calibration of unleveled theodolite using angular distance constraints.
AB - The theodolite is an important optical measurement instrument in application. Its
global calibration, including position and orientation, is a prerequisite for
measurement. Most global calibration methods require the theodolite to be leveled
precisely, which is time-consuming and susceptible to error. We propose a global
calibration method without leveling: it solves position results using the angular
distance of control points by nonlinear optimization and then computes
orientation parameters (rotation matrix) linearly based on position results.
Furthermore, global calibration of multiple theodolites is also introduced. In
addition, we introduced a method that can compute the dip direction and tilt
angle by decomposing the rotation matrix. We evaluate the calibration algorithms
on both computer simulation and real data experiments, demonstrating the
effectiveness of the techniques.
PMID- 27869829
TI - Contribution of copy number variants to schizophrenia from a genome-wide study of
41,321 subjects.
AB - Copy number variants (CNVs) have been strongly implicated in the genetic etiology
of schizophrenia (SCZ). However, genome-wide investigation of the contribution of
CNV to risk has been hampered by limited sample sizes. We sought to address this
obstacle by applying a centralized analysis pipeline to a SCZ cohort of 21,094
cases and 20,227 controls. A global enrichment of CNV burden was observed in
cases (odds ratio (OR) = 1.11, P = 5.7 * 10-15), which persisted after excluding
loci implicated in previous studies (OR = 1.07, P = 1.7 * 10-6). CNV burden was
enriched for genes associated with synaptic function (OR = 1.68, P = 2.8 * 10-11)
and neurobehavioral phenotypes in mouse (OR = 1.18, P = 7.3 * 10-5). Genome-wide
significant evidence was obtained for eight loci, including 1q21.1, 2p16.3
(NRXN1), 3q29, 7q11.2, 15q13.3, distal 16p11.2, proximal 16p11.2 and 22q11.2.
Suggestive support was found for eight additional candidate susceptibility and
protective loci, which consisted predominantly of CNVs mediated by nonallelic
homologous recombination.
PMID- 27869854
TI - Experimental investigation on supercontinuum generation by single, dual, and
triple wavelength pumping in a silica photonic crystal fiber.
AB - We investigate the supercontinuum (SC) generation in an 1 cm long silica photonic
crystal fiber (PCF) pumped by the pulse sources with single, dual, and triple
wavelengths, respectively. The silica PCF has two zero-dispersion wavelengths at
900 and 2620 nm, respectively. When pumped by a single wavelength, the SC
spectral range covers about 1000 nm. When pumped by dual and triple wavelengths,
the SC spectral range covers wider than 2000 nm. Both the SC spectral range and
the flatness are improved obviously when pumped by triple wavelengths. The
maximum SC spectral range is obtained when the silica PCF is pumped by the triple
wavelengths at 800, 1450, and 1785 nm. The SC spectral range covers 2810 nm from
350 to 3160 nm wider than three octaves. The 10 dB bandwidth covers 2280 nm from
450 to 2730 nm wider than two octaves. This is the first investigation on
comparison of the SCs generated by different pump wavelengths up to three
experimentally. The generated SC spectra have covered the full transmission
window of silica fiber.
PMID- 27869855
TI - Analytical and simulation results of a triple micro whispering gallery mode probe
system for a 3D blood flow rate sensor.
AB - The whispering gallery mode (WGM) is generated by light propagating within a
nonlinear micro-ring resonator, which is modeled and made by an InGaAsP/InP
material, and called a Panda ring resonator. An imaging probe can also be formed
by the micro-conjugate mirror function for the appropriate Panda ring parameter
control. The 3D WGM probe can be generated and used for a 3D sensor head and
imaging probe. The analytical details and simulation results are given, in which
the simulation results are obtained by using the MATLAB and Optiwave programs.
From the obtained results, such a design system can be configured to be a thin
film sensor system that can contact the sample surface for the required
measurements The outputs of the system are in the form of a WGM beam, in which
the 3D WGM probe is also available with the micro-conjugate mirror function. Such
a 3D probe can penetrate into the blood vessel and content, from which the time
delay among those probes can be detected and measured, and where finally the
blood flow rate can be calculated and the blood content 3D image can also be seen
and used for medical diagnosis. The tested results have shown that the blood flow
rate of 0.72-1.11 MUs-1, with the blood density of 1060 kgm
3, can be obtained.
PMID- 27869856
TI - Recursive method for phase retrieval using transport of intensity and its
applications.
AB - Propagation of optical fields is governed by the Helmholtz equation or the
paraxial wave equation. Transport of intensity is a noninterferometric method to
find the phase of an object by recording optical intensities at different
distances of propagation. The transport of intensity equation results from the
imaginary part of the complex paraxial wave equation and is equivalent to the
principle of conservation of energy. The real part of the paraxial wave equation
yields the Eikonal equation in the presence of diffraction. The amplitude and
phase of the optical field must therefore simultaneously satisfy both the real
and imaginary parts of the paraxial wave equation during propagation. In this
paper, we demonstrate, with illustrative examples, how to exploit this to
retrieve the phase through recursive calculations of the phase and intensity.
This is achieved using the transport of intensity equation, which is solved using
standard techniques, and the real part of the paraxial wave equation, or the
transport of phase equation, which is solved using a Gauss-Seidel iterative
method. Examples include calculation of the imaged phase induced through self
phase modulation of a focused laser beam in a liquid and the imaged phase of
light reflected from a surface, which yields the 3D surface profile.
PMID- 27869857
TI - Increasing the collection efficiency of time-correlated single-photon counting
with single-photon avalanche diodes using immersion lenses.
AB - Single-photon avalanche diodes (SPADs) achieving high timing resolution (~20-50
ps) developed for time-correlated single-photon counting (TCSPC) generally have
very small photosensitive areas (25-100 MUm in diameter). This limits the
achievable photon counting rate and signal-to-noise ratio and may lead to long
counting times. This is detrimental in applications requiring several
measurements, such as fluorescence lifetime imaging (FLIM) microscopy, which
requires scanning, and time-domain diffuse optical tomography (TD-DOT). We show
in this work that the use of an immersion lens directly affixed onto the
photosensitive area of the SPAD helps alleviate this problem by allowing more
light to be concentrated onto the detector. Following careful optical design and
simulations, our experimental results show that it is actually possible to
achieve the predicted theoretical increase in the photon counting rate (we
achieve a factor of ~4 here). This work is of high relevance in high timing
resolution TCSPC with small photosensitive area detectors and should find
widespread interest in FLIM and TD-DOT with SPADs.
PMID- 27869858
TI - Method for large-range structured light system calibration.
AB - Structured light system calibration often requires the usage of a calibration
target with a similar size as the field of view (FOV), which brings challenges to
a large-range structured light system calibration since fabricating large
calibration targets is difficult and expensive. This paper presents a large-range
system calibration method that does not need a large calibration target. The
proposed method includes two stages: (1) accurately calibrate intrinsics (i.e.,
focal lengths and principle points) at a near range where both the camera and
projector are out of focus, and (2) calibrate the extrinsic parameters
(translation and rotation) from camera to projector with the assistance of a low
accuracy, large-range three-dimensional (3D) sensor (e.g., Microsoft Kinect). We
have developed a large-scale 3D shape measurement system with a FOV of 1120
mm*1900 mm*1000 mm. Experiments demonstrate our system can achieve measurement
accuracy as high as 0.07 mm with a standard deviation of 0.80 mm by measuring a
304.8 mm diameter sphere. As a comparison, Kinect V2 only achieved mean error of
0.80 mm with a standard deviation of 3.41 mm for the FOV of measurement.
PMID- 27869859
TI - Simulations of the gain profile and performance of a diode side-pumped QCW Nd:YAG
laser.
AB - A design of a diode side-pumped Nd:YAG laser module and simulations of the gain
distribution inside the active medium are presented in this paper. The code is
based on a nonsequential ray-tracing Monte Carlo method for the light generated
by the laser diodes. The fluorescence image of the active medium was analyzed in
order to compare it with the simulations, which were found to be in good
agreement with experimental data. The laser was tested in QCW mode and provided a
maximum average power of 220 W while maintaining constant energy per pulse in the
100-1000 Hz range.
PMID- 27869861
TI - Compressive spectral testbed imaging system based on thin-film color-patterned
filter arrays.
AB - Compressive spectral imaging systems can reliably capture multispectral data
using far fewer measurements than traditional scanning techniques. In this paper,
a thin-film patterned filter array-based compressive spectral imager is
demonstrated, including its optical design and implementation. The use of a
patterned filter array entails a single-step three-dimensional spatial-spectral
coding on the input data cube, which provides higher flexibility on the selection
of voxels being multiplexed on the sensor. The patterned filter array is designed
and fabricated with micrometer pitch size thin films, referred to as pixelated
filters, with three different wavelengths. The performance of the system is
evaluated in terms of references measured by a commercially available
spectrometer and the visual quality of the reconstructed images. Different
distributions of the pixelated filters, including random and optimized
structures, are explored.
PMID- 27869860
TI - Quantitative-phase microscopy of nanosecond laser-induced micro-modifications
inside silicon.
AB - Laser-induced permanent modification inside silicon has been recently
demonstrated by using tightly focused nanosecond sources at a 1550 nm wavelength.
We have developed a quantitative-phase microscope operating in the near-infrared
domain to characterize the laser-induced modifications deep into silicon. By
varying the number of applied laser pulses and the energy, we observe porous and
densified regions in the focal region. The observed changes are associated with
refractive index variations |Deltan| exceeding 10-3, enough to
envision the laser writing of optical functionalities inside silicon.
PMID- 27869862
TI - Polarization control strategy of a laser communication terminal with a periscopic
scanner using dual rotating waveplates.
AB - We assessed the problem of low mixing efficiency caused by unstable signal
polarization because of a moving reflector in a laser communication terminal with
a periscopic scanner. A real-time polarization compensation method based on
rotating waveplates is presented, which keeps the receiving signal light
polarization at 45 degrees linear polarized and improves system mixing
efficiency. A geometric model of the laser communication terminal was first
established, its polarization transmission characteristics were analyzed by three
dimensional polarization tracks, and a system polarization transmission matrix
was calculated. The relationship between scan angle and polarization of the
output signal was simulated. The connections between a polarization-compensating
lambda/4 waveplate and the lambda/2 waveplate rotation angle and scan angle were
established. These findings will pave the way for real-time polarization control
technology for coherent free-space laser communications.
PMID- 27869863
TI - Small petal tools performance for parabolizing optical surfaces.
AB - Small rigid petal tools, driven by a traditional polishing machine, were used to
parabolize 20 mirrors 14 cm in diameter and 192 cm of curvature radius. Small
rigid circular tools (SCTs), driven manually, were used to parabolize another 20
identical surfaces. A Ronchi test with a square grid was used to evaluate the
performance of both techniques. If small rigid petal tools are used, the surface
quality, the reproducibility in the production process, and the time spent
required to generate the surfaces are markedly better than using SCTs.
PMID- 27869864
TI - Photomultiplier tube calibration based on Na lidar observation and its effect on
heat flux bias.
AB - Na lidar can measure vertical wind and temperature at high temporal and vertical
resolutions, enough to resolve gravity wave perturbations. Heat flux due to
dissipating gravity waves is an important quantity that can be derived from such
perturbations. When lidar signals are high, a photomultiplier tube (PMT) used to
count incoming photons may suffer from the saturation effect, and its output
count is not linearly related to incoming photon counts. Corrections to this
effect can be measured in a laboratory setting but may have large errors at high
count rates. We show that the errors in the PMT correction can cause significant
bias in the heat flux calculation due to the inherent correlation between wind
and temperature errors. Using the measurements made by Na lidar at the Andes
Lidar Observatory with Hamamatsu PMTs, we developed a calibration procedure to
remove such PMT correction errors from laboratory measurements. By applying the
revised PMT correction curve we demonstrated that the heat flux bias can be
removed through this procedure.
PMID- 27869865
TI - Multipass optical system for a Raman gas spectrometer.
AB - In the present work, a multipass optical system intended for increasing the
sensitivity of a Raman gas spectrometer based on the 90 degrees geometry of
scattered light collection is described. The system is characterized by an
adjustment stability and an increased number of laser beams that pass through a
small scattering volume, thus allowing the intensities of Raman signals from
components of the gas medium in this volume to be increased. It is demonstrated
that the application of this multipass optical system allows the sensitivity of
the Raman gas spectrometer to be increased practically by 20 times (to several
ppm for the 30-s registration time).
PMID- 27869866
TI - Optical simulation of single-cell-gap transflective liquid crystal displays based
on surface anchoring energy of periodical nano-grooved structures.
AB - The single-cell-gap transflective liquid crystal display (TR-LCD) based on
periodic distribution of surface anchoring energy (SAE) of periodical nano
grooved structures is reported in this study. Different SAEs of planar-aligned
nematic LC cells are associated with the threshold and operation voltages of the
adopted LCs. Thus, according to the transmittance versus applied voltage curves,
the regions with strong and weak SAEs in LC cells can be the transmission and
reflection regions of a TR-LCD, respectively. According to the simulation results
using 1D-DIMOS software, the phase retardation of a strong SAE region is
approximately twice as large as that of a weak SAE region when a specific voltage
is applied, and a suitable difference in SAE exists between these two regions.
Moreover, various SAEs based on periodical nano-grooved structures can be
fabricated to demonstrate the TR-LCD.
PMID- 27869868
TI - ?
PMID- 27869867
TI - Detection and identification of drugs under real conditions by using noisy
terahertz broadband pulse.
AB - We discuss an effective method for detecting and identifying drugs using a high
noise terahertz (THz) signal. We add a noisy THz signal obtained in real
conditions to the THz signal transmitted through a sample with the illicit drug
methamphetamine. The insufficiency of the standard THz time-domain spectroscopy
method is demonstrated, showing that this method detects the spectral features of
neutral substances and explosives in a noisy THz signal. The method discussed is
based upon time-dependent integral correlation criteria calculated using spectral
dynamics of the medium response. We propose a modification of the integral
correlation criterion that is less dependent on the spectral characteristics of a
noisy signal under investigation.
PMID- 27869869
TI - ?
PMID- 27869870
TI - [Give birth in Italy is a "surgical" procedure.]
AB - In Italy, the use of caesarean section has reached alarming levels: it involves
more than one woman in three, as compared to one woman in five in Sweden and
Finland. There is also considerable variability between different regions and
different hospitals with clear heterogeneous access to appropriate interventions.
In particular, although cesarean section rates are generally higher in the south,
the heterogeneity between hospitals is much wider than the heterogeneity between
regions, showing that the organizational and professional characteristics of
individual providers strongly influence this phenomenon. In conclusion, in Italy
structural peculiarities, organizational and professional supply of health
services seem to influence the use of caesarean section more strongly than
women's health conditions and pregnancy characteristics.
PMID- 27869871
TI - The impact of academic conferences and how to make them useful.
AB - Academic conferences have to date largely evaded empirical examination, despite
demanding a lot of time and effort from those attending. Based on my work for a
forthcoming book, I consider examples of conferences, including the Cochrane
Colloquium, that provide important lessons from which we can learn about the
impact of conferences. Travel to the conference, the venue, and when it is held
can be a barrier to delegates attending, impacting on what will be said and
discussed. By sharing new knowledge and wisdom, conferences act as a signal that
cuts through the noise and adds to the "Knowledge Enterprise". But if the
delegates are too tired from travel or do not attend, it is not possible to
meaningfully discuss issues. There is a need for the evolving discipline of Meta
research to examine research conferences, and for academics to discuss and
challenge the role and value, and usefulness ofacademic conferences.
PMID- 27869872
TI - [Towards DSM 5.1. Proposals for schizophrenia.]
AB - Schizophrenia is a debilitating illness, present in approximately 1% of the
global population. It is manifested through positive symptoms including
delusions, hallucinations, disorganized thoughts and negative symptoms such as
avolition, alogia, and apathy. In 2013 the 5th edition of the Diagnostic and
Statistical Manual of Mental Disorders (DSM-5) has been released and some changes
were introduced to make diagnosis of schizophrenia more accurate and precise, but
researchers are already studying how to improve again the diagnostic criteria of
this disorder. To this regard, we hypothesize two types of schizophrenia: poor
adherence and good adherence to treatment schizophrenia. Our supposition is based
on the evidence of reduced relapses, rehospitalisations, and better long-term
course of illness in those patients with schizophrenia who are non-adherent to
treatment. Given that adherence to therapy strongly influences patients attitude
to medication, quality of life, and subjective well-being, the hypothesis of
introducing adherence as a new schizophrenia specifier is compelling.
PMID- 27869873
TI - [Scientific editors in Italy: identity, certification and roles.]
AB - This study is developed as part of the activities of the Italian Chapter of the
European Association of Science Editors (EASE) with the aim to provide an
overview of the Italian scientific publishing in biomedicine and highlight the
need for professional training and certification. Even at European and
international level, in fact, there is a general lack of training and
accreditation programs for the certification of professional editors. After
defining the editor's role (term that in the Italian language has a certain
degree of ambiguity) and the different professionals involved in the publication
process, the paper analyzes the biomedical production in Italy, reporting the
results of a survey on professional associations, institutions, scientific
societies, and others. Also reported are the results of a first hearing on views
expressed by the publishers themselves. From this study the need of a
constructive discussion with all stakeholders emerges not only to improve the
quality of publications in the biomedical field, but also to enhance and
recognize the editor's profession, both in Italy and in other countries.
PMID- 27869874
TI - [Pharmacological treatment in adrenal Cushing's syndrome.]
AB - ACTH-independent adrenal Cushing's syndrome is the least common form of
endogenous hypercortisolism. Recently, advances in genetics have allowed the
description of several forms different to pathogenetic etiology, morphostructural
characteristics and evolution towards the hypercortisolism. Alongside these, the
adrenocortical carcinoma is also frequently responsible of a hypercortisolism
clinical picture. The availability of steroidogenesis inhibitors, such as
metyrapone and ketoconazole, provides to endocrinologist a therapeutic chance
against different metabolic disorders sustained by hypercortisolism. Mitotane, an
adrenolitic compound, is used alone in adjuvant therapy or in combination with
different chemotherapy drugs in the treatment of adrenocortical carcinoma and in
the treatment of severe Cushing's syndrome.
PMID- 27869875
TI - [The added value of information summaries supporting clinical decisions at the
point-of-care.]
AB - Evidence-based healthcare requires the integration of the best research evidence
with clinical expertise and patients' values. International publishers are
developing evidence-based information services and resources designed to overcome
the difficulties in retrieving, assessing and updating medical information as
well as to facilitate a rapid access to valid clinical knowledge. Point-of-care
information summaries are defined as web-based medical compendia that are
specifically designed to deliver pre-digested, rapidly accessible, comprehensive,
and periodically updated information to health care providers. Their validity
must be assessed against marketing claims that they are evidence-based. We
periodically evaluate the content development processes of several international
point-of-care information summaries. The number of these products has increased
along with their quality. The last analysis done in 2014 identified 26 products
and found that three of them (Best Practice, Dynamed e Uptodate) scored the
highest across all evaluated dimensions (volume, quality of the editorial process
and evidence-based methodology). Point-of-care information summaries as stand
alone products or integrated with other systems, are gaining ground to support
clinical decisions. The choice of one product over another depends both on the
properties of the service and the preference of users. However, even the most
innovative information system must rely on transparent and valid contents.
Individuals and institutions should regularly assess the value of point-of-care
summaries as their quality changes rapidly over time.
PMID- 27869876
TI - [Smart-web support: a new tool to help information sharing in managing complex
paediatric diseases. Study protocol.]
AB - As devices for learning, smart-web support (SWS) multimedia hypertexts on the web
now provide more versatile and interactive reading systems than those
traditionally available in static printed texts. Designing similar tools for
clinical practice would make complex scientific information easier to comprehend,
and present the various therapeutic options to patients as minimally alarming
graphical representations. In a pilot project we intend to produce a SWS tool for
parents or tutors of children with primary differentiated thyroid cancer (DTC), a
heretofore rare disease whose incidence has increased over recent years. The SWS
hypertexts, "pre-digested" by the multidisciplinary team caring for these
children, will be inserted in a single web page (canvas) including shared sheets
explaining the best surgical options (decision aids). To make evidence-based
information easier to understand and help information sharing, the decision aids
will combine text and graphics. The canvas will store data for the multimedia
files in a cloud storage system, opened via a link. To measure parents' and
tutors' understanding and appreciation of the information provided on the web,
the canvas will include questionnaires to investigate satisfaction, eventual
barrier encountered, and type of surgical therapy chosen. The SWS tool should
allow users to obtain all the information in a relatively short time and improve
parents' and children's satisfaction with the surgical options proposed. The
results obtained will be useful for developing similar SWS devices for other
complex paediatric diseases.
PMID- 27869877
TI - [Computerized decision support systems: EBM at the bedside].
AB - INTRODUCTION: One of the aims of Evidence-Based Medicine is to improve quality
and appropriateness of care by the expedition of the knowledge transfer process.
Computerized Decision Support Systems (CDSSs) are computer programs that provide
alerts to the prescribing doctor directly at the moment of medical examination.
In fact, alerts are integrated within the single patient electronic health
record. CDSS based on the best available and updated evidence and guidelines may
be an efficient tool to facilitate the transfer of the latest results from
clinical research directly at the bedside, thus supporting decision-making.
OBJECTIVES: The CODES (COmputerized DEcision Support) trial is a research program
funded by the Italian Ministry of Health and the Lombardy Region. It aims to
evaluate the feasibility of the implementation of a CDSS at the hospital level
and to assess its efficacy in daily clinical practice. METHODS: The CODES project
includes two pragmatic RCTs testing a CDSS (i.e. the EBMeDS - MediDSS) in two
large Italian hospitals: the first is a general hospital in Vimercate (Lombardy),
the second is an oncologic research center in Meldola (Emilia Romagna). The CDSS
supports a full spectrum of decisions: therapy, drug interactions, diagnosis, and
management of health care services are covered by a hundreds of reminders.
However only few reminders are activated per patient, highlighting crucial
problems in the delivery of high-quality care. The two trials have similar design
and primary outcome, the rate at which alerts detected by the software are
resolved by a decision of the clinicians. The project also includes the
assessment of barriers and facilitators in the adoption of these new technologies
by hospital staff members and the retrospective evaluation of the repeated risks
in prescription habits. RESULTS: The trials are ongoing and currently more than
10,000 patients have been randomized. The qualitative analysis revealed a
progressive shift in the perception of the tool. Doctors are now seeing it as a
trusted second opinion, available 24/7, which is tailored to the needs of the
patient. The retrospective analysis showed the opportunity to achieve a better
healthcare quality through an active risk management. Aggregating data from whole
hospitals emerge rare drug interactions that otherwise would not be recognizable.
DISCUSSION: CDSS are promising tools to support clinicians in everyday practice.
They can be used as a real time app or to perform retrospective analyses. These
data can provide unique resources to hospital management.
PMID- 27869878
TI - [Efficacy and effectiveness of prevention programs in the population: for a more
rational choice of public health interventions.]
AB - INTRODUCTION: Evidence Based Prevention is becoming increasingly important to
guide the policy-makers to choose preventive interventions to be offered to the
population. Sometimes however the impact of an intervention in real conditions
(effectiveness) may be smaller than the efficacy estimated in studies conducted
in experimental settings. This can be due for example to suboptimal coverage of
the intervention or to poor compliance of the involved subjects. Regrettably,
this aspect is rarely taken into account in the planning of preventive
interventions. To evaluate the discrepancy between efficacy and effectiveness of
prevention programs we used as examples two interventions for smoking cessation
currently available in Italy: 1) Interventions offered by Smoking Cessation
Centers; 2) "Minimal Advice" provided by family doctors. METHODS: Using
epidemiological data we estimated the expected effectiveness of interventions
under different scenarios. RESULTS: Even assuming that Smoking Cessation Centers
always offer the best available intervention (efficacy: 82%), they do not seem
able to have a major effect on smoking cessation in the population
(effectiveness: 0.8%). This is due to the very small number of smokers that seek
help to these centers (1%). On the contrary, interventions based on minimal
advice are anticipated to have much higher effectiveness (21%). CONCLUSION:
Evidence of efficacy of an intervention is not sufficient to ensure that this
will have a significant impact on health. The decision to implement a preventive
action should be preceded by a careful evaluation of the expected effectiveness
and be followed by a post-implementation monitoring to estimate the real impact
of the intervention.
PMID- 27869879
TI - [Near-decisions undertaken by clinical nurses in their daily practice: a concept
analysis.]
AB - In recent years, nursing autonomy has increased: clinical nurses in their daily
practice undertake several independent and collaborative decisions. In addition,
during nursing surveillance, clinical nurses collect data, intercept signs and/or
symptoms of decline, early detect emerging problems and trigger a decision-making
process by identifying interventions that lead to the attention of physicians, in
advance - in order to be allowed to undertake the intervention; or after having
implemented the intervention - in order to get an endorsement. The "near-decision
making" process in a non-nursing field of autonomy, daily occurs, as a complex
process that involves several aspects: individual, interpersonal, and
organizational factors. To fill in the literature gap, a purposeful sample of
expert nurses has been interviewed; then, using the hybrid model of Schwartz
Barcott & Kim, the concept of "near-decision making" has been described, as well
as its attributes, antecedents and consequences were identified.
PMID- 27869880
TI - [Organizational ethnography of direct drugs distribution in Parma AUSL.]
AB - The locations where healthcare organizations directly distribute medications to
patients in specific circumstances, such as at hospital discharge, are settings
at risk of professional errors. Using organizational ethnography methodology, we
sought to explore the characteristics of points of direct distribution of drugs
(PDD) in the AUSL of Parma and identify aspects of the delivery process that
could potentially generate errors. We conducted 75 3-hour observations (225 hours
at total) of the two Parma AUSL PDDs. The nature of the observations was known by
PDD professionals but blinded to PDD patients. In one PDD, we shadowed the
pharmacist responsible for the entire drug distribution process, as well as
followed the pattern of drug packaging from the Centralized Logistic Pharmacy
Unit in Reggio Emilia to the Parma Pharmaceutical Department. In the other PDD,
we carried out observations in the patient waiting room and conducted interviews
with patients and PDD professionals. Collected data were evaluated via content
analysis and study results were presented to the study-working group for further
discussion. Research findings highlighted a limited number of errors in drug
delivery process. The most frequently observed errors by pharmacists were
incorrect interpretation of prescriptions, dispensation of wrong dosage, and
failure to deliver a prescribed drug to the patient. Potential sources of error
were repeated interruptions of the pharmacist workflow, such as phone calls,
helping colleagues in emergency situations and serving an excessive number of
patients. Based on these results, the study-working group proposed logistical and
organizational modifications of PDD and delivery process to improve quality and
reduce risks.
PMID- 27869881
TI - [The art and science of engaging patients into medical research: using
professional recruiters' experiences to inform physicians and scientific
directors' strategic choices.]
AB - In order to advance medical care, it is necessary to invest resources, both human
and financial, in medical research. However, these investments sometimes are not
sufficient to ensure the success of research studies. No progress can be made
without the participation of patients in research studies and clinical trials.
These potential participants are normally identified by their physicians, or by
professional recruiters, depending on the patient clinical profile. However,
often patients are intimidated by the idea of engaging in clinical trials for
several reasons, including the fear of side effects, to the difficulty of
understanding specific research-related terms. The main objective of this project
was to identify the communication strategies used by recruiters to help patients
enroll into medical research projects. Another goal of the study was to identify
key information that would help PIs and researchers make more effective decisions
in terms of design and methodology. A total of 11 focus groups were conducted in
two large, ethnically diverse cities: Indianapolis and Miami (USA). Our analyses
indicated that recruiters use a variety of verbal and nonverbal communication
strategies to create a relationship with potential participants. In addition,
recruiters consider the cultural background of the people they interact with, the
environment in which they live, the resources available, and the possible support
of family members.
PMID- 27869882
TI - [Assessment of geriatric patients' care needs based on sosia classification: the
reality of the lombardy region in nursing home.]
AB - The increased demand to care that originates from demographic changes called in
Italy a rapid development in Nursing Home with particular emergency the problem
of programme the welfare response. It is essential the development of a
classification system able to describe the stratification of the case mix on the
basis of the care requirements, allowing a remuneration in function of the
complexity care. Since 2003, the Lombardy region has introduced a case-mix
reimbursement system for nursing homes based on the SOSIA form which classifies
residents into eight classes of frailty. In the present study the agreement
between SOSIA classification and other well documented instruments, including
Barthel Index, Mini-Mental State Examination on the basis of three indicators
(mobility, cognition, comorbidity) is evaluated in eight classes of frailty.
However, it is not any research project was published in order to assess the
agreement between SOSIA classification and other measuring instruments. Although
various methods exist by which researchers have attempted to measure the need for
nursing care, there is no nationally accepted system for determining the total
amount of registered nursing resources required by residents in long-term care.
The aim of this study was to evaluate the correlation between SOSIA and filing
systems widely used, such as the degree of Barthel disability rating scale, the
Mini-Mental State Examination to offer care appropriate for the case-mix. Only
the higher complexity care has classified in the first two classes, while the
remaining levels has categorized in the lowest paid. Misclassification therefore
induces an underestimation of the real care needs and, consequently, inadequate
remuneration.
PMID- 27869884
TI - ?
PMID- 27869883
TI - Punches and knocks to the physicians: choosing wisely or self protection?
AB - A case of a normal delivery in which the obstetrician has been beaten and
insulted without any reason by the patient' husband is reported. Patient' husband
followed his personal, wrong, perspectives about the labour and delivery. As
patients pretend from physicians unnecessary exams and procures irrespective from
physicians' suggestions, the culprits of our failure in not following the
"choosing wisely" are the patients.
PMID- 27869885
TI - ?
PMID- 27869888
TI - ?
PMID- 27869886
TI - [ANMCO/ELAS/SIBioC Consensus document: Recommendations for the use of cardiac
biomarkers in heart failure patients].
AB - Biomarkers have dramatically impacted the way heart failure (HF) patients are
evaluated and managed. A biomarker is a characteristic that is objectively
measured and evaluated as an indicator of normal biological or pathogenic
processes, or pharmacological responses to a therapeutic intervention.
Natriuretic peptides (B-type natriuretic peptide [BNP] and N-terminal proBNP) are
the gold standard biomarkers in determining the diagnosis and prognosis of HF,
and a natriuretic peptide-guided HF management looks promising. In the last few
years, an array of additional biomarkers has emerged, each reflecting different
pathophysiological processes in the development and progression of HF: myocardial
insult, inflammation, fibrosis and remodeling, but their role in the clinical
care of the patient is still partially defined and more studies are needed before
to be well validated. Moreover, several new biomarkers have the potential to
identify patients with early renal dysfunction and appear to have promise to help
the management cardio-renal syndrome.With different biomarkers reflecting HF
presence, the various pathways involved in its progression, as well as
identifying unique treatment options for HF management, a closer cardiologist
laboratory link, with a multi-biomarker approach to the HF patient, is not far
ahead, allowing the unique opportunity for specifically tailoring care to the
individual pathological phenotype.
PMID- 27869887
TI - [ANMCO Position paper: Hospital discharge planning].
AB - Hospital discharge is often poorly standardized and is characterized by
discontinuity and fragmentation of care, putting patients at high risk of post
discharge adverse events and early readmission. The present ANMCO position paper
reviews the modifiable components of the hospital discharge process related to
adverse events or rehospitalizations and suggests the optimal methods for
redesign the whole discharge process. The key principles for proper hospital
discharge or transfer of care acknowledge that hospital discharge:- is not an
isolated event, but a process that has to be planned immediately after admission,
ensuring that the patient and the caregiver understand and contribute to the
planned decisions as equal partners;- is facilitated by a comprehensive systemic
approach that begins with a multidimensional evaluation process;- must be
organized by an operator who is responsible for the coordination of all phases of
the hospital patient pathway, involving afterwards the physician and transferring
to them the information and responsibility;- is the result of an integrated
multidisciplinary team approach;- uses appropriately the transitional and
intermediate care services;- is carried out in an organized system of care and
continuum of services;- programs the passage of information to after-discharge
services.
PMID- 27869889
TI - [ANMCO/SICP/SIGO Consensus document: Pregnancy and congenital heart disease].
AB - The success of cardiac surgery over the past 50 years has increased numbers and
median age of survivors with congenital heart disease (CHD). Adults now represent
two-thirds of patients with CHD; in the United States alone the number is
estimated to exceed 1 million.In this population many affected women reach
reproductive age and wish to have children. While in many CHD patients pregnancy
can be accomplished successfully, some special situations with complex anatomy,
iatrogenic or residual pathology are associated with an increased risk of severe
maternal and fetal complications. Pre-conception counseling allows women to come
to truly informed choices. Risk stratification tools can also help high-risk
women to eventually renounce to pregnancy and to adopt safe contraception
options. Once pregnant, women identified as intermediate or high-risk should
receive multidisciplinary care involving a cardiologist, an obstetrician and an
anesthesiologist with specific expertise in managing this peculiar medical
challenge.This document is intended to provide cardiologists working in hospitals
where an Obstetrics and Gynecology Department is available with a streamlined and
practical tool, useful for them to select the best management strategies to deal
with a woman affected by CHD who desires to plan pregnancy or is already
pregnant.
PMID- 27869890
TI - [ANMCO/SIC/SICI-GISE/SICCH Consensus document: Risk stratification in elderly
patients undergoing cardiac surgery and transcatheter aortic valve implantation].
AB - Aortic stenosis is one the most frequent valvular diseases in developed
countries, and its impact on public healthcare resources and assistance is
increasing. A substantial proportion of elderly patients with severe aortic
stenosis is frequently not eligible for surgery because of advanced age, frailty
and multiple comorbidities. Transcatheter aortic valve implantation (TAVI)
enables the treatment of very elderly patients at high or prohibitive surgical
risk considered ineligible for surgery and with an acceptable life expectancy.
However, a significant proportion of patients die or do not achieve an
improvement of quality of life in the short to medium-term follow-up. It is
important to determine: 1) whether and how much patient frailty influences the
procedural risk; 2) whether quality of life and the individual patient survival
are influenced by aortic valve disease alone or by other associated factors; 3)
whether a geriatric specialist intervention to evaluate and correct other
diseases with their potential or already evident disabilities can improve the
results of TAVI, in particular patient quality of life. Consequently, in addition
to risk stratification with conventional tools, a number of factors including
multimorbidity, disability, frailty and cognitive function should be considered
in order to assess the expected benefit of TAVI. Preoperative optimization
through a multidisciplinary approach with a Heart Team can counteract the
multiple damage (cardiac, neurological, muscular, respiratory, renal) that can
potentially worsen the reduced physiological reserves characteristic of frailty.
The systematic implementation into clinical practice of multidimensional
assessment instruments of frailty and cognitive function for screening and
exercise, and the adoption of specific care pathways should facilitate this task.
PMID- 27869891
TI - [ANMCO Position paper: Direct new oral anticoagulants for the prevention of
thromboembolic complications in patients with atrial fibrillation: clinical
scenarios and future perspectives].
AB - It is now 4 years since the introduction of the new direct oral anticoagulants
into clinical practice. Therefore, the Italian Association of Hospital
Cardiologists (ANMCO) has deemed necessary to update the previous position paper
on the prevention of thromboembolic complications in patients with non-valvular
atrial fibrillation, which was published in 2013. All available scientific
evidence has been reviewed, focusing on data derived from both clinical trials
and observational registries. In addition, all issues relevant to the practical
clinical management of oral anticoagulation with the new direct inhibitors have
been considered. Specific clinical pathways for optimal use of oral
anticoagulation with the new directly acting agents are also developed and
proposed for clinical implementation. Special attention is finally paid to the
development of clinical algorithms for medium and long-term follow-up of patients
treated with new oral direct anticoagulants.
PMID- 27869895
TI - [The LEADLESS II and Micra studies].
PMID- 27869893
TI - [ANMCO Position paper: Recommendations for the follow-up of patients with
pulmonary thromboembolism].
AB - Venous thromboembolism (VTE), including deep venous thrombosis and pulmonary
embolism, is the third most common cause of cardiovascular death. The management
of the acute phase of VTE is well described in several papers and guidelines,
whereas the management of the follow-up of the patients affected from VTE is less
defined. This position paper of the Italian Association of Hospital Cardiologists
(ANMCO) tries to fill the gap using currently available evidence and the opinion
of the experts to suggest the most useful way to manage patients in the chronic
phase.The clinical and laboratory tests acquired during the acute phase of the
disease drives the decision of the following period. Acquired or congenital
thrombophilic factors may be identified to explain an apparently not provoked
VTE. In some patients, a not yet clinically evident cancer could be the trigger
of VTE and this could lead to a different strategy. The main target of the post
acute management is to prevent relapse of the disease and to identify those
patients who could worsen or develop chronic thromboembolic pulmonary
hypertension. The knowledge of the etiopathogenetic ground is important to
address the therapeutic approach, choosing the best antithrombotic strategy and
deciding how long therapy should last. During the follow-up period, prognostic
stratification should be updated on the basis of new evidences eventually
acquired.Treatment of VTE is mainly based on oral or parenteral anticoagulation.
Oral direct inhibitors of coagulation represent an interesting new therapy for
the acute and extended period of treatment.
PMID- 27869892
TI - [ANMCO position paper: Use of new oral anticoagulants for the treatment and
prevention of pulmonary thromboembolism].
AB - The new oral anticoagulants (NOACs) have radically changed the approach to the
treatment and prevention of thromboembolic pulmonary embolism. The authors of
this position paper face, in succession, issues concerning NOACs, including 1)
their mechanism of action, pharmacodynamics and pharmacokinetics; 2) the use in
the acute phase with the "double drug single dose" approach or with "single drug
double dose"; 3) the use in the extended phase with demonstrated efficacy and
with low incidence of bleeding events; 4) the encouraging use of NOACs in
particular subgroups of patients such as those with cancer, the ones under- or
overweight, with renal insufficiency (creatinine clearance >30 ml/min), the
elderly (>75 years); 5) they propose a possible laboratory clinical pathway for
follow-up; 6) carry out an examination on the main drug interactions, their
potential bleeding risk, and the way to deal with some bleeding complications.
The authors conclude that the use of NOACs both in the acute phase and in the
extended phase is equally effective to conventional therapy and associated with
fewer major bleeding events, which make their use in patients at higher risk of
recurrences safer.
PMID- 27869896
TI - [The position statement from the Taskforce on Takotsubo Syndrome of the European
Society of Cardiology: new insights and the Italian contribution].
PMID- 27869897
TI - [The five most important novelties in the 2015 ESC guidelines for the management
of acute coronary syndromes in patients presenting without persistent ST-segment
elevation].
PMID- 27869898
TI - [Takotsubo cardiomyopathy: current evidence from observational studies and
pathophysiological background].
AB - Takotsubo cardiomyopathy is an acute reversible form of cardiac failure, firstly
described in 1991, with a prognosis not favorable as previously thought. Although
high levels of catecholamines have been suggested as the leading mechanism for
the onset, the pathophysiology remains unclear. However, several
pathophysiological explanations have been proposed, all not mutually exclusive. A
complex chain of events is present, including the activation of the hypothalamic
pituitary-adrenal axis, the surge in circulating catecholamines and subsequent
cardiovascular responses. This review addresses the diagnostic classification,
short and mid-term prognosis, precipitating factors, classification into primary
and secondary forms, and possible drug therapies for stress cardiomyopathy.
Clinical and experimental studies are needed to further improve diagnosis and
targeted therapies.
PMID- 27869899
TI - [Patients with non-ST-elevation acute coronary syndrome managed without coronary
revascularization: an undertreated population].
AB - Non-ST-elevation acute coronary syndromes (NSTE-ACS) represent one of the most
common clinical presentations of ischemic heart disease. Patients with NSTE-ACS
are a heterogeneous population, with different clinical features and prognosis. A
significant proportion of this population is medically managed, without any
revascularization. In the Italian EYESHOT and French FAST-MI registries, patients
managed with a conservative strategy were 40% and 35%, respectively. NSTE-ACS
patients not undergoing coronary revascularization are at higher risk of adverse
cardiovascular events and have a worse prognosis, including short- and long-term
mortality, compared with those receiving revascularization. Patients with NSTE
ACS medically managed consist of three different subgroups: those not undergoing
coronary angiography, those receiving coronary angiography and without
significant coronary artery disease, and those with significant coronary artery
disease at angiography but not receiving revascularization. Patients presenting
with NSTE-ACS for whom a conservative strategy without coronary angiography is
planned should be selected very carefully and coronary angiography should not be
denied because of the lack of on-site cath-lab facilities. In addition, advanced
age alone, in the absence of severe comorbidities or frailty, should not be
considered as a reason for denying coronary angiography and, in general, optimal
treatment. Given that evidence-based data are lacking, a careful balance between
benefits and risks is needed in the decision to perform or not coronary
angiography and/or revascularization in patients with important comorbidities, or
frailty, or advanced age. In this decisional process, it should be also taken
into consideration the role of coronary anatomy in risk stratification and
treatment guidance.NSTE-ACS patients managed without revascularization less
frequently receive guideline-recommended pharmacological treatment. Dual
antiplatelet therapy is recommended for 12 months also in medically managed
patients, after careful balance of ischemic and bleeding risk. Indeed, in this
group of patients it is mandatory to optimize pharmacological treatment,
including antiplatelet therapy, in order to improve clinical outcome. In NSTE-ACS
not undergoing revascularization, the proportion of patients discharged with dual
antiplatelet therapy should be increased in comparison to current clinical
practice, and the use of ticagrelor instead of clopidogrel should be considered
in selected patients.
PMID- 27869900
TI - [Transient left ventricular apical ballooning with dynamic outflow tract
obstruction complicated by persistent hypotension].
AB - The pathogenesis of tako-tsubo syndrome remains, nowadays, unclear: it is likely
that the relevance of the different proposed mechanisms differs among specific
clinical contexts. In this paper, we describe the case of a 61-year-old woman
admitted to the intensive cardiac care unit for pre-syncope and persistent
hypotension. Clinical and echocardiographic data were suggestive of tako-tsubo
syndrome with severe dynamic left ventricular outflow tract (LVOT) obstruction
and severe mitral regurgitation. Coronary angiography was normal. Inotropic
agents were not administered, because absolutely contraindicated in the presence
of LVOT obstruction: indeed, they may worsen the dynamic gradient with further
hemodynamic compromise. The patient was, therefore, initially treated with
intravenous fluid infusion and, later on, with beta-blockers; the patient had a
regular clinical recovery, with progressive disappearance of ECG and
echocardiographic abnormalities. This case confirms that an early
echocardiographic diagnosis of LVOT obstruction is essential for therapeutic
decision-making, especially in the setting of tako-tsubo syndrome presenting with
compromised hemodynamic status.
PMID- 27869901
TI - [2015 ESC Guidelines for the management of acute coronary syndromes in patients
presenting without persistent ST-segment elevation. Task Force for the Management
of Acute Coronary Syndromes in Patients Presenting without Persistent ST-Segment
Elevation of the European Society of Cardiology (ESC)].
PMID- 27869902
TI - [On the unpredictability of suicide].
AB - Suicide has become one of the main reasons of professional liability proceedings
for psychiatrists. It is a widespread belief that suicide is a systematic
expression of mental illness and that, as for many diseases is preventable by
appropriate means. There is a lack of research data that can enable the
identification of clinically useful variables to identify suicide risk, even in
people who have already made a suicide attempt. Unfortunately, these convictions
have led and lead to judgments of professional responsibility for psychiatrists
that are not based on scientific data, but on social needs related to feelings of
frustration and helplessness that develop after a suicide and by the urge to
compensate in some way the family of suicide victim. Suicide is too complex a
phenomenon to be reported to a causality beyond any reasonable certainty as
instead required by the criminal law, neither can be faced with a progressive
patients loss of freedom of our patients.
PMID- 27869903
TI - Approach to the patient hospitalized during the Muslim Ramadan: bioethical and
clinical considerations.
AB - Multi-ethnicity has been persuading the healthcare professionals to increasingly
learn new tools in terms of cultural and socialskills, in order to cope with a
diversified variety of patients. The coexistence of different cultures in Italy
can be found in the migration flows over the last few decades. The cohabitations
between individuals with different ethnicity in our territory has led the health
professionals to address some anthropological, moral, religious and political
issues implied in populations and cultures different from ours. Clinical
literature has made us aware of the importance of correct communication between
doctor and patient in order to determine the diagnostic-therapeutic plan,
especially in the patients with a foreign origin due to the linguistic and
intercultural differences implicated. In an emergency condition, it may occur to
cure the patients neglecting their cultural identity and, in so doing, to create
misunderstandings that, in turn, can lead to a lack of human relationship and
frustrate the therapeutic project. An example is the treatment of Muslim people.
The authors, through the description of a case observed during Ramadan, analyze
the variables applying to the therapeutic decision making process.
PMID- 27869904
TI - [Neural basis of social cognition in bipolar disorder].
AB - AIM: This article review studies social and interpersonal functioning in patients
with bipolar disorder (BD), and reports on the neurobiological underpinnings of
the dysfunctions in emotion recognition, i.e. one of the main domains of social
cognition. METHODS: A bibliographical research of controlled studies from 1967 to
2015 was completed in PubMed and PsycINFO using the keywords: "fMRI", "emotion
comprehension", "emotion perception", "affect comprehension", "affect
perception", "facial expression", "prosody", "theory of mind", "mentalizing",
"attributional style", "social perception", "empathy" and "bipolar disorder" or
"unipolar depression". RESULTS: Limbic hyperactivity, with a lack of appropriate
cortical control, has been reported in subjects with BD during social
interactions. This is particularly evident during the acute affective episodes
but may persist during the euthymic phases. DISCUSSION: Deficits in emotion
regulation, including neural systems implicated both in voluntary and automatic
emotion regulatory subprocesses, are present in DB, particularly for what
pertains to social interactions and interpersonal functioning. CONCLUSIONS:
Patients with bipolar disorder may present a dysfunction in the cortical ability
to modulate the limbic system, which may show hyperactivity during social
interactions. More studies are needed, including studies to evaluate treatment
implications.
PMID- 27869905
TI - Orthorexia nervosa in a sample of Italian university population.
AB - AIM: To investigate frequency and characteristics of orthorexic behaviours in a
large university population. METHODS: A total of 2826 individuals volunteered to
complete an on-line anonymous form of ORTO-15 questionnaire, a self-administered
questionnaire designed and validated to evaluate orthorexic symptomatology. As
made in previous studies, an ORTO-15 total score lower than 35 has beenused as an
optimal threshold to detect a tendency to orthorexia nervosa. A specifically
designed form was also used to collect socio-demographic variables. RESULTS:
Overall, 2130 students and 696 university employees belonging to University of
Pisa (Italy) were assessed. Orthorexic features had a frequency of 32.7%. Females
showed a significantly higher rate of over-threshold scores on ORTO-15, a lower
BMI, a higher rate of underweight condition and of vegan/vegetarian nutrition
style than males. DISCUSSION: Orthorexia nervosa defined as a "fixation on
healthy food", is not formally present in DSM-5. The emergence of this condition
as a new, possible prodromal of a psychological syndrome, has been recently
emphasized by an increasing number of scientific articles. From our sample of
university population emerged that being vegetarian or vegan, under-weight,
female, student and being interested in the present study were significantly
predictive of orthorexic tendency. CONCLUSIONS: Our data contribute to define the
new conceptualization of orthorexia nervosa. Further studies are warranted in
order to explore the diagnostic boundaries of this syndrome, its course and
outcome, and possible clinical implications.
PMID- 27869906
TI - [Emotional Intelligence Index: a tool for the routine assessment of mental health
promotion programs in schools].
AB - AIM: After critical examination of several aspects relating to the evaluation of
some dimensions of emotional intelligence through self-assessment tools, is
described the procedure of construction and validation of an Index for its
measurement, conceived only for the routine assessment of health promotion
programs mental in schools that include among their objectives the improvement of
emotional intelligence specifically "outcome-oriented". METHODS: On the basis of
the two most common international tools, are listed 27 items plus 6 of control,
illustrated two Focus Group (FG) of students (face validity). The scale obtained
by FG was administered to 300 students, and the results were submitted to
factorial analysis (construct validity). It was also evaluated the internal
consistency with Cronbach's Alpha and studied concurrent validity with the
emotional quotient inventory, a scale of perceived self-efficacy and a stress
test rating. RESULTS: From the analysis of FG all the original items were
modified, deleted 4, and reduced the encoding system from 6 to 4 levels of Likert
scale. Of the 23 items included in the analysis have emerged five factors (intra
psychic dimension, interpersonal, impulsivity, adaptive coping, sense of self
efficacy) for a total of 15 items. Very satisfactory were the results of the
validation process of internal consistency (0.72) and the concurrent validity.
CONCLUSIONS: The results are positive. It is obtained in fact the shortest
routine assessment tool currently available in Italy which constitutes a real
Index, for which compilation are required on average 3 minutes. Is emphasized the
characteristic of an Index, and not of questionnaire or interview for clinical
use, highlighting the only specific use for mental health promotion programs in
schools.
PMID- 27869907
TI - Validation of the Gambling Disorder Screening Questionnaire, a self-administered
diagnostic questionnaire for gambling disorder based on the DSM-5 criteria.
AB - AIM: The DSM-5 has modified the diagnostic criteria for gambling disorder,
compared to the fourth edition of the manual; new diagnostic instruments are
therefore needed. This study evaluated the psychometric characteristics of the
Gambling Disorder Screening Questionnaire (GDSQ), a self-report questionnaire
based on the DSM-IV and DSM-5 criteria for Gambling Disorder, measuring its
validity, internal consistency, and submitting the questionnaire to a principal
components analysis. METHODS: 71 patients from a gambling disorder outpatient
clinic and 70 controls were evaluated with the GDSQ, the South Oaks Gambling
Screen (SOGS), and a psychiatric interview. RESULTS: The test showed a good
sensibility, specificity, internal consistency, concurrent validity with the
SOGS. The exclusion of the "illegal acts" item, and the lowering of the cut-off
score to four positive items, as suggested by the DSM-5 criteria, improved the
test sensibility and internalconsistency. DISCUSSION AND CONCLUSIONS: The GDSQ
can be considered a useful screening test for Gambling Disorder. Furthermore,
this study confirms the improved diagnostic accuracy of the criteria listed in
the fifth edition of the Diagnostic and Statistical Manual of Mental Disorders,
compared to the previous edition.
PMID- 27869908
TI - ?
PMID- 27869909
TI - Altered levels of circulating cytokines and microRNAs in lean and obese
individuals with prediabetes and type 2 diabetes.
AB - Today obesity and type 2 diabetes (T2D) have both reached epidemic proportions.
However, our current understanding of the primary mechanisms leading to these
diseases is still limited due to the complex multifactorial nature of the
underlying phenomena. We hypothesize that the levels of specific cytokines and
miRNAs vary across the diabetes spectrum and unique signatures associated with
them may serve as early biomarkers of the disease and provide insights into
respective pathogenetic mechanisms. In this study, we measured the circulating
levels of cytokines and microRNAs (miRNAs) in lean and obese humans with
prediabetes (n = 21), T2D (n = 17), and healthy controls (n = 20) (ORIGINS trial,
NCT02226640). Data were analyzed by fitting linear models adjusted for
confounding variables (BMI, age, and gender in the diabetes context and age,
gender, and diabetes status in the obesity context) and implementing
nonparametric randomization-based tests for statistical inference. Group
differences and correlations (r > 0.3) between variables with P < 0.05 were
considered significant. False discovery rates (FDR) correcting for multiple
testing were calculated using the Benjamini-Hochberg correction. We found a
number of circulating cytokines and miRNAs deregulated in subjects with obesity,
prediabetes, and T2D. Specifically, cytokines IL-6, IL-8, IL-10, IL-12, and
SFRP4, as well as miRNAs miR-21, miR-24.1, miR-27a, miR-28-3p, miR-29b, miR-30d,
miR-34a, miR-93, miR-126, miR-146a, miR-148, miR-150, miR-155, and miR-223,
significantly changed across the diabetes spectrum, and were associated with
measures of pancreatic islet beta cell function and glycemic control, among
others. Notably, SFRP4 was the only studied cytokine that was significantly
associated with obesity, prediabetes, and T2D, which underscores the important
role of this molecule during disease development and progression. Our data
suggest that changes in circulating miRNAs and cytokines may have clinical
utility as biomarkers of prediabetes.
PMID- 27869910
TI - The methanol-ethyl acetate partitioned fraction from Chinese olive fruits
inhibits cancer cell proliferation and tumor growth by promoting apoptosis
through the suppression of the NF-kappaB signaling pathway.
AB - Chinese olives (Canarium album L.) have historically been used for medicinal
purposes rather than commercially for oil. In this report, we reveal that the
methanol-ethyl acetate partitioned fraction from Chinese olive fruits (MEO), of
which ellagic acid accounted for 12%, exhibited profound anti-proliferative
activities in the human colon cancer cell line, HCT116. Additionally, oral
administration of MEO remarkably inhibited the tumor growth of subcutaneously
implanted CT26 cells, a mouse colon carcinoma cell line, in BALB/c mice.
Treatment with MEO induced a significant increase in the percentage of apoptotic
cells and resulted in poly(ADP-ribose) polymerase (PARP) cleavage, suggesting
that MEO inhibits cancer cell proliferation by promoting apoptosis. Our study
also showed that MEO exerted the most potent effect on the inhibition of NF
kappaB-mediated signaling among the partitioned fractions from Chinese olives.
This process employed the use of reporter-based bio-platforms that are capable of
detecting the activation of NF-kappaB. In addition, phosphorylation of NF-kappaB
signaling-associated proteins, IKKalpha/beta, IkappaBalpha, and p65, was reduced
in MEO-incubated cancer cells, indicating that MEO suppresses NF-kappaB
activation. Moreover, MEO treatment significantly suppressed lipopolysaccharide
(LPS)-induced cancer cell proliferation, demonstrating that MEO promotes cancer
cell apoptosis through the inhibition of the NF-kappaB signaling pathway. In
summary, our findings demonstrate that the methanol-ethyl acetate partitioned
fraction from Chinese olive fruits inhibits cancer cell proliferation and tumor
growth by promoting apoptosis through the suppression of NF-kappaB signaling.
Therefore, the Chinese olive fruit has promising potential in cancer treatment.
PMID- 27869911
TI - Controlled production of sub-millimeter liquid core hydrogel capsules for
parallelized 3D cell culture.
AB - Liquid core capsules having a hydrogel membrane are becoming a versatile tool for
three-dimensional culture of micro-organisms and mammalian cells. Making sub
millimeter capsules at a high rate, via the breakup of a compound jet in air,
opens the way to high-throughput screening applications. However, control of the
capsule size monodispersity, especially required for quantitative bioassays, was
still lacking. Here, we report how the understanding of the underlying
hydrodynamic instabilities that occur during the process can lead to calibrated
core-shell bioreactors. The requirements are: i) damping the shear layer
instability that develops inside the injector arising from the co-annular flow
configuration of liquid phases having contrasting viscoelastic properties; ii)
controlling the capillary instability of the compound jet by superposing a
harmonic perturbation onto the shell flow; iii) avoiding coalescence of drops
during jet fragmentation as well as during drop flight towards the gelling bath;
iv) ensuring proper engulfment of the compound drops into the gelling bath for
building a closed hydrogel shell. We end up with the creation of numerous
identical compartments in which cells are able to form multicellular aggregates,
namely spheroids. In addition, we implement an intermediate composite hydrogel
layer, composed of alginate and collagen, allowing cell adhesion and thus the
formation of epithelia or monolayers of cells.
PMID- 27869912
TI - Correction: In solution SERS sensing using mesoporous silica-coated gold
nanorods.
AB - Correction for 'In solution SERS sensing using mesoporous silica-coated gold
nanorods' by Zhe Gao, et al., Analyst, 2016, 141, 5088-5095.
PMID- 27869913
TI - ?
PMID- 27869915
TI - Changes in the paradigm of clinical and therapeutic management of Chagas'
disease: progress and perspectives in the pursuit of comprehensive health.
PMID- 27869916
TI - The invisible magnitude of violence against women.
PMID- 27869917
TI - [Method for projecting indicators for the goals of the Strategic Action Plan for
Tackling Chronic Non-Communicable Diseases in Brazil according to Capitals and
the Federal District].
AB - OBJECTIVE: to present the indicators' projection method of the Strategic Action
Plan for Tackling Chronic Non-Communicable Diseases (NCDs) in Brazilian State
capitals and the Federal District, 2012-2022. METHODS: simple linear regression
model was used to calculate the indicators' projections with data from the
Surveillance System of Risk and Protective Factors for Chronic Diseases by
Telephone Survey (Vigitel). RESULTS: in most of the capitals, there was an
increase in the prevalence of obesity (annual change: 0.36%;1.29%), overweight
(annual change: 1.11%;2.00%), recommended (annual change: 1.45%;2.66%) and
regular (annual change: 0.45%;1.46%) consumption of fruits and vegetables;
smoking presented a decreasing trend (annual change: -1.34%;-0.20%); whereas
physical inactivity, heavy drinking and mammography and Pap smears examinations
were stable. CONCLUSION: most of the goals are possible; however, effective
actions are necessary, especially for tackling overweight and heavy drinking.
PMID- 27869914
TI - [Brazilian Consensus on Chagas Disease, 2015].
AB - Chagas disease is a neglected chronic condition that presents high morbidity and
mortality burden, with considerable psychological, social, and economic impact.
The disease represents a significant public health issue in Brazil, with
different regional patterns. This document presents the evidence that resulted in
the Brazilian Consensus on Chagas Disease. The objective was to review and
standardize strategies for diagnosis, treatment, prevention, and control of
Chagas disease in the country, based on the available scientific evidence. The
consensus is based on collaboration and contribution of renowned Brazilian
experts with vast knowledge and experience on various aspects of the disease. It
is the result of close collaboration between the Brazilian Society of Tropical
Medicine and the Ministry of Health. This document shall strengthen the
development of integrated control measures against Chagas disease in the country,
focusing on epidemiology, management, comprehensive care (including families and
communities), communication, information, education, and research.
PMID- 27869918
TI - Spatial and temporal analysis of Aids cases in Brazil, 1996-2011: increased risk
areas over time.
AB - OBJECTIVE: to identify areas with greater risk of AIDS transmission in Brazil.
METHODS: this is an ecological study involving georeference of AIDS cases
incidence, prevalence and density in Brazilian municipalities using the Kernel
method for the periods 1996-1999, 2000-2003, 2004-2007 and 2008-2011. RESULTS:
633,512 AIDS cases were reported between 1996-2011; between 2008-2011, there was
increased risk of AIDS transmission in Recife-Joao Pessoa region, the emergence
of areas with average density in the regions of Belem, Sao Luis, Maceio, Aracaju
and Salvador, and a decline in the intensity of risk in Sao Paulo, Campinas and
Ribeirao Preto; prevalence rates were most concentrated in the Southeast, South
and Midwest regions of the country. CONCLUSION: overall, AIDS incidence in Brazil
showed successive increases in the periods analyzed; case prevalence indicates
spatial clusters, with high concentrations in the Southeast, South and Midwest
regions.
PMID- 27869919
TI - Aids mortality trends according to sociodemographic characteristics in Rio Grande
do Sul State and Porto Alegre City, Brazil: 2000-2011.
AB - OBJECTIVE: to analyze AIDS mortality trends in Rio Grande do Sul State (RS) and
Porto Alegre City (POA) according to sociodemographic characteristics in the
period 2000-2011. METHODS: this was an ecological time series study of AIDS
mortality rates; Prais-Winsten regression model was used. RESULTS: the
standardized mortality AIDS rate showed a stationary trend in RS (1.3%; 95%CI:
0..;6.7) and in POA (-0.3%; 95%CI: -5.1;3.9); there was an increasing trend in
the following categories: women in RS (4.1%; 95%CI: 3.0; 5.3) and in POA (2.7%;
95%CI: 1.8; 3.5), people with brown skin color in RS (4.5%; 95%CI: 1.9; 7.2) and
in POA (4.6%; 95%CI: 1.5; 7.9), the 40-49 age group in RS (4.0%; 95%CI: 1.3;
6.7), the 50-59 age group in RS (5.8%; 95%CI: 1.9; 9.9) and in POA (6.0%; 95%CI:
2.1; 9.9), and the >60 age group in RS (4.0%; 95%CI: 1.1; 6.9). CONCLUSION: AIDS
mortality increased among women, individuals with brown skin color and older age
groups in RS and POA.
PMID- 27869920
TI - [Description of duplicate records held on the Notifiable Diseases Information
System, Brazil, 2008-2009].
AB - OBJECTIVE: to describe the proportion of duplicate records held on the Brazilian
Notifiable Diseases Information System, 2008-2009. METHODS: identification of
duplicate records of dengue, leprosy, visceral leishmaniasis and cutaneous
leishmaniasis, meningitis and tuberculosis was conducted using Reclink III(r);
the proportion of duplicate records was calculated by geographical region, state
and municipal population size categories. RESULTS: visceral leishmaniasis (VL)
and meningitis had higher proportions of duplicate notification (5.3% and 3.6%,
respectively) whilst leprosy had the lowest (0.7%); the states with highest
repetitions were Rio Grande do Norte (VL 6.8% and leprosy 5.1%), Rio de Janeiro
(tuberculosis 2.5% and meningitis 4.9%) and Goias (dengue 2.0% and meningitis
7.2%). CONCLUSION: the Northeast region had the highest proportion of duplicate
records for four of the six diseases analyzed; with the exception of dengue,
percentage repetition was lower in municipalities with larger population size.
PMID- 27869921
TI - 2014 FIFA World Cup Brazil: active surveillance and profile of health care in the
host city of Fortaleza, Ceara, Brazil.
AB - OBJECTIVE: to describe the real-time active surveillance strategy and the profile
of health care provided during the 20th FIFA World Cup Brazil 2014 (FWCB), in the
host city of Fortaleza, Ceara, Brazil. METHODS: this was a descriptive study with
data collected from medical records during the FWCB, between June 8 and July 13,
2014, in pre-selected health care units. RESULTS: there were 2,570 medical
assistances related to the FWCB; 56% of the patients were male, 35% were between
20 and 29 years old; 68% were clinical care, and 64% of the individuals were
fans/spectators; 94% of the patients were discharged, 3% were referred, 3% were
hospitalized and 0.2% died; the advanced medical units were responsible for 79%
of the assistances, mainly on game days. CONCLUSION: no public health event of
great importance was identified; the active surveillance strategy enabled the
monitoring of health situation of those individuals involved in the event.
PMID- 27869922
TI - [Healthcare profile of rabies pre-exposure prophylaxis in the state of Rio Grande
do Sul, Brazil, 2007-2014].
AB - OBJECTIVE: to describe the profile of healthcare provision regarding rabies pre
exposure prophylaxis (RPrEP) in the state of Rio Grande do Sul, Brazil, as
compared with the Technical Standards for Rabies Prophylaxis in Humans. METHODS:
this was a descriptive study using Notifiable Disease Information System data on
anti-rabies healthcare provided between 2007 and 2014. RESULTS: only 2.4% of anti
rabies healthcare related to RPrEP (5,721/239,245), 42.5% of these were
veterinary, biology and zootechnics students and 10.3% were professionals from
the same areas; individuals aged 20 to 64 accounted for 71.8% of the total number
of people vaccinated and the frequency of this form of prophylaxis was
53.5/100,000 inhabitants for the state as a whole, varying between 13.1 to
185.1/100,000 inhabitants in the state's different health districts. CONCLUSION:
RPrEP frequency was found to be low, suggesting that this rabies prevention tool
has been neglected, leaving a large number of people at occupational risk.
PMID- 27869923
TI - Cross-sectional study on case fatality rate due to hantavirus infection in Goias
State, Brazil, 2007-2013.
AB - OBJECTIVE: to describe the epidemiological and clinical profile of hantavirus
infection and to analyze factors associated with case-fatality in Goias, Brazil.
METHODS: this was a cross-sectional study on case fatality due to hantavirus
infection from 2007 to 2013 using data from the Notifiable Diseases Information
System (SINAN) for Goias State. Logistic regression analysis was performed to
estimate odds ratios (OR) with 95% confidence intervals (95%CI). RESULTS: 1,171
suspected cases were reported, of which 73 (6.2%) were confirmed. Among the
confirmed cases, greater frequency was found among males (n=50), fever was the
most common symptom (n=70), and there was a high proportion of hospitalization
(n=68). The case-fatality rate was 57.5% . The following factors were associated
with death: acute respiratory failure (ARF) (OR=3.6; 95%CI 1.2;10.6),
hemoconcentration (OR=3.3; 95%CI 1.1;7.9) and not using a mechanical ventilator
(OR=3.4; 95%CI 1.3;9.1). After adjustment, the ARF was still associated with
death (OR=3.4; 95%CI 1.0;11.6). CONCLUSION: the case-fatality rate was high,
primarily associated with respiratory failure.
PMID- 27869924
TI - Yellow fever outbreaks in Sao Paulo State, Brazil, 2000-2010.
AB - OBJECTIVE: to describe yellow fever occurrence in the state of Sao Paulo, Brazil,
in the period 2000-2010. METHODS: this was a descriptive study using data
regarding human cases, epizootics in non-human primates and virus vector
isolation in Sao Paulo State, between 2000 and 2010. RESULTS: three sylvatic
yellow fever outbreaks were registered in the state with 32 human cases and 15
deaths; the cases occurred in unvaccinated individuals exposed to the sylvatic
form of transmission; epizootics was confirmed before the virus began circulating
in humans in the region of Sao Jose do Rio Preto and the virus was isolated twice
in vectors. CONCLUSION: three sylvatic yellow fever outbreaks occurred in the
state between 2000 and 2010, two of them in a transition area and the other in an
area considered to be unaffected; vaccination and maintaining immunization
coverage are necessary for preventing the disease.
PMID- 27869925
TI - Time trends in mortality from intestinal infectious diseases among children under
five years old, in Sao Paulo State, Brazil, 2000-2012.
AB - OBJECTIVE: to analyze time trends in mortality owing to intestinal infectious
diseases (IID) among children under five years of age in Sao Paulo State and its
Regional Health Care Networks (RRAS), from 2000 to 2012. METHODS: this was a time
series study of deaths having IID as their underlying, antecedent or contributory
cause, using Mortality Information System data. RESULTS: there were 2,886 deaths
from IID in the state; the IID mortality rate went down by 10.5% per year (95%CI
4.8;15.8%); there was a significant decrease in the mortality rate in 13 of the
17 RRAS, with annual decrease in the range 16.6% - 8.3%. CONCLUSION: The IID
mortality rate went down significantly in most RRAS, at different speeds,
possibly reflecting inequalities in socio-economic conditions and health care
network organization.
PMID- 27869926
TI - [Delay in tuberculosis diagnosis in adults in a city of Sao Paulo State, Brazil,
in 2009: a cross-sectional study].
AB - OBJECTIVE: to evaluate patient and health service characteristics associated with
tuberculosis (TB) diagnosis delay. METHODS: this was a cross-sectional study
conducted in Sao Jose do Rio Preto-SP in 2009; the 'entry point' and 'access to
diagnosis' dimensions of the Primary Care Assessment Tool (PCAT) were selected to
analyze patient and HS delay. RESULTS: 99 TB patients were included in the study;
the median time related to patient and HS delay was 15 days; delay in seeking
services was lower among smokers (PR= 0.71; 95%CI 0.54;0.94) and alcohol users
(PR=0.75; 95%CI 0.57;0.99); TB diagnosis delay was related to not being able to
get a medical appointment on the same day (PR=1.63; 95%CI 1.22;2.18), TB not
being suspected (PR=2.07; 95%CI 1.18;3.62) and seeking care in health services
four times or more (PR=2.34; 95%CI 1.55;3.53). CONCLUSION: delay in seeking care
was lower among smokers and alcohol users; shortcomings in access to diagnosis
characterized health services delay.
PMID- 27869927
TI - [Evaluation of the organization of health services as a strategy for the
prevention and control of visceral leishmaniasis].
AB - OBJECTIVE: to evaluate the organization of health services as a strategy for the
prevention and control of visceral leishmaniasis (VL) in Ribeirao das Neves,
Minas Gerais, Brazil, from 2010 to 2012. METHODS: this was a case study
evaluation of the degree of implementation of a strategy for the integration of
health care services, control of zoonosis and epidemiological surveillance; it
consisted of observing the work process, interviewing health professionals and
analysing secondary data from information systems. RESULTS: implementation was
partially adequate (84%); in terms of structure, the human resources component
had the worst evaluation (64%) whilst in terms of work process, evaluation was
80% for reorganization of care and 77% for surveillance; in the period 2010-2012
there was a 20% increase in reported cases of VL and a 20% reduction in the time
interval between reporting a case and starting treatment. CONCLUSION: the
strategy contributed to the improvement of the organization of VL prevention and
control actions.
PMID- 27869928
TI - [Characterization of printed educational materials about schistosomiasis used in
health education in endemic areas in Brazil].
AB - OBJECTIVE: to characterize printed educational materials about schistosomiasis
produced at federal, state and municipal levels in Brazil. METHODS: the
educational materials were characterized considering the following categories:
'format', 'parasite and intermediate host', 'definitive host (ill)' and
'disease'. RESULTS: 60 materials were assessed, three had no information about
risk activities and 41 indicated more than one popular name for the disease, thus
allowing greater reach among the target audience in diverse endemic areas; the
biological cycle was missing or incorrect in 53 materials; the intermediate host
(snail) was incorrectly illustrated, with use of stereotyped images in 39 and no
image in one material; diagnosis was mentioned in 36 materials. CONCLUSION: the
printed educational materials assessed had incorrect content which may compromise
health education efforts; little attention was paid to schistosomiasis diagnosis.
PMID- 27869929
TI - [Municipal Monitoring Panel: Bases for building a health service management
tool].
AB - OBJECTIVE: To describe and critically analyze the steps taken and the technical
references used to build the Sao Paulo City Health Department Monitoring Panel,
highlighting its potentials and limits for the decision-making process. METHODS:
This was a qualitative study based on analysis of official documents and semi
structured interviews with key informants. The data was submitted to thematic
analysis aimed at understanding the technical choices and paths taken to build
the Panel. RESULTS: The Panel provides numerical and graphical reports applying
four statistical analysis models, thus facilitating access to information and
data analysis by different management levels. CONCLUSIONS: The Panel provides
analysis options for different management levels, thus strengthening
decentralized decisions. It is a streamlined and appropriate monitoring strategy
as well as being able to be used in different management contexts and territory
sizes.
PMID- 27869930
TI - [Assessment of Service to verify the cause of death implementation in Pernambuco
State, Brazil, 2012: multiple case study].
AB - OBJECTIVE: to evaluate the implementation of Death Verification Services in
Pernambuco State, Brazil, in 2012. METHODS: this was an implementation analysis
evaluation study; we constructed a logical framework and judgement matrix were
prepared; primary data (direct observation and interviews) and secondary data
were used; assessment of the level of Death Verification Services implementation
was based on outcome-related structure and process indicators which were compared
with the logical framework. RESULTS: the Recife and Caruaru Death Verification
Services were considered to have achieved Advanced Partial Implementation,
consistent with the outcome indicators measured, reaching 89.0% and 82.0% of the
expected values, respectively; the Information Collection and
Nosological/Aetiological Diagnosis components were considered to Fully
Implemented at the Recife and Caruaru Death Verification Services; whilst the
Education and Research component was only considered to be Fully Implemented at
the Recife Death Verification Services. CONCLUSION: level of implementation was
similar at both services; the higher level of the Education and Research
component at the Recife Death Verification Services reveals the importance of
technical and academic cooperation.
PMID- 27869931
TI - [Descriptive study of the profiles of victims of firearm projectile injuries and
healthcare costs in a hospital in the Viva Sentinela Network].
AB - OBJECTIVE: to describe the profile of victims with injuries caused by firearm
projectiles and the cost of treatment at a referral hospital in Midwestern Brazil
belonging to the Viva Sentinela Network. METHODS: a descriptive study with
convenience samplie was conducted from January to March 2013; data sources were
interviews, patients' medical records, and the hospital statistics department.
RESULTS: the 150 victims who participated were predominantly male (94.7%), young
(67.3%), and drug/alcohol users (80.0%); the main reason of these incidents was
drug trafficking/drug debt (45.3%); average health care costs were R$1,291.93 per
case. CONCLUSION: the majority of victims were young male users of alcohol/drugs,
and involvement with trafficking was the most frequent reason for victimization;
average health care costs for these patients were high.
PMID- 27869933
TI - No magic bullet: citizenship and social participation in the control of Aedes
aegypti.
PMID- 27869932
TI - Severe maternal morbidity identified in the Hospital Information System of the
Brazilian National Health System in Parana State, Brazil, 2010.
AB - OBJECTIVE: to describe near miss maternal morbidity among women living in Parana
State, Brazil, in 2010. METHODS: this was a descriptive study using Brazilian
National Hospital Information System (SIH/SUS) data on all hospital admissions
with primary diagnosis falling under Chapter XV of the International Statistical
Classification of Diseases and Related Health Problems 10th Revision and/or with
records of obstetric procedures indicative of near misses; three criteria were
used to define severe maternal morbidity. RESULTS: 4,890 admissions owing to near
miss were identified, with a rate of 52.9 hospitalizations per 1,000 births, a
rate of 69.8/1,000 among women aged 35-39 and a rate of 356.6/1,000 among women
aged 44-49; the leading causes of hospitalization were preeclampsia (28.2%),
haemorrhage (23.7%) and immune system dysfunction (14.0%). CONCLUSION: the
results indicate the need to pay greater attention to women aged 35 and over
since they had higher rates of near miss.
PMID- 27869934
TI - Breastfeeding and risk of dental caries.
PMID- 27869936
TI - [Adherence to medication among hypertensive patients participating in the
Medicine at Home Program].
AB - OBJECTIVE: to analyze adherence to medication and associated among factors
hypertensive individuals taking part in the Medicine at Home Programme. METHODS:
this was a cross-sectional study using a questionnaire administered to patients
with full access to medication participating in the Sao Paulo City Health
Department's Medicine at Home Programme; treatment adherence was analyzed
according to sociodemographic and behavioral characteristics using Poisson
regression. RESULTS: 106 patients with arterial hypertension and with full access
to medication were interviewed and 80.2% had high adherence; there were no
significant differences (p>0.05) between adhering and non-adhering participants
with regard to sociodemographic, behavioral or clinical characteristics.
CONCLUSION: with adequate provision of health care and full access to medicine,
sociodemographic, behavioral and clinical factors did not influence adherence to
medicine indicating that health service organization and health policies play a
fundamental role in controlling hypertension.
PMID- 27869935
TI - Method for estimating infant mortality and low birth weight indicators for
Brazilian municipalities, 2012.
AB - OBJECTIVE: to present a method for estimating low birth weight (LBW) prevalence
and infant mortality rate (IMR) indicators for Brazilian municipalities, so as to
incorporate considerations with regard to sampling fluctuation. METHODS: binomial
and Poisson distributions were used to estimate 95% confidence intervals (95%CI);
when the number of infant deaths was zero, the upper limit of the 95%CI was
estimated by the cross-multiplication method; indicators were estimated for the
year 2012 for demonstration purposes. RESULTS: a slight increase in LBW and a
decrease in IMR were detected as municipality population size increased; LBW
estimates were more accurate than those for IMR; single-year estimates showed
large width 95%CI in small municipalities and low reliability. CONCLUSION: an
electronic spreadsheet was developed which will allow service managers to
estimate the precision of these indicators for their municipalities.
PMID- 27869937
TI - Factors associated to toxoplasmosis-related knowledge among pregnant women
attending public health services in the municipality of Niteroi, Rio de Janeiro,
Brazil, 2013-2015.
AB - OBJECTIVE: to analyze the factors associated to toxoplasmosis-related knowledge
among pregnant women attending public health services in the municipality of
Niteroi, Rio de Janeiro, Brazil. METHODS: this is a cross-sectional study
conducted with pregnant women assisted in eight health care units; data was
collected using a standardized questionnaire, from April 2013 to February 2015.
RESULTS: among the 405 pregnant women interviewed, 173 (42.7%) knew about
toxoplasmosis and, of those, 24.3% knew about it through friends; the proportion
of pregnant women with toxoplasmosis-related knowledge increased with age
(p<0.001), education level (p<0.001) and the number of pregnancies (p=0.031); the
history of abortion was also associated with toxoplasmosis-related knowledge
(p=0.019). CONCLUSION: the variables 'age', 'education level', 'number of
pregnancies' and 'abortion history' were important factors for toxoplasmosis
related knowledge among pregnant women assisted in the public health care sector
of Niteroi.
PMID- 27869939
TI - [ERRATA].
AB - [This corrects the article doi: 10.5123/S1679-49742014000400021] [This corrects
the article doi: 10.5123/S1679-49742016000100009] [This corrects the article doi:
10.5123/S1679-49742016000200015].
PMID- 27869938
TI - Outcomes in health economic evaluation studies.
PMID- 27869940
TI - ?
PMID- 27869941
TI - Monitoring respiratory virus infection in the metropolitan area of Belo
Horizonte, Brazil, 2011-2013.
AB - OBJECTIVE: to analyze the circulation of respiratory viruses in people living in
the metropolitan area of Belo Horizonte, Brazil, and hospitalized in Belo
Horizonte from 2011 to 2013. METHODS: this is a descriptive study of 5,158
patients with Severe Acute Respiratory Syndrome; a comparison was made between
the characteristics of confirmed cases and those of discarded cases or cases
without swab samples. RESULTS: Influenza A virus accounted for half the isolated
viruses, especially subtype A(H1N1)pdm09 among patients aged 20-59 years old, and
subtype A(H3N2) in those aged 60 or over; the most frequently identified
respiratory virus among children under five years old was respiratory syncytial
virus (65.6%), followed by influenza A virus (21.2%); influenza virus circulated
in all seasons of the year and its periods of greatest incidence were
interspersed with those of higher Respiratory Syncytial Virus activity.
CONCLUSION: monitoring respiratory viruses contributes to knowledge about periods
of virus circulation and the adoption of specific control measures.
PMID- 27869942
TI - [Prevalence and worm burden of Schistosomiasis mansoni before and after
collective treatment in Jaboatao dos Guararapes, Pernambuco, Brazil].
AB - OBJECTIVE: to evaluate the effectiveness of collective treatment for
Schistosomiasis mansoni in two endemic localities in Jaboatao dos Guararapes-PE.
METHODS: we described the prevalence of Schistosomiasis mansoni and the average
parasite load before and after collective treatment in the period 2011-2013; data
from the Schistosomiasis mansoni Information System and completion of sample
surveys reports were used. RESULTS: there is a reduction in 8.9% positivity rate
to 2.3% in Barra de Jangada and from 15.7% to 3.5% in Novo Horizonte; there was a
decrease of the parasitic load average in Novo Horizonte (67.0 to 52.7 eggs/gram
of feces) and increase in Barra de Jangada (23.8 to 91.7 eggs/gram of feces).
CONCLUSION: the collective treatment contributed to reducing the rate of
positivity in endemic areas.
PMID- 27869943
TI - Use of generic drugs in Sao Paulo city, Brazil, in 2003: a population-based
study.
AB - OBJECTIVE: to analyze the use and perception of generic drugs by people with
diabetes and hypertension in Sao Paulo City, Brazil, considering the Brazilian
Generic Drug Policy. METHODS: this was a cross-sectional study using data from a
household health survey (ISA-Capital) in 2003; analysis was performed on
knowledge regarding generic drugs and on the association between their use and
sociodemographic and socio-economic characteristics. RESULTS: 603 people with
hypertension and diabetes were included in the study, low use of generic drugs
was found (33.3% and 26.3, respectively) and low cost was the major reported
advantage of generic drugs (71.0% and 71.1%, respectively); there was no
statistically significant difference between the use of generic medication and
age, sex or schooling. CONCLUSION: low cost and there being no difference between
generic drug use and education level strengthen the importance of generic drugs
for promoting equity and universal access to medication.
PMID- 27869944
TI - Evaluation of drug prescription quality indicators in a primary health care
facility with different models of health care.
AB - OBJECTIVE: to describe drug prescription indicators in a primary health care
facility with different models of health care. METHODS: this was a descriptive
study using secondary data of prescriptions with regard to quality indicators in
a health facility that has three health care models: Outpatient Medical Care
(OMC), Primary Health Care Unit (PHU) and Family Health Strategy (FHS) in Vila
Nova Jaguare OMC/PHU in Sao Paulo-SP, Brazil, from July to October 2011. RESULTS:
16,720 prescriptions were studied; the proportion of drugs provided through the
Municipal List of Essential Drugs (Remume) was higher for FHS prescriptions
(98.9%), compared to PHU (95.6%) and OMC (95.7%); similarly, both the use of the
generic name of the drugs and the proportion of drugs provided was higher among
ESF prescriptions (98.9% and 96.1%, respectively), compared with PHU (94.4 % and
92.9%) and OMC (94.0% and 92.7 %). CONCLUSION: all the prescription indicators
show better results for FHS.
PMID- 27869946
TI - Skin-to-skin contact at birth: a challenge for promoting breastfeeding in a "Baby
Friendly" public maternity hospital in Northeast Brazil.
AB - OBJECTIVE: to identify prevalence of compliance with the fourth step of the Baby
Friendly Hospital Initiative - to put the babies in skin-to-skin contact with
their mothers immediately after birth for at least half an hour - in a public
hospital in Northeast Brazil. METHODS: this was a cross-sectional study using
data from interviews with mothers who had recently given birth during a typical
week in 2014. RESULTS: 107 mothers were interviewed; 9.3% had completed the
fourth step properly; the fourth step was negatively associated to cesarean
section (p<0.01), and adequacy was not associated with receiving guidance on
breastfeeding during the prenatal period or with breastfeeding in the first hour
of life. CONCLUSION: low compliance with the fourth step is cause for concern,
especially because this is a Baby-Friendly Hospital; cesarean section was
detrimental to infant skin-to-skin contact with their mothers immediately after
birth.
PMID- 27869945
TI - [Assessment of the adequacy of prenatal care according to family income in
Aracaju, Sergipe State, Brazil, 2011].
AB - OBJECTIVE: to assess the adequacy of prenatal care offered to pregnant health
service users in Aracaju, Sergipe State, Brazil, according to family income.
METHODS: this was a cross-sectional study with 322 women living in the city of
Aracaju whose children were born in November and December 2011; data were
collected using questionnaires, including number of consultations, technical and
laboratory procedures recommended by the Brazilian Ministry of Health (MoH);
adequacy of prenatal care was assessed according to MoH criteria; chi-square test
was used to compare proportions between categorical variables. RESULTS: prenatal
care was considered inadequate for 89.1% of women, with no statistical difference
for inadequacy of prenatal care according to family income (p=0.323). CONCLUSION:
low adequacy of prenatal care was found when applying MoH parameters, regardless
of pregnant women's family income.
PMID- 27869947
TI - [Adolescent health risk behaviors and Family Health Strategy educational
activities in Cuiaba, Mato Grosso State, Brazil, 2011].
AB - OBJECTIVE: to analyze health risk behaviors in adolescents and Family Health
Strategy (FHS) educational activities in Cuiaba, Mato Grosso State, Brazil.
METHODS: a cross-sectional study was conducted using data from a survey with
adolescents registered with FHS in 2011/2012; Poisson regression was used to
estimate prevalence ratios (PR) and 95% confidence interval (CI95%). RESULTS:
there was low participation in educational activities on alcohol (23%), food
(10%) and physical activity (9%); insufficient physical activity was higher in
girls (PR=0.76 - 95%CI 0.68;0.85), alcohol consumption was associated with the 15
19 age range (PR=1.48 - 95%CI 1.29;1.71) and low fruit and vegetable intake was
associated with income of <=2 minimum wages (PR=1.17 - 95%CI 1.02;1.33).
CONCLUSION: there was no significant association between adolescent risk
behaviors and their participation in FHS educational activities.
PMID- 27869948
TI - [Risk factors for cardiovascular disease in prison institution staff: a cross
sectional study].
AB - OBJECTIVE: to analyze risk factors for cardiovascular disease in prison
institution staff. METHODS: this was a cross-sectional study with a sample of 127
staff of both sexes; prevalence ratios (PR) were estimated adjusted for age and
education, as were the respective 95% confidence intervals (95%CI). RESULTS:
higher proportions of waist circumference ratios were found above the recommended
values (58.1%) and the accumulation of two risk factors for females (33.8%);
among males there was higher prevalence of abnormal glucose levels (PR=10.73 -
95%CI 1.31;87.92) and blood pressure (PR=2.63 - 95%CI 1.31;6.50). CONCLUSION: the
risk factors for cardiovascular disease found among staff in this study were
waist circumference, glucose levels and blood pressure above the recommended
values; these health risks can to be addressed through health promotion and
disease prevention measures, as well as effective attention to those who are
already sick.
PMID- 27869949
TI - [Cervical cancer mortality in the state of Rio Grande do Norte, Brazil, 1996
2010: time trends and projections up to 2030].
AB - OBJECTIVE: to analyze cervical cancer mortality trends in the state of Rio Grande
do Norte and its health micro-regions from 1996 to 2010, as well as to make
projections for five-year periods from 2011 to 2030. METHODS: this was an
ecological time series study; negative binomial regression was used to analyze
trends and projections. RESULTS: rates above 5.0 deaths per 100,000 women were
observed in all the micro-regions, with a stationary trend in the state as a
whole and an upward trend in the micro-regions with the worst socioeconomic
conditions; projections indicated reduction in mortality rates in the state, from
5.95/100,000 women (2006-2010) to 3.67 (2026-2030), although a 22% increase in
the absolute number of deaths is expected. CONCLUSION: although a reduction in
mortality rates is projected, they continue to be high, indicating the need for
review and strengthening of the state's cervical cancer control program.
PMID- 27869950
TI - [Time-trend analysis of homicide and traffic accident mortality in Foz do Iguacu,
Brazil, 2000-2010].
AB - OBJECTIVE: to perform time-trend analysis of homicide and land transport accident
(LTA) mortality in Foz do Iguacu city, Brazil, 2000-2010. METHODS: this was a
time series study, using Mortality Information System data on people resident in
the city; death rates by sex were calculated and standardized by age; Prais
Winsten regression was used. RESULTS: 3,459 deaths were recorded in the period
(881 owing to LTA and 2,578 owing to homicides); the highest homicide mortality
rate was 104.6 per 100,000 inhabitants in 2006, whilst for LTA it was 35.9 per
100,000 inhabitants in 2010; LTA and homicide mortality rates showed stability;
higher mortality rates were found in the 20-39 age group. CONCLUSION: the
maintenance high homicide and LTA mortality rates point to the need to pay
greater attention to these problems.
PMID- 27869951
TI - [Epidemiological characteristics of violence against women in the Federal
District, Brazil, 2009-2012].
AB - OBJECTIVE: to describe the epidemiological characteristics of cases of violence
against women reported in the Federal District, Brazil, 2009-2012. METHODS: this
was a descriptive study of cases of violence against women aged 18- 59 registered
on the National Notifiable Diseases System (Sinan). RESULTS: 1,924 cases of
violence against women were registered, the perpetrators of which were identified
as unknown (25.7%) or spouses (19.0%) of the victims; violence mainly occurred
against women of brown skin color (25.0%) and in the domestic environment
(38.5%); regarding violence type, physical violence (46.8%) by force (48.0%)
stood out, whereby the genitals (15.7%) and the head (12.9%) were the most
affected regions. CONCLUSION: physical violence in domestic environments by
unknown aggressors was the main type of violence among the reported cases;
shortcomings were identified in recording reported cases, showing the need to
improve system quality and train health workers involved.
PMID- 27869953
TI - Profile of work-related road traffic accident victims recorded by sentinel health
units in Pernambuco, Brazil, 2012-2014.
AB - OBJECTIVE: to describe the profile of work-related road traffic accident (RTA)
victims, reported by Road Traffic Accident Information Sentinel Units in the
state of Pernambuco, Brazil, from 2012-2014. METHODS: descriptive study of fatal
and non-fatal work-related road traffic accident victims, reported by 21 RTA
Information Sentinel Units in Pernambuco. RESULTS: 87.8% of the 10,691 cases
reported occurred among males; 69.0% of all records were related to the 20-39 age
group; the sectors with most injured workers were Transport (24.4%) and Trade
(21.3%); most of the victims were drivers (82.0%) and motorcycles were the most
frequent vehicle at the time of the accident (77.0%). CONCLUSION: victims were
predominantly young male motorcyclists; findings may serve to inform
intersectoral actions to prevent work-related RTAs, appropriate to the profile of
the victims.
PMID- 27869952
TI - [Poison-related hospitalizations of children aged 0-14 at a teaching hospital in
Southern Brazil, 2006-2011].
AB - OBJECTIVE: to describe the profile of poisoning leading to children's
hospitalizations, as registered at the Maringa Regional University Hospital
Poisoning Control Center in the period 2006 to 2011. METHODS: this was a
descriptive epidemiological study using secondary data from Intoxication Incident
Report Forms, involving poisoned children aged between 0 and 14 years,
hospitalized for at least 12 hours. RESULTS: 694 hospitalizations due to
poisoning were registered, with medication poisoning accounting for 42.4% of
cases; the 1-4 age group was the most affected (66.7%); males accounted for a
higher number of hospital admissions in all age groups; most poisoning cases
occurred in the morning (55.6%). CONCLUSION: medication was the main cause of
poisoning; younger children and males were predominant among hospitalized
victims.
PMID- 27869954
TI - [Reporting of work-related mental disorders among workers in Bahia: a descriptive
study, 2007-2012].
AB - OBJECTIVE: to describe characteristics of work-related mental disorder (WRMD)
cases in the State of Bahia, Brazil, 2007-2012. METHODS: this was a descriptive
study using Bahia Surveillance and Health Protection Superintendents Office data
kept on the Notifiable Diseases Information System (Sinan). RESULTS: 211 cases of
WRMD were reported, 97.0% in the Worker's Health Reference Centers (CEREST), with
more reported cases in 2011 (n=63; 29.9%); slightly more than half the cases were
found among men (n=108; 51.2%), the majority aged 18-49 (n=167; 79.1%), in formal
jobs (n=179; 84.8%) and among service workers and sales persons (n=48; 22.7%);
the most reported disorder was post-traumatic stress (n=51; 24.2%). CONCLUSION:
almost all cases were reported in CEREST; despite underreporting, the
characterization of cases is relevant for informing the construction of a network
of mental health care in Occupational Health.
PMID- 27869955
TI - Progress with the Strategic Action Plan for Tackling Chronic Non-Communicable
Diseases in Brazil, 2011-2015.
AB - OBJECTIVE: to describe the actions undertaken by the Strategic Action Plan for
Tackling Chronic Non-Communicable Diseases (NCDs) in Brazil, from 2011 to 2015.
METHODS: the actions were identified through sectorial and interministerial
meetings, annual forums, as well as document reviews of publications and laws on
government websites. RESULTS: the actions found were: national surveys and
monitoring of mortality and risk factor reduction targets; encouragement of
physical activity, adequate nutrition and health promotion through the creation
of the Health Gym Program (Programa Academia da Saude); the publication of
legislation on tobacco-free environments; free of charge drugs for hypertension,
diabetes, and asthma; organization of the emergency service network for
cardiovascular diseases; and expanding access to diagnosis and treatment of
cancer patients. CONCLUSION: we found progress regarding surveillance, health
promotion and comprehensive care; in general, the goals of the Plan are being
met.
PMID- 27869956
TI - [Aedes aegypti control strategies: a review].
AB - OBJECTIVE: to describe the main strategies to control Aedes aegypti, with
emphasis on promising technological innovations for use in Brazil. METHODS: this
study is a non-systematic review of the literature. Results: several technologies
have been developed as alternatives in the control of Ae. aegypti, using
different mechanisms of action, such as selective monitoring of the infestation,
social interventions, dispersing insecticides, new biological control agents and
molecular techniques for population control of mosquitoes, also considering the
combination between them. Evolving technologies require evaluation of the
effectiveness, feasibility and costs of implementation strategies as
complementary to the actions already recommended by the National Program for
Dengue Control. CONCLUSION: the integration of different compatible and effective
vector control strategies, considering the available technologies and regional
characteristics, appears to be a viable method to try to reduce the infestation
of mosquitoes and the incidence of arbovirus transmitted by them.
PMID- 27869957
TI - Mental disorders record on the Brazilian primary health care information system,
2014.
AB - OBJECTIVE: to describe the occurrence of the recording of mental disorders (MD)
in primary health care (PHC) services in Brazilian municipalities and to analyze
associated factors. METHODS: an ecological study was conducted using PHC
Information System secondary data for the year 2014; the magnitude of the
occurrence of MD recording was assessed through indicators developed for this
purpose; bivariate analysis was used. RESULTS: 15,216 MD cases were recorded in
42 (0.8%) municipalities, corresponding to 16.9 MD cases/100,000 inhabitants for
Brazil, with significant variations between the country's macro-regions; MD
recording in PHC was most frequent in the Southeast and Southern macro-regions
(p=0.001), in state capital cities (p<0.001), in municipalities with more than
200,000 inhabitants (p<0.001), those with PHC coverage greater than 75% (p=0.005)
and those with Psychosocial Care Centers (p=0.001). CONCLUSION: recording of MD
in PHC is incipient, unequally distributed and possibly dependent on local
initiatives.
PMID- 27869958
TI - [Regional healthcare management support instrument for monitoring health
indicators].
AB - OBJECTIVE: to report the development of a computerized tool for regional
healthcare management to monitor health indicators. METHODS: by means of a
development survey conducted in 2013, the 'Indicators for Regional Healthcare
Management' spreadsheet was built in order to evaluate 40 indicators spread over
seven categories. RESULTS: the tool provides the population age pyramid,
demographics denominators, a list of the five leading causes of death, and
reasons for hospital admissions, while the graphs allow the indicators to be
compared between municipalities within Healthcare Regions; the indicators can be
updated annually, depending on the availability of results on the website of the
National Health System Information Technology Department (Datasus). CONCLUSION:
the tool contains information about the method for calculating indicators and
accessing sources of information. It has the potential to be used by other
Healthcare Coordination bodies, and can be used to qualify the continuing
education of planners and managers, besides assisting with Healthcare Region
planning.
PMID- 27869959
TI - [Zika, dengue and chikungunya: challenges and issues].
PMID- 27869960
TI - Post-2015 agenda strategies for tuberculosis control in Brazil: challenges and
opportunities.
PMID- 27869961
TI - [Tools to enhance the quality and transparency of health research reports:
reporting guidelines].
AB - Scientific writing is the cornestone for publishing the results of research.
Reporting guidelines are important tools for all those involved in the process of
research production and report writing. These guidelines detail what is expected
to be found in each section of a report for a given study design. The EQUATOR
Network (Enhancing the QUAlity and Transparency Of health Research) is an
international initiative that seeks to improve the reliability and the value of
health research literature by promoting transparent and accurate reporting and
wider use of robust reporting guidelines. The use of reporting guidelines has
contributed to improved reports as well as increased quality of research methods.
Reporting guidelines need to be publicized in order to increase knowledge about
these essential tools among health researchers. Encouraging their use by journals
is key to enhancing the quality of scientific publications.
PMID- 27869962
TI - [Identifying, measuring and valuing health costs].
PMID- 27869963
TI - [Errata].
AB - [This corrects the article doi: 10.5123/S1679-49742014000100018].
PMID- 27869964
TI - Evidences from epidemiological surveillance to the advancement of knowledge
regarding Zika virus epidemics.
PMID- 27869965
TI - [New century, new challenges: changes in the burden of disease profile in Brazil,
1990-2010].
AB - OBJECTIVE: to describe the burden of disease in Brazil from 1990 to 2010.
METHODS: analysis of the estimates of the Global Burden of Disease Study 2010 -
Years of Life Lost due to premature mortality (YLL), Years Lost due to Disability
(YLD), Disability-Adjusted Life Year (DALY=YLL+YLD) and risk factors. RESULTS:
there was a decrease in deaths due to diarrhea and an increase in deaths due to
diabetes and kidney disease, whilst deaths due to homicides and traffic accidents
remained stable; cardiovascular diseases continued to be the leading cause of
death despite a 30% reduction; the largest increases in DALY were due to diabetes
and musculoskeletal diseases in women and alcohol abuse and low back pain in men;
the main risk factors were poor diet and high blood pressure; smoking, domestic
pollution and insufficient breastfeeding were found to have reduced. CONCLUSION:
the rapid epidemiological transition highlights the need to control infectious
diseases and invest in reducing violence and non-communicable diseases.
PMID- 27869966
TI - Dengue incidence trend in Brazil, 2002-2012.
AB - OBJECTIVE: to analyze dengue incidence trend in Brazil from 2002 to 2012.
METHODS: this was an ecological study with data of the Information System for
Notifiable Diseases (Sinan); the incidence rate was calculated by age groups,
states and macroregions, through Prais-Winsten regression. RESULTS: dengue
incidence rates in Brazil, in 2002 and 2012, were of 401.6 and 301.5 per 100,000
inhabitants, respectively; annual increment rates were stable (21.4%; 95%CI
19.8;83.7) in most of the states, except for Alagoas (38.9%; 95%CI 5.1;83.5) and
Tocantins (50.4%; 95%CI 12.6;100.7); the North Region was the only region to
present increase trend in the incidence of dengue. CONCLUSION: although rates
have remained stable in most of the states, they are still high in Brazil;
broader public policies focusing on new dengue control strategies are necessary.
PMID- 27869967
TI - Incidence of dengue cases (2007-2013) and seasonal distribution of mosquitoes
(Diptera: Culicidae) (2012-2013) in Barreiras, Bahia, Brazil.
AB - OBJECTIVE: to describe seasonal mosquito distribution and the incidence of dengue
cases in Barreiras, Bahia, Brazil. METHODS: this is a descriptive study using
primary data on mosquito distribution in ovitraps from April 2012 to March 2013,
as well as secondary data from the Notifiable Diseases Information System,
Epidemiological Surveillance and the Zoonosis Control Center about dengue cases
and Aedes aegypti infestation rates from January 2007 to March 2013. RESULTS:
16,512 mosquito specimens were collected, 62.0% were Culex quinquefasciatus (most
frequent in the dry season) and 38.0% were Aedes aegypti (most frequent in the
rainy season); 8,373 dengue cases were recorded, with highest incidence per
100,000 inhabitants in 2009 (n=704.5), 2011 (n=429.3) and 2013 (n=247.2), between
January and June. CONCLUSION: Culex quinquefasciatus and Aedes aegypti occurred
in all months; dengue incidence was higher in the rainy season and in alternating
years.
PMID- 27869968
TI - Classification of transmission risk of vaccine-preventable diseases based on
vaccination indicators in Brazilian municipalities.
AB - OBJECTIVE: to describe the transmission risk classification of vaccine
preventable diseases in Brazilian municipalities. METHODS: this was a descriptive
epidemiologic study using 2014 data of the Brazilian National Immunization
Program Information System; the vaccine coverage indicators were used to classify
the transmission risk of vaccine-preventable diseases in the municipalities.
RESULTS: of the 5,570 Brazilian municipalities, 12.0% were classified as very low
risk, 29.6% as low risk, 2.2% as medium risk, 54.3% as high risk and 1.8% as very
high risk. CONCLUSION: the vaccination coverage surveillance allowed to identify
most of the municipalities in high risk situation and the minority of children
living in municipalities with appropriate coverage; the vaccination coverage
surveillance using indicators of the Brazilian National Health System (SUS) is a
new tool for identifying priority areas where the actions can be more successful
for health managers and improve the quality and the success of the immunizations
program.
PMID- 27869969
TI - Influenza vaccination among elderly in Pelotas-RS, Brazil, 2014: a population
based study.
AB - OBJECTIVE: to describe the prevalence of individuals vaccinated against influenza
and associated factors with vaccination among elderly; identify reasons for
noncompliance and adverse events. METHODS: this was a cross-sectional study,
conducted in the urban area of Pelotas-RS, Brazil, in 2014. RESULTS: out of 1,451
elderly, 71% got vaccinated; the highest prevalences were observed in the elderly
with better economic status (PR=1.2 - 95%CI 1.1;1.4), those who were not employed
(PR=1.2 - 95%CI 1.1;1.3), those who were physically active (PR=1.1 - 95%CI
1.0;1.2), former smokers (PR=1.3 - 95%CI 1.1;1.5), those who were assisted by a
health professional the previous year (PR=1.2 - 95%CI 1.1;1.4) and those who
reported two or more health problems (PR=1.2 - 95%CI 1.1;1.4); the main reason
for noncompliance (n=414) was 'did not want/do not like' (45%); the most
frequently reported adverse events were malaise (49/83) and muscle ache (30/83).
CONCLUSION: vaccination coverage was not universal; educational approaches are
needed to clarify controversy on the efficacy, adverse events and benefits of
vaccination.
PMID- 27869971
TI - Time trend of lack of access to health services in Brazil, 1998-2013.
AB - OBJECTIVE: to analyze the time trend on the demand and lack of access to public
health services in Brazil. METHODS: this is a panel of cross-sectional studies
with data of the National Household Sample Survey (1998, 2003, 2008) and the
National Health Survey (2013); the prevalence of demand and lack of access within
the fifteen days prior to the survey was estimated; Poisson regression was used
for trend analysis. RESULTS: the demand for health services increased from 13.0%
(95%CI 12.3;13.7), in 1998, to 15.0% (95%CI 14.5;15.4), in 2013; the lack of
access remained stable, being of 3.7% (95%CI 3.2;4.2) in 1998 and 4.5% (95%CI
4.0;5.0) in 2013. CONCLUSIONS: the increase in demand for health services and the
stability in the lack of access indicate improvements in the Brazilian public
health system performance; additional efforts are required to ensure universal
access to public health services in Brazil.
PMID- 27869970
TI - [Municipalities Stratification for Health Performance Evaluation].
AB - OBJECTIVE: to propose and present a stratification of Brazilian municipalities
into homogeneous groups for evaluation studies of health management performance.
METHODS: this was a methodological study, with selected indicators which classify
municipalities according to conditions that influence the health management and
population size; data for the year 2010 were collected from demographic and
health databases; correlation tests and factor analysis were used. RESULTS: seven
strata were identified - Large-sized; Medium-sized with favorable, regular or
unfavorable influences; and Small-sized with favorable, regular or unfavorable
influences -; there was a concentration of municipalities with favorable
influences in strata with better purchasing power and funding, as well as a
concentration of municipalities with unfavorable influences in the North and
Northeast regions. CONCLUSION: the proposed classification grouped similar
municipalities regarding influential factors in health management, which allowed
the identification of comparable groups of municipalities, setting up a
consistent alternative to performance evaluation studies.
PMID- 27869972
TI - Effectiveness of psychosocial care in school continuity of adolescents in social
vulnerability.
AB - OBJECTIVE: to study the effectiveness of a psychosocial care program in school
continuity of adolescents in social vulnerability. METHODS: this was a
retrospective cohort study involving 200 adolescents assisted in a
multidisciplinary intervention program with harm reduction approach, from 2007 to
2012; the intervention effect was assessed using Poisson regression models.
RESULTS: 200 adolescents were included in the program; 116 of them continued and
84 dropped it; 74.5% were attending school after six month, with a higher
proportion among those who adhered to the intervention (RR=1.12 - 95%CI
1.01;1.27); and those who were studying when they joined the program (RR=8.52 -
95%CI 3.71;19.57). CONCLUSION: the evaluated program has a positive effect on
rehabilitation and school continuity of adolescents in social vulnerability, but
individual characteristics, such as being studying already, play a dominant role
in the adherence to the program.
PMID- 27869973
TI - [Characteristics of the notification of violence against children in the
municipality of Ribeirao Preto, Sao Paulo, Brazil].
AB - OBJECTIVE: to describe the cases of violence against children reported in
Ribeirao Preto-SP, Brazil. METHODS: this was a descriptive study using data
provided by the Violence and Accidents Surveillance System (VIVA), of the
Municipal Health Department of Ribeirao Preto-SP, Brazil, from 2006 to 2008.
RESULTS: a total of 498 cases of violence against children (0-9 years old) were
reported in the period, with an increase in the number of notifications, from 112
in 2006 to 197 in 2008; 79.3% of the notifications came from the Health area; and
most children were female (56.4%), aged between two and five years old (over
60%); most aggressors were male (53.6%) and the father figure stood out (22.7%);
physical aggressions were the most frequent (59.2%) and the most frequent place
of the occurrence was the family household (75.5%). CONCLUSION: most victims were
female, abused by men, mostly fathers and other family members, at the family
household.
PMID- 27869974
TI - [Evaluating productivity targets achievement in Dental Specialty Centers from
Maranhao State, Brazil, 2011].
AB - OBJECTIVE: to evaluate productivity targets achievement (PTA) in Dental Specialty
Centers (DSCs) from Maranhao State, Brazil. METHODS: this was an ecologic study
using secondary data; an indicator for PTA was created for each subgroup of
procedures. RESULTS: 25 DSCs were evaluated; the PTA was higher for basic
procedures (n=19), followed by endodontics (n=11), oral surgery (n=9) and
periodontics (n=8); the best results were obtained at DSCs type II; higher PTA
was associated with financial anticipation (basic procedures) and higher Human
Development Index, life expectancy and social exclusion rate (endodontics); lower
PTA was associated with larger population (endodontics/oral surgery), adherence
to the Pact for Health (periodontics/endodontics), larger number of specialties
(periodontics) and bigger DSC (oral surgery). CONCLUSION: most DSCs did not
achieve productivity targets, except for basic procedures; socioeconomic and
health management characteristics of the municipalities were associated with the
PTA; the DSCs characteristics explained little about the PTA.
PMID- 27869975
TI - [Oral health knowledge and practices among pregnant women using health services
in Sao Luis, Maranhao, Brazil, 2007-2008].
AB - OBJECTIVE: to describe characteristics of oral health care during prenatal check
ups and knowledge about oral health among pregnant women using public and private
health services in Sao Luis, Maranhao, Brazil. METHODS: this is a descriptive
study of 300 women interviewed in public health services and a further 300
interviewed in private health services between August 2007 and July 2008.
RESULTS: tooth brushing frequency was similar among users of public and private
services (p=0.156), while flossing (64.0% and 47.0%; p<0.001) and mouthwashing
(39.7% and 27.0%; p=0.001) was more frequent among private service users in
relation to public service users; most users of public services (60.3%) and
private services (65.7%) were unaware of the association between oral health and
pregnancy. CONCLUSION: frequency of tooth brushing was similar among pregnant
women in public and private services; the effects of pregnancy on oral health
were not well known.
PMID- 27869976
TI - [Characterization of the physical environment and practice of physical activities
in Primary Health Care Units in Guarapuava, Parana State, Brazil, 2011-2012].
AB - OBJECTIVE: to characterize the physical environment and physical activity (PA) in
Primary Health Care Units (PHU) in the urban area of Guarapuava-PR, Brazil, 2011
2012. METHODS: this is a descriptive study in which the coordinators of the
municipality's 27 PHUs were interviewed about violence, crime and physical space
and safety conditions for PAs inside and outside the PHUs; the streets
surrounding the area were visited to evaluate environmental factors categorized
as favorable or unfavorable to PA deployment and practice. RESULTS: the most
common places for PA were grass areas (n=18) and churches (n=24); three fifths of
PHUs presented factors favorable to PA deployment/practice; lack of sidewalks (14
PHUs) or uneven sidewalks (13 PHUs) surrounding the PHUs are conditions that
could jeopardize the practice of walking. CONCLUSION: despite the identification
of favorable factors for PA practice, at PHUs should be focused by health service
managers.
PMID- 27869977
TI - Methods and procedures used in Aedes aegypti control in the successful campaign
for yellow fever prophylaxis in Rio de Janeiro, Brazil, in 1928 and 1929.
AB - OBJECTIVE: to review the challenges and procedures used in Aedes aegypti control
and the characteristics of the epidemics in the successful campaign, led by
Clementino Fraga, for yellow fever control in Rio de Janeiro, Brazil, in 1928 and
1929, before the vaccine (1937) and DDT (1947). METHODS: a literature review was
conducted by searching official reports, scientific journals and historic
textbooks on this subject. RESULTS: the A. aegypti control was achieved through
the hard and organized work of breeding site police teams, priority in
eliminating breeding sites through environmental management measures and a
qualified training program for the teams. CONCLUSION: the reports demonstrate a
set of simple but laborious procedures that could effectively control mosquitoes
in urban environment through the work of the teams, who were committed to
environmental management measures, aiming at eliminating breeding sites and were
capable of handling social and environmental obstacles.
PMID- 27869978
TI - Why should Brazil give priority to depression treatment in health resource
allocation?
PMID- 27869980
TI - Analytical models in economic evaluation studies.
PMID- 27869979
TI - Assessing the completeness and agreement of variables of the Information Systems
on Live Births and on Mortality in Recife-PE, Brazil, 2010-2012.
AB - OBJECTIVE: to assess the information completeness and agreement on infant deaths.
METHODS: this was an evaluation study with descriptive design using data of the
Information System on Live Births (Sinasc) and Mortality Information System (SIM)
of residents in Recife-PE, Brazil, in 2010-2012; the deterministic records
linkage was used to combine the data on infant deaths and live births. RESULTS:
of the 837 infant deaths registered on SIM, 811 (96.9%) were linked; the
completeness obtained was above 95% on SIM and 98% on Sinasc; the agreement
varied from 0.762 (substantial) to 0.997 (excellent) for the intraclass
correlation coefficient, and it was excellent for Kappa index (>0.80).
CONCLUSION: Sinasc and SIM presented excellent completeness and agreement for
most of the variables analyzed. The relationship between the databases is a tool
that can be used by the health services of the municipalities to improve the
vital statistics information systems.
PMID- 27869982
TI - Characteristics of the first cases of microcephaly possibly related to Zika virus
reported in the Metropolitan Region of Recife, Pernambuco State, Brazil.
AB - OBJECTIVE: to describe the first cases of microcephaly possibly related to Zika
virus in live born babies reported in the Metropolitan Region of Recife,
Pernambuco State, Brazil. METHODS: this was a descriptive case series study
(cases reported between August 1st and October 31st 2015), using medical record
data and data from a questionnaire answered by the mothers of the babies.
RESULTS: 40 microcephaly cases were confirmed, distributed in eight
municipalities within the Metropolitan Region, with Recife itself having the
highest concentration of cases (n=12); median head circumference was 29 cm,
median chest girth was 31 cm and median weight was 2,628 grams; 21/25 cases had
brain calcification, ventriculomegaly or lissencephaly; 27 of the 40 mothers
reported rash during pregnancy, 20 in the first trimester and 7 in the second
trimester, as well as itching, headache, myalgia and absence of fever.
CONCLUSION: the majority of the cases bore the characteristics of congenital
infection; the clinical condition of the majority of mothers suggested Zika virus
infection during pregnancy.
PMID- 27869981
TI - Description of the first cases of Zika virus fever investigated in municipalities
of the Brazilian Northeastern Region, 2015.
AB - OBJECTIVE: to confirm Zika virus circulation and discard other etiological agents
in an outbreak occurred in the states of Rio Grande do Norte, Maranhao and
Paraiba, in May, 2015. METHODS: this is a case series descriptive study with
residents in Natal-RN, Barra do Corda-MA, Sao Luis-MA and Joao Pessoa-PB, with 20
cases in each state, presenting rash, absent or mild fever and one of the
following signs/symptoms: conjunctival hyperemia, arthralgia or limb edema; RT
PCR/isolation tests for Zika, enterovirus and respiratory viruses, and serology
tests (dengue, rubella and parvovirus B19) were performed. RESULTS: the main
symptoms were rash (n=60), pruritus (n=54), and arthralgia (n=47); 51 individuals
did not present fever; Zika virus was identified in 18 cases (12 in Paraiba, four
in Maranhao and two in Rio Grande do Norte), and antibodies to dengue, in 14
cases. CONCLUSION: the symptoms were consistent with Zika virus fever; there was
laboratory confirmation for Zika and dengue.
PMID- 27869983
TI - Microcephaly in Brazil: prevalence and characterization of cases from the
Information System on Live Births (Sinasc), 2000-2015.
AB - OBJECTIVE: to describe the prevalence coefficients and characterize cases of
microcephaly at birth in Brazil from 2000-2015. METHODS: this is a descriptive
study with data from the Information System on Live Births (Sinasc). The
coefficients were calculated by region and characteristics of mothers and live
births (LB). RESULTS: the annual average number of microcephaly cases was 164 for
the period 2000-2014, whilst in 2015, 1,608 cases were registered (54.6 cases per
100 thousand LB). Higher coefficients were observed among preterm babies (81.7;
95%CI 72.3;92.2), born from black-skinned (70.9; 95%CI 58.5;85.9) or to brown
skinned (71.5; 95%CI67.4;75.8) women, to women aged <=19 (70.3; 95%CI 63.5;77.8)
or >=40 (62.1; 95%CI 46.6;82.6), with <=3 years of study (73.4; 95%CI 58.2;92.4)
and residents in the Northeast region (138.7; 95%CI 130.9;147.0). CONCLUSION: the
high number of microcephaly cases in 2015 reinforces the importance of Sinasc and
the need to improve the surveillance of congenital anomalies.
PMID- 27869984
TI - Cesarean delivery rates and obstetric culture - an Italian register-based study.
AB - INTRODUCTION: Cesarean delivery rates are rising due to multiple factors,
including less use of operative vaginal delivery and vaginal birth after cesarean
delivery, which often reflect local obstetric practices. Objectives of the study
were to analyze the relations between cesarean delivery, these practices, and
perinatal outcomes. MATERIAL AND METHODS: We included all deliveries in the 72
hospitals of Lombardia, a region in northern Italy, during the year 2013. The
delivery certificate was used as data source. Pearson's correlation coefficient
and logistic regression were used for statistical analysis. RESULTS: We included
87 896 deliveries. The number of deliveries per hospital ranged from 140 to 6123.
The rate of cesarean delivery was 28.3% (range 9.9-86.4%), operative vaginal
delivery 4.7% (range 0.2-10.0%), and vaginal birth after cesarean 17.3% (range 0
79.2%). We found a significant inverse correlation between rates of overall
cesarean delivery and operative vaginal delivery (r = -0.25, p = 0.04). The
correlation between rate of overall cesarean delivery and vaginal birth after
cesarean was also inverse and significant (r = -0.57, p < 0.001). There was no
association between overall cesarean delivery rate and the rates of Apgar score
at 5 min <7 in term and late preterm neonates (r = -0.92, p = 0.46) and of
perinatal mortality (r = -0.19, p = 0.13), respectively. The associations were
independent of hospital volume of activity. CONCLUSIONS: An obstetric practice
that encourages vaginal instrumental delivery in delayed second stage of labor or
vaginal birth after previous cesarean delivery, could reduce the rising cesarean
delivery rate. This will require a change in obstetric culture, continuing
education of healthcare providers, and leadership.
PMID- 27869985
TI - Agreement and accuracy using the FIGO, ACOG and NICE cardiotocography
interpretation guidelines.
AB - INTRODUCTION: One of the limitations reported with cardiotocography is the modest
interobserver agreement observed in tracing interpretation. This study compared
agreement, reliability and accuracy of cardiotocography interpretation using the
International Federation of Gynecology and Obstetrics, American College of
Obstetrics and Gynecology and National Institute for Health and Care Excellence
guidelines. MATERIAL AND METHODS: A total of 151 tracings were evaluated by 27
clinicians from three centers where International Federation of Gynecology and
Obstetrics, American College of Obstetrics and Gynecology and National Institute
for Health and Care Excellence guidelines were routinely used. Interobserver
agreement was evaluated using the proportions of agreement and reliability with
the kappa statistic. The accuracy of tracings classified as
"pathological/category III" was assessed for prediction of newborn acidemia. For
all measures, 95% confidence interval were calculated. RESULTS: Cardiotocography
classifications were more distributed with International Federation of Gynecology
and Obstetrics (9, 52, 39%) and National Institute for Health and Care Excellence
(30, 33, 37%) than with American College of Obstetrics and Gynecology (13, 81,
6%). The category with the highest agreement was American College of Obstetrics
and Gynecology category II (proportions of agreement = 0.73, 95% confidence
interval 0.70-76), and the ones with the lowest agreement were American College
of Obstetrics and Gynecology categories I and III. Reliability was significantly
higher with International Federation of Gynecology and Obstetrics (kappa = 0.37,
95% confidence interval 0.31-0.43), and National Institute for Health and Care
Excellence (kappa = 0.33, 95% confidence interval 0.28-0.39) than with American
College of Obstetrics and Gynecology (kappa = 0.15, 95% confidence interval 0.10
0.21); however, all represent only slight/fair reliability. International
Federation of Gynecology and Obstetrics and National Institute for Health and
Care Excellence showed a trend towards higher sensitivities in prediction of
newborn acidemia (89 and 97%, respectively) than American College of Obstetrics
and Gynecology (32%), but the latter achieved a significantly higher specificity
(95%). CONCLUSIONS: With American College of Obstetrics and Gynecology guidelines
there is high agreement in category II, low reliability, low sensitivity and high
specificity in prediction of acidemia. With International Federation of
Gynecology and Obstetrics and National Institute for Health and Care Excellence
guidelines there is higher reliability, a trend towards higher sensitivity, and
lower specificity in prediction of acidemia.
PMID- 27869986
TI - Physical Exercise for Late-Life Depression: Customizing an Intervention for
Primary Care.
AB - OBJECTIVES: To identify which individual- and context-related factors influence
the translation into clinical practice of interventions based on physical
exercise (PE) as an adjunct to antidepressants (AD) for the treatment of late
life major depression (LLMD). DESIGN: Secondary analysis of a randomized
controlled trial. SETTING: Primary care with psychiatric consultation-liaison
programs (PCLPs)-organizational protocols that regulate the clinical management
of individuals with psychiatric disorders. PARTICIPANTS: Individuals aged 65 and
older with major depression according to the Diagnostic and Statistical Manual of
Mental Disorders, Fourth Edition (N = 121). INTERVENTION: Participants with LLMD
were randomized to AD (sertraline) or AD plus PE (AD + PE). MEASUREMENTS:
Participant characteristics that were associated with greater effectiveness of AD
+ PE (moderators) were identified, and effect sizes were calculated from success
rate differences. Whether the characteristics of the study setting influenced
participant flow and attendance at exercise sessions was then explored, and
primary care physicians (PCPs) were surveyed regarding their opinions on PE as a
treatment for LLMD. RESULTS: The following participant characteristics were
associated with greater likelihood of achieving remission from depression with AD
+ PE than with AD alone: aged 75 and older (effect size 0.32), polypharmacy
(0.35), greater aerobic capacity (0.48), displaying psychomotor slowing (0.49),
and less-severe anxiety (0.30). The longer the PCLP had been established at a
particular center, the more individuals were recruited at that center. After
participating in the study, PCPs expressed positive views on AD + PE as a
treatment for LLMD and were more likely to use this as a therapeutic strategy.
CONCLUSIONS: The combination of PE and sertraline could improve the management of
LLMD, especially when customized for individuals with specific clinical features.
Liaison programs might influence the implementation of similar interventions in
primary care, and PCPs viewed them positively.
PMID- 27869987
TI - The role of competition, ecotones, and temperature in the elevational
distribution of Himalayan birds.
AB - There is clear evidence that species' ranges along environmental gradients are
constrained by both biotic and abiotic factors, yet their relative importance in
structuring realized distributions remains uncertain. We surveyed breeding bird
communities while collecting in situ temperature and vegetation data along five
elevational transects in the Himalayas differing in temperature variability,
habitat zonation, and bird richness in order to disentangle temperature, habitat,
and congeneric competition as mechanisms structuring elevational ranges. Our
results from species' abundance models representing these three mechanisms
differed markedly from previous, foundational research in the tropics. Contrary
to general expectations, we found little evidence for competition as a major
determinant of range boundaries, with congeneric species limiting only 12% of
ranges. Instead, temperature and habitat were found to structure the majority of
species' distributions, limiting 48 and 40% of ranges, respectively. Our results
suggest that different mechanisms may structure species ranges in the temperate
Himalayas compared to tropical systems. Despite recent evidence suggesting
temperate species have broader thermal tolerances than tropical species, our
findings reinforce the notion that the abiotic environment has significant
control over the distributions of temperate species.
PMID- 27869988
TI - Corrigendum.
PMID- 27869989
TI - Association of Positive and Negative Syndrome Scale (PANSS) short forms with
global functioning and quality of life.
PMID- 27869990
TI - Association of Positive and Negative Syndrome Scale short forms with global
functioning and quality of life.
PMID- 27869991
TI - Reactive aggression in young patients with ADHD-a critical role for small
provocations.
PMID- 27869992
TI - Electroconvulsive therapy and cognition: a salutary reappraisal.
PMID- 27869995
TI - Special Issue on 'Microbe-host interactions'.
PMID- 27869994
TI - Neighborhood Disadvantage and Life-Space Mobility Are Associated with Incident
Falls in Community-Dwelling Older Adults.
AB - OBJECTIVES: To determine the relationship between neighborhood-level
socioeconomic characteristics, life-space mobility, and incident falls in
community-dwelling older adults. DESIGN: Prospective, observational cohort study
with a baseline in-home assessment and 6-month telephone follow-up. SETTING:
Central Alabama. PARTICIPANTS: Community-dwelling adults aged 65 and older
recruited from a random sample of Medicare beneficiaries (N = 1,000).
MEASUREMENTS: Neighborhood disadvantage was measured using a composite index
derived from baseline neighborhood-level residential census tract socioeconomic
variables. Data on individual-level socioeconomic characteristics, clinical
variables, and life-space collected at baseline were included as covariates in a
multivariate model using generalized estimating equations to assess the
association with incident falls in the 6 months after baseline. RESULTS: Of the
940 participants who completed baseline and follow-up assessments, 126 (13%)
reported one or more new falls in the 6 months after baseline. There was an
independent nonlinear association between neighborhood disadvantage (according to
increasing quartiles of disadvantage) and incident falls after adjusting for
confounders: The lowest quartile served as reference; 2nd quartile odds ratio
(OR) = 2.4, 95% confidence interval (CI) = 1.2-4.6; 3rd quartile OR = 1.9, 95% CI
= 1.0-3.7; 4th quartile OR = 3.2, 95% CI = 1.7-6.0. Each 10-point decrement in
life-space (OR = 1.2, 95% CI = 1.0-1.3) was associated with a higher risk of
falls. CONCLUSION: Greater neighborhood disadvantage was associated with greater
risk of falls. Life-space also contributes separately to fall risk. Community
dwelling older adults in disadvantaged neighborhoods, particularly those with
limited mobility, may benefit from a more-rigorous assessment of their fall risk
by healthcare providers. Neighborhood level socioeconomic characteristics should
also be an important consideration when identifying vulnerable populations that
may benefit the most from fall prevention programs.
PMID- 27869997
TI - Olfactory Aura: A Missing Tool?
PMID- 27869996
TI - Efficacy of Noninvasive Brain Stimulation on Pain Control in Migraine Patients: A
Systematic Review and Meta-Analysis.
AB - OBJECTIVE: To evaluate the efficacy of noninvasive brain stimulation (NIBS) on
pain control in migraine patients. BACKGROUND: Recent studies have used NIBS as
an abortive and prophylactic treatment for migraine; however, its efficacy
regarding meaningful clinical effects remains to be critically analyzed. DESIGN:
Systematic review of controlled clinical trials. METHODS: Searches were conducted
in six databases: MEDLINE (via PubMed), LILACS (via BIREME), CINAHL (via EBSCO),
Scopus (via EBSCO), Web of Science, and CENTRAL. Two independent authors searched
for randomized controlled clinical trials published through until January 2016
that involved the use of transcranial direct current stimulation (tDCS) and
transcranial magnetic stimulation (TMS) in migraineurs. Studies which met the
eligibility criteria were assessed and methodological quality was examined using
the Cochrane tool for assessing risk of bias. Information about pain intensity
(primary outcome), migraine attacks, painkiller intake, and adverse effects were
extracted. RESULTS: Eight studies were included in the quantitative analysis with
153 migraine patients that received NIBS and 143 sham NIBS. In overall meta
analysis, we did not find significant results for pain intensity (SMD: -0.61; CI:
-1.35 to 0.13; P = .11), for migraine attacks (SMD: -0.44; 95%; CI: -1.15 to
0.26; P = .22), and for painkiller intake (SMD: -0.57; 95% CI: -1.21 to 0.07; P =
.08). However, subgroup analysis considering only tDCS effects have demonstrated
a decrease for pain intensity (SMD: -0.91; 95% CI: -1.79 to -0.03; P = .04),
migraine attacks (SMD: -0.75; 95% CI: -1.25 to -0.24; P = .004), and painkiller
intake (SMD: -0.64; 95% CI: -1.21 to -0.07; P = .03). Subgroup analysis for TMS
did not reveal significant effects for any outcome. CONCLUSION: Low or very low
quality of evidence suggests that our primary outcome evaluation failed to find
support for the superiority of NIBS over sham treatment. Although, subgroup
analysis reveals that tDCS have moderate to high effects and could be a promising
nonpharmacological alternative to pain control, mainly for painkiller intake
reduction. However, there is a need for larger controlled trials with
methodological rigor, which could increase the power of result inference.
PMID- 27869998
TI - Response to: Guidelines for the clinical use in epilepsy surgery evaluation of
magnetoencephalography and electroencephalography for source localization.
PMID- 27870000
TI - Erratum.
PMID- 27869999
TI - Guidelines for the clinical use in epilepsy surgery evaluation of
magnetoencephalography and electroencephalography for source localization.
PMID- 27870001
TI - The new definition and classification of status epilepticus: What are the
implications for children?
PMID- 27870002
TI - Retraction.
PMID- 27870003
TI - Prognostic impact of the putative cancer stem cell markers ABCG2, CD133, ALDH1A1
and CD44V7/8 in metastatic melanoma.
PMID- 27870004
TI - False and mycoplasma-contaminated leukemia-lymphoma cell lines: time for a
reappraisal.
AB - Leukemia-lymphoma cell lines are important research tools in a variety of fields.
To represent adequate model systems it is of utmost importance that cell lines
faithfully model the primary tumor material and are not cross-contaminated with
unrelated cell material (or contaminated with mycoplasma). As it has been
previously reported that cross-contaminated cell lines represent a significant
problem, it is of interest to know whether any improvement in the prevalence of
such "false cell lines" had occurred since we called the alert in 1999. A
retrospective review of our data archives covered 848 cell lines received from
1990 to 2014 from 290 laboratories in 23 countries spanning the spectrum of
leukemia-lymphoma entities. Two variables were considered: authenticity and
freedom from mycoplasma infection. Regarding provenance, we separately considered
primary sources (original investigators having established the cell lines or
reference repositories) and secondary sources. The percentages of mycoplasma
contaminated cell lines decreased significantly over the 25-year timespan. Among
primary sourced material: mycoplasma-contamination fell from 23% to 0%; among
secondary sourced: from 48% to 21%. The corresponding figures for cross
contamination declined from 15% to 6%, while among material obtained from
secondary sources prevalence remained remarkably high, throughout the time
periods at 14-18%. Taken together, our data indicate that using non-authenticated
cell lines from secondary sources carries a risk of about 1:6 for obtaining a
false cell line. The use of authentic leukemia-lymphoma cell lines holds
important translational value for their model character and the reproducibility
of the laboratory data in the clinical arena.
PMID- 27870005
TI - HIV-protease inhibitors for the treatment of cancer: Repositioning HIV protease
inhibitors while developing more potent NO-hybridized derivatives?
AB - The possible use of HIV protease inhibitors (HIV-PI) as new therapeutic option
for the treatment of cancer primarily originated from their success in treating
HIV-related Kaposi's sarcoma (KS). While these findings were initially attributed
to immune reconstitution and better control of oncogenic viral infections, the
number of reports on solid tumors, KS, lymphoma, fibrosarcoma, multiple myeloma
and prostate cancer suggest other mechanisms for the anti-neoplastic activity of
PIs. However, a major drawback for the possible adoption of HIV-PIs in the
therapy of cancer relies on their relatively weak anticancer potency and
important side effects. This has propelled several groups to generate derivatives
of HIV-PIs for anticancer use, through modifications such as attachment of
different moieties, ligands and transporters, including saquinavir-loaded folic
acid conjugated nanoparticles and nitric oxide (NO) derivatives of HIV-PIs. In
this article, we discuss the current preclinical and clinical evidences for the
potential use of HIV-PIs, and of novel derivatives, such as saquinavir-NO in the
treatment of cancer.
PMID- 27870007
TI - Erratum.
PMID- 27870008
TI - Phenotypic plasticity of nest timing in a post-glacial landscape: how do reptiles
adapt to seasonal time constraints?
AB - Life histories evolve in response to constraints on the time available for growth
and development. Nesting date and its plasticity in response to spring
temperature may therefore be important components of fitness in oviparous
ectotherms near their northern range limit, as reproducing early provides more
time for embryos to complete development before winter. We used data collected
over several decades to compare air temperature and nest date plasticity in
populations of painted turtles and snapping turtles from a relatively warm
environment (southeastern Michigan) near the southern extent of the last glacial
maximum to a relatively cool environment (central Ontario) near the northern
extent of post-glacial recolonization. For painted turtles, population-level
differences in reaction norm elevation for two phenological traits were
consistent with adaptation to time constraints, but no differences in reaction
norm slopes were observed. For snapping turtle populations, the difference in
reaction norm elevation for a single phenological trait was in the opposite
direction of what was expected under adaptation to time constraints, and no
difference in reaction norm slope was observed. Finally, among-individual
variation in individual plasticity for nesting date was detected only in the
northern population of snapping turtles, suggesting that reaction norms are less
canalized in this northern population. Overall, we observed evidence of
phenological adaptation, and possibly maladaptation, to time constraints in long
lived reptiles. Where present, (mal)adaptation occurred by virtue of differences
in reaction norm elevation, not reaction norm slope. Glacial history, generation
time, and genetic constraint may all play an important role in the evolution of
phenological timing and its plasticity in long-lived reptiles.
PMID- 27870006
TI - Prediagnostic circulating concentrations of plasma insulin-like growth factor-I
and risk of lymphoma in the European Prospective Investigation into Cancer and
Nutrition.
AB - Insulin-like growth factor (IGF)-I has cancer promoting activities. However, the
hypothesis that circulating IGF-I concentration is related to risk of lymphoma
overall or its subtypes has not been examined prospectively. IGF-I concentration
was measured in pre-diagnostic plasma samples from a nested case-control study of
1,072 cases of lymphoid malignancies and 1,072 individually matched controls from
the European Prospective Investigation into Cancer and Nutrition. Odds ratios
(ORs) and confidence intervals (CIs) for lymphoma were calculated using
conditional logistic regression. IGF-I concentration was not associated with
overall lymphoma risk (multivariable-adjusted OR for highest versus lowest third
= 0.77 [95% CI = 0.57-1.03], ptrend = 0.06). There was no statistical evidence
of heterogeneity in this association with IGF-I by sex, age at blood collection,
time between blood collection and diagnosis, age at diagnosis, or body mass index
(pheterogeneity for all >= 0.05). There were no associations between IGF-I
concentration and risk for specific BCL subtypes, T-cell lymphoma or Hodgkin
lymphoma, although number of cases were small. In this European population, IGF-I
concentration was not associated with risk of overall lymphoma. This study
provides the first prospective evidence on circulating IGF-I concentrations and
risk of lymphoma. Further prospective data are required to examine associations
of IGF-I concentrations with lymphoma subtypes.
PMID- 27870010
TI - Context-dependent landscape of fear: algal density elicits risky herbivory in a
coral reef.
AB - Foraging theory posits that isolation from refuge habitat within a landscape
increases perceived predation risk and, thus, suppresses the foraging behavior of
prey species. However, these effects may depend fundamentally on resource
availability, which could affect prey boldness and can change considerably
through bottom-up processes. We conducted a field survey and experiment in a
coral reef to test the effects of isolation from refuge habitat (i.e., reef
structure) on herbivory by reef fishes and whether these effects depend on
resource density. By fitting continuous-time, pure death Markov processes to our
data, we found that at both the local and landscape scale distance from refuge
habitat reduced herbivory in attractive resource patches of palatable benthic
algae. However, our field experiment revealed that higher initial resource
densities weakened negative effects of distance from refuge habitat on herbivory.
Furthermore, we observed higher bite rates and greater total lengths of
herbivorous fishes with greater distance from refuge habitat-responses consistent
with higher perceived predation risk. Our results suggest that while the loss or
fragmentation of refuge habitat reduces consumer control of resources, greater
resource densities can partially counteract this effect by altering landscapes of
fear of consumer species. Our findings emphasize the importance of considering
the spatial context of species interactions that structure communities.
PMID- 27870009
TI - Photosynthetic rates influence the population dynamics of understory herbs in
stochastic light environments.
AB - Temporal variability in light from gaps in the tree canopy strongly influences
the vital rates of understory plants. From 2012 to 2015, we estimated the size
specific vital rates of two herbs, Calathea crotalifera and Heliconia tortuosa,
over a range of light environments. We estimated maximum photosynthetic capacity
(Amax ) for a subset of individuals each year during three annual censuses, and
modelled future size as a linear function of current size (a plant trait that
changes ontogenetically), canopy openness (an environmental variable), and Amax
(a potentially plastic physiological trait). We estimated what the demographic
success would be of a population comprised of individuals with a particular fixed
Amax for each of several levels of canopy openness if the environment remained
constant, by evaluating corresponding Integral Projection Models and their
deterministic growth rates (lambda). We then estimated their demographic success
in the stochastic light environment (lambdaS ) and its elasticities. As light
increased, deterministic lambda increased for Calathea by 33% but decreased for
Heliconia by 52%, and increasing Amax had no effect on lambda for Calathea but
increased lambda for Heliconia in low light. As Amax increased, lambdaS increased
for Heliconia, but not Calathea. We also investigated whether photosynthetic
rates would influence the elasticities of lambdaS, including its response to
perturbation of vital rates in each environment (ESbeta ), vital rates over all
environments (ES ), and variability of vital rates among environments (ESsigma ).
ES , ESsigma , and ESbeta were influenced by Amax for Heliconia but not Calathea.
Events that affect some vital rates in high light have a greater impact on
overall fitness than events that affect the same vital rates in shady
environments, and there is greater potential for selection on traits of large
individuals in high light than in low light for Heliconia, while the reverse was
true for Calathea. Photosynthetic rates, through their effects on growth, can
strongly influence the population dynamics of plants in random light
environments, but the magnitude of this effect varies between species. In the
species for which fitness was independent of Amax , Calathea, there would be
little opportunity for selection on photosynthetic rates.
PMID- 27870011
TI - Effects of dispersal and environmental heterogeneity on the replacement and
nestedness components of beta-diversity.
AB - Traditionally metacommunity studies have quantified the relative importance of
dispersal and environmental processes on observed beta-diversity. Separating beta
diversity into its replacement and nestedness components and linking such
patterns to metacommunity drivers can provide richer insights into biodiversity
organization across spatial scales. It is often very difficult to measure actual
dispersal rates in the field and to define the boundaries of natural
metacommunities. To overcome those limitations, we revisited an experimental
metacommunity dataset to test the independent and interacting effects of
environmental heterogeneity and dispersal on each component of beta-diversity. We
show that the balance between the replacement and nestedness components of beta
diversity resulting from eutrophication changes completely depending on dispersal
rates. Nutrient enrichment negatively affected local zooplankton diversity and
generated a pattern of beta-diversity derived from nestedness in unconnected,
environmentally heterogeneous landscapes. Increasing dispersal erased the pattern
of nestedness, whereas the replacement component gained importance. In
environmentally homogeneous metacommunities, dispersal limitation created
community dissimilarity via species replacement whereas the nestedness component
remained low and unchanged across dispersal levels. Our study provides novel
insights into how environmental heterogeneity and dispersal interact and shape
metacommunity structure.
PMID- 27870012
TI - Above- and belowground insect herbivory modifies the response of a grassland
plant community to nitrogen eutrophication.
AB - Understanding the role that species interactions play in determining the rate and
direction of ecosystem change due to nitrogen (N) eutrophication is important for
predicting the consequences of global change. Insects might play a major role in
this context. They consume substantial amounts of plant biomass and can alter
competitive interactions among plants, indirectly shaping plant community
composition. Nitrogen eutrophication affects plant communities globally, but
there is limited experimental evidence of how insect herbivory modifies plant
community response to raised N levels. Even less is known about the roles of
above- and belowground herbivory in shaping plant communities, and how the
interaction between the two might modify a plant community's response to N
eutrophication. We conducted a 3-yr field experiment where grassland plant
communities were subjected to above- and belowground insect herbivory with and
without N addition, in a full-factorial design. We found that herbivory modified
plant community responses to N addition. Aboveground herbivory decreased
aboveground plant community biomass by 21%, but only at elevated N. When
combined, above- and belowground herbivory had a stronger negative effect on
plant community biomass at ambient N (11% decrease) than at elevated N (4%
decrease). In addition, herbivory shifted the functional composition of the plant
community, and the magnitude of the shifts depended on the N level. The N and
herbivory treatments synergistically conferred a competitive advantage to forbs,
which benefited when both herbivory types were present at elevated N. Evenness
among the plant species groups increased when aboveground herbivory was present,
but N addition attenuated this increase. Our results demonstrate that a deeper
understanding of how plant-herbivore interactions above and below ground shape
the composition of a plant community is crucial for making reliable predictions
about the ecological consequences of global change.
PMID- 27870013
TI - Short-term and long-term effects of childhood cancer on income from employment
and employment status: A national cohort study in Sweden.
AB - BACKGROUND: There is insufficient knowledge regarding the economic impact of
childhood cancer on parents. The objectives of the current study were to
investigate the short-term and long-term effects of childhood cancer on mothers'
and fathers' income from employment and employment status. METHODS: The study
sample consisted of the parents of children diagnosed with cancer from 2004 to
2009 in Sweden (3626 parents of 1899 children). Annual register data concerning
income from employment and employment status (employed/not employed) were
retrieved from the Longitudinal Integration Database for Health Insurance and
Labor Market Studies. Using generalized linear models, the mean income from
employment and employment status were compared with a matched control cohort of
34,874 parents sampled from the general population. RESULTS: Parents' income was
found to decrease significantly after the child's cancer diagnosis. The effect
was most pronounced for mothers, whose income was reduced for 6 years after
diagnosis, whereas fathers' income was similar to that of control fathers 3 years
after the diagnosis. Mothers were more likely to stop working after a child's
cancer diagnosis compared with controls. No association was found for fathers'
employment status. Younger age of parents; lower level of education; and, among
mothers, being born outside of Sweden were found to be associated with more
adverse effects on income. CONCLUSIONS: Parents' income from employment and
employment status appear to be adversely affected by having a child with cancer.
Socioeconomic consequences are not distributed equally: the income of fathers
appears to catch up after a few years, whereas mothers tend to be disadvantaged
in their professional life for several years after a child's cancer diagnosis.
Cancer 2017;123:1238-1248. (c) 2016 American Cancer Society.
PMID- 27870014
TI - Sensitivity of coral recruitment to subtle shifts in early community succession.
AB - Community succession following disturbance depends on positive and negative
interactions, the strength of which change along environmental gradients. To
investigate how early succession affects coral reef recovery, we conducted an 18
month experiment in Palau, using recruitment tiles and herbivore exclusion cages.
One set of reefs has higher wave exposure and had previously undergone a phase
shift to macroalgae following a major typhoon, whereas the other set of reefs
have lower wave exposure and did not undergo a macroalgal phase shift. Similar
successional trajectories were observed at all sites when herbivores were
excluded: turf algae dominated early succession, followed by shifts to foliose
macroalgae and heterotrophic invertebrates. However, trajectories differed in the
presence of herbivores. At low wave exposure reefs, herbivores promoted coralline
algae and limited turf and encrusting fleshy algae in crevice microhabitats,
facilitating optimal coral recruitment. Under medium wave exposure, relatively
higher but still low coverage of turf and encrusting fleshy algae (15-25%) found
in crevice microhabitats inhibited coral recruitment, persisting throughout
multiple recruitment events. Our results indicate that altered interaction
strength in different wave environments following disturbance can drive subtle
changes in early succession that cascade to alter secondary succession to coral
recruitment and system recovery.
PMID- 27870015
TI - Changing the discourse: we all must be knowledge brokers.
PMID- 27870016
TI - DMCN 2016 highlights: cerebral palsy epidemiology, communication in autism, and
more.
PMID- 27870018
TI - Erratum.
PMID- 27870017
TI - Atypical haemolytic uraemic syndrome in a patient with sickle cell disease,
successfully treated with eculizumab.
PMID- 27870019
TI - Silica uptake and release in live and decaying biomass in a northern hardwood
forest.
AB - In terrestrial ecosystems, a large portion (20-80%) of the dissolved Si (DSi) in
soil solution has passed through vegetation. While the importance of this
"terrestrial Si filter" is generally accepted, few data exist on the pools and
fluxes of Si in forest vegetation and the rate of release of Si from decomposing
plant tissues. We quantified the pools and fluxes of Si through vegetation and
coarse woody debris (CWD) in a northern hardwood forest ecosystem (Watershed 6,
W6) at the Hubbard Brook Experimental Forest (HBEF) in New Hampshire, USA.
Previous work suggested that the decomposition of CWD may have significantly
contributed to an excess of DSi reported in stream-waters following experimental
deforestation of Watershed 2 (W2) at the HBEF. We found that woody biomass (wood
+ bark) and foliage account for approximately 65% and 31%, respectively, of the
total Si in biomass at the HBEF. During the decay of American beech (Fagus
grandifolia) boles, Si loss tracked the whole-bole mass loss, while yellow birch
(Betula alleghaniensis) and sugar maple (Acer saccharum) decomposition resulted
in a preferential Si retention of up to 30% after 16 yr. A power-law model for
the changes in wood and bark Si concentrations during decomposition, in
combination with an exponential model for whole-bole mass loss, successfully
reproduced Si dynamics in decaying boles. Our data suggest that a minimum of 50%
of the DSi annually produced in the soil of a biogeochemical reference watershed
(W6) derives from biogenic Si (BSi) dissolution. The major source is fresh
litter, whereas only ~2% comes from the decay of CWD. Decay of tree boles could
only account for 9% of the excess DSi release observed following the experimental
deforestation of W2. Therefore, elevated DSi concentrations after forest
disturbance are largely derived from other sources (e.g., dissolution of BSi from
forest floor soils and/or mineral weathering).
PMID- 27870020
TI - Trophic positioning of meiofauna revealed by stable isotopes and food web
analyses.
AB - Despite important advances in the ecology of river food webs, the strength and
nature of the connection between the meio- and macrofaunal components of the web
are still debated. Some unresolved issues are the effects of the inclusion of
meiofaunal links and their temporal variations on the overall river food web
properties, and the significance of autochthonous and allochthonous material for
these components. In the present study, we conducted analyses of gut content of
macro- and meiofauna and stable isotope analyses of meiofauna to examine seasonal
food webs of a chalk stream. The results of the gut content analyses, confirmed
by the delta13 C signatures, revealed a seasonal shift from a dependence on
autochthonous (biofilm) to allochthonous food sources. Here, we demonstrate that
aggregating basal or meiofaunal species into single categories affects key web
properties such as web size, links, linkage density, and predator-prey ratios.
More importantly, seasonal variation in attributes characterized the entire web
and these changes persist regardless of taxonomic resolution. Furthermore, our
analyses evidenced discrete variations in delta15 N across the meiofauna
community with a trophic structure that confirms gut content analyses, placing
the meiofauna high in the food web. We, therefore, conclude that small-body-sized
taxa can occur high in dynamic river food webs, questioning assumptions that
trophic position increases with body size and that webs are static.
PMID- 27870021
TI - Temperature dependence of predation stress and the nutritional ecology of a
generalist herbivore.
AB - Prey at risk of predation may experience stress and respond physiologically by
altering their metabolic rates. Theory predicts that such physiological changes
should alter prey nutrient demands from N-rich to C-rich macronutrients and shift
the balance between maintenance and growth/reproduction. Theory further suggests
that for ectotherms, temperature stands to exacerbate this stress. Yet, the
interactive effects of predation stress and temperature stress on diet,
metabolism, and survival of ectotherms are not well known. This knowledge gap was
addressed with a laboratory study in which wild juvenile grasshoppers were
collected, assigned to one of three groups, and raised at three different
temperatures. All grasshoppers had access to equal quantities of two diets
composed of opposite carbohydrate : protein ratios. Half of the individuals in
each temperature group were exposed to predation risk cues from spider predators,
while the other half were kept in risk free conditions. Grasshoppers consumed
more carbohydrates when exposed to predation risk, but consumption favored
greater protein intake as temperature increased. Moreover, the difference in
carbohydrate intake between risk cue and risk free treatments diminished as
temperature increased. Furthermore, variability between individual consumption
patterns both within and between treatments decreased markedly as temperature
increased, suggesting that higher temperatures promote more consistent individual
consumption behaviors. Grasshoppers grew faster and larger as temperature
increased, which translated into higher survival rates at higher temperatures.
Warmer grasshoppers also did not alter their metabolic rates in response to
predation risk cues, in contrast to colder grasshoppers. Digestive efficiency
increased with temperature as well -- further indicating that lower temperatures
were much more stressful than higher temperatures for grasshoppers. The study
shows that physiological responses of ectothermic herbivores to predation stress
are highly plastic and temperature dependent, with higher temperatures promoting
increased protein intake, growth, development, survival, and digestive efficiency
relative to colder temperatures. These findings help to reconcile why dietary
responses (proportion of protein vs. carbohydrate intake) to predation stress may
vary among different prey taxa studied previously.
PMID- 27870023
TI - Hierarchical filters determine community assembly of urban species pools.
AB - The majority of humanity now lives in cities or towns, with this proportion
expected to continue increasing for the foreseeable future. As novel ecosystems,
urban areas offer an ideal opportunity to examine multi-scalar processes involved
in community assembly as well as the role of human activities in modulating
environmental drivers of biodiversity. Although ecologists have made great
strides in recent decades at documenting ecological relationships in urban areas,
much remains unknown, and we still need to identify the major ecological factors,
aside from habitat loss, behind the persistence or extinction of species and
guilds of species in cities. Given this paucity of knowledge, there is an
immediate need to facilitate collaborative, interdisciplinary research on the
patterns and drivers of biodiversity in cities at multiple spatial scales. In
this review, we introduce a new conceptual framework for understanding the
filtering processes that mold diversity of urban floras and faunas. We
hypothesize that the following hierarchical series of filters influence species
distributions in cities: (1) regional climatic and biogeographical factors; (2)
human facilitation; (3) urban form and development history; (4) socioeconomic and
cultural factors; and (5) species interactions. In addition to these filters,
life history and functional traits of species are important in determining
community assembly and act at multiple spatial scales. Using these filters as a
conceptual framework can help frame future research needed to elucidate processes
of community assembly in urban areas. Understanding how humans influence
community structure and processes will aid in the management, design, and
planning of our cities to best support biodiversity.
PMID- 27870022
TI - Viability of cyclic populations.
AB - Theory on viability of small populations is well developed and has led to the
standard methodology of population viability analysis (PVA) to assess
vulnerability of single species. However, more complex situations involving
community dynamics or environmental change violate theoretical assumptions.
Synthesizing concepts from population, community, and conservation ecology, we
develop a generic theory on the viability of cyclic populations. The interplay of
periodic population decline and demography causes varying risk patterns that
aggregate during cycles and modify the temporal structure of viability. This
variability is visualized and quantitatively assessed. For two standard viability
metrics that summarize immediate extinction risk and the general long-term
conditions of populations, we mathematically describe the impact of population
cycles. Finally, we suggest and demonstrate PVA for cyclic populations that
respond to, e.g., seasonality, interannual variation, or trophic interactions.
Our theoretical and methodological advancement opens a route to viability
analysis in food webs and trophic meta-communities and equips biodiversity
conservation with a long-missing tool.
PMID- 27870024
TI - Macroinvertebrate community assembly on deep-sea wood falls in Monterey Bay is
strongly influenced by wood type.
AB - Environmental filtering, including the influence of environmental constraints and
biological interactions on species' survival, is known to significantly affect
patterns of community assembly in terrestrial ecosystems. However, its role in
regulating patterns and processes of community assembly in deep-sea environments
is poorly studied. Here we investigated the role of wood characteristics in the
assembly of deep-sea wood fall communities. Ten different wood species
(substrata) that varied in structural complexity were sunk to a depth of 3,100 m
near Monterey Bay, CA. In total, 28 wood parcels were deployed on the deep-sea
bed. After 2 yr, the wood parcels were recovered with over 7,000 attached or
colonizing macroinvertebrates. All macroinvertebrates were identified to the
lowest taxonomic level possible, and included several undescribed species.
Diversity indices and multivariate analyses of variance detected significant
variation in the colonizing community assemblages among different wood substrata.
Structural complexity seemed to be the primary factor altering community
composition between wood substrata. For example, wood-boring clams were most
abundant on solid logs, while small arthropods and limpets were more abundant on
bundles of branches that provided more surface area and small, protected spaces
to occupy. Other factors such as chemical defenses, the presence of bark, and
wood hardness likely also played a role. Our finding that characteristics of
woody debris entering the marine realm can have significant effects on community
assembly supports the notion of ecological and perhaps evolutionarily significant
links between land and sea.
PMID- 27870025
TI - Elephants in the understory: opposing direct and indirect effects of consumption
and ecosystem engineering by megaherbivores.
AB - Positive indirect effects of consumers on their resources can stabilize food webs
by preventing overexploitation, but the coupling of trophic and non-trophic
interactions remains poorly integrated into our understanding of community
dynamics. Elephants engineer African savanna ecosystems by toppling trees and
breaking branches, and although their negative effects on trees are well
documented, their effects on small-statured plants remain poorly understood.
Using data on 117 understory plant taxa collected over 7 yr within 36 1-ha
experimental plots in a semi-arid Kenyan savanna, we measured the strength and
direction of elephant impacts on understory vegetation. We found that elephants
had neutral effects on most (83-89%) species, with a similar frequency of
positive and negative responses among the remainder. Overall, estimated
understory biomass was 5-14% greater in the presence of elephants across a range
of rainfall levels. Whereas direct consumption likely accounts for the negative
effects, positive effects are presumably indirect. We hypothesized that elephants
create associational refuges for understory plants by damaging tree canopies in
ways that physically inhibit feeding by other large herbivores. As predicted,
understory biomass and species richness beneath elephant-damaged trees were 55%
and 21% greater, respectively, than under undamaged trees. Experimentally
simulated elephant damage increased understory biomass by 37% and species
richness by 49% after 1 yr. Conversely, experimentally removing elephant damaged
branches decreased understory biomass by 39% and richness by 30% relative to sham
manipulated trees. Camera-trap surveys revealed that elephant damage reduced the
frequency of herbivory by 71%, whereas we detected no significant effect of
damage on temperature, light, or soil moisture. We conclude that elephants
locally facilitate understory plants by creating refuges from herbivory, which
countervails the direct negative effects of consumption and enhances larger-scale
biomass and diversity by promoting the persistence of rare and palatable species.
Our results offer a counterpoint to concerns about the deleterious impacts of
elephant "overpopulation" that should be considered in debates over wildlife
management in African protected areas: understory species comprise the bulk of
savanna plant biodiversity, and their responses to elephants are buffered by the
interplay of opposing consumptive and non-consumptive interactions.
PMID- 27870026
TI - Global associations between birds and vane-dwelling feather mites.
AB - Understanding host-symbiont networks is a major question in evolutionary ecology.
Birds host a great diversity of endo- and ectosymbiotic organisms, with feather
mites (Arachnida: Acariformes: Analgoidea, Pterolichoidea) being among the most
diverse of avian symbionts. A global approach to the ecology and evolution of
bird-feather-mite associations has been hampered because of the absence of a
centralized data repository. Here we present the most extensive data set of
associations between feather mites and birds. Data include 12 036 records of 1887
feather mite species located on the flight feathers of 2234 bird species from 147
countries. Feather mites typically located inside quills, on the skin, or on
downy body feathers are not included. Data were extracted from 493 published
sources dating from 1882 to 2015. Data exploration shows that although most
continents and bird families are represented, most bird species remain unexplored
for feather mites. Nevertheless, this is the most comprehensive data set
available for enabling global macroecological analyses of feather mites and their
hosts, such as ecological network analyses. This metadata file outlines the
structure of these data and provides primary references for all records used.
PMID- 27870027
TI - Hunting on a hot day: effects of temperature on interactions between African wild
dogs and their prey.
AB - As global temperatures increase, interactions between species are affected by
changes in distribution, abundance and phenology, but also by changes in
behavior. The heat dissipation limitation hypothesis suggests that the ability to
dissipate heat commonly limits the activity of endotherms, a problem that should
be particularly acute for cursorial predators and their prey in equatorial
ecosystems. Allometric relationships suggest that heat dissipation should be a
stronger constraint for larger species, so that (smaller) predators should be
less affected than (larger) prey. We used data from 266 complete days of direct
observation of African wild dogs (Lycaon pictus) in five packs over a period of 2
yr to test how deviations of temperature from that expected for the time of day
affected eight measures of hunting effort and success. We found that higher
temperatures disadvantaged the prey of wild dogs more than the dogs themselves,
with increased hunting success and shorter pursuits on warmer days. Broadly, our
results demonstrate that effects of temperature on behavior can alter
interactions between species, exacerbating or offsetting the direct effects of
climate change.
PMID- 27870029
TI - Native-exotic richness relationships: a biogeographic approach using turnover in
island plant populations.
AB - Spatial variation in exotic species richness is often correlated with native
species richness, for reasons that are poorly understood. To better understand
the mechanisms underpinning native-exotic richness relationships, I quantified
the colonization and extinction of 18 exotic and 16 native plant species on 39
small islands located off the coast of New Zealand for 8 consecutive yr. Results
revealed a positive native-exotic richness relationship, which could be explained
by similar demographic responses of native and exotic species to island area.
However, native and exotic species showed subtle differences in their response to
other island attributes. Turnover in native species declined with island
isolation, whereas turnover in exotic species increased with the exposure of
islands to ocean-borne disturbances. Overall results illustrate how long-term
observations of species turnover can be used to better understand the mechanisms
underpinning native-exotic richness relationships, and demonstrate that large,
exposed islands can be especially susceptible to invasions by exotic species.
PMID- 27870028
TI - Chronic warming stimulates growth of marsh grasses more than mangroves in a
coastal wetland ecotone.
AB - Increasing temperatures and a reduction in the frequency and severity of freezing
events have been linked to species distribution shifts. Across the globe,
mangrove ranges are expanding toward higher latitudes, likely due to diminishing
frequency of freezing events associated with climate change. Continued warming
will alter coastal wetland plant dynamics both above- and belowground,
potentially altering plant capacity to keep up with sea level rise. We conducted
an in situ warming experiment, in northeast Florida, to determine how increased
temperature (+2 degrees C) influences co-occurring mangrove and salt marsh
plants. Warming was achieved using passive warming with three treatment levels
(ambient, shade control, warmed). Avicennia germinans, the black mangrove,
exhibited no differences in growth or height due to experimental warming, but
displayed a warming-induced increase in leaf production (48%). Surprisingly,
Distichlis spicata, the dominant salt marsh grass, increased in biomass (53% in
2013 and 70% in 2014), density (41%) and height (18%) with warming during summer
months. Warming decreased plant root mass at depth and changed abundances of
anaerobic bacterial taxa. Even while the poleward shift of mangroves is clearly
controlled by the occurrences of severe freezes, chronic warming between these
freeze events may slow the progression of mangrove dominance within ecotones.
PMID- 27870030
TI - Fish introductions and light modulate food web fluxes in tropical streams: a
whole-ecosystem experimental approach.
AB - Decades of ecological study have demonstrated the importance of top-down and
bottom-up controls on food webs, yet few studies within this context have
quantified the magnitude of energy and material fluxes at the whole-ecosystem
scale. We examined top-down and bottom-up effects on food web fluxes using a
field experiment that manipulated the presence of a consumer, the Trinidadian
guppy Poecilia reticulata, and the production of basal resources by thinning the
riparian forest canopy to increase incident light. To gauge the effects of these
reach-scale manipulations on food web fluxes, we used a nitrogen (15 N) stable
isotope tracer to compare basal resource treatments (thinned canopy vs. control)
and consumer treatments (guppy introduction vs. control). The thinned canopy
stream had higher primary production than the natural canopy control, leading to
increased N fluxes to invertebrates that feed on benthic biofilms (grazers), fine
benthic organic matter (collector-gatherers), and organic particles suspended in
the water column (filter feeders). Stream reaches with guppies also had higher
primary productivity and higher N fluxes to grazers and filter feeders. In
contrast, N fluxes to collector-gatherers were reduced in guppy introduction
reaches relative to upstream controls. N fluxes to leaf-shredding invertebrates,
predatory invertebrates, and the other fish species present (Hart's killifish,
Anablepsoides hartii) did not differ across light or guppy treatments, suggesting
that effects on detritus-based linkages and upper trophic levels were not as
strong. Effect sizes of guppy and canopy treatments on N flux rates were similar
for most taxa, though guppy effects were the strongest for filter feeding
invertebrates while canopy effects were the strongest for collector-gatherer
invertebrates. Combined, these results extend previous knowledge about top-down
and bottom-up controls on ecosystems by providing experimental, reach-scale
evidence that both pathways can act simultaneously and have equally strong
influence on nutrient fluxes from inorganic pools through primary consumers.
PMID- 27870032
TI - Warm vegetarians? Heat waves and diet shifts in tadpoles.
AB - Temperature can play an important role in determining the feeding preferences of
ectotherms. In light of the warmer temperatures arising with the current climatic
changes, omnivorous ectotherms may perform diet shifts toward higher herbivory to
optimize energetic intake. Such diet shifts may also occur during heat waves,
which are projected to become more frequent, intense, and longer lasting in the
future. Here, we investigated how heat waves of different duration affect feeding
preferences in omnivorous anuran tadpoles and how these choices affect larval
life history. In laboratory experiments, we fed tadpoles of three species on
animal, plant, or mixed diet and exposed them to short heat waves (similar to the
heat waves these species experience currently) or long heat waves (predicted to
increase under climate change). We estimated the dietary choices of tadpoles fed
on the mixed diet using stable isotopes and recorded tadpole survival and growth,
larval period, and mass at metamorphosis. Tadpole feeding preferences were
associated with their thermal background, with herbivory increasing with breeding
temperature in nature. Patterns in survival, growth, and development generally
support decreased efficiency of carnivorous diets and increased efficiency or
higher relative quality of herbivorous diets at higher temperatures. All three
species increased herbivory in at least one of the heat wave treatments, but the
responses varied among species. Diet shifts toward higher herbivory were
maladaptive in one species, but beneficial in the other two. Higher herbivory in
omnivorous ectotherms under warmer temperatures may impact species differently
and further contribute to changes in the structure and function of freshwater
environments.
PMID- 27870031
TI - Top-down limitation of lemmings revealed by experimental reduction of predators.
AB - It is generally recognized that delayed density-dependence is responsible for
cyclic population dynamics. However, it is still uncertain whether a single
factor can explain why some rodent populations fluctuate according to a 3-4 yr
periodicity. There is increasing evidence that predation may play a role in
lemming population cycles, although this effect may vary seasonally. To address
this issue, we conducted an experiment where we built a large exclosure (9 ha) to
protect brown lemmings (Lemmus trimucronatus) from avian and terrestrial
predators. We tested the hypothesis that predation is a limiting factor for
lemmings by measuring the demographic consequences of a predator reduction during
the growth and peak phases of the cycle. We assessed summer (capture-mark
recapture methods) and winter (winter nest sampling) lemming demography on two
grids located on Bylot Island, Nunavut, Canada from 2008 to 2015. The predator
exclosure became fully effective in July 2013, allowing us to compare demography
between the control and experimental grids before and during the treatment.
Lemming abundance, survival and proportion of juveniles were similar between the
two grids before the treatment. During the predator-reduction period, summer
densities were on average 1.9* higher inside the experimental grid than the
control and this effect was greatest for adult females and juveniles (densities
2.4* and 3.4* higher, respectively). Summer survival was 1.6* higher on the
experimental grid than the control whereas body mass and proportion of juveniles
were also slightly higher. Winter nest densities remained high inside the
predator reduction grid following high summer abundance, but declined on the
control grid. These results confirm that predation limits lemming population
growth during the summer due to its negative impact on survival. However, it is
possible that in winter, predation may interact with other factors affecting
reproduction and ultimately population cycles.
PMID- 27870033
TI - Ode to Ehrlich and Raven or how herbivorous insects might drive plant speciation.
AB - Fifty years ago, Ehrlich and Raven proposed that insect herbivores have driven
much of plant speciation, particularly at tropical latitudes. There have been no
explicit tests of their hypotheses. Indeed there were no proposed mechanisms
either at the time or since by which herbivores might generate new plant species.
Here we outline two main classes of mechanisms, prezygotic and postzygotic, with
a number of scenarios in each by which herbivore-driven changes in host plant
secondary chemistry might lead to new plant lineage production. The former apply
mainly to a sympatric model of speciation while the latter apply to a parapatric
or allopatric model. Our review suggests that the steps of each mechanism are
known to occur individually in many different systems, but no scenario has been
thoroughly investigated in any one system. Nevertheless, studies of Dalechampia
and its herbivores and pollinators, and patterns of defense tradeoffs in trees on
different soil types in the Peruvian Amazon provide evidence consistent with the
original hypotheses of Ehrlich and Raven. For herbivores to drive sympatric
speciation, our findings suggest that interactions with both their herbivores and
their pollinators should be considered. In contrast, herbivores may drive
speciation allopatrically without any influence by pollinators. Finally, there is
evidence that these mechanisms are more likely to occur at low latitudes and thus
more likely to produce new species in the tropics. The mechanisms we outline
provide a predictive framework for further study of the general role that
herbivores play in diversification of their host plants.
PMID- 27870034
TI - Measuring partner choice in plant-pollinator networks: using null models to
separate rewiring and fidelity from chance.
AB - Recent studies of mutualistic networks show that interactions between partners
change across years. Both biological mechanisms and chance could drive these
patterns, but the relative importance of these factors has not been separated. We
established a field experiment consisting of 102 monospecific plots of 17 native
plant species, from which we collected 6713 specimens of 52 bee species over four
years. We used these data and a null model to determine whether bee species'
foraging choices varied more or less over time beyond the variation expected by
chance. Thus we provide the first quantitative definition of rewiring and
fidelity as these terms are used in the literature on interaction networks. All
52 bee species varied in plant partner choice across years, but for 27 species
this variation was indistinguishable from random partner choice. Another 11
species showed rewiring, varying more across years than expected by chance, while
14 species showed fidelity, indicating that they both prefer certain plant
species and are consistent in those preferences across years. Our study shows
that rewiring and fidelity both exist in mutualist networks, but that once
sampling effects have been accounted for, they are less common than has been
reported in the ecological literature.
PMID- 27870035
TI - Large, connected floodplain forests prone to flooding best sustain plant
diversity.
AB - Dams, levees, and water withdrawals disrupt hydrologic regimes and associated
floodplain forests. Because these forests are also responding to changes in land
use, species invasions, and climate change, the relative effects of these factors
are hard to disentangle. Most studies of floodplain forests lack historic data,
requiring us to rely on recent data or contemporary spatial relationships to
these drivers to infer those causes of vegetation dynamics. Here, we use survey
data from the 1950s to reconstruct plant community changes across 40 floodplain
forests in Wisconsin. We applied two partial least squares regression (PLS)
models to evaluate how current site and landscape scale conditions and changes in
these conditions since the 1950s influence contemporary patterns of community
diversity and composition. Local site variables were among the most important in
explaining current composition metrics and their changes, but historic landscape
variables and changes in these were also important. Current local diversity
(alpha) was the highest at sites prone to frequent flooding, even at sites in
fragmented landscapes. Sites along sinuous rivers in large watershed areas with
more contiguous forest had the highest abundance of wetland indicator plants in
the re-survey and had the largest increases in alpha diversity since the 1950s,
despite having the highest presence of exotic species then. These same sites have
converged in composition, reflecting increases in wetland indicator plants and
common native species. These patterns of increasing alpha diversity coupled with
declines in community distinctiveness are uncommon among long-term studies.
Increases in wetland plants may indicate that sites have become wetter with
hydrologic changes, but these increases may also reflect improved colonization
and establishment processes involving a robust regional pool of generalist
wetland taxa. Woody and exotic plants typical of upland forests increased at
rarely flooded sites in fragmented and urbanizing landscapes, indicating shifts
towards a later-successional conditions and a dampened disturbance regime. This
has reduced local species diversity and increased regional distinctness at some
sites. As hydrologic connections appear to best maintain native species diversity
and composition, even in fragmented landscapes, managers should seek to recreate
these whenever feasible.
PMID- 27870036
TI - Seedling performance covaries with dormancy thresholds: maintaining cryptic seed
heteromorphism in a fire-prone system.
AB - The production of morphologically different seeds or fruits by the same
individual plant is known as seed heteromorphism. Such variation is expected to
be selected for in disturbance-prone environments to allow germination into
inherently variable regeneration niches. However, there are few demonstrations
that heteromorphic seed characteristics should be favored by selection or how
they may be maintained. In fire-prone ecosystems, seed heteromorphism is found in
the temperatures needed to break physical dormancy, with seeds responding to high
or low temperatures, ensuring emergence under variable fire-regime-related soil
heating. Because of the relationship between dormancy-breaking temperature
thresholds and fire severity, we hypothesize that different post-fire resource
conditions have selected for covarying seedling traits, which contribute to
maintenance of such heteromorphism. Seeds with low thresholds emerge into
competitive conditions, either after low-severity fire or in vegetation gaps, and
are therefore likely to experience selection for seedling characteristics that
make them good competitors. On the other hand, high-temperature-threshold seeds
would emerge into less competitive environments, indicative of stand-clearing
high-severity fires, and would not experience the same selective forces. We
identified high and low-threshold seed morphs via dormancy-breaking heat
treatments and germination trials for two study species and compared seed mass
and other morphological characteristics between morphs. We then grew seedlings
from the two different morphs, with and without competition, and measured growth
and biomass allocation as indicators of seedling performance. Seedlings from low
threshold seeds of both species performed better than their high-threshold
counterparts, growing more quickly under competitive conditions, confirming that
different performance can result from this seed characteristic. Seed mass or
appearance did not differ between morphs, indicating that dormancy-breaking
temperature threshold variation is a form of cryptic heteromorphism. The
potential shown for the selective influence of different post-fire environmental
conditions on seedling performance provides evidence of a mechanism for the
maintenance of heteromorphic variation in dormancy-breaking temperature
thresholds.
PMID- 27870037
TI - Chronic wasting disease in white-tailed deer: infection, mortality, and
implications for heterogeneous transmission.
AB - Chronic wasting disease (CWD) is a fatal neurodegenerative disease affecting free
ranging and captive cervids that now occurs in 24 U.S. states and two Canadian
provinces. Despite the potential threat of CWD to deer populations, little is
known about the rates of infection and mortality caused by this disease. We used
epidemiological models to estimate the force of infection and disease-associated
mortality for white-tailed deer in the Wisconsin and Illinois CWD outbreaks.
Models were based on age-prevalence data corrected for bias in aging deer using
the tooth wear and replacement method. Both male and female deer in the Illinois
outbreak had higher corrected age-specific prevalence with slightly higher female
infection than deer in the Wisconsin outbreak. Corrected ages produced more
complex models with different infection and mortality parameters than those based
on apparent prevalence. We found that adult male deer have a more than threefold
higher risk of CWD infection than female deer. Males also had higher disease
mortality than female deer. As a result, CWD prevalence was twofold higher in
adult males than females. We also evaluated the potential impacts of alternative
contact structures on transmission dynamics in Wisconsin deer. Results suggested
that transmission of CWD among male deer during the nonbreeding season may be a
potential mechanism for producing higher rates of infection and prevalence
characteristically found in males. However, alternatives based on high
environmental transmission and transmission from females to males during the
breeding season may also play a role.
PMID- 27870039
TI - Recent publications of interest.
PMID- 27870038
TI - Variation in stability of elk and red deer populations with abiotic and biotic
factors at the species-distribution scale.
AB - Stability in population dynamics is an emergent property of the interaction
between direct and delayed density dependence, the strengths of which vary with
environmental covariates. Analysis of variation across populations in the
strength of direct and delayed density dependence can reveal variation in
stability properties of populations at the species level. We examined the
stability properties of 22 elk/red deer populations in a two-stage analysis.
First, we estimated direct and delayed density dependence applying an AR(2) model
in a Bayesian hierarchical framework. Second, we plotted the coefficients of
direct and delayed density dependence in the Royama parameter plane. We then used
a hierarchical approach to test the significance of environmental covariates of
direct and delayed density dependence. Three populations exhibited highly stable
and convergent dynamics with strong direct, and weak delayed, density dependence.
The remaining 19 populations exhibited more complex dynamics characterized by
multi-annual fluctuations. Most (15 of 19) of these exhibited a combination of
weak to moderate direct and delayed density dependence. Best-fit models included
environmental covariates in 17 populations (77% of the total). Of these,
interannual variation in growing-season primary productivity and interannual
variation in winter temperature were the most common, performing as the best-fit
covariate in six and five populations, respectively. Interannual variation in
growing-season primary productivity was associated with the weakest combination
of direct and delayed density dependence, while interannual variation in winter
temperature was associated with the strongest combination of direct and delayed
density dependence. These results accord with a classic theoretical prediction
that environmental variability should weaken population stability. They
furthermore suggest that two forms of environmental variability, one related to
forage resources and the other related to abiotic conditions, both reduce
stability, but in opposing fashion: one through weakened direct density
dependence and the other through strengthened delayed density dependence.
Importantly, however, no single abiotic or biotic environmental factor emerged as
generally predictive of the strengths of direct or delayed density dependence,
nor of the stability properties emerging from their interaction. Our results
emphasize the challenges inherent to ascribing primacy to drivers of such
parameters at the species level and distribution scale.
PMID- 27870041
TI - Trophic interactions may reverse the demographic consequences of inbreeding.
AB - Extinctions have no simple determinism, but rather result from complex interplays
between environmental factors and demographic-genetic feedback that occur at
small population size. Inbreeding depression has been assumed to be a major
trigger of extinction vortices, yet very few models have studied its consequences
in dynamic populations with realistic population structure. Here we investigate
the impact of Complementary Sex Determination (CSD) on extinction in parasitoid
wasps and other insects of the order Hymenoptera. CSD is believed to induce
enough inbreeding depression to doom simple small populations to extinction, but
we suggest that in parasitoids CSD may have the opposite effect. Using a
theoretical model combining the genetics of CSD and the population dynamics of
host-parasitoid systems, we show that CSD can reduce the risk of parasitoid
extinction by reducing fluctuations in population size. Our result suggests that
inbreeding depression is not always a threat to population survival, and that
considering trophic interactions may reverse some pervasive hypotheses on its
demographic impact.
PMID- 27870040
TI - Geographic dialects in volatile communication between sagebrush individuals.
AB - Plants respond to volatile cues emitted by damaged neighbors to increase their
defenses against herbivores. We examined whether plants communicated more
effectively with local neighbors than distant neighbors in a reciprocal
experiment at two sites. Three branches on focal plants were incubated with air
from (1) a control, (2) an experimentally clipped "foreign" plant from 230 km
away, or (3) an experimentally clipped "local" plant from the same population as
the focal plant. Branches incubated with air from the controls experienced 50-80%
more leaf damage than those receiving air from experimentally clipped plants. Of
more interest, branches receiving volatiles from experimentally clipped "local"
plants received 50-65% of the leaf damage as those receiving volatiles from
experimentally clipped "foreign" plants. Sabinyl compounds and related terpinenes
were found to differ consistently for plants from southern and northern sites.
These results indicate that cues vary geographically in their effectiveness and
suggest that sagebrush responds more strongly to local than foreign dialects.
PMID- 27870042
TI - Differential foraging preferences on seed size by rodents result in higher
dispersal success of medium-sized seeds.
AB - Rodent preference for scatter-hoarding large seeds has been widely considered to
favor the evolution of large seeds. Previous studies supporting this conclusion
were primarily based on observations at earlier stages of seed dispersal, or on a
limited sample of successfully established seedlings. Because seed dispersal
comprises multiple dispersal stages, we hypothesized that differential foraging
preference on seed size by animal dispersers at different dispersal stages would
ultimately result in medium-sized seeds having the highest dispersal success
rates. In this study, by tracking a large number of seeds for 5 yr, we
investigated the effects of seed size on seed fates from seed removal to seedling
establishment of a dominant plant Pittosporopsis kerrii (Icacinaceae) dispersed
by scatter-hoarding rodents in tropical forest in southwest China. We found that
small seeds had a lower survival rate at the early dispersal stage where more
small seeds were predated at seed stations and after removal; large seeds had a
lower survival rate at the late dispersal stage, more large seeds were recovered,
predated after being cached, or larder-hoarded. Medium-sized seeds experienced
the highest dispersal success. Our study suggests that differential foraging
preferences by scatter-hoarding rodents at different stages of seed dispersal
could result in conflicting selective pressures on seed size and higher dispersal
success of medium-sized seeds.
PMID- 27870044
TI - Foliar bacteria and soil fertility mediate seedling performance: a new and
cryptic dimension of niche differentiation.
AB - The phyllosphere (comprising the leaf surface and interior) is one of the world's
largest microbial habitats and is host to an abundant and diverse array of
bacteria. Nonetheless, the degree to which bacterial communities are benign,
harmful, or beneficial to plants in situ is unknown. We tested the hypothesis
that the net effect of reducing bacterial abundance and diversity would vary
substantially among host species (from harmful to beneficial) and this would be
strongly mediated by soil resource availability. To test this, we monitored tree
seedling growth responses to commercial antibiotics among replicated resource
supply treatments (N, P, K) in a tropical forest in Panama for 29 months. We
applied either antibiotics or control water to replicated seedlings of five
common tree species (Alseis blackiana, Desmopsis panamensis, Heisteria concinna,
Sorocea affinis, and Tetragastris panamensis). These antibiotic treatments
significantly reduced both the abundance and diversity of bacteria epiphytically
as well as endophytically. Overall, the effect of antibiotics on performance was
highly host specific. Applying antibiotics increased growth for three species by
as much as 49% (Alseis, Heisteria, and Tetragastris), decreased growth for a
fourth species by nearly 20% (Sorocea), and had no impact on a fifth species
(Desmopsis). Perhaps more importantly, the degree to which foliar bacteria were
harmful or not varied with soil resource supply. Specifically, applying
antibiotics had no effect when potassium was added but increased growth rate by
almost 40% in the absence of potassium. Alternatively, phosphorus enrichment
caused the effect of bacteria to switch from being primarily beneficial to
harmful or vice versa, but this depended entirely on the presence or absence of
nitrogen enrichment (i.e., important and significant interactions). Our results
are the first to demonstrate that the net effect of reducing the abundance and
diversity of bacteria can have very strong positive and negative effects on
seedling performance. Moreover, these effects were clearly mediated by soil
resource availability. Though speculative, we suggest that foliar bacteria may
interact with soil fertility to comprise an important, yet cryptic dimension of
niche differentiation, which can have important implications for species
coexistence.
PMID- 27870043
TI - Increased seedling establishment via enemy release at the upper elevational range
limit of sugar maple.
AB - The enemy release hypothesis is frequently invoked to explain invasion by
nonnative species, but studies focusing on the influence of enemies on natural
plant range expansion due to climate change remain scarce. We combined multiple
approaches to study the influence of plant-enemy interactions on the upper
elevational range limit of sugar maple (Acer saccharum) in southeastern Quebec,
Canada, where a previous study had demonstrated intense seed predation just
beyond the range limit. Consistent with the hypothesis of release from natural
enemies at the range limit, data from both natural patterns of regeneration and
from seed and seedling transplant experiments showed higher seedling densities at
the range edge than in the core of the species' distribution. A growth chamber
experiment manipulating soil origin and temperature indicated that this so-called
"happy edge" was not likely caused by temperature (i.e., the possibility that
climate warming has made high elevation temperatures optimal for sugar maple) or
by abiotic soil factors that vary along the elevational gradient. Finally, an
insect-herbivore-exclusion experiment showed that insect herbivory was a major
cause of seedling mortality in the core of sugar maple's distribution, whereas
seedlings transplanted at or beyond the range edge experienced minimal herbivory
(i.e., enemy release). Insect herbivory did not completely explain the high
levels of seedling mortality in the core of the species' distribution, suggesting
that seedlings at or beyond the range edge may also experience release from
pathogens. In sum, while some effects of enemies are magnified beyond range edges
(e.g., seed predation), others are dampened at and beyond the range edge (e.g.,
insect herbivory), such that understanding the net outcome of different biotic
interactions within, at and beyond the edge of distribution is critical to
predicting species' responses to global change.
PMID- 27870045
TI - Unprecedented remote sensing data over King and Rim megafires in the Sierra
Nevada Mountains of California.
AB - Megafires have lasting social, ecological, and economic impacts and are
increasing in the western contiguous United States. Because of their infrequent
nature, there is a limited sample of megafires to investigate their unique
behavior, drivers, and relationship to forest management practices. One approach
is to characterize critical information pre-, during, and post-fire using remote
sensing. In August 2013, the Rim Fire burned 104,131 ha and in September 2014,
the King Fire burned 39,545 ha. Both fires occurred in California's Sierra
Nevada. The areas burned by these fires were fortuitously surveyed by airborne
campaigns, which provided the most recent remote sensing technologies not
currently available from satellite. Technologies include an imaging spectrometer
spanning the visible to shortwave infrared (0.38-2.5 MUm), a multispectral, high
spatial resolution thermal infrared (3.5-13 MUm) spectroradiometer, and Light
Detection and Ranging that provide spatial resolutions of pixels from 1 * 1 m to
35 * 35 m. Because of the unique information inherently derived from these
technologies before the fires, the areas were subsequently surveyed after the
fires. We processed and provide free dissemination of these airborne datasets as
products of surface reflectance, spectral metrics and forest structural metrics (
http://dx.doi.org/10.3334/ORNLDAAC/1288). These data products provide a unique
opportunity to study relationships among and between remote sensing observations
and fuel and fire characteristics (e.g., fuel type, condition, structure, and
fire severity). The novelty of these data is not only in the unprecedented types
of information available from them before, during, and after two megafires, but
also in the synergistic use of multiple state of the art technologies for
characterizing the environment. The synergy of these data can provide novel
information that can improve maps of fuel type, structure, abundance, and
condition that may improve predictions of megafire behavior and effects, thus
aiding management before, during, and after such events. Key questions that these
data could address include: What drives, extinguishes, and results from
megafires? How does megafire behavior relate to fire and fuel management? How
does the size and severity of a megafire affect the ecological recovery of the
system?
PMID- 27870046
TI - Naive tadpoles do not recognize recent invasive predatory fishes as dangerous.
AB - Invasive alien predators (IAP) are spreading on a global scale-often with
devastating ecological effects. One reason for their success may be that prey
species fail to recognize them due to a lack of co-evolutionary history. We
performed a comprehensive test of this "prey naivete" hypothesis using a novel
approach: we tested whether predator-naive tadpoles of the agile frog (Rana
dalmatina) display antipredator behavior upon encountering chemical cues produced
by native, invasive (established or recent) or allopatric fishes (four
perciforms, four siluriforms, and two cypriniforms). We studied the influence of
population origin on predator-detection ability by presenting chemical cues to
predator-naive tadpoles that originated from fishless hill-ponds or fish-infested
floodplain populations. Before trials, we fed fishes with tadpoles or an
alternative food to test whether direct chemical cues from the predator's diet
influences the tadpoles' recognition of potential predators. Tadpoles reduced
their activity upon exposure to cues from native and long-established invasive
perciforms, but not in response to recent invaders, allopatric predators, or to
any siluriforms. Also, predators that were previously fed with tadpoles did not
universally induce behavioral defensedefenses upon first encounter. Finally,
tadpoles originating from isolated hill-ponds exhibited higher baseline activity
and responded in weaker fashion than their conspecifics from floodplain
populations, which co-exist with predatory fishes. Our results indicate that
tadpoles may be vulnerable to invading predatory fishes due to their inability to
recognize them as dangerous, though their ability to recognize invasive IAP may
evolve rapidly, in fewer than 30 generations.
PMID- 27870047
TI - A keystone ecologist: Robert Treat Paine, 1933-2016.
AB - Robert T. Paine, who passed away on 13 June 2016, is among the most influential
people in the history of ecology. Paine was an experimentalist, a theoretician, a
practitioner, and proponent of the "ecology of place," and a deep believer in the
importance of natural history to ecological understanding. His scientific legacy
grew from the discovery of a link between top-down forcing and species diversity,
a breakthrough that led to the ideas of both keystone species and trophic
cascades, and to our early understanding of the mosaic nature of biological
communities, causes of zonation across physical gradients, and the intermediate
disturbance hypothesis of species diversity. Paine's influence as a mentor was
equally important to the growth of ecological thinking, natural resource
conservation, and policy. He served ecology as an Ecological Society of America
president, an editor of the Society's journals, a member of and contributor to
the National Academy of Sciences and the National Research Council, and an in
demand advisor to various state and federal agencies. Paine's broad interests,
enthusiasm, charisma, and humor deeply affected our lives and the lives of so
many others.
PMID- 27870048
TI - Contacts with large, active individuals intensify the predation risk of small
conspecifics.
AB - Size variation within a population can influence the structure of ecosystem
interactions, because ecological performance differs between individuals of
different sizes. Although the impact of size variation in a predator species on
the structure of interactions is well understood, our knowledge about how size
variation in a prey species might modify the interactions between predators and
prey is very limited. Here, by examining the interactions between predatory
Hynobius retardatus salamander larvae and their prey, Rana pirica frog tadpoles,
we investigated how large prey individuals affect the predation mortality of
small prey conspecifics. First, in an experiment conducted in a field pond in
which we manipulated the presence of salamanders and large tadpoles (i.e., large
enough to protect them against salamander predation) with small tadpoles, we
showed that in the presence of large tadpoles the mortality of small tadpoles
from salamander predation was increased. On the basis of our observations of the
activity of individuals, we hypothesized that active large tadpoles caused
physical disturbances, which in turn caused the small tadpoles to move, and thus
increased their encounter frequency with the predatory salamanders. To test this
hypothesis, we conducted a laboratory experiment in small tanks with three
players (i.e., one salamander as predator, one small tadpole as focal prey, and
either a small or a large tadpole as the prospective movement inducer). In each
tank, we manipulated the presence or absence of a movement inducer, and, when
present, its size (large or small) and access (caged or uncaged) to the focal
prey. In the presence of a large, uncaged movement inducer, the focal prey was
more active and suffered from higher predation mortality compared with the other
treatments, because the large movement inducer (unlike a small movement inducer)
moved actively and, when uncaged, could stimulate movement of the focal prey
through direct contact. The results indicated that high activity of large prey
individuals and the resulting behavioral interactions with small conspecifics via
direct contact indirectly increased the mortality of the small prey.
PMID- 27870049
TI - Experimental reduction in interaction intensity strongly affects biotic
selection.
AB - The link between biotic interaction intensity and strength of selection is of
fundamental interest for understanding biotically driven diversification and
predicting the consequences of environmental change. The strength of selection
resulting from biotic interactions is determined by the strength of the
interaction and by the covariance between fitness and the trait under selection.
When the relationship between trait and absolute fitness is constant, selection
strength should be a direct function of mean population interaction intensity. To
test this prediction, we excluded pollinators for intervals of different length
to induce five levels of pollination intensity within a single plant population.
Pollen limitation (PL) increased from 0 to 0.77 across treatments, accompanied by
a fivefold increase in the opportunity for selection. Trait-fitness covariance
declined with PL for number of flowers, but varied little for other traits.
Pollinator-mediated selection on plant height, corolla size, and spur length
increased by 91%, 34%, and 330%, respectively, in the most severely pollen
limited treatment compared to open-pollinated plants. The results indicate that
realized biotic selection can be predicted from mean population interaction
intensity when variation in trait-fitness covariance is limited, and that
declines in pollination intensity will strongly increase selection on traits
involved in the interaction.
PMID- 27870050
TI - A bird pollinator shows positive frequency dependence and constancy of species
choice in natural plant communities.
AB - Animal pollinators mediate reproduction of many plant species. Foraging theory
suggests that animal pollinators exhibit preferences for common plant species in
natural communities (positive frequency-dependent foraging) and temporary single
species specialization (flower constancy) during foraging bouts. Positive
frequency dependence may favor common plant species; flower constancy may enhance
conspecific pollen transfer particularly in rare plant species. Previous
experimental studies suggest that avian pollinators are unlikely to exhibit these
behaviors. We studied foraging behavior of Cape Sugarbirds (Promerops cafer), the
main avian pollinator of many Protea species, using focal-plant and focal-bird
sampling, assisted by high-resolution maps of the spatiotemporal distribution of
Protea individuals and their flowering status. We found that Sugarbird's
visitation preference increased with species' relative floral abundance, and that
individual Sugarbirds tended to visit single species in sequence. Flower
constancy during foraging bouts was significantly higher than expected from
random plant-animal encounters at the scale of pollinator movements. Positive
frequency dependence may favor the reproduction of abundant plant species while
flower constancy may be particularly important for rare plant species. This first
simultaneous study of both behaviors in a natural plant-pollinator system shows
that bird pollinators exhibit both types of behavior and, in this way, possibly
influence plant community structure.
PMID- 27870051
TI - Chemical similarity and local community assembly in the species rich tropical
genus Piper.
AB - Community ecologists have strived to find mechanisms that mediate the assembly of
natural communities. Recent evidence suggests that natural enemies could play an
important role in the assembly of hyper-diverse tropical plant systems. Classic
ecological theory predicts that in order for coexistence to occur, species
differences must be maximized across biologically important niche dimensions. For
plant-herbivore interactions, it has been recently suggested that, within a
particular community, plant species that maximize the difference in chemical
defense profiles compared to neighboring taxa will have a relative competitive
advantage. Here we tested the hypothesis that plant chemical diversity can affect
local community composition in the hyper-diverse genus Piper at a lowland wet
forest location in Costa Rica. We first characterized the chemical composition of
27 of the most locally abundant species of Piper. We then tested whether species
with different chemical compositions were more likely to coexist. Finally, we
assessed the degree to which Piper phylogenetic relationships are related to
differences in secondary chemical composition and community assembly. We found
that, on average, co-occurring species were more likely to differ in chemical
composition than expected by chance. Contrary to expectations, there was no
phylogenetic signal for overall secondary chemical composition. In addition we
found that species in local communities were, on average, more phylogenetically
closely related than expected by chance, suggesting that functional traits other
than those measured here also influence local assembly. We propose that selection
by herbivores for divergent chemistries between closely related species
facilitates the coexistence of a high diversity of congeneric taxa via apparent
competition.
PMID- 27870052
TI - Early warning of critical transitions in biodiversity from compositional
disorder.
AB - Global environmental change presents a clear need for improved leading indicators
of critical transitions, especially those that can be generated from
compositional data and that work in empirical cases. Ecological theory of
community dynamics under environmental forcing predicts an early replacement of
slowly replicating and weakly competitive "canary" species by slowly replicating
but strongly competitive "keystone" species. Further forcing leads to the
eventual collapse of the keystone species as they are replaced by weakly
competitive but fast-replicating "weedy" species in a critical transition to a
significantly different state. We identify a diagnostic signal of these changes
in the coefficients of a correlation between compositional disorder and
biodiversity. Compositional disorder measures unpredictability in the composition
of a community, while biodiversity measures the amount of species in the
community. In a stochastic simulation, sequential correlations over time switch
from positive to negative as keystones prevail over canaries, and back to
positive with domination of weedy species. The model finds support in empirical
tests on multi-decadal time series of fossil diatom and chironomid communities
from lakes in China. The characteristic switch from positive to negative
correlation coefficients occurs for both communities up to three decades
preceding a critical transition to a sustained alternate state. This signal is
robust to unequal time increments that beset the identification of early-warning
signals from other metrics.
PMID- 27870053
TI - Absence of net long-term successional facilitation by alder in a boreal Alaska
floodplain.
AB - Long-term experiments provide a way to test presumed causes of successional or
environmentally driven vegetation changes. Early-successional nitrogen (N)-fixing
plants are widely thought to facilitate productivity and vegetation development
on N-poor sites, thus accounting for observed vegetation patterns later in
succession. We tested this facilitative impact on vegetation development in a 23
yr field experiment on an Interior Alaska (USA) floodplain. On three replicate
early-successional silt bars, we planted late-successional white spruce (Picea
glauca) seedlings in the presence and absence of planted seedlings of an early
successional N-fixing shrub, thinleaf alder (Alnus incana). Alder initially
facilitated survivorship and growth of white spruce. Within six years, however,
after canopy closure, alder negatively affected spruce survivorship and growth.
Our three replicate sites followed different successional trajectories. One site
was eliminated by erosion and supported no vegetation development during our
study. The other two sites, which differed in site moisture, diverged in
vegetation composition. Structural equation modeling (SEM) suggested that, in the
drier of these two sites, alder inhibited spruce growth directly (presumably by
competition) and indirectly through effects mediated by competition with other
woody species. However, at the wetter site, alder had both positive and negative
effects on spruce growth, with negative effects predominating. Snowshoe hares
(Lepus americanus) in alder thickets further reduced height growth of spruce in
the wetter site. We conclude that net effects of alder on white spruce, the late
successional dominant, were primarily inhibitory and indirect, with the
mechanisms depending on initial site moisture. Our results highlight the
importance of long-term research showing that small differences among initial
replicate sites can cause divergence in successional trajectories, consistent
with individualistic distributions of species and communities along environmental
gradients. This divergence was detectable only decades later.
PMID- 27870054
TI - A plant growth form dataset for the New World.
AB - This dataset provides growth form classifications for 67,413 vascular plant
species from North, Central, and South America. The data used to determine growth
form were compiled from five major integrated sources and two original
publications: the Botanical Information and Ecology Network (BIEN), the Plant
Trait Database (TRY), the SALVIAS database, the USDA PLANTS database, Missouri
Botanical Garden's Tropicos database, Wright (2010), and Boyle (1996). We defined
nine plant growth forms based on woodiness (woody or non-woody), shoot structure
(self-supporting or not self-supporting), and root traits (rooted in soil, not
rooted in soil, parasitic or aquatic): Epiphyte, Liana, Vine, Herb, Shrub, Tree,
Parasite, or Aquatic. Species with multiple growth form classifications were
assigned the growth form classification agreed upon by the majority (>2/3) of
sources. Species with ambiguous or otherwise not interpretable growth form
assignments were excluded from the final dataset but are made available with the
original data. Comparisons with independent estimates of species richness for the
Western hemisphere suggest that our final dataset includes the majority of New
World vascular plant species. Coverage is likely more complete for temperate than
for tropical species. In addition, aquatic species are likely under-represented.
Nonetheless, this dataset represents the largest compilation of plant growth
forms published to date, and should contribute to new insights across a broad
range of research in systematics, ecology, biogeography, conservation, and global
change science.
PMID- 27870055
TI - Cancer risks after solid organ transplantation and after long-term dialysis.
AB - Immunosuppression involves an inability to control virus infections and increased
incidence of virus-associated cancers. Some cancers without known viral etiology
are also increased, but data on exactly which cancer forms are increased has been
inconsistent. To provide a reliable and generalizable estimate, with high
statistical power and long follow-up time, we assessed cancer risks using
comprehensive, population-based registries in two different countries and from
two different immunosuppressed patient groups (solid organ transplant recipients
(OTRs) and long-term dialysis patients (LDPs)). National registries in Denmark
and Sweden identified 20,804 OTRs and 31,140 LDPs that were followed up using
national cancer registries. Standardized incidence ratios (SIR) compared to the
general population were estimated. We found highly similar results, both for the
two different countries and for the two different immunosuppressed cohorts,
namely an increased incidence for the following specific cancer forms: Non
melanoma skin cancer (NMSC), non-Hodgkin's lymphoma and cancers of the lip,
kidney, larynx and thyroid. The SIR for overall cancer among OTRs was 3.5 [n =
2,142, 95% CI, 3.4-3.7] in Sweden, 2.9 [n = 1,110, 95% CI, 2.8-3.1] in Denmark
and 1.6 [n = 1,713, 95% CI, 1.5-1.6] among LDP. The SIR for NMSC among OTRs was
44.7 [n = 994, 95% CI, 42-47.5] in Sweden and 41.5 [n = 445, 95% CI, 37.8-45.5]
in Denmark. The increased SIR for NMSC among LDPs was 5.3 [n = 304, 95% CI, 4.7
5.9]). In summary, an increased SIR for a specific, similar set of cancer forms
is consistently found among the immunosuppressed. Conceivable explanations
include surveillance bias and immunosuppression-related susceptibility to viral
infections.
PMID- 27870056
TI - Cure of cancer for seven cancer sites in the Flemish Region.
AB - Cumulative relative survival curves for many cancers reach a plateau several
years after diagnosis, indicating that the cancer survivor group has reached
"statistical" cure. Parametric mixture cure model analysis on grouped relative
survival curves provide an interesting way to determine the proportion of
statistically cured cases and the mean survival time of the fatal cases in
particular for population-based cancer registries. Based on the relative survival
data from the Belgian Cancer Registry, parametric cure models were applied to
seven cancer sites (cervix, colon, corpus uteri, skin melanoma, pancreas, stomach
and oesophagus), at the Flemish Regional level for the incidence period 1999
2011. Statistical cure was observed for the examined cancer sites except for
oesophageal cancer. The estimated cured proportion ranged from 5.9% [5.7, 6.1]
for pancreatic cancer to 80.8% [80.5, 81.2] for skin melanoma. Cure results were
further stratified by gender or age group. Stratified cured proportions were
higher for females compared to males in colon cancer, stomach cancer, pancreas
cancer and skin melanoma, which can mainly be attributed to differences in stage
and age distribution between both sexes. This study demonstrates the
applicability of cure rate models for the selected cancer sites after 14 years of
follow-up and presents the first population-based results on the cure of cancer
in Belgium.
PMID- 27870057
TI - Investigating the association between perioperative blood transfusions and
outcomes in children undergoing cytoreductive surgery with hyperthermic
intraperitoneal chemotherapy.
AB - BACKGROUND AND OBJECTIVES: Studies indicate the perioperative transfusion of red
blood cells during oncologic surgery may be associated with worse outcomes. In
this study, we evaluated the impact of red blood cell transfusions on the short-
and long-term outcomes of children undergoing a major oncologic surgery.
MATERIALS AND METHODS: A retrospective review of the medical records of children
<=18 years of age who had undergone cytoreductive surgery with hyperthermic
intraperitoneal chemotherapy was performed. Univariate and multivariate analyses
were performed to identify factors influencing survival, complications and length
of stay. RESULTS: Seventy-five children were identified, 80% of whom had received
a red blood cell transfusion. Children who received a red blood cell transfusion
had a significantly longer length of stay (P = 0.0003). However, the association
between red blood cell transfusions and recurrence-free survival (HR: 1.307, 95%
CI: 0.547-3.124; P = 0.55), overall survival (HR: 1.487, 95% CI: 0.585-3.780; P =
0.40) or the incidence of major complications (27.8 vs. 0% in non-transfused
children, P = 0.18) was not statistically significant. CONCLUSION: This
retrospective study of children undergoing major oncologic surgery did not
demonstrate a significant association between red blood cell transfusions and
worse outcomes.
PMID- 27870058
TI - Iron deficiency and thrombocytosis.
AB - According to many textbooks, iron deficiency (ID) is associated with reactive
thrombocytosis. In this study, we aimed to investigate the correlation between
serum ferritin levels and platelet counts in a large cohort of healthy blood
donors. We included all whole blood and apheresis donors aged 18 years or older
with at least one ferritin measurement and one platelet count performed at the
same visit between 1996 and 2014. A total of 130 345 blood counts and ferritin
measurements obtained from 22 046 healthy donors were analysed. Overall, no
correlation between serum ferritin and platelet count was observed (r = -0.03,
rho = 0.04 for males, and r = 0.01, rho = -0.02 for females, respectively).
Associations remained clinically negligible after adjusting for age, time since
previous blood donation, number of donations and restricting the analysis to
ferritin deciles. In this large, retrospective single-centre study, correlations
between low ferritin and platelet count in a large and homogeneous cohort of
healthy donors were negligible. Further studies in patients with more severe
anaemia and patients with inflammation are warranted.
PMID- 27870060
TI - Climate drives shifts in grass reproductive phenology across the western USA.
AB - The capacity of grass species to alter their reproductive timing across space and
through time can indicate their ability to cope with environmental variability
and help predict their future performance under climate change. We determined the
long-term (1895-2013) relationship between flowering times of grass species and
climate in space and time using herbarium records across ecoregions of the
western USA. There was widespread concordance of C3 grasses accelerating
flowering time and general delays for C4 grasses with increasing mean annual
temperature, with the largest changes for annuals and individuals occurring in
more northerly, wetter ecoregions. Flowering time was delayed for most grass
species with increasing mean annual precipitation across space, while phenology
precipitation relationships through time were more mixed. Our results suggest
that the phenology of most grass species has the capacity to respond to increases
in temperature and altered precipitation expected with climate change, but weak
relationships for some species in time suggest that climate tracking via
migration or adaptation may be required. Divergence in phenological responses
among grass functional types, species, and ecoregions suggests that climate
change will have unequal effects across the western USA.
PMID- 27870059
TI - Transfer of 13 C between paired Douglas-fir seedlings reveals plant kinship
effects and uptake of exudates by ectomycorrhizas.
AB - Processes governing the fixation, partitioning, and mineralization of carbon in
soils are under increasing scrutiny as we develop a more comprehensive
understanding of global carbon cycling. Here we examined fixation by Douglas-fir
seedlings and transfer to associated ectomycorrhizal fungi, soil microbes, and
full-sibling or nonsibling neighbouring seedlings. Stable isotope probing with
99% 13 C-CO2 was applied to trace 13 C-labelled photosynthate throughout plants,
fungi, and soil microbes in an experiment designed to assess the effect of
relatedness on 13 C transfer between plant pairs. The fixation and transfer of
the 13 C label to plant, fungal, and soil microbial tissue was examined in
biomass and phospholipid fatty acids. After a 6 d chase period, c. 26.8% of the
13 C remaining in the system was translocated below ground. Enrichment was
proportionally greatest in ectomycorrhizal biomass. The presence of mesh barriers
(0.5 or 35 MUm) between seedlings did not restrict 13 C transfer. Fungi were the
primary recipients of 13 C-labelled photosynthate throughout the system,
representing 60-70% of total 13 C-enriched phospholipids. Full-sibling pairs
exhibited significantly greater 13 C transfer to recipient roots in two of four
Douglas-fir families, representing three- and fourfold increases (+ c. 4 MUg
excess 13 C) compared with nonsibling pairs. The existence of a root/mycorrhizal
exudation-hyphal uptake pathway was supported.
PMID- 27870061
TI - Re-annotation, improved large-scale assembly and establishment of a catalogue of
noncoding loci for the genome of the model brown alga Ectocarpus.
AB - The genome of the filamentous brown alga Ectocarpus was the first to be
completely sequenced from within the brown algal group and has served as a key
reference genome both for this lineage and for the stramenopiles. We present a
complete structural and functional reannotation of the Ectocarpus genome. The
large-scale assembly of the Ectocarpus genome was significantly improved and
genome-wide gene re-annotation using extensive RNA-seq data improved the
structure of 11 108 existing protein-coding genes and added 2030 new loci. A
genome-wide analysis of splicing isoforms identified an average of 1.6
transcripts per locus. A large number of previously undescribed noncoding genes
were identified and annotated, including 717 loci that produce long noncoding
RNAs. Conservation of lncRNAs between Ectocarpus and another brown alga, the kelp
Saccharina japonica, suggests that at least a proportion of these loci serve a
function. Finally, a large collection of single nucleotide polymorphism-based
markers was developed for genetic analyses. These resources are available through
an updated and improved genome database. This study significantly improves the
utility of the Ectocarpus genome as a high-quality reference for the study of
many important aspects of brown algal biology and as a reference for genomic
analyses across the stramenopiles.
PMID- 27870062
TI - RWP-RK domain-containing transcription factors control cell differentiation
during female gametophyte development in Arabidopsis.
AB - The formation of gametes is a prerequisite for any sexually reproducing organism
in order to complete its life cycle. In plants, female gametes are formed in a
multicellular tissue, the female gametophyte or embryo sac. Although the events
leading to the formation of the female gametophyte have been morphologically
characterized, the molecular control of embryo sac development remains elusive.
We used single and double mutants as well as cell-specific marker lines to
characterize a novel class of gene regulators in Arabidopsis thaliana, the RWP-RK
domain-containing (RKD) transcription factors. Morphological and histological
analyses were conducted using confocal laser scanning and differential
interference contrast microscopy. Gene expression and transcriptome analyses were
performed using quantitative reverse transcription-PCR and RNA sequencing,
respectively. Our results showed that RKD genes are expressed during distinct
stages of embryo sac development. Morphological analysis of the mutants revealed
severe distortions in gametophyte polarity and cell differentiation.
Transcriptome analysis revealed changes in the expression of several gametophyte
specific gene families (RKD2 and RKD3) and ovule development-specific genes
(RKD3), and identified pleiotropic effects on phytohormone pathways (RKD5). Our
data provide novel insight into the regulatory control of female gametophyte
development. RKDs are involved in the control of cell differentiation and are
required for normal gametophytic development.
PMID- 27870063
TI - The diatom Phaeodactylum tricornutum adjusts nonphotochemical fluorescence
quenching capacity in response to dynamic light via fine-tuned Lhcx and
xanthophyll cycle pigment synthesis.
AB - Diatoms contain a highly flexible capacity to dissipate excessively absorbed
light by nonphotochemical fluorescence quenching (NPQ) based on the light-induced
conversion of diadinoxanthin (Dd) into diatoxanthin (Dt) and the presence of Lhcx
proteins. Their NPQ fine regulation on the molecular level upon a shift to
dynamic light conditions is unknown. We investigated the regulation of Dd + Dt
amount, Lhcx gene and protein synthesis and NPQ capacity in the diatom
Phaeodactylum tricornutum after a change from continuous low light to 3 d of sine
(SL) or fluctuating (FL) light conditions. Four P. tricornutum strains with
different NPQ capacities due to different expression of Lhcx1 were included. All
strains responded to dynamic light comparably, independently of initial NPQ
capacity. During SL, NPQ capacity was strongly enhanced due to a gradual increase
of Lhcx2 and Dd + Dt amount. During FL, cells enhanced their NPQ capacity on the
first day due to increased Dd + Dt, Lhcx2 and Lhcx3; already by the second day
light acclimation was accomplished. While quenching efficiency of Dt was strongly
lowered during SL conditions, it remained high throughout the whole FL exposure.
Our results highlight a more balanced and cost-effective photoacclimation
strategy of P. tricornutum under FL than under SL conditions.
PMID- 27870064
TI - The effect of plant water storage on water fluxes within the coupled soil-plant
system.
AB - In addition to buffering plants from water stress during severe droughts, plant
water storage (PWS) alters many features of the spatio-temporal dynamics of water
movement in the soil-plant system. How PWS impacts water dynamics and drought
resilience is explored using a multi-layer porous media model. The model
numerically resolves soil-plant hydrodynamics by coupling them to leaf-level gas
exchange and soil-root interfacial layers. Novel features of the model are the
considerations of a coordinated relationship between stomatal aperture variation
and whole-system hydraulics and of the effects of PWS and nocturnal transpiration
(Fe,night) on hydraulic redistribution (HR) in the soil. The model results
suggest that daytime PWS usage and Fe,night generate a residual water potential
gradient (Deltapsip,night) along the plant vascular system overnight. This
Deltapsip,night represents a non-negligible competing sink strength that
diminishes the significance of HR. Considering the co-occurrence of PWS usage and
HR during a single extended dry-down, a wide range of plant attributes and
environmental/soil conditions selected to enhance or suppress plant drought
resilience is discussed. When compared with HR, model calculations suggest that
increased root water influx into plant conducting-tissues overnight maintains a
more favorable water status at the leaf, thereby delaying the onset of drought
stress.
PMID- 27870065
TI - Photoacclimatory and photoprotective responses to cold versus heat stress in high
latitude reef corals.
AB - Corals at the world's southernmost coral reef of Lord Howe Island (LHI)
experience large temperature and light fluctuations and need to deal with periods
of cold temperature (<18 degrees C), but few studies have investigated how corals
are able to cope with these conditions. Our study characterized the response of
key photophysiological parameters, as well as photoacclimatory and
photoprotective pigments (chlorophylls, xanthophylls, and beta-carotene), to
short-term (5-d) cold stress (~15 degrees C; 7 degrees C below control) in three
LHI coral species hosting distinct Symbiodinium ITS2 types, and compared the
coral-symbiont response to that under elevated temperature (~29 degrees C; 7
degrees C above control). Under cold stress, Stylophora sp. hosting Symbiodinium
C118 showed the strongest effects with regard to losses of photochemical
performance and symbionts. Pocillopora damicornis hosting Symbiodinium C100/C118
showed less severe bleaching responses to reduced temperature than to elevated
temperature, while Porites heronensis hosting Symbiodinium C111* withstood both
reduced and elevated temperature. Under cold stress, photoprotection in the form
of xanthophyll de-epoxidation increased in unbleached P. heronensis (by 178%) and
bleached Stylophora sp. (by 225%), while under heat stress this parameter
increased in unbleached P. heronensis (by 182%) and in bleached P. damicornis (by
286%). The xanthophyll pool size was stable in all species at all temperatures.
Our comparative study demonstrates high variability in the bleaching
vulnerability of these coral species to low and high thermal extremes and shows
that this variability is not solely determined by the ability to activate
xanthophyll de-epoxidation.
PMID- 27870066
TI - A nonnative and a native fungal plant pathogen similarly stimulate
ectomycorrhizal development but are perceived differently by a fungal symbiont.
AB - The effects of plant symbionts on host defence responses against pathogens have
been extensively documented, but little is known about the impact of pathogens on
the symbiosis and if such an impact may differ for nonnative and native
pathogens. Here, this issue was addressed in a study of the model system
comprising Pinus pinea, its ectomycorrhizal symbiont Tuber borchii, and the
nonnative and native pathogens Heterobasidion irregulare and Heterobasidion
annosum, respectively. In a 6-month inoculation experiment and using both in
planta and gene expression analyses, we tested the hypothesis that H. irregulare
has greater effects on the symbiosis than H. annosum. Although the two pathogens
induced the same morphological reaction in the plant-symbiont complex, with
mycorrhizal density increasing exponentially with pathogen colonization of the
host, the number of target genes regulated in T. borchii in plants inoculated
with the native pathogen (i.e. 67% of tested genes) was more than twice that in
plants inoculated with the nonnative pathogen (i.e. 27% of genes). Although the
two fungal pathogens did not differentially affect the amount of ectomycorrhizas,
the fungal symbiont perceived their presence differently. The results may suggest
that the symbiont has the ability to recognize a self/native and a
nonself/nonnative pathogen, probably through host plant-mediated signal
transduction.
PMID- 27870068
TI - Systematic Review of the Prevalence of Medication Errors Resulting in
Hospitalization and Death of Nursing Home Residents.
AB - Medication errors (MEs) result in preventable harm to nursing home (NH) residents
and pose a significant financial burden. Institutionalized older people are
particularly vulnerable because of various organizational and individual factors.
This systematic review reports the prevalence of MEs leading to hospitalization
and death in NH residents and the factors associated with risk of death and
hospitalization. A systematic search was conducted of the relevant peer-reviewed
research published between January 1, 2000, and October 1, 2015, in English,
French, German, or Spanish examining serious outcomes of MEs in NHs residents.
Eleven studies met the inclusion criteria and examined three types of MEs: all
MEs (n = 5), transfer-related MEs (n = 5), and potentially inappropriate
medications (PIMs) (n = 1). MEs were common, involving 16-27% of residents in
studies examining all types of MEs and 13-31% of residents in studies examining
transfer-related MEs, and 75% of residents were prescribed at least one PIM. That
said, serious effects of MEs were surprisingly low and were reported in only a
small proportion of errors (0-1% of MEs), with death being rare. Whether MEs
resulting in serious outcomes are truly infrequent, or are underreported because
of the difficulty in ascertaining them, remains to be elucidated to assist in
designing safer systems.
PMID- 27870067
TI - Informing models through empirical relationships between foliar phosphorus,
nitrogen and photosynthesis across diverse woody species in tropical forests of
Panama.
AB - Our objective was to analyze and summarize data describing photosynthetic
parameters and foliar nutrient concentrations from tropical forests in Panama to
inform model representation of phosphorus (P) limitation of tropical forest
productivity. Gas exchange and nutrient content data were collected from 144
observations of upper canopy leaves from at least 65 species at two forest sites
in Panama, differing in species composition, rainfall and soil fertility.
Photosynthetic parameters were derived from analysis of assimilation rate vs
internal CO2 concentration curves (A/Ci ), and relationships with foliar nitrogen
(N) and P content were developed. The relationships between area-based
photosynthetic parameters and nutrients were of similar strength for N and P and
robust across diverse species and site conditions. The strongest relationship
expressed maximum electron transport rate (Jmax ) as a multivariate function of
both N and P, and this relationship was improved with the inclusion of
independent data on wood density. Models that estimate photosynthesis from foliar
N would be improved only modestly by including additional data on foliar P, but
doing so may increase the capability of models to predict future conditions in P
limited tropical forests, especially when combined with data on edaphic
conditions and other environmental drivers.
PMID- 27870070
TI - What is your diagnosis? Skin scraping from a hellbender.
PMID- 27870069
TI - Suspected myelodysplastic/myeloproliferative neoplasm in a feline leukemia virus
negative cat.
AB - A 10-year-old castrated Domestic Short-Haired cat was presented to a primary care
veterinarian for a wellness examination and laboratory examination for monitoring
of diabetes mellitus. The CBC revealed marked thrombocytosis, leukopenia and
macrocytic, normochromic anemia. The cat tested negative for FeLV and feline
immunodeficiency virus, but was positive for Mycoplasma haemominutum by PCR.
Hematologic abnormalities were not responsive to therapy, so a repeat CBC and a
bone marrow aspiration for cytology were performed. Additional blood smear
findings included anisocytosis with megaloblastic erythroid precursors, large
platelets, eosinophilic myelocytes and metamyelocytes, and rare unidentified
blasts. The bone marrow smear was highly cellular, and the cytologic pattern was
consistent with myelodysplastic syndrome with an erythroid predominance. At that
time, 15% blasts were present. The cat was treated with a vitamin K2 analog,
doxycycline, and prednisolone, but without a clinical response. Within 3 months,
euthanasia was elected due to declining quality of life, and a necropsy was
performed. Postmortem bone marrow smears were highly cellular and dominated by
monomorphic blasts of unknown line of origin (52%), persistent marked erythroid
and megakaryocytic dysplasia, and ineffective erythropoiesis and granulopoiesis.
Immunohistochemical, immunocytochemical, and cytochemical stains resulted in a
diagnosis of acute myeloid leukemia of unclassified type. Additional histologic
findings included mixed hepatitis with trematode infestation and
lymphoplasmacytic interstitial nephritis with fibrosis. The marked thrombocytosis
with myelodysplastic syndrome and the FeLV-negative status of this cat were
unusual. The difficulty in classifying the myelodysplasia and subsequent leukemia
highlights a need for further reporting and characterization of these types of
disease.
PMID- 27870072
TI - Alex J. Zautra (1947-2016).
PMID- 27870071
TI - Eosinophilic meningomyelitis associated with T-cell lymphoma in a cat.
AB - A 12-year-old cat was presented for evaluation of progressive tetraparesis.
Magnetic resonance imaging of the cervical spine demonstrated T2-hyperintensity,
and contrast enhancement within the C4-C7 spinal cord, with marked meningeal
contrast enhancement and segmental nerve root thickening. Lumbar cerebrospinal
fluid contained 407 total nucleated cells/MUL, with 99% eosinophils. The cat
transiently improved with prednisolone, clindamycin, and ivermectin therapy, but
subsequently worsened and was euthanized. Necropsy revealed an asymmetric
infiltration predominantly of the white matter, meninges, and nerve roots of the
C4-C6 spinal cord segments by an unencapsulated, poorly demarcated neoplasm
composed of atypical lymphocytes admixed with eosinophils, causing perivascular
hemorrhage and lytic necrosis. The neoplastic cells were immunoreactive for CD3,
ultimately confirming T-cell lymphoma.
PMID- 27870074
TI - From Knowledge to Wisdom: Science and the Good Life.
PMID- 27870073
TI - From Knowledge to Wisdom: Science and the Good Life.
PMID- 27870075
TI - Human health in the face of climate change.
PMID- 27870076
TI - Disaster Mental Health and Positive Psychology: An Afterward to the Special
Issue.
AB - The articles in this Special Issue are devoted to integrating the fields of
disaster mental health and positive psychology. Their focus on resilience
building, individual and community preparation, meaning making, and posttraumatic
growth represents an important new development in disaster mental health. The
overarching goal of this effort is to inform strategies to help both individuals
including children, adolescent, adult disaster survivors, and relief workers-and
communities prepare for, respond to, recover from, and possibly even grow
stronger in the face of adversity. To achieve this goal, this body of literature
suggests that it is important for disaster mental health workers to partner with
community leaders, organizations, and the population at large to understand
community vulnerabilities, take advantage of existing strengths, and respect
cultural factors implicated in disaster recovery. It further suggests that an
effective community-based approach to disaster recovery will make psychosocial
support and skill-building programs available to large numbers of survivors,
which is critical for responding to future national and international disasters.
Continued high-quality research that is comprehensive and considers not only
relevant psychological, social, cultural, and biological factors but also
interrelations between individuals, organizations and communities is needed to
advance this relatively new and important direction of the disaster mental health
field.
PMID- 27870077
TI - Stem cell bioprinting for applications in regenerative medicine.
AB - Many regenerative medicine applications seek to harness the biologic power of
stem cells in architecturally complex scaffolds or microenvironments. Traditional
tissue engineering methods cannot create such intricate structures, nor can they
precisely control cellular position or spatial distribution. These limitations
have spurred advances in the field of bioprinting, aimed to satisfy these
structural and compositional demands. Bioprinting can be defined as the
programmed deposition of cells or other biologics, often with accompanying
biomaterials. In this concise review, we focus on recent advances in stem cell
bioprinting, including performance, utility, and applications in regenerative
medicine. More specifically, this review explores the capability of bioprinting
to direct stem cell fate, engineer tissue(s), and create functional vascular
networks. Furthermore, the unique challenges and concerns related to bioprinting
living stem cells, such as viability and maintaining multi- or pluripotency, are
discussed. The regenerative capacity of stem cells, when combined with the
structural/compositional control afforded by bioprinting, provides a unique and
powerful tool to address the complex demands of tissue engineering and
regenerative medicine applications.
PMID- 27870078
TI - Cartilage extracellular matrix as a biomaterial for cartilage regeneration.
AB - The extracellular matrix (ECM) of various tissues possesses the model
characteristics that biomaterials for tissue engineering strive to mimic;
however, owing to the intricate hierarchical nature of the ECM, it has yet to be
fully characterized and synthetically fabricated. Cartilage repair remains a
challenge because the intrinsic properties that enable its durability and long
lasting function also impede regeneration. In the last decade, cartilage ECM has
emerged as a promising biomaterial for regenerating cartilage, partly because of
its potentially chondroinductive nature. As this research area of cartilage
matrix-based biomaterials emerged, investigators facing similar challenges
consequently developed convergent solutions in constructing robust and bioactive
scaffolds. This review discusses the challenges, emerging trends, and future
directions of cartilage ECM scaffolds, including a comparison between two
different forms of cartilage matrix: decellularized cartilage (DCC) and
devitalized cartilage (DVC). To overcome the low permeability of cartilage
matrix, physical fragmentation greatly enhances decellularization, although the
process itself may reduce the chondroinductivity of fabricated scaffolds. The
less complex processing of a scaffold composed of DVC, which has not been
decellularized, appears to have translational advantages and potential
chondroinductive and mechanical advantages over DCC, without detrimental
immunogenicity, to ultimately enhance cartilage repair in a clinically relevant
way.
PMID- 27870079
TI - Cost-Effectiveness Analysis of Second-Line Chemotherapy Agents for Advanced
Gastric Cancer.
AB - STUDY OBJECTIVE: Gastric cancer is the fifth most common malignancy and second
leading cause of cancer-related mortality. Chemotherapy options for patients who
fail first-line treatment are limited. Thus the objective of this study was to
assess the cost-effectiveness of second-line treatment options for patients with
advanced or metastatic gastric cancer. DESIGN: Cost-effectiveness analysis using
a Markov model to compare the cost-effectiveness of six possible second-line
treatment options for patients with advanced gastric cancer who have failed
previous chemotherapy: irinotecan, docetaxel, paclitaxel, ramucirumab, paclitaxel
plus ramucirumab, and palliative care. MEASUREMENTS AND MAIN RESULTS: The model
was performed from a third-party payer's perspective to compare lifetime costs
and health benefits associated with studied second-line therapies. Costs included
only relevant direct medical costs. The model assumed chemotherapy cycle lengths
of 30 days and a maximum number of 24 cycles. Systematic review of literature was
performed to identify clinical data sources and utility and cost data. Quality
adjusted life years (QALYs) and incremental cost-effectiveness ratios (ICERs)
were calculated. The primary outcome measure for this analysis was the ICER
between different therapies, where the incremental cost was divided by the number
of QALYs saved. The ICER was compared with a willingness-to-pay (WTP) threshold
that was set at $50,000/QALY gained, and an exploratory analysis using
$160,000/QALY gained was also used. The model's robustness was tested by using 1
way sensitivity analyses and a 10,000 Monte Carlo simulation probabilistic
sensitivity analysis (PSA). Irinotecan had the lowest lifetime cost and was
associated with a QALY gain of 0.35 year. Docetaxel, ramucirumab alone, and
palliative care were dominated strategies. Paclitaxel and the combination of
paclitaxel plus ramucirumab led to higher QALYs gained, at an incremental cost of
$86,815 and $1,056,125 per QALY gained, respectively. Based on our prespecified
WTP threshold, our base case analysis demonstrated that irinotecan alone is the
most cost-effective regimen, and both paclitaxel alone and the combination of
paclitaxel and ramucirumab were not cost-effective (ICER more than $50,000). Both
1-way sensitivity analyses and PSA demonstrated the model's robustness. PSA
illustrated that paclitaxel plus ramucirumab was extremely unlikely to be cost
effective at a WTP threshold less than $400,000/QALY gained. CONCLUSION:
Irinotecan alone appears to be the most cost-effective second-line regimen for
patients with gastric cancer. Paclitaxel may be cost-effective if the WTP
threshold was set at $160,000/QALY gained.
PMID- 27870080
TI - Players' Doctors: The Roles Should Be Very Clear.
AB - Years ago, one of us had the opportunity to talk with a starting guard in the
National Basketball Association about his health care. The player, then a rookie,
did not have his own personal doctor. Instead, he received his health care from
the team doctor. This athlete was very well paid and could have received care
anywhere he wished in the area. But he came from a very poor neighborhood.
Growing up, he said, he had no health care other than hearing and eye tests done
at his school and maybe (he was not sure) vaccinations given by the school nurse.
The player said he now felt fine and trusted his team to look out for his health
since they were paying him a lot of money and obviously wanted him on the court.
While it might seem obvious that conflicts of role could arise for doctors
working simultaneously for a team and for an athlete-wanting to please coaches,
owners, and maybe fans while looking out for the athletes on the team-that is not
how this young player saw things. He trusted his team and those who worked for
them, and he figured that their interest in keeping him healthy overlapped nicely
with his interest in staying healthy. As someone who did not get much access to
health care as a child and had little need for it, he did not have concerns about
conflicts of interest and second opinions foremost in his mind. But as is clear
from a recent scandal involving the National Football League and concussion
research, there are reasons for concern when athletes deal with health care
workers paid by their teams.
PMID- 27870081
TI - Preventing Conflicts of Interest of NFL Team Physicians.
AB - At least since the time of Hippocrates, the physician-patient relationship has
been the paradigmatic ethical arrangement for the provision of medical care. Yet,
a physician-patient relationship does not exist in every professional interaction
involving physicians and individuals they examine or treat. There are several
"third-party" relationships, mostly arising where the individual is not a patient
and is merely being examined rather than treated, the individual does not select
or pay the physician, and the physician's services are provided for the benefit
of another party. Physicians who treat NFL players have a physician-patient
relationship, but physicians who merely examine players to determine their health
status have a third-party relationship. As described by Glenn Cohen et al., the
problem is that typical NFL team doctors perform both functions, which leads to
entrenched conflicts of interest. Although there are often disputes about
treatment, the main point of contention between players and team physicians is
the evaluation of injuries and the reporting of players' health status to coaches
and other team personnel. Cohen et al. present several thoughtful recommendations
that deserve serious consideration. Rather than focusing on their specific
recommendations, however, I would like to explain the rationale for two essential
reform principles: the need to sever the responsibilities of treatment and
evaluation by team physicians and the need to limit the amount of player medical
information disclosed to teams.
PMID- 27870083
TI - Being Right Isn't Always Enough: NFL Culture and Team Physicians' Conflict of
Interest.
AB - The job of being a sports team physician is difficult, regardless of the level,
from high school to the National Football League. When a sports league receives
the intensity of attention leveled at the NFL, though, a difficult occupation
becomes even more challenging. Even for the NFL players themselves, players' best
interests regarding health issues are often unclear. Football players are, as a
lot, highly competitive individuals. They want to win, and they want to help the
team win. It's a warrior culture, and respect is earned by playing hurt. Should
the team physician respect a player's autonomy when this means allowing him to
make choices that might lead to further personal harm, especially if the player's
choices align with the preference of the coach and management? Or should the
doctor set limits and balance the player's choices with a paternalistic set of
constraints, perhaps in opposition to both the player's and the team's desires?
Simplification of this web of conflicts of interest is the goal of the model
proposed by Glenn Cohen, Holly Lynch, and Christopher Deubert. In my view, their
proposal is very clever. As an idea, it meets the expectations its authors set,
namely, to minimize the problem of conflict of interest in the delivery of health
care services to NFL football players. The ethics of the proposal align well with
certain moral goals, like treating the player's interests more fairly and
treating the player's health as an end instead of as the means to an end. But
will such a proposal ever make headway in the pressurized environment of the NFL?
PMID- 27870082
TI - A Proposal to Address NFL Club Doctors' Conflicts of Interest and to Promote
Player Trust.
AB - How can we ensure that players in the National Football League receive excellent
health care they can trust from providers who are as free from conflicts of
interest as realistically possible? NFL players typically receive care from the
club's own medical staff. Club doctors are clearly important stakeholders in
player health. They diagnose and treat players for a variety of ailments,
physical and mental, while making recommendations to the player concerning those
ailments. At the same time, club doctors have obligations to the club, namely to
inform and advise clubs about the health status of players. While players and
clubs share an interest in player health-both of them want players to be healthy
so they can play at peak performance-there are several areas where their
interests can diverge, and the divergence presents legal and ethical challenges.
The current structure forces club doctors to have obligations to two parties-the
club and the player-and to make difficult judgments about when one party's
interests must yield to another's. None of the three parties involved should
prefer this conflicted approach. We propose to resolve the problem of dual
loyalty by largely severing the club doctor's ties with the club and refashioning
that role into one of singular loyalty to the player-patient. The main idea is to
separate the roles of serving the player and serving the club and replace them
with two distinct sets of medical professionals: the Players' Medical Staff (with
exclusive loyalty to the player) and the Club Evaluation Doctor (with exclusive
loyalty to the club). We begin by explaining the broad ethical principles that
guide us and that help shape our recommendation. We then provide a description of
the role of the club doctor in the current system. After explaining the concern
about the current NFL player health care structure, we provide a recommendation
for improving this structure. We then discuss how the club medical staff fits
into the broader microenvironment affecting player health.
PMID- 27870085
TI - Sponsoring Organizations.
PMID- 27870084
TI - A Response to Commentaries.
AB - Our article "NFL Player Health Care: Addressing Club Doctors' Conflicts of
Interests and Promoting Player Trust" focused on an inherent structural conflict
that faces club doctors in the National Football League. The conflict stems from
club doctors' dual role of providing medical care to players and providing
strategic advice to clubs. We recommended assigning these roles to different
individuals, with the medical staff members who are responsible for providing
player care being chosen and subject to review and termination by a committee of
medical experts selected equally by the NFL and the NFL Players Association.
Recognizing that the problem of structural conflict of interest is deeply
entrenched and that our recommendation is a significant departure from the status
quo, we invited comment from a diverse and highly qualified group of experts.
There is considerable common ground among the commentators. All but one agreed
with us that, despite the best intentions of upstanding professionals, there is a
structural conflict of interest in the club doctors' relationship with players,
and the commentaries were generally supportive of our recommendation for change.
There are also meaningful disagreements, however. Some commentators think that
the proposal is on the right track but does not go far enough to reduce the
structural conflict of interest, and one commentary wholly disagrees with our
analysis and recommendations.
PMID- 27870087
TI - NFL Physicians: Committed to Excellence in Patient-Player Care.
AB - The National Football League Physicians Society read with disappointment the
article "A Proposal to Address NFL Club Doctors' Conflicts of Interest and to
Promote Player Trust." In spite of the authors' suggestions, NFL physicians are
accomplished medical professionals who abide by the highest ethical standards in
providing treatment to all of their patients, including those who play in the
NFL. It defies logic for the authors not to have engaged experienced and active
NFL physicians from the very start of their effort to explore, challenge, and
recommend significant alterations to the delivery of health care to NFL players.
As troubling as this article is from so many perspectives, it does represent an
opportunity for the NFLPS to set the record straight and call attention to the
excellent quality of care NFL players receive. In addition, it represents an
opportunity to expose the extraordinarily weak evidence presented in the article
and to refute the baseless allegations that challenge the high ethical standards
of NFL physicians. Contrary to solid scientific research that starts with a
hypothesis based on theory, in this case, it seems quite apparent that the
authors started with a predetermined conclusion and set out to justify it. Their
premise was flawed, and they failed in their execution.
PMID- 27870086
TI - Health Care for NFL Players: Upholding Physician Standards and Enhancing the
Doctor-Patient Relationship.
AB - Beginning my third year with the Kansas City Chiefs and being also a medical
student at McGill University, I was at first a little reluctant to comment on
Glenn Cohen et al.'s critique of the National Football League's structure
involving player health and team doctors, but the opportunity to provide a
perspective as both a football player and a medical student was too much to
forgo. Because of my athletic and academic background, I am often asked what I
think about injuries in professional sports and about the role of sports medicine
physicians, and Cohen et al.'s article demands a thoughtful reaction. I want to
suggest that the fundamental principles concerning the medical profession and the
doctor-patient relationship provide additional arguments for some of the
solutions that Cohen et al. discuss. The professional self-regulation that the
proposed medical committee could provide and the reliance on a doctor who was not
hired by the player's employer-the club-for a second opinion are both good ways
to minimize conflicts of interest.
PMID- 27870089
TI - Lessons for the NFL from Workers' Compensation.
AB - In the article "A Proposal to Address NFL Club Doctors' Conflicts of Interest and
to Promote Player Trust," Glenn Cohen et al. write, "The [NFL's] current
structure forces club doctors to have obligations to two parties-the club and the
player-and to make difficult judgments about when one party's interests must
yield to another's." I can understand why some might be suspicious about bias in
the current NFL medical system, in which the club doctors have a professional
duty to put their player-patients' best interests first yet are employed by
clubs, which have a primary goal of winning football games. It is my opinion,
however, that neither the club nor the player needs to be sacrificed. I base this
opinion partly on my experience as an NFL player in the early 1980s, partly on
several years as team physician for the Boston Red Sox, and partly on my twenty
three-years of experience as a physician with the Connecticut Workers'
Compensation medical system, which supposes that physicians can be fair to both
workers and employers.
PMID- 27870093
TI - Erratum.
PMID- 27870090
TI - The Dual Role of NFL Team Doctors.
AB - Glenn Cohen, Holly Fernandez Lynch, and Christopher Deubert are right in their
article "A Proposal to Address NFL Club Doctors' Conflicts of Interest and to
Promote Player Trust" that the problem with the medical care rendered to National
Football League players is not that the doctors are bad, but that the system in
which they provide care is structured badly. We saw some of the problems this
system causes last season in what happened to Case Kenum, a quarterback for the
Los Angeles Rams who, despite having a possible concussion from a game injury,
was allowed to continue to play, with a concussion spotter in the booth and
coaches, teammates, seven game officials, and two full training staffs present.
From my experience playing in the league from 1989 to 1999, I do not believe that
you can eliminate the conflict of interest completely, but I think it can be
limited to the point that it does not harm the player. As the structure is now,
with the team paying the club doctor, it is impossible to put the players' health
and well-being before the team's on-field priorities.
PMID- 27870094
TI - Patch test nails.
PMID- 27870096
TI - Allergic contact dermatitis of the face caused by Magnolia officinalis bark
extract.
PMID- 27870097
TI - Scutellaria baicalensis extract: a novel botanical allergen in cosmetic products?
PMID- 27870098
TI - Allergic contact dermatitis caused by argan oil, neem oil, and Mimosa tenuiflora.
PMID- 27870099
TI - Angioedema-like airborne contact dermatitis caused by Dittrichia viscosa (L.)
Greuter in a hunter.
PMID- 27870100
TI - Two cases of eyelid dermatitis caused by cobalt in colour cosmetics.
PMID- 27870101
TI - Airborne contact dermatitis caused by the sarcotesta of Ginkgo biloba.
PMID- 27870102
TI - Failure of single-unit restorations on root filled posterior teeth: a systematic
review.
AB - This systematic review investigated the failure rate of conventional single-unit
restorations in root filled posterior permanent teeth. Two reviewers
independently applied eligibility criteria, extracted data and assessed the
quality of the evidence of each included study according to the Cochrane
Collaboration's procedures for randomized control trials (RCTs) and the STROBE
criteria for observational studies. The MEDLINE (via Ovid), EMBASE (via Ovid),
Cochrane Oral Health Group Trials Register and CENTRAL (via Cochrane Library)
databases were searched electronically (January 1993 to week 1, February 2015).
This was complemented by an additional hand search of selected journals and the
references of relevant studies. Clinical studies published on root filled single
unit restorative treatments with a mean follow-up period of at least 3 years were
selected. The outcome measured was clinical or radiological failure. Overall, the
four RCTs and the single observational study included were of low and high
quality, respectively. Therefore, a meta-analysis was not possible. The pooled
mean failure rates were reported according to the type of treatment and remaining
coronal tooth structure. The current evidence suggested that the failure rates of
the treatments may depend on the amount of remaining tooth structure and type of
treatment. Post-retained crowns were associated with the most favourable outcome
in teeth with one to two remaining coronal tooth wall(s), whereas post-free
crowns were superior when greater tooth structure was available. Restorations in
teeth without ferrules had such a high rate of failure that other treatment
options should be considered.
PMID- 27870103
TI - Role of Immunotherapy in Targeting the Bone Marrow Microenvironment in Multiple
Myeloma: An Evolving Therapeutic Strategy.
AB - Multiple myeloma (referred to henceforth as myeloma) is a B-cell malignancy
characterized by unregulated growth of plasma cells in the bone marrow. The
treatment paradigm for myeloma underwent significant evolution in the last
decade, with an improved understanding of the pathogenesis of the disease as well
as the development of therapeutic agents that target not only the tumor cells but
also their microenvironment. Despite these therapeutic advances, the prognosis of
patients with relapsed or refractory myeloma remains poor. Accordingly, a need
exists for new therapeutic avenues that can overcome resistance to current
therapies and improve survival outcomes. In addition, myeloma is associated with
progressive immune dysregulation, with defects in T-cell immunity, natural killer
cell function, and the antigen-presenting capacity of dendritic cells, resulting
in a tumor microenvironment that promotes disease tolerance and progression.
Together, the immunosuppressive microenvironment and oncogenic mutations activate
signaling networks that promote myeloma cell survival. Immunotherapy incorporates
novel treatment options (e.g., monoclonal antibodies, antibody-drug conjugates,
chimeric antigen receptor T-cell therapy, immune checkpoint inhibitors,
bispecific antibodies, and tumor vaccines) either alone or in combination with
existing lines of therapies (e.g., immunomodulatory agents, proteasome
inhibitors, and histone deacetylase inhibitors) to enhance the host anti myeloma
immunity within the bone marrow microenvironment and improve clinical response.
Following the U.S. Food and Drug Administration approval of daratumumab and
elotuzumab in 2015, more immunotherapeutic agents are expected to be become
available as valuable treatment options in the near future. This review provides
a basic understanding of the role of immunotherapy in modulating the bone marrow
tumor microenvironment and its role in the treatment of myeloma. Clinical
efficacy and safety of recently approved therapeutic monoclonal antibodies
(daratumumab, elotuzumab) are discussed, along with the therapeutic potential of
emerging immunotherapies (antibody-drug conjugates, chimeric antigen receptor T
cell therapy, tumor vaccines, and immune checkpoint inhibitors).
PMID- 27870104
TI - Clinical Experience with Daptomycin in Pediatrics.
AB - INTRODUCTION: The management of gram-positive infections has been complicated in
recent years by the emergence of antimicrobial resistance, leaving fewer options
for therapy. Daptomycin is a lipopeptide antibiotic used for the systemic
treatment of gram-positive infections. It has a distinct mechanism of action and
a favorable side effect profile, and it requires once/day dosing. Unfortunately,
there is a paucity of safety, efficacy, and pharmacokinetic data in neonatal and
pediatric patients. The objective of this study was to review our experience with
daptomycin use for the treatment of gram-positive infections in these patient
populations. METHODS: We conducted a retrospective analysis of electronic medical
records of hospitalized children who received daptomycin between October 2008 and
June 2014 for the treatment of proven gram-positive infections. RESULTS: Of the
146 patients who received at least 3 days of daptomycin therapy, 109 patients had
a proven gram-positive infection and were included for further analysis. Of the
109 patients, 71 were males (65%) and the median age was 12 years (range: 2.5 mo
to 24 yrs). The median duration of therapy was 12 days (range: 3-121 days; mean =
16 days). Catheter-related bloodstream infections were the most common type of
infections (n=81 patients) in those receiving daptomycin treatment. One hundred
seven patients (98%) had documented improvement and resolution at the time of
hospital discharge. One hundred four patients (95%) had a baseline creatine
phosphokinase (CPK) level obtained. Of these 104 patients, 48 (46%) had at least
one follow-up CPK level after the start of therapy. Three patients' charts showed
laboratory evidence of elevated CPK values. CONCLUSIONS: The majority of patients
demonstrated clinical improvement after receiving daptomycin as primary therapy
for proven gram-positive infections. Larger randomized controlled trials focusing
on safety and efficacy are necessary to assess these outcomes with daptomycin use
in the pediatric population.
PMID- 27870105
TI - Evaluating the effects of discriminability on behavioral persistence during and
following time-based reinforcement.
AB - With four children with autism we evaluated a refinement to time-based
reinforcement designed to reduce response persistence when we simultaneously
introduced time-based reinforcement and extinction. We further evaluated whether
this refinement mitigated response recurrence when all reinforcer deliveries
ceased during an extinction-only disruptor phase. The refinement involved
increasing the saliency of the contingency change from contingent reinforcement
(during baseline) to time-based reinforcement by delivering different colored
reinforcers during time-based reinforcement. Behavioral momentum theory predicts
that increasing the discriminability of the change from variable-interval to
variable-time reinforcement should lead to faster reductions in responding. We
present data on four participants, three of whom displayed response patterns
consistent with the predictions of behavioral momentum theory during time-based
reinforcement. However, the participants showed more varied patterns of recurrent
behavior during extinction. We discuss these results within a translational
research framework focusing on strategies used to mitigate treatment relapse for
severe destructive behavior, as time-based reinforcement is one of the most
commonly prescribed interventions for destructive behavior displayed by
individuals with intellectual and developmental disabilities.
PMID- 27870106
TI - A two-part mixed effects model for cigarette purchase task data.
AB - The Cigarette Purchase Task is a behavioral economic assessment tool designed to
measure the relative reinforcing efficacy of cigarette smoking across different
prices. An exponential demand equation has become a standard model for analyzing
purchase task data, but its utility is compromised by its inability to
accommodate values of zero consumption. We propose a two-part mixed effects model
that keeps the same exponential demand equation for modeling nonzero consumption
values, while providing a logistic regression for the binary outcome of zero
versus nonzero consumption. Therefore, the proposed model can accommodate zero
consumption values and retain the features of the exponential demand equation at
the same time. As a byproduct, the logistic regression component of the proposed
model provides a new demand index, the "derived breakpoint", for the price above
which a subject is more likely to be abstinent than to be smoking. We apply the
proposed model to data collected at baseline from college students (N = 1,217)
enrolled in a randomized clinical trial utilizing financial incentives to
motivate tobacco cessation. Monte Carlo simulations showed that the proposed
model provides better fits than an existing model. We note that the proposed
methodology is applicable to other purchase task data, for example, drugs of
abuse.
PMID- 27870107
TI - Stimulus-reinforcer relations established during training determine resistance to
extinction and relapse via reinstatement.
AB - The baseline rate of a reinforced target response decreases with the availability
of response-independent sources of alternative reinforcement; however, resistance
to disruption and relapse increases. Because many behavioral treatments for
problem behavior include response-dependent reinforcement of alternative
behavior, the present study assessed whether response-dependent alternative
reinforcement also decreases baseline response rates but increases resistance to
extinction and relapse. We reinforced target responding at equal rates across two
components of a multiple schedule with pigeons. We compared resistance to
extinction and relapse via reinstatement of (1) a target response trained
concurrently with a reinforced alternative response in one component with (2) a
target response trained either concurrently or in separate components from the
alternative response across conditions. Target response rates trained alone in
baseline were higher but resistance to extinction and relapse via reinstatement
tests were greater after training concurrently with the alternative response. In
another assessment, training target and alternative responding together, but
separating them during extinction and reinstatement tests, produced equal
resistance to extinction and relapse. Together, these findings are consistent
with behavioral momentum theory-operant response-reinforcer relations determined
baseline response rates but Pavlovian stimulus-reinforcer relations established
during training determined resistance to extinction and relapse. These findings
imply that reinforcing alternative behavior to treat problem behavior could
initially reduce rates but increase persistence.
PMID- 27870108
TI - Effects of signaling on temporal control of behavior in response-initiated fixed
intervals.
AB - Behavior and events distributed in time can serve as markers that signal delays
to future events. The majority of timing research has focused on how behavior
changes as the time to some event, usually food availability, decreases. The
primary objective of the two experiments presented here was to assess how
behavior changes as time passes between two time markers when the first time
marker was manipulated but the second, food delivery, was held constant. Pigeons
were exposed to fixed-interval, response-initiated fixed-interval, and signaled
response-initiated fixed-interval 15- and 30-s schedules of reinforcement. In
Experiment 1, first-response latencies were systematically shorter in the
signaled response-initiated schedules than response-initiated schedules,
suggesting that the first response was a more effective time marker when it was
signaled. In Experiment 2, responding in no-food (i.e. "peak") trials indicated
that timing accuracy was equivalent in the three schedule types. Compared to
fixed interval schedules, timing precision was reduced in the signaled response
initiated schedules and was lowest in response-initiated schedules. Results from
Experiments 1 and 2 coupled with previous research suggest that the overall
"informativeness" of a time marker relative to other events and behaviors in the
environment may determine its efficacy.
PMID- 27870109
TI - Analyzing large datasets with bootstrap penalization.
AB - Data with a large p (number of covariates) and/or a large n (sample size) are now
commonly encountered. For many problems, regularization especially penalization
is adopted for estimation and variable selection. The straightforward application
of penalization to large datasets demands a "big computer" with high
computational power. To improve computational feasibility, we develop bootstrap
penalization, which dissects a big penalized estimation into a set of small ones,
which can be executed in a highly parallel manner and each only demands a "small
computer". The proposed approach takes different strategies for data with
different characteristics. For data with a large p but a small to moderate n,
covariates are first clustered into relatively homogeneous blocks. The proposed
approach consists of two sequential steps. In each step and for each bootstrap
sample, we select blocks of covariates and run penalization. The results from
multiple bootstrap samples are pooled to generate the final estimate. For data
with a large n but a small to moderate p, we bootstrap a small number of
subjects, apply penalized estimation, and then conduct a weighted average over
multiple bootstrap samples. For data with a large p and a large n, the natural
marriage of the previous two methods is applied. Numerical studies, including
simulations and data analysis, show that the proposed approach has computational
and numerical advantages over the straightforward application of penalization. An
R package has been developed to implement the proposed methods.
PMID- 27870110
TI - The hematology of captive Bobtail lizards (Tiliqua rugosa): blood counts, light
microscopy, cytochemistry, and ultrastructure.
AB - INTRODUCTION: Bobtail lizards (Tiliqua rugosa) are native to Australia. The only
previous study on the hematology of this species documented just 6 animals.
OBJECTIVES: The aims of this study were to characterize the light microscopy,
ultrastructure and cytochemistry of blood cells, and evaluate CBCs of captive
Bobtail lizards. METHODS: Over 2 consecutive summers, heparinized venous blood
was collected from the ventral coccygeal vein of 46 clinically healthy, captive
indoor- or outdoor-housed adult Bobtails. Complete blood cell counts and smear
evaluations were performed, and cytochemical stains and transmission electron
microscopy were used to further characterize blood cells. RESULTS: The
eosinophils of this species were uniformly vacuolated: a unique feature not
previously reported in reptiles. Heterophils were the predominant leukocyte, with
fewer lymphocytes, azurophilic and nonazurophilic monocytes, occasional
eosinophils, and basophils. Thrombocytes were frequently clumped. Slight
polychromasia (0-15% of erythrocytes) was typically present. Hemogregarine
parasites were seen on some smears. The range of CBC results was often wide. The
PCV ranged from 11% to 38%. Total plasma proteins by refractometry were between
3.5 and 7.8 g/dL. Hemoglobin ranged between 2.6 and 12.6 g/dL by the modified
hemoglobin-hydroxylamine method. Manual RBC count was 0.35-1.27 * 106 /MUL, and
WBC count was 2.86-22.66 * 103 /MUL. Bobtail lizards housed outdoors had lower
PCVs than indoor-housed animals. Bobtails with hemogregarine infections had lower
PCVs than noninfected lizards. CONCLUSIONS: Ranges for CBC data were often very
wide, influenced by preanalytic and analytic factors. Hemogregarine infection is
associated with a decreased PCV, suggesting that some hemogregarine species are
pathogenic in this population.
PMID- 27870112
TI - Erratum.
PMID- 27870114
TI - The Molecular Genetics of Autosomal Recessive Nonsyndromic Intellectual
Disability: a Mutational Continuum and Future Recommendations.
AB - Intellectual disability (ID) is a clinical manifestation of the central nervous
system without any major dysmorphologies of the brain. Biologically it affects
learning capabilities, memory, and cognitive functioning. The basic defining
features of ID are characterized by IQ<70, age of onset before 18 years, and
impairment of at least two of the adaptive skills. Clinically it is classified in
a syndromic (with additional abnormalities) and a nonsyndromic form (with only
cognitive impairment). The study of nonsyndromic intellectual disability (NSID)
can best explain the pathophysiology of cognition, intelligence and memory.
Genetic analysis in autosomal recessive nonsyndrmic ID (ARNSID) has mapped 51
disease loci, 34 of which have revealed their defective genes. These genes play
diverse physiological roles in various molecular processes, including
methylation, proteolysis, glycosylation, signal transduction, transcription
regulation, lipid metabolism, ion homeostasis, tRNA modification, ubiquitination
and neuromorphogenesis. High-density SNP array and whole exome sequencing has
increased the pace of gene discoveries and many new mutations are being published
every month. The lack of uniform criteria has assigned multiple identifiers (or
accession numbers) to the same MRT locus (e.g. MRT7 and MRT22). Here in this
review we describe the molecular genetics of ARNSID, prioritize the candidate
genes in uncharacterized loci, and propose a new nomenclature to reorganize the
mutation data that will avoid the confusion of assigning duplicate accession
numbers to the same ID locus and to make the data manageable in the future as
well.
PMID- 27870113
TI - Targeted Resequencing of Deafness Genes Reveals a Founder MYO15A Variant in
Northeastern Brazil.
AB - Identifying the genetic etiology in a person with hearing loss (HL) is
challenging due to the extreme genetic heterogeneity in HL and the population
specific variability. In this study, after excluding GJB2 variants, targeted
resequencing of 180 deafness-related genes revealed the causative variants in 11
of 19 (58%) Brazilian probands with autosomal recessive HL. Identified pathogenic
variants were in MYO15A (10 families) and CLDN14 (one family). Remarkably, the
MYO15A p.(Val1400Met) variant was identified in eight families from the city of
Monte Santo in the northeast region of Brazil. Haplotype analysis of this variant
was consistent with a single founder. No other cases with this variant were
detected among 105 simplex cases from other cities of northeastern Brazil,
suggesting that this variant is confined to a geographical region. This study
suggests that it is feasible to develop population-specific screening for
deafness variants once causative variants are identified in different
geographical groups.
PMID- 27870115
TI - MICA Gene Deletion in 3411 DNA Samples from Five Distinct Populations in Mainland
China and Lack of Association with Nasopharyngeal Carcinoma (NPC) in a Southern
Chinese Han population.
AB - Deletion of major histocompatibility complex class I chain-related genes A
(MICA*Del) was investigated in 3,411 DNA samples from two southern Chinese Han
populations (Hunan Han, HNH; Guangdong Han, GDH), two northern Chinese
populations (Inner Mongolia Han, IMH; Inner Mongolia Mongol, IMM) and one
southeastern Chinese Han population (Fujian Han, FJH) using an in-house
polymerase chain reaction-sequence specific priming (PCR-SSP) assay, which
enables direct discrimination between heterozygote and homozygote for MICA*Del.
MICA*Del showed a frequency ranging from 0.8% in FJH to 5.7% in IMM (Pcorrected <
0.05), indicating northward increase in frequency of MICA*Del in Chinese
populations. In contrast to the association reported recently in a Taiwan Chinese
population and a Malaysian Chinese cohort, MICA*Del distribution did not differ
between 1,120 patients with nasopharyngeal carcinoma (NPC) and 1,483 normal
controls in the HNH population (1.03% in NPC cases vs 1.18% in the controls, OR
(95% CI) = 0.87 (0.51-1.47), p = 0.69). Further gender-stratified analysis also
failed to disclose any male-specific association reported in a Taiwan Chinese
population. Multi-locus typing of the 94 samples carrying MICA*Del revealed two
new haplotypes, HLA-A*11:01-B*13:01-MICA*Del-MICB*009N-DRB1*04:06 and HLA-B*35:01
MICA*Del-MICB*009N-DRB1*15:01, in addition to HLA-B*48-MICA*Del. Unexpectedly,
two samples with MICA*Del in the HNH population were each consistently found to
have two distinct MICA alleles, indicating the existence of two MICA gene copies
on certain HLA haplotypes. Based on the results from a sizeable case-control
study, our data suggest that there is no association between MICA*Del and NPC in
the southern Chinese Han population.
PMID- 27870117
TI - Embracing Change.
PMID- 27870116
TI - Therapy processes, progress, and outcomes for 2 therapies for gynecological
cancer patients.
AB - OBJECTIVE: Although a number of effective psychotherapies have been identified
for cancer patients, little is known about therapy processes, as they unfold the
course of treatment and the role of therapy processes in treatment outcome. We
used growth curve modeling to evaluate the associations between therapy processes
and outcomes among gynecological cancer patients participating in 2 types of
therapy. METHODS: Two hundred twenty five women newly diagnosed with
gynecological cancer were randomly assigned to receive 8 sessions of a coping and
communication intervention or a client-centered supportive therapy. Participants
completed measures of preintervention and postintervention depression, working
alliance after Session 2, and postsession progress and depressive symptoms after
each session. Therapists completed measures of perceived patient progress.
RESULTS: Both patients and therapists reported a steady increase in session
progress and patients reported a steady decrease in depressive symptoms over the
course of both the coping and communication intervention and client-centered
supportive sessions. Perceived progress in one session predicted progress in the
subsequent session. Early working alliance predicted improved session progress
and reductions in postsession depressive symptoms over sessions. Working alliance
did not predict prepost treatment changes in depression. Patient-rated session
progress predicted greater reductions in pretreatment to posttreatment
depression, but therapist-rated progress did not. CONCLUSIONS: For 2 types of
treatment delivered to women diagnosed with gynecological cancer, patient-rated
session progress and depressive symptoms rated over therapy sessions may serve as
a yardstick that can be useful to therapists to gauge patient's response to
treatment.
PMID- 27870118
TI - Re: Terracini et al. Comments on the causation of malignant mesothelioma:
Rebutting the false concept that recent exposures to asbestos do not contribute
to causation of mesothelioma. Am J Ind Med 2016;59:506-507.
PMID- 27870119
TI - Does resistance exercise exert a role in hippocampal neurogenesis?
PMID- 27870121
TI - Sensory processing during absence seizures.
PMID- 27870120
TI - Continuous attractor network models of grid cell firing based on excitatory
inhibitory interactions.
AB - Neurons in the medial entorhinal cortex encode location through spatial firing
fields that have a grid-like organisation. The challenge of identifying
mechanisms for grid firing has been addressed through experimental and
theoretical investigations of medial entorhinal circuits. Here, we discuss
evidence for continuous attractor network models that account for grid firing by
synaptic interactions between excitatory and inhibitory cells. These models
assume that grid-like firing patterns are the result of computation of location
from velocity inputs, with additional spatial input required to oppose drift in
the attractor state. We focus on properties of continuous attractor networks that
are revealed by explicitly considering excitatory and inhibitory neurons, their
connectivity and their membrane potential dynamics. Models at this level of
detail can account for theta-nested gamma oscillations as well as grid firing,
predict spatial firing of interneurons as well as excitatory cells, show how
gamma oscillations can be modulated independently from spatial computations,
reveal critical roles for neuronal noise, and demonstrate that only a subset of
excitatory cells in a network need have grid-like firing fields. Evaluating
experimental data against predictions from detailed network models will be
important for establishing the mechanisms mediating grid firing.
PMID- 27870122
TI - Neural mechanisms for spatial computation.
PMID- 27870123
TI - Neurogenic hyperalgesia: illuminating its mechanisms with an infrared laser.
PMID- 27870124
TI - Are stem cell-derived neural cells physiologically credible?
PMID- 27870125
TI - Corrigendum: Ion strength limit of computed excess functions based on the
linearized poisson-boltzmann equation.
PMID- 27870126
TI - Discovery of Therapeutic Approaches for Polyglutamine Diseases: A Summary of
Recent Efforts.
AB - Polyglutamine (PolyQ) diseases are a group of neurodegenerative disorders caused
by the expansion of cytosine-adenine-guanine (CAG) trinucleotide repeats in the
coding region of specific genes. This leads to the production of pathogenic
proteins containing critically expanded tracts of glutamines. Although polyQ
diseases are individually rare, the fact that these nine diseases are
irreversibly progressive over 10 to 30 years, severely impairing and ultimately
fatal, usually implicating the full-time patient support by a caregiver for long
time periods, makes their economic and social impact quite significant. This has
led several researchers worldwide to investigate the pathogenic mechanism(s) and
therapeutic strategies for polyQ diseases. Although research in the field has
grown notably in the last decades, we are still far from having an effective
treatment to offer patients, and the decision of which compounds should be
translated to the clinics may be very challenging. In this review, we provide a
comprehensive and critical overview of the most recent drug discovery efforts in
the field of polyQ diseases, including the most relevant findings emerging from
two different types of approaches-hypothesis-based candidate molecule testing and
hypothesis-free unbiased drug screenings. We hereby summarize and reflect on the
preclinical studies as well as all the clinical trials performed to date, aiming
to provide a useful framework for increasingly successful future drug discovery
and development efforts.
PMID- 27870127
TI - Study Explores Cost Benefits of Optimising Pregnancy Outcome.
PMID- 27870128
TI - Validity and power considerations on hypothesis testing under minimization.
PMID- 27870129
TI - Comments on 'Validity and power considerations on hypothesis testing under
minimization': by Z. Xu, M. Proschan, and S. Lee, Statistics in Medicine 2016.
PMID- 27870130
TI - Estimating hazard ratios in cohort data with missing disease information due to
death.
AB - In clinical and epidemiological studies information on the primary outcome of
interest, that is, the disease status, is usually collected at a limited number
of follow-up visits. The disease status can often only be retrieved
retrospectively in individuals who are alive at follow-up, but will be missing
for those who died before. Right-censoring the death cases at the last visit (ad
hoc analysis) yields biased hazard ratio estimates of a potential risk factor,
and the bias can be substantial and occur in either direction. In this work, we
investigate three different approaches that use the same likelihood contributions
derived from an illness-death multistate model in order to more adequately
estimate the hazard ratio by including the death cases into the analysis: a
parametric approach, a penalized likelihood approach, and an imputation-based
approach. We investigate to which extent these approaches allow for an unbiased
regression analysis by evaluating their performance in simulation studies and on
a real data example. In doing so, we use the full cohort with complete illness
death data as reference and artificially induce missing information due to death
by setting discrete follow-up visits. Compared to an ad-hoc analysis, all
considered approaches provide less biased or even unbiased results, depending on
the situation studied. In the real data example, the parametric approach is seen
to be too restrictive, whereas the imputation-based approach could almost
reconstruct the original event history information.
PMID- 27870132
TI - Retraction: "Oral Polypodium leucomotos increases the anti-inflammatory and
melanogenic responses of the skin to different modalities of sun exposures: a
pilot study" by Calzavara-Pinton PG, Rossi MT, Zanca A, Arisi M, Gonzalez S, and
Venturini M.
AB - The above article, published online on November 6, 2015 in Wiley Online Library
(wileyonlinelibrary.com), has been retracted by agreement between the authors,
the journal Editor in Chief, Thomas M. Ruenger, MD PhD and John Wiley & Sons Ltd.
The retraction has been agreed as the clinical trial reported in this manuscript
was not approved by the local Ethics Committee. Reference Calzavara-Pinton PG,
Rossi MT, Zanca A, Arisi M, Gonzalez S, Venturini M. Oral Polypodium leucomotos
increases the anti-inflammatory and melanogenic responses of the skin to
different modalities of sun exposures: a pilot study. Photodermatol Photoimmunol
Photomed 2016; 32: 22-27. doi: 10.1111/phpp.12209.
PMID- 27870135
TI - Independent assessment of matrix-assisted laser desorption/ionization mass
spectrometry (MALDI-MS) sample preparation quality: Effect of sample preparation
on MALDI-MS of synthetic polymers.
AB - RATIONALE: Matrix-assisted laser desorption/ionization mass spectrometry (MALDI
MS) provides detailed and in-depth information about the molecular
characteristics of synthetic polymers. To obtain the most accurate results the
sample preparation parameters should be chosen to suit the sample and the aim of
the experiment. Because the underlying principles of MALDI are still not fully
known, a priori determination of optimal sample preparation protocols is often
not possible. METHODS: Employing an automated sample preparation quality
assessment method recently presented by us we quantified the sample preparation
quality obtained using various sample preparation protocols. Six conventional
matrices with and without added potassium as a cationization agent and six ionic
liquid matrices (ILMs) were assessed using poly(ethylene glycol) (PEG),
polytetrahydrofuran (PTHF) and poly(methyl methacrylate) (PMMA) as samples. All
sample preparation protocols were scored and ranked based on predefined quality
parameters and spot-to-spot repeatability. RESULTS: Clearly distinctive
preferences were observed in matrix identity and cationization agent for PEG,
PTHF and PMMA, as the addition of an excess of potassium cationization agent
results in an increased score for PMMA and a contrasting matrix-dependent effect
for PTHF and PEG. The addition of excess cationization agent to sample mixtures
dissipates any overrepresentation of high molecular weight polymer species. Our
results show reduced ionization efficiency and similar sample deposit homogeneity
for all tested ILMs, compared with well-performing conventional MALDI matrices.
CONCLUSIONS: The results published here represent a start in the unsupervised
quantification of sample preparation quality for MALDI samples. This method can
select the best sample preparation parameters for any synthetic polymer sample
and the results can be used to formulate hypotheses on MALDI principles.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27870139
TI - Corrigendum.
PMID- 27870138
TI - Promise of Combining Antifungal Agents in Denture Adhesives to Fight Candida
Species Infections.
AB - PURPOSE: Several complications may arise in patients wearing complete prosthetic
appliances, including denture-associated infections and mucosal stomatitis due to
Candida species. This study evaluated the activity of anti-Candida agents in
denture adhesive and the cytotoxicities of these preparations for primary human
gingival epithelial (GE) keratinocytes. MATERIALS AND METHODS: The anti-Candida
activities of antimicrobial peptides, antimicrobial lipids, and antifungal agents
against C. albicans ATCC 64124 or HMV4C were assessed in microdilution assays
containing water or 1% denture adhesive. The minimal inhibitory concentrations
(MIC) and the minimal bactericidal concentrations (MBC) were determined. The
cytotoxicities of denture adhesive compounded with these agents were assessed in
1.0 * 105 primary GE keratinocytes in LGM-3 media with resazurin. RESULTS:
Lactoferricin B, SMAP28, sphingosine, dihydrosphingosine, and phytosphingosine in
1% denture adhesive lost antimicrobial activity for C. albicans (p < 0.05).
Amphotericin B, chlorhexidine dihydrochloride, chlorhexidine gluconate,
fluconazole, and nystatin in 1% denture adhesive or compounded directly into
denture adhesive and then diluted to 1% adhesive, did not lose antimicrobial
activity. Compounded formulations were not cytotoxic (LD50 > 100.0 MUg/ml)
against primary human GE keratinocytes. CONCLUSIONS: Antimicrobial peptides and
antimicrobial lipids had diminished activities in 1% adhesive, suggesting that
components in adhesives may inactivate local innate immune factors in the oral
cavity, possibly predisposing denture wearers to Candida species infections. More
importantly, antifungal agents retained their anti-C. albicans activities in
denture adhesive, strongly suggesting that antifungal agents could be candidates
for inclusion in adhesive formulations and used as prescribed topical treatments
for individuals with denture stomatitis.
PMID- 27870140
TI - Life Finds a Way: Novel Algae in Reactor Cooling Ponds.
PMID- 27870142
TI - Editorial for 31,4.
PMID- 27870141
TI - Periodontal tissue engineering by nano beta-tricalcium phosphate scaffold and
fibroblast growth factor-2 in one-wall infrabony defects of dogs.
AB - BACKGROUND AND OBJECTIVE: Nanoparticle bioceramics are being investigated for
biomedical applications. We fabricated a regenerative scaffold comprising type I
collagen and beta-tricalcium phosphate (beta-TCP) nanoparticles. Fibroblast
growth factor-2 (FGF-2) is a bioeffective signaling molecule that stimulates cell
proliferation and wound healing. This study examined the effects, on bioactivity,
of a nano-beta-TCP/collagen scaffold loaded with FGF-2, particularly on
periodontal tissue wound healing. MATERIAL AND METHODS: Beta-tricalcium phosphate
was pulverized into nanosize particles (84 nm) and was then dispersed. A nano
beta-TCP scaffold was prepared by coating the surface of a collagen scaffold with
a nanosize beta-TCP dispersion. Scaffolds were characterized using scanning
electron microscopy, compressive testing, cell seeding and rat subcutaneous
implant testing. Then, nano-beta-TCP scaffold, nano-beta-TCP scaffold loaded with
FGF-2 and noncoated collagen scaffold were implanted into a dog one-wall
infrabony defect model. Histological observations were made at 10 d and 4 wk
postsurgery. RESULTS: Scanning electron microscopy images show that TCP
nanoparticles were attached to collagen fibers. The nano-beta-TCP scaffold showed
higher compressive strength and cytocompatibility compared with the noncoated
collagen scaffold. Rat subcutaneous implant tests showed that the DNA contents of
infiltrating cells in the nano-beta-TCP scaffold and the FGF-2-loaded scaffold
were approximately 2.8-fold and 3.7-fold greater, respectively, than in the
collagen scaffold. Histological samples from the periodontal defect model showed
about five-fold greater periodontal tissue repair following implantation of the
nano-beta-TCP scaffold loaded with FGF-2 compared with the collagen scaffold.
CONCLUSION: The beta-TCP nanoparticle coating strongly improved the collagen
scaffold bioactivity. Nano-beta-TCP scaffolds containing FGF-2 are anticipated
for use in periodontal tissue engineering.
PMID- 27870143
TI - List of Contributor for HPM 31:4.
PMID- 27870146
TI - Special issue: Environmental interactions.
PMID- 27870144
TI - Identifying Research Priorities in Midwifery, a Multinational Delphi Survey.
PMID- 27870148
TI - Achievement indicators for researchers who publish in Indoor Air.
PMID- 27870147
TI - Management strategies in Lynch syndrome and familial adenomatous polyposis: a
national healthcare survey in Japan.
AB - Lynch syndrome (LS) and familial adenomatous polyposis (FAP) are major sources of
hereditary colorectal cancer (CRC) and are associated with other malignancies.
There is some heterogeneity in management strategies in Japan. We undertook a
survey of management of hereditary CRC in hospitals that are members of the Japan
Society of Colorectal Cancer Research. One hundred and ninety departments
responded, of which 127 were from designated cancer care hospitals (DCCHs)
according to the Japanese government. There were 25 488 operations for CRC in
these departments in 2015. The DCCHs performed better with regard to usage of
Japan Society of Colorectal Cancer Research guidelines, referring new CRC
patients for LS screening, and having in-house genetic counselors and knowledge
of treatment for LS. There were 174 patients diagnosed with LS and 602 undergoing
follow-up in 2011-2015, which is fewer than the number expected from CRC
operations in 2015. These numbers were not affected by whether the institution
was a DCCH. Universal screening for LS was carried out in 8% of the departments.
In contrast, 541 patients were diagnosed with FAP and 273 received preventive
proctocolectomy/colectomy in 2011-2015. The DCCH departments undertook more
surgery than non-DCCH departments, although most of the management, including
surgical procedures and use of non-steroidal anti-inflammatory drugs, was
similar. Management of desmoid tumor in the abdominal cavity differed according
to the number of patients treated. In conclusion, there was heterogeneity in
management of LS but not FAP. Most patients with LS may be overlooked and
universal screening for LS is not common in Japan.
PMID- 27870149
TI - Development of sociality and emergence of independence in a killer whale (Orcinus
orca) calf from birth to 36 months.
AB - Dolphin calves spend most of their time swimming with their mother immediately
after birth. As they mature, the calves become increasingly independent, and
begin to interact more often with other calves, juveniles, and sub-adults. For
bottlenose dolphin calves, sociality is related to maternal behaviors.
Unfortunately, much less is known about the development of sociality and
emergence of independence for killer whale calves. The purpose of this study was
to examine the developmental changes in social behaviors and solitary activities
of a killer whale calf across a 36-month period. Focal follow video recordings of
a mother-calf pair housed at SeaWorld San Antonio were collected 2-6 times a day
for 5-15 min at 6-month intervals. Using a sample of randomly selected video
recordings at each month, developmental changes in swims and social interactions
with her mother, swims and social interactions with non-maternal partners, and
solitary activities (e.g., solitary swims, solitary play) were observed across
the months. The calf spent most of her time swimming with the mother across the
36-month period. The time the calf socialized with her mother was greater than
the time she socialized with others at each month. Besides her mother, the calf
socialized more often with the other adult female compared to adult males. As the
calf matured, the increase in the time she spent socializing with adult killer
whales other than the mother corresponded with an increase in the rate and time
spent in solitary play. The developmental trends of sociality and emerging
independence replicate research conducted with calves of other dolphin species.
Zoo Biol. 36:11-20, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870150
TI - Corrigendum.
PMID- 27870151
TI - Ph-like acute lymphoblastic leukemia with a novel PAX5-KIDINS220 fusion
transcript.
AB - Although "paired box 5" (PAX5)-related fusion genes are well documented in
childhood B-cell precursor acute lymphoblastic leukemia (ALL), these types of
fusion with the exception of PAX5-JAK2 are rarely seen in patients with gene
expression profiles similar to those of BCR-ABL1 (Philadelphia)-positive ALL (Ph
like ALL). We report a novel fusion of the genes PAX5 and "kinase D-interacting
substrate of 220 kDa" (KIDINS220, also known as ARMS) in a Ph-like ALL. As PAX5
is a master regulator of B-lymphocyte differentiation, PAX5 rearrangements induce
a differentiation block in B lymphocytes. KIDINS220 is a mediator of multiple
receptor signaling pathways, interacts with both T- and B-cell receptors, and is
necessary for sustained extracellular signal-regulated kinase (ERK) signaling.
Although functional studies are needed, the PAX5-KIDINS220 fusion protein might
not only inhibit wild-type PAX5 function, but also promote sustained activation
of the ERK signaling pathway through upregulation of KIDINS220. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870152
TI - Practical aspects of gene regulatory inference via conditional inference forests
from expression data.
AB - Gene regulatory network (GRN) inference is an active area of research that
facilitates understanding the complex interplays between biological molecules. We
propose a novel framework to create such GRNs, based on Conditional Inference
Forests (CIFs) as proposed by Strobl et al. Our framework consists of using
ensembles of Conditional Inference Trees (CITs) and selecting an appropriate
aggregation scheme for variant selection prior to network construction. We show
on synthetic microarray data that taking the original implementation of CIFs with
conditional permutation scheme (CIFcond ) may lead to improved performance
compared to Breiman's implementation of Random Forests (RF). Among all newly
introduced CIF-based methods and five network scenarios obtained from the DREAM4
challenge, CIFcond performed best. Networks derived from well-tuned CIFs,
obtained by simply averaging P-values over tree ensembles (CIFmean ) are
particularly attractive, because they combine adequate performance with
computational efficiency. Moreover, thresholds for variable selection are based
on significance levels for P-values and, hence, do not need to be tuned. From a
practical point of view, our extensive simulations show the potential advantages
of CIFmean -based methods. Although more work is needed to improve on speed,
especially when fully exploiting the advantages of CITs in the context of
heterogeneous and correlated data, we have shown that CIF methodology can be
flexibly inserted in a framework to infer biological interactions. Notably, we
confirmed biologically relevant interaction between IL2RA and FOXP1, linked to
the IL-2 signaling pathway and to type 1 diabetes.
PMID- 27870154
TI - Lipidomics analysis of long-chain fatty acyl-coenzyme As in liver, brain, muscle
and adipose tissue by liquid chromatography/tandem mass spectrometry.
AB - RATIONALE: Long-chain fatty acyl-coenzyme As (FA-CoAs) are important bioactive
molecules, playing key roles in biosynthesis of fatty acids, membrane trafficking
and signal transduction. Development of sensitive analytical methods for
profiling theses lipid species in various tissues is critical to understand their
biological activity. A high-pressure liquid chromatography/tandem mass
spectrometry method has been developed for the quantitative analysis and
screening of long-chain FACoAs in liver, brain, muscle and adipose tissue.
METHODS: The sample preparation method consists of tissue homogenization,
extraction with organic solvent and reconstitution in an ammonium hydroxide
buffer. Extracts are separated by liquid chromatography (LC) on a reversed-phase
column and detected by electrospray ionization tandem mass spectrometry (ESI
MS/MS) in positive mode. An additional neutral loss scan allows for untargeted FA
CoAs screening. RESULTS: Extraction was optimized for low sample load (10 mg) of
four tissue types (liver, brain, muscle and adipose tissue) with recoveries
between 60-140% depending on the analyte and tissue type. Targeted quantification
was validated for ten FA-CoAs in the range 0.1-500 ng/mL with accuracies between
85-120%. CONCLUSIONS: We have developed and validated a LC/MS/MS method for the
quantifications and screening of long-chain FA-CoAs in four different types of
mammalian tissue. The extraction method is straightforward and long-chain FA-CoA
species can be quantified using only minimum amount of tissue. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27870156
TI - Combinations and loads of bacteria affect the cytokine production by fetal
membranes: An in vitro study.
AB - PROBLEM: The polybacterial invasion and inflammation of the amniotic cavity is a
common scenario in PTB, and then, we analyzed the cytokine production by human
fetal membranes to better understand the host response to polybacterial
infections. METHOD OF STUDY: Fetal membranes were treated by heat-inactivated
genital mycoplasmas and Gardnerella vaginalis at 103 or 106 colony/color-forming
units/mL alone or in combination. Cytokines/receptors were measured in the medium
by immunoassays. RESULTS: Stimulation of genital mycoplasmas did not increase the
proinflammatory cytokines, except Ureaplasma urealyticum that increased IL-8
levels. However, U. urealyticum and Mycoplasma hominis significantly increased IL
10 and IL-13 levels. G. vaginalis alone or in combination with genital
mycoplasmas showed an increased proinflammatory and anti-inflammatory cytokines.
CONCLUSIONS: G. vaginalis sustain a proinflammatory response in the fetal
membranes in vitro, while genital mycoplasmas induce a strong control of the
inflammatory response. The ability of genital mycoplasmas to control the
proinflammatory response may favor their survival in the upper genital tract.
PMID- 27870158
TI - Erratum.
PMID- 27870157
TI - Subacute Radiation Dermatitis after Fluoroscopy.
PMID- 27870155
TI - Timing of Maternal Exposure and Foetal Sex Determine the Effects of Low-level
Chemical Mixture Exposure on the Foetal Neuroendocrine System in Sheep.
AB - We have shown that continuous maternal exposure to the complex mixture of
environmental chemicals (ECs) found in human biosolids (sewage sludge), disrupts
mRNA expression of genes crucial for development and long-term regulation of
hypothalamic-pituitary gonadal (HPG) function in sheep. The present study
investigated whether exposure to ECs only during preconceptional period or only
during pregnancy perturbed key regulatory genes within the hypothalamus and
pituitary gland and whether these effects were different from chronic (life-long)
exposure to biosolid ECs. The findings demonstrate that the timing and duration
of maternal EC exposure influences the subsequent effects on the foetal
neuroendocrine system in a sex-specific manner. Maternal exposure prior to
conception, or during pregnancy only, altered the expression of key foetal
neuroendocrine regulatory systems such as gonadotrophin-releasing hormone and
kisspeptin to a greater extent than when maternal exposure was 'life-long'.
Furthermore, hypothalamic gene expression was affected to a greater extent in
males than in females and, following EC exposure, male foetuses expressed more
'female-like' mRNA levels for some key neuroendocrine genes. This is the first
study to show that 'real-life' maternal exposure to low levels of a complex
cocktail of chemicals prior to conception can subsequently affect the developing
foetal neuroendocrine system. These findings demonstrate that the developing
neuroendocrine system is sensitive to EC mixtures in a sex-dimorphic manner
likely to predispose to reproductive dysfunction in later life.
PMID- 27870160
TI - Erratum.
PMID- 27870159
TI - BRAF V600E is not a consistent feature of myopericytoma.
PMID- 27870163
TI - Public Health Nurses in Cancer Care: Broadening the Intersection.
PMID- 27870162
TI - Galectin-3 contributes to vascular fibrosis in monocrotaline-induced pulmonary
arterial hypertension rat model.
AB - Galectin-3 (Gal-3) plays a critical role in vascular inflammation and fibrosis.
The role of TGF-beta1 in mediating pulmonary vascular fibrosis is well
documented; thus, we suspected that Gal-3 could be an important factor in TGF
beta1-induced fibrosis in pulmonary adventitial fibroblasts (PAFs). We treated
rats with monocrotaline (MCT) and cultured PAFs with TGF-beta1 to stimulate
fibrosis. We found that MCT injection induced vessel thickening and extracellular
matrix deposition in vivo. TGF-beta1 stimulated the production of collagen and
fibronectin (Fn) protein in vitro. TGF-beta1 promoted the expression of Gal-3 and
its translocation, while silencing Gal-3 reduced Col-1a deposition. Blockage of
STAT3 decreased the expression of Gal-3 induced by TGF-beta1. Gal-3 increased Col
1a accumulation and downregulated matrix metallopeptidase 9 (MMP-9) expression in
PAFs, but it did not affect Fn expression. These findings demonstrate that Gal-3
is required for TGF-beta1-stimulated vascular fibrosis via a STAT3 signaling
cascade and that MMP-9 is also involved in TGF-beta1/Gal-3-induced vascular
fibrosis.
PMID- 27870166
TI - The frontier spirit and reproducible research in animal breeding.
PMID- 27870167
TI - Design of Complete Dentures by Adopting CAD Developed for Fixed Prostheses.
AB - The demand for complete dentures is expected to increase worldwide, but complete
dentures are mainly designed and fabricated manually involving a broad series of
clinical and laboratory procedures. Therefore, the quality of complete dentures
largely depends on the skills of the dentist and technician, leading to
difficulty in quality control. Computer-aided design and manufacturing (CAD/CAM)
has been used to design and fabricate various dental restorations including
dental inlays, veneers, crowns, partial crowns, and fixed partial dentures
(FPDs). It has been envisioned that the application of CAD/CAM technology could
reduce intensive clinical/laboratory work for the fabrication of complete
dentures; however, CAD/CAM is seldom used to fabricate complete dentures due to
the lack of suitable CAD software to design virtual complete dentures although
the CAM techniques are in a much advanced stage. Here we report the successful
design of virtual complete dentures using CAD software of 3Shape Dental System
2012, which was developed for designing fixed prostheses instead of complete
dentures. Our results demonstrated that complete dentures could be successfully
designed by the combination of two modeling processes, single coping and full
anatomical FPD, available in the 3Shape Dental System 2012.
PMID- 27870170
TI - Abandoning cricoid pressure.
PMID- 27870169
TI - Comparison of dynamic magnetic resonance defaecography with rectal contrast and
conventional defaecography for posterior pelvic floor compartment prolapse.
AB - AIM: This study compared the diagnostic capabilities of dynamic magnetic
resonance defaecography (D-MRI) with conventional defaecography (CD, reference
standard) in patients with symptoms of prolapse of the posterior compartment of
the pelvic floor. METHOD: Forty-five consecutive patients underwent CD and D-MRI.
Outcome measures were the presence or absence of rectocele, enterocele,
intussusception, rectal prolapse and the descent of the anorectal junction on
straining, measured in millimetres. Cohen's Kappa, sensitivity, specificity,
positive predictive value (PPV), negative predictive value (NPV) and the positive
and negative likelihood ratio of D-MRI were compared with CD. Cohen's Kappa and
Pearson's correlation coefficient were calculated and regression analysis was
performed to determine inter-observer agreement. RESULTS: Forty-one patients were
available for analysis. D-MRI underreported rectocele formation with a difference
in prevalence (CD 77.8% vs D-MRI 55.6%), mean protrusion (26.4 vs 22.7 mm, P =
0.039) and 11 false negative results, giving a low sensitivity of 0.62 and a NPV
of 0.31. For the diagnosis of enterocele, D-MRI was inferior to CD, with five
false negative results, giving a low sensitivity of 0.17 and high specificity
(1.0) and PPV (1.0). Nine false positive intussusceptions were seen on D-MRI with
only two missed. CONCLUSION: The accuracy of D-MRI for diagnosing rectocele and
enterocele is less than that of CD. D-MRI, however, appears superior to CD in
identifying intussusception. D-MRI and CD are complementary imaging techniques in
the evaluation of patients with symptoms of prolapse of the posterior
compartment.
PMID- 27870168
TI - Proteome and Secretome Characterization of Glioblastoma-Derived Neural Stem
Cells.
AB - Glioblastoma multiforme (GBM) (grade IV astrocytoma) is the most common and
aggressive primary brain tumor. GBM consists of heterogeneous cell types
including a subset of stem cell-like cells thought to sustain tumor growth. These
tumor-initiating glioblastoma multiforme-derived neural stem (GNS) cells as well
as their genetically normal neural stem (NS) counterparts can be propagated in
culture as relatively pure populations. Here, we perform quantitative proteomics
to globally characterize and compare total proteome plus the secreted proteome
(secretome) between GNS cells and NS cells. Proteins and pathways that
distinguish malignant cancer (GNS) stem cells from their genetically normal
counterparts (NS cells) might have value as new biomarkers or therapeutic
targets. Our analysis identified and quantified ~7,500 proteins in the proteome
and ~2,000 in the secretome, 447 and 138 of which were differentially expressed,
respectively. Notable tumor-associated processes identified using gene set
enrichment analysis included: extracellular matrix interactions, focal adhesion,
cell motility, and cell signaling. We focused on differentially expressed surface
proteins, and identified 26 that participate in ligand-receptor pairs that play a
prominent role in tumorigenesis. Immunocytochemistry and immunoblotting confirmed
that CD9, a recently identified marker of adult subventricular zone NS cells, was
consistently enriched across a larger set of primary GNS cell lines. CD9 may,
therefore, have value as a GNS-specific surface marker and a candidate
therapeutic target. Altogether, these findings support the notion that increased
cell-matrix and cell-cell adhesion molecules play a crucial role in promoting the
tumor initiating and infiltrative properties of GNS cells. Stem Cells 2017;35:967
980.
PMID- 27870171
TI - Sciatic nerve block and enhanced recovery after total knee arthroplasty.
PMID- 27870172
TI - Supraclavicular or interscalene brachial plexus block for rotator cuff repair?
PMID- 27870173
TI - Apnoeic oxygenation in pregnancy.
PMID- 27870174
TI - Eye protection in anaesthesia.
PMID- 27870175
TI - Another cardiopulmonary exercise testing machine fault.
PMID- 27870176
TI - Cricoid pressure and the law.
PMID- 27870177
TI - Incidental finding of a tracheal fragment from a Frova intubating catheter.
PMID- 27870178
TI - Sciatic nerve block and enhanced recovery after total knee arthroplasty - a
reply.
PMID- 27870179
TI - Abandoning cricoid pressure - a reply.
PMID- 27870180
TI - Complete relinquishing of anaesthetic conscientiousness, optimisation and nuance
(CRAC-ON) trial.
PMID- 27870181
TI - Interscalene catheters for shoulder surgery.
PMID- 27870182
TI - Airway topical anaesthesia for awake fibreoptic intubation - a reply.
PMID- 27870183
TI - AAGBI safe vascular access guidelines - a reply.
PMID- 27870184
TI - Interscalene catheters for shoulder surgery - a reply.
PMID- 27870186
TI - Correction.
PMID- 27870185
TI - Cortical reflex myoclonus.
PMID- 27870187
TI - Apnoeic oxygenation in pregnancy - a reply.
PMID- 27870188
TI - A complication of a femoral arterial catheter.
PMID- 27870189
TI - Comparing fibreoscopy with the Pentax Airway Scope for awake orotracheal
intubation.
PMID- 27870190
TI - Is a judicial change needed to protect organ donation?
PMID- 27870191
TI - A COMMUNITY MENTAL HEALTH PROFESSIONAL DEVELOPMENT MODEL FOR THE EXPANSION OF
REFLECTIVE PRACTICE AND SUPERVISION: EVALUATION OF A PILOT TRAINING SERIES FOR
INFANT MENTAL HEALTH PROFESSIONALS.
AB - The Michigan Association for Infant Mental Health identified a need for
reflective supervision training for infant mental health (IMH) specialists
providing home-based services to highly vulnerable infants and their families.
Findings indicate that this pilot of an IMH community mental health professional
development model was successful, as measured by the participants' increased
capacity to apply reflective practice and supervisory knowledge and skills.
Furthermore, IMH clinicians demonstrated an increase in the frequency of their
use of reflective practice skills, and their supervisors demonstrated an increase
in their sense of self-efficacy regarding reflective supervisory tasks. Finally,
the evaluation included a successful pilot of new measures designed to measure
reflective practice, contributing to the growing body of research in the area of
reflective supervision.
PMID- 27870192
TI - "EFFECTIVE VOLUNTEERISM:" HELPING CHILD CAREGIVERS IN DEVELOPING COUNTRIES.
AB - This article proposes a method of volunteering mental health consultation to
child caregivers in developing countries in the context of episodic visits and a
long-term relationship. It is derived from the author's experience doing this
work for approximately 12 years. The two foundational features of the method-the
role of a consultant and a long-term relationship-are described. The method is
then elaborated in two settings: consultation to caregivers in an orphanage in
Central America and at a hospital in India. While these examples are distinct in
multiple domains, they have in common the core features of the consultative model
and a long-term relationship. Finally, the article briefly addresses challenges
that the consultant experiences when working with neglected and traumatized
children and the usefulness of reflective practice. It is hoped that an
articulation of this method may make it possible for many who might wish to
volunteer to do so, in a way that neither interferes significantly with their
work and family life at home nor creates the problems of "helicopter
volunteering."
PMID- 27870193
TI - REFLECTION IN HOME VISITING: THE WHAT, WHY, AND A BEGINNING STEP TOWARD HOW.
AB - The work of home visitors in early childhood fields may include addressing many
challenges to achieving curricular outcomes, including issues such as maintaining
boundaries and managing one's own reactions to children, parents, and overall
family situations. Increasingly, reflective supervision and consultation are
recognized as a way for workers in home-visiting early intervention and early
care fields to address these personal and professional challenges and build
competence (Watson, Gatti, Cox, Harrison, & Hennes, ). The features of home
visiting that make reflective supervision/consultation essential are discussed.
Next, results of a pilot project in which a sample of Part C early intervention
providers respond to a vignette portraying a challenging parent-child interaction
are briefly presented and discussed. Despite often stating the importance of
relationships, participants did not identify concrete methods of supporting
relationship or demonstrate recognition of parallel process. In addition,
providers seldom endorsed the use of reflective skills such as observing,
listening, wondering, or reflecting (Weatherston, ), and no providers discussed a
need for reflective supervision/consultation. We suggest that these findings
illustrate some of the areas in which early intervention home visitors could
benefit from participation in reflective supervision/consultation to move from
identifying reflective skills as important to actually being able to use such
skills in their work with families.
PMID- 27870195
TI - Shigella flexneri modulates stress granule composition and inhibits stress
granule aggregation.
PMID- 27870194
TI - Gray platelet syndrome: Novel mutations of the NBEAL2 gene.
PMID- 27870196
TI - Yngve Larsson (1917-2014), a pioneer in the treatment of childhood diabetes.
PMID- 27870197
TI - The good stress of being born.
PMID- 27870198
TI - Rolf Zetterstrom (1920-2011), Professor of paediatrics, prolific author and
editor-in-chief.
PMID- 27870200
TI - Petter Karlberg (1919-2006), a curious scientist.
PMID- 27870201
TI - The importance of being earnest in epidemiology.
PMID- 27870202
TI - Bo Vahlquist (1909-1978), a great paediatrician and friend.
PMID- 27870203
TI - Isak Jundell (1867-1945), Professor of paediatrics, founder of Acta Paediatrica
and the child's best friend.
PMID- 27870204
TI - Bengt Hagberg (1923-2015), Swedish father of child neurology.
PMID- 27870205
TI - Acta Paediatrica from Print (1921-2016) to Online only.
PMID- 27870206
TI - Antibiotics are being misused to treat diarrhoeal disease in children in Central
Asia.
PMID- 27870207
TI - Tony Foucard (1936-2008), a man of honour.
PMID- 27870208
TI - Carl-Gustaf Bergstrand (1914-2011), former Managing Editor of Acta Paediatrica.
PMID- 27870209
TI - The rise of the growth hormone era from a Swedish perspective.
PMID- 27870210
TI - Risk profiles for haemolytic and nonhaemolytic neonatal jaundice.
PMID- 27870211
TI - A multicellular organism with embedded cell clusters from the Ediacaran Weng'an
biota (Doushantuo Formation, South China).
AB - Three-dimensional analyses of the early Ediacaran microfossils from the Weng'an
biota (Doushantuo Formation) have focused predominantly on multicellular forms
that have been interpreted as embryos, and yet they have defied phylogenetic
interpretation principally because of absence of evidence from other stages in
their life cycle. It is therefore unfortunate that the affinities of the various
other Doushantuo microfossils have been neglected. A new conical fossil that is
preserved at a cellular level is described here. The fossil contains distinct
cell clusters that are characterized and analysed in three dimensions. These
clusters are often exposed at the specimen surface, and the fossil preserves many
hemispherical craters that are interpreted as positions where clusters have left
the organism. The cell clusters may be either reproductive propagules or
infesting organisms. Similar clusters are found in a variety of Doushantuo
organisms including putative animal embryos and algae.
PMID- 27870213
TI - Unexpected sex-specific post-reproductive lifespan in the free-living nematode
Pristionchus exspectatus.
AB - Patterns of senescence (or aging) can vary among life history traits and between
the sexes, providing an opportunity to study variation in the aging process
within a single species. We previously found that females of the nematode
Pristionchus exspectatus outlive males by a substantial margin under laboratory
conditions. Here, we show that sex-specific reproductive senescence unfolds in
the opposite direction in this species, resulting in a prolonged period of female
specific post-reproductive survival: females lost the ability to reproduce at
approximately 4.7 weeks despite a median lifespan of about 12.3 weeks under lab
conditions, whereas males lost the ability to reproduce at approximately 6.6
weeks, roughly in line with their median lifespan of around 7.6 weeks.
Interestingly, somatic senescence (declining crawling speed) only explained
reproductive senescence in males, whereas females lost the ability to reproduce
regardless of condition. However, we found that housing females with males
significantly increased their mortality rate, indicating that female-specific
post-reproductive survival is unlikely to occur in the wild. We discuss our
results in light of evolutionary theories of post-reproductive survival and
previous studies of nematode behavioral ecology, arguing that premature
reproductive senescence may stem from sex-specific condition-dependent survival
during the reproductive period. Given the proven lab tractability of Prisitonchus
nematodes, our findings provide a foundation for integrative research that
combines evolutionary ecology and molecular genetics in the study of sex-specific
senescence and post-reproductive survival.
PMID- 27870212
TI - Ancestral androgenic differentiation pathways are repurposed during the evolution
of adult sexual plasticity.
AB - Although early exposure to androgens is necessary to permanently organize male
phenotype in many vertebrates, animals that exhibit adult sexual plasticity
require mechanisms that prevent early fixation of genital morphology and allow
for genital morphogenesis during adult transformation. In Lythrypnus dalli, a
teleost fish that exhibits bi-directional sex change, adults display dimorphic
genitalia morphology despite the absence of sex differences in the potent fish
androgen 11-ketotestosterone. Based on conserved patterns of vertebrate
development, two steroid-based mechanisms may regulate the early development and
adult maintenance of dimorphic genitalia; local androgen receptor (AR) and
steroidogenic enzyme expression. Consistent with the ancestral pattern of AR
expression during the multipotential phase of differentiation, juvenile
differentiation into either sex involved high mesenchymal AR expression. In
adults, AR expression was high throughout the male genitalia, but low or absent
in females. Consistent with the hypothesis that adult sexual plasticity
repurposes pathways from primary differentiation, we show that adults with
transitioning genitalia also exhibited higher AR expression relative to females.
Local androgen biosynthesis may also participate in genitalia transformation, as
transitioning adults had greater 11beta-HSD-like immunoreactivity in the
epithelial layer of the dorsal lumen compared to both sexes. By administering an
AR antagonist to adult males, we show AR is necessary to maintain male-typical
morphology. In a species that is resistant to early sexual canalization, early
androgenic differentiation mechanisms are consistent with other vertebrates and
the tissue-specific regulation of AR expression appears to be repurposed in
adulthood to allow for transitions between sexual phenotypes.
PMID- 27870214
TI - Furling the flags in evolution.
PMID- 27870217
TI - A Message from the Organizing Committee.
PMID- 27870220
TI - Keynote Speakers.
PMID- 27870218
TI - POSTER PRESENTATION.
PMID- 27870215
TI - Detangling the evolutionary developmental integration of dentate jaws: evidence
that a p63 gene network regulates odontogenesis exclusive of mandible
morphogenesis.
AB - Vertebrate jaws and dentitions fit and function together, yet the genetic
processes that coordinate cranial and dental morphogenesis and evolution remain
poorly understood. Teeth but not jaws fail to form in the edentate p63-/- mouse
mutant, which we used here to identify genes important to odontogenesis, but not
jaw morphogenesis, and that may allow dentitions to change during development and
evolution without necessarily affecting the jaw skeleton. With the working
hypothesis that tooth and jaw development are autonomously controlled by discreet
gene regulatory networks, using gene expression microarray assays validated by
quantitative reverse-transcription PCR we contrasted expression in mandibular
prominences at embryonic days (E) 10-13 of mice with normal lower jaw development
but either normal (p63+/- , p63+/+ ) or arrested (p63-/- ) tooth development. The
p63-/- mice showed significantly different expression (fold change >=2, <=-2; P
<= 0.05) of several genes. Some of these are known to help regulate odontogenesis
(e.g., p63, Osr2, Cldn3/4) and/or to be targets of p63 (e.g., Jag1/2, Fgfr2);
other genes have no previously reported roles in odontogenesis or the p63 pathway
(e.g., Fermt1, Cbln1, Pltp, Krt8). As expected, from E10 to E13, few genes known
to regulate mandible morphogenesis differed in expression between mouse strains.
This study newly links several genes to odontogenesis and/or to the p63 signaling
network. We propose that these genes act in a novel odontogenic network that is
exclusive of lower jaw morphogenesis, and posit that this network evolved in
oral, not pharyngeal, teeth.
PMID- 27870225
TI - Reducing HIV incidence using anti-retrovirals: Imperative and achievable.
PMID- 27870221
TI - Inductors and regulatory properties of the genomic island-associated fru2
metabolic operon of Streptococcus agalactiae.
AB - The fru2 metabolic operon of Streptococcus agalactiae encodes the
phosphoenolpyruvate:carbohydrate phosphotransferase system (PTS) enzyme II
complex Fru2 (EIIBFru2 , EIIAFru2 , and EIICFru2 ); Fru2 R, a transcriptional
activator with PTS regulatory domains (PRDs); a d-allulose-6-phosphate 3
epimerase; a transaldolase; and a transketolase. We showed that the transcription
of fru2 is induced during the stationary phase of growth in complex media and
during incubation in human cerebrospinal or amniotic fluids. d-allose and d
ribose are environmental signals governing this induction. PTSFru2 is involved in
the activation of the fru2 promoter, and the histidine-67 of EIIAFru2 and the
cysteine-9 of EIIBFru2 are important for this function. The activation of fru2 is
also controlled by Fru2 R. The histidine-243 in the PRD1 domain, the histidine
323 in the PRD2 domain, the cysteine-400 in the EIIB-like domain, and the
histidine-549 in the EIIA-like domain are important for the function of Fru2 R.
Fru2 R binds to a DNA region containing palindromic sequences upstream of the
identified transcriptional start site. EIIBFru2 interacts physically with the C
terminal part of Fru2 R (expressing the EIIB-like and EIIA-like motifs) and with
EIIAFru2 . We propose a model of regulation of fru2 depending on the presence of
an activatory carbohydrate in the growth medium.
PMID- 27870228
TI - Dialysate bacterial endotoxin as a prognostic indicator of peritoneal dialysis
related peritonitis.
AB - Peritonitis is the major complication of peritoneal dialysis (PD). The aim of our
present study is to explore the prognostic value of endotoxin level in PD
effluent for the prediction of treatment failure in PD-related peritonitis. We
studied 325 peritonitis episodes in 223 patients. PD effluent (PDE) was collected
every 5 days for endotoxin level and leukocyte count. Patients were followed for
relapsing or recurrent peritonitis. We found 20 episodes (6.2%) had primary
treatment failure; 41 (12.6%) developed relapsing, 19 (5.8%) had recurrent, and
22 (6.8%) had repeat episodes. Endotoxin was detectable in the PDE of 19 episodes
(24.4%) caused by Gram negative organisms, 4 episodes (6.8%) of mixed bacterial
growth, and none of the culture negative episodes or those by Gram positive
organisms. For episodes caused by Gram negative bacteria, a detectable endotoxin
level in PDE on day 5 had a sensitivity and specificity of 66.7% and 83.3%,
respectively, for predicting primary treatment failure. In contrast, PDE
leukocyte count > 1000 per mm3 on day 5 had a sensitivity and specificity of
88.9% and 89.1%, respectively; the addition of PDE endotoxin assay did not
improve the sensitivity or specificity. We conclude that detectable endotoxin in
PDE 5 days after antibiotic therapy might predict primary treatment failure in
peritonitis episodes caused by Gram negative organisms. However, the sensitivity
and specificity of PDE endotoxin assay was inferior to PDE leukocyte count.
PMID- 27870230
TI - Impact of low-density lipoprotein apheresis for nephrotic syndrome in a patient
with immunoglobulin M nephropathy.
PMID- 27870229
TI - Remitting Seronegative Symmetrical Synovitis with Pitting Oedema (RS3PE) Syndrome
in a Chronic Kidney Disease Patient Undzergoing Haemodialysis.
PMID- 27870233
TI - Reflections on a year at Diabetic Medicine.
PMID- 27870227
TI - Aspergillosis and the role of mucins in cystic fibrosis.
AB - The prevalence of aspergillosis in CF patients has until recently been
underestimated, but increasing evidence suggests that it may play an important
role in the progression of CF lung disease. In healthy airways, Aspergillus
fumigatus can be efficiently removed from the lung by mechanisms such as
mucociliary clearance and cough. However, these mechanisms are defective in CF,
allowing pathogens such as A. fumigatus to germinate and establish chronic
infections within the airways. The precise means by which A. fumigatus
contributes to CF lung disease remain largely unclear. As the first point of
contact within the lung, and an important component of the innate immune system,
it is likely that the mucus barrier plays an important role in this process.
Study of the functional interplay between this vital protective barrier, and in
particular its principal structural components, the polymeric gel-forming mucins,
and CF pathogens such as A. fumigatus, is at an early stage. A. fumigatus
protease activity has been shown to upregulate mucus production by inducing mucin
mRNA and protein expression, and A. fumigatus proteases and glycosidases are able
to degrade mucins. This may allow A. fumigatus to alter mucus barrier properties
to promote fungal colonization of the airways and/or utilize mucins as a nutrient
source. Moreover, conidial surface lectin binding to mucin glycans is a key
aspect of clearance of Aspergillus from the lung in health but may be an
important aspect of colonization, where mucociliary clearance is compromised, as
in the CF lung. Here we discuss the nature of the mucus barrier and its mucin
components in CF, and how they may be implicated in A. fumigatus infection.
Pediatr Pulmonol 2017;52:548-555. (c) 2016 The Authors. Pediatric Pulmonology.
Published by Wiley Periodicals, Inc.
PMID- 27870231
TI - Progressive idiopathic nodular glomerulosclerosis mimicking diabetic nephropathy
without abnormal glycemic metabolism.
PMID- 27870234
TI - The 2016 Hugo Schindelka Medal and Lecture awarded to Professor David H. Lloyd.
PMID- 27870235
TI - Efficacy and duration of action of oral fluralaner and spot-on
moxidectin/imidacloprid in cats infested with Lynxacarus radovskyi.
AB - BACKGROUND: Lynxacarus radovskyi has been observed in cats in Malaysia;
previously treatment with fipronil and moxidectin/imidacloprid spot-on has been
described. OBJECTIVES: To compare the efficacy of two spot-on treatments of
moxidectin/imidacloprid, two weeks apart to a single oral dose of fluralaner
against Lynxacarus radovskyi and evaluate time to re-infestation. METHODS: Thirty
cats were assigned to three groups of ten cats each. Group 1 received one 250 mg
fluralaner tablet. Group 2 received two doses of moxidectin/imidacloprid spot-on
two weeks apart. Group 3 consisted of untreated controls. For each cat, three
plucks of about 50 hairs each were collected from three sites (dorsal neck,
lateral thigh and perineal/tail region) for a total of nine pluckings every two
weeks. Severity of infestation was scored from 0 (no parasite), to 1 (only
nonhatched eggs), 2 (both hatched and nonhatched eggs), 3 (<50 mites) and 4 (>50
mites). Efficacy was compared between treatment groups and over time in the same
group, and results analysed statistically. Re-infestation was defined as the
identification of new eggs or mites on hair pluckings. RESULTS:
Moxidectin/imidacloprid spot-on and oral fluralaner achieved 100% eradication
within 28 days. No significant difference was observed between groups 1 and 2; re
infestation occurred by Day 56 in both treatment groups. CONCLUSION: A single
dose of oral fluralaner or two moxidectin/imidacloprid spot-on treatments two
weeks apart are efficacious in the eradication of L. radovskyi in cats and able
to prevent re-infestation for at least 42 days post-treatment.
PMID- 27870237
TI - 5th Strasbourg Summer School in Chemoinformatics.
PMID- 27870236
TI - Prior antimicrobial use as a risk factor for resistance in selected
Staphylococcus pseudintermedius isolates from the skin and ears of dogs.
AB - BACKGROUND: Antimicrobial resistance within bacteria continues to present
therapeutic challenges. One presumed risk factor for increased rates of
resistance is prior exposure to antimicrobial drugs. OBJECTIVES: To examine the
impact of time since most recent exposure, the number of prior antimicrobial
exposures and duration of use on antimicrobial resistance rates in Staphylococcus
pseudintermedius isolates. METHODS: Inclusion of a case in the study required
laboratory isolation of S. pseudintermedius from a clinical specimen.
Antibiograms and information regarding prior antimicrobial exposures were
extracted from the medical records of dogs diagnosed with pyoderma or otitis
externa. RESULTS: Meticillin resistance (MR) was identified in 48.1% of isolates.
Recent use of beta-lactam antimicrobials was associated with increased odds of
resistance to meticillin (P < 0.001) and fluoroquinolones (P < 0.001).
Antimicrobial therapy within 1 month prior to sampling was also associated with
MR (60.7%; P = 0.009) and multidrug resistance (61.9%; P = 0.029). The number of
prior exposures to beta-lactams or fluoroquinolones were associated with
resistance to these same classes (P = 0.001 and 0.02, respectively) and to other
antimicrobial classes (P = 0.016 for resistance to fluoroquinolones following
treatment with beta-lactams and P = 0.015 for MR following treatment with
fluoroquinolones). Longer treatment duration with beta-lactam drugs was
associated with higher proportion of MR (P = 0.004). CONCLUSIONS AND CLINICAL
IMPORTANCE: Treatment based upon culture and susceptibility testing is highly
recommended for dogs that have received multiple antimicrobial drug exposures or
that were treated within the preceding month. This may be especially important
when the prior therapeutic regimen included a drug from the beta-lactam or
fluoroquinolone classes.
PMID- 27870238
TI - Building Compound Archives for the Future.
AB - Will the targets of the future be covered by the compound libraries of today?
This communication will cover a critical review of past strategies before turning
to a new measure of diversity, protein pockets. A fingerprint descriptor for
pockets will be described.
PMID- 27870239
TI - Soft Sensors: Chemoinformatic Model for Efficient Control and Operation in
Chemical Plants.
AB - Soft sensor is statistical model as an essential tool for controlling
pharmaceutical, chemical and industrial plants. I introduce soft sensor, the
roles, the applications, the problems and the research examples such as adaptive
soft sensor, database monitoring and efficient process control. The use of soft
sensor enables chemical industrial plants to be operated more effectively and
stably.
PMID- 27870240
TI - Analyzing Promiscuity at the Level of Active Compounds and Targets.
AB - In the context of polypharmacology, promiscuity is defined as the ability of
small molecules to specifically interact with multiple targets. In addition,
promiscuity can also be viewed as a characteristic feature of targets by
considering their ability to recognize structurally diverse molecules as well as
compounds with multi-target activities. Promiscuity estimates can be obtained
through systematic mining of compound activity data. Currently available volumes
of activity data are so large that it should be possible to derive statistically
sound trends from their analysis. However, confidence criteria must be carefully
considered when drawing conclusions from compound data mining. Herein, the
results of recent promiscuity analyses are presented in context, including
studies that view promiscuity from a target perspective.
PMID- 27870241
TI - Multiple-parameter Optimization in Drug Discovery: Example of the 5-HT1B GPCR.
AB - Early phase drug discovery is a multi-parameter optimisation process. Finding
drugable targets, discovering starting points for lead optimisation and creating
novel structures with new biological properties within these constraints is
challenging. As an example of a drug optimisation strategy, recent work on 5-HT1B
antagonists will be described. This is put in the context of the drugability of
the target, the desired physicochemical properties of the desired molecules and
approaches to compound design to create high affinity, selective molecules that
are optimised to have low Central Nervous System (CNS) penetration.
PMID- 27870242
TI - Explorations into Chemical Reactions and Biochemical Pathways.
AB - A brief overview of the work in the research group of the present author on
extracting knowledge from chemical reaction data is presented. Methods have been
developed to calculate physicochemical effects at the reaction site. It is shown
that these physicochemical effects can quite favourably be used to derive
equations for the calculation of data on gas phase reactions and on reactions in
solution such as aqueous acidity of alcohols or carboxylic acids or the
hydrolysis of amides. Furthermore, it is shown that these physicochemical effects
are quite effective for assigning reactions into reaction classes that correspond
to chemical knowledge. Biochemical reactions constitute a particularly
interesting and challenging task for increasing our understanding of living
species. The BioPath.Database is a rich source of information on biochemical
reactions and has been used for a variety of applications of chemical,
biological, or medicinal interests. Thus, it was shown that biochemical reactions
can be assigned by the physicochemical effects into classes that correspond to
the classification of enzymes by the EC numbers. Furthermore, 3D models of
reaction intermediates can be used for searching for novel enzyme inhibitors. It
was shown in a combined application of chemoinformatics and bioinformatics that
essential pathways of diseases can be uncovered. Furthermore, a study showed that
bacterial flavor-forming pathways can be discovered.
PMID- 27870243
TI - Empirical Scoring Functions for Affinity Prediction of Protein-ligand Complexes.
AB - The ability to rapidly assess the quality of a protein-ligand complex in terms of
its affinity is of fundamental importance for various methods of computer-aided
drug design. While simple filtering or matching critieria may be sufficient in
fast docking methods or at early stages of virtual screening, estimates of the
actual free energy of binding are needed whenever refined docking solutions,
ligand rankings or support for the optimization of hit compounds are required. If
rigorous free energy calculations based on molecular simulations are impractical,
such affinity estimates are provided by scoring functions. The class of empirical
scoring functions aims to provide them via a regression-based approach. Using
experimental structures and affinity data of protein-ligand complexes and
descriptors suitable to capture the essential features of the interaction, these
functions are trained with classical linear regression techniques or machine
learning methods. The latter have led to considerable improvements in terms of
prediction accuracy for large generic data sets. Nevertheless, many limitations
are not yet resolved and pose significant challenges for future developments.
PMID- 27870244
TI - Visualization Based Data Mining for Comparison Between Two Solar Cell Libraries.
AB - Material informatics may provide meaningful insights and powerful predictions for
the development of new and efficient Metal Oxide (MO) based solar cells. The main
objective of this paper is to establish the usefulness of data reduction and
visualization methods for analyzing data sets emerging from multiple all-MOs
solar cell libraries. For this purpose, two libraries, TiO2 |Co3 O4 and TiO2 |Co3
O4 |MoO3 , differing only by the presence of a MoO3 layer in the latter were
analyzed with Principal Component Analysis and Self-Organizing Maps. Both
analyses suggest that the addition of the MoO3 layer to the TiO2 |Co3 O4 library
has affected the overall photovoltaic (PV) activity profile of the solar cells
making the two libraries clearly distinguishable from one another. Furthermore,
while MoO3 had an overall favorable effect on PV parameters, a sub-population of
cells was identified which were either indifferent to its presence or even
demonstrated a reduction in several parameters.
PMID- 27870245
TI - The Art of Compiling Protein Binding Site Ensembles.
AB - Structure-based drug design starts with the collection, preparation, and initial
analysis of protein structures. With more than 115,000 structures publically
available in the Protein Data Bank (PDB), fully automated processes reliably
performing these important preprocessing steps are needed. Several tools are
available for these tasks, however, most of them do not address the special needs
of scientists interested in protein-ligand interactions. In this paper, we
summarize our research activities towards an automated processing pipeline from
raw PDB data towards ready-to-use protein binding site ensembles. Starting from a
single protein structure, the pipeline covers the following phases: Extracting
structurally related binding sites from the PDB, aligning disconnected binding
site sequences, resolving tautomeric forms and protonation, orienting hydrogens
and flippable side-chains, structurally aligning the multitude of binding sites,
and performing a reasonable reduction of ensemble structures. The pipeline, named
SIENA, creates protein-structural ensembles for the analysis of protein
flexibility, molecular design efforts like docking or de novo design within
seconds. For the first time, we are able to process the whole PDB in order to
create a large collection of protein binding site ensembles. SIENA is available
as part of the ZBH ProteinsPlus webserver under http://proteinsplus.zbh.uni
hamburg.de.
PMID- 27870247
TI - Sparse Neural Network Models of Antimicrobial Peptide-Activity Relationships.
AB - We present an adaptive neural network model for chemical data classification. The
method uses an evolutionary algorithm for optimizing the network structure by
seeking sparsely connected architectures. The number of hidden layers, the number
of neurons in each layer and their connectivity are free variables of the system.
We used the method for predicting antimicrobial peptide activity from the amino
acid sequence. Visualization of the evolved sparse network structures suggested a
high charge density and a low aggregation potential in solution as beneficial for
antimicrobial activity. However, different training data sets and peptide
representations resulted in greatly varying network structures. Overall, the
sparse network models turned out to be less accurate than fully-connected
networks. In a prospective application, we synthesized and tested 10 de novo
generated peptides that were predicted to either possess antimicrobial activity,
or to be inactive. Two of the predicted antibacterial peptides showed cosiderable
bacteriostatic effects against both Staphylococcus aureus and Escherichia coli.
None of the predicted inactive peptides possessed antibacterial properties.
Molecular dynamics simulations of selected peptide structures in water and TFE
suggest a pronounced peptide helicity in a hydrophobic environment. The results
of this study underscore the applicability of neural networks for guiding the
computer-assisted design of new peptides with desired properties.
PMID- 27870246
TI - Materials Informatics: Statistical Modeling in Material Science.
AB - Material informatics is engaged with the application of informatic principles to
materials science in order to assist in the discovery and development of new
materials. Central to the field is the application of data mining techniques and
in particular machine learning approaches, often referred to as Quantitative
Structure Activity Relationship (QSAR) modeling, to derive predictive models for
a variety of materials-related "activities". Such models can accelerate the
development of new materials with favorable properties and provide insight into
the factors governing these properties. Here we provide a comparison between
medicinal chemistry/drug design and materials-related QSAR modeling and highlight
the importance of developing new, materials-specific descriptors. We survey some
of the most recent QSAR models developed in materials science with focus on
energetic materials and on solar cells. Finally we present new examples of
material-informatic analyses of solar cells libraries produced from metal oxides
using combinatorial material synthesis. Different analyses lead to interesting
physical insights as well as to the design of new cells with potentially improved
photovoltaic parameters.
PMID- 27870249
TI - Ethical Issues in Health Systems Research in Low and Middle-Income Countries.
PMID- 27870250
TI - New insights into interactions between the nucleotide-binding domain of CFTR and
keratin 8.
AB - The intermediate filament protein keratin 8 (K8) interacts with the nucleotide
binding domain 1 (NBD1) of the cystic fibrosis (CF) transmembrane regulator
(CFTR) with phenylalanine 508 deletion (DeltaF508), and this interaction hampers
the biogenesis of functional DeltaF508-CFTR and its insertion into the plasma
membrane. Interruption of this interaction may constitute a new therapeutic
target for CF patients bearing the DeltaF508 mutation. Here, we aimed to
determine the binding surface between these two proteins, to facilitate the
design of the interaction inhibitors. To identify the NBD1 fragments perturbed by
the DeltaF508 mutation, we used hydrogen-deuterium exchange coupled with mass
spectrometry (HDX-MS) on recombinant wild-type (wt) NBD1 and DeltaF508-NBD1 of
CFTR. We then performed the same analysis in the presence of a peptide from the
K8 head domain, and extended this investigation using bioinformatics procedures
and surface plasmon resonance, which revealed regions affected by the peptide
binding in both wt-NBD1 and DeltaF508-NBD1. Finally, we performed HDX-MS analysis
of the NBD1 molecules and full-length K8, revealing hydrogen-bonding network
changes accompanying complex formation. In conclusion, we have localized a region
in the head segment of K8 that participates in its binding to NBD1. Our data also
confirm the stronger binding of K8 to DeltaF508-NBD1, which is supported by an
additional binding site located in the vicinity of the DeltaF508 mutation in
NBD1.
PMID- 27870252
TI - Amine-Directed Hydrogen-Bonded Two-Dimensional Supramolecular Structures.
AB - Utilizing pure amine hydrogen bonding is a novel approach for constructing two
dimensional (2D) networks. Further, such systems are capable of undergoing
structural modifications due to changes in pH. In this study, we designed a 2D
network of triaminobenzene (TAB) molecules that by varying the pH from neutral to
acidic, form either ordered or disordered structures on Au(111) surface as
revealed in scanning tunneling microscopy images. In near-neutral solution (pH
~5.5), protonation of TAB generates charged species capable of forming H-bonds
between amine groups of neighboring molecules resulting in the formation of a 2D
supramolecular structure on the electrified surface. At lower pH, due to the
protonation of the amine groups, intermolecular hydrogen bonding is no longer
possible and no ordered structure is observed on the surface. This opens the
possibility to employ pH as a chemical trigger to induce a phase transition in
the 2D molecular network of triaminobenzene molecules.
PMID- 27870253
TI - Spotlights on our sister journals: ChemPhysChem 21/2016.
PMID- 27870251
TI - Concise Review: Getting to the Core of Inherited Bone Marrow Failures.
AB - Bone marrow failure syndromes (BMFS) are a group of disorders with complex
pathophysiology characterized by a common phenotype of peripheral cytopenia
and/or hypoplastic bone marrow. Understanding genetic factors contributing to the
pathophysiology of BMFS has enabled the identification of causative genes and
development of diagnostic tests. To date more than 40 mutations in genes involved
in maintenance of genomic stability, DNA repair, ribosome and telomere biology
have been identified. In addition, pathophysiological studies have provided
insights into several biological pathways leading to the characterization of
genotype/phenotype correlations as well as the development of diagnostic
approaches and management strategies. Recent developments in bone marrow
transplant techniques and the choice of conditioning regimens have helped improve
transplant outcomes. However, current morbidity and mortality remain unacceptable
underlining the need for further research in this area. Studies in mice have
largely been unable to mimic disease phenotype in humans due to difficulties in
fully replicating the human mutations and the differences between mouse and human
cells with regard to telomere length regulation, processing of reactive oxygen
species and lifespan. Recent advances in induced pluripotency have provided novel
insights into disease pathogenesis and have generated excellent platforms for
identifying signaling pathways and functional mapping of haplo-insufficient genes
involved in large-scale chromosomal deletions-associated disorders. In this
review, we have summarized the current state of knowledge in the field of BMFS
with specific focus on modeling the inherited forms and how to best utilize these
models for the development of targeted therapies. Stem Cells 2017;35:284-298.
PMID- 27870255
TI - Now that is a game changer: The entire reproductive cycle of an oocyte in a dish.
PMID- 27870254
TI - A national patient and public colorectal research agenda: integration of consumer
perspectives in bowel disease through early consultation.
AB - AIM: There is a recognized need to include the views of patients and the public
in prioritizing health research. This study aimed: (i) to explore patients' views
on colorectal research; and (ii) to prioritize research topics with patients and
the public. METHOD: In phase 1, 12 charitable organizations and patient groups
with an interest in bowel disease were invited to attend a consultation exercise.
Participants were briefed on 25 colorectal research topics prioritized by members
of the Association of Coloproctology of Great Britain and Ireland. Focus groups
were conducted and discussions were recorded with field notes. Analysis was
conducted using principles of thematic analysis. In phase 2, a free public
consultation was undertaken. Participants were recruited from newspaper
advertisements, were briefed on the same research topics and were asked to rate
the importance of each on a five-point Likert scale. Descriptive statistics were
used to rank the topics. Univariable linear regression compared recorded
demographic details with mean topic scores. RESULTS: Focus groups were attended
by 12 patients who highlighted the importance of patient-centred information for
trial recruitment and when selecting outcome measures. Some 360 people attended
the public consultation, of whom 277 (77%) were recruited. Participants rated
'What is the best way to treat early cancer in the back passage?' highest, with
227 (85%) scoring it 4 or 5. There was no correlation between participant
demographics and mean topic scores. CONCLUSION: The present study prioritized a
colorectal research agenda with the input of patients and the public. Further
research is required to translate this agenda into real improvements in patient
care.
PMID- 27870256
TI - Factors related to a decline in upper extremity function among patients with a
wrist fracture due to a fall.
AB - AIMS: The aim of this study was to identify factors related to a decline in
function following a wrist fracture. METHODS: Observational, prospective cohort
study done in five public hospitals of the Basque Health Service. We recruited
adults aged 65 or older with a wrist fracture due to a fall who attended the
emergency department (ED) of one of these hospitals. Sociodemographic and
clinical data were collected, along with information about health-related quality
of life (HRQoL) and functionality. RESULTS: A decline in function 6 months after
the fracture was more likely among women (OR=3.409; 95% CI=1.920-6.053), patients
receiving institutional help before the fracture (OR=5.717; 95% CI=1.644-19.883),
patients who developed fracture-related complications within 6 months of the fall
(OR=5.015; 95% CI=1.377-18.268), patients who visited an ED within 6 months of
the fall (OR=1.646; 95% CI=1.058-2.561), patients with a displaced fracture
(OR=1.595; 95% CI=1.106-2.300), and patients who broke the dominant hand
(OR=1.464; 95% CI=1.019-2.103). Better baseline HRQoL and function were
associated with smaller declines in function 6 months after the fall. Eighteen
months after the fall, decline in function was more likely among women (OR=2.172;
95% CI=1.138-4.144) and patients visiting an ED because of fracture-related
complications (OR=1.722; 95% CI=1.113-2.663). Better HRQoL and dependency level
at baseline were associated with less decline in function 18 months after the
fracture. DISCUSSION: Two different models identified several parameters related
to declines in upper extremity function 6 and 18 months after the fracture.
CONCLUSIONS: These results can help develop preventive actions needed to avoid or
reduce the consequences of these falls.
PMID- 27870258
TI - When data are scarce, model validation should be efficient: Letter Re: Dolera
Moreno C, Palazon-Bru A, Colomina-Climent F, Gil-Guillen VF. Construction and
internal validation of a new mortality risk score for patients admitted to the
intensive care unit. Int J Clin Pract 2016; 10.1111/ijcp.12851.
PMID- 27870257
TI - Higher levels of apomorphine and rotigotine prescribing reduce overall secondary
healthcare costs in Parkinson's disease.
AB - BACKGROUND: Parkinson's disease (PD) affects around 100,000 people in England. A
number of non-oral therapies can improve both the quality of life and reduce
patient needs for health and social care. However, these can be relatively
expensive at L2000-L10,000 per year per patient. Our aim was to examine how
prescribing of these agents relates to secondary care costs. METHODS: Using
practice level primary care prescribing data and hospital episode statistical
data in England, we investigated the relation between general practitioner
prescriptions of apomorphine injections/rotigotine patches and the secondary care
costs accrued for their diagnosed PD patients for 2011-2014. The median age of
the PD patients was 78 years. RESULTS: In the period 2011-2014, 58% of the
average annual L437 million secondary care costs for PD patients came from non
elective admissions. 80% of this came from seven Healthcare Resource Group
Chapters linked to PD comorbidities. Compared with practices not using non-oral
therapies, practices prescribing Apomorphine saved L897 per year per patient of
secondary care costs to offset the average additional prescribing cost of L475
per overall patient per year. For Rotigotine, saving was L718 per year per
patient of secondary care costs offsetting L137 prescribing cost. Practices in
the highest quartile of non-oral prescribing were using non-oral agents in up to
28% of their PD patients. CONCLUSIONS: Those practices which used more non-oral
therapies appear to incur less secondary care costs. A total of 70% of the
advanced PD patients are not being given access to non-oral treatment. This is a
challenge for all physicians looking after the older patient.
PMID- 27870259
TI - Open researcher and contributor ID: ORCID now mandatory for Wiley journals.
PMID- 27870260
TI - An update to the internal validation of the new mortality risk score for patients
admitted to the intensive care unit.
PMID- 27870261
TI - Surface Electrochemical Modification of a Nickel Substrate to Prepare a NiFe
based Electrode for Water Oxidation.
AB - The slow kinetics of water oxidation greatly jeopardizes the efficiency of water
electrolysis for H2 production. Developing highly active water oxidation
electrodes with affordable fabrication costs is thus of great importance. Herein,
a NiII FeIII surface species on Ni metal substrate was generated by
electrochemical modification of Ni in a ferrous solution by a fast, simple, and
cost-effective procedure. In the prepared NiII FeIII catalyst film, FeIII was
incorporated uniformly through controlled oxidation of FeII cations on the
electrode surface. The catalytically active NiII originated from the Ni foam
substrate, which ensured the close contact between the catalyst and the support
toward improved charge-transfer efficiency. The as-prepared electrode exhibited
high activity and long-term stability for electrocatalytic water oxidation. The
overpotentials required to reach water oxidation current densities of 50, 100,
and 500 mA cm-2 are 276, 290, and 329 mV, respectively.
PMID- 27870263
TI - Coevolution, local adaptation and ecological speciation.
AB - Coevolution is one of the major processes organizing the earth's biodiversity,
but it remains unclear when and how it may generate species diversity. The study
by Parchman et al. () in this issue of Molecular Ecology provides the clearest
evidence to date that divergent local adaptation in a coevolving interaction may
lead to speciation on one side of an interaction but not necessarily on the other
side. Red crossbills in North America have diversified into ecotypes that
specialize on different conifer species, use different calls and vary in the
extent to which they are nomadic or sedentary. This new study evaluated genomic
divergence among nine crossbill ecotypes. The authors found low overall genomic
divergence among many of the ecotypes, but the sedentary South Hills crossbills,
which are specialized to eat the seeds of a unique population of lodgepole pines,
showed substantial divergence from other crossbills at a small number of genomic
regions. These results corroborate past studies showing local coadaptation of the
morphological traits of South Hills crossbills and lodgepole pines, and premating
isolation of the South Hills crossbills from other populations. Together, the
past and new results suggest that local coevolution with lodgepole pines has led
to reduced gene flow between South Hills crossbills and other crossbills.
PMID- 27870262
TI - The role of base excision repair in pathogenesis of breast cancer in the Polish
population.
AB - Breast cancer (BC) is leading type of cancer among group of women, which
determines almost 23% of invasive cancers. It has been reported repeatedly that
the level of oxidative stress is higher for BC in comparison to cancer-free
woman. The goal of the present study was to evaluate the role of base excision
repair (BER) pathway in the development of BC. One-hundred seventy-one women with
confirmed BC and 222 healthy controls were enrolled in presented study. The level
of oxidative DNA damage and the kinetic of their repair were analyzed by the
modified alkaline comet assay. The efficiency of BER pathway was evaluated by BER
assay. The presence of the 326Cys/Cys genotype and 326Cys allele of OGG1 gene and
the 324His/His of MUTYH gene are associated with increased risk of BC
development. Moreover, correlation between clinical parameter with selected genes
has shown increased risk of BC progression. The survival analysis has shown a
significant lower DFS for individuals with the 762Ala/Ala genotype compared to
762Val/Vla carriers and the 762Val/Ala genotype in relation to concomitant
chemotherapy and radiotherapy. In subgroup of patients with alone chemotherapy
and alone radiotherapy, the 762Val/Val genotype was significantly associated with
lower overall survival. Furthermore, we also elevated the level of basal and
oxidative DNA damage in a group of patients with BC in relation to healthy
controls. We also observed the difference in effectiveness of DNA damage repair.
The results of present studies suggested the important role of BER pathway in BC
development. (c) 2015 Wiley Periodicals, Inc.
PMID- 27870264
TI - A hot topic: the genetics of adaptation to geothermal vents in Mimulus guttatus.
AB - Identifying the individual loci and mutations that underlie adaptation to extreme
environments has long been a goal of evolutionary biology. However, finding the
genes that underlie adaptive traits is difficult for several reasons. First,
because many traits and genes evolve simultaneously as populations diverge, it is
difficult to disentangle adaptation from neutral demographic processes. Second,
finding the individual loci involved in any trait is challenging given the
respective limitations of quantitative and population genetic methods. In this
issue of Molecular Ecology, Hendrick et al. (2016) overcome these difficulties
and determine the genetic basis of microgeographic adaptation between geothermal
vent and nonthermal populations of Mimulus guttatus in Yellowstone National Park.
The authors accomplish this by combining population and quantitative genetic
techniques, a powerful, but labour-intensive, strategy for identifying individual
causative adaptive loci that few studies have used (Stinchcombe & Hoekstra ). In
a previous common garden experiment (Lekberg et al. 2012), thermal M. guttatus
populations were found to differ from their closely related nonthermal neighbours
in various adaptive phenotypes including trichome density. Hendrick et al. (2016)
combine quantitative trait loci (QTL) mapping, population genomic scans for
selection and admixture mapping to identify a single genetic locus underlying
differences in trichome density between thermal and nonthermal M. guttatus. The
candidate gene, R2R3 MYB, is homologous to genes involved in trichome development
across flowering plants. The major trichome QTL, Tr14, is also involved in
trichome density differences in an independent M. guttatus population comparison
(Holeski et al. 2010) making this an example of parallel genetic evolution.
PMID- 27870265
TI - Antizyme inhibitor 1: a potential carcinogenic molecule.
AB - Polyamines are multivalent and organic cations essential for cellular growth,
proliferation, differentiation, and apoptosis. Increased levels of polyamines are
closely associated with numerous forms of cancer. An autoregulatory circuit
composed of ornithine decarboxylase (ODC), antizyme (AZ) and antizyme inhibitor
(AZI) govern the intracellular level of polyamines. Antizyme binds with ODC to
inhibit ODC activity and to promote the ubiquitin-independent degradation of ODC.
Antizyme inhibitor binds to AZ with a higher affinity than ODC. Consequently, ODC
is released from the ODC-AZ complex to rescue its activity. Antizyme inhibitor
increases the ODC activity to accelerate the formation of intracellular
polyamines, triggering gastric and breast carcinogenesis as well as
hepatocellular carcinoma and esophageal squamous cell carcinoma development.
Antizyme inhibitor 1 (AZIN1), a primary member of the AZI family, has aroused
more attention because of its contribution to cancer. Even though its
conformation is changed by adenosine-to-inosine (A->I) RNA editing, it plays an
important role in tumorigenesis through regulating intracellular polyamines.
Encouragingly, AZIN1 has been revealed to have an additional function outside the
polyamine pathway so as to bypass the deficiency of targeting the polyamine
biosynthetic pathway, promising to become a critical target for cancer therapy.
Here, we review the latest research advances into AZIN1 and its potential
contribution to carcinogenesis.
PMID- 27870266
TI - Potential urinary biomarkers of nephrotoxicity in cyclophosphamide-treated rats
investigated by NMR-based metabolic profiling.
AB - The anticancer-drug cyclophosphamide (CP) is known to have nephrotoxicity. The
aim of this study was to identify urinary biomarkers indicating CP-induced
nephrotoxicity. We investigated the urine metabolic profiles using nuclear
magnetic resonance spectrometry of rats administered with single high-doses of CP
(0, 30, and 100 mg/kg body weight) and daily low-doses over a 4-week period (0,
1, 3, and 10 mg/kg body weight). Among 18 identified urinary metabolites, 2
oxoglutarate, citrate, hippurate, formate, valine, and alanine for short-term and
2-oxoglutarate, citrate, hippurate, isoleucine, leucine, allantoin, valine, and
lysine for long-term were selected as potential biomarkers. Pathway-enrichment
analysis suggested that the urinary metabolism of CP is related to valine,
leucine, and isoleucine biosynthesis; taurine and hypotaurine metabolism;
glyoxylate and dicarboxylate metabolism; citrate cycle; and alanine, aspartate,
and glutamate metabolism, with high pathway impact. The potential biomarkers
obtained in this study could be used to monitor CP-induced nephrotoxicity
relative to dose and treatment time.
PMID- 27870268
TI - Digesting cytotoxic stressors - an unconventional mechanism to induce autophagy.
AB - Autophagy is an essential and fundamental pathway that clears unwanted or damaged
material from the cell. Initiation of autophagy was previously shown to be
dependent on the Ulk1/2 kinase complex. In this issue of The FEBS Journal, Braden
and Neufeld investigated the Ulk3 homolog in Drosophila, and proposed a novel,
Ulk1/2 independent pathway for autophagy initiation.
PMID- 27870269
TI - How to write a scientific paper.
AB - In the first instalment of the Words of Advice series, we feature the essentials
of good manuscript writing with practical tips on how to plan, organise and write
a standout scientific paper.
PMID- 27870271
TI - Words of Advice: for what it's worth, our tuppenceworth.
AB - This new series in The FEBS Journal aims to provide insight and advice to
graduate students and postdocs on topics relevant to their research and careers.
PMID- 27870267
TI - Thyroid Hormone-Induced Activation of Notch Signaling is Required for Adult
Intestinal Stem Cell Development During Xenopus Laevis Metamorphosis.
AB - In Xenopus laevis intestine during metamorphosis, the larval epithelial cells are
removed by apoptosis, and the adult epithelial stem (AE) cells appear
concomitantly. They proliferate and differentiate to form the adult epithelium
(Ep). Thyroid hormone (TH) is well established to trigger this remodeling by
regulating the expression of various genes including Notch receptor. To study the
role of Notch signaling, we have analyzed the expression of its components,
including the ligands (DLL and Jag), receptor (Notch), and targets (Hairy), in
the metamorphosing intestine by real-time reverse transcription-polymerase chain
reaction and in situ hybridization or immunohistochemistry. We show that they are
up-regulated during both natural and TH-induced metamorphosis in a tissue
specific manner. Particularly, Hairy1 is specifically expressed in the AE cells.
Moreover, up-regulation of Hairy1 and Hairy2b by TH was prevented by treating
tadpoles with a gamma-secretase inhibitor (GSI), which inhibits Notch signaling.
More importantly, TH-induced up-regulation of LGR5, an adult intestinal stem cell
marker, was suppressed by GSI treatment. Our results suggest that Notch signaling
plays a role in stem cell development by regulating the expression of Hairy genes
during intestinal remodeling. Furthermore, we show with organ culture experiments
that prolonged exposure of tadpole intestine to TH plus GSI leads to hyperplasia
of secretory cells and reduction of absorptive cells. Our findings here thus
provide evidence for evolutionarily conserved role of Notch signaling in
intestinal cell fate determination but more importantly reveal, for the first
time, an important role of Notch pathway in the formation of adult intestinal
stem cells during vertebrate development. Stem Cells 2017;35:1028-1039.
PMID- 27870272
TI - The airway device preference may affect the overlapping of the common carotid
artery by the internal jugular vein.
AB - BACKGROUND: Anatomical variation in the internal jugular vein (IJV), as well as
its small size, tendency to collapse, and proximity to the common carotid artery
(CCA) makes central venous cannulation via the IJV a technically challenging
procedure, especially in pediatric patients. AIM: We evaluated the effects of
laryngeal mask airway insertion and endotracheal intubation (ETT) on the
anatomical relationship between the IJV and the CCA in neutral and 40 degrees
head away positions. METHOD: After parental consent 92 patients with ASA physical
status I-II, aged 0-17, undergoing elective urological surgery were enrolled and
divided into two groups according to the airway management device used for
anesthesia: Group laryngeal mask airway (n = 63) and Group ETT (n = 29). An
ultrasonographic evaluation was performed before and after airway instrumentation
at neutral and 40 degrees head rotation. The IJV position in relation to the CCA
was noted, and the overlap percentage of the CCA was calculated as the ratio of
the CCA length covering by the internal jugular vein to the transverse diameter
of the CCA. RESULTS: With no airway device insertion, the position of the IJV was
found to be anterolateral to the CCA in the majority of patients (48.8% vs 35.3%,
right vs left IJV) in the neutral head position. While there was no significant
change in the overlap percentages of the CCA after laryngeal mask airway
insertion in the neutral head position [48.71% vs 57.30% for the right IJV
(difference in median: -21.20; 95% confidence interval (CI) of difference: -56.92
to 14.52; P = 0.133); 52.54% vs 60.36% for the left IJV (difference in median:
10.3; 95% CI of difference: -41.49 to 20.89; P = 0.128)], it increased
significantly in the 40 degrees head away position on both sides [50.11% vs
64.83% for the right IJV (difference in median: -55; 95% CI of difference: -84 to
-25.24; P = 0.01); 53.82% vs 71.20% for the left IJV (difference in median: -46;
95% CI of difference: -86.85 to -5.15; P = 0.004)]. However, the overlap
percentages of CCA decreased significantly on the right side with patients in a
neutral head position (31.23% vs 6.27%, difference in median: 19; 95% CI of
difference: -5.68 to 43.68; P = 0.002) and on both sides in the 40 degrees head
away position [29.50% vs 16.19%, difference in median: 26; 95% CI of difference:
2.84 to 49.16; P = 0.03 and 47% vs 31.94%, difference in median: 9.50; 95% CI of
difference: -40.87 to 59.87; P = 0.03 for the right and left sides, respectively]
after ETT insertion. CONCLUSIONS: Laryngeal mask airway with 40 degrees head
rotation increases, whereas ETT decreases, the overlap percentage of CCA by IJV.
Both head position and airway management methods have an influence on the overlap
of the CCA by the IJV in pediatric patients.
PMID- 27870273
TI - Response to comment "Cox: alternative therapies and postoperative vomiting".
PMID- 27870276
TI - In this issue: December 2016.
PMID- 27870275
TI - Comment on: S. M. Moeen 'Could acupuncture be an adequate alternative to
dexamethasone in pediatric tonsillectomy?'
PMID- 27870277
TI - Intravenous dexmedetomidine as an 'adjuvant' to the infant spinal anesthetic.
PMID- 27870278
TI - Acupuncture may be an effective supplement treatment for dexamethasone in
pediatric tonsillectomy.
PMID- 27870282
TI - Pomolic Acid Inhibits Invasion of Breast Cancer Cells Through the Suppression of
CXC Chemokine Receptor Type 4 Expression. Buyun Kim, Ji-Hun Kim, Byoungduck Park.
PMID- 27870283
TI - Corrigendum: Synergistic Effects of Metals in a Promising RuII -PtII Assembly for
a Combined Anticancer Approach: Theoretical Exploration of the Photophysical
Properties.
PMID- 27870285
TI - Spotlights on our sister journals: Chem. Eur. J. 47/2016.
PMID- 27870284
TI - Corrigendum: Reversible Lysine Modification on Proteins by Using Functionalized
Boronic Acids.
PMID- 27870286
TI - Enhancing predictive accuracy and reproducibility in clinical evaluation
research: Commentary on the special section of the Journal of Evaluation in
Clinical Practice.
AB - This paper introduces a special section of the current issue of the Journal of
Evaluation in Clinical Practice that includes a set of 6 empirical articles
showcasing a versatile, new machine-learning statistical method, known as optimal
data (or discriminant) analysis (ODA), specifically designed to produce
statistical models that maximize predictive accuracy. As this set of papers
clearly illustrates, ODA offers numerous important advantages over traditional
statistical methods-advantages that enhance the validity and reproducibility of
statistical conclusions in empirical research. This issue of the journal also
includes a review of a recently published book that provides a comprehensive
introduction to the logic, theory, and application of ODA in empirical research.
It is argued that researchers have much to gain by using ODA to analyze their
data.
PMID- 27870287
TI - Potential of tannin-rich plants, Leucaena leucocephala, Glyricidia sepium and
Manihot esculenta, to reduce enteric methane emissions in sheep.
AB - An in vivo trial was conducted in sheep to investigate the effect of three
tropical tannin-rich plants (TRP) on methane emission, intake and digestibility.
The TRP used were leaves of Glyricidia sepium, Leucaena leucocephala and Manihot
esculenta that contained, respectively, 39, 75 and 92 g condensed tannins/kg DM.
Methane was determined with the sulphur hexafluoride tracer technique. Eight
rumen-cannulated sheep of two breeds (four Texel, four Blackbelly) were used in
two 4 * 4 Latin square designs. Four experimental diets were tested. They
consisted in a tropical natural grassland hay based on Dichanthium spp. fed alone
(C) or in association with G. sepium (G), L. leucocephala (L) or M. esculenta (M)
given as pellets at 44% of the daily ration. Daily organic matter intake was
higher in TRP diets (686, 984, 1054 and 1186 g/day for C, G, L and M
respectively; p < 0.05) while apparent organic matter total tract digestibility
was not affected (69.9%, 62.8%, 65.3% and 64.7% for C, G, L and M respectively; p
> 0.05). Methane emission was 47.1, 44.9, 33.3 and 33.5 g/kg digestible organic
matter intake for C, G, L and M, respectively, and was significantly lower (p <
0.05) for L and M than for G and C. Our results confirm the potential of some TRP
to reduce methane production. The strong decrease in methane and the increase in
intake with TRPs may be due to their presentation as pellets.
PMID- 27870288
TI - Effect of dietary supplementation with clay-based binders on biochemical and
histopathological changes in organs of turkey fed with aflatoxin-contaminated
diets.
AB - This study was carried out to investigate the effect of dietary supplementation
with molecular or nano-clay binders on biochemical and histopathological
examination of organs of turkeys fed diets contaminated with aflatoxin B1. Two
hundred and sixteen unsexed 1-day-old British United Turkeys were randomly
allotted to nine diets in a 3 * 3 factorial arrangement of diets supplemented
with no toxin binder, molecular toxin binder (MTB) and nano-clay toxin binder,
each contaminated with 0, 60 and 110 ppb aflatoxin B1 respectively. There were
three replicates per treatment with eight turkeys per replicate. Biochemical
analyses, organ weights and histopathological changes of some organs were
examined at the end of the study which lasted for 84 days. Turkeys fed diets
supplemented with molecular and nano-binders showed higher (p < 0.001) total
serum protein, reduced (p < 0.001) serum uric acid and GGT concentration values
when compared with those fed aflatoxin-contaminated diets supplemented with no
binder. Turkeys fed aflatoxin-contaminated diets supplemented with no binder had
increased (p < 0.001) AST and ALT concentration when compared with other
treatments. The heaviest (p < 0.001) liver and intestinal weight was noticed with
turkeys fed diets supplemented with no binder and contaminated with 110 ppb
aflatoxin B1 . Pathologically, there was no visible morphological alteration
noticed in all turkeys fed uncontaminated diets and nano-clay-supplemented group.
Hepatic paleness, hepatomegaly and yellowish discolouration of the liver were
observed with turkeys fed diets containing no binder but contaminated with 60 and
110 ppb aflatoxin B1. Intestinal histopathological changes such as goblet cell
hyperplasia, villous atrophy and diffuse lymphocytic enteritis were more
prominent in turkeys fed diets containing no toxin binder and MTB. In conclusion,
there were improved biochemical parameters and reduced deleterious effects of
aflatoxin B1 in turkeys fed diet supplemented with clay binders. However, the
improvement was more conspicuous in the nano-clay-supplemented group than
molecular clay group.
PMID- 27870289
TI - Effects of multistrain probiotics on growth performance, nutrient digestibility,
blood profiles, faecal microbial shedding, faecal score and noxious gas emission
in weaning pigs.
AB - This experiment was conducted to investigate the efficacy of multistrain
probiotics in weaning pigs. A total of 125 28-day-old weaning pigs [(Landrace *
Yorkshire) * Duroc] with an initial average body weight (BW) of 7.26 +/- 0.76 kg
were randomly allotted into 5 treatments, 5 replicate pens/treatment with 5
pigs/pen for 42-day experiment. Dietary treatments were as follows: CON, basal
diet; PC1, CON + 0.01% multistrain probiotics; PC2, CON + 0.03% multistrain
probiotics; PC3, CON + 0.06% multistrain probiotics; PC4, CON + 0.1% multistrain
probiotics. On day 14, pigs fed the PC4 diet had higher BW gain than pigs fed the
CON diet. On day 42, pigs fed multistrain probiotics supplementation diets had
higher BW gain than pigs fed the CON diet. From days 1 to 14, pigs fed the PC2,
PC3 and PC4 diets had higher (p < 0.05) ADG than pigs fed the CON diet. From day
15 to 42, pigs fed the multistrain probiotics supplementation diets had higher (p
< 0.05) average daily gain (ADG) and gain: feed ratio (G:F) than pigs fed the CON
diet. In the overall period, pigs fed the multistrain probiotics supplementation
diets had higher (p < 0.05) ADG and pigs fed the PC2 and PC4 diets had higher (p
< 0.05) G:F than pigs fed the CON diet. On day 42, pigs fed the PC4 diet had
higher (p < 0.05) apparent total tract digestibility (ATTD) of dry matter (DM),
nitrogen (N) and gross energy (GE), faecal Lactobacillus counts and lower (p <
0.05) E. coli counts and NH3 emission than pigs fed the CON diet. Pigs fed the
multistrain probiotics supplementation diets had lower (p < 0.05) H2 S and total
mercaptans emissions than pigs fed the CON diet. Conclusions, dietary
supplementation with 0.1% probiotics improved growth performance, nutrition
digestibility and intestinal microflora balance and decreased faecal noxious gas
emissions in weaning pigs.
PMID- 27870290
TI - Assessment of USDA-NRCS rangeland conservation programs: recommendation for an
evidence-based conservation platform.
AB - The Conservation Effects Assessment Project (CEAP) was created in response to a
request from the Office of Management and Budget that the U.S. Department of
Agriculture, Natural Resource Conservation Service (USDA-NRCS) document the
societal benefits anticipated to accrue from a major increase in conservation
funding authorized by the 2002 Farm Bill. A comprehensive evaluation of the
efficacy of rangeland conservation practices cost-shared with private landowners
was unable to evaluate conservation benefits because outcomes were seldom
documented. Four interrelated suppositions are presented to examine the causes
underlying minimal documentation of conservations outcomes. These suppositions
are (1) the benefits of conservation practices are considered a certainty so that
documentation in not required, (2) there is minimal knowledge exchange between
the USDA-NRCS and research organizations, (3) and a paucity of conservation
relevant science, as well as (4) inadequate technical support for land owners
following implementation of conservation practices. We then follow with
recommendations to overcome potential barriers to documentation of conservation
outcomes identified for each supposition. Collectively, this assessment indicates
that the existing conservation practice standards are insufficient to effectively
administer large conservation investments on rangelands and that modification of
these standards alone will not achieve the goals explicitly stated by CEAP. We
recommend that USDA-NRCS modify its conservation programs around a more
comprehensive and integrative platform that is capable of implementing evidence
based conservation. Collaborative monitoring organized around landowner-agency
scientist partnerships would represent the focal point of a Conservation Program
Assessment Network (CPAN). The primary network objective would be to establish
missing information feedback loops between conservation practices and their
agricultural and environmental outcomes to promote learning, adaptive management,
and innovation. Network information would be archived and made available to guide
other, related conservation programs in relevant ecoregions. Restructuring
conservation programs as we recommend would (1) provide site specific
information, learning, and accountability that has been requested by CEAP and (2)
further advance balanced delivery of agricultural production and environmental
quality goals.
PMID- 27870292
TI - New activities of CASSS.
PMID- 27870291
TI - Simultaneous quantitation of 14 active components in Yinchenhao decoction with an
ultrahigh performance liquid chromatography-diode array detector: Method
development and ingredient analysis of different commonly prepared samples.
AB - J. Sep. Sci. 2016, 39, 4147-4157 DOI: 10.1002/jssc.201600284 Yinchenhao decoction
(YCHD) is a famous Chinese herbal formula recorded in the Shang Han Lun which was
prescribed by Zhongjing Zhang during 150-219 AD. A novel quantitative analysis
method was developed, based on ultrahigh performance liquid chromatography
coupled with a diode array detector for the simultaneous determination of 14 main
active components in Yinchenhao decoction. Furthermore, the method has been
applied for compositional difference analysis of the 14 components in eight
normal extraction samples of Yinchenhao decoction, with the aid of hierarchical
clustering analysis and similarity analysis. The present research could help
hospital, factory and lab choose the best way to make Yinchenhao decoction with
better efficacy.
PMID- 27870293
TI - New developments in enhancing patient drug regimen compliance.
PMID- 27870296
TI - Interactions between Private Health and Long-term Care Insurance and the Effects
of the Crisis: Evidence for Spain.
AB - This paper analyzes the reasons for the scarce development of the private long
term care insurance market in Spain, and its relationship with health insurance.
We are also interested in the effects the crisis has had both on the evolution of
the demand for long-term care insurance and on the existence of regional
disparities. We estimate bivariate probit models with endogenous variables using
Spanish data from the Survey on Health and Retirement in Europe. Our results
confirm that individuals wishing to purchase long-term care insurance are, in a
sense, forced to subscribe a health insurance policy. In spite of this
restriction in the supply of long-term care insurance contracts, we find its
demand has grown in recent years, which we attribute to the budget cuts affecting
the implementation of Spain's System of Autonomy and Attention to Dependent
People. Regional differences in its implementation, as well as the varying
effects the crisis has had across Spanish regions, lead to the existence of a
crowding-in effect in the demand for long-term care insurance in those regions
where co-payment is based on income and wealth, those that have a lower
percentage of public long-term care beneficiaries, or those with a smaller share
of cash benefits over total public benefits. Copyright (c) 2016 John Wiley &
Sons, Ltd.
PMID- 27870297
TI - Household Financial Distress and Initial Endowments: Evidence from the 2008
Financial Crisis.
AB - This paper studies in utero exposure to the 2008 financial crisis. Exploiting the
sudden and unexpected collapse of the Icelandic economy, I find that first
trimester exposure to the crisis led to a sizable and significant reduction in
birth weight, increased the probability of a low birth weight ( < 2500 g), and
decreased the probability of a high birth weight ( > 4000 g). I also find
evidence that the collapse reduced the sex ratio, indicating selection in utero
due to maternal prenatal stress exposure. My results imply large welfare losses
from financial distress that have hitherto been ignored - because children with
worse health at birth can expect substantially lower lifetime earnings - and
suggest that economic hardships may in general exacerbate income inequalities in
the long run as low-income households are typically more exposed to financial
distress. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27870295
TI - Infections with multiple high-risk HPV types are associated with high-grade and
persistent low-grade intraepithelial lesions of the cervix.
AB - BACKGROUND: Infections with multiple human papillomavirus (HPV) types (mHPV) in
Papanicolaou tests have been reported but the histologic correlation and clinical
meaning remains debatable. METHODS: The authors prospectively tested 37 HPV types
using the Linear Array HPV Genotyping Test and correlated the results to cytology
and histology findings in 260 women evaluated from June 2009 to October 2011 and
followed for up to 60 months. RESULTS: HPV was detected in 148 of 235 samples
(63%) and high-risk HPV was detected in 132 samples (56%). mHPV infection was
found to be twice as common as single HPV (sHPV) infection and was detected more
frequently in low-grade squamous intraepithelial lesion (LSIL) (48 of 83 samples
[58%]) and high-grade squamous intraepithelial lesion or invasive carcinoma (HSIL
+ (26 of 47 samples [55%]) compared with other categories (P<.001). Of 34
LSIL/cervical intraepithelial neoplasia 1 (CIN1) index cases, 13 of 21 patients
with mHPV (61.9%) persisted on CIN1, whereas no histologic abnormality was
detected during follow-up in all 12 patients with sHPV infection (high risk or
low risk) (P<.001). Eighteen of 20 patients with HSIL/cervical intraepithelial
neoplasia 2 (CIN2) (90%) and high-risk mHPV persisted on HSIL+/CIN2 + whereas 6
of 11 patients with sHPV infection did not demonstrate HSIL+/CIN2 + on follow-up
(54.5%) (P = .066). Approximately 40% of women with HSIL were infected by high
risk HPV types other than types 16 or 18. CONCLUSIONS: High-risk mHPV infection
identified patients with persistent LSIL/CIN1 and may to help identify patients
at higher risk of disease progression to HSIL+/CIN2+. Longer follow-up will
clarify the role of mHPV testing in patient care. Cancer Cytopathol 2017;125:138
143. (c) 2016 American Cancer Society.
PMID- 27870298
TI - Health Effects of Short-Term Fluctuations in Macroeconomic Conditions: The Case
of Hypertension for Older Americans.
AB - We investigate the health effects of short-term macroeconomic fluctuations as
described by changes in unemployment rate, house, and stock market price indexes.
The 'Great Recession' provides the opportunity to conduct this analysis as it
involved contemporaneous shocks to the labor, housing, and stock markets. Using
panel data from the Health and Retirement Study over the period 2004-2010, we
relate changes in hypertension status to changes in state-level unemployment rate
and house prices and to changes in stock market prices. We consider hypertension,
a disease related to stress and of high prevalence among older adults, that has
received little attention in the literature linking macroeconomic conditions to
individual health. Our analysis exploits self-reports of hypertension diagnosis
as well as directly measured blood pressure readings. Using both measures, we
find that the likelihood of developing hypertension is negatively related to
changes in house prices. Also, decreasing house prices lower the probability of
stopping hypertension medication treatment for individuals previously diagnosed
with the condition. We do not observe significant associations between
hypertension and either changes in unemployment rate or stock market prices. We
document heterogeneity in the estimated health effects of the recession by
gender, education, asset ownership, and work status. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27870299
TI - Careful in the Crisis? Determinants of Older People's Informal Care Receipt in
Crisis-Struck European Countries.
AB - Macroeconomic downturns can have an important impact on the receipt of informal
and formal long-term care, because recessions increase the number of unemployed
and affect net wealth. This paper investigates how the market for informal care
changed during and after the Great Recession in Europe, with particular focus on
the determinants of care receipt. We use data from the Survey of Health, Ageing
and Retirement in Europe, which includes a rich set of variables covering waves
before and after the Great Recession. We find evidence of an increase in the
availability of informal care after the economic downturn when controlling for
year and country fixed effects. This trend is mainly driven by changes in care
provision of individuals not cohabiting with the care recipient. We also find
evidence of several determinants of informal care receipt changing during the
crisis - such as physical needs, personal wealth, and household structures.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27870300
TI - The Lasting Health Impact of Leaving School in a Bad Economy: Britons in the
1970s Recession.
AB - This paper investigates whether leaving school in a bad economy deteriorates
health in the long run. It focuses on low-educated individuals in England and
Wales who entered the labour market immediately after the 1973 oil crisis. Our
identification strategy relies on the comparison of individuals who left school
at the compulsory age, were born in the same year and had a similar quantity of
education - but whose school-leaving behaviour in different years (hence,
different economic conditions) was exogenously implied by compulsory schooling
laws. We provide evidence that, unlike school-leavers who did postpone their
entry into the labour market during the recessions of the 1980s and 1990s,
pupils' decisions to leave school at the compulsory age immediately after the
1973 oil crisis were not endogenous to the contemporaneous economic conditions at
labour-market entry. We use a repeated cross section of individuals over the
period 1983-2001 from the General Household Survey and adopt a lifecourse
perspective, from 7 to 26 years after school-leaving. Our results show that poor
economic conditions at labour-market entry are particularly damaging to women's
health. For men, the health impact of poor economic conditions at labour-market
entry is less obvious and not robust to all specifications. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27870301
TI - Health Effects of Economic Crises.
AB - This analysis summarizes prior research and uses national, US state and county
level data from 1976 to 2013 to examine whether the mortality effects of economic
crises differ in kind from those of the more typical fluctuations. The tentative
conclusion is that economic crises affect mortality rates (and presumably other
measures of health) in the same way as less severe downturns - leading to
improvements in physical health. The effects of severe national recessions in the
USA appear to have a beneficial effect on mortality that is roughly twice as
strong as that predicted by the elevated unemployment rates alone, while the
higher predicted rate of suicides during typical periods of economic weakness is
approximately offset during severe recessions. No consistent pattern is obtained
for more localized economic crises occurring at the state level - some estimates
suggest larger protective mortality effects while others indicate offsetting
deleterious consequences. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27870294
TI - Advances on plant-pathogen interactions from molecular toward systems biology
perspectives.
AB - In the past 2 decades, progress in molecular analyses of the plant immune system
has revealed key elements of a complex response network. Current paradigms depict
the interaction of pathogen-secreted molecules with host target molecules leading
to the activation of multiple plant response pathways. Further research will be
required to fully understand how these responses are integrated in space and
time, and exploit this knowledge in agriculture. In this review, we highlight
systems biology as a promising approach to reveal properties of molecular plant
pathogen interactions and predict the outcome of such interactions. We first
illustrate a few key concepts in plant immunity with a network and systems
biology perspective. Next, we present some basic principles of systems biology
and show how they allow integrating multiomics data and predict cell phenotypes.
We identify challenges for systems biology of plant-pathogen interactions,
including the reconstruction of multiscale mechanistic models and the connection
of host and pathogen models. Finally, we outline studies on resistance durability
through the robustness of immune system networks, the identification of trade
offs between immunity and growth and in silico plant-pathogen co-evolution as
exciting perspectives in the field. We conclude that the development of
sophisticated models of plant diseases incorporating plant, pathogen and climate
properties represent a major challenge for agriculture in the future.
PMID- 27870302
TI - The Effect on Mental Health of Retiring During the Economic Crisis.
AB - This paper investigates the causal impact of retirement on late-life mental
health, a growing concern for public health, because major depressive disorders
are the second leading cause of disability. We shed light on the role of economic
conditions in shaping the effect of retirement on mental health by exploiting
time and regional variation in the severity of the economic crisis across 10
European countries during 2004-2013. We use data from four waves of the Survey of
Health, Ageing and Retirement in Europe and address the potential endogeneity of
the retirement decision to mental health by applying a fixed-effects instrumental
variables approach. The results indicate that retirement improves the mental
health of men but not that of women. This effect is stronger for blue-collar men
working in regions that have been severely hit by the economic crisis. These
findings may be explained by the worsening of working conditions and the rise in
job insecurity stemming from the economic downturn: under these circumstances,
exit from the labour force is perceived as a relief. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27870303
TI - Do Wealth Shocks Affect Health? New Evidence from the Housing Boom.
AB - We exploit large exogenous changes in housing wealth to examine the impact of
wealth gains and losses on individual health. In UK household, panel data house
price increases, which endow owners with greater wealth, lower the likelihood of
home owners exhibiting a range of non-chronic health conditions and improve their
self-assessed health with no effect on their psychological health. These effects
are not transitory and persist over a 10-year period. Using a range of fixed
effects models, we provide robust evidence that these results are not biased by
reverse causality or omitted factors. For owners' wealth gains affect labour
supply and leisure choices indicating that house price increases allow
individuals to reduce intensity of work with commensurate health benefits. (c)
2016 The Authors. Health Economics Published by John Wiley & Sons, Ltd.
PMID- 27870304
TI - Consequences of the Economic Crisis on Health and Health Care Systems.
PMID- 27870305
TI - BAD TIMES, SLIMMER CHILDREN?
AB - Although the majority of the literature has confirmed that recessions are
beneficial for adults' health and babies' outcomes at delivery, this effect
should not necessarily be the same for children. In this paper, we study the
effect of business cycle conditions on infant underweight, overweight, and
obesity. We exploit eight waves of repeated cross-sectional data (1987-2012) of
the Spanish National Health Survey for children aged 2-15 and use the regional
unemployment rate of the trimester of the interview as a proxy for the business
cycle phase at the local level. We find that an increase in the unemployment rate
is associated with lower obesity incidence, especially for children under 6 years
old and over 12 years old. However, economic shocks also proof to have
potentially negative consequences as they increase the prevalence of infant
underweight for the same age groups. Moreover, we show that the possible
mechanisms through which the cycle is impacting infant obesity is the nutritional
composition of the children's diet, as well as, increases in the frequency of
exercise. We provide some evidence that suggests that the impact of business
cycle conditions on infant weight disorders have little objective health
consequences in the short run. However, the potential long-term effects may
become important as underweight during childhood is associated with worse
outcomes later in life. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27870306
TI - Health Disparities by Income in Spain Before and After the Economic Crisis.
AB - Little is known about how health disparities by income change during times of
economic crisis. We apply a decomposition method to unravel the contributions of
income growth, income inequality and differential income mobility across socio
demographic groups to changes in health disparities by income in Spain using
longitudinal data from the Survey of Income and Living Conditions for the period
2004-2012. We find a modest rise in health inequality by income in Spain in the 5
years of economic growth prior to the start of the crisis in 2008, but a sharp
fall after 2008. The drop mainly derives from the fact that loss of employment
and earnings has disproportionately affected the incomes of the younger and
healthier groups rather than the (mainly stable pension) incomes of the groups
over 65 years. This suggests that unequal distribution of income protection by
age may reduce health inequality in the short run after an economic recession.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27870308
TI - Stand up for recalcitrance!
PMID- 27870307
TI - Abrogation of Gap Junctional Communication in ES Cells Results in a Disruption of
Primitive Endoderm Formation in Embryoid Bodies.
AB - Gap junctional intercellular communication (GJIC) has been suggested to be
involved in early embryonic development but the actual functional role remained
elusive. Connexin (Cx) 43 and Cx45 are co-expressed in embryonic stem (ES) cells,
form gap junctions and are considered to exhibit adhesive function and/or to
contribute to the establishment of defined communication compartments. Here, we
describe the generation of Cx43/Cx45-double deficient mouse ES cells to achieve
almost complete breakdown of GJIC. Cre-loxP induced deletion of both, Cx43 and
Cx45, results in a block of differentiation in embryoid bodies (EBs) without
affecting pluripotency marker expression and proliferation in ES cells. We
demonstrate that GJIC-incompetent ES cells fail to form primitive endoderm in EB
cultures, representing the inductive key step of further differentiation events.
Lentiviral overexpression of either Cx43 or Cx45 in Cx43/45 mutants rescued the
observed phenotype, confirming the specificity and indicating a partially
redundant function of both connexins. Upon differentiation GJIC-incompetent ES
cells exhibit a strikingly altered subcellular localization pattern of the
transcription factor NFATc3. Control EBs exhibit significantly more activated
NFATc3 in cellular nuclei than mutant EBs suggesting that Cx-mediated
communication is needed for synchronized NFAT activation to induce orchestrated
primitive endoderm formation. Moreover, pharmacological inhibition of NFATc3
activation by Cyclosporin A, a well-described inhibitor of calcineurin,
phenocopies the loss of GJIC in control cells. Stem Cells 2017;35:859-871.
PMID- 27870310
TI - Paradoxical increase in nasal airway resistance after topical nasal decongestion
does it really exist? A retro- and prospective study.
AB - OBJECTIVE: To explore the prevalence and reproducibility of paradoxically
increased nasal airway resistance after decongestion of the nasal mucosa as well
as to identify patient-related factors associated with it. DESIGN: Retrospective
analysis of all rhinomanometric measurements carried out at one ENT department in
Sweden in the time between 1990 and 2010. In the baseline material, 207 of 4435
patients (4.7%) showed a paradoxically increased nasal airway resistance >20%. A
follow-up rhinomanometry was performed in patients eligible for the study.
SETTING: Secondary care centre. PARTICIPANTS: Patients with paradoxically
increased nasal airway resistance >20% who returned a complete questionnaire and
had not undergone any nasal- or sinus surgery. Thirty-six patients (33-72 years)
were eligible for the study. MAIN OUTCOME MEASURES: All patients answered a
questionnaire and underwent rhinoscopy. Nasal airway resistance before and after
decongestion with 0.1% xylometazoline hydrochloride was assessed by active
anterior rhinomanometry. RESULTS: Twelve of thirty-six patients (33%) showed a
paradoxically increased nasal airway resistance in the follow-up rhinomanometry.
No patient-related factors were identified. CONCLUSION: The results indicate the
existence and reproducibility of paradoxically increased nasal airway resistance.
PMID- 27870311
TI - Does Local Ibandronate and/or Pamidronate Delivery Enhance Osseointegration? A
Systematic Review.
AB - PURPOSE: To our knowledge from indexed literature, the present study is the first
one to systematically review the influence of local delivery of pamidronate (PAM)
and/or ibandronate (IBA) on osseointegration enhancement. The aim of the present
systematic review was to assess the efficacy of IBA and/or PAM local delivery
(topically or coating on implants surfaces) in promoting osseointegration.
MATERIALS AND METHODS: To address the focused question, "Does local IBA and/or
PAM delivery enhances osseointegration?," indexed databases were searched without
time or language restrictions up to and including May 2016 using various
combinations of the following keywords: "pamidronate," "ibandronate,"
"bisphosphonates," "osseointegration," and "topical administration." Letters to
the Editor, historic reviews, commentaries, case series, and case reports were
excluded. RESULTS: Fifteen studies were included. Fourteen studies were performed
in animals and 2 were clinical trials. One study reported an experimental model
and a clinical trial in the same publication. Results from 12 experimental
studies and 2 clinical studies reported improved biomechanical properties and/or
osseointegration around implants with PAM and/or IBA. Two experimental studies
showed that PAM and/or IBA did not improve osseointegration. CONCLUSIONS: On
experimental grounds, local IBA and/or PAM delivery seems to enhance
osseointegration; however, from a clinical perspective, further randomized
control trials are needed to assess the effectiveness of IBA and PAM in promoting
osseointegration around dental implants.
PMID- 27870312
TI - Mixed pollen load and late-acting self-incompatibility flexibility in
Adenocalymma peregrinum (Miers) L.G. Lohmann (Bignonieae: Bignoniaceae).
AB - Mixed cross and self-pollen load on the stigma (mixed pollination) of species
with late-acting self-incompatibility system (LSI) can lead to self-fertilized
seed production. This "cryptic self-fertility" may allow selfed seedling
development in species otherwise largely self-sterile. Our aims were to check if
mixed pollinations would lead to fruit set in LSI Adenocalymma peregrinum, and
test for evidence of early-acting inbreeding depression in putative selfed seeds
from mixed pollinations. Experimental pollinations were carried out in a natural
population. Fruit and seed set from self-, cross and mixed pollinations were
analysed. Further germination tests were carried out for the seeds obtained from
treatments. Our results confirm self-incompatibility, and fruit set from cross
pollinations was three-fold that from mixed pollinations. This low fruit set in
mixed pollinations is most likely due to a greater number of self- than cross
fertilized ovules, which promotes LSI action and pistil abortion. Likewise,
higher percentage of empty seeds in surviving fruits from mixed pollinations
compared with cross-pollinations is probably due to ovule discounting caused by
self-fertilization. Moreover, germinability of seeds with developed embryos was
lower in fruits from mixed than from cross-pollinations, and the non-viable seeds
from mixed pollinations showed one-third of the mass of those from cross
pollinations. The great number of empty seeds, lower germinability, lower mass of
non-viable seeds, and higher variation in seed mass distribution in mixed
pollinations, strongly suggests early-acing inbreeding depression in putative
selfed seeds. In this sense, LSI and inbreeding depression acting together
probably constrain self-fertilized seedling establishment in A. peregrinum.
PMID- 27870314
TI - Genetic and environmental contributions to the inverse association between
specific autistic traits and experience seeking in adults.
PMID- 27870313
TI - Hypothalamic-specific proopiomelanocortin deficiency reduces alcohol drinking in
male and female mice.
AB - Opioid receptor antagonist naltrexone reduces alcohol consumption and relapse in
both humans and rodents. This study investigated whether hypothalamic
proopiomelanocortin (POMC) neurons (producing beta-endorphin and melanocortins)
play a role in alcohol drinking behaviors. Both male and female mice with
targeted deletion of two neuronal Pomc enhancers nPE1 and nPE2 (nPE-/-),
resulting in hypothalamic-specific POMC deficiency, were studied in short-access
(4-h/day) drinking-in-the-dark (DID, alcohol in one bottle, intermittent access
(IA, 24-h cycles of alcohol access every other day, alcohol vs. water in a two
bottle choice) and alcohol deprivation effect (ADE) models. Wild-type nPE+/+
exposed to 1-week DID rapidly established stable alcohol drinking behavior with
more intake in females, whereas nPE-/- mice of both sexes had less intake and
less preference. Although nPE-/- showed less saccharin intake and preference than
nPE+/+, there was no genotype difference in sucrose intake or preference in the
DID paradigm. After 3-week IA, nPE+/+ gradually escalated to high alcohol intake
and preference, with more intake in females, whereas nPE-/- showed less
escalation. Pharmacological blockade of mu-opioid receptors with naltrexone
reduced intake in nPE+/+ in a dose-dependent manner, but had blunted effects in
nPE-/- of both sexes. When alcohol was presented again after 1-week abstinence
from IA, nPE+/+ of both sexes displayed significant increases in alcohol intake
(ADE or relapse-like drinking), with more pronounced ADE in females, whereas nPE
/- did not show ADE in either sex. Our results suggest that neuronal POMC is
involved in modulation of alcohol 'binge' drinking, escalation and 'relapse',
probably via hypothalamic-mediated mechanisms, with sex differences.
PMID- 27870316
TI - A comparative review of gerontological nursing citation data.
AB - BACKGROUND: Gerontological nursing is a highly interdisciplinary specialty.
English-language bibliometric research is scarce. OBJECTIVES: Study
gerontological nursing literature to identify journals useful for nurses and
researchers working with older adults, help librarians assist gerontological
nursing authors to find publication outlets and make library collection
decisions. METHODS: Using a combination of methods, the authors identified cited
journals in the Journal of Gerontological Nursing (JGN) and Geriatric Nursing
(GN) from 2008 to 2010 using part of the Nursing and Allied Health Resources
(NAHRS) Section protocol. A list was generated and compared to the results of an
earlier unpublished NAHRS study and an earlier review article. A second list was
developed following set criteria of bibliometric indicators and journal lists.
RESULTS: Zone 1 showed 28 journals, and Zone 2 had 1472 titles. Zone 1 journals
were examined further based on the inclusion of certain bibliometrics and journal
lists. DISCUSSION: Providing insight into the complementary use comparative
review and citation mapping, this study reports an increase in gerontological
nursing research from 2008 to 2010 across a diverse group of highly cited
journals. CONCLUSION: A list of new journal titles is presented to aid collection
development and suggestions of places to publish gerontological research.
PMID- 27870317
TI - Learning to write through reading.
AB - Narrowing the gap between what we want to achieve as writings - publishing a
report of our project - and what we achieve as readers - finding a study to
inform our practice - can be challenging. One solution in enabling us to achieve
this goal is to learn from close reading the writing of others, including writing
in development. Close reading, appraisal in its broadest term, encourages us to
articulate what we, putting us in touch with how an author has organised
information, selected their words and structured their arguments.
PMID- 27870318
TI - Interactions and user-perceived helpfulness in diet information social questions
& answers.
AB - BACKGROUND: Online health information seeking using social questions and answers
(Social Q&A) sites has been increasingly popular in recent years. It calls for
better understanding of health information seeking behaviour and interactions
between information seekers and information providers. OBJECTIVES: The study
investigates how diet information seekers interact with information providers on
WebMD Answers, which is a Social Q&A site devoted to health-related topics, and
examines the factors that constitute a 'helpful' answer from an information
seeker's perspective. METHODS: Bales' interaction process analysis was applied as
the framework to analyse 568 diet-related Q&As from WebMD Answers to identify
interaction patterns. RESULTS: Most diet information seekers post questions
anonymously and without any detailed description. Individual experts or health
organisations provide most answers. Overall, answers are positively received and
had a high satisfaction rating. It was also found that information seeker
perceived helpfulness does not depend on who answered the question but to how an
information seeker posted the question. CONCLUSIONS: This study indicates that
answers at WebMD Answers are helpful for diet information seekers. It sheds new
light on the interactions during the Q&A process, preferred site functions and
important factors that contribute to perceived helpful answers.
PMID- 27870319
TI - Stem cell research: the role of information seeking and scanning.
AB - BACKGROUND: The mass media have held an ongoing debate about stem cell research.
However, few studies have investigated how individuals obtain information on stem
cell research and whether this affects their knowledge and perspectives on stem
cell research. OBJECTIVE: This study aims to investigate whether (i) cancer
diagnosed and non-diagnosed individuals differ in terms of their acquisition of
stem cell research information, (ii) whether this information acquisition is
associated with stem cell research knowledge and perspectives and (iii) whether
having had a cancer diagnosis moderates these associations. METHOD: A
standardised, cross-sectional survey was conducted among a convenience sample of
621 cancer-diagnosed and 1387 non-diagnosed individuals in Flanders (Belgium).
RESULTS: The results indicate that stem cell research information acquisition
explains a significant part of the variance of stem cell research knowledge
(8.9%) and of the societal benefits of stem cell research (6.7%) and of embryonic
stem cell research evaluation (3.9%) and morality (2%). These associations did
not differ between cancer-diagnosed and non-diagnosed individuals but cancer
diagnosed individuals did seek more stem cell research information. CONCLUSION:
Acquiring stem cell research information, both intentionally and unintentionally,
is positively related to stem cell research knowledge and perspectives. Future
research should further identify ways to promote health information acquisition
behaviour because it is associated with better knowledge and more positive
opinion formation.
PMID- 27870321
TI - Exploring trust in online health information: a study of user experiences of
patients.co.uk.
AB - This feature has been co-authored by Anna Cunningham and her supervisor Frances
Johnson. It is based on the research Anna conducted for her dissertation, which
she completed as part of her MA in Library and Information Management at
Manchester Metropolitan University. The study explored how people assess the
trustworthiness of online health information, and the participants were asked to
talk aloud whilst viewing information on the consumer health information website
patients.co.uk. The study confirmed that their assessment was based on the
information usefulness and credibility as well as identifying the factors
relating to information quality and website design that helped to form these
judgements. A. M.
PMID- 27870322
TI - Information Literacy Advocates: developing student skills through a peer support
approach.
AB - Since 2013/2014, an Information Literacy Advocates (ILA) scheme has been running
at the University of Nottingham as an extracurricular module on the Nottingham
Advantage Award programme. The Information Literacy Advocates scheme, which
recruits medicine and health sciences students in their second year or above,
aims to facilitate development of information literacy skills and confidence, as
well as communication, organisation and teamwork, through the provision of peer
support. Previous research indicates peer assistance effectively enhances such
skills and is valued by fellow students who welcome the opportunity to approach
more experienced students for help. This article, written by guest writer Ruth
Curtis from the University of Nottingham, provides an overview of administering
the ILA scheme and explores its impact on the Information Literacy Advocates,
peers and librarians, and discusses future developments for taking the scheme
forward. H. S.
PMID- 27870323
TI - Inflammasome activators induce fibronectin expression and release in macrophages.
AB - Extracellular fibronectin (Fn) can activate pro-inflammatory pathways and serves
as an endogenous danger signalling molecule; thus, it has been suggested as a
biomarker for several diseases. In the present study, we found that pathogen
derived activators of the inflammasomes induce the expression and secretion of Fn
in macrophages through a mechanism involving adenosine triphosphate and caspase-1
activation. We also found that plasma Fn induces caspase-1 activation and cell
death in macrophages, epithelial cells, and fibroblasts. Together, these results
indicate that Fn plays a critical role in inflammasome-activated cells by
amplifying caspase-1 activation and inducing inflammatory cell death.
PMID- 27870324
TI - High Biofilm Conductivity Maintained Despite Anode Potential Changes in a
Geobacter-Enriched Biofilm.
AB - This study systematically assessed intracellular electron transfer (IET) and
extracellular electron transfer (EET) kinetics with respect to anode potential
(Eanode ) in a mixed-culture biofilm anode enriched with Geobacter spp. High
biofilm conductivity (0.96-1.24 mS cm-1 ) was maintained during Eanode changes
from -0.2 to +0.2 V versus the standard hydrogen electrode (SHE), although the
steady-state current density significantly decreased from 2.05 to 0.35 A m-2 in a
microbial electrochemical cell. Substantial increase of the Treponema population
was observed in the biofilm anode at Eanode =+0.2 V, which reduced intracellular
electron-transfer kinetics associated with the maximum specific substrate
utilization rate by a factor of ten. This result suggests that fast EET kinetics
can be maintained under dynamic Eanode conditions in a highly conductive biofilm
anode as a result of shift of main EET players in the biofilm anode, although
Eanode changes can influence IET kinetics.
PMID- 27870325
TI - Building resilience in health care workers.
PMID- 27870326
TI - Changing undergraduate attitudes to mental illness.
PMID- 27870327
TI - Technology and medical education: change for the better?
PMID- 27870328
TI - Teaching digital professionalism: a role for problem-based learning?
PMID- 27870329
TI - Using social media to enhance health professional education.
PMID- 27870330
TI - Maximising role-play as a learning modality.
PMID- 27870331
TI - Developing as a clinical teacher.
PMID- 27870332
TI - What do pregnant women think of student training?: response.
PMID- 27870333
TI - A View from the Bridge: Health Economic Evaluation - A Value-Based Framework?
PMID- 27870334
TI - Web Alert: Electron microscopy in environmental microbiology: An annotated
selection of World Wide Web sites relevant to the topics in environmental
microbiology.
PMID- 27870335
TI - Invasive Ductal Carcinoma Displayed "Basal-Like" Feature Arising within a Breast
Fibroadenoma.
PMID- 27870336
TI - Choosing Bilateral Mastectomy.
PMID- 27870337
TI - Breast Cancers Found with Digital Breast Tomosynthesis: A Comparison of Pathology
and Histologic Grade.
AB - To compare the pathology and histologic grading of breast cancers detected with
digital breast tomosynthesis to those found with conventional digital
mammography. The institutional review board approved this study. A database
search for all breast cancers diagnosed from June 2012 through December 2013 was
performed. Imaging records for these cancers were reviewed and patients who had
screening mammography with tomosynthesis as their initial examination were
selected. Five dedicated breast imaging radiologists reviewed each of these
screening mammograms to determine whether the cancer was visible on conventional
digital mammography or whether tomosynthesis was needed to identify the cancer. A
cancer was considered mammographically occult if all five radiologists agreed
that the cancer could not be seen on conventional digital mammography. The size,
pathology and histologic grading for all diagnosed breast cancers were then
reviewed. The Mann-Whitney U and Fisher exact tests were utilized to determine
any association between imaging findings and cancer size, pathologic type and
histologic grade. Sixty-five cancers in 63 patients were identified. Ten of these
cancers were considered occult on conventional digital mammography and detected
with the addition of tomosynthesis. These mammographically occult cancers were
significantly associated with Nottingham grade 1 histologic pathology (p = 0.02),
were smaller (median size: 6 mm versus 10 mm, p = 0.07) and none demonstrated
axillary nodal metastases. Breast cancers identified through the addition of
tomosynthesis are associated with Nottingham grade 1 histologic pathology and
prognostically more favorable than cancers identified with conventional digital
mammography alone.
PMID- 27870338
TI - Understanding the Tie That Binds: Deciphering the World of the Zygoma.
PMID- 27870339
TI - Zygomatic Arch Cortical Area and Diet in Haplorhines.
AB - The influence that various types of ingested foods have on the form (size and
shape) of specific features of the masticatory system is an area in which many
questions remain unanswered. The bony zygomatic arch, the focus of this study, is
directly linked to the masticatory system because it serves as the anchor for the
masseter muscle, a primary muscle of chewing and source of masticatory force.
However, the influence of diet and the forces associated with different diet
types on the arch's internal bone architecture is not well understood. Despite
the breadth of work centered around the craniofacial complex and biomechanics of
mastication, there is a need for further investigations into the functional
relationships between specific bony features that experience high strains, (e.g.,
the zygomatic arch), and the masticatory forces generated by different diets
(e.g., mechanically resistant versus non- mechanically resistant) across non
human primates. A hypothesis and series of predictions assessing diet in relation
to variability in cortical area distributions and values of section moduli
(measures of bone strength) throughout the zygomatic arch were tested in a sample
of haplorhine primates. Cortical area and measures of section moduli appear to
track with the known masticatory strain distribution along the zygomatic arch.
Pairwise comparisons between closely related taxa of different diets reveal
significant differences in anterior cortical area and section moduli values.
These results imply that differences in masticatory loading due to diet manifest
in the zygomatic arch's internal bone structure. Anat Rec, 299:1789-1800, 2016.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27870341
TI - Development, Structure, and Function of the Zygomatic Bones: What is New and Why
Do We Care?
AB - This issue of The Anatomical Record is the first of a two-volume set on the
zygoma (also called the cheek bone, the zygomatic bone, the malar, or the jugal,
the latter term being used in vertebrates other than mammals). The zygoma is an
important component of the craniofacial skeleton, in which the zygoma is a
connection between the midfacial and the cranial skeletons; has a functional role
as the origin of one of the masticatory muscles, the masseter muscle, and several
facial muscles; has been considered as an essential buttress of the facial
skeleton for resisting masticatory forces; and has importance for determining
phylogenetic relationships. In humans, the zygoma is also of aesthetic
significance for facial appearance, and its restoration following trauma has
resulted in a large clinical literature. In this first volume of this Special
Issue, a wide ranging series of papers discuss studies related to issues of
development, structure, and function of the zygoma and closely related parts of
the craniofacial skeleton in mammals, and in particular primates. This
Introductory article provides an overview in which we discuss the primary
findings of these studies and some of their implications. The second volume,
which will be published as the January 2017 issue of The Anatomical Record, will
focus on variation and evolution of the zygoma throughout the vertebrates. Anat
Rec, 299:1611-1615, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870340
TI - Developmental and Evolutionary Significance of the Zygomatic Bone.
AB - The zygomatic bone is derived evolutionarily from the orbital series. In most
modern mammals the zygomatic bone forms a large part of the face and usually
serves as a bridge that connects the facial skeleton to the neurocranium. Our aim
is to provide information on the contribution of the zygomatic bone to variation
in midfacial protrusion using three samples; humans, domesticated dogs, and
monkeys. In each case, variation in midface protrusion is a heritable trait
produced by one of three classes of transmission: localized dysmorphology
associated with single gene dysfunction, selective breeding, or long-term
evolution from a common ancestor. We hypothesize that the shape of the zygomatic
bone reflects its role in stabilizing the connection between facial skeleton and
neurocranium and consequently, changes in facial protrusion are more strongly
reflected by the maxilla and premaxilla. Our geometric morphometric analyses
support our hypothesis suggesting that the shape of the zygomatic bone has less
to do with facial protrusion. By morphometrically dissecting the zygomatic bone
we have determined a degree of modularity among parts of the midfacial skeleton
suggesting that these components have the ability to vary independently and thus
can evolve differentially. From these purely morphometric data, we propose that
the neural crest cells that are fated to contribute to the zygomatic bone
experience developmental cues that distinguish them from the maxilla and
premaxilla. The spatiotemporal and molecular identity of the cues that impart
zygoma progenitors with their identity remains an open question that will require
alternative data sets. Anat Rec, 299:1616-1630, 2016. (c) 2016 The Authors The
Anatomical Record Published by Wiley Periodicals, Inc.
PMID- 27870342
TI - The Mobility of the Human Face: More than Just the Musculature.
AB - The human face has the greatest mobility and facial display repertoire among all
primates. However, the variables that account for this are not clear. Humans and
other anthropoids have remarkably similar mimetic musculature. This suggests that
differences among the mimetic muscles alone may not account for the increased
mobility and facial display repertoire seen in humans. Furthermore, anthropoids
themselves outpace prosimians in these categories: humans > other anthropoids >
prosimians. This study was undertaken to clarify the morphological underpinnings
of the increased mobility and display repertoire of the human face by
investigating the SMAS (the superficial musculo-aponeurotic system), a connective
tissue layer enclosing the mimetic musculature located between the skin and deep
fascia/periosteum. Full-thickness samples from the face near the zygoma region
from the anthropoids Homo sapiens (humans, N = 3), Pan troglodytes (chimpanzees,
N = 3), Hylobates muelleri (gibbons, N = 1), and Macaca mulatta (rhesus macaque,
N = 3) and the prosimians Tarsius bancanus (tarsiers, N = 1), and Otolemur
crassicaudatus (galagos, N = 2) were used. All samples were processed for
paraffin-based histology and stained sections were viewed under light microscopy
to determine if a SMAS layer could be identified. Results indicate that a SMAS
layer was present in all anthropoid species but neither of the prosimian species.
This connective tissue layer may be a factor in the increased facial mobility and
facial display repertoire present in these species. Anat Rec, 299:1779-1788,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870343
TI - The Biomechanics of Zygomatic Arch Shape.
AB - Mammalian zygomatic arch shape is remarkably variable, ranging from nearly
cylindrical to blade-like in cross section. Based on geometry, the arch can be
hypothesized to be a sub-structural beam whose ability to resist deformation is
related to cross sectional shape. We expect zygomatic arches with different cross
sectional shapes to vary in the degree to which they resist local bending and
torsion due to the contraction of the masseter muscle. A stiffer arch may lead to
an increase in the relative proportion of applied muscle load being transmitted
through the arch to other cranial regions, resulting in elevated cranial stress
(and thus, strain). Here, we examine the mechanics of the zygomatic arch using a
series of finite element modeling experiments in which the cross section of the
arch of Pan troglodytes has been modified to conform to idealized shapes
(cylindrical, elliptical, blade-like). We find that the shape of the zygomatic
arch has local effects on stain that do not conform to beam theory. One exception
is that possessing a blade-like arch leads to elevated strains at the postorbital
zygomatic junction and just below the orbits. Furthermore, although modeling the
arch as solid cortical bone did not have the effect of elevating strains in other
parts of the face, as had been expected, it does have a small effect on stress
associated with masseter contraction. These results are counterintuitive. Even
though the arch has simple beam-like geometry, we fail to find a simple
mechanical explanation for the diversity of arch shape. Anat Rec, 299:1734-1752,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870345
TI - Betwixt and Between: Intracranial Perspective on Zygomatic Arch Plasticity and
Function in Mammals.
AB - The zygomatic arch is morphologically complex, providing a key interface between
the viscerocranium and neurocranium. It also serves as an attachment site for
masticatory muscles, thereby linking it to the feeding apparatus. Though
morphological variation related to differential loading is well known for many
craniomandibular elements, the adaptive osteogenic response of the zygomatic arch
remains to be investigated. Here, experimental data are presented that address
the naturalistic influence of masticatory loading on the postweaning development
of the zygoma and other cranial elements. Given the similarity of bone-strain
levels among the zygoma and maxillomandibular elements, a rabbit and pig model
were used to test the hypothesis that variation in cortical bone formation and
biomineralization along the zygomatic arch and masticatory structures are linked
to increased stresses. It was also hypothesized that neurocranial structures
would be minimally affected by varying loads. Rabbits and pigs were raised for 48
weeks and 8 weeks, respectively. In both experimental models, CT analyses
indicated that elevated masticatory loading did not induce differences in
cortical bone thickness of the zygomatic arch, though biomineralization was
positively affected. Hypotheses were supported regarding bone formation for
maxillomandibular and neurocranial elements. Varying osteogenic responses in the
arch suggests that skeletal adaptation, and corresponding variation in
performance, may reside differentially at one level of bony architecture. Thus,
it is possible that phenotypic diversity in the mammalian zygoma is due more
singularly to natural selection (vs. plasticity). These findings underscore the
complexity of the zygomatic arch and, more generally, determinants of skull form.
Anat Rec, 299:1646-1660, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870344
TI - Elastic Properties of Chimpanzee Craniofacial Cortical Bone.
AB - Relatively few assessments of cranial biomechanics formally take into account
variation in the material properties of cranial cortical bone. Our aim was to
characterize the elastic properties of chimpanzee craniofacial cortical bone and
compare these to the elastic properties of dentate human craniofacial cortical
bone. From seven cranial regions, 27 cylindrical samples were harvested from each
of five chimpanzee crania. Assuming orthotropy, axes of maximum stiffness in the
plane of the cortical plate were derived using modified equations of Hooke's law
in a Mathcad program. Consistent orientations among individuals were observed in
the zygomatic arch and alveolus. The density of cortical bone showed significant
regional variation (P < 0.001). The elastic moduli demonstrated significant
differences between sites, and a distinct pattern where E3 > E2 > E1 . Shear
moduli were significantly different among regions (P < 0.001). The pattern by
which chimpanzee cranial cortical bone varies in elastic properties resembled
that seen in humans, perhaps suggesting that the elastic properties of
craniofacial bone in fossil hominins can be estimated with at least some degree
of confidence. Anat Rec, 299:1718-1733, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870346
TI - Divided Zygomatic Bone in Primates With Implications of Skull Morphology and
Biomechanics.
AB - Typically the zygoma is a single bone in the facial skeleton whose shape uniquely
copes with loads associated with mastication. Rarely but naturally, the zygoma is
divided into two or more parts by supernumerary sutures. These extra
intrazygomatic sutures are located at an area of critical morphological and
biomechanical importance, yet their impacts have not been studied. In this study,
the morphological and possible biomechanical consequences of the divided zygoma
(DZ) were investigated in primates including rhesus macaques (Macaca mulatta),
orangutans (Pongo abelii and P. pygmaeus), and modern humans (Homo sapiens).
Results demonstrated that a unilateral supernumerary suture within the zygoma
affected facial symmetry. The superior division of the divided zygoma was
normally slender along with the adjacent frontal bone parts; while the inferior
division of the divided zygoma was normally more robust, along with stronger
temporal and maxillary bones. These were possible biomechanical consequences, in
which the stresses incurred during normal masticatory activities were shunted
from the upper face to the lower face, especially along the zygomatic arch. These
findings revealed that the DZ condition would alter overall morphology of the
midface of the affected side, and unfavorably affect the pattern of stress
distribution in the loaded side of the face during mastication. The developmental
mechanisms for the supernumerary sutures dividing the zygoma were unclear.
Further insights into this rare condition may deepen our understanding of
craniofacial form, adaptation, developmental plasticity, and evolution, and help
to improve therapeutic philosophies in corrective and regenerative medicine. Anat
Rec, 299:1801-1829, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870348
TI - The Anatomical Record Celebrates Its Continuing Exploration of the Vertebrate
Skull With Our First Two Part Special Issue: Understanding the Zygoma.
PMID- 27870347
TI - Internal Bone Architecture in the Zygoma of Human and Pan.
AB - The internal and external anatomy of the primate zygoma is central to orofacial
function, health, and disease. The importance of variation in its gross
morphology across extinct and extant primate forms has been established using
finite element analysis, but its internal structure has yet to be explored. In
this study, uCT is used to characterize trabecular bone morphometry in two
separate regions of the zygoma of humans and Pan. Trabecular anisotropy and
orientation are compared with strain orientations observed in trabecular regions
of finite element models of four Pan crania. The results of this study show that
trabecular bone morphometry, anisotropy, and orientation are highly compatible
with strain orientation and magnitude in the finite element models. Trabecular
bone in the zygoma is largely orthotropic (with bone orientation differing in
three mutually orthogonal directions), with its primary orientation lying in the
mediolateral direction. Trabecular bone in the zygomatic region appears to be
highly influenced by the local strain environment, and thus may be closely linked
to orofacial function. Anat Rec, 299:1704-1717, 2016. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27870349
TI - Ontogeny of the Postorbital Region in Tarsiers and Other Primates.
AB - Bony structure of the postorbital region is a key trait distinguishing major
clades of primates. Strepsirrhines share a postorbital bar, and anthropoids share
a complete postorbital septum. At issue is whether the partial postorbital septum
of tarsiers unites living tarsiers more closely with anthropoids than with
certain large-eyed Eocene fossils. Previously we reported incomplete postorbital
closure in tarsiers at birth. In this article, we document comparative analyses
of the postorbital region in a broad range of perinatal primates. Virtual
reconstructions of microCT data were used to study three-dimensional structure of
the perinatal cranium in these taxa. We also describe and illustrate formation of
the tarsier partial postorbital septum through the perinatal period using a
growth series of Tarsius syrichta. Our results support the hypothesis that
partial postorbital septation in the tarsier is secondary to eye hypertrophy.
Based on these observations, we propose a structural hypothesis for phylogenetic
differences observed in the primate postorbital region. Specifically, we propose
that key postorbital traits, including the frontal spur in strepsirrhines and the
posterior lamina of the zygomatic in anthropoids, develop as a result of the
spatial relationships of brain, eyes, and teeth. Haplorhines are united by
expansion of the anterior cranial fossa and loss of the frontal spur. Anthropoids
are further united to the exclusion of tarsiers by expansion of the temporal
lobes and associated formation of the posterior lamina of the zygomatic.
Mechanical forces related to these spatial relationships may be modulated by deep
fascia of the orbit to induce formation of the postorbital septum. Anat Rec,
299:1631-1645, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870350
TI - The Periosteum of the Zygomatic Arch: Vascularization and Growth.
AB - In addition to conveying the forces of attaching muscles and ligaments to the
zygomatic and temporal bones, the arch periosteum is responsible for lateral
apposition and medial resorption during the growth period. In this contribution,
we describe the vasculature of the zygomatic arch in young pigs (Sus scrofa dom.)
in order to understand the relationship of osseous and periosteal vessels to each
other, to surrounding tissues, and to patterns of modeling. Subjects 2-6 weeks of
age were perfused with vascular fill; some also received the vital bone label
calcein. Whole mounts were prepared of the decalcified bony arch and of its
lateral periosteum. Undecalcified arches were plastic-embedded and thick
sectioned. Additional observations on cell replication were made using material
from a previous study. The osseous and periosteal vascular supplies were largely
independent, joined only by a fine network at the tissue interface. Osseous
vessels entered the medial side of the arch through clusters of nutrient
foramina. The intraosseous branching pattern resembled the direction of
appositional growth, which in turn describes the disposition of bony trabeculae
in older pigs. In contrast, vessels arrived at the periosteum via muscles and
ligaments and thus its perfusion may partially depend on functional activity. The
open weave of periosteal vessels bore little similarity to bone architecture,
especially for the temporal bone, but the appositional lateral periosteum showed
indications of angiogenesis, whereas the thinner, resorptive periosteum on the
medial side featured composite, possibly fusing vessels at the bone surface. Anat
Rec, 299:1661-1670, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870352
TI - Membranous Support for Eyes of Strepsirrhine Primates and Fruit Bats.
AB - Living primates have relatively large eyes and support orbital tissues with a
postorbital bar (POB) and/or septum. Some mammals with large eyes lack a POB, and
presumably rely on soft tissues. Here, we examined the orbits of four species of
strepsirrhine primates (Galagidae, Cheirogaleidae) and three species of fruit
bats (Pteropodidae). Microdissection and light microscopy were employed to
identify support structures of the orbit. In bats and primates, there are two
layers of fascial sheets that border the eye laterally. The outer membrane is the
most superficial layer of deep fascia, and has connections to the POB in
primates. In fruit bats, which lacked a POB or analogous ligament, the deep
fascia is reinforced by transverse ligaments. Bats and primates have a deeper
membrane supporting the eye, identified as the periorbita (PA) based on the
presence of elastic fibers and smooth muscle. The PA merges with periostea deep
within the orbit, but has no periosteal attachment to the POB of primates. These
findings demonstrate that relatively big eyes can be supported primarily with
fibrous connective tissues as well as the PA, in absence of a POB or ligament.
The well-developed smooth muscle component within the PA of fruit bats likely
helps to protrude the eye, maintaining a more convergent eye orientation, with
greater overlap of the visual fields. The possibility should be considered that
early euprimates, and even stem primates that may have lacked a POB, also had
more convergent eyes than indicated by osseous measurements of orbital
orientation. Anat Rec, 299:1690-1703, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870351
TI - Review of In Vivo Bone Strain Studies and Finite Element Models of the Zygomatic
Complex in Humans and Nonhuman Primates: Implications for Clinical Research and
Practice.
AB - The craniofacial skeleton is often described in the clinical literature as being
comprised of vertical bony pillars, which transmit forces from the toothrow to
the neurocranium as axial compressive stresses, reinforced transversely by
buttresses. Here, we review the literature on bony microarchitecture, in vivo
bone strain, and finite-element modeling of the facial skeleton of humans and
nonhuman primates to address questions regarding the structural and functional
existence of facial pillars and buttresses. Available bone material properties
data do not support the existence of pillars and buttresses in humans or Sapajus
apella. Deformation regimes in the zygomatic complex emphasize bending and shear,
therefore conceptualizing the zygomatic complex of humans or nonhuman primates as
a pillar obscures its patterns of stress, strain, and deformation. Human fossil
relatives and chimpanzees exhibit strain regimes corroborating the existence of a
canine-frontal pillar, but the notion of a zygomatic pillar has no support. The
emerging consensus on patterns of strain and deformation in finite element models
(FEMs) of the human facial skeleton corroborates hypotheses in the clinical
literature regarding zygomatic complex function, and provide new insights into
patterns of failure of titanium and resorbable plates in experimental studies. It
is suggested that the "pillar and buttress" model of human craniofacial skeleton
function be replaced with FEMs that more accurately and precisely represent in
vivo function, and which can serve as the basis for future research into implants
used in restoration of occlusal function and fracture repair. Anat Rec, 299:1753
1778, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870354
TI - Nomenclature for factors of the HLA system, update August 2016.
PMID- 27870353
TI - Eye Size and Set in Small-Bodied Fossil Primates: A Three-Dimensional Method.
AB - We introduce a new method to geometrically reconstruct eye volume and placement
in small-bodied primates based on the three-dimensional contour of the
intraorbital surface. We validate it using seven species of living primates, with
dry skulls and wet dissections, and test its application on seven species of
Paleogene fossils of interest. The method performs well even when the orbit is
damaged and incomplete, lacking the postorbital bar and represented only by the
orbital floor. Eye volume is an important quantity for anatomic and metabolic
reasons, which due to differences in eye set, or position within (or outside) the
bony orbit, can be underestimated in living and fossil forms when calculated from
aperture diameter. Our Ectopic Index quantifies how much the globe's volume
protrudes anteriorly from the aperture. Lemur, Notharctus and Rooneyia resemble
anthropoids, with deeply recessed eyes protruding 11%-13%. Galago and Tarsius are
the other extreme, at 47%-56%. We argue that a laterally oriented aperture has
little to do with line-of-sight in euprimates, as large ectopic eyes can position
the cornea to enable a directly forward viewing axis, and soft tissue positions
the eyes facing forward in megachiropteran bats, which have unenclosed, open eye
sockets. The size and set of virtual eyes reconstructed from 3D cranial models
confirm that eyes were large to hypertrophic in Hemiacodon, Necrolemur,
Microchoerus, Pseudoloris and Shoshonius, but eye size in Rooneyia may have been
underestimated by measuring the aperture, as in Aotus. Anat Rec, 299:1671-1689,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870355
TI - Impact of genomic risk factors on survival after haematopoietic stem cell
transplantation for patients with acute leukaemia.
AB - The EBMT risk score is an established tool successfully used in the prognosis of
survival post-HSCT and is applicable for a range of haematological disorders. One
of its main advantages is that score generation involves summation of clinical
parameters that are available pretransplant. However, the EBMT risk score is
recognized as not being optimal. Previous analyses, involving patients with
various diagnoses, have shown that non-HLA gene polymorphisms influence outcome
after allogeneic HSCT. This study is novel as it focuses only on patients having
acute leukaemia (N = 458) and attempts to demonstrate how non-HLA gene
polymorphisms can be added to the EBMT risk score in a Cox regression model to
improve prognostic ability for overall survival. The results of the study found
that three genetic factors improved EBMT risk score. The presence of MAL
(rs8177374) allele T in the patient, absence of glucocorticoid receptor haplotype
(consisting of rs6198, rs33389 and rs33388) ACT in the patient and absence of
heat-shock protein 70-hom (+2437) (rs2227956) allele C in the patient were
associated with decreased survival time. When compared to the EBMT risk score,
the scores combining EBMT risk score with the genetic factors had an improved
correlation with clinical outcome and better separation of risk groups. A
bootstrapping technique, involving repeated testing of a model using multiple
validation sets, also revealed that the newly proposed model had improved
predictive value when compared to the EBMT risk score alone. Results support the
view that non-HLA polymorphisms could be useful for pretransplant clinical
assessment and provide evidence that polymorphisms in the recipient genotype may
influence incoming donor cells, suppressing the initiation of the graft versus
leukaemia effect and reducing survival.
PMID- 27870356
TI - Clinical and immunological relevance of antibodies in solid organ
transplantation.
AB - The two important issues affecting recipients of solid organ transplants and of
importance to immunologists are (i) sensitization of the recipient to HLA
antigens and the resultant humoral immune response leading to the development of
anti-HLA antibodies; and ii) development of robust assays for early detection of
humoral rejection post-transplant. Evidence from several studies clearly
indicates that presence of circulating anti-HLA antibodies especially donor
specific leads to early graft loss and high titres of DSA may even lead to
hyperacute or accelerated acute rejection. Long-term graft survival too is
adversely affected by the presence of either pre- or post-transplant DSA. HLA
matching status of the recipient - donor pair - is an important factor in the
modulation of humoral response following transplantation and in a way affects de
novo development of DSA. Data collected over the past decade clearly indicate
significantly lower level of DSAs in optimally matched donor-recipient pairs. HLA
mismatches especially those on HLA-DR and HLA-C loci have wider implications on
post-transplant graft survival. The presence of circulating anti-HLA antibodies
leads to endothelial damage in the newly grafted organ through complement
dependent or independent pathways. Although detection of C4d deposition in renal
biopsies serves as an important indicator of humoral rejection, its absence does
not preclude the presence of DSAs and humoral rejection, and hence, it cannot be
relied upon in every case. The emergence of epitope-based screening for anti-HLA
antibodies on Luminex platform with high degree of sensitivity has revolutionized
the screening for anti-HLA antibodies and DSAs. Studies indicate that humoral
response to non-HLA antigens might also have a detrimental effect on allograft
survival. High titres of such circulating antibodies may even lead to hyperacute
rejection. Pre-emptive testing of solid organ recipients, especially kidney
transplant recipients for anti-HLA and non-HLA antibodies and aggressive post
transplant monitoring of allograft function to detect DSAs using Luminex-based
tests, is highly recommended.
PMID- 27870357
TI - Nomenclature for factors of the HLA system, update September 2016.
PMID- 27870359
TI - Open partial nephrectomy is still alive.
PMID- 27870360
TI - Single nucleotide polymorphisms of the vascular endothelial growth factor
receptor - a promising biomarker in metastatic renal cell carcinoma.
PMID- 27870358
TI - Nomenclature for factors of the HLA system, update July 2016.
PMID- 27870361
TI - What is behind the flare phenomenon?
PMID- 27870362
TI - Light reflectance spectroscopy is one more emerging technique with the potential
to adjust excision limits during radical prostatectomy.
PMID- 27870363
TI - 'Killing two birds with one stone': patient-reported quality-of-life outcomes
from the Prostate Testing for Cancer and Treatment (ProtecT) trial.
PMID- 27870364
TI - The Prostate Testing for Cancer and Treatment (ProtecT) study: what have we
learnt?
PMID- 27870366
TI - Convenor's Welcome 2016.
PMID- 27870371
TI - COSA President's Welcome.
PMID- 27870377
TI - COSA Highlighted Lectures and Awards 2016.
PMID- 27870378
TI - COSA-ANZBCTG Exhibitor Profiles.
PMID- 27870379
TI - ANZBCTG Awards 2016.
PMID- 27870383
TI - National Speakers.
PMID- 27870384
TI - High flow nasal cannula use outside of the ICU; factors associated with failure.
AB - OBJECTIVES: High flow nasal cannula (HFNC) use is increasing in pediatrics. Few
studies exist examining the safety of HFNC use outside the intensive care unit
(ICU). This study aimed to characterize patient qualities associated with failure
of HFNC use outside the ICU. STUDY DESIGN: A retrospective chart review of
patients placed on HFNC outside the ICU from September 2011 to July 2013 was
completed. Failure was defined as intubation or cardiopulmonary arrest. Two
sample tests and binary logistic regression determined significant factors
associated with failed HFNC administration, both unadjusted and adjusted for
hospital. RESULTS: Two hundred thirty-one patients met inclusion criteria, with
192 receiving treatment for a primary respiratory diagnosis (83%). Fourteen (6%)
progressed to HFNC failure; 12 transferred to the ICU and were intubated, two
with congenital heart disease suffered cardiopulmonary arrest on the floor. Two
sample tests revealed failure patients were more likely to have a cardiac history
(P = 0.026), history of intubation (P = 0.040), and require higher fraction of
inspired oxygen (FiO2 ) (median 100%; 25th-75th 60-100%; P = <0.001). Hospital
adjusted logistic regression further demonstrated failure patients were more
likely to be treated with higher FiO2 (OR: 38.3; 95% CI: 4.0-366.3; P = 0.002),
and less likely to have a diagnosis of bronchiolitis (OR: 0.3; 95% CI: 0.1-0.9; P
= 0.048). CONCLUSIONS: High FiO2 requirements, history of intubation, and cardiac
co-morbidity are associative predictors of HFNC failure. Bronchiolitis patients
may be treated with HFNC outside of the ICU with lower odds of failure. Pediatr
Pulmonol. 2017;52:806-812. (c) 2017 Wiley Periodicals, Inc.
PMID- 27870386
TI - Hyaluronic Acid Derivative with Improved Versatility for Processing and
Biological Functionalization.
PMID- 27870387
TI - Primary myelofibrosis: 2017 update on diagnosis, risk-stratification, and
management.
AB - : Disease overview: Primary myelofibrosis (PMF) is a myeloproliferative neoplasm
(MPN) characterized by stem cell-derived clonal myeloproliferation that is often
but not always accompanied by JAK2, CALR or MPL mutation, abnormal cytokine
expression, bone marrow fibrosis, anemia, splenomegaly, extramedullary
hematopoiesis (EMH), constitutional symptoms, cachexia, leukemic progression and
shortened survival. DIAGNOSIS: Diagnosis is based on bone marrow morphology. The
presence of JAK2, CALR or MPL mutation is supportive but not essential for
diagnosis; approximately 90% of patients carry one of these mutations and 10% are
"triple-negative." None of these mutations are specific to PMF and are also seen
in essential thrombocythemia (ET). According to the revised 2016 World Health
Organization (WHO) classification and diagnostic criteria, "prefibrotic" PMF (pre
PMF) is distinguished from "overtly fibrotic" PMF; the former might mimic ET in
its presentation and it is prognostically relevant to distinguish the two. Risk
stratification: The Dynamic International Prognostic Scoring System-plus (DIPSS
plus) uses eight predictors of inferior survival: age >65 years, hemoglobin <10
g/dL, leukocytes >25 * 109 /L, circulating blasts >=1%, constitutional symptoms,
red cell transfusion dependency, platelet count <100 * 109 /L and unfavorable
karyotype (i.e., complex karyotype or sole or two abnormalities that include +8,
7/7q-, i(17q), inv(3), 5/5q-, 12p-, or 11q23 rearrangement). The presence of 0,
1, "2 or 3" and >=4 adverse factors defines low, intermediate-1, intermediate-2
and high-risk disease with median survivals of approximately 15.4, 6.5, 2.9 and
1.3 years, respectively. Most recently, DIPSS-plus-independent adverse prognostic
relevance has been demonstrated for certain mutations including ASXL1 and SRSF2
whereas patients with type 1/like CALR mutations, compared to their counterparts
with other driver mutations, displayed significantly better survival. Risk
adapted therapy: Observation alone is a reasonable treatment strategy for
asymptomatic low or intermediate-1 DIPSS-plus risk disease, especially in the
absence of high-risk mutations. All other patients with high or intermediate-2
risk disease, or those harboring high-risk mutations such as ASXL1 or SRSF2,
should be considered for stem cell transplant, which is currently the only
treatment modality with the potential to favorably modify the natural history of
the disease. Non-transplant candidates should be encouraged to participate in
clinical trials, since the value of conventional drug therapy, including the use
of JAK2 inhibitors, is limited to symptoms palliation and reduction in spleen
size. Specifically, JAK2 inhibitors have not been shown to induce complete
clinical or cytogenetic remissions or significantly affect JAK2/CALR/MPL mutant
allele burden. Splenectomy is considered for drug-refractory splenomegaly.
Involved field radiotherapy is most useful for post-splenectomy hepatomegaly, non
hepatosplenic EMH, PMF-associated pulmonary hypertension and extremity bone pain.
Am. J. Hematol. 91:1262-1271, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870389
TI - Endogenous pararetroviruses in rice genomes as a fossil record useful for the
emerging field of palaeovirology.
PMID- 27870388
TI - Glycidol: an Hydroxyl-Containing Epoxide Playing the Double Role of Substrate and
Catalyst for CO2 Cycloaddition Reactions.
AB - Glycidol is converted into glycerol carbonate (GC) by coupling with CO2 in the
presence of tetrabutylammonium bromide (TBAB) under mild reaction conditions
(T=60 degrees C, PCO2 =1 MPa) in excellent yields (99 %) and short reaction time
(t=3 h). The unusual reactivity of this substrate compared to other epoxides,
such as propylene oxide, under the same reaction conditions is clearly related to
the presence of a hydroxyl functionality on the oxirane ring. Density functional
theory calculations (DFT) supported by 1 H NMR experiments reveal that the unique
behavior of this substrate is a result of the formation of intermolecular
hydrogen bonds into a dimeric structure, activating this molecule to nucleophilic
attack, and allowing the formation of GC. Furthermore, the glycidol/TBAB
catalytic system acts as an efficient organocatalyst for the cycloaddition of CO2
to various oxiranes.
PMID- 27870390
TI - Corrigendum: Hibicuslide C-induced cell death in Candida albicans involves
apoptosis mechanism.
PMID- 27870393
TI - Sexual modulation of sex-shared neurons and circuits in Caenorhabditis elegans.
AB - Studies using the nematode C. elegans have provided unique insights into the
development and function of sex differences in the nervous system. Enabled by the
relative simplicity of this species, comprehensive studies have solved the
complete cellular neuroanatomy of both sexes as well as the complete neural
connectomes of the entire adult hermaphrodite and the adult male tail. This work,
together with detailed behavioral studies, has revealed three aspects of sex
differences in the nervous system: sex-specific neurons and circuits; circuits
with sexually dimorphic synaptic connectivity; and sex differences in the
physiology and functions of shared neurons and circuits. At all of these levels,
biological sex influences neural development and function through the activity of
a well-defined genetic hierarchy that acts throughout the body to translate
chromosomal sex into the state of a master autosomal regulator of sexual
differentiation, the transcription factor TRA-1A. This Review focuses on the role
of genetic sex in implementing sex differences in shared neurons and circuits,
with an emphasis on linking the sexual modulation of specific neural properties
to the specification and optimization of sexually divergent and dimorphic
behaviors. An important and unexpected finding from these studies is that
chemosensory neurons are a primary focus of sexual modulation, with genetic sex
adaptively shaping chemosensory repertoire to guide behavioral choice.
Importantly, hormone-independent functions of genetic sex are the principal
drivers of all of these sex differences, making nematodes an excellent model for
understanding similar but poorly understood mechanisms that likely act throughout
the animal kingdom. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870392
TI - Brain circuitry associated with the development of substance use in bipolar
disorder and preliminary evidence for sexual dimorphism in adolescents.
AB - Substance use disorders and mood disorders are highly comorbid and confer a high
risk for adverse outcomes. However, data are limited on the neurodevelopmental
basis of this comorbidity. Substance use initiation typically occurs during
adolescence, and sex-specific developmental mechanisms are implicated. In this
preliminary study, we review the literature and investigate regional gray matter
volume (GMV) associated with subsequent substance use problems in adolescents
with bipolar disorder (BD) and explore these associations for females and males.
Thirty adolescents with DSM-IV-diagnosed BD and minimal alcohol/substance
exposure completed baseline structural magnetic resonance imaging scans. At
follow-up (on average 6 years post baseline), subjects were administered the
CRAFFT interview and categorized into those scoring at high ( >= 2: CRAFFTHIGH )
vs. low ( < 2: CRAFFTLOW ) risk for alcohol/substance problems. Lower GMV in
prefrontal, insular, and temporopolar cortices were observed at baseline among
adolescents with BD reporting subsequent alcohol and cannabis use compared to
adolescents with BD who did not (P < 0.005, clusters >= 20 voxels). Lower
dorsolateral prefrontal GMV was associated with future substance use in both
females and males. In females, lower orbitofrontal and insula GMV was associated
with future substance use, while in males, lower rostral prefrontal GMV was
associated with future use. Lower orbitofrontal, insular, and temporopolar GMV
was observed in those who transitioned to smoking tobacco. Findings indicate that
GMV development is associated with risk for future substance use problems in
adolescents with BD, with results implicating GMV development in regions
subserving emotional regulation in females and regions subserving executive
processes and attention in males. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870394
TI - Sex differences, gender and addiction.
AB - This review discusses alcohol and other forms of drug addiction as both a
sociocultural and biological phenomenon. Sex differences and gender are not
solely determined by biology, nor are they entirely sociocultural. The
interactions among biological, environmental, sociocultural, and developmental
influences result in phenotypes that may be more masculine or more feminine.
These gender-related sex differences in the brain can influence the responses to
drugs of abuse, progressive changes in the brain after exposure to drugs of abuse
and whether addiction results from drug-taking experiences. In addition, the
basic laboratory evidence for sex differences is discussed within the context of
four types of sex/gender differences. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870398
TI - Is it time to resurrect "lazaroids"?
PMID- 27870397
TI - Sex differences in neuroimmunity and pain.
AB - Differences in the prevalence of chronic pain in women vs. men are well known,
and decades of laboratory experimentation have demonstrated that women are more
sensitive to pain than are men. Attention has thus shifted to investigating
mechanisms underlying such differences. Recent evidence suggests that neuroimmune
modulation of pain may represent an important cause of sex differences. The
current Review examines the evidence for gonadal hormone modulation of the immune
system, immune system modulation of pain, and interactions that might help to
explain sex differences in pain. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870396
TI - Impact of gender on corticotropin-releasing factor and noradrenergic sensitivity
in cocaine use disorder.
AB - Responses to stress may be important in understanding gender differences in
substance use disorders and may also be a target for development of treatment
interventions. A growing body of both preclinical and clinical research supports
important underlying gender differences in the corticotropin-releasing factor
(CRF) and noradrenergic systems, which may contribute to drug use. Preclinical
models have demonstrated increased sensitivity of females to CRF and
noradrenergic-induced drug reinstatement compared with males, and, consistent
with these findings, human laboratory studies have demonstrated greater
sensitivity to corticotropin-releasing hormone (CRH) and noradrenergic
stimulation in cocaine-dependent women compared with men. Furthermore,
neuroimaging studies have demonstrated increased neural response to stressful
stimuli in cocaine-dependent women compared with men as well as showing
significant sex differences in the sensitivity of brain regions responsible for
regulating the response to CRH. Development of interventions targeting the
noradrenergic system and stress response in drug-dependent individuals could have
important clinical implications for both women and men. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870395
TI - Sex differences in associations of arginine vasopressin and oxytocin with resting
state functional brain connectivity.
AB - Oxytocin (OT) and arginine vasopressin (AVP) exert robust and sexually dimorphic
influences on cognition and emotion. How these hormones regulate relevant
functional brain systems is not well understood. OT and AVP serum concentrations
were assayed in 60 healthy individuals (36 women). Brain functional networks
assessed with resting-state functional magnetic resonance imaging (rs-fMRI) were
constructed with graph theory-based approaches that characterize brain networks
as connected nodes. Sex differences were demonstrated in rs-fMRI. Men showed
higher nodal degree (connectedness) and efficiency (information propagation
capacity) in left inferior frontal gyrus (IFG) and bilateral superior temporal
gyrus (STG) and higher nodal degree in left rolandic operculum. Women showed
higher nodal betweenness (being part of paths between nodes) in right putamen and
left inferior parietal gyrus (IPG). Higher hormone levels were associated with
less intrinsic connectivity. In men, higher AVP was associated with lower nodal
degree and efficiency in left IFG (pars orbitalis) and left STG and less
efficiency in left IFG (pars triangularis). In women, higher AVP was associated
with lower betweenness in left IPG, and higher OT was associated with lower nodal
degree in left IFG (pars orbitalis). Hormones differentially correlate with brain
networks that are important for emotion processing and cognition in men and
women. AVP in men and OT in women may regulate orbital frontal cortex
connectivity, which is important in emotion processing. Hormone associations with
STG and pars triangularis in men and parietal cortex in women may account for
well-established sex differences in verbal and visuospatial abilities,
respectively. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870401
TI - Sex differences in hippocampal function.
AB - Sex differences in the function of the hippocampus have been observed in numerous
mammalian species. However, the magnitude, extent, and specificity of these
differences are unclear because they can depend on factors including age,
methodology, and environment. This Review will discuss seminal studies examining
sex differences in hippocampal memory, neuronal morphology, synaptic plasticity,
and cell signaling in humans and rodents. We also describe possible
organizational and activational effects of sex steroid hormones during early
development, puberty, and adulthood that may lead to sex differences observed in
the hippocampus. We conclude by discussing the implications of sex differences in
hippocampal function for mental health. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870403
TI - Sex differences in social cognition: The case of face processing.
AB - Several studies have demonstrated that women show a greater interest for social
information and empathic attitude than men. This article reviews studies on sex
differences in the brain, with particular reference to how males and females
process faces and facial expressions, social interactions, pain of others, infant
faces, faces in things (pareidolia phenomenon), opposite-sex faces, humans vs.
landscapes, incongruent behavior, motor actions, biological motion, erotic
pictures, and emotional information. Sex differences in oxytocin-based attachment
response and emotional memory are also mentioned. In addition, we investigated
how 400 different human faces were evaluated for arousal and valence dimensions
by a group of healthy male and female University students. Stimuli were carefully
balanced for sensory and perceptual characteristics, age, facial expression, and
sex. As a whole, women judged all human faces as more positive and more arousing
than men. Furthermore, they showed a preference for the faces of children and the
elderly in the arousal evaluation. Regardless of face aesthetics, age, or facial
expression, women rated human faces higher than men. The preference for opposite-
vs. same-sex faces strongly interacted with facial age. Overall, both women and
men exhibited differences in facial processing that could be interpreted in the
light of evolutionary psychobiology. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870399
TI - Sex differences in hippocampal area CA3 pyramidal cells.
AB - Numerous studies have demonstrated differences between males and females in
hippocampal structure, function, and plasticity. There also are many studies
about the different predisposition of a males and females for disorders where the
hippocampus plays an important role. Many of these reports focus on area CA1, but
other subfields are also very important, and unlikely to be the same as area CA1
based on what is known. Here we review basic studies of male and female
structure, function, and plasticity of area CA3 pyramidal cells of adult rats.
The data suggest that the CA3 pyramidal cells of males and females are distinct
in structure, function, and plasticity. These sex differences cannot be simply
explained by the effects of circulating gonadal hormones. This view agrees with
previous studies showing that there are substantial sex differences in the brain
that cannot be normalized by removing the gonads and depleting peripheral gonadal
hormones. Implications of these comparisons for understanding sex differences in
hippocampal function and dysfunction are discussed. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27870404
TI - Why sex hormones matter for neuroscience: A very short review on sex, sex
hormones, and functional brain asymmetries.
AB - Biological sex and sex hormones are known to affect functional cerebral
asymmetries (FCAs). Men are generally more lateralized than women. The effect
size of this sex difference is small but robust. Some of the inconsistencies in
the literature may be explained by sex-related hormonal differences. Most studies
focusing on neuromodulatory properties of sex hormones on FCAs have investigated
women during the menstrual cycle. Although contradictions exist, these studies
have typically shown that levels of estradiol and/or progesterone correlate with
the degree of FCAs, suggesting that sex differences in FCAs partially depend on
hormonal state and day of testing. The results indicate that FCAs are not fixed
but are hormone dependent, and as such they can dynamically change within
relatively short periods throughout life. Many issues raised in this Mini-Review
refer not only to FCAs but also to other aspects of functional brain
organization, such as functional connectivity within and between the cerebral
hemispheres. Our understanding of sex differences in brain and behavior as well
as their clinical relevance will improve significantly if more studies routinely
take sex and sex hormones into account. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870400
TI - Sex differences in the anticonvulsant activity of neurosteroids.
AB - Epilepsy is one of the leading causes of chronic neurological morbidity
worldwide. Acquired epilepsy may result from a number of conditions, such as
brain injury, anoxia, tumors, stroke, neurotoxicity, and prolonged seizures. Sex
differences have been observed in many seizure types; however, some sex-specific
seizure disorders are much more prevalent in women. Despite some inconsistencies,
substantial data indicates that sensitivity to seizure stimuli differs between
the sexes. Men generally exhibit greater seizure susceptibility than women,
whereas many women with epilepsy experience a cyclical occurrence of seizures
that tends to center around the menstrual period, which has been termed
catamenial epilepsy. Some epilepsy syndromes show gender differences with female
predominance or male predominance. Steroid hormones, endogenous neurosteroids,
and sexually dimorphic neural networks appear to play a key role in sex
differences in seizure susceptibility. Neurosteroids, such as allopregnanolone,
reflect sex differences in their anticonvulsant activity. This Review provides a
brief overview of the evidence for sex differences in epilepsy and how sex
differences influence the use of neurosteroids in epilepsy and epileptogenesis.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27870405
TI - Sex differences in brain-derived neurotrophic factor signaling: Functions and
implications.
AB - Brain-derived neurotrophic factor (BDNF) regulates diverse processes such as
neuronal survival, differentiation, and plasticity. Accumulating evidence
suggests that molecular events that direct sexual differentiation of the brain
interact with BDNF signaling pathways. This Mini-Review first examines potential
hormonal and epigenetic mechanisms through which sex influences BDNF signaling.
We then examine how sex-specific regulation of BDNF signaling supports the
development and function of sexually dimorphic neural circuits that underlie male
specific genital reflexes in rats and song production in birds. Finally, we
discuss the implications of sex differences in BDNF signaling for gender-biased
presentation of neurological and psychiatric diseases such as Alzheimer's
disease. Although this Mini-Review focuses on BDNF, we try to convey the general
message that sex influences brain functions in complex ways and underscore the
requirement for and challenge of expanding research on sex differences in
neuroscience. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870402
TI - Genetic and epigenetic factors underlying sex differences in the regulation of
gene expression in the brain.
AB - There are inherent biological differences between males and females that
contribute to sex differences in brain function and to many sex-specific
illnesses and disorders. Traditionally, it has been thought that such differences
are due largely to hormonal regulation; however, there are also genetic and
epigenetic effects caused by the inheritance and unequal dosage of genes located
on the X and Y chromosomes. Here we discuss the evidence in favor of a genetic
and epigenetic basis for sexually dimorphic behavior, as a consequence of
underlying differences in the regulation of genes that drive brain function. A
better understanding of sex-specific molecular processes in the brain will
provide further insight for the development of novel therapeutic approaches for
the treatment of neuropsychiatric disorders characterized by sex differences. (c)
2016 Wiley Periodicals, Inc.
PMID- 27870406
TI - Sex-dependent consequences of neonatal brain hypoxia-ischemia in the rat.
AB - Neonatal hypoxia-ischemia (HI) is an important cause of neurological deficits in
humans, and the Levine-Rice model of experimental HI in the rat mimics the human
brain lesion and the following sensory motor deficits and cognitive disabilities.
With the growing evidence that sex influences all levels of brain functions, this
Mini-Review highlights studies in which sex was a controlled variable and that
provided evidence of sexual dimorphism in behavioral outcome, extension of brain
damage, mechanisms of lesion, and treatment efficacy in the rat neonatal HI
model. It was shown that 1) females have greater memory deficits; 2) cell death
is dependent mainly on caspase activation in females; 3) males are more
susceptible to oxidative stress; and 4) treatments acting on distinct cell death
pathways afford sex-dependent neuroprotection. These tentative conclusions, along
with growing evidence from other fields of neurobiology, support the need for
scientists to design their experiments considering sex as an important variable;
otherwise, important knowledge will continue to be missed. It is conceivable that
sex can influence the development of efficacious therapeutic tools to treat
neonates suffering from brain HI. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870407
TI - Evolutionary framework for identifying sex- and species-specific vulnerabilities
in brain development and functions.
AB - Sexual selection describes the reproductive dynamics that drive the evolution of
many sex differences but is rarely used to guide the study of brain development
or function. This Mini-Review describes how these dynamics can result in trait
elaboration in one sex or the other and why these traits have a heightened
sensitivity to stressors. The framework provides a conceptual model that will
help to organize what we know about sex differences in brain and cognition, a
means to focus the search for additional sex differences, and a means to predict
brain systems that are particularly vulnerable to disruption by exposure to
stressors. This Mini-Review briefly illustrates these points using sex
differences in birdsong and spatial navigation to highlight the benefits and the
nuances of using evolutionary theory to help frame neuroscience studies of sex
differences. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870409
TI - Cognitive, behavioral, and neural consequences of sex chromosome aneuploidy.
AB - The X chromosome has played a critical role in the development of sexually
selected characteristics for over 300 million years, and during that time it has
accumulated a disproportionate number of genes concerned with mental functions.
There are relatively specific effects of X-linked genes on social cognition,
language, emotional regulation, visuospatial, and numerical skills. Many human X
linked genes outside the X-Y pairing pseudoautosomal regions escape X
inactivation. Dosage differences in the expression of such genes (which
constitute at least 15% of the total) are likely to play an important role in
male-female neural differentiation, and in cognitive deficits and behavioral
characteristics, particularly in the realm of social communication, that are
associated with sex chromosome aneuploidies. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870408
TI - Sex-specific effects of the Huntington gene on normal neurodevelopment.
AB - Huntington disease is a neurodegenerative disorder caused by a gene (HTT) with a
unique feature of trinucleotide repeats ranging from 10 to 35 in healthy people;
when expanded beyond 39 repeats, Huntington disease develops. Animal models
demonstrate that HTT is vital to brain development; however, this has not been
studied in humans. Moreover, evidence suggests that triplet repeat genes may have
been vital in evolution of the human brain. Here we evaluate brain structure
using magnetic resonance imaging and brain function using cognitive tests in a
sample of school-aged children ages 6 to 18 years old. DNA samples were processed
to quantify the number of CAG repeats within HTT. We find that the number of
repeats in HTT, below disease threshold, confers advantageous changes in brain
structure and general intelligence (IQ): the higher the number of repeats, the
greater the change in brain structure, and the higher the IQ. The pattern of
structural brain changes associated with HTT is strikingly different between
males and females. HTT may confer an advantage or a disadvantage depending on the
repeat length, playing a key role in either the evolution of a superior human
brain or development of a uniquely human brain disease. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870411
TI - Sex, age, and sex hormones affect recall of words in a directed forgetting
paradigm.
AB - During the course of serious discussion, an unexpected interruption may induce
forgetting of the original topic of a conversation. Sex, age, and sex hormone
levels may affect frequency and extension of forgetting. In a list-method
directed forgetting paradigm, subjects have to learn two word lists. After
learning list 1, subjects receive either a forget or a remember list 1 cue. When
the participants had learned list 2 and completed a distraction task, they were
asked to write down as many recalled items as possible, starting either with list
1 or list 2 items. In the present study, 96 naturally cycling women, 60 oral
contraceptive users, 56 postmenopausal women, and 41 young men were assigned to
one of these different experimental conditions. Forget-cued young subjects recall
fewer list 1 items (list 1 forgetting) but more list 2 items (list 2 enhancement)
compared with remember-cued subjects. However, forget-cued postmenopausal women
showed reduced list 1 forgetting but enhanced list 2 retention. Remember-cued
naturally cycling women recalled more list 1 items than oral contraceptive users,
young men, and postmenopausal women. In forget-cued follicular women, salivary
progesterone correlated positively with recalled list 2 items. Salivary 17beta
estradiol did not correlate with recalled list 1 or list 2 items in either
remember- or forget-cued young women. However, salivary 17beta-estradiol
correlated with item recall in remember-cued postmenopausal women. Our findings
suggest that sex hormones do not globally modulate verbal memory or forgetting,
but selectively affect cue-specific processing. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870410
TI - Sex differences in neuroinflammation and neuroprotection in ischemic stroke.
AB - Stroke is not only a leading cause of mortality and morbidity worldwide it also
disproportionally affects women. There are currently over 500,000 more women
stroke survivors in the US than men, and elderly women bear the brunt of stroke
related disability. Stroke has dropped to the fifth leading cause of death in
men, but remains the third in women. This review discusses sex differences in
common stroke risk factors, the efficacy of stroke prevention therapies, acute
treatment responses, and post-stroke recovery in clinical populations. Women have
an increased lifetime risk of stroke compared to men, largely due to a steep
increase in stroke incidence in older postmenopausal women, yet most basic
science studies continue to only evaluate young male animals. Women also have an
increased lifetime prevalence of many common stroke risk factors, including
hypertension and atrial fibrillation, as well as abdominal obesity and metabolic
syndrome. None of these age-related risk factors have been well modeled in the
laboratory. Evidence from the bench has implicated genetic and epigenetic
factors, differential activation of cell-death programs, cell-cell signaling
pathways, and systemic immune responses as contributors to sex differences in
ischemic stroke. The most recent basic scientific findings have been summarized
in this review, with an emphasis on factors that differ between males and females
that are pertinent to stroke outcomes. Identification and understanding of the
underlying biological factors that contribute to sex differences will be critical
to the development of translational targets to improve the treatment of women
after stroke. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870412
TI - Cortisol reactivity and emotional memory after psychosocial stress in oral
contraceptive users.
AB - Oral contraceptive (OC) users typically show a blunted or no cortisol response to
psychosocial stress. Although most OC regimens include both an inactive (dummy)
and active pill phase, studies have not systematically investigated cortisol
responses during these pill phases. Further, high levels of cortisol following a
stressor diminish retrieval of emotional material, but the effects of stress on
memory among OC users are poorly understood. We examined the effects of a
psychosocial stressor, the Trier Social Stress Test, vs. a control condition on
cortisol responsivity and emotional memory retrieval in women tested either
during their active (n = 18) or inactive pill phase (n = 21). In secondary
analyses, we quantitatively compared OC users with normally cycling women and
showed a significant lack of cortisol response during both active and inactive
pill phase. Emotional recall did not differ between active and inactive pill
phases. Stress differentially diminished recall of negative words compared with
positive or neutral words, but cortisol levels were unrelated to memory
performance. These findings indicate that OC users have distinct cortisol and
memory responses to stress that are similar between the active and inactive pill
phases. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870413
TI - Complementarity of sex differences in brain and behavior: From laterality to
multimodal neuroimaging.
AB - Although, overwhelmingly, behavior is similar in males and females, and,
correspondingly, the brains are similar, sex differences permeate both brain and
behavioral measures, and these differences have been the focus of increasing
scrutiny by neuroscientists. This Review describes milestones from more than 3
decades of research in brain and behavior. This research was necessarily bound by
available methodology, and we began with indirect behavioral indicators of brain
function such as handedness. We proceeded to the use of neuropsychological
batteries and then to structural and functional neuroimaging that provided the
foundations of a cognitive neuroscience-based computerized neurocognitive
battery. Sex differences were apparent and consistent in neurocognitive measures,
with females performing better on memory and social cognition tasks and males on
spatial processing and motor speed. Sex differences were also prominent in all
major brain parameters, including higher rates of cerebral blood flow, higher
percentage of gray matter tissue, and higher interhemispheric connectivity in
females, compared with higher percentage of white matter and greater
intrahemispheric connectivity as well as higher glucose metabolism in limbic
regions in males. Many of these differences are present in childhood, but they
become more prominent with adolescence, perhaps linked to puberty. Overall, they
indicate complementarity between the sexes that would result in greater adaptive
diversity. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870414
TI - Sex differences in neural responses to subliminal sad and happy faces in healthy
individuals: Implications for depression.
AB - Twice as many women as men suffer from mood and anxiety disorders, yet the
biological underpinnings of this phenomenon have been understudied and remain
unclear. We and others have shown that the hemodynamic response to subliminally
presented sad or happy faces during functional MRI (fMRI) is a robust biomarker
for the attentional bias toward negative information classically observed in
major depression. Here we used fMRI to compare the performance of healthy females
(n = 28) and healthy males (n = 28) on a backward masking task using a fast event
related design with gradient-recalled, echoplanar imaging with sensitivity
encoding. The image data were compared across groups using a region-of-interest
analysis with small-volume correction to control for multiple testing (Pcorrected
< 0.05, cluster size >= 20 voxels). Notably, compared with males, females showed
greater BOLD activity in the subgenual anterior cingulate cortex (sgACC) and the
right hippocampus when viewing masked sad vs. masked happy faces. Furthermore,
females displayed reduced BOLD activity in the right pregenual ACC and left
amygdala when viewing masked happy vs. masked neutral faces. Given that we have
previously reported similar findings for depressed participants compared with
healthy controls (regardless of gender), our results raise the possibility that
on average healthy females show subtle emotional processing biases that
conceivably reflect a subgroup of women predisposed to depression. Nevertheless,
we note that the differences between males and females were small and derived
from region-of-interest rather than voxelwise analyses. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870416
TI - Sex-specific mechanisms for responding to stress.
AB - Posttraumatic stress disorder and major depression share stress as an etiological
contributor and are more common in women than in men. Traditionally, preclinical
studies investigating the neurobiological underpinnings of stress vulnerability
have used only male rodents; however, recent studies that include females are
finding sex-specific mechanisms for responding to stress. This Mini-Review
examines recent literature using a framework developed by McCarthy and colleagues
(2012; J Neurosci 32:2241-2247) that highlights different types of sex
differences. First, we detail how learned fear responses in rats are sexually
dimorphic. Then, we contrast this finding with fear extinction, which is similar
in males and females at the behavioral level but at the circuitry level is
associated with sex-specific cellular changes and, thus, exemplifies a sex
convergence. Next, sex differences in stress hormones are detailed. Finally, the
effects of stress on learning, attention, and arousal are used to highlight the
concept of a sex divergence in which the behavior of males and females is similar
at baseline but diverges following stressor exposure. We argue that appreciating
and investigating the diversity of sex differences in stress response systems
will improve our understanding of vulnerability and resilience to stress-related
psychiatric disorders and likely lead to the development of novel therapeutics
for better treatment of these disorders in both men and women. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870415
TI - The importance of studying sex differences in disease: The example of multiple
sclerosis.
AB - To date, scientific research has often focused on one sex, with assumptions that
study of the other sex would yield similar results. However, many diseases affect
males and females differently. The sex of a patient can affect the risk for both
disease susceptibility and progression. Such differences can be brought to the
laboratory bench to be investigated, potentially bringing new treatments back to
the clinic. This method of research, known as a "bedside to bench to bedside"
approach, has been applied to studying sex differences in multiple sclerosis
(MS). Females have greater susceptibly to MS, while males have worse disease
progression. These two characteristics of the disease are influenced by the
immune system and the nervous system, respectively. Thus, sex differences in each
system must be studied. Personalized medicine has been at the forefront of
research recently, and studying sex differences in disease fits with this
initiative. This review will discuss the known sex differences in MS and
highlight how investigating them can lead to new insights and potential
treatments for both men and women. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870417
TI - Gender differences in neural correlates of stress-induced anxiety.
AB - Although gender differences have been identified as a crucial factor for
understanding stress-related anxiety and associated clinical disorders, the
neural mechanisms underlying these differences remain unclear. To explore gender
differences in the neural correlates of stress-induced anxiety, the current study
used functional magnetic resonance imaging to examine brain responses in 96
healthy men and women with commensurable levels of trait anxiety as they engaged
in a personalized guided imagery paradigm to provoke stress and neutral-relaxing
experiences. During the task, a significant gender main effect emerged, with men
displaying greater responses in the caudate, cingulate gyrus, midbrain, thalamus,
and cerebellum. In contrast, women showed greater responses in the posterior
insula, temporal gyrus, and occipital lobe. Additionally, a significant anxiety
ratings * gender interaction from whole-brain regression analyses was observed in
the dorsomedial prefrontal cortex, left inferior parietal lobe, left temporal
gyrus, occipital gyrus, and cerebellum (P < 0.05, whole-brain family-wise error
corrected), with positive associations between activity in these regions and
stress-induced anxiety in women, but negative associations in men, indicating
that men and women differentially use neural resources when experiencing stress
induced anxiety. The findings suggest that in response to stress, there is a
greater use of the medial prefrontal-parietal cortices in experiencing subjective
anxiety in women, while decreased use of this circuit was associated with
increased subjective anxiety states in men. The current study has implications
for understanding gender-specific differences in stress-induced anxiety and
vulnerability to stress-related clinical disorders, and for developing more
effective treatment strategies tailored to each gender. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870420
TI - Imaging sex/gender and autism in the brain: Etiological implications.
AB - The male preponderance in autism prevalence has brought together the disparate
topics of sex/gender and autism research. Two directions of neuroimaging studies
on the relationships between sex/gender and autism may inform male-specific risk
mechanisms and female-specific protective mechanisms of autism. First, we review
how sex/gender moderates autism-related brain changes and how this informs
general models of autism etiology. Better-powered human neuroimaging studies
suggest that the brain characteristics of autism are qualitatively, rather than
simply quantitatively, different between males and females. However, age and
comorbidities might substantially moderate the pattern of differences. Second, we
review how the relationship between autism-related brain changes (separately in
males and females) and normative brain sex/gender differences informs specific
etiological-developmental mechanisms. Both human and animal studies converge to
indicate that the brain characteristics of autism are partly associated with
normative brain sex/gender differences, suggesting convergence or overlap between
the mechanisms leading to and modifying the development of autism and the
mechanisms underlying sex differentiation and/or gender socialization. Future
animal work needs to investigate sex differences in rodent mutants modeling
autism-relevant genes and environmental exposures. Future human work needs to
address the substantial phenotypic and etiological heterogeneity of autism and to
focus on longitudinal neuroimaging studies (from early development) on the
developmental trajectories of sex/gender-differential neural characteristics of
autism. Combining animal and human work links up the causal chain from
etiological factors, brain and physical development, to phenotypes. These
together help delineate the different roles of sex and gender in relation to risk
vs. protective mechanisms. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870418
TI - Sex differences in innate immunity and its impact on opioid pharmacology.
AB - Morphine has been and continues to be one of the most potent and widely used
drugs for the treatment of pain. Clinical and animal models investigating sex
differences in pain and analgesia demonstrate that morphine is a more potent
analgesic in males than in females. In addition to binding to the neuronal MU
opioid receptor, morphine binds to the innate immune receptor toll-like receptor
4 (TLR4), located on glial cells. Activation of glial TLR4 initiates a
neuroinflammatory response that directly opposes morphine analgesia. Females of
many species have a more active immune system than males; however, few studies
have investigated glial cells as a potential mechanism driving sexually dimorphic
responses to morphine. This Mini-Review illustrates the involvement of glial
cells in key processes underlying observed sex differences in morphine analgesia
and suggests that targeting glia may improve current treatment strategies for
pain. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870422
TI - Magnetoencephalography study of different relationships among low- and high
frequency-band neural activities during the induction of peaceful and fearful
audiovisual modalities among males and females.
AB - Our previous study estimated the bias from the match attributes of the auditory
and visual modalities related to a peaceful mood in the male brain. However, the
interactions among the four main frequency bands of neural activity remain
unknown. Therefore, this study uses magnetoencephalography to explore gender
differences in the perceptions of auditory and visual modalities related to
peaceful and fearful moods. Instead of analyzing single frequencies, this study
analyzes interactions between low-frequency phase and high-frequency amplitude to
reflect neural communication. The top four values in each of the 10 brain regions
were averaged to give a representative value for further analysis with repeated
measures ANOVA. The results from the cross-frequency analyses suggest that delta
theta, delta-alpha, delta-beta, and delta-gamma couplings are associated with
interactions between emotion and modality; theta-alpha, theta-beta, and theta
gamma couplings are associated with interactions between gender and emotion/time;
alpha-beta and alpha-gamma couplings are associated with time; and beta-gamma
coupling is associated with interactions between gender and modality. Although no
obvious hemispheric lateralization of emotion in the macroscopic neural activity
was found, these results reveal that males have stronger couplings (e.g., beta
gamma coupling) in the visual modality related to peaceful mood, whereas females
have stronger couplings (e.g., beta-gamma coupling) in the audiovisual modality
related to fearful mood. Gender differences become much more apparent when
analysis is based on cross-frequency coupling. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870421
TI - Multimodal neuroimaging of male and female brain structure in health and disease
across the life span.
AB - Sex differences in brain development and aging are important to identify, as they
may help to understand risk factors and outcomes in brain disorders that are more
prevalent in one sex compared with the other. Brain imaging techniques have
advanced rapidly in recent years, yielding detailed structural and functional
maps of the living brain. Even so, studies are often limited in sample size, and
inconsistent findings emerge, one example being varying findings regarding sex
differences in the size of the corpus callosum. More recently, large-scale
neuroimaging consortia such as the Enhancing Neuro Imaging Genetics through Meta
Analysis Consortium have formed, pooling together expertise, data, and resources
from hundreds of institutions around the world to ensure adequate power and
reproducibility. These initiatives are helping us to better understand how brain
structure is affected by development, disease, and potential modulators of these
effects, including sex. This review highlights some established and disputed sex
differences in brain structure across the life span, as well as pitfalls related
to interpreting sex differences in health and disease. We also describe sex
related findings from the ENIGMA consortium, and ongoing efforts to better
understand sex differences in brain circuitry. (c) 2016 The Authors. Journal of
Neuroscience Research Published by Wiley Periodicals, Inc.
PMID- 27870419
TI - Sex differences in brain-derived neurotrophic factor signaling and functions.
AB - Brain-derived neurotrophic factor (BDNF) is a member of the neurotrophin family
that plays a critical role in numerous neuronal activities. Recent studies have
indicated that some functions or action mechanisms of BDNF vary in a sex
dependent manner. In particular, BDNF content in some brain parts and the
tendency to develop BDNF deficiency-related diseases such as depression are
greater in female animals. With the support of relevant studies, it has been
suggested that sex hormones or steroids can modulate the activities of BDNF,
which may account for its functional discrepancy in different sexes. Indeed, the
cross-talk between BDNF and sex steroids has been detected for decades, and some
sex steroids, such as estrogen, have a positive regulatory effect on BDNF
expression and signaling. Thus, the sex of animal models that are used in
studying the functions of BDNF is critical. This Mini-Review summarizes our
current findings on the differences in expression, signaling, and functions of
BDNF between sexes. We also discuss the potential mechanisms for mediating these
differential responses, with a specific emphasis on sex steroids. By presenting
and discussing these findings, we seek to encourage researchers to take sex
influences into consideration when designing experiments, interpreting results,
and drawing conclusions. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870423
TI - Sex-based differences in brain alterations across chronic pain conditions.
AB - Common brain mechanisms are thought to play a significant role across a multitude
of chronic pain syndromes. In addition, there is strong evidence for the
existence of sex differences in the prevalence of chronic pain and in the
neurobiology of pain. Thus, it is important to consider sex when developing
general principals of pain neurobiology. The goal of the current Mini-Review is
to evaluate what is known about sex-specific brain alterations across multiple
chronic pain populations. A total of 15 sex difference and 143 single-sex
articles were identified from among 412 chronic pain neuroimaging articles.
Results from sex difference studies indicate more prominent primary sensorimotor
structural and functional alterations in female chronic pain patients compared
with male chronic pain patients: differences in the nature and degree of insula
alterations, with greater insula reactivity in male patients; differences in the
degree of anterior cingulate structural alterations; and differences in emotional
arousal reactivity. Qualitative comparisons of male-specific and female-specific
studies appear to be consistent with the results from sex difference studies.
Given these differences, mixed-sex studies of chronic pain risk creating biased
data or missing important information and single-sex studies have limited
generalizability. The advent of large-scale neuroimaging databases will likely
aid in building a more comprehensive understanding of sex differences and
commonalities in brain mechanisms underlying chronic pain. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870424
TI - Sex-dependent differences in voluntary physical activity.
AB - Numbers of overweight and obese individuals are increasing in the United States
and globally, and, correspondingly, the associated health care costs are rising
dramatically. More than one-third of children are currently considered obese with
a predisposition to type 2 diabetes, and it is likely that their metabolic
conditions will worsen with age. Physical inactivity has also risen to be the
leading cause of many chronic, noncommunicable diseases (NCD). Children are more
physically inactive now than they were in past decades, which may be due to
intrinsic and extrinsic factors. In rodents, the amount of time engaged in
spontaneous activity within the home cage is a strong predictor of later
adiposity and weight gain. Thus, it is important to understand primary motivators
stimulating physical activity (PA). There are normal sex differences in PA levels
in rodents and humans. The perinatal environment can induce sex-dependent
differences in PA disturbances. This Review considers the current evidence for
sex differences in PA in rodents and humans. The rodent studies showing that
early exposure to environmental chemicals can shape later adult PA responses are
discussed. Next, whether there are different motivators stimulating exercise in
male vs. female humans are examined. Finally, the brain regions, genes, and
pathways that modulate PA in rodents, and possibly by translation in humans, are
described. A better understanding of why each sex remains physically active
through the life span could open new avenues for preventing and treating obesity
in children and adults. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870425
TI - Sex and the development of Alzheimer's disease.
AB - Men and women exhibit differences in the development and progression of
Alzheimer's disease (AD). The factors underlying the sex differences in AD are
not well understood. This Review emphasizes the contributions of sex steroid
hormones to the relationship between sex and AD. In women, events that decrease
lifetime exposure to estrogens are generally associated with increased AD risk,
whereas estrogen-based hormone therapy administered near the time of menopause
may reduce AD risk. In men, estrogens do not exhibit age-related reduction and
are not significantly associated with AD risk. Rather, normal age-related
depletions of testosterone in plasma and brain predict enhanced vulnerability to
AD. Both estrogens and androgens exert numerous protective actions in the adult
brain that increase neural functioning and resilience as well as specifically
attenuating multiple aspects of AD-related neuropathology. Aging diminishes the
activational effects of sex hormones in sex-specific manners, which is
hypothesized to contribute to the relationship between aging and AD. Sex steroid
hormones may also drive sex differences in AD through their organizational
effects during developmental sexual differentiation of the brain. Specifically,
sex hormone actions during early development may confer inherent vulnerability of
the female brain to development of AD in advanced age. The combined effects of
organizational and activational effects of sex steroids yield distinct sex
differences in AD pathogenesis, a significant variable that must be more
rigorously considered in future research. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870429
TI - An issue whose time has come.
PMID- 27870426
TI - Sex-dependent effects of nicotine on the developing brain.
AB - The use of tobacco products represents a major public health concern, especially
among women. Epidemiological data have consistently demonstrated that women have
less success quitting tobacco use and a higher risk for developing tobacco
related diseases. The deleterious effects of nicotine are not restricted to
adulthood, as nicotinic acetylcholine receptors regulate critical aspects of
neural development. However, the exact mechanisms underlying the particular
sensitivity of women to develop tobacco dependence have not been well elucidated.
In this mini-review, we show that gonadal hormone-mediated sexual differentiation
of the brain may be an important determinant of sex differences in the effects of
nicotine. We highlight direct interactions between sex steroid hormones and
ligand-gated ion channels critical for brain maturation, and discuss the extended
and profound sexual differentiation of the brain. We emphasize that nicotine
exposure during the perinatal and adolescent periods interferes with normal
sexual differentiation and can induce long-lasting, sex-dependent alterations in
neuronal structure, cognitive and executive function, learning and memory, and
reward processing. We stress important age and sex differences in nicotine's
effects and emphasize the importance of including these factors in preclinical
research that models tobacco dependence. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870430
TI - Sex-related influences in migraine.
AB - Migraine is a common neurological disorder with significantly higher incidence
and prevalence in women than men. The presentation of the disease in women is
modulated by changes in sex hormones from adolescence to pregnancy and menopause.
Yet, the effect of sex influences has often been neglected in both basic and
clinical and in clinical management of the disease. In this review, evidence from
epidemiological, clinical, animal, and neuroimaging studies on the significance
of the sex-related influences in migraine is presented, and the unmet needs in
each area are discussed. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870431
TI - Sex differences in stress effects on emotional learning.
AB - Stress influences emotional learning and memory processes. These effects are
thought to underlie stress-associated mental disorders. Sex differences in stress
reactivity and in central nervous system stress sensitivity illustrate the
important modulatory role of sex hormones. This Review outlines how stress
hormones influence different stages of the fear conditioning process, such as
fear acquisition, extinction, and retrieval. Results will be compared with
findings on the impact of stress on episodic memory. The focus is on the
available human data on sex differences and the impact sex hormones have on the
stress effects on emotional learning and memory. It will become apparent that the
menstrual cycle but also the intake of hormonal contraceptives modulates the
impact of stress on brain and behavior. Additional basic research is needed for a
deeper insight regarding the interplay between stress and sex hormones in emotion
and cognition. In addition, new treatment options might be derived to optimize
existing strategies such as exposure therapy, which relies on the principles of
fear conditioning. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870433
TI - Sex differences in nonhuman primate behavioral development.
AB - Sex differences in behavior and developmental trajectories in human children are
of great interest to researchers in a variety of fields, and a persistent topic
of discussion and debate is the relative contribution of biological vs. social
influences to such differences. Given the potentially large effects of cultural
and social influences on human child development, nonhuman primates are important
model species for investigating the biological and evolutionary roots of sex
differences in human development. This Mini-Review briefly summarizes the
existing literature on sex-biased behavior toward infant nonhuman primates by
mothers and other social partners, followed by a review of findings on sex
differences (or lack thereof) in primate behavioral development from a variety of
species in wild and naturalistic settings. These include differences in physical
and social development, including play, grooming, and object manipulation
patterns, as well as nursing and the development of foraging behavior. The Mini
Review concludes by providing potential avenues for future research. (c) 2016
Wiley Periodicals, Inc.
PMID- 27870432
TI - Sex and stress: Men and women show different cortisol responses to psychological
stress induced by the Trier social stress test and the Iowa singing social stress
test.
AB - Acute psychological stress affects each of us in our daily lives and is
increasingly a topic of discussion for its role in mental illness, aging,
cognition, and overall health. A better understanding of how such stress affects
the body and mind could contribute to the development of more effective clinical
interventions and prevention practices. Over the past 3 decades, the Trier Social
Stress Test (TSST) has been widely used to induce acute stress in a laboratory
setting based on the principles of social evaluative threat, namely, a judged
speech-making task. A comparable alternative task may expand options for
examining acute stress in a controlled laboratory setting. This study uses a
within-subjects design to examine healthy adult participants' (n = 20 men, n = 20
women) subjective stress and salivary cortisol responses to the standard TSST
(involving public speaking and math) and the newly created Iowa Singing Social
Stress Test (I-SSST). The I-SSST is similar to the TSST but with a new twist:
public singing. Results indicated that men and women reported similarly high
levels of subjective stress in response to both tasks. However, men and women
demonstrated different cortisol responses; men showed a robust response to both
tasks, and women displayed a lesser response. These findings are in line with
previous literature and further underscore the importance of examining possible
sex differences throughout various phases of research, including design,
analysis, and interpretation of results. Furthermore, this nascent examination of
the I-SSST suggests a possible alternative for inducing stress in the laboratory.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27870427
TI - Understanding the broad influence of sex hormones and sex differences in the
brain.
AB - Sex hormones act throughout the entire brain of both males and females via both
genomic and nongenomic receptors. Sex hormones can act through many cellular and
molecular processes that alter structure and function of neural systems and
influence behavior as well as providing neuroprotection. Within neurons, sex
hormone receptors are found in nuclei and are also located near membranes, where
they are associated with presynaptic terminals, mitochondria, spine apparatus,
and postsynaptic densities. Sex hormone receptors also are found in glial cells.
Hormonal regulation of a variety of signaling pathways as well as direct and
indirect effects on gene expression induce spine synapses, up- or downregulate
and alter the distribution of neurotransmitter receptors, and regulate
neuropeptide expression and cholinergic and GABAergic activity as well as calcium
sequestration and oxidative stress. Many neural and behavioral functions are
affected, including mood, cognitive function, blood pressure regulation, motor
coordination, pain, and opioid sensitivity. Subtle sex differences exist for many
of these functions that are developmentally programmed by hormones and by not yet
precisely defined genetic factors, including the mitochondrial genome. These sex
differences and responses to sex hormones in brain regions, which influence
functions not previously regarded as subject to such differences, indicate that
we are entering a new era of our ability to understand and appreciate the
diversity of gender-related behaviors and brain functions. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870434
TI - Do sex differences in rumination explain sex differences in depression?
AB - It is generally accepted that women tend to ruminate more than men do and these
thought patterns are often associated with depressive symptoms (Nolen-Hoeksema et
al., ). Based on these findings, we considered whether the relationship between
rumination and depression is stronger in women than in men and if so, whether
this might explain the higher prevalence of major depressive disorder (MDD) in
women and finally, whether the association can be disrupted through a mind/body
intervention. Adult men and women, most of whom were clinically depressed,
participated in an intervention known as MAP Training, which combines "mental"
training with silent meditation and "physical" training with aerobic exercise
(Shors et al., ). After eight weeks of training, both men and women reported
significantly fewer symptoms of depression and fewer ruminative thoughts
(Alderman et al., ). Statistical correlations between depressive symptoms and
ruminative thoughts were strong and significant (rho > 0.50; p < 0.05) for both
men and women before and after MAP Training. However, only in women did
depressive symptoms relate to "reflective" ruminations, which involve analyses of
past events, feelings, and behaviors. This is also the only relationship that
dissipated after the intervention. In general, these analyses suggest that the
strength of the relationship between depressive symptoms and rumination does not
necessarily explain sex differences in depression; but because the relationship
is strong, targeting rumination through intervention can reduce the incidence of
MDD, which is more prevalent among women. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870428
TI - (Putative) sex differences in neuroimmune modulation of memory.
AB - The neuroimmune system is significantly sexually dimorphic, with sex differences
evident in the number and activation states of microglia, in the activation of
astrocytes, and in cytokine release and function. Neuroimmune cells and signaling
are now recognized as critical for many neural functions throughout the life
span, including synaptic plasticity and memory function. Here we address the
question of how cytokines, astrocytes, and microglia contribute to memory, and
specifically how neuroimmune modulation of memory differentially affects males
and females. Understanding sex differences in both normal memory processes and
dysregulation of memory in psychiatric and neurological disorders is critical for
developing treatment and preventive strategies for memory disorders that are
effective for both men and women. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870435
TI - A general theory of sexual differentiation.
AB - A general theory of mammalian sexual differentiation is proposed. All biological
sex differences are the result of the inequality in effects of the sex
chromosomes, which are the only factors that differ in XX vs. XY zygotes. This
inequality leads to male-specific effects of the Y chromosome, including
expression of the testis-determining gene Sry that causes differentiation of
testes. Thus, Sry sets up lifelong sex differences in effects of gonadal
hormones. Y genes also act outside of the gonads to cause male-specific effects.
Differences in the number of X chromosomes between XX and XY cells cause sex
differences in expression (1) of Xist, (2) of X genes that escape inactivation,
and (3) of parentally imprinted X genes. Sex differences in phenotype are
ultimately the result of multiple, independent sex-biasing factors, hormonal and
sex chromosomal. These factors act in parallel and in combination to induce sex
differences. They also can offset each other to reduce sex differences. Other
mechanisms, operating at the level of populations, cause groups of males to
differ on average from groups of females. The theory frames questions for further
study, and directs attention to inherent sex-biasing factors that operate in many
tissues to cause sex differences, and to cause sex-biased protection from
disease. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870438
TI - Sex differences in the human visual system.
AB - This Mini-Review summarizes a wide range of sex differences in the human visual
system, with a primary focus on sex differences in visual perception and its
neural basis. We highlight sex differences in both basic and high-level visual
processing, with evidence from behavioral, neurophysiological, and neuroimaging
studies. We argue that sex differences in human visual processing, no matter how
small or subtle, support the view that females and males truly see the world
differently. We acknowledge some of the controversy regarding sex differences in
human vision and propose that such controversy should be interpreted as a source
of motivation for continued efforts to assess the validity and reliability of
published sex differences and for continued research on sex differences in human
vision and the nervous system in general. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870436
TI - Stress, sex, and motivated behaviors.
AB - Stress is a major risk factor for development of psychiatric disorders such as
depression and development of substance use disorder. Although there are
important sex differences in the prevalence of these disorders, most preclinical
models used to study stress-induced disorders have used males only. Social defeat
stress is a commonly used method to induce stress in an ethologically relevant
way but has only recently begun to be used in female rodents. Using these new
female models, recent studies have examined how social defeat stress affects
males and females differently at the behavioral, circuit, and molecular levels.
This Mini-Review discusses sex differences in the effects of social defeat stress
on social behavior and drug-seeking behavior as well as its impact on the
mesolimbic dopamine system and the highly connected region of the bed nucleus of
the stria terminalis. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870439
TI - Estradiol shifts interactions between the infralimbic cortex and central amygdala
to enhance fear extinction memory in female rats.
AB - There is growing evidence that estradiol (E2) enhances fear extinction memory
consolidation. However, it is unclear how E2 influences the nodes of the fear
extinction network to enhance extinction memory. This study begins to delineate
the neural circuits underlying the influence of E2 on fear extinction acquisition
and consolidation in female rats. After fear conditioning (day 1), naturally
cycling female rats underwent extinction learning (day 2) in a low-E2 state,
receiving a systemic administration of either E2 or vehicle prior to extinction
training. Extinction memory recall was then tested 24 hr later (day 3). We
measured immediate early gene c-fos expression within the extinction network
during fear extinction learning and extinction recall. During extinction
learning, E2 treatment increased centrolateral amygdala c-fos activity and
reduced lateral amygdala activity relative to vehicle. During extinction recall,
E2-treated rats exhibited reduced c-fos expression in the centromedial amygdala.
There were no group differences in c-fos expression within the medial prefrontal
cortex or dorsal hippocampus. Examining c-fos ratios with the infralimbic cortex
(IL) revealed that, despite the lack of group differences within the IL, E2
treatment induced greater IL activity relative to both prelimbic cortex and
central amygdala (CeA) activity during extinction memory recall. Only the
relationship between IL and CeA activity positively correlated with extinction
retention. In conclusion, E2 appears to modify interactions between the IL and
the CeA in females, shifting from stronger amygdalar modulation of fear during
extinction learning to stronger IL control during extinction recall. (c) 2016
Wiley Periodicals, Inc.
PMID- 27870441
TI - Sexual divergence in activity-dependent neuroprotective protein impacting autism,
schizophrenia, and Alzheimer's disease.
AB - Discovered in our laboratory, activity-dependent neuroprotective protein (ADNP)
interacts with key regulatory proteins, including the chromatin remodeling
complex SWI/SNF, proteins associated with RNA splicing, RNA translation,
microtubule dynamics, and autophagy. ADNP regulates > 400 genes during mouse
embryonic development and is essential for neural tube closure. ADNP key
functions extend from mice to men, with mutations causing ADNP-related ID/autism
syndrome, also known as the Helsmoortel-Van der Aa syndrome. ADNP mRNA increases
in lymphocytes derived from schizophrenia patients and in patients suffering from
mild cognitive impairment (MCI) and further increases in Alzheimer's disease
patients compared with controls. Serum ADNP levels correlate with IQ. NAP
(davunetide), an ADNP snippet drug candidate, protects cognition in patients
suffering from amnestic MCI preceding Alzheimer's disease and significantly
enhances functional daily activities in schizophrenia patients toward future
development. It is important to note that ADNP is sexually regulated in the
brains of birds, mice, and men and in lymphocytes of patients suffering from
schizophrenia. ADNP haploinsufficiency in mice results in significantly decreased
axonal transport (with male-female differences) changes in gene expression in a
sex-dependent manner, including key regulatory mechanisms during brain and heart
development and function and behavioral outcomes. These findings pave the path
for better understanding of brain function through the prism of sex differences.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27870437
TI - Sex differences in stroke therapies.
AB - Stroke is the fifth leading cause of death and acquired disability in aged
populations. Women are disproportionally affected by stroke, having a higher
incidence and worse outcomes than men. Numerous preclinical studies have
discovered novel therapies for the treatment of stroke, but almost all of these
have been shown to be unsuccessful in clinical trials. Despite known sex
differences in occurrence and severity of stroke, few preclinical or clinical
therapeutics take into account possible sex differences in treatment. Reanalysis
of data from studies of tissue plasminogen activator (tPA), the only currently
FDA-approved stroke therapy, has shown that tPA improves stroke outcomes for both
sexes and also shows sexual dimorphism by more robust improvement in stroke
outcome in females. Experimental evidence supports the inclusion of sex as a
variable in the study of a number of novel stroke drugs and therapies, including
preclinical studies of anti-inflammatory drugs (minocycline), stimulators of cell
survival (insulin-like growth factor-1), and inhibitors of cell death pathways
(pharmacological inhibition of poly[ADP-ribose] polymerase-1, nitric oxide
production, and caspase activation) as well as in current clinical trials of stem
cell therapy and cortical stimulation. Overall, study design and analysis in
clinical trials as well as in preclinical studies must include both sexes
equally, consider possible sex differences in the analyses, and report the
differences/similarities in more systematic/structured ways to allow promising
therapies for both sexes and increase stroke recovery. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870442
TI - Contributory role of sex differences in the variations of gustatory function.
AB - Remarkable variability between males and females occurs for an array of taste
guided behaviors in both rodents and humans. Sex differences have been noted for
taste preference, detection thresholds, and reactivity to taste stimuli.
Manipulating sex hormones during early postnatal development or altering the
amount of circulating estrogen in adulthood can dramatically alter the pattern of
these behaviors. Receptors for sex hormones appear to be prominent in several
nuclei associated with central gustatory pathways, indicating that steroid
hormones may modulate central taste processing. Electrophysiological recordings
from the rat brainstem suggest that taste-elicited activity to sweet stimuli is
organized by hormones during early development, and activity during bitter
stimulation is altered by circulating ovarian hormones. Sex differences in
gustatory function appear to emerge at the level of the taste bud. Among
ovariectomized rats, estradiol treatment decreases activity in the chorda tympani
nerve during NaCl stimulation. Although there is no evidence that chorda tympani
responses to NaCl differ between intact male and female rats, glossopharyngeal
nerve responses are lower in intact females for both NaCl and sodium acetate.
Responses in the glossopharyngeal nerve to citric acid stimulation are also
higher in female rats relative to males. These findings suggest that, in addition
to differential central modulation of taste input based on sex, taste information
from the periphery varies between males and females. Although the extent of sex
differences in taste processing and the underlying causal mechanisms require
further clarification, it is clear that studying one sex alone provides an
incomplete picture of gustatory function. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870445
TI - Evaluating social defeat as a model for psychopathology in adult female rodents.
AB - Social conflict is a predominant stressor in humans and is associated with
increased risk for developing psychological illnesses including depression and
anxiety. Overwhelmingly, more women suffer from these disorders, which may be due
to increased stress sensitivity. Like humans, rodents experience a myriad of
physiological and behavioral sequelae due to prolonged stress exposure. Although
the motivation for social conflict may differ between humans and rodents, female
rodents may provide an opportunity to explore the underlying mechanisms by which
stress confers risk for psychopathology in women. Because most female rodents do
not express spontaneous aggression, the majority of basic research examines the
physiological and behavioral outcomes of social conflict in male rodents.
However, there are instances where female rodents exhibit territorial (California
mice and Syrian hamsters) and maternal aggression (rats, mice, and hamsters)
creating a venue to examine sex differences in physiology and behavior in
response to stress. While many studies rely upon nonsocial behavioral assays
(e.g., elevated plus maze, forced swim test) to assess the impact of stress on
emotionality, here we primarily focus on behavioral outcomes in social-based
assays in rodents. This is critically important given that disruptions in social
relationships can be a cause and consequence of neuropsychiatric diseases. Next,
we briefly discuss how sex differences in the recruitment of neural circuitry
and/or neurochemistry in response to stress may underlie sex differences in
neuroendocrine and behavioral stress responses. Finally, the translational value
of females in rodent stress models and considerations regarding behavioral
interpretations of these models are discussed. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870444
TI - Sex differences and estrogen regulation of BDNF gene expression, but not
propeptide content, in the developing hippocampus.
AB - Sex differences in adult brain function are frequently determined developmentally
through the actions of steroid hormones during sensitive periods of prenatal and
early postnatal life. In rodents, various cellular end points of the developing
brain are affected by estradiol that is derived from the aromatization of
circulating testosterone and/or synthesized within the brain. We have previously
described a sex difference in neurogenesis in the hippocampus of neonatal rats
that is modulated by estradiol. In this report, we examined a potential
downstream regulator of the effects of estradiol on hippocampal cell
proliferation by measuring gene expression of brain-derived neurotrophin (BDNF)
in male and female neonatal rats in response to estradiol. Males had higher
baseline BDNF gene expression in dentate gyrus and CA1 regions of the hippocampus
compared with females. Neonatal administration of exogenous estradiol resulted in
opposite effects on BDNF expression in these areas of the neonatal hippocampus,
such that BDNF transcripts increased in CA1 but decreased in dentate. Blocking
endogenous estradiol signaling by antagonizing estrogen receptors decreased BDNF
expression in the dentate of males, but not females, and had no effect in CA1.
Interestingly, this sex difference and response to estradiol was not mirrored by
translational output, as no differences in BDNF precursor peptide were observed.
The sex- and region-specific effects of estradiol on BDNF expression in the
neonatal hippocampus suggest a complex functional relationship between these
pleiotropic factors in regulating developmental neurogenesis. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27870446
TI - Sex/gender influences on the nervous system: Basic steps toward clinical
progress.
AB - A Commentary highlighting the progress that sex-based data and research have made
in neuroscience and the complexities that research has revealed thus far. Basic
and preclinical neuroscientific research that considers sex as a biological
variable will continue to build on the foundation of knowledge that has been
started by multiple predecessors. The expansion of knowledge in preclinical
neuroscience that integrates the study of both sexes will have a significant role
in informing clinical trial design. We applaud the efforts of the editors and
authors who have contributed to this issue. Published 2016. This article is a
U.S. Government work and is in the public domain in the USA.
PMID- 27870447
TI - Sex on the brain: Are gender-dependent structural and functional differences
associated with behavior?
AB - A substantial number of studies provide evidence documenting a variety of sex
differences in the brain. It remains unclear whether sexual differentiation at
the neural level is related to that observed in daily behavior, cognitive
function, and the risk of developing certain psychiatric and neurological
disorders. Some investigators have questioned whether the brain is truly sexually
differentiated and support this view with several arguments including the
following: (1) brain structural or functional differences are not necessarily
reflected in appropriate differences at the behavioral level, which might suggest
that these two phenomena are not linked to each other; and (2) sex-related
differences in the brain are rather small and concern features that significantly
overlap between males and females. This review polemicizes with those opinions
and presents examples of sex-related local neural differences underpinning a
variety of sex differences in behaviors, skills, and cognitive/emotional
abilities. Although male/female brain differentiation may vary in pattern and
scale, nonetheless, in some respects (e.g., relative local gray matter volumes)
it can be substantial, taking the form of sexual dimorphism and involving large
areas of the brain (the cortex in particular). A significant part of this review
is devoted to arguing that some sex differences in the brain may serve to prevent
(in the case where they are maladaptive), rather than to produce, differences at
the behavioral/skill level. Specifically, some differences might result from
compensatory mechanisms aimed at maintaining similar intellectual capacities
across the sexes, despite the smaller average volume of the brain in females
compared with males. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870443
TI - Sex differences in depression during pregnancy and the postpartum period.
AB - Women have a lifetime risk of major depression double that of men but only during
their reproductive years. This sex difference has been attributed partially to
activational effects of female sex steroids and also to the burdens of pregnancy,
childbirth, and parenting. Men, in contrast, have a reproductive period difficult
to delineate, and research on the mental health of men has rarely considered the
effects of fatherhood. However, the couple goes through a number of potentially
stressing events during the reproductive period, and both mothers and fathers are
at risk of developing peripartum depression. This Review discusses the literature
on maternal and paternal depression and the endocrine changes that may predispose
a person to depression at this stage of life, with specific focus on the
hypothalamus-pituitary axis, oxytocin, and testosterone levels in men. Important
findings on sex differences in the neural correlates of maternal and paternal
behavior have emerged, highlighting the relevance of the emotional brain in
mothers and the sociocognitive brain in fathers and pointing toward the presence
of a common parents' brain. Additionally, sex differences in neurogenesis and
brain plasticity are described in relation to peripartum depression. (c) 2016 The
Authors. Journal of Neuroscience Research Published by Wiley Periodicals, Inc.
PMID- 27870440
TI - Sex differences modulating serotonergic polymorphisms implicated in the
mechanistic pathways of risk for depression and related disorders.
AB - Despite consistent observations of sex differences in depression and related
emotional disorders, we do not yet know how these sex differences modulate the
effects of genetic polymorphisms implicated in risk for these disorders. This
Mini-Review focuses on genetic polymorphisms of the serotonergic system to
illustrate how sex differences might modulate the neurobiological pathways
involved in the development of depression. We consider the interacting role of
environmental factors such as early-life stress. Given limited current knowledge
about this topic, we highlight methodological considerations, challenges, and
guidelines for future research. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870450
TI - The immune system as a novel regulator of sex differences in brain and behavioral
development.
AB - Sexual differentiation of the brain occurs early in life as a result of sex
typical hormone action and sex chromosome effects. Immunocompetent cells are
being recognized as underappreciated regulators of sex differences in brain and
behavioral development, including microglia, astrocytes, and possibly other less
well studied cell types, including T cells and mast cells. Immunocompetent cells
in the brain are responsive to steroid hormones, but their role in sex-specific
brain development is an emerging field of interest. This Review presents a
summary of what is currently known about sex differences in the number,
morphology, and signaling profile of immune cells in the developing brain and
their role in the early-life programming of sex differences in brain and
behavior. We review what is currently known about sex differences in the response
to early-life perturbations, including stress, inflammation, diet, and
environmental pollutants. We also discuss how and why understanding sex
differences in the developing neuroimmune environment may provide insight into
understanding the etiology of several neurodevelopmental disorders. This Review
also highlights what remains to be discovered in this emerging field of
developmental neuroimmunology and underscores the importance of filling in these
knowledge gaps. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870451
TI - Preclinical sex differences in depression and antidepressant response:
Implications for clinical research.
AB - Women suffer from depression and anxiety disorders more often than men, and as a
result they receive antidepressants to a greater extent. Sex differences in
antidepressant response in humans have been modestly studied, and results have
been controversial. At the same time, preclinical studies on animal models of
depression and antidepressant response have provided insights with regard to sex
differences that could be useful for the design and interpretation of future
clinical trials. This Mini-Review discusses such sex-differentiated findings with
regard to the presentation of depression, endophenotypes, and antidepressant
response. In particular, men and women differ in symptomatology of depression,
and animal models of depression have revealed sex differences in behavioral
indices. However, although in experimental studies behavioral indices and models
are adjusted to identify sex differences properly, this is not the case in the
use of depression rating scales in clinical studies. Accordingly, preclinical
studies highlight the importance of sex differences at the baseline behavioral
response and the underlying mechanisms that often converge after antidepressant
treatment. This is also a neglected issue in human studies. Finally, preclinical
research suggests that, in the quest for potential biomarkers for depression, sex
should be an important factor to consider. Careful consideration of sex
differences in preclinical research could facilitate and ameliorate the design
and quality of clinical studies for disease biomarkers and novel fast-acting
antidepressants that are so essential for both men and women suffering from
depression. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870449
TI - Do cortical plasticity mechanisms differ between males and females?
AB - The difference between male and female behavior and male and female
susceptibility to a number of neuropsychiatric conditions is not controversial.
From a biological perspective, one might expect to see at least some of these
differences underpinned by identifiable physical differences in the brain. This
Mini-Review focuses on evidence that plasticity mechanisms differ between males
and females and ask at what scale of organization the differences might exist, at
the systems level, the circuits level, or the synaptic level. Emerging evidence
suggests that plasticity differences may extend to the scale of synaptic
mechanisms. In particular, the CaMKK, NOS1 and estrogen receptor pathways show
sexual dimorphisms with implications for plasticity in the hippocampus and
cerebral cortex. (c) 2016 The Authors. Journal of Neuroscience Research Published
by Wiley Periodicals, Inc.
PMID- 27870448
TI - Sex differences in animal models of decision making.
AB - The ability to weigh the costs and benefits of various options to make an
adaptive decision is critical to an organism's survival and wellbeing. Many
psychiatric diseases are characterized by maladaptive decision making, indicating
a need for better understanding of the mechanisms underlying this process and the
ways in which it is altered under pathological conditions. Great strides have
been made in uncovering these mechanisms, but the majority of what is known comes
from studies conducted solely in male subjects. In recent years, decision-making
research has begun to include female subjects to determine whether sex
differences exist and to identify the mechanisms that contribute to such
differences. This Mini-Review begins by describing studies that have examined sex
differences in animal (largely rodent) models of decision making. Possible
explanations, both theoretical and biological, for such differences in decision
making are then considered. The Mini-Review concludes with a discussion of the
implications of sex differences in decision making for understanding psychiatric
conditions. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870452
TI - Sex, hormones, and genotype interact to influence psychiatric disease, treatment,
and behavioral research.
AB - Sex differences exist in the vulnerability, incidence, manifestation, and
treatment of numerous neurological and psychiatric diseases. Despite this
observation prominent in the literature, little consideration has been given to
possible sex differences in outcome in both preclinical and clinical research.
This Mini-Review highlights evidence supporting why studying sex differences
matter for advances in brain health as well as improving treatment for
neurological and psychiatric disease. Additionally, we discuss some statistical
and methodological considerations in evaluating sex differences as well as how
differences in the physiology of the sexes can contribute to sex difference in
disease incidence and manifestation. Furthermore, we review literature
demonstrating that the reproductive experience in the female can render the
female brain differentially vulnerable to disease across age. Finally, we discuss
how genes interact with sex to influence disease risk and treatment and argue
that sex must be considered in precision medicine. Together the evidence reviewed
here supports the inclusion of males and females at all levels of neuroscience
research. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870453
TI - Do microglia play a role in sex differences in TBI?
AB - Traumatic brain injury (TBI) is one of the leading causes of morbidity and
mortality for both males and females and is, thus, a major focus of current
study. Although the overall death rate of TBI for males is roughly three times
higher than that for females, males have been disproportionately represented in
clinical and preclinical studies. Gender differences are known to exist in many
neurologic disorders, such as multiple sclerosis and stroke, and differences
appear to exist in TBI. Furthermore, it is known that microglia have sexually
dimorphic roles in CNS development and other neurologic conditions; however, most
animal studies of microglia and TBI have focused on male subjects. Microglia are
a current target of many preclinical and clinical therapeutic trials for TBI.
Understanding the relationship among sex, sex hormones, and microglia is critical
to truly understanding the pathophysiology of TBI. However, the evidence for sex
differences in TBI centers mainly on sex hormones, and evidenced-based
conclusions are often contradictory. In an attempt to review the current
literature, it is apparent that sex differences likely exist, but the
contradictory nature and magnitude of such differences in the existing literature
does not allow definite conclusions to be drawn, except that more investigation
of this issue is necessary. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870454
TI - Sex differences in the adolescent brain and body: Findings from the saguenay
youth study.
AB - This Mini-Review describes sex differences in 66 quantitative characteristics of
the brain and body measured in a community-based sample of 1,024 adolescents 12
18 years of age, members of the Saguenay Youth Study. Using an extensive
phenotyping protocol, we have obtained measures in a number of domains, including
brain structure, cognition, mental health, substance use, body composition,
metabolism, cardiovascular reactivity, and life style. For each measure, we
provide estimates of effect size (Cohen's d) and sex-specific correlations with
age (Pearson R). In total 59 of the 66 characteristics showed sex differences (at
a nominal P < 0.05), with small (32), medium-sized (13), and large (11) effects.
Some, but not all, of these sex differences increase during adolescence; this
appears to be the case mostly for anatomical and physiological measures. (c) 2016
Wiley Periodicals, Inc.
PMID- 27870455
TI - Addressing sex as a biological variable.
PMID- 27870457
TI - Single-nucleotide polymorphism rs948854 in human galanin gene and multiple
sclerosis: a gender-specific risk factor.
AB - We performed comparative analyses of the genotype distribution and allelic
frequencies of the rs948854 polymorphism (G/A) in the galanin gene's promoter in
patients with multiple sclerosis (MS) and in healthy matched controls. In total
111 patients and 115 control subjects were included. The analyses revealed that
the presence of the minor allele (G) increased susceptibility to MS in men (OR =
2.49, P = 0.008) but not in women. The presence of the G allele in men was also
significantly associated with the late onset of MS. Furthermore, rs948854
polymorphism affected the rate of MS progression depending on the sex of the
patients. In woman (typically slowly progressing), the percentage of patients
with the slow (<0.5 EDSS score per year) progression rate was significantly
reduced (chi2 = 5.7, P = 0.017) in the minor allele carriers group (52.6%), in
comparison with the wild-type carriers (83.9%). In men (typically quickly
progressing), the number of patients with fast progression rate (>=0.75 EDSS
score per year) tended to increase in the minor allele carriers group (50%)
compared with number of patients with the wild-type carriers (31.3%). These data
demonstrate for the first time an association between rs948854 polymorphism and
multiple sclerosis and, further, that this association is sex specific. They also
point to diagnostic and prognostic benefits of genetic screening of patients with
multiple sclerosis. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870458
TI - In the trenches with the corpus callosum: Some redux of redux.
PMID- 27870459
TI - Sex differences in nicotine preference.
AB - Smoking is the major cause of preventable deaths worldwide, and although there is
a decline in overall smoking prevalence in developed countries, the decline in
women is less pronounced than in men. Women become dependent faster and
experience greater difficulties in quitting. Similar trends have been observed in
animal models of nicotine/tobacco addiction. Individual differences in
vulnerability to drug abuse are also observed in nicotine/tobacco addiction and
point to the importance of sex differences. This Review, summarizes findings from
three experimental approaches used to depict nicotine preference in animal
models, intravenous and oral nicotine self-administration and nicotine-induced
conditioned place preference. Nicotine preference is considered to be reflected
in the animal's motivation to administer the drug (intravenously or orally) or to
prefer an environment paired with the presence of the drug (conditioned place
preference). These approaches all point to the importance of sex and age of the
subjects; the preference of females and adolescents appear to be more pronounced
than that of males and adults, respectively. A closer look at these factors will
help us understand the mechanisms that underlie nicotine addiction and develop
strategies to cope. Ignoring sex differences and reaching conclusions based only
on studies using male subjects has resulted in erroneous generalizations in the
past. Sex differences in nicotine preference have been clearly documented, and
awareness on this aspect of nicotine dependence will significantly impact our
success in translational research. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870456
TI - Understanding the epigenetic basis of sex differences in depression.
AB - Epigenetics refers to potentially heritable processes that can mediate both
lasting and transient changes in gene expression in the absence of genome
sequence alterations. The field of epigenetics has introduced a novel
understanding of the mechanisms through which the environment can shape an
individual and potentially its offspring. This Mini-Review examines the current
literature exploring the role of epigenetics in the development of mood disorders
such as depression. Depression is twofold more common in females, yet the
majority of preclinical research has been conducted exclusively in male subjects.
Here we discuss what is known about sex differences in epigenetic regulation and
function and how this may contribute to the etiology and onset of mood disorders.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27870460
TI - Sex differences in the immune response to experimental stroke: Implications for
translational research.
AB - Ischemic stroke is a leading cause of death and disability in the United States.
It is known that males and females respond differently to stroke. Depending on
age, the incidence, prevalence, mortality rate, and disability outcome of stroke
differ between the sexes. Females generally have strokes at older ages than males
and, therefore, have a worse stroke outcome. There are also major differences in
how the sexes respond to stroke at the cellular level. Immune response is a
critical factor in determining the progress of neurodegeneration after stroke and
is fundamentally different for males and females. Additionally, females respond
to stroke therapies differently from males, yet they are often left out of the
basic research that is focused on developing those therapies. With a resounding
failure to translate stroke therapies from the bench to the bedside, it is
clearer than ever that inclusion of both sexes in stroke studies is essential for
future clinical success. This Mini-Review examines sex differences in the immune
response to experimental stroke and its implications for therapy development. (c)
2016 Wiley Periodicals, Inc.
PMID- 27870463
TI - 13 C MR Hyperpolarization of Lactate by Using ParaHydrogen and Metabolic
Transformation in Vitro.
AB - Hyperpolarization of the 13 C magnetic resonance signal of l-[1-13 C]lactate has
been obtained using the chemically based, cost-effective method called
parahydrogen-induced polarization by means of side-arm hydrogenation (PHIP-SAH).
Two ester derivatives of lactate were tested and the factors that determine the
polarization level on the product have been investigated in detail. The metabolic
conversion of hyperpolarized l-[1-13 C]lactate into pyruvate has been observed in
vitro using lactate dehydrogenase (LDH) and in a cells lysate. From the
acquisition of a series of 13 C NMR spectra, the metabolic build-up of the [1-13
C]pyruvate signal has been observed. These studies demonstrate that, even if the
experimental set-up used for these PHIP-SAH hyperpolarization studies is still
far from optimal, the attained polarization level is already sufficient to carry
out in vitro metabolic studies.
PMID- 27870462
TI - Sex differences in the functional lateralization of emotion and decision making
in the human brain.
AB - Dating back to the case of Phineas Gage, decades of neuropsychological research
have shown that the ventromedial prefrontal cortex (vmPFC) is crucial to both
real-world social functioning and abstract decision making in the laboratory
(see, e.g., Stuss et al., ; Bechara et al., 1994; Damasio et al., ). Previous
research has shown that the relationship between the laterality of individuals'
vmPFC lesions and neuropsychological performance is moderated by their sex,
whereby there are more severe social, emotional, and decision-making impairments
in men with right-side vmPFC lesions and in women with left-side vmPFC lesions
(Tranel et al., 2005; Sutterer et al., 2015). We conducted a selective review of
studies examining the effect of vmPFC lesions on emotion and decision making and
found further evidence of sex-related differences in the lateralization of
function not only in the vmPFC but also in other neurological structures
associated with decision making and emotion. This Mini-Review suggests that both
sex and laterality effects warrant more careful consideration in the scientific
literature. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870466
TI - Wacker Silicone Award: A. C. Filippou / Herman Skolnik Award: D. A. Winkler /
Erwin Schrodinger Prize: C. Barner-Kowollik.
PMID- 27870465
TI - Corrigendum: The Nucleophilicity of Persistent alpha-Monofluoromethide Anions.
PMID- 27870461
TI - Sexual dimorphism of Broca's region: More gray matter in female brains in
Brodmann areas 44 and 45.
AB - Although a sexual dimorphism in brain structure is generally well established,
evidence for sex differences in Brodmann areas (BA) 44 and 45 is inconclusive.
This may be due to the difficulty of accurately defining BA 44 and BA 45 in
magnetic resonance images, given that these regions are variable in their
location and extent and that they do not match well with macroanatomic landmarks.
Here we set out to test for possible sex differences in the local gray matter of
BA 44/45 by integrating imaging-based signal intensities with
cytoarchitectonically defined tissue probabilities in a sample of 50 male and 50
female subjects. In addition to testing for sex differences with respect to left-
and right-hemispheric measures of BA 44/45, we also assessed possible sex
differences in BA 44/45 asymmetry. Our analyses revealed significantly larger
gray matter volumes in females compared with males for BA 44 and BA 45
bilaterally. However, there was a lack of significant sex differences in BA 44/45
asymmetry. These results corroborate reports of a language-related female
superiority, particularly with respect to verbal fluency and verbal memory tasks.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27870467
TI - Nontraditional pi Gelators Based on beta-Iminoenolate and Their Difluoroboron
Complexes: Effect of Halogens on Gelation and Their Fluorescent Sensory
Properties Towards Acids.
AB - We have synthesized a series of new beta-iminoenolates and their corresponding
difluoroboron complexes without any traditional gelation moieties, and some of
them were able to gelatinize organic solvents. It was found that the presence of
halogen atoms as substituents had a significant effect on gelation ability. In
particular, bromo-containing compounds 4 A and 4 B exhibited excellent gelation
abilities compared with other halogen-substituted gelators. By analyses of the
single-crystal structure, the PXRD pattern of the xerogel, and electronic
spectral changes during gelation, we deemed that pi-pi, C-H???F, and C-H???Br
interactions were the driving forces for the gelation of 4 B. Interestingly, (Z)
1-(4-bromophenyl)-2-(3-methylpyrazin-2-yl)ethen-1-ol (8 A), prepared in this
work, is the lowest-molecular-weight organogelator to have been reported. It
should be noted that although beta-iminoenolates 3 A-5 A are nonemissive in
solution, they emit strong yellow light in organogels, which suggests aggregation
induced emissive activity, whereas the difluoroboron complexes 3 B-5 B show
strong fluorescence in solutions, organogels, and xerogel-based films. Moreover,
we found that the emission of 4 B in a nanofiber-based film could be quenched
significantly upon exposure to gaseous trifluoroacetic acid and that the decay
time and detection limit were 0.5 s and 0.17 ppm, respectively. Thus, through
this work we have provided a new strategy for the design of nontraditional pi
gelators by introducing halogen atoms into pi-conjugated systems with moderate
polarities.
PMID- 27870468
TI - MicroRNA Profile in Cerebrospinal Fluid and Plasma of Patients with Spontaneous
Intracerebral Hemorrhage.
PMID- 27870469
TI - A panel of circulating miRNAs as diagnostic biomarkers for screening multiple
myeloma: a systematic review and meta-analysis.
AB - Circulating microRNAs (miRNAs) have been proved to be effective diagnostic
markers for multiple myeloma (MM). The meta-analysis was aimed to evaluate the
diagnostic value of related miRNAs. Multiple databases (PubMed, Web of Science,
EMBASE, Cochrane Library, CBM, and CNKI) were systematically searched for
available studies up to March 2016. All data were analyzed with the help of
software revman 5.3 and metadisc 1.4. The eligible articles' quality was
estimated by QUADAS-2, and pooled parameters were acquired with the bivariate
random-effects meta-analysis model. Subgroup analysis and meta-regression were
conducted to explore the heterogeneity of studies included. After steps of
screening, seven qualified literatures were selected. They consisted of 22
studies that included 486 newly diagnosed MM patients and 292 healthy controls.
Summary receiver operating characteristic (SROC) analyses of all miRNAs showed an
area under the curve (AUC) of 0.86 (95%CI, 0.82-0.91). Together with the AUC, the
positive likelihood ratio-PLR 4.45 (95%CI, 3.28-6.04), negative likelihood ratio
NLR 0.29 (95%CI, 0.24-0.35), and diagnostic odds ratio-DOR 17.59 (95%CI, 11.26
27.4) confirmed that circulating miRNAs possessed relatively high diagnostic
value in discriminating MM patients from healthy controls. For miRNAs combined
together, miRNA-1308/miRNA-720 had the highest sensitivity 0.96 (95%CI, 0.79
1.00) and specificity 0.92 (95%CI 0.64-1.0). The subgroup and meta-regression
analyses also showed that miRNAs profiling was the sole source of heterogeneity,
and the diagnostic accuracy of combined miRNAs was 6.02 times higher than single
one. Combined circulating miRNAs in serum or plasma may be highly effective
biomarker for diagnosis of MM.
PMID- 27870471
TI - Analytical evaluation of the ADAMSTM A1c HA 8180 thalassemia mode high-pressure
liquid chromatography analyser for the measurement of HbA2 and HbF.
AB - BACKGROUND: ADAMSTM A1cHA-8180T is a HPLC system; within 3.5 min, it quantifies
HbF, HbA2 , and HbA0 and flags abnormal peaks. We evaluate its analytical
performance for routine estimation of HbA2 and HbF, and critical tests were
performed for identifying beta-thalassemia carriers. METHODS: Trueness
imprecision, carry over, linearity, and effect of anemia were evaluated according
to ICLH, ICLS, or manufacture's guidelines. Comparison (ADAMSTM A1c HA-8160T) was
performed by running 400 samples from healthy subjects, 30 alpha and 80 beta
carriers (range: 1.9-5.7 %). RESULTS: Trueness - HbA2 2.7 %, bias 0.81 %; HbA2
5.8 %, bias 0.38 %. HbA2 4.0% is not affected by Hb in the range 221-40 g/L.
Carry over was negligible. Within run: normal control - CV 1.5 %, high control -
CV 0.9 %.Within laboratory: normal control - total CV% 1.59%; high control - 0.92
%. Linearity - y = 1.034x - 0.17, R2 = 0.998 (range: 2.8-4.8%).Method comparison
- y = 0.93x + 0.22, R2 = 0.997. HbF imprecision CVs between 0.66 and 1.24% and
trueness between 0 and 2.8%. Linearity - y = 1.088x - 0.27, R2 = 0.999 (0.1
5.7%). CONCLUSIONS: ADAMSTM A1c HA-8180T provides a rapid and reliable separation
of HbA2 . The measurement is accurate and reproducible, which is needed because
of the slight difference between normal and pathological values. The gap in HbA2
values between normal subjects and beta-thalassemia carriers makes this an
appropriate method for rapid screening for carriers.
PMID- 27870472
TI - Nodular sclerosis classical Hodgkin lymphoma grade 2: A diagnostic challenge to
the cytopathologists.
AB - BACKGROUND: Grade 2 nodular sclerosis classical Hodgkin lymphoma (NSCHL) is less
common than grade 1 lymphoma and has a worse overall prognosis. To the best of
the authors' knowledge, no study of a large series of cases has been performed
until now. The objective of this study was to assess the diagnostic efficacy of
cytology for grade 2 NSCHL versus grade 1 NSCHL and study the morphological
features of grade 2 NSCHL in fine-needle aspiration cytology (FNAC). METHODS:
Fifteen of 51 histopathology-proven cases of NSCHL (18 FNAC procedures) were
grade 2, and 36 were grade 1. The efficacy of FNAC for detecting grade 1 and 2
NSCHL was assessed, and the frequency of misdiagnosis was compared. The clinical
details and cytomorphological features of grade 2 NSCHL were studied in detail.
RESULTS: Among the grade 1 NSCHL patients, 58.4% were diagnosed with Hodgkin
lymphoma (HL) or had findings suggestive of HL, whereas 20% of the grade 2
patients were diagnosed HL or suggestive of HL. Two cases of grade 2 NSCHL were
misdiagnosed as anaplastic large cell lymphoma, and 4 were misdiagnosed as
malignant neoplasms. Grade 2 NSCHL cases showed clusters and sheets of
mononuclear, multinucleated, and bizarre cells, with some cases showing a
suppurative background. However, extensive searching showed occasional Reed
Sternberg (RS) cells in most of the cases, and lacunar cells were seen in 12
cases. CONCLUSIONS: The diagnostic efficacy of FNAC is much lower for grade 2
NSCHL versus grade 1 NSCHL. The search for an occasional RS cell and the
identification of lacunar cells can provide a clue for the diagnosis. Cancer
Cytopathol 2017;125:104-113. (c) 2016 American Cancer Society.
PMID- 27870473
TI - Development of practice guidelines for psychological interventions in the
rehabilitation of patients with oncological disease (breast, prostate, or
colorectal cancer): Methods and results.
AB - OBJECTIVE: The goal of this project was to develop evidence- and consensus-based
practice guidelines for psychological interventions in the rehabilitation of
patients with oncological disease (breast, prostate, or colorectal cancer).
METHODS: First of all, we conducted a literature search and survey of all
oncological rehabilitation centers in Germany (N = 145) to obtain a thorough
perspective of the recent evidence, guidelines, the structural framework, and
practice of psychological services in oncological rehabilitation. Next, an expert
workshop was held with national experts from scientific departments, clinicians
from rehabilitation centers, and patients. In this workshop, we drafted and
agreed upon an initial version of the practice guidelines. Afterwards, the
practice guidelines were sent to all head physicians and senior psychologists at
oncological rehabilitation centers in Germany for approval (N = 280
questionnaires). In addition, key recommendations were discussed with a group of
rehabilitation patients. Finally, the practice guidelines were revised by the
expert panel and made available online to the public. RESULTS: The practice
guidelines have been widely accepted by both the expert panel and the surveyed
clinicians and patients. They include recommendations for psycho-oncological
interventions that should be offered to all rehabilitation patients with breast,
prostate, or colorectal cancer. They also comprise recommendations for specific
problem areas concerning psychological functions, body functions, and
environmental and personal factors. CONCLUSIONS: The practice guidelines provide
detailed recommendations for high-quality psychosocial care in an oncological
rehabilitation context. It is their aim to guide the multidisciplinary team,
especially psychologists and physicians, in their daily practice.
PMID- 27870478
TI - Clinical Pharmacology in Drug Development: Five Years in the Books.
PMID- 27870477
TI - Ascending Single-Dose, Double-Blind, Placebo-Controlled Safety Study of
Noribogaine in Opioid-Dependent Patients.
AB - Ibogaine is a psychoactive substance that may reduce opioid withdrawal symptoms.
This was the first clinical trial of noribogaine, ibogaine's active metabolite,
in patients established on methadone opioid substitution therapy (OST). In this
randomized, double-blind, placebo-controlled single ascending-dose study, we
evaluated the safety, tolerability, and pharmacokinetics of noribogaine in 27
patients seeking to discontinue methadone OST who had been switched to morphine
during the previous week. Noribogaine doses were 60, 120, or 180 mg (n = 6/dose
level) or matching placebo (n = 3/dose level). Noribogaine was well tolerated.
The most frequent treatment-emergent adverse events were noneuphoric changes in
light perception ~1 hour postdose, headache, and nausea. Noribogaine had dose
linear increases for AUC and Cmax and was slowly eliminated (mean t1/2 range, 24
30 hours). There was a concentration-dependent increase in QTcI (0.17 ms/ng/mL),
with the largest observed mean effect of ~16, 28, and 42 milliseconds in the 60-,
120-, and 180-mg groups, respectively. Noribogaine showed a nonstatistically
significant trend toward decreased total score in opioid withdrawal ratings, most
notably at the 120-mg dose; however, the study design may have confounded
evaluations of time to resumption of OST. Future exposure-controlled multiple
dose noribogaine studies are planned that will address these safety and design
issues.
PMID- 27870479
TI - Impact of Renal Impairment on the Pharmacokinetics of Apremilast and Metabolite
M12.
AB - The pharmacokinetics of apremilast and its major metabolite M12 were evaluated in
subjects with varying degrees of renal impairment. Men and women with renal
impairment (estimated glomerular filtration rate, 60-89 mL/min [mild, n = 8], 30
59 mL/min [moderate, n = 8], or <30 mL/min [severe, n = 8]) or demographically
healthy matched (control) subjects (n = 24) received a single oral dose of
apremilast 30 mg. Plasma apremilast and metabolite M12 concentrations were
determined, and pharmacokinetic parameters were calculated from samples obtained
predose and up to 72 hours postdose. In subjects with mild to moderate renal
impairment, apremilast pharmacokinetic profiles were similar to healthy matched
subjects. In subjects with severe renal impairment, apremilast elimination was
significantly slower, and exposures based on area under the plasma concentration
versus-time curve from time zero extrapolated to infinity and maximum observed
plasma concentration were increased versus healthy matched subjects. Metabolite
M12 pharmacokinetic profiles for subjects with mild renal impairment were similar
to those of the healthy matched subjects; however, they were increased in both
the moderate and severe renally impaired subjects. Dose reduction of apremilast
is recommended in individuals with severe renal impairment, but not in those with
mild to moderate renal impairment.
PMID- 27870480
TI - Pharmacokinetics and Safety of Defibrotide in Healthy Japanese Subjects.
PMID- 27870482
TI - Corrigendum.
PMID- 27870481
TI - Glycemic Effect and Safety of a Systemic, Partial Glucokinase Activator, PF
04937319, in Patients With Type 2 Diabetes Mellitus Inadequately Controlled on
Metformin-A Randomized, Crossover, Active-Controlled Study.
AB - Glucokinase enhances glucose conversion to glucose-6-phosphate, causing glucose
stimulated insulin secretion from pancreatic beta cells and increased hepatic
glucose uptake. PF-04937319 is a partial glucokinase activator designed to
maintain efficacy with reduced hypoglycemia risk. In this randomized, double
blind, double-dummy, 3-period crossover phase 1b study, patients aged 18-70 years
with type 2 diabetes mellitus and on metformin received once-daily PF-04937319
(300 mg), split-dose PF-04937319 (150+100 mg; breakfast+lunch), or sitagliptin
(100 mg once daily). The primary end point was day 14 weighted mean daily glucose
(WMDG) change from period-specific baseline. Secondary end points included change
from baseline in fasting plasma glucose, premeal C-peptide and insulin, and
safety, including hypoglycemia frequency. Mean decrease from baseline in observed
WMDG (mg/dL) was greater for PF-04937319 (split-dose, -31.24; once daily, -31.33)
versus sitagliptin (-19.24). Using the integrated glucose red-cell HbA1c model,
the observed WMDG effect with both PF-04937319 dosing regimens was projected to
yield a clinically superior effect on mean glycated hemoglobin (HbA1c ; split
dose, -0.88%; once daily, -0.94%) compared with sitagliptin (-0.63%). There was
no difference in premeal C-peptide or insulin levels, and although the effect on
WMDG with both PF-04937319 regimens was similar, the split-dose regimen appeared
to offer some advantage in safety and tolerability.
PMID- 27870483
TI - Transcriptome analysis of the regenerating tail vs. the scarring limb in lizard
reveals pathways leading to successful vs. unsuccessful organ regeneration in
amniotes.
AB - BACKGROUND: Lizards are amniotes regenerating the tail but not the limb, and no
information on their different gene expression is available. RESULTS:
Transcriptomes of regenerating tail and limb blastemas show differences in gene
expression between the two organs. In tail blastemal, snoRNAs and Wnt signals
appear up-regulated probably in association with the apical epidermal peg (AEP),
an epithelial region that sustains tail regeneration but is absent in the limb. A
balance between pro-oncogenes and tumor suppressors is likely present in tail
blastema allowing a regulated proliferation. Small collagens, protease
inhibitors, embryonic keratins are up-regulated in the regenerating tail blastema
but not in the limb where Wnt inhibitors, inflammation-immune and extracellular
matrix proteins depress cell growth. CONCLUSIONS: The AEP and the spinal cord in
the tail maintains Wnt and fibroblast growth signaling that stimulate blastema
cell proliferation and growth while these signals are absent in the limb as a
consequence of the intense inflammation. Regeneration of amniote appendages
requires a control of cell proliferation and inflammatory-immune reactions to
form an apical epidermal cap. Genes that control cell proliferation and
inflammation, addressing regeneration and not tumor formation in the tail and
scarring in the limb are discussed for future studies. Developmental Dynamics
246:116-134, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27870484
TI - GUEST EDITORIAL.
PMID- 27870485
TI - Nomenclature for factors of the HLA system, update August 2016.
PMID- 27870486
TI - Nomenclature for factors of the HLA system, update July 2016.
PMID- 27870488
TI - Nomenclature for factors of the HLA system, update September 2016.
PMID- 27870489
TI - Intraocular lens power calculation in primary angle closure.
PMID- 27870487
TI - Identification of a novel HLA-C*06 variant allele, HLA-C*06:166, by sequence
based typing in a Chinese individual.
AB - HLA-C*06:166 differs from HLA-C*06:02:01:01 (146T -> C, exon 2, V25A).
PMID- 27870491
TI - Continuing Professional Development.
PMID- 27870490
TI - Peeking into the molecular trove of discarded surgical specimens.
PMID- 27870492
TI - Authors beware! The rise of the predatory publisher.
PMID- 27870493
TI - Monday 21 November.
PMID- 27870495
TI - Past Lecturers and Briefs for the Named Lectures: RANZCO Annual Scientific
Congress.
PMID- 27870494
TI - Film Abstracts.
PMID- 27870496
TI - Sunday 20 November.
PMID- 27870498
TI - Wednesday 23 November.
PMID- 27870499
TI - Invited Speakers.
PMID- 27870500
TI - Tuesday 22 November.
PMID- 27870504
TI - Chronic LiCl pretreatment suppresses thrombin-stimulated intracellular calcium
mobilization through TRPC3 in astroglioma cells.
AB - OBJECTIVES: Transient receptor potential canonical type 3 (TRPC3) channels are
activated in B lymphoblast cell lines from patients with bipolar disorder (BD),
and its expression is reduced by chronic lithium treatment, implicating TRPC3 in
the intracellular calcium (Ca2+ ) dyshomeostasis of BD. Thrombin, via a protease
activated receptor, moderates Ca2+ signaling and TRPC3 in astrocytes, and also
cell proliferation. We examined whether lithium pretreatment attenuates thrombin
stimulated TRPC3 expression and function in astrocytes, and levels of the calcium
binding peptide, S100B, which is expressed mainly in these cells. METHODS: Human
astroglioma, U-87MG, cells were pretreated with 1 mmol L-1 LiCl for 1 day
(acute), 3 days (subacute), and 7 days (chronic). To examine the role of TRPC3,
genetically stable knockdown TRPC3 cells (TRPC3Low cells) were constructed using
U-87MG cells. Thrombin (2.0 U/mL)-stimulated Ca2+ mobilization was measured by
ratiometric fluorimetry. Changes in TRPC3 and S100B expression levels were
determined by quantitative reverse transcription-polymerase chain reaction and
immunoblotting, respectively. Cell proliferation was also measured using the WST
8 assay. RESULTS: In this cell model, thrombin-stimulated Ca2+ mobilization, and
both TRPC3 and S100B expression were suppressed by chronic LiCl pretreatment and
the knockdown of TRPC3. Additionally, cell proliferation was attenuated in
TRPC3Low cells, compared with the negative control vector-transfected cell.
CONCLUSIONS: The reduced Ca2+ mobilization and S100B expression levels following
chronic LiCl pretreatment and in TRPC3Low cells support the notion that TRPC3
modulates S100B expression and is the target of the LiCl effect. Downregulation
of TRPC3 may be an important mechanism by which lithium ameliorates
pathophysiological intracellular Ca2+ disturbances as observed in BD, accounting,
in part, for its mood-stabilizing effects.
PMID- 27870505
TI - Distinguishing medication-free subjects with unipolar disorder from subjects with
bipolar disorder: state matters.
AB - OBJECTIVES: Recent studies have indicated that pattern recognition techniques of
functional magnetic resonance imaging (fMRI) data for individual classification
may be valuable for distinguishing between major depressive disorder (MDD) and
bipolar disorder (BD). Importantly, medication may have affected previous
classification results as subjects with MDD and BD use different classes of
medication. Furthermore, almost all studies have investigated only depressed
subjects. Therefore, we focused on medication-free subjects. We additionally
investigated whether classification would be mood state independent by including
depressed and remitted subjects alike. METHODS: We applied Gaussian process
classifiers to investigate the discriminatory power of structural MRI (gray
matter volumes of emotion regulation areas) and resting-state fMRI (resting-state
networks implicated in mood disorders: default mode network [DMN], salience
network [SN], and lateralized frontoparietal networks [FPNs]) in depressed (n=42)
and remitted (n=49) medication-free subjects with MDD and BD. RESULTS: Depressed
subjects with MDD and BD could be classified based on the gray matter volumes of
emotion regulation areas as well as DMN functional connectivity with 69.1%
prediction accuracy. Prediction accuracy using the FPNs and SN did not exceed
chance level. It was not possible to discriminate between remitted subjects with
MDD and BD. CONCLUSIONS: For the first time, we showed that medication-free
subjects with MDD and BD can be differentiated based on structural MRI as well as
resting-state functional connectivity. Importantly, the results indicated that
research concerning diagnostic neuroimaging tools distinguishing between MDD and
BD should consider mood state as only depressed subjects with MDD and BD could be
correctly classified. Future studies, in larger samples are needed to investigate
whether the results can be generalized to medication-naive or first-episode
subjects.
PMID- 27870503
TI - The role of type II transmembrane serine protease-mediated signaling in cancer.
AB - Pericellular proteases have long been implicated in carcinogenesis. Previous
research focused on these proteins, primarily as extracellular matrix (ECM)
protein-degrading enzymes which allowed cancer cells to breach the basement
membrane and invade surrounding tissue. However, recently, there has been a shift
in the view of cell surface proteases, including serine proteases, as proteolytic
modifiers of particular targets, including growth factors and protease-activated
receptors, which are critical for the activation of oncogenic signaling pathways.
Of the 176 human serine proteases currently identified, a subset of 17, known as
type II transmembrane serine proteases (TTSPs). Many have been shown to be
relevant to cancer progression since they were first identified as a family
around the turn of the century. To this end, altered expression of TTSPs appeared
as a trademark of several tumor types. However, the substrates and underlying
signaling pathways remained unclear. Localization of these proteins to the cell
surface places them in the unique position to mediate signal transduction between
the cell and its surrounding environment. Many of the TTSPs have already been
shown to play key roles in processes such as postnatal development, tissue
homeostasis, and tumor progression, which share overlapping molecular mechanisms.
In this review, we summarize the current knowledge regarding the role of the TTSP
family in pro-oncogenic signaling.
PMID- 27870506
TI - Differential neurometabolite alterations in brains of medication-free individuals
with bipolar disorder and those with unipolar depression: a two-dimensional
proton magnetic resonance spectroscopy study.
AB - OBJECTIVES: Bipolar disorder (BD) is a mental disorder characterized by periods
of elevated mood and depression. Many individuals with BD are initially
misdiagnosed and treated for unipolar depression (UD). In this study, we report
direct comparisons between medication-free individuals with BD and those with UD
in terms of the neurometabolites in the anterior cingulate cortex (ACC), medial
prefrontal cortex (mPFC), parietal cortex (PC), and posterior cingulate cortex
(PCC) of the brain. METHODS: Participants included medication-free patients with
BD or UD, and matched healthy controls. All patients were in the depressive state
and had similar symptoms. All subjects were subjected to a multi-voxel proton
magnetic resonance spectroscopy procedure with a 3.0 T GE Signa MR scanner. After
post-processing, the absolute concentrations of glycerophosphocholine +
phosphocholine (GPC + PC), phosphocreatine + creatine (PCr + Cr), Glx (glutamate
+ glutamine), myo-inositol (MI), and N-acetyl aspartate (NAA) from the above
brain regions were compared across the three groups. RESULTS: Patients with BD
showed significantly higher levels of Glx in their ACC, lower GPC + PC, PCr + Cr,
MI, and NAA in their PC, and lower NAA in their mPFC, compared to healthy
controls; patients with UD presented significantly lower levels of GPC + PC, PCr
+ Cr, and NAA in their PCC, and lower Glx in their mPFC. All analyzed brain
metabolites, except Glx, were significantly lower in the PC of patients with BD,
whereas levels of GPC + PC, PCr + Cr, and NAA were significantly reduced in the
PCC of patients with UD. CONCLUSIONS: These results add to the evidence of brain
metabolite differences in brains of patients with UD and BD which may be of help
in differentiating these two mood disorders.
PMID- 27870508
TI - 2016 ACR/ARHP Annual Meeting Abstract Supplement.
AB - For a searchable version of these abstracts, please visit www.acrabstracts.org.
PMID- 27870507
TI - Stress and reward processing in bipolar disorder: a functional magnetic resonance
imaging study.
AB - OBJECTIVES: A link between negative life stress and the onset of mood episodes in
bipolar disorder (BD) has been established, but processes underlying such a link
remain unclear. Growing evidence suggests that stress can negatively affect
reward processing and related neurobiological substrates, indicating that a
dysregulated reward system may provide a partial explanation. The aim of this
study was to test the impact of stress on reward-related neural functioning in
BD. METHODS: Thirteen euthymic or mildly depressed individuals with BD and 15
controls performed a Monetary Incentive Delay (MID) task while undergoing
functional magnetic resonance imaging during no-stress and stress (negative
psychosocial stressor involving poor performance feedback and threat of monetary
deductions) conditions. RESULTS: In hypothesis-driven region-of-interest
analyses, a significant group-by-condition interaction emerged in the amygdala
during reward anticipation. Relative to controls, while anticipating a potential
reward, subjects with BD were characterized by amygdalar hyperactivation in the
no-stress condition but hypoactivation during stress. Moreover, relative to
controls, subjects with BD had significantly larger amygdala volumes. After
controlling for structural differences, the effects of stress on amygdalar
function remained, whereas groups no longer differed during the no-stress
condition. During reward consumption, a group-by-condition interaction emerged in
the putamen due to increased putamen activation in response to rewards in
participants with BD during stress, but an opposite pattern in controls.
CONCLUSIONS: Overall, findings highlight possible impairments in using reward
predicting cues to adaptively engage in goal-directed actions in BD, combined
with stress-induced hypersensitivity to reward consumption. Potential clinical
implications are discussed.
PMID- 27870509
TI - Frailty and sarcopenia in subjects with Alzheimer's disease with or without
cerebrovascular disease.
PMID- 27870511
TI - Unusual occurrence of a rare mandibular lesion mimicking an osseous cyst.
PMID- 27870510
TI - Neuropsychological differences in Alzheimer's disease patients with or without
type 2 diabetes mellitus.
PMID- 27870513
TI - International Wound Journal 2016: a year in review.
PMID- 27870516
TI - Editors' Notes.
PMID- 27870515
TI - Internalization of Dominance and Subordination: Barriers to Creative and
Intellectual Fullness.
AB - This chapter explores the ways in which identities and positions of dominance or
subordination in a societal system of power and privilege affect the way in which
leadership is enacted.
PMID- 27870517
TI - Culturally Responsive Integrative Learning Environments: A Critical Displacement
Approach.
AB - This chapter outlines a critical framework for developing culturally responsive
integrative learning environments in leadership education. The framework is
grounded in the scholarship of women of color feminism and offers implications
for transforming curricular and cocurricular leadership programs.
PMID- 27870518
TI - Preparing Interfaith Leaders: Knowledge Base and Skill Set for Interfaith
Leaders.
AB - This chapter gives an overview of the vision, knowledge base, and skill set of
interfaith leadership.
PMID- 27870519
TI - The Practice of Freedom: Leading Through Controversy.
AB - Theoretical frameworks, specific skills for leaders, and guidance to effectively
work with others across difference as well as practice freedom to facilitate
transformational social movements are explored in this chapter.
PMID- 27870520
TI - Authenticity in Leadership: Intersectionality of Identities.
AB - This chapter situates leadership and the process of becoming a leader within an
understanding of identity, particularly intersecting social identities and
intersectionality.
PMID- 27870521
TI - Leading to Transgress: Critical Considerations for Transforming Leadership
Learning.
AB - The culturally relevant leadership learning (CRLL) model is explored through the
lens of theory and practice. This creates critical questions to guide leadership
educators in the ongoing process of transforming leadership programs.
PMID- 27870522
TI - Critical Domains of Culturally Relevant Leadership Learning: A Call to Transform
Leadership Programs.
AB - This chapter introduces the critical domains of culturally relevant leadership
learning. The model explores how capacity, identity, and efficacy of student
leaders interact with dimensions of campus climate.
PMID- 27870523
TI - Leadership Lessons from Communities of Color: Stewardship and Collective Action.
AB - This chapter proposes a multicultural leadership model that reflects practices
and principles from Black, Latino, and American Indian communities.
PMID- 27870524
TI - AANP NEWS.
PMID- 27870525
TI - The case for academic detailing with nurse practitioners.
PMID- 27870526
TI - Dimensions of medication adherence in African Americans with type 2 diabetes in
rural North Carolina.
PMID- 27870527
TI - Indirect Exposure to Captivity Details Is Not Related to Posttraumatic Stress
Symptoms Among the Spouses and Offspring of Former Prisoners of War.
AB - Indirect exposure to the aversive details of the primary victim's traumatic
event(s) has been introduced in the fifth edition of the Diagnostic and
Statistical Manual of Mental Disorders (DSM-5; American Psychiatric Association,
2013) as a new event criterion (Criterion A4). However, this new criterion has
been criticized for its significant emphasis on the exposure to trauma "details"
or trauma narrative. This study assessed the associations between reported
exposure to details about captivity and posttraumatic stress symptoms (PTSS)
among 2 groups of family members of former prisoners of war (ex-POWs): spouses (n
= 115) and adult offspring (n = 78). Results show that in both groups exposure to
details regarding captivity was not significantly related to the severity of
total PTSS and specifically, high levels of exposure to captivity details were
related to lower avoidance symptoms among ex-POWs' spouses. Among offspring,
exposure to paternal behaviors stemming from the fathers' posttraumatic stress
disorder was related to PTSS, above and beyond negative life events, quality of
relationship with the father, and exposure to captivity details (R2 = .34). These
results suggest that behavioral displays of the fathers' posttraumatic symptoms,
rather than the recounting of trauma-related details, is related to PTSS among ex
POWs' offspring.
PMID- 27870528
TI - Catalytically Triggered Energy Release from Strained Organic Molecules: The
Surface Chemistry of Quadricyclane and Norbornadiene on Pt(111).
AB - We have investigated the surface chemistry of the polycyclic valence-isomer pair
norbornadiene (NBD) and quadricyclane (QC) on Pt(111). The NBD/QC system is
considered to be a prototype for energy storage in strained organic compounds. By
using a multimethod approach, including UV photoelectron, high-resolution X-ray
photoelectron, and IR reflection-absorption spectroscopic analysis and DFT
calculations, we could unambiguously identify and differentiate between the two
molecules in the multilayer phase, which implies that the energy-loaded QC
molecule is stable in this state. Upon adsorption in the (sub)monolayer regime,
the different spectroscopies yielded identical spectra for NBD and QC at 125 and
160 K, when multilayer desorption takes place. This behavior is explained by a
rapid cycloreversion of QC to NBD upon contact with the Pt surface. The NBD
adsorbs in a eta2 :eta1 geometry with an agostic Pt-H interaction of the
bridgehead CH2 subunit and the surface. Strong spectral changes are observed
between 190 and 220 K because the hydrogen atom that forms the agostic bond is
broke. This reaction yields a norbornadienyl intermediate species that is stable
up to approximately 380 K. At higher temperatures, the molecule dehydrogenates
and decomposes into smaller carbonaceous fragments.
PMID- 27870529
TI - Infections and exposure to anti-infective agents and the risk of severe mental
disorders: a nationwide study.
AB - OBJECTIVE: Severe infections are associated with increased risks of mental
disorders; however, this is the first large-scale study investigating whether
infections treated with anti-infective agents in the primary care setting
increase the risks of schizophrenia and affective disorders. METHOD: We
identified all individuals born in Denmark 1985-2002 (N = 1 015 447) and studied
the association between infections treated with anti-infective agents and the
subsequent risk of schizophrenia and affective disorders during 1995-2013. Cox
regression analyses were adjusted for important confounders. RESULTS: Infections
treated with anti-infective agents were associated with increased risks of
schizophrenia by a hazard rate ratio (HRR) of 1.37 (95%-CI = 1.20-1.57) and
affective disorders by a HRR of 1.64 (95%-CI = 1.48-1.82), fitting a dose
response and temporal relationship (P < 0.001). The excess risk was primarily
driven by infections treated with antibiotics, whereas infections treated with
antivirals, antimycotics, and antiparasitic agents were not significant after
mutual adjustment. Individuals with infections requiring hospitalization had the
highest risks for schizophrenia (HRR = 2.05; 95%-CI = 1.77-2.38) and affective
disorders (HRR = 2.59; 95%-CI = 2.31-2.89). CONCLUSION: Infections treated with
anti-infective agents and particularly infections requiring hospitalizations were
associated with increased risks of schizophrenia and affective disorders, which
may be mediated by effects of infections/inflammation on the brain, alterations
of the microbiome, genetics, or other environmental factors.
PMID- 27870530
TI - Coiled-Coil-Mediated Activation of Oligoarginine Cell-Penetrating Peptides.
AB - A supramolecular approach was undertaken to create functionally activatable cell
penetrating peptides. Two tetra-arginines were assembled into an active cell
penetrating peptide by heterodimerizing leucine zippers. Three different leucine
zipper pairs were evaluated: activation was found to depend on the association
constant of the coiled-coil peptides. The weaker-binding peptides required an
additional disulfide linkage to induce cell-penetrating capability, whereas for
the most-stable coiled-coil no additional stabilization was needed. The latter
zipper pair was used to show that the induced formation of the coiled coils
allows control over the uptake of an oligoarginine CPP-conjugated cargo protein.
PMID- 27870531
TI - Evaluation of an innovative hands-on anatomy-centered ultrasound curriculum to
supplement graduate gross anatomy education.
AB - Ultrasound (US) can enhance anatomy education, yet is incorporated into few non
medical anatomy programs. This study is the first to evaluate the impact of US
training in gross anatomy for non-medical students in the United States. All 32
master's students enrolled in gross anatomy with the anatomy-centered ultrasound
(ACUS) curriculum were recruited. Mean Likert ratings on pre- and post-course
surveys (100% response rates) were compared to evaluate the effectiveness of the
ACUS curriculum in developing US confidence, and gauge its impact on views of US.
Post-course, students reported significantly higher (P < 0.001) mean confidence
ratings in five US skills (pre-course versus post-course mean): obtaining scans
(3.13 +/-1.04 versus 4.03 +/-0.78), optimizing images (2.78 +/-1.07 versus 3.75
+/-0.92), recognizing artifacts (2.94 +/-0.95 versus 3.97 +/-0.69),
distinguishing tissue types (2.88 +/-0.98 versus 4.09 +/-0.69), and identifying
structures (2.97 +/-0.86 versus 4.03 +/-0.59), demonstrating the success of the
ACUS curriculum in students with limited prior experience. Views on the value of
US to anatomy education and to students' future careers remained positive after
the course. End-of-semester quiz performance (91% response rate) provided data on
educational outcomes. The average score was 79%, with a 90% average on questions
about distinguishing tissues/artifacts, demonstrating positive learning outcomes
and retention. The anatomy-centered ultrasound curriculum significantly increased
confidence with and knowledge of US among non-medical anatomy students with
limited prior training. Non-medical students greatly value the contributions that
US makes to anatomy education and to their future careers. It is feasible to
enhance anatomy education outside of medical training by incorporating US. Anat
Sci Educ 10: 348-362. (c) 2016 American Association of Anatomists.
PMID- 27870532
TI - Distinguishing the Protonation State of the Histidine Ligand to the Oxidized Iron
Sulfur Cluster from the MitoNEET Family of Proteins.
AB - The iron-sulfur cluster located in the recently discovered human mitoNEET protein
(and related proteins) is structurally similar to the more well-known ferredoxin
and Rieske clusters. Although its biological function is uncertain, the iron
sulfur cluster in mitoNEET has been proposed to undergo proton-coupled electron
transfer involving the histidine ligand to the cluster. The cluster is also
released from the protein at low pH. This contribution reports density functional
calculations to model the structures, vibrations, and Heisenberg coupling
constants (J) for high-spin (HS), broken symmetry (BS) singlet, and extended
broken symmetry (EBS) singlet states of the oxidized iron-sulfur cluster from
mitoNEET. This work suggests that J values or 15 N isotopic frequency shifts may
provide methods for determining experimentally whether the histidine ligand to
the oxidized iron-sulfur cluster in human mitoNEET and mitoNEET-related proteins
is protonated or deprotonated.
PMID- 27870535
TI - Re-visiting Fc-receptor blocking maneuvers in man.
PMID- 27870533
TI - Set Them Free!
PMID- 27870536
TI - Teaching advanced flow cytometry in Africa: 10 years of lessons learned.
PMID- 27870537
TI - Structural elucidation of koumine metabolites by accurate mass measurements using
high-performance liquid chromatography/quadrupole-time-of-flight mass
spectrometry.
AB - RATIONALE: Koumine is one of the major components of total alkaloids from
Gelsemium. Koumine possesses a variety of interesting pharmacological effects,
including anti-tumor, anti-inflammatory, and anxiolytic activities. It might be a
promising lead drug because of its pharmacological activities and mild toxicity.
However, little information is available on the metabolism of koumine. METHODS: A
rapid and accurate high-performance liquid chromatography/quadrupole-time-of
flight (HPLC/QqTOF) mass spectrometry method was applied to characterize koumine
metabolites. Multiple scans of koumine metabolites, which were formed in rat
liver S9, were automatically performed simultaneously through auto MS/MS mode
acquisition in only a 30-min analysis. The structural elucidation of these
metabolites was performed by comparing their changes in accurate molecular masses
and product ions with those of the parent drug or metabolites. RESULTS: As a
result, a total of eleven metabolites of koumine were identified, of which nine
new metabolites were found. The present results showed that the N
demethylenation, hydrogenation and the oxidation were the three main metabolic
pathways of koumine. CONCLUSIONS: This was the first investigation of in vitro
metabolism of koumine in rat liver S9 using a sensitive and specific HPLC/QqTOF
MS method. The possible metabolic pathways of koumine were tentatively proposed
based on the structural elucidations of these metabolites. This work may be
useful in the in vivo metabolism of koumine in animals and humans. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27870538
TI - Foodborne infections and intoxications in Poland in 2014
AB - AIM: The purpose of the study is to assess the epidemiological situation of food
poisonings and infections in Poland in 2014. MATERIALS AND METHODS: The
evaluation was based on the analysis of information sent to Department of
Epidemiology NIPH-NIH through ROE (Pol. Rejestr Ognisk Epidemicznych)- an
electronic system created for uploading, transfer and analysis of data acquired
during the outbreak investigations). Additional sources for the analysis were
NIZP-PZH annual bulletins (Czarkowski MP et al. "Infectious diseases and
poisonings in Poland", 2006-2014. Warsaw, NIPH-NIH and GIS). RESULTS: In 2014 for
the first time in many years an increase in the number of infections and
intoxications with bacterial etiology was observed. The number of viral foodborne
infections was still increasing, which points towards this trend persisting.In
2014 a total number of 533 foodborne infections and intoxications outbreaks were
reported in which 10 754 persons were exposed and 5 494 (including 1 891 children
up to 14 years of age) got sick. Hospitalization was required for 1 687 patients.
The most frequent etiological agent in those outbreaks were those of viral origin
(among which rotavirus was the most frequent agent - 25%, 3 of outbreaks and
15.7% of cases). Salmonella spp. was responsible for 32.8 % of outbreaks and 22.6
% of cases, and in 20.1% of outbreaks no etiological agent was found. Just as in
2013 private household was the most frequent place of an outbreak (249
outbreaks), and after that- hospital (130 outbreaks). In 2014 the most frequent
vehicle were cakes and desserts (25.6% of all outbreaks with known etiological
agent). In 84.4% no vehicle was found. Moreover in 2014 a total number of 3
outbreaks in which more than 100 cases were reported. CONCLUSIONS: The increase
in the number of foodborne outbreaks of viral etiology shows the need of
adjustment some aspects of epidemiological investigations especially such
features as: laboratory conformation of etiological agent of ill persons as well
as persons involved in the food processing and meals preparing and the aspect of
food samples testing.
PMID- 27870539
TI - Hepatitis C in Poland in 2014
AB - INTRODUCTION: . Currently, hepatitis C (HCV infection) is one of the public
health priorities worldwide.It is associated with a considerable improvement of
methods undertaken to eliminate this disease. Due to thepredominance of chronic
infections of long asymptomatic course, the number of cases detected in
successiveyears does not reflect the actual dynamics of the epidemiological
situation of hepatitis C. To a large extent, itdepends on current testing
practices. The modifications in the provisions on the notification of diagnosed
casesmay also have an effect on the number of HCV infections registered in
successive years. OBJECTIVE: This article aims at evaluating the epidemiological
situation of HCV infections in Poland in 2014 in reference to the data from
previous years. MATERIAL AND METHODS: Analysis of epidemiological situation of
hepatitis C in Poland was carried out on a basis of aggregated data from routine
surveillance system published in annual bulletin "Infectious diseases and
poisonings in Poland". Data on hepatitis C mortality from the Demographic Surveys
and Labour Market Department of the Central Statistical Officewere also employed.
RESULTS: In 2014, a total of 3 076 HCV infections (incidence - 7.99 per 100,000)
meeting 2005 definition were registered. Compared to 2013 and median as of 2008
2012, there was an increase of the incidence by 14% (2 705; 6.03) and 34% (2 294;
5.85), respectively. In 2014, a total of 3 551 (9.23) HCV infections meeting 2009
definition were reported (the definition was modified in 2014). Compared to 2013
(2 268; 6.86), it was an increase by 35%. In 2014, 241 deaths due to hepatitis C
were registered which is the highest mortality noted so far. CONCLUSIONS: In
recent years, a burden resulting from undiagnosed or untreated HCV infections is
on the increase. It is demonstrated by increasing hepatitis C mortality and
increasing trend of incidence according to 2005 definition (presence of
symptoms). Increase of incidence according to 2009/2014 definition may be
associated with the fact that laboratories were obliged to report positive test
results for HCV since 2014.
PMID- 27870540
TI - Lyme disease in Poland in 2014
AB - INTRODUCTION: Lyme disease is the most common tick-borne disease, caused by
spirochetes of the Borrelia genus transmitted by ticks of the Ixodes genus.
Infection caused by Borrelia burgdorferi occur throughout Poland and therefore,
according also to ECDC description, the whole country should be considered as an
endemic area. OBJECTIVES: The aim of this study was to assess the epidemiological
situation of Lyme disease in Poland in 2014 in comparison to the previous years.
MATERIALS AND METHODS: The descriptive analysis was based on data retrieved from
routine mandatory surveillance system and published in the annual bulletin
"Infectious diseases and poisonings in Poland in 2014" (MP Czarkowski et al.,
Warsaw 2015, NIZP-PZH, GIS). RESULTS: The number of cases of Lyme disease in
recent years is steadily increasing. In total, 13.870 cases of Lyme disease
registered in Poland in 2014, i.e. 8% more cases than in the previous year. The
overall incidence in the country was 36.0 per 100,000 population. The highest
incidence rate was recorded in Podlaskie voivodeship - 106.8 per 100,000. In
2014, 2.236 people were hospitalized due to Lyme disease. SUMMARY AND
CONCLUSIONS: In 2014, the growth rate of incidence decreased significantly. 8%
more cases were registered compared with the previous year. There is still a need
for bringing awareness of the need for diagnostic laboratory testing according to
recommendations.
PMID- 27870542
TI - A new chapter in health reform.
PMID- 27870541
TI - Rabies in Poland in 2013 and 2014
AB - OBJECTIVE: Evaluation of the epidemiological situation of rabies in Poland in
2013 and 2014 in comparison to the previous years. MATERIAL AND METHODS: The
evaluation was based on analysis of the data from individual report sent by the
Epidemiological-Sanitary Stations. The data are from questionnaires of persons
who were administered vaccine against rabies following exposure in Poland and
beyond its territory, data from annual bulletin "Infectious diseases and
poisonings in Poland in 2012-2014"(Czarkowski MP et al., Warsaw, NIH and CSI) and
epizootic data provided by the General Veterinary Inspectorate. RESULTS: Number
of animal rabies cases reported in Poland in 2013 and in 2014 was 204 and 105,
respectively. This was 30% and 60% less compared to 2012. In 2013 more than 59%
of animal rabies cases occurred in Podkarpackie veivodeship and more than 28% in
Malopolskie. In 2014 more than 77% of rabid animals were found in the Malopolskie
veivodeship, but Podkarpackie accounted for less than 9%. Rabies in terrestrial
animals in 2013-2014 was also found in Lubelskie, Podlaskie and Swietokrzyskie
veivodeships. In other regions of the country there were reported only single
cases of rabies in bats. In 2013, a total of 7 317 people were vaccinated against
rabies in 2013 and 7 679 in 2014 including 295 (4%) and 145 (1.9%) persons
vaccinated due to exposure to the animals with confirmed rabies, respectively.
Among those vaccinated after contact with rabid animal 26% and 29% were
vaccinated after contact with a fox in 2013 and 2014, respectively and 64% and
57% as a result of exposure to the domestic animals with confirmed rabies. As
with the previous years, people were vaccinated mostly due to exposure to dogs
and cats, in which rabies could not be excluded - 5 725 people in 2013 (88%) and
6 057 (87%) in 2014. CONCLUSIONS: After the increase in the number of animal
rabies cases that occurred in 2012, in the next two years there has been a
gradual decline in its number. In 2014 the number of animal rabies cases was
lower than in the 2011 and in the 2010. Epizootic situation in the country has
inadequate impact on the number of people vaccinated against rabies who had
contact with an animal which potentially is a source of rabies virus. This number
for many years is consistently stable, amounting to 7 000 vaccinated individuals
per year.
PMID- 27870543
TI - Enhancing patient and family engagement through Meaningful Use Stage 3:
opportunities and barriers to implementation.
AB - OBJECTIVES: The proposed Patient and Family Engagement objectives for Meaningful
Use Stage 3 (MU3) seek to provide patients with increased access to, and control
over, the content and dissemination of their electronic health record (EHR)
information. STUDY DESIGN: Implementation study conducted from 2013-2014.
METHODS: In this study, 2 leading US health systems attempted to implement 4
draft MU3 objectives within their current EHR system. Senior staff provided
qualitative feedback on their implementation experience; researchers used content
analysis to identify major themes and implementation challenges. RESULTS: We
found that the draft objectives would support the MU3 Patient and Family
Engagement goals, but that all objectives would benefit from the following:
changes in policy language to promote flexibility in implementation; training and
workflow adaptions, as well as patient education, by healthcare organizations;
and new EHR functionalities. CONCLUSIONS: In the short term, a semi-automated
approach is likely necessary to support MU3 objective implementation. These
challenges are not unique to MU3 and underscore gaps in the current health
information infrastructure.
PMID- 27870544
TI - Referrals and the PCMH: how well do we know our neighborhood?
AB - OBJECTIVES: Characterize patterns of referral from a patient-centered medical
home (PCMH) and observe the association of provider experience, patient chronic
disease burden, and risk of utilization on referral placement. STUDY DESIGN:
Descriptive analysis of referral patterns in an academic, internal medicine PCMH.
METHODS: We examined referrals (eg, specialist visit, testing, ancillary
services) placed between July and December of 2014 in an academic PCMH caring for
a total of 12,000 patients. All referrals originated from the outpatient PCMH
clinic and were divided into resident or faculty clinic based on the assigned
primary care provider. Patients with a referral during the 6-month study period
served as the unit of analysis, and we developed a generalized linear model to
identify variables associated with referral placement. We estimated the
association of the patients' risk of healthcare utilization using a risk
stratification tool. RESULTS: The faculty placed 1709 referrals for 3055 unique
patients seen compared with 2388 referrals for 2434 unique patients seen by
residents. For those patients receiving referral, a mean of 1.72 referrals were
placed, with residents having significantly more referrals per patient (1.9 +/-
1.3 vs 1.5 +/- 0.9; P < .0001). For patients at highest risk of utilization,
residents were referred at a rate of 0.327 compared with 0.226 (P = .0035) in the
faculty clinic. CONCLUSIONS: In an academic setting, provider and patient factors
play a role in referral patterns. Residents refer highest-risk patients more
often than their faculty counterparts, while there is no difference for lower
risk patients.
PMID- 27870545
TI - Does Medicare Advantage enrollment affect home healthcare use?
AB - OBJECTIVES: To compare home health utilization and clinical outcomes between
Medicare beneficiaries in the fee-for-service (FFS) and Medicare Advantage (MA)
programs, and to compare regional variation. STUDY DESIGN: We used the 2010 and
2011 Outcome and Assessment Information Set to identify all home health episodes
begun in 2010 and to measure 7 clinical home health outcomes that are defined by
CMS for public reporting. METHODS: We modeled the probability of home health use,
the duration of home health episodes, and each clinical outcome measure as a
function of MA versus FFS enrollment and model-specific risk adjustors. Empirical
Bayes predictions from generalized linear mixed models were aggregated by
hospital referral region (HRR) to create standardized regional measures of home
health utilization and mean episode duration. RESULTS: We identified 30,837,130
FFS and 10,594,658 MA beneficiaries (excluding those dually eligible for
Medicaid). After adjusting for demographic and clinical patient characteristics,
the odds of receiving home health among FFS enrollees were 1.83 times those of MA
(95% CI, 1.82-1.84). Adjusted home health duration was 34% longer for FFS (95%
CI, 32%-34%). Outcomes differences were small in magnitude and inconsistent
across measures. Regional variations in use and duration were substantial for
both FFS and MA enrollees. Within HRRs, correlations between FFS and MA
utilization rates and between FFS and MA episode durations were 0.51 and 0.94,
respectively. CONCLUSIONS: MA beneficiaries use less home health than their FFS
counterparts, but regional factors affect utilization, independent of insurance
status.
PMID- 27870546
TI - Integrated care organizations: Medicare financing for care at home.
AB - OBJECTIVES: As the boomer population ages, there is a growing need for integrated
care organizations (ICOs) that can integrate both medical care and long-term
services and supports in the home. This paper presents a policy proposal to
support the creation of ICOs, redesign care, and provide financing for home- and
community-based services (HCBS), with the goal of enhancing financial protection
for beneficiaries, coordinating care, and preventing costly hospital and nursing
home use. METHODS: This study used the 2012 Medicare Current Beneficiary Survey
(MCBS) Cost and Use File, inflated to 2016 figures, to describe the
characteristics of Medicare beneficiaries and their healthcare utilization and
spending. The costs of covering up to 20 hours of personal care services a week
were estimated using MCBS population counts, participation assumptions based on
the literature, and financing design parameters. RESULTS: A targeted HCBS benefit
could be added to Medicare and financed with income-related cost sharing ranging
from 5% to 50%, a premium paid by Medicare beneficiaries of approximately $42 a
month, and payroll taxes estimated at around 0.4% of earnings on employers and
employees. CONCLUSIONS: Adoption of an HCBS benefit in Medicare would improve
financial protection for beneficiaries with physical and/or cognitive impairment
and provide the financing for health organizations to better integrate medical
and social services. ICOs and delivery models of care emphasizing care at home
would improve accessibility of care and avoid costly institutionalization;
additionally, it would also reduce beneficiary reliance on Medicaid.
PMID- 27870547
TI - Prescribers' perceptions of medication discontinuation: survey instrument
development and validation.
AB - OBJECTIVES: Primary care providers (PCPs) and clinical pharmacists have concerns
about the adverse consequences of using medications inappropriately and generally
support the notion of reducing unnecessary drugs. Despite this attitude, many
factors impede clinicians' ability to discontinue medication in clinical
settings. We sought to develop a survey instrument that assesses PCPs' and
pharmacists' experiences, attitudes, and beliefs toward medication
discontinuation. STUDY DESIGN: Survey development and psychometric assessment.
METHODS: Based on a conceptual framework, we developed a questionnaire and
surveyed a national sample of Department of Veterans Affairs PCPs with
prescribing privileges, including physicians, nurse practitioners, physician
assistants, and clinical pharmacy specialists. We randomly divided respondents
into derivation and validation samples and used iterations of multi-trait
analysis to assess the psychometric properties of the proposed measures.
Multivariable regression models identified factors associated with the outcome of
self-rated comfort with medication discontinuation. RESULTS: Using established
criteria for scale development, we identified 5 scales: Medication
Characteristics, Current Patient Clinical Factors, Predictions of Future Health
States, Patients' Resources to Manage Their Own Health, and Education and
Experience. Three of these dimensions predicted providers' self-rated comfort
with making decisions to discontinue medication (Current Patient Clinical
Factors, Predictions of Future Health States, and Education and Experience).
CONCLUSIONS: We developed a psychometrically sound instrument to measure
prescribers' attitudes toward, and experiences with, medication discontinuation.
This survey will enable identification of perceived barriers to, and facilitators
of, proactive discontinuation-an important step toward developing interventions
that improve the quality and safety of care in medication use.
PMID- 27870548
TI - Onboard Science Insights and Vehicle Dynamics from Scale-Model Trials of the
Titan Mare Explorer (TiME) Capsule at Laguna Negra, Chile.
AB - A scale model of the proposed Titan Mare Explorer capsule was deployed at the
Planetary Lake Lander field site at Laguna Negra, Chile. The tests served to
calibrate models of wind-driven drift of the capsule and to understand its
attitude motion in the wave field, as well as to identify dynamic and acoustic
signatures of shoreline approach. This information enables formulation of onboard
trigger criteria for near-shore science data acquisition. Key Words: Titan
Vehicle dynamics-Science autonomy-Lake. Astrobiology 18, 607-618.
PMID- 27870549
TI - Evaluation of Bacteriophage Application to Cattle in Lairage at Beef Processing
Plants to Reduce Escherichia coli O157:H7 Prevalence on Hides and Carcasses.
AB - Escherichia coli O157:H7 is a major food safety concern for the beef industry.
Several studies have provided evidence that cattle hides are the main source of
beef carcass contamination during processing and that reductions in the E. coli
O157:H7 load on the hides of cattle entering processing facilities will lead to
reductions in carcass contamination. Bacteriophages have been proposed as a novel
preharvest antimicrobial intervention to reduce the levels of E. coli O157:H7 on
cattle hides. The objective of this study was to evaluate a commercialized phage
application administered in the lairage area of commercial beef processing plants
for the ability to reduce E. coli O157:H7 contamination of cattle hides and
carcasses. Cattle lots either received phage spray treatment (n = 289) or did not
(n = 301), as they entered the lairage environments in two separate experiments
at two different commercial beef processing plants. Hide and carcass samples were
collected and analyzed for E. coli O157:H7 prevalence and concentration. Cattle
hides receiving phage treatment had an E. coli O157:H7 prevalence of 51.8%,
whereas untreated hides had a prevalence of 57.6%. For carcass samples, the E.
coli O157 prevalence in treated and untreated samples was 17.1% and 17.6%,
respectively. The results obtained from these experiments demonstrated that the
treatment of cattle hides with bacteriophages before processing did not produce a
significant reduction of E. coli O157:H7 on cattle hides or beef carcasses during
processing.
PMID- 27870551
TI - Poor Feeding and Severe Sedation in a Newborn Nursed by a Mother on a Low Dose of
Amitriptyline.
AB - Sleep problems are frequently seen during the postpartum period. Some mothers
need to use sedative agents for insomnia. Amitriptyline is a tricyclic
antidepressant that has sedative effects. Despite no adverse reports, data on
safety for the maternal use of this medication on breastfed infants are limited.
This case report presents severe sedation and poor feeding in the breastfed baby
of a woman using amitriptyline at 10 mg/day.
PMID- 27870550
TI - Clinical and Immunological Characteristics of Autoimmune Addison Disease: A
Nationwide Swedish Multicenter Study.
AB - Context: Studies of the clinical and immunological features of autoimmune Addison
disease (AAD) are needed to understand the disease burden and increased
mortality. Objective: To provide upgraded data on autoimmune comorbidities,
replacement therapy, autoantibody profiles, and cardiovascular risk factors.
Design, Setting, and Participants: A cross-sectional, population-based study that
included 660 AAD patients from the Swedish Addison Registry (2008-2014). When
analyzing the cardiovascular risk factors, 3594 individuals from the population
based survey in Northern Sweden, MONICA (monitoring of trends and determinants of
cardiovascular disease), served as controls. Main Outcome Measures: The endpoints
were the prevalence of autoimmune comorbidities and cardiovascular risk factors.
Autoantibodies against 13 autoantigens were determined. Results: The proportion
of 21-hydroxylase autoantibody-positive patients was 83%, and 62% of patients had
>=1 associated autoimmune diseases, more frequently coexisting in females (P <
0.0001). AAD patients had a lower body mass index (P < 0.0001) and prevalence of
hypertension (P = 0.027) compared with controls. Conventional hydrocortisone
tablets were used by 89% of the patients, with a mean dose of 28.1 +/- 8.5 mg/d.
The mean hydrocortisone equivalent dose normalized to the body surface was 14.8
+/- 4.4 mg/m2/d. A greater hydrocortisone equivalent dose was associated with a
greater incidence of hypertension (P = 0.046). Conclusions: Careful monitoring of
AAD patients is warranted to detect associated autoimmune diseases. Contemporary
Swedish AAD patients did not have an increased prevalence of overweight,
hypertension, type 2 diabetes mellitus, or hyperlipidemia. However, high
glucocorticoid replacement doses could be a risk factor for hypertension.
PMID- 27870552
TI - Glycemic Predictors of Insulin Independence After Total Pancreatectomy With Islet
Autotransplantation.
AB - Context: Total pancreatectomy with islet auto transplantation (TPIAT) is a
treatment for medically refractory chronic pancreatitis that can prevent
postsurgical diabetes in some patients. Predictors of insulin independence are
needed for appropriate patient selection and counseling. Objective: To explore
glycemic predictors of insulin independence after TPIAT. Design: A prospective
cohort of patients. Methods: We investigated 34 patients undergoing TPIAT from
2011-2016 at Johns Hopkins Hospital, all had a 75-g oral glucose tolerance test
(OGTT) administered prior to their TPIAT. The primary outcome was insulin
independence 1 year after TPIAT. Results: Ten of 34 (29%) patients were insulin
independent 1 year after TPIAT. All patients with impaired fasting glucose and/or
impaired glucose tolerance preoperatively were insulin dependent at 1 year. In
age-adjusted regression analyses, fasting glucose <= 90 mg/dL [odds ratio (OR) =
6.56; 1.11 to 38.91; P = 0.04], 1-hour OGTT glucose <= 143 mg/dL (OR = 6.65; 1.11
to 39.91; P = 0.04), and 2-hour OGTT glucose <= 106 mg/dL (OR = 11.74; 1.46 to
94.14; P = 0.02) were significant predictors of insulin independence. In receiver
operating characteristic analyses, homeostatic model assessment of beta-cell
function (HOMA-beta) was the most robust predictor of insulin independence [area
under the curve (AUC) = 0.88; 0.73 to 1.00]. Conclusions: Normal preoperative
glucose status and lower fasting and postchallenge OGTT glucose values are
significant predictors of insulin independence after TPIAT. Higher islet function
(HOMA-beta) was the strongest predictor. OGTT testing may be a useful tool to aid
in patient counseling prior to TPIAT and should be further investigated.
PMID- 27870553
TI - Comparison of Two Intraosseous Devices: The NIO Versus the EZ-IO by Novice Users
A Randomized Cross Over Trial.
AB - BACKGROUND: During resuscitation in the field, intraosseous (IO) access may be
achieved using a variety of available devices, often attempted by inexperienced
users. AIM: We sought to examine the success rate and ease-of-use ratings of an
IO device, the NIO(r) (New Intraosseous Persys Medical, Houston, TX, USA) in
comparison to the Arrow(r) EZ-IO(r) (Teleflex Medical Research Triangle Park, NC,
USA) by novice users. METHODS: We performed a randomized crossover trial. The
study model was a porcine hind leg which was cut distally in order to expose the
marrow. The Study population was composed of pre-graduate medical students
without prior experience in IO use, all designated future field physicians. The
students underwent instruction and practiced the use of both devices. After
practice completion, each student attempted a single IO insertion with both
devices sequentially in randomized fashion. Success was defined as a flow of
fluid through the bone marrow after a single IO attempt. Investigators which
determined the success rate were blinded to the used device. RESULTS: 50 users
(33 males, 17 females) participated in the trial, mean age of 21.7 years (+/-1).
NIO users were successful in 92% (46/50) attempts while EZ-IO user success rate
was 88% (44/50). NIO success rates were comparable to those of EZ-IO (p = NS).
Results were similar when examining only the initial device used. Median score of
ease of use was 4 (5 point Likert scale) in both devices (p = NS). 54% (27/50) of
the participants preferred using the EZ-IO over the NIO (p = NS). CONCLUSION:
Novice users were equally successful in establishing IO access with the NIO(r) in
comparison to the EZ-IO(r) in a porcine model.
PMID- 27870554
TI - Occurrence of Extended-Spectrum beta-Lactamases, Plasmid-Mediated Quinolone
Resistance, and Disinfectant Resistance Genes in Escherichia coli Isolated from
Ready-To-Eat Meat Products.
AB - There are growing concerns about the coselection of resistance against
antibiotics and disinfectants in bacterial pathogens. The aim of this study was
to characterize the antimicrobial susceptibility profiles, the prevalence of
extended-spectrum beta-lactamases (ESBLs), plasmid-mediated quinolone resistance
genes (PMQRs), and quaternary ammonium compound resistance genes (QACs) in
Escherichia coli isolated from ready-to-eat (RTE) meat products obtained in
Guangzhou, China, and to determine whether these genes were colocalized in the
isolates. A total of 64 E. coli isolates were obtained from 720 RTE meat samples.
Multidrug resistance was observed in 70.3% of the isolates. A 100% of the
isolates were resistant to benzalkonium chloride. Four types of beta-lactamase
genes were identified in the 16 ESBL-producing E. coli isolates: blaSHV (9.4%),
blaTEM (7.8%), blaCTX-M-15 (1.6%), and blaCTX-M-9 (1.6%). PMQRs were present in
nine isolates (14.1%), with aac(6')-Ib-cr and qnrD detected in eight (12.5%) and
one isolate (1.6%), respectively. The QACs ydgE/ydgF were most commonly present
(60.9%), while qacF, mdfA, sugE(p), emrE, qacG, sugE(c), and qacE were less
prevalent (1.6%-18.8%). Coexistence of ESBLs and/or PMQRs with QACs was found in
21 isolates (32.8%). The aac(6')-Ib-cr and blaCTX-M-15 genes were found to be
cotransferred with qacF in one isolate. The data obtained in this study indicate
that ESBLs and/or PMQRs with QACs can not only be colocalized but can also be
cotransferred in E. coli isolates from RTE meat products. The E. coli isolates
with multiple antimicrobial resistance genes may transmit to humans through food
chain and thus require further investigation and increased awareness.
PMID- 27870555
TI - Initiative for standardization of reporting genetics of male infertility.
AB - The number of publications on research of male infertility is increasing.
Technologies used in research of male infertility generate complex results and
various types of data that need to be appropriately managed, arranged, and made
available to other researchers for further use. In our previous study, we
collected over 800 candidate loci for male fertility in seven mammalian species.
However, the continuation of the work towards a comprehensive database of
candidate genes associated with different types of idiopathic human male
infertility is challenging due to fragmented information, obtained from a variety
of technologies and various omics approaches. Results are published in different
forms and usually need to be excavated from the text, which hinders the gathering
of information. Standardized reporting of genetic anomalies as well as causative
and risk factors of male infertility therefore presents an important issue. The
aim of the study was to collect examples of diverse genomic loci published in
association with human male infertility and to propose a standardized format for
reporting genetic causes of male infertility. From the currently available data
we have selected 75 studies reporting 186 representative genomic loci which have
been proposed as genetic risk factors for male infertility. Based on collected
and formatted data, we suggested a first step towards unification of reporting
the genetics of male infertility in original and review studies. The proposed
initiative consists of five relevant data types: 1) genetic locus, 2)
race/ethnicity, number of participants (infertile/controls), 3) methodology, 4)
phenotype (clinical data, disease ontology, and disease comorbidity), and 5)
reference. The proposed form for standardized reporting presents a baseline for
further optimization with additional genetic and clinical information. This data
standardization initiative will enable faster multi-omics data integration,
database development and sharing, establishing more targeted hypotheses, and
facilitating biomarker discovery.
PMID- 27870556
TI - Twenty Species of Hypobarophilic Bacteria Recovered from Diverse Soils Exhibit
Growth under Simulated Martian Conditions at 0.7 kPa.
AB - Bacterial growth at low pressure is a new research area with implications for
predicting microbial activity in clouds and the bulk atmosphere on Earth and for
modeling the forward contamination of planetary surfaces like Mars. Here, we
describe experiments on the recovery and identification of 20 species of
bacterial hypobarophiles (def., growth under hypobaric conditions of
approximately 1-2 kPa) in 10 genera capable of growth at 0.7 kPa. Hypobarophilic
bacteria, but not archaea or fungi, were recovered from diverse soils, and high
numbers of hypobarophiles were recovered from Arctic and Siberian permafrost
soils. Isolates were identified through 16S rRNA sequencing to belong to the
genera Bacillus, Carnobacterium, Clostridium, Cryobacterium, Exiguobacterium,
Paenibacillus, Rhodococcus, Streptomyces, and Trichococcus. The highest
population of culturable hypobarophilic bacteria (5.1 * 104 cfu/g) was recovered
from Colour Lake soils from Axel Heiberg Island in the Canadian Arctic. In
addition, we extend the number of hypobarophilic species in the genus Serratia to
six type-strains that include S. ficaria, S. fonticola, S. grimesii, S.
liquefaciens, S. plymuthica, and S. quinivorans. Microbial growth at 0.7 kPa
suggests that pressure alone will not be growth-limiting on the martian surface,
or in Earth's atmosphere up to an altitude of 34 km. Key Words: Barophile
Extremophilic microorganisms-Habitability-Mars-Special Region. Astrobiology 16,
964-976.
PMID- 27870557
TI - Visual cue-specific craving is diminished in stressed smokers.
AB - BACKGROUND: Craving among smokers is increased by stress and exposure to smoking
related visual cues. However, few experimental studies have tested both elicitors
concurrently and considered how exposures may interact to influence craving.
OBJECTIVE: The current study examined craving in response to stress and visual
cue exposure, separately and in succession, in order to better understand the
relationship between craving elicitation and the elicitor. METHOD: Thirty-nine
smokers (21 males) who forwent smoking for 30 minutes were randomized to complete
a stress task and a visual cue task in counterbalanced orders (creating the
experimental groups); for the cue task, counterbalanced blocks of neutral,
motivational control, and smoking images were presented. Self-reported craving
was assessed after each block of visual stimuli and stress task, and after a
recovery period following each task. RESULTS: As expected, the stress and smoking
images generated greater craving than neutral or motivational control images (p <
.001). Interactions indicated craving in those who completed the stress task
first differed from those who completed the visual cues task first (p < .05),
such that stress task craving was greater than all image type craving (all p's <
.05) only if the visual cue task was completed first. Conversely, craving was
stable across image types when the stress task was completed first. CONCLUSIONS:
Findings indicate when smokers are stressed, visual cues have little additive
effect on craving, and different types of visual cues elicit comparable craving.
These findings may imply that once stressed, smokers will crave cigarettes
comparably notwithstanding whether they are exposed to smoking image cues.
PMID- 27870558
TI - Antecedent and Concurrent Factors That Influence the Maternal Decision to
Breastfeed.
PMID- 27870559
TI - Combinatorial Methods for Epistasis and Dominance.
AB - We develop computational tools for the analysis of nonlinear genotype-phenotype
relationships with epistasis among multiple loci or dominance interactions among
multiple alleles within the same locus. Theory distinguishes between separable
traits, with removable epistasis, and traits with essential epistasis. Separable
traits can be transformed to a natural scale where additive methods apply. The
methods we present solve for the natural scale, exactly when possible and
approximately when not. Through graph methods, our methods allow for enumeration,
counting, or sampling of distinct trait architectures satisfying constraints from
the separability theory. A tool is provided for diagnosing which separability
constraints are violated by a given nonseparable architecture. For genetic traits
controlled by limited numbers of loci and alleles, our algorithm enumerates all
possible trait structures and finds exact or error-minimizing linearizing
transformations by formulating a constrained optimization program. We find that
the fraction of possible distinct genetic traits satisfying simple criteria that
can be fully or approximately linearized is high for small systems and falls as
the number of alleles or loci increases.
PMID- 27870560
TI - Effect of Prenatal versus Postnatal Vitamin D Deficiency on Pulmonary Structure
and Function in Mice.
AB - Epidemiologic studies have linked gestational vitamin D deficiency to respiratory
diseases, although mechanisms have not been defined. We hypothesized that
antenatal vitamin D deficiency would impair airway development and
alveolarization in a mouse model. We studied the effect of antenatal vitamin D
deficiency by inducing it in pregnant mice and then compared lung development and
function in their offspring to littermate controls. Postnatal vitamin D
deficiency and sufficiency models from each group were also studied. We developed
a novel tracheal ultrasound imaging technique to measure tracheal diameter in
vivo. Histological analysis estimated tracheal cartilage total area and
thickness. We found that vitamin D-deficient pups had reduced tracheal diameter
with decreased tracheal cartilage minimal width. Vitamin D deficiency increased
airway resistance and reduced lung compliance, and led to alveolar
simplification. Postnatal vitamin D supplementation improved lung function and
radial alveolar count, a parameter of alveolar development, but did not correct
tracheal narrowing. We conclude that antenatal vitamin D deficiency impairs
airway and alveolar development and limits lung function. Reduced tracheal
diameter, cartilage irregularity, and alveolar simplification in vitamin D
deficient mice may contribute to increased airways resistance and diminished lung
compliance. Vitamin D supplementation after birth improved lung function and,
potentially, alveolar simplification, but did not improve defective tracheal
structure. This mouse model offers insight into the mechanisms of vitamin D
deficiency-associated lung disease and provides an in vivo model for
investigating preclinical preventive and therapeutic strategies.
PMID- 27870561
TI - High-Dose Chemotherapy and Autologous Peripheral-Blood Stem-Cell Transplantation
for Relapsed Metastatic Germ Cell Tumors: The Indiana University Experience.
AB - Purpose Patients with relapsed metastatic germ cell tumor (GCT) can be cured with
second-line and even third-line regimens. We report survival outcomes of patients
treated with high-dose chemotherapy (HDCT) and peripheral-blood stem-cell
transplantation (PBSCT) at Indiana University between 2004 and 2014. Patients and
Methods We conducted a retrospective analysis of 364 consecutive patients with
GCT who progressed after cisplatin-based combination chemotherapy and were
subsequently treated with HDCT and PBSCT. Three hundred forty-one patients
received two consecutive courses of HDCT consisting of 700 mg/m2 carboplatin and
750 mg/m2 etoposide, each for 3 consecutive days, and each followed by PBSCT.
Twenty-three patients received only a single course of HDCT because of
progressive disease or toxicity. Cox proportional hazards models were used to
test predictors of disease progression. Results The median age was 32 years
(range, 17 to 70 years). With a median follow-up of 3.3 years, the 2-year
progression-free survival (PFS) was 60% (95% CI, 55% to 65%) and the 2-year
overall survival was 66% (95% CI, 60% to 70%). Three hundred three patients
received HDCT as second-line therapy with a 2-year PFS of 63% (95% CI, 57% to
68%), and 61 patients received HDCT as third-line or later therapy with a 2-year
PFS of 49% (95% CI, 36% to 61%). In a multivariable analysis, factors associated
with disease progression included use of HDCT as third-line or later therapy,
platinum-refractory disease, mediastinal primary tumor site, nonseminoma
histology, intermediate- or poor-risk disease at the time of GCT diagnosis, and
human chorionic gonadotropin >= 1,000 mIU/mL at initiation of HDCT. There were
nine treatment-related deaths. Secondary leukemia developed in five patients.
Conclusion This large single-institution study demonstrates that patients with
relapsed metastatic GCT are curable by HDCT plus PBSCT even when used in third
line or later therapy.
PMID- 27870564
TI - Risk Associated With High-Dose Bicalutamide.
PMID- 27870562
TI - Monitoring of Serum DNA Methylation as an Early Independent Marker of Response
and Survival in Metastatic Breast Cancer: TBCRC 005 Prospective Biomarker Study.
AB - Purpose Epigenetic alterations measured in blood may help guide breast cancer
treatment. The multisite prospective study TBCRC 005 was conducted to examine the
ability of a novel panel of cell-free DNA methylation markers to predict survival
outcomes in metastatic breast cancer (MBC) using a new quantitative multiplex
assay (cMethDNA). Patients and Methods Ten genes were tested in duplicate serum
samples from 141 women at baseline, at week 4, and at first restaging. A
cumulative methylation index (CMI) was generated on the basis of six of the 10
genes tested. Methylation cut points were selected to maximize the log-rank
statistic, and cross-validation was used to obtain unbiased point estimates.
Logistic regression or Cox proportional hazard models were used to test
associations between the CMI and progression-free survival (PFS), overall
survival (OS), and disease status at first restaging. The added value of the CMI
in predicting survival outcomes was evaluated and compared with circulating tumor
cells (CellSearch). Results Median PFS and OS were significantly shorter in women
with a high CMI (PFS, 2.1 months; OS, 12.3 months) versus a low CMI (PFS, 5.8
months; OS, 21.7 months). In multivariable models, among women with MBC, a high
versus low CMI at week 4 was independently associated with worse PFS (hazard
ratio, 1.79; 95% CI, 1.23 to 2.60; P = .002) and OS (hazard ratio, 1.75; 95% CI,
1.21 to 2.54; P = .003). An increase in the CMI from baseline to week 4 was
associated with worse PFS ( P < .001) and progressive disease at first restaging
( P < .001). Week 4 CMI was a strong predictor of PFS, even in the presence of
circulating tumor cells ( P = .004). Conclusion Methylation of this gene panel is
a strong predictor of survival outcomes in MBC and may have clinical usefulness
in risk stratification and disease monitoring.
PMID- 27870563
TI - Economic Burden of Chronic Lymphocytic Leukemia in the Era of Oral Targeted
Therapies in the United States.
AB - Purpose Oral targeted therapies represent a significant advance for the treatment
of patients with chronic lymphocytic leukemia (CLL); however, their high cost has
raised concerns about affordability and the economic impact on society. Our
objective was to project the future prevalence and cost burden of CLL in the era
of oral targeted therapies in the United States. Methods We developed a
simulation model that evaluated the evolving management of CLL from 2011 to 2025:
chemoimmunotherapy (CIT) as the standard of care before 2014, oral targeted
therapies for patients with del(17p) and relapsed CLL from 2014, and for first
line treatment from 2016 onward. A comparator scenario also was simulated where
CIT remained the standard of care throughout. Disease progression and survival
parameters for each therapy were based on published clinical trials. Results The
number of people living with CLL in the United States is projected to increase
from 128,000 in 2011 to 199,000 by 2025 (55% increase) due to improved survival;
meanwhile, the annual cost of CLL management will increase from $0.74 billion to
$5.13 billion (590% increase). The per-patient lifetime cost of CLL treatment
will increase from $147,000 to $604,000 (310% increase) as oral targeted
therapies become the first-line treatment. For patients enrolled in Medicare, the
corresponding total out-of-pocket cost will increase from $9,200 to $57,000 (520%
increase). Compared with the CIT scenario, oral targeted therapies resulted in an
incremental cost-effectiveness ratio of $189,000 per quality-adjusted life-year.
Conclusion The increased benefit and cost of oral targeted therapies is projected
to enhance CLL survivorship but can impose a substantial financial burden on both
patients and payers. More sustainable pricing strategies for targeted therapies
are needed to avoid financial toxicity to patients.
PMID- 27870566
TI - Androgen Deprivation Therapy and the Risk of Dementia in Patients With Prostate
Cancer.
AB - Purpose Recent observational studies have associated the use of androgen
deprivation therapy (ADT) with an increased risk of dementia and Alzheimer's
disease, but these studies had limitations. The objective of this study was to
determine whether the use of ADT is associated with an increased risk of
dementia, including Alzheimer's disease, in patients with prostate cancer.
Patients and Methods Using the United Kingdom's Clinical Practice Research
Datalink, we assembled a cohort of 30,903 men newly diagnosed with nonmetastatic
prostate cancer between April 1, 1988 and April 30, 2015, and observed them until
April 30, 2016. Time-dependent Cox proportional hazards models were used to
estimate adjusted hazard ratios with 95% CIs of dementia associated with the use
of ADT compared with nonuse. ADT exposure was lagged by 1 year to account for
delays associated with the diagnosis of dementia and to minimize reverse
causality. Secondary analyses assessed whether the risk varied with cumulative
duration of use and by ADT type. Results During a mean (standard deviation)
follow-up of 4.3 (3.6) years, 799 patients were newly diagnosed with dementia
(incidence, 6.0; 95% CI, 5.6 to 6.4) per 1,000 person-years. Compared with
nonuse, ADT use was not associated with an increased risk of dementia (incidence,
7.4 v 4.4 per 1,000 person-years, respectively; adjusted hazard ratio, 1.02; 95%
CI, 0.87 to 1.19). In secondary analyses, cumulative duration of use ( P for
heterogeneity = .78) and no single type of ADT were associated with an increased
risk of dementia. Conclusion In this population-based study, the use of ADT was
not associated with an increased risk of dementia. Additional studies in
different settings are needed to confirm these findings.
PMID- 27870565
TI - Concurrent Chemotherapy and Radiation Therapy for Inoperable Locally Advanced Non
Small-Cell Lung Cancer.
AB - The Oncology Grand Rounds series is designed to place original reports published
in the Journal into clinical context. A case presentation is followed by a
description of diagnostic and management challenges, a review of the relevant
literature, and a summary of the authors' suggested management approaches. The
goal of this series is to help readers better understand how to apply the results
of key studies, including those published in Journal of Clinical Oncology, to
patients seen in their own clinical practice. A 72-year-old man with a 40-pack
year tobacco history developed a cough and decreased exercise tolerance. A chest
x-ray demonstrated a right-upper-lobe opacity. Chest computed tomography (CT)
scan revealed a 2.5-cm mass in the right upper lobe with multiple mediastinal
lymph node disease ( Fig 1 ). A positron emission tomography (PET) scan confirmed
the lung lesion and the mediastinal lymphadenopathy without distant metastases.
Brain magnetic resonance imaging results were negative. The biopsy specimen
revealed adenocarcinoma with no actionable mutations present. Cervical
mediastinoscopy was positive for carcinoma in level 2, 3, 4R, and 7 lymph nodes;
level 4L was negative. The patient's stage was T1bN2M0, stage IIIA. His medical
history was significant for hyperlipidemia and hypothyroidism. He had smoked one
pack a day for 40 years and had quit 15 years earlier. Physical examination was
unrevealing, and the patient had an Eastern Cooperative Oncology Group
performance status of 0. Because of the extent of lung cancer in the mediastinum,
the patient's cancer was deemed inoperable, and he was referred for consideration
of concurrent chemotherapy and radiation.
PMID- 27870567
TI - Mindfulness-Based Cognitive Therapy in Advanced Prostate Cancer: A Randomized
Controlled Trial.
AB - Purpose Advanced prostate cancer (PC) is associated with substantial psychosocial
morbidity. We sought to determine whether mindfulness-based cognitive therapy
(MBCT) reduces distress in men with advanced PC. Methods Men with advanced PC
(proven metastatic and/or castration-resistant biochemical progression) were
randomly assigned to an 8-week, group-based MBCT intervention delivered by
telephone (n = 94) or to minimally enhanced usual care (n = 95). Primary
intervention outcomes were psychological distress, cancer-specific distress, and
prostate-specific antigen anxiety. Mindfulness skills were assessed as potential
mediators of effect. Participants were assessed at baseline and were followed up
at 3, 6, and 9 months. Main statistical analyses were conducted on the basis of
intention to treat. Results Fourteen MBCT groups were conducted in the
intervention arm. Facilitator adherence ratings were high (> 93%). Using random
effects mixed-regression models, intention-to-treat analyses indicated no
significant changes in intervention outcomes or in engagement with mindfulness
for men in MBCT compared with those receiving minimally enhanced usual care. Per
protocol analyses also found no differences between arms in outcomes or
engagement, with the exception of the mindfulness skill of observing, which
increased over time for men in MBCT compared with usual care ( P = .032).
Conclusion MBCT in this format was not more effective than minimally enhanced
usual care in reducing distress in men with advanced PC. Future intervention
research for these men should consider approaches that map more closely to
masculinity.
PMID- 27870569
TI - National Cancer Data Base: An Important Research Tool, but Not Population-Based.
PMID- 27870568
TI - Morbidity and Mortality Differences Between Hematopoietic Cell Transplantation
Survivors and Other Cancer Survivors.
AB - Purpose To compare the risks of serious health outcomes among hematopoietic cell
transplantation (HCT) survivors versus a matched population of patients with
cancer who did not undergo HCT, where the primary difference may be exposure to
HCT. Methods Two-year HCT survivors treated at a comprehensive cancer center from
1992 through 2009 who were Washington State residents (n = 1,792; 52% allogeneic
and 90% hematologic malignancies) were frequency matched by demographic
characteristics and underlying cancer diagnosis (as applicable) to non-HCT 2-year
cancer survivors, using the state cancer registry (n = 5,455) and the general
population (n = 16,340) using driver's license files. Late outcomes for all three
cohorts were ascertained from the state hospital discharge and death registries;
subsequent cancers were ascertained from the state cancer registry. Results After
median follow-up of 7.1 years, HCT survivors experienced significantly greater
rates of hospitalization compared with matched non-HCT cancer survivors (280 v
173 episodes per 1,000 person-years, P < .001) and greater all-cause mortality
(hazard ratio [HR], 1.1; 95% CI, 1.01 to 1.3). HCT survivors had more
hospitalizations or death with infections (10-year cumulative incidence, 31% v
22%; HR, 1.4; 95% CI, 1.3 to 1.6) and respiratory complications (cumulative
incidence, 27% v 20%; HR, 1.4; 95% CI, 1.2 to 1.5). Risks of digestive, skin, and
musculoskeletal complications also were greater among HCT versus non-HCT cancer
survivors. The two groups had similar risks of circulatory complications and
second cancers. Both HCT and non-HCT cancer survivors had significantly greater
10-year cumulative incidences of all major organ-system outcomes versus the
general population. Conclusion History of HCT was associated with late morbidity
and mortality among cancer survivors. In particular, clinicians who care for HCT
survivors should be aware of their high rates of late respiratory and infectious
complications.
PMID- 27870570
TI - Activating NOTCH1 Mutations Define a Distinct Subgroup of Patients With Adenoid
Cystic Carcinoma Who Have Poor Prognosis, Propensity to Bone and Liver
Metastasis, and Potential Responsiveness to Notch1 Inhibitors.
AB - Purpose Adenoid cystic carcinomas (ACCs) represent a heterogeneous group of
chemotherapy refractory tumors, with a subset demonstrating an aggressive
phenotype. We investigated the molecular underpinnings of this phenotype and
assessed the Notch1 pathway as a potential therapeutic target. Methods We
genotyped 102 ACCs that had available pathologic and clinical data. Notch1
activation was assessed by immunohistochemistry for Notch1 intracellular domain.
Luciferase reporter assays were used to confirm Notch1 target gene expression in
vitro. The Notch1 inhibitor brontictuzumab was tested in patient-derived
xenografts from patients with ACC and in a patient with ACC who was enrolled in a
phase I study. Results NOTCH1 mutations occurred predominantly (14 of 15
patients) in the negative regulatory region and Pro-Glu-Ser-Thr-rich domains, the
same two hotspots seen in T-cell acute lymphoblastic leukemias, and led to
pathway activation in vitro. NOTCH1-mutant tumors demonstrated significantly
higher levels of Notch1 pathway activation than wild-type tumors on the basis of
Notch1 intracellular domain staining ( P = .004). NOTCH1 mutations define a
distinct aggressive ACC subgroup with a significantly higher likelihood of solid
subtype ( P < .001), advanced-stage disease at diagnosis ( P = .02), higher rate
of liver and bone metastasis ( P <= .02), shorter relapse-free survival (median,
13 v 34 months; P = .01), and shorter overall survival (median 30 v 122 months; P
= .001) when compared with NOTCH1 wild-type tumors. Significant tumor growth
inhibition with brontictuzumab was observed exclusively in the ACC patient
derived xenograft model that harbored a NOTCH1 activating mutation. Furthermore,
an index patient with NOTCH1-mutant ACC had a partial response to brontictuzumab.
Conclusion NOTCH1 mutations define a distinct disease phenotype characterized by
solid histology, liver and bone metastasis, poor prognosis, and potential
responsiveness to Notch1 inhibitors. Clinical studies targeting Notch1 in a
genotype-defined ACC subgroup are warranted.
PMID- 27870572
TI - Impact of Extent of Resection on Local Control and Survival in Patients From the
COG A3973 Study With High-Risk Neuroblastoma.
AB - Purpose This analysis of patients in the Children's Oncology Group A3973 study
evaluated the impact of extent of primary tumor resection on local progression
and survival and assessed concordance between clinical and central imaging review
based assessments of resection extent. Patients and Methods The analytic cohort
(n = 220) included patients who had both central surgery review and resection of
the primary tumor site. For this analysis, resection categories of < 90% and >=
90% were used, with data on resection extent derived from operating surgeons'
assessments (all patients), as well as blinded central imaging review of computed
tomography scans for a subset of 84 patients; assessment results were compared
for concordance. Treatment outcomes included event-free survival (EFS), overall
survival (OS), and cumulative incidence of local progression (CILP). Results
Surgeon-assessed extent of resection was >= 90% in 154 (70%) patients and < 90%
in 66 (30%). Five-year EFS, OS, and CILP (+/- SE) were 43.5% +/- 3.7%, 54.9% +/-
3.7%, and 11.9% +/- 2.2%, respectively. EFS was higher with >= 90% resection
(45.9% +/- 4.3%) than with < 90% resection (37.9% +/- 7.2%; P = .04). Lower CILP
( P = .01) was associated with >= 90% resection (8.5% +/- 2.3%) compared with <
90% resection (19.8% +/- 5.0%). On multivariable analysis, >= 90% resection was
associated with longer EFS after adjustment for MYCN amplification or diploidy
but had no significant effect on OS. Concordance between surgeons' assessments of
resection extent and central image-guided review was low, with agreement of 63%
(< 90% v >= 90%; simple kappa = -0.0301). Conclusion Despite discordance between
clinical assessment of resection extent and assessment via central imaging
review, a surgeon-assessed resection extent >= 90% was associated with
significantly better EFS and lower CILP. Improving OS, however, remains a
challenge in this disease. These findings support continued attempts at >= 90%
resection of the primary tumor in high-risk neuroblastoma.
PMID- 27870573
TI - Reply to C.G. Drake.
PMID- 27870575
TI - Reply to D.A. Palma.
PMID- 27870571
TI - High Frequency and Poor Outcome of Philadelphia Chromosome-Like Acute
Lymphoblastic Leukemia in Adults.
AB - Purpose Philadelphia chromosome (Ph) -like acute lymphoblastic leukemia (ALL) is
a high-risk subtype of childhood ALL characterized by kinase-activating
alterations that are amenable to treatment with tyrosine kinase inhibitors. We
sought to define the prevalence and genomic landscape of Ph-like ALL in adults
and assess response to conventional chemotherapy. Patients and Methods The
frequency of Ph-like ALL was assessed by gene expression profiling of 798
patients with B-cell ALL age 21 to 86 years. Event-free survival and overall
survival were determined for Ph-like ALL versus non-Ph-like ALL patients.
Detailed genomic analysis was performed on 180 of 194 patients with Ph-like ALL.
Results Patients with Ph-like ALL accounted for more than 20% of adults with ALL,
including 27.9% of young adults (age 21 to 39 years), 20.4% of adults (age 40 to
59 years), and 24.0% of older adults (age 60 to 86 years). Overall, patients with
Ph-like ALL had an inferior 5-year event-free survival compared with patients
with non-Ph-like ALL (22.5% [95% CI, 14.9% to 29.3%; n = 155] v 49.3% [95% CI,
42.8% to 56.2%; n = 247], respectively; P < .001). We identified kinase
activating alterations in 88% of patients with Ph-like ALL, including CRLF2
rearrangements (51%), ABL class fusions (9.8%), JAK2 or EPOR rearrangements
(12.4%), other JAK-STAT sequence mutations (7.2%), other kinase alterations
(4.1%), and Ras pathway mutations (3.6%). Eleven new kinase rearrangements were
identified, including four involving new kinase or cytokine receptor genes and
seven involving new partners for previously identified genes. Conclusion Ph-like
ALL is a highly prevalent subtype of ALL in adults and is associated with poor
outcome. The diverse range of kinase-activating alterations in Ph-like ALL has
important therapeutic implications. Trials comparing the addition of tyrosine
kinase inhibitors to conventional therapy are required to evaluate the clinical
utility of these agents in the treatment of Ph-like ALL.
PMID- 27870574
TI - Evaluation of BGJ398, a Fibroblast Growth Factor Receptor 1-3 Kinase Inhibitor,
in Patients With Advanced Solid Tumors Harboring Genetic Alterations in
Fibroblast Growth Factor Receptors: Results of a Global Phase I, Dose-Escalation
and Dose-Expansion Study.
AB - Purpose This two-part, first-in-human study was initiated in patients with
advanced solid tumors harboring genetic alterations in fibroblast growth factor
receptors (FGFRs) to determine the maximum tolerated dose (MTD), the recommended
phase II dose (RP2D), and the schedule, safety, pharmacokinetics,
pharmacodynamics, and antitumor activity of oral BGJ398, a selective FGFR1-3
tyrosine kinase inhibitor. Patients and Methods Adult patients were treated with
escalating dosages of BGJ398 5 to 150 mg once daily or 50 mg twice daily
continuously in 28-day cycles. During expansion at the MTD, patients with FGFR1
amplified squamous cell non-small-cell lung cancer (sqNSCLC; arm 1) or other
solid tumors with FGFR genetic alterations (mutations/amplifications/fusions)
received BGJ398 daily on a continuous schedule (arm 2), or on a 3-weeks-on/1-week
off schedule (arm 3). Results Data in 132 patients from the escalation and
expansion arms are reported (May 15, 2015, cutoff). The MTD, 125 mg daily, was
determined on the basis of dose-limiting toxicities in four patients (100 mg,
grade 3 aminotransferase elevations [n = 1]; 125 mg, hyperphosphatemia [n = 1];
150 mg, grade 1 corneal toxicity [n = 1] and grade 3 aminotransferase elevations
[n = 1]). Common adverse events in patients treated at the MTD (n = 57) included
hyperphosphatemia (82.5%), constipation (50.9%), decreased appetite (45.6%), and
stomatitis (45.6%). A similar safety profile was observed using the 3-weeks-on/1
week-off schedule (RP2D). However, adverse event-related dose
adjustments/interruptions were less frequent with the 3-weeks-on/1-week-off
(50.0%) versus the continuous (73.7%) schedule. Antitumor activity (seven partial
responses [six confirmed]) was demonstrated with BGJ398 doses >= 100 mg in
patients with FGFR1-amplified sqNSCLC and FGFR3-mutant bladder/urothelial cancer.
Conclusion BGJ398 at the MTD/RP2D had a tolerable and manageable safety profile
and showed antitumor activity in several tumor types, including FGFR1-amplified
sqNSCLC and FGFR3-mutant bladder/urothelial cancers.
PMID- 27870577
TI - Cystic Fibrosis in the African Diaspora.
AB - Identifying mutations that cause cystic fibrosis (CF) is important for making an
early, unambiguous diagnosis, which, in turn, is linked to better health and a
greater life expectancy. In patients of African descent, a molecular diagnosis is
often confounded by the fact that the majority of investigations undertaken to
identify causative mutations have been conducted on European populations, and CF
causing mutations tend to be population specific. We undertook a survey of
published data with the aim of identifying causative CF mutations in patients of
African descent in the Americas. We found that 1,584 chromosomes had been tested
in only 6 countries, of which 876 alleles (55.3%) still remained unidentified.
There were 59 mutations identified. Of those, 41 have been shown to cause CF, 17
have no associated functional studies, and one (R117H) is of varying clinical
consequence. The most common mutations identified in the patients of African
descent were: DeltaF508 (29.4% identified in the United States, Colombia, Brazil,
and Venezuela); 3120 + 1G>A (8.4% identified in Brazil, the United States, and
Colombia); G85E (3.8% identified in Brazil); 1811 + 1.6kbA>G (3.7% identified in
Colombia); and 1342 - 1G>C (3.1% identified in the United States). The majority
of the mutations identified (81.4%) have been described in just one country. Our
findings indicate that there is a need to fully characterize the spectrum of CF
mutations in the diaspora to improve diagnostic accuracy for these patients and
facilitate treatment.
PMID- 27870576
TI - Airway Management Strategies for Brain-injured Patients Meeting Standard Criteria
to Consider Extubation. A Prospective Cohort Study.
AB - RATIONALE: Patients with acute brain injury are frequently capable of breathing
spontaneously with minimal ventilatory support despite persistent neurological
impairment. OBJECTIVES: We sought to describe factors associated with extubation
timing, success, and primary tracheostomy in these patients. METHODS: We
conducted a prospective multicenter observational cohort study in three academic
hospitals in Toronto, Canada. Consecutive brain-injured adults receiving
mechanical ventilation for at least 24 hours in three intensive care units were
screened by study personnel daily for extubation consideration criteria. We
monitored all patients until hospital discharge and used logistic regression
models to examine associations with extubation failure and delayed extubation.
MEASUREMENTS AND MAIN RESULTS: Of 192 patients included, 152 (79%) were extubated
and 40 (21%) received a tracheostomy without an extubation attempt. The rate of
extubation failure within 72 hours was 32 of 152 (21%), which did not vary
significantly between those extubated before (early; 6 of 37; 16.2%), within 24
hours (timely; 14 of 70; 20.0%), or more than 24 hours after meeting criteria to
consider extubation (delayed; 12 of 45; 26.7%; P = 0.49). Delayed extubation was
associated with lower a Glasgow Coma Scale (GCS) score at the time of
consideration of extubation, absence of cough, and new positive sputum cultures.
Factors independently associated with successful extubation were presence of
cough (odds ratio [OR], 3.60; 95% confidence interval [CI], 1.42-9.09), fluid
balance in prior 24 hours (OR, 0.75 per 1-L increase; 95% CI, 0.57-0.98), and age
(OR, 0.97 per 10-yr increase; 95% CI, 0.95-0.99). A higher GCS score was not
associated with successful extubation. CONCLUSIONS: Extubation success was
predicted by younger age, presence of cough, and negative fluid balance, rather
than GCS score at extubation. These results do not support prolonging intubation
solely for low GCS score in brain-injured patients.
PMID- 27870578
TI - Utilizing a Newly Designed Scale for Evaluating Family Support and Its
Association with Exclusive Breastfeeding.
AB - BACKGROUND: Although a woman's perception of her family members' support has long
been established to be an influential factor on exclusive breastfeeding (EBF), it
still has not been specified and quantified as a facilitator and guidance for
practice. OBJECTIVE: To investigate in new mothers the association between EBF
and maternal perception of family support with a standardized scale that
classified support into nine items of behavioral or psychological support.
METHOD: A cross-sectional survey was carried out among 655 new mothers to collect
information on their breastfeeding behavior and their corresponding family
support at a baby-friendly hospital in Beijing, China. Additionally, a nine-item
standardized scale was used to explore the perceived family support for
breastfeeding by new mothers. Breastfeeding behaviors were investigated using the
indicators recommended by the Multiple Indicator Cluster Surveys. RESULTS: The
EBF rate was 37.9%. The average score on the family perception scale reported by
respondents was 28.34 +/- 3.84. The new mothers who performed EBF and who
predominantly breastfed perceived greater family support (29.55 +/- 3.53; 29.36
+/- 4.09) compared with those who performed complementary feeding or mixed
feeding (26.69 +/- 3.33) and those who performed artificial feeding (26.17 +/-
3.14) (F = 30.296, p < 0.001). A binary logistic regression model was applied,
and a stepwise regression analysis was performed with these factors; it showed
that mothers with a positive perception of family support were more likely to
practice EBF than those with a negative perception (adjusted odds ratio = 3.971;
95% confidence interval 2.62-6.01; p < 0.001). DISCUSSION: The EBF rate was quite
low in the population investigated. Family support for breastfeeding could be
evaluated by a scale, and new mothers' breastfeeding behaviors were strongly
associated with their perceived family support for breastfeeding. CONCLUSION:
Community healthcare providers should play a more important role in issues
regarding breastfeeding among new mothers, and family support should be
encouraged by health workers.
PMID- 27870579
TI - Evidence Base Update of Psychosocial Treatments for Child and Adolescent
Depression.
AB - Depression in youth is prevalent and disabling and tends to presage a chronic and
recurrent course of illness and impairment in adulthood. Clinical trial research
in youth depression has a 30-year history, and evidence-based treatment reviews
appeared in 1998 and 2008. The current review of 42 randomized controlled trials
(RCTs) updates these reviews to include RCTs published between 2008 and 2014 (N =
14) and reevaluates previously reviewed literature. Given the growing maturity of
the field, this review utilized a stringent set of methodological criteria for
trial inclusion, most notable for excluding trials based in subclinical samples
of youth that had been included in previous reviews (N = 12) and including well
designed RCTs with null and negative findings (N = 8). Findings from the current
review suggest that evidence for child treatments is notably weaker than for
adolescent interventions, with no child treatments achieving well-established
status and the evidentiary basis of treatments downgraded from previous reports.
Cognitive behavioral therapy (CBT) for clinically depressed children appears to
be possibly efficacious, with mixed findings across trials. For depressed
adolescents, both CBT and interpersonal psychotherapy are well-established
interventions, with evidence of efficacy in multiple trials by independent
investigative teams. This positive conclusion is tempered by the small size of
the interpersonal psychotherapy literature (N = 6) and concern that CBT effects
may be attenuated in clinically complicated samples and when compared against
active control conditions. Data on predictors, moderators, and mediators are
examined and priorities for future research discussed.
PMID- 27870580
TI - Clinical Characterization of Patients With Autosomal Dominant Short Stature due
to Aggrecan Mutations.
AB - Context: Heterozygous mutations in the aggrecan gene (ACAN) cause autosomal
dominant short stature with accelerated skeletal maturation. Objective: We sought
to characterize the phenotypic spectrum and response to growth-promoting
therapies. Patients and Methods: One hundred three individuals (57 females, 46
males) from 20 families with autosomal dominant short stature and heterozygous
ACAN mutations were identified and confirmed using whole-exome sequencing,
targeted next-generation sequencing, and/or Sanger sequencing. Clinical
information was collected from the medical records. Results: Identified ACAN
variants showed perfect cosegregation with phenotype. Adult individuals had
mildly disproportionate short stature [median height, -2.8 standard deviation
score (SDS); range, -5.9 to -0.9] and a history of early growth cessation. The
condition was frequently associated with early-onset osteoarthritis (12 families)
and intervertebral disc disease (9 families). No apparent genotype-phenotype
correlation was found between the type of ACAN mutation and the presence of joint
complaints. Childhood height was less affected (median height, -2.0 SDS; range,
4.2 to -0.6). Most children with ACAN mutations had advanced bone age (bone age -
chronologic age; median, +1.3 years; range, +0.0 to +3.7 years). Nineteen
individuals had received growth hormone therapy with some evidence of increased
growth velocity. Conclusions: Heterozygous ACAN mutations result in a phenotypic
spectrum ranging from mild and proportionate short stature to a mild skeletal
dysplasia with disproportionate short stature and brachydactyly. Many affected
individuals developed early-onset osteoarthritis and degenerative disc disease,
suggesting dysfunction of the articular cartilage and intervertebral disc
cartilage. Additional studies are needed to determine the optimal treatment
strategy for these patients.
PMID- 27870581
TI - Everolimus in Patients With Advanced Follicular-Derived Thyroid Cancer: Results
of a Phase II Clinical Trial.
AB - Background: Mammalian target of rapamycin (mTOR) upregulation has been reported
to be involved in the pathogenesis of thyroid tumors, and treatment with the mTOR
inhibitor everolimus has shown promising results in endocrine tumors. We
conducted a prospective phase II clinical trial to determine the efficacy and
safety of everolimus in patients with advanced follicular-derived thyroid cancer.
Patients and Methods: Twenty-eight patients with progressive metastatic or
locally advanced radioactive refractory differentiated thyroid cancer and 7
patients with anaplastic thyroid cancer were included and received everolimus 10
mg orally once daily. The primary endpoint was disease control rate [complete
(CR) + partial response (PR) + stable disease (SD) > 24 weeks]. Secondary
endpoints included progression-free survival (PFS), overall survival (OS),
toxicity, and mutational and pharmacokinetic-related outcomes. Results: Median
follow-up duration was 38 months (2-64). Seventeen patients (65%) showed SD, of
which 15 (58%) showed SD >24 weeks. No CR or PR was observed. Median PFS and OS
were 9 [95% confidence interval (CI): 4 to 14] and 18 (95% CI: 7 to 29) months,
respectively. Survival was negatively influenced by the presence of bone
metastases. Toxicity was predominantly grade 1/2 and included anemia (64%), cough
(64%), stomatitis (61%), and hyperglycemia (61%). Duration of SD was related to
everolimus exposure. The presence of somatic gene variants related to mTOR
signaling did not clearly stratify for responses. Conclusion: Everolimus has
clinically relevant antitumor activity in patients with advanced differentiated
thyroid cancer. Given the observed disease control rate and the relatively low
toxicity profile, further investigation of everolimus in sequential or
combination therapy in these patients is warranted.
PMID- 27870582
TI - Markers of Islet Endothelial Dysfunction Occur in Male B6.BKS(D)-Leprdb/J Mice
and May Contribute to Reduced Insulin Release.
AB - Islet endothelial cells produce paracrine factors that support beta-cell function
and growth. Endothelial dysfunction underlies diabetic microvascular
complications; thus, we hypothesized that in diabetes, islet endothelial cells
become dysfunctional, which may contribute to beta-cell secretory dysfunction.
Islets/islet endothelial cells were isolated from diabetic B6.BKS(D)-Leprdb/J
male (db/db) mice, treated with or without the glucose-lowering agent phlorizin,
or from C57BL/6J mice fed a high-fat diet for 18 weeks and appropriate controls.
Messenger RNA (mRNA) and/or the protein levels of the cell adhesion molecule E
selectin (Sele), proinflammatory cytokine interleukin-6 (Il6), vasoconstrictor
endothelin-1 (Edn1), and endothelial nitric oxide synthase (Nos3; Nos3) were
evaluated, along with advanced glycation end product immunoreactivity.
Furthermore, an islet endothelial cell line (MS-1) was exposed to diabetic
factors (glucose, palmitate, insulin, and tumor necrosis factor-alpha) for six
days. Conditioned media were collected from these cells, incubated with isolated
islets, and glucose-stimulated insulin secretion and insulin content were
assessed. Islet endothelial cells from db/db mice exhibited increased Sele, Il6,
and Edn1 mRNA levels, decreased Nos3 protein, and accumulation of advanced
glycation end products. Phlorizin treatment significantly increased Nos3 protein
levels but did not alter expression of the other markers. High-fat feeding in
C57BL/6J mice resulted in increased islet Sele, Il6, and Edn1 but no change in
Nos3. Exposure of islets to conditioned media from MS-1 cells cultured in
diabetic conditions resulted in a 50% decrease in glucose-stimulated insulin
secretion and 30% decrease in insulin content. These findings demonstrate that,
in diabetes, islet endothelial cells show evidence of a dysfunctional phenotype,
which may contribute to loss of beta-cell function.
PMID- 27870583
TI - Organic Matter Responses to Radiation under Lunar Conditions.
AB - Large bodies, such as the Moon, that have remained relatively unaltered for long
periods of time have the potential to preserve a record of organic chemical
processes from early in the history of the Solar System. A record of volatiles
and impactors may be preserved in buried lunar regolith layers that have been
capped by protective lava flows. Of particular interest is the possible
preservation of prebiotic organic materials delivered by ejected fragments of
other bodies, including those originating from the surface of early Earth. Lava
flow layers would shield the underlying regolith and any carbon-bearing materials
within them from most of the effects of space weathering, but the encapsulated
organic materials would still be subject to irradiation before they were buried
by regolith formation and capped with lava. We have performed a study to simulate
the effects of solar radiation on a variety of organic materials mixed with lunar
and meteorite analog substrates. A fluence of ~3 * 1013 protons cm-2 at 4-13 MeV,
intended to be representative of solar energetic particles, has little detectable
effect on low-molecular-weight (<=C30) hydrocarbon structures that can be used to
indicate biological activity (biomarkers) or the high-molecular-weight
hydrocarbon polymer poly(styrene-co-divinylbenzene), and has little apparent
effect on a selection of amino acids (<=C9). Inevitably, more lengthy durations
of exposure to solar energetic particles may have more deleterious effects, and
rapid burial and encapsulation will always be more favorable to organic
preservation. Our data indicate that biomarker compounds that may be used to
infer biological activity on their parent planet can be relatively resistant to
the effects of radiation and may have a high preservation potential in
paleoregolith layers on the Moon. Key Words: Radiation-Moon-Regolith-Amino acids
Biomarkers. Astrobiology 16, 900-912.
PMID- 27870584
TI - Follow the Carbon: Isotopic Labeling Studies of Early Earth Aerosol.
AB - Despite the faint young Sun, early Earth might have been kept warm by an
atmosphere containing the greenhouse gases CH4 and CO2 in mixing ratios higher
than those found on Earth today. Laboratory and modeling studies suggest that an
atmosphere containing these trace gases could lead to the formation of organic
aerosol haze due to UV photochemistry. Chemical mechanisms proposed to explain
haze formation rely on CH4 as the source of carbon and treat CO2 as a source of
oxygen only, but this has not previously been verified experimentally. In the
present work, we use isotopically labeled precursor gases and unit-mass
resolution (UMR) and high-resolution (HR) aerosol mass spectrometry to examine
the sources of carbon and oxygen to photochemical aerosol formed in a CH4/CO2/N2
atmosphere. UMR results suggest that CH4 contributes 70-100% of carbon in the
aerosol, while HR results constrain the value from 94% to 100%. We also confirm
that CO2 contributes approximately 10% of the total mass to the aerosol as
oxygen. These results have implications for the geochemical interpretations of
inclusions found in Archean rocks on Earth and for the astrobiological potential
of other planetary atmospheres. Key Words: Atmosphere-Early Earth-Planetary
atmospheres-Carbon dioxide-Methane. Astrobiology 16, 822-830.
PMID- 27870585
TI - Another Earth 2.0? Not So Fast.
AB - The number of confirmed exoplanets now exceeds 3000, with an additional nearly
5000 exoplanet candidates awaiting confirmation in the NASA Exoplanet Archive (
2016 ). Nearly weekly we hear about the detection of a new exoplanet similar in
mass to Earth and located in the so-called habitable zone around its host star.
The excitement is understandable given our desire to find a second Earth.
However, the excitement should not lead to an over-interpretation of the
findings, because the claim can only be to have some crude similarity to Earth
based on a few selected geophysical parameters. Only a very small fraction of
these planets will actually be Earth-like. Earth-like would imply multiple
environmental habitats and presence of a sizable biosphere and complex
ecosystems, without which Earth, as we experience it, would not exist. Thus, it
should be clearly communicated to the public that we are probably still many
years away from having the technological capability to detect an Earth-like
planet or Earth 2.0 with adequate certainty. Key Words: Habitable zone-Second
Earth-Habitable planet-Habitability-Life. Astrobiology 16, 817-821.
PMID- 27870586
TI - Organic Matter Detection on Mars by Pyrolysis-FTIR: An Analysis of Sensitivity
and Mineral Matrix Effects.
AB - Returning samples from Mars will require an effective method to assess and select
the highest-priority geological materials. The ideal instrument for sample triage
would be simple in operation, limited in its demand for resources, and rich in
produced diagnostic information. Pyrolysis-Fourier infrared spectroscopy
(pyrolysis-FTIR) is a potentially attractive triage instrument that considers
both the past habitability of the sample depositional environment and the
presence of organic matter that may reflect actual habitation. An important
consideration for triage protocols is the sensitivity of the instrumental method.
Experimental data indicate pyrolysis-FTIR sensitivities for organic matter at the
tens of parts per million level. The mineral matrix in which the organic matter
is hosted also has an influence on organic detection. To provide an insight into
matrix effects, we mixed well-characterized organic matter with a variety of dry
minerals, to represent the various inorganic matrices of Mars samples, prior to
analysis. During pyrolysis-FTIR, serpentinites analogous to those on Mars
indicative of the Phyllocian Era led to no negative effects on organic matter
detection; sulfates analogous to those of the Theiikian Era led, in some
instances, to the combustion of organic matter; and palagonites, which may
represent samples from the Siderikian Era, led, in some instances, to the
chlorination of organic matter. Any negative consequences brought about by these
mineral effects can be mitigated by the correct choice of thermal extraction
temperature. Our results offer an improved understanding of how pyrolysis-FTIR
can perform during sample triage on Mars. Key Words: Mars-Life-detection
instruments-Search for Mars' organics-Biosignatures. Astrobiology 16, 831-845.
PMID- 27870588
TI - Assessment of Paramedic Performance on Difficult Airway Simulation.
AB - OBJECTIVE: Airway management is a common, important intervention for critically
ill patients in the United States. A key element of prehospital airway management
is endotracheal intubation (ETI). Prehospital ETI success rates have been shown
to be as low as 77% compared to in-hospital rates of 95%. Given these rates, the
use of backup airway devices is a necessary precaution for patient safety. The
extent to which paramedics integrate backup airway use into their airway
algorithm is unknown. The purpose of this study was to assess paramedic
comprehensive airway management practices during a difficult airway simulation
through which paramedics were obligated to consider alternatives to ETI. METHODS:
This was an observational study of airway management skills in active paramedics
(N = 198). A difficult airway simulation was conducted in a mobile simulation
laboratory; a Type 3 ambulance with four video cameras including an endotracheal
view to capture airway management. Recordings of paramedic performance were
assessed using a 110-item checklist covering four key areas: 1) placement of an
endotracheal tube; 2) application of backup airway following failed ETI; 3)
ventilation of the patient; and 4) achievement of airway safety and quality
measures. RESULTS: Paramedics were highly trained with 12 years (IQR: 4-20) of
advanced life support experience and a median of 40 prehospital intubations over
their careers (IQR: 15-100). In this difficult airway setting, first pass ETI
success rate was 55.6%. However, paramedics were challenged with airway
management following a failed ETI. Only 9% of providers were prepared with a
clear backup plan. Sixty-three percent of the paramedics successfully placed a
backup airway within 3 attempts. During the simulation, only 14% properly
ventilated at a rate of 10-12 breaths/min. Ventilations were maintained without
interruptions (>30 sec) in 22% of simulations. CONCLUSION: In a difficult airway
management scenario designed for low ETI success rates, even experienced
paramedics were challenged with comprehensive airway management. This was
exemplified by difficulties with the use of backup airway devices. Future work
needs to be directed at identifying the key determinants for airway management
success and the development of interventions to improve success with the use of a
comprehensive airway management plan.
PMID- 27870587
TI - 17beta-Estradiol Enhances Vascular Endothelial Ets-1/miR-126-3p Expression: The
Possible Mechanism for Attenuation of Atherosclerosis.
AB - Context: Endothelial microRNA 126 (miR-126) attenuates the development of
atherosclerosis (AS). However, there is no evidence showing the role of miR-126
in estrogen's antiatherogenic effects. Objective: We hypothesized that 17beta
estradiol (E2) modulates miR-126 expression and thus may improve endothelial
function and retard AS development. Design/Setting/Participants: This was a
prospective cohort study of 12 healthy regularly menstruating female volunteers.
ApoE-/- mice were used as the atherosclerosis model and human umbilical vascular
endothelial cells (HUVECs) were cultured as the cell model. Main Outcome
Measures: Serum hormones and miR-126-3p levels were measured up to 3 times for 1
cycle. Real-time polymerase chain reaction, histology for atherosclerotic
lesions, immunofluorescence, luciferase assay, transfection experiments, cell
proliferation, migration and tube formation assay, and western blot were
performed. Results: Serum concentrations of miR-126-3p in cycling women were
higher at the ovulatory and luteal phases than in the follicular phase, and they
were positively correlated with E2 values. Administration of miR-126-3p mimics to
ApoE-/- mice-attenuated atherogenesis, and antagomir-126-3p partially reversed
the protective effect of E2 on atherogenesis. In HUVECs, E2 increased miR-126-3p
expression via upregulation of Ets-1 (a transcription factor for miR-126). c
Src/Akt signaling was important for E2-mediated expression of Ets-1/miR-126. E2
decreased expression of miR-126-3p target Spred1 (a protein that inhibits
mitogenic signaling). Overexpression of Spred1 partially blocked enhancement of
endothelial cell proliferation, migration, and tube formation by E2.
Additionally, E2 regulates miR-126-3p-mediated expression of vascular cell
adhesion molecule-1 to inhibit monocyte adhesion into HUVECs. Conclusions: E2
protection against atherogenesis is possibly mediated by Ets-1/miR-126.
PMID- 27870589
TI - Results of a Prospective Study Evaluating a Noninvasive Method of Hemoglobin
Adjustment for Determining the Diffusing Capacity of the Lung.
AB - RATIONALE: Measurement of the diffusing capacity of the lung for carbon monoxide
(DlCO) is significantly influenced by the pulmonary capillary blood volume.
Consequently, measurements require adjustment for blood hemoglobin concentration
(DlCOadj) to allow meaningful clinical interpretation. Noninvasive point-of-care
devices that measure hemoglobin transcutaneously provide immediate values for
hemoglobin that may be useful in pulmonary function laboratories for determining
DlCOadj. OBJECTIVES: To test the hypothesis that DlCOadj determinations obtained
with a commercially available device for noninvasive, point-of-care measurement
of blood hemoglobin concentrations are not significantly different from
determinations obtained using hemoglobin concentrations measured conventionally
in venous blood samples. METHODS: In a prospective open trial, hemoglobin
measurements were obtained with the Pronto-7 spot check pulse CO-oximeter
(Massimo, Irvine, CA) and by venipuncture for 205 patients referred for DlCO
testing at Cincinnati Children's Hospital. Hemoglobin and DlCOadj measurements
were compared between the two methods, using Student paired t tests and Bland
Altman plots. To assess variability, the differences in DlCOadj between the two
methods were also compared by a modification of the current standard for
acceptable within-session variability for DlCO. Clinical interpretation for
individual DlCO tests based on DlCOadj values obtained from the two methods were
compared statistically using Kendall's coefficient of concordance to determine
whether the Pronto-7 altered the classification of the severity of DlCO defects.
MEASUREMENTS AND MAIN RESULTS: Measurements of hemoglobin concentration by the
Pronto-7 analyzer were significantly lower than those obtained from venipuncture
blood samples (13.1 +/- 1.8 vs. 13.4 +/- 2.0; P = 0.01). However, there were no
differences for DlCOadj between both methods (23.6 +/- 7.7 vs. 23.7 +/- 7.5
ml/min/mm Hg; P = 0.42). There was strong correlation between the Pronto-7 and
venipuncture DlCOadj values (r = 0.99, P < 0.0001). Variability between the two
methods was low for DlCOadj, with a bias of -0.07. More than 96% of tests met
acceptable within-session variability. There was no significant difference in the
clinical interpretation of the DlCO test based on DlCOadj values recovered from
both methods (Kendall's coefficient, 0.96). CONCLUSIONS: Noninvasive measurement
of hemoglobin for determination of DlCOadj was accurate and provided acceptable
within-session variability. The results obtained noninvasively did not alter
clinical interpretation of test results compared with venipuncture. These
findings support noninvasive point-of-care devices as an alternative to
venipuncture for determining hemoglobin to measure DlCOadj in most patients.
PMID- 27870590
TI - Dry Eye Disease in Patients with Newly Diagnosed Depressive Disorder.
AB - PURPOSE: Psychiatric conditions and not just the treatments themselves might be
involved in the pathophysiology of dry eye disease (DED). The aim of our study
was to evaluate the association between depression and DED using objective and
subjective tests in patients with newly diagnosed depressive disorder who were
not using any medication which may help us to determine the sole effect of
depression on dry eye. METHODS: Thirty-six patients from the psychiatry clinic
with a new diagnosis of depressive disorder and 32 controls were included in the
study. All met the Diagnostic and Statistical Manual IV criteria for depression.
Beck Depression Inventory (BDI) was used to measure depression severity and the
State-Trait Anxiety Inventory (Stai1, Stai2) for concomitant anxiety symptoms.
The Ocular Surface Disease Index (OSDI) and Visual Functioning Questionnaires
(VFQ25) were completed and used to confirm diagnosis of DED in conjunction with
the tear break up time (TBUT), ocular surface vital dye staining, and Schirmer's
test. RESULTS: The comparison of depressive and control groups revealed
significantly lower Schirmer (20.3 +/- 9.9 vs. 25.7 +/- 9.3 mm) and TBUT (7.8 +/-
5.7 vs. 12.5 +/- 7.8 s) scores with a consistently higher Oxford score (1.8 +/-
3.2 vs. 0.2 +/- 0.4) in the depressive group. Although the parameters were
affected in the depressive group, this did not influence OSDI (86.1 +/- 13.6 vs.
86.6 +/- 13.3) and VFQ25 (30.8 +/- 21.6 vs. 38.5 +/- 29.1) scores. In both
groups, the three psychological test scores (Stai1-2 and BDI) were correlated to
each other but none of these tests were correlated to OSDI, VRQL, Schirmer, TBUT,
and Oxford staining scores. CONCLUSION: Our study shows a definite association
between depression and DED. We feel that it is important that psychiatrists take
this into account especially while prescribing antidepressants which may
aggravate dry eye signs.
PMID- 27870592
TI - Robot-Assisted Colectomy for Left-Sided Colon Cancer: Comparison of Reduced-Port
and Conventional Multi-Port Robotic Surgery.
AB - PURPOSE: The robotic single-port access plus one conventional robotic port, a
reduced-port robotic surgery (RPRS) for left-sided colon cancer, can enable
lymphovascular dissection using the wristed instrumentation and safe rectal
transection through an additional port maintaining the cosmetic advantage of the
single-port surgery. The aim of this study is to compare the clinicopathological
outcomes between reduced-port and conventional multi-port robotic colectomy for
left-sided colon cancer. METHODS: The study group included 23 patients who
underwent an RPRS and 16 patients who underwent a multi-PRS (MPRS) for left-sided
colon cancer between August 2013 and January 2016. RESULTS: The operative time
was significantly shorter in the RPRS group than in the MPRS group (mean time 258
+/- 67 vs. 319 +/- 66 minutes, P = .009). There were no apparent differences in
tolerance of diet, postoperative pain score, length of hospital stay, the rate of
postoperative complications, and the mean number of harvested lymph node, but the
RPRS group had a significantly smaller total incision length (38 +/- 12 mm vs. 83
+/- 6 mm, P = .013). CONCLUSIONS: This study shows the feasibility and safety of
the RPRS, with clinicopathological outcomes that is comparable with that of the
MPRS for left-sided colon cancer.
PMID- 27870591
TI - Human transcriptome response to immunization with live-attenuated Venezuelan
equine encephalitis virus vaccine (TC-83): Analysis of whole blood.
AB - Venezuelan equine encephalitis virus (VEEV) is an important human and animal
alphavirus pathogen transmitted by mosquitoes. The virus is endemic in Central
and South America, but has also caused equine outbreaks in southwestern areas of
the United States. In an effort to better understand the molecular mechanisms of
the development of immunity to this important pathogen, we performed
transcriptional analysis from whole, unfractionated human blood of patients who
had been immunized with the live-attenuated vaccine strain of VEEV, TC-83. We
compared changes in the transcriptome between naive individuals who were mock
vaccinated with saline to responses of individuals who received TC-83.
Significant transcriptional changes were noted at days 2, 7, and 14 following
vaccination. The top canonical pathways revealed at early and intermediate time
points (days 2 and 7) included the involvement of the classic interferon
response, interferon-response factors, activation of pattern recognition
receptors, and engagement of the inflammasome. By day 14, the top canonical
pathways included oxidative phosphorylation, the protein ubiquitination pathway,
natural killer cell signaling, and B-cell development. Biomarkers were identified
that differentiate between vaccinees and control subjects, at early,
intermediate, and late stages of the development of immunity as well as markers
which were common to all 3 stages following vaccination but distinct from the
sham-vaccinated control subjects. The study represents a novel examination of
molecular processes that lead to the development of immunity against VEEV in
humans and which may be of value as diagnostic targets, to enhance modern vaccine
design, or molecular correlates of protection.
PMID- 27870593
TI - Who Cares for Midlife Women?
PMID- 27870594
TI - Immune Design.
PMID- 27870595
TI - Human Energy Expenditure and Postural Coordination on the Mechanical Horse.
AB - The authors investigated and compared the energy expenditure and postural
coordination of two groups of healthy subjects on a mechanical horse at 4
increasing oscillation frequencies. Energy expenditure was assessed from the
oxygen consumption, respiratory quotient, and heart rate values, and postural
coordination was characterized by relative phase computations between subjects
(elbow, head, trunk) and horse. The results showed that the postural coordination
of the riders was better adapted (i.e., maintenance of in-phase and antiphase)
than that of the nonriders, but the energy expenditure remains the same.
Likewise, we observed an energy system shifting only for nonriders (from aerobic
to lactic anaerobic mode). Finally, cross-correlations showed a link between
energy expenditure and postural coordination in the riders (i.e., effectiveness).
PMID- 27870596
TI - Improving Breast Cancer Outcomes Through Patient Navigation.
PMID- 27870597
TI - Exercise Training for Persons with Alzheimer's Disease and Caregivers: A Review
of Dyadic Exercise Interventions.
AB - Alzheimer's disease (AD) is the most common form of dementia and the prevalence
will increase dramatically in the next decades. Although exercise has shown
benefits for people with dementia due to AD as well as their caregivers, the
impact of a dyadic exercise intervention including both groups as study
participants remains to be determined. The authors review the current clinical
evidence for dyadic exercise interventions, which are exercise regimens applied
to both the person with dementia and the caregiver. A total of 4 controlled
trials were reviewed. This review shows that dyadic exercise interventions are
feasible and may produce a positive effect on functional independence and
caregiver burden. However, there was insufficient evidence to support a benefit
of dyadic exercise intervention on cognitive performance and on behavioral and
neuropsychiatric symptoms in participants with dementia due to AD. A dyadic
exercise intervention improves functional independence and caregiver burden.
However, there is a need for well-designed randomized controlled clinical trials
to confirm these benefits and to investigate several important points such as the
effects of a dyadic exercise intervention on cognitive and noncognitive outcomes
of AD, the optimal intensity of exercise training, and the cost effectiveness of
such a program.
PMID- 27870600
TI - Laccase Gene Sh-lac Is Involved in the Growth and Melanin Biosynthesis of
Scleromitrula shiraiana.
AB - Scleromitrula shiraiana causes the popcorn disease in mulberry trees resulting in
severe economic losses. Previous studies have shown that melanin may play a vital
role in establishing the pathogenicity of fungi. In the present study, we
identified the melanin produced in S. shiraiana belongs to DHN melanin by gas
chromatography-mass spectrometry, and cloned the laccase Sh-lac, a potential DHN
melanin biosynthesis gene from S. shiraiana. We obtained two stable Sh-lac
silenced transformants using RNAi, ilac-4 and 8 to elucidate the DHN melanin
biosynthetic pathway in S. shiraiana. The melanin production of ilac-4 and ilac-8
was significantly reduced, and their vegetative growth was also suppressed.
Results such as these led to a proposal that Sh-lac played a key role in DHN
melanin formation in S. shiraiana and may function differentially with other
melanin biosynthetic genes. The inhibition of melanin was accompanied by the
decrease of oxalic acid and the adhesion of hyphae was impaired. Our results
indicated that laccase was an important enzyme in the synthesis of melanin and
might play a critical role in the pathogenicity of S. shiraiana.
PMID- 27870599
TI - Misfolding leads the way to unraveling signaling pathways in the pathophysiology
of prion diseases.
AB - A misfolded version of the prion protein represents an essential component in the
pathophysiology of fatal neurodegenerative prion diseases, which affect humans
and animals alike. They may be of sporadic origin, acquired through exogenous
introduction of infectious misfolded prion protein, or caused by genetic
alterations in the prion protein coding gene. We have recently described a novel
pathway linking retention of mutant prion protein in the early secretory pathway
to activation p38-MAPK and a neurodegenerative phenotype in transgenic mice. Here
we review the consequences that mutations in prion protein have on intracellular
transport and stress responses focusing on protein quality control. We also
discuss the neurotoxic signaling elicited by the accumulation of mutant prion
protein in the endoplasmic reticulum and the Golgi apparatus. Improved knowledge
about these processes will help us to better understand complex pathogenesis of
prion diseases, a prerequisite for therapeutic strategies.
PMID- 27870601
TI - T Cell Immunity to Varicella-Zoster Virus in the Setting of Advanced HIV and
Multiple Varicella-Zoster Virus Recurrences.
AB - A woman presented with at least four manifestations of varicella-zoster virus
(VZV) infection, including central nervous system vasculitis, during her first 2
years of HIV infection. We evaluated her CD4 T cell responses to VZV given the
infrequency with which multiple recurrences of VZV occurred, especially following
immune reconstitution on antiretroviral therapy.
PMID- 27870598
TI - Potential applications of nanoparticles in cancer immunotherapy.
AB - In recent years considerable progress has been made in the field of cancer
immunotherapy whereby treatments that modulate the body's own immune system are
used to combat cancer. This has the potential to not only elicit strong anti
cancer immune responses which can break pre-existing tolerance and help promote
tumor regression, but could also induce immunological memory which may help
prevent tumor recurrence. In order to ensure effective delivery of
immunotherapeutic agents, such as vaccines, checkpoint inhibitors,
chemotherapeutic agents and nucleic acids, a safe and effective delivery system
is often required. One such approach is the use of multifunctional nanoparticles
(NPs), such as liposomes, polymers, micelles, dendrimers, inorganic NPs, and
hybrid NPs, which have the potential to combine the delivery of a diverse range
of therapeutic immunomodulators thereby increasing the efficacy of tumor cell
killing. This review focuses on recent progress in NP-mediated immunotherapy for
the treatment of cancer.
PMID- 27870602
TI - Influence of sulfur dioxide-related interactions on PM2.5 formation in iron ore
sintering.
AB - : The formation of PM2.5 (aerosol particulate matter less than 2.5 um in
aerodynamic diameter) in association with SO2 emission during sintering process
has been studied by dividing the whole sintering process into six typical
sampling stages. A low-pressure cascade impactor was used to collect PM2.5 by
automatically segregating particulates into six sizes. It was found that strong
correlation existed between the emission properties of PM2.5 and SO2. Wet mixture
layer (overwetted layer and raw mixture layer) had the function to simultaneously
capture SO2 and PM2.5 during the early sintering stages, and released them back
into flue gas mainly in the flue gas temperature-rising period. CaSO4 crystals
constituted the main SO2-related PM2.5 during the disappearing process of
overwetted layer, which was able to form perfect individual crystals or to form
particles with complex chemical compositions. Besides the existence of individual
CaSO4 crystals, mixed crystals of K2SO4-CaSO4 in PM2.5 were also found during the
first half of the temperature-rising period of flue gas. The interaction between
fine-grained Ca-based fluxes, potassium vapors, and SO2 was the potential source
of SO2-related PM2.5. IMPLICATIONS: The emission property of PM2.5 and SO2
throughout the sintering process exhibited well similarity. This phenomenon
tightened the relationship between the formation of PM2.5 and the emission of
SO2. Through revealing the properties of SO2-related PM2.5 during sintering
process, the potential interaction between fine-grained Ca-based fluxes,
potassium vapors, and SO2 was found to be the source of SO2-related PM2.5. This
information can serve as the guidance to develop efficient techniques to control
the formation and emission of PM2.5 in practical sintering plants.
PMID- 27870603
TI - Indirect Comparison of Novel Oral Anticoagulants in Women with Nonvalvular Atrial
Fibrillation.
AB - BACKGROUND: For nonvalvular atrial fibrillation (NVAF), novel oral anticoagulants
(NOACs) have been found noninferior to warfarin for stroke/systemic embolization
prevention, and major bleeding events. Recent meta-analysis of NOACs versus
warfarin in atrial fibrillation (AF) showed that women on warfarin have greater
risk of stroke/embolism than men, and when both are treated with NOACs,
differences disappear. METHODS: NOACs differ in pharmacologic properties, thus
they may differ from one another in their effects on women with AF. Using dose
adjusted warfarin as the common comparator, an indirect comparison of
rivaroxaban, apixaban, dabigatran 110 and 150 mg, and edoxaban 30 and 60 mg for
efficacy (stroke/embolism prevention) and safety (major bleeding events) in women
with AF was performed. Data from ROCKET-AF, RE-LY, ENGAGE AF TIMI, and ARISTOTLE
were analyzed and compared according to the Bucher method. RESULTS: No
significant difference was found for any NOAC compared with alternatives in
safety or efficacy for women with AF. Examination of odds ratio comparisons alone
showed possible favorable efficacy in dabigatran 150 mg, and unfavorable efficacy
with favorable safety in edoxaban 30 mg. CONCLUSION: NOACs may slightly differ in
their effect in women; the potential differences are very small and likely
clinically negligible. Thus, NOACs can be used interchangeably in women according
to patient and physician preferences to increase adherence.
PMID- 27870604
TI - Immunoreactivity Analysis of the Nonstructural Proteins of Human Enterovirus 71.
AB - Human enterovirus 71 (EV-A71) is one of the main etiological agents of hand,
foot, and mouth disease (HFMD), which has been prevalent mainly in the Asia
Pacific region in the past several decades. The nonstructural proteins of EV-A71
will be expressed significantly during viral replication in host cells after EV
A71 infection. For the determination of the antibodies response against
nonstructural proteins of EV-A71, in this study, the complete 2ABC, 3ABC, and 3D
proteins were expressed in Escherichia coli and were then studied for their
immunoreactivity by immunoblot assay and indirect enzyme-linked immunosorbent
assay (ELISA), respectively. Three His-tagged fusion proteins were expressed
effectively in E. coli, which were in agreement with the expected molecular mass.
The results from immunoblot assay and indirect ELISA showed that all three
purified fusion proteins can react with IgG antibodies from EV-A71-infected
patients, but can hardly be recognized by IgG antibodies derived from mice or
rabbits immunized by inactivated EV-A71 virus particles. The IgG antibody
response against nonstructural proteins of EV-A71 is associated with viral
infection or replication, which indicate that these nonstructural proteins could
be used as candidate antigen for early diagnosis of EV-A71 infection, or to
distinguish the EV-A71-specific antibodies after viral infection from inactivated
vaccine immunization.
PMID- 27870605
TI - Age-Related Differences in Locomotor Strategies During Adaptive Walking.
AB - Simultaneous control of lower limb stepping movements and trunk motion is
important for skilled walking; adapting gait to environmental constraints
requires frequent alternations in stepping and trunk motion. These alterations
provide a window into the locomotor strategies adopted by the walker. The authors
examined gait strategies in young and healthy older adults when manipulating step
width. Anteroposterior (AP) and mediolateral (ML) smoothness (quantified by
harmonic ratios) and stepping consistency (quantified by gait variability) were
analyzed during narrow and wide walking while controlling cadence to preferred
pace. Results indicated older adults preserved ML smoothness at the expense of AP
smoothness, shortened their steps, and exhibited reduced stepping consistency.
The authors conclude that older adults prioritized ML control over forward
progression during adaptive walking challenges.
PMID- 27870606
TI - The Application of Cortical Arousal Assessment to Control Neuromuscular Fatigue
During Strength Training.
AB - The author's aim was to analyze the cortical arousal response during a
conventional strength training session as a method to assess central nervous
system fatigue. Sixteen trained men were recruited to perform the maximum number
of training series composed of 6 exercises of 10 repetition each, at the
intensity of 70% of the 1 maximum repetition, with a rest period of 2 min between
exercises and 5 min between series, until exhaustion. Cortical arousal was
measured using the critical flicker fusion threshold before, after warm-up, after
each exercises series, after the recovery phase between series, and 15 min and 30
min after finishing the training session. Participants could not finish the
fourth series of exercise, corresponding with a significant decrease of cortical
arousal respect to the warm-up value. The assessment of cortical arousal using
CFFT during a strength training session could be a fast and efficient method for
assessing central nervous fatigue. Practically, coaches could modify the training
protocol according to the individual cortical arousal response in order to
improve training efficiency and prevent injuries.
PMID- 27870607
TI - I Don't Want to Die an Old Person.
PMID- 27870609
TI - Time Series Decomposition into Oscillation Components and Phase Estimation.
AB - Many time series are naturally considered as a superposition of several
oscillation components. For example, electroencephalogram (EEG) time series
include oscillation components such as alpha, beta, and gamma. We propose a
method for decomposing time series into such oscillation components using state
space models. Based on the concept of random frequency modulation, gaussian
linear state-space models for oscillation components are developed. In this
model, the frequency of an oscillator fluctuates by noise. Time series
decomposition is accomplished by this model like the Bayesian seasonal adjustment
method. Since the model parameters are estimated from data by the empirical
Bayes' method, the amplitudes and the frequencies of oscillation components are
determined in a data-driven manner. Also, the appropriate number of oscillation
components is determined with the Akaike information criterion (AIC). In this
way, the proposed method provides a natural decomposition of the given time
series into oscillation components. In neuroscience, the phase of neural time
series plays an important role in neural information processing. The proposed
method can be used to estimate the phase of each oscillation component and has
several advantages over a conventional method based on the Hilbert transform.
Thus, the proposed method enables an investigation of the phase dynamics of time
series. Numerical results show that the proposed method succeeds in extracting
intermittent oscillations like ripples and detecting the phase reset phenomena.
We apply the proposed method to real data from various fields such as astronomy,
ecology, tidology, and neuroscience.
PMID- 27870608
TI - Fine particle migration and collection in a wet electrostatic precipitator.
AB - : Electrostatic precipitation is considered as an effective technology for fine
particle removal. A lab-scale wet electrostatic precipitator (ESP) with wire-to
plate configuration was developed to study particle migration and collection. The
performance of the wet ESP was evaluated in terms of the corona discharge
characteristics, total removal efficiency and fractional removal efficiency. The
corona discharge characteristics and particle removal abilities of the wet ESP
were investigated and compared with dry ESP. Particle removal efficiency was
influenced by discharge electrode type, SO2 concentration, specific collection
area (SCA) and particle/droplet interaction. Results showed that the particle
removal efficiency of wet ESP was elevated to 97.86% from 93.75% of dry ESP.
Three types of discharge electrodes were investigated. Higher particle removal
efficiency and larger migration velocity could be obtained with fishbone
electrode. Particle removal efficiency decreased by 2.87% when SO2 concentration
increased from 0 ppm to 43 ppm as a result of the suppression of corona discharge
and particle charging. The removal efficiency increased with higher SCA, but it
changed by only 0.71% with the SCA increasing from 25.0 m2/(m3/s) to 32.5
m2/(m3/s). Meanwhile, the increasing of particle and droplet concentration was
favorable to the particle aggregation and improved particle removal efficiency.
IMPLICATIONS: This work tends to study the particle migration and collection
under spraying condition. The performance of a wet electrostatic precipitator
(ESP) is evaluated in terms of the corona discharge characteristics, total
particle removal efficiency, and fractional particle removal efficiency. The
effects of water droplets on particle removal, especially on removal of particles
with different sizes, is investigated. The optimization work was done to
determine appropriate water consumption, discharge electrode type, and specific
collection area, which can provide a basis for wet ESP design and application.
PMID- 27870610
TI - Neural Circuits Trained with Standard Reinforcement Learning Can Accumulate
Probabilistic Information during Decision Making.
AB - Much experimental evidence suggests that during decision making, neural circuits
accumulate evidence supporting alternative options. A computational model well
describing this accumulation for choices between two options assumes that the
brain integrates the log ratios of the likelihoods of the sensory inputs given
the two options. Several models have been proposed for how neural circuits can
learn these log-likelihood ratios from experience, but all of these models
introduced novel and specially dedicated synaptic plasticity rules. Here we show
that for a certain wide class of tasks, the log-likelihood ratios are
approximately linearly proportional to the expected rewards for selecting
actions. Therefore, a simple model based on standard reinforcement learning rules
is able to estimate the log-likelihood ratios from experience and on each trial
accumulate the log-likelihood ratios associated with presented stimuli while
selecting an action. The simulations of the model replicate experimental data on
both behavior and neural activity in tasks requiring accumulation of
probabilistic cues. Our results suggest that there is no need for the brain to
support dedicated plasticity rules, as the standard mechanisms proposed to
describe reinforcement learning can enable the neural circuits to perform
efficient probabilistic inference.
PMID- 27870611
TI - Exponentially Long Orbits in Hopfield Neural Networks.
AB - We show that Hopfield neural networks with synchronous dynamics and asymmetric
weights admit stable orbits that form sequences of maximal length. For [Formula:
see text] units, these sequences have length [Formula: see text]; that is, they
cover the full state space. We present a mathematical proof that maximal-length
orbits exist for all [Formula: see text], and we provide a method to construct
both the sequence and the weight matrix that allow its production. The orbit is
relatively robust to dynamical noise, and perturbations of the optimal weights
reveal other periodic orbits that are not maximal but typically still very long.
We discuss how the resulting dynamics on slow time-scales can be used to generate
desired output sequences.
PMID- 27870612
TI - The Population Tracking Model: A Simple, Scalable Statistical Model for Neural
Population Data.
AB - Our understanding of neural population coding has been limited by a lack of
analysis methods to characterize spiking data from large populations. The biggest
challenge comes from the fact that the number of possible network activity
patterns scales exponentially with the number of neurons recorded ([Formula: see
text]). Here we introduce a new statistical method for characterizing neural
population activity that requires semi-independent fitting of only as many
parameters as the square of the number of neurons, requiring drastically smaller
data sets and minimal computation time. The model works by matching the
population rate (the number of neurons synchronously active) and the probability
that each individual neuron fires given the population rate. We found that this
model can accurately fit synthetic data from up to 1000 neurons. We also found
that the model could rapidly decode visual stimuli from neural population data
from macaque primary visual cortex about 65 ms after stimulus onset. Finally, we
used the model to estimate the entropy of neural population activity in
developing mouse somatosensory cortex and, surprisingly, found that it first
increases, and then decreases during development. This statistical model opens
new options for interrogating neural population data and can bolster the use of
modern large-scale in vivo Ca[Formula: see text] and voltage imaging tools.
PMID- 27870613
TI - Orientation Histogram-Based Center-Surround Interaction: An Integration Approach
for Contour Detection.
AB - Contour is a critical feature for image description and object recognition in
many computer vision tasks. However, detection of object contour remains a
challenging problem because of disturbances from texture edges. This letter
proposes a scheme to handle texture edges by implementing contour integration.
The proposed scheme integrates structural segments into contours while inhibiting
texture edges with the help of the orientation histogram-based center-surround
interaction model. In the model, local edges within surroundings exert a
modulatory effect on central contour cues based on the co-occurrence statistics
of local edges described by the divergence of orientation histograms in the local
region. We evaluate the proposed scheme on two well-known challenging boundary
detection data sets (RuG and BSDS500). The experiments demonstrate that our
scheme achieves a high [Formula: see text]-measure of up to 0.74. Results show
that our scheme achieves integrating accurate contour while eliminating most of
texture edges, a novel approach to long-range feature analysis.
PMID- 27870614
TI - Active Inference: A Process Theory.
AB - This article describes a process theory based on active inference and belief
propagation. Starting from the premise that all neuronal processing (and action
selection) can be explained by maximizing Bayesian model evidence-or minimizing
variational free energy-we ask whether neuronal responses can be described as a
gradient descent on variational free energy. Using a standard (Markov decision
process) generative model, we derive the neuronal dynamics implicit in this
description and reproduce a remarkable range of well-characterized neuronal
phenomena. These include repetition suppression, mismatch negativity, violation
responses, place-cell activity, phase precession, theta sequences, theta-gamma
coupling, evidence accumulation, race-to-bound dynamics, and transfer of dopamine
responses. Furthermore, the (approximately Bayes' optimal) behavior prescribed by
these dynamics has a degree of face validity, providing a formal explanation for
reward seeking, context learning, and epistemic foraging. Technically, the fact
that a gradient descent appears to be a valid description of neuronal activity
means that variational free energy is a Lyapunov function for neuronal dynamics,
which therefore conform to Hamilton's principle of least action.
PMID- 27870615
TI - Energy Model of Neuron Activation.
AB - On the basis of the neurophysiological strength-duration (amplitude-duration)
curve of neuron activation (which relates the threshold amplitude of a
rectangular current pulse of neuron activation to the pulse duration), as well as
with the use of activation energy constraint (the threshold curve corresponds to
the energy threshold of neuron activation by a rectangular current pulse), an
energy model of neuron activation by a single current pulse has been constructed.
The constructed model of activation, which determines its spectral properties, is
a bandpass filter. Under the condition of minimum-phase feature of the neuron
activation model, on the basis of Hilbert transform, the possibilities of phase
frequency response calculation from its amplitude-frequency response have been
considered. Approximation to the amplitude-frequency response by the response of
the Butterworth filter of the first order, as well as obtaining the pulse
response corresponding to this approximation, give us the possibility of
analyzing the efficiency of activating current pulses of various shapes,
including analysis in accordance with the energy constraint.
PMID- 27870616
TI - Comparison of Different Generalizations of Clustering Coefficient and Local
Efficiency for Weighted Undirected Graphs.
AB - Binary undirected graphs are well established, but when these graphs are
constructed, often a threshold is applied to a parameter describing the
connection between two nodes. Therefore, the use of weighted graphs is more
appropriate. In this work, we focus on weighted undirected graphs. This implies
that we have to incorporate edge weights in the graph measures, which require
generalizations of common graph metrics. After reviewing existing generalizations
of the clustering coefficient and the local efficiency, we proposed new
generalizations for these graph measures. To be able to compare different
generalizations, a number of essential and useful properties were defined that
ideally should be satisfied. We applied the generalizations to two real-world
networks of different sizes. As a result, we found that not all existing
generalizations satisfy all essential properties. Furthermore, we determined the
best generalization for the clustering coefficient and local efficiency based on
their properties and the performance when applied to two networks. We found that
the best generalization of the clustering coefficient is [Formula: see text],
defined in Miyajima and Sakuragawa ( 2014 ), while the best generalization of the
local efficiency is [Formula: see text], proposed in this letter. Depending on
the application and the relative importance of sensitivity and robustness to
noise, other generalizations may be selected on the basis of the properties
investigated in this letter.
PMID- 27870617
TI - Analytical Calculation of Mutual Information between Weakly Coupled Poisson
Spiking Neurons in Models of Dynamically Gated Communication.
AB - Mutual information is a commonly used measure of communication between neurons,
but little theory exists describing the relationship between mutual information
and the parameters of the underlying neuronal interaction. Such a theory could
help us understand how specific physiological changes affect the capacity of
neurons to synaptically communicate, and, in particular, they could help us
characterize the mechanisms by which neuronal dynamics gate the flow of
information in the brain. Here we study a pair of linear-nonlinear-Poisson
neurons coupled by a weak synapse. We derive an analytical expression describing
the mutual information between their spike trains in terms of synapse strength,
neuronal activation function, the time course of postsynaptic currents, and the
time course of the background input received by the two neurons. This expression
allows mutual information calculations that would otherwise be computationally
intractable. We use this expression to analytically explore the interaction of
excitation, information transmission, and the convexity of the activation
function. Then, using this expression to quantify mutual information in
simulations, we illustrate the information-gating effects of neural oscillations
and oscillatory coherence, which may either increase or decrease the mutual
information across the synapse depending on parameters. Finally, we show
analytically that our results can quantitatively describe the selection of one
information pathway over another when multiple sending neurons project weakly to
a single receiving neuron.
PMID- 27870619
TI - Machine Learning Applied to Alzheimer Disease.
PMID- 27870620
TI - 2016 RSNA Outstanding Researcher.
PMID- 27870618
TI - Spinal Injections for Pain Management.
AB - Image-guided spinal injection is commonly performed in symptomatic patients to
decrease pain severity, confirm the pain generator, and delay or avoid surgery.
This article focuses on the radiologist as spine interventionist and addresses
the following four topics relevant to the radiologist who performs corticosteroid
injections for pain management: (a) the rationale behind corticosteroid
injection, (b) the interaction with patients, (c) the role of imaging in
procedural selection and planning, and (d) the pearls and pitfalls of
fluoroscopically guided injections. Factors that contribute to the success of a
pain management service include communication skills and risk mitigation. A
critical factor is the correlation of clinical symptoms with magnetic resonance
(MR) imaging findings. Radiologists can leverage their training in MR image
interpretation to distinguish active pain generators in the spine from incidental
abnormalities. Knowledge of fluoroscopic anatomy and patterns of contrast
material flow guide the planning and execution of safe and effective needle
placement. (c) RSNA, 2016 Online supplemental material is available for this
article.
PMID- 27870621
TI - Dynamic Contrast-enhanced MR Imaging of Advanced Hepatocellular Carcinoma:
Comparison with the Liver Parenchyma and Correlation with the Survival of
Patients Receiving Systemic Therapy.
PMID- 27870622
TI - Dual-Energy CT for the Musculoskeletal System.
AB - The principal advantages of dual-energy computed tomography (CT) over
conventional CT in the musculoskeletal setting relate to the additional
information provided regarding tissue composition, artifact reduction, and image
optimization. This article discusses the manifestations of these in clinical
practice-urate and bone marrow edema detection, metal artifact reduction, and
tendon analysis, with potential in arthrography, bone densitometry, and
metastases surveillance. The basic principles of dual-energy CT physics and
scanner design will also be discussed. (c) RSNA, 2016.
PMID- 27870623
TI - No-Touch Multibipolar Radiofrequency Ablation: The New Standard Ablative
Technique for Hepatocellular Carcinoma 5 cm or Smaller?
PMID- 27870624
TI - Differentiating between Subsolid and Solid Pulmonary Nodules at CT: What Is Our
Main Task?
PMID- 27870625
TI - Ad E. Van Voorthuisen, MD.
PMID- 27870626
TI - Case 240.
PMID- 27870628
TI - SUVmax of Pulmonary and Mediastinal Lymph Nodes of Non-Small Cell Lung Cancer
before and after Dexamethasone.
PMID- 27870629
TI - Hepatic Gadolinium Deposition in Pediatric Hematopoietic Stem Cell Transplant
Recipients.
PMID- 27870630
TI - Case 236: Middle Interhemispheric Variant of Holoprosencephaly.
AB - History A 13-year-old girl presented for evaluation and further management of
spastic diplegia cerebral palsy. Absence of the corpus callosum was noted at
screening prenatal head ultrasonography. She was born at full term via
spontaneous vaginal delivery. Physical examination revealed decreased axial
muscle tone and increased muscle tone in her extremities; the latter was more
severe. She was nonambulatory. No midline craniofacial anomaly was seen. She had
dysarthria but was able to speak in full sentences. She was in sixth grade with
an individualized education program. She had mild behavioral problems, such as
"acting out" in school. Brain magnetic resonance (MR) imaging, including three
dimensional T1- and T2-weighted sequences, was performed without intravenous
administration of contrast material to evaluate the brain.
PMID- 27870632
TI - Benefit-to-Radiation Risk for Breast Screening Tests Is a Flawed Concept.
PMID- 27870633
TI - DSA of Perimesencephalic Hemorrhage.
PMID- 27870634
TI - Investigation of and Response to 2 Plague Cases, Yosemite National Park,
California, USA, 2015.
AB - In August 2015, plague was diagnosed for 2 persons who had visited Yosemite
National Park in California, USA. One case was septicemic and the other bubonic.
Subsequent environmental investigation identified probable locations of exposure
for each patient and evidence of epizootic plague in other areas of the park.
Transmission of Yersinia pestis was detected by testing rodent serum, fleas, and
rodent carcasses. The environmental investigation and whole-genome multilocus
sequence typing of Y. pestis isolates from the patients and environmental samples
indicated that the patients had been exposed in different locations and that at
least 2 distinct strains of Y. pestis were circulating among vector-host
populations in the area. Public education efforts and insecticide applications in
select areas to control rodent fleas probably reduced the risk for plague
transmission to park visitors and staff.
PMID- 27870635
TI - Alternative exon usage creates novel transcript variants of tumor suppressor
SHREW-1 gene with differential tissue expression profile.
AB - Shrew-1, also called AJAP1, is a transmembrane protein associated with E-cadherin
mediated adherence junctions and a putative tumor suppressor. Apart from its
interaction with beta-catenin and involvement in E-cadherin internalization,
little structure or function information exists. Here we explored shrew-1
expression during postnatal differentiation of mammary gland as a model system.
Immunohistological analyses with antibodies against either the extracellular or
the cytoplasmic domains of shrew-1 consistently revealed the expression of full
length shrew-1 in myoepithelial cells, but only part of it in luminal cells.
While shrew-1 localization remained unaltered in myoepithelial cells, nuclear
localization occurred in luminal cells during lactation. Based on these
observations, we identified two unknown shrew-1 transcript variants encoding N
terminally truncated proteins. The smallest shrew-1 protein lacks the
extracellular domain and is most likely the only variant present in luminal
cells. RNA analyses of human tissues confirmed that the novel transcript variants
of shrew-1 exist in vivo and exhibit a differential tissue expression profile. We
conclude that our findings are essential for the understanding and interpretation
of future functional and interactome analyses of shrew-1 variants.
PMID- 27870636
TI - MarvelD3 regulates the c-Jun N-terminal kinase pathway during eye development in
Xenopus.
AB - Ocular morphogenesis requires several signalling pathways controlling the
expression of transcription factors and cell-cycle regulators. However, despite a
well-known mechanism, the dialogue between those signals and factors remains to
be unveiled. Here, we identify a requirement for MarvelD3, a tight junction
transmembrane protein, in eye morphogenesis in Xenopus MarvelD3 depletion led to
an abnormally pigmented eye or even an eye-less phenotype, which was rescued by
ectopic MarvelD3 expression. Altering MarvelD3 expression led to deregulated
expression of cell-cycle regulators and transcription factors required for eye
development. The eye phenotype was rescued by increased c-Jun terminal Kinase
activation. Thus, MarvelD3 links tight junctions and modulation of the JNK
pathway to eye morphogenesis.
PMID- 27870637
TI - Calcium homeostasis alterations in a mouse model of the Dynamin 2-related
centronuclear myopathy.
AB - Autosomal dominant centronuclear myopathy (CNM) is a rare congenital myopathy
characterized by centrally located nuclei in muscle fibers. CNM results from
mutations in the gene encoding dynamin 2 (DNM2), a large GTPase involved in
endocytosis, intracellular membrane trafficking, and cytoskeleton regulation. We
developed a knock-in mouse model expressing the most frequent DNM2-CNM mutation;
i.e. the KI-Dnm2R465W model. Heterozygous (HTZ) KI-Dnm2 mice progressively
develop muscle atrophy, impairment of contractile properties, histopathological
abnormalities, and elevated cytosolic calcium concentration. Here, we aim at
better characterizing the calcium homeostasis impairment in extensor digitorum
longus (EDL) and soleus muscles from adult HTZ KI-Dnm2 mice. We demonstrate
abnormal contractile properties and cytosolic Ca2+ concentration in EDL but not
soleus muscles showing that calcium impairment is correlated with muscle weakness
and might be a determinant factor of the spatial muscle involvement. In addition,
the elevated cytosolic Ca2+ concentration in EDL muscles is associated with an
increased sarcolemmal permeability to Ca2+ and releasable Ca2+ content from the
sarcoplasmic reticulum. However, amplitude and kinetics characteristics of the
calcium transient appear unchanged. This suggests that calcium defect is probably
not a primary cause of decreased force generation by compromised sarcomere
shortening but may be involved in long-term deleterious consequences on muscle
physiology. Our results highlight the first pathomechanism which may explain the
spatial muscle involvement occurring in DNM2-related CNM and open the way toward
development of a therapeutic approach to normalize calcium content.
PMID- 27870640
TI - Gastrointestinal regulatory peptides.
PMID- 27870641
TI - Growth, metabolism, genetics, and the environment - Alice was not a scientist.
PMID- 27870639
TI - Molecular pathway activation features of pediatric acute myeloid leukemia (AML)
and acute lymphoblast leukemia (ALL) cells.
AB - Acute lymphoblast leukemia (ALL) is characterized by overproduction of immature
white blood cells in the bone marrow. ALL is most common in the childhood and has
high (>80%) cure rate. In contrast, acute myeloid leukemia (AML) has far greater
mortality rate than the ALL and is most commonly affecting older adults. However,
AML is a leading cause of childhood cancer mortality. In this study, we compare
gene expression and molecular pathway activation patterns in three normal blood,
seven pediatric ALL and seven pediatric AML bone marrow samples. We identified
172/94 and 148/31 characteristic gene expression/pathway activation signatures,
clearly distinguishing pediatric ALL and AML cells, respectively, from the normal
blood. The pediatric AML and ALL cells differed by 139/34 gene expression/pathway
activation biomarkers. For the adult 30 AML and 17 normal blood samples, we found
132/33 gene expression/pathway AML-specific features, of which only 7/2 were
common for the adult and pediatric AML and, therefore, age-independent. At the
pathway level, we found more differences than similarities between the adult and
pediatric forms. These findings suggest that the adult and pediatric AMLs may
require different treatment strategies.
PMID- 27870638
TI - Depressive symptoms are associated with leukocyte telomere length in American
Indians: findings from the Strong Heart Family Study.
AB - Patients with depression have an increased risk for many aging-related disorders,
but the biological mechanisms underlying this link remain to be determined. Here
we examined the association between depressive symptoms and leukocyte telomere
length (LTL), a marker of biological aging, among 2,175 American Indians
participating in the Strong Heart Family Study. Depressive symptoms were assessed
by the Center for Epidemiologic Studies of Depression Scale (CES-D), which was
categorized into four levels: none (< 10), mild (10-15), moderate (16 -24), and
severe (> 24). LTL (T/S ratio) was quantified by qPCR. The association between
depressive symptoms and LTL was examined by multivariate generalized estimating
equation models, adjusting for sociodemographic factors, lifestyle factors, and
chronic conditions. Results showed that individuals with a higher level of
depressive symptoms had shorter LTL. Specifically, LTL in participants reporting
none, mild, moderate, and severe depressive symptoms were 1.000, 0.999, 0.988,
and 0.966, respectively (P for trend = 0.0278). Moreover, gender appears to
modulate the effect of reported depressive symptoms that fall in the severe range
(CES-D > 24) on LTL (P for interaction = 0.0346). Our results suggest that
depressive symptoms may accelerate biological aging through pathways beyond
traditional risk factors in American Indians.
PMID- 27870642
TI - Hepatocellular Carcinoma Surveillance Among Patients With Cirrhosis in a
Population-based Integrated Health Care Delivery System.
AB - PURPOSE: Fewer than 1 in 5 patients with cirrhosis receive hepatocellular
carcinoma (HCC) surveillance; however, most studies were performed in select
patient populations, which may not be informative of practice patterns in
population-based community practices. Further, few reported guideline-concordant
consistent surveillance rates. GOALS: Characterize guideline-concordant HCC
surveillance rates and patient-level factors associated with surveillance among a
population-based cohort of patients with cirrhosis. STUDY: We retrospectively
characterized HCC surveillance among cirrhosis patients followed between January
2010 and December 2012 at an integrated health care delivery system in Washington
state. Consistent surveillance was defined as an ultrasound every 6 months, and
inconsistent surveillance was defined as >=1 ultrasound during the 2-year follow
up period. Univariate and multivariate analyses were conducted to identify
correlates of HCC surveillance receipt. RESULTS: Of 1137 patients with cirrhosis,
22 (2%) underwent consistent surveillance, 371 (33%) had inconsistent
surveillance, and 744 (65%) received no surveillance during follow-up. Correlates
of HCC surveillance receipt in multivariate analysis included
Gastroenterology/Hepatology subspecialty care [odds ratio (OR), 1.88; 95%
confidence interval (CI), 1.44-2.46], Child Pugh B/C cirrhosis (OR, 1.61; 95% CI,
1.07-2.43), elevated aspartate aminotransferase (OR, 1.63; 95% CI, 1.13-2.35),
and etiology of liver disease. Compared with hepatitis C-infected patients,
patients with hepatitis B infection were more likely to undergo surveillance (OR,
2.72; 95% CI, 1.28-5.81), whereas patients with alcohol-related cirrhosis (OR,
0.63; 95% CI, 0.42-0.93) and nonalcoholic steatohepatitis (OR, 0.39; 95% CI, 0.28
0.56) were less likely to undergo surveillance. CONCLUSIONS: Although one third
of patients undergo inconsistent HCC surveillance, <2% of patients receive
guideline-concordant biannual HCC surveillance.
PMID- 27870643
TI - Yellow fever vaccine 17D administered to healthy women aged between 40 and 54
years halves breast cancer risk: an observational study.
AB - Transcripts of human endogenous retrovirus K are expressed in most breast cancers
(BCs). Yellow fever vaccine 17D (YFV) expresses a protein with a closely
homologous epitope. Cross-reactive immunity could hypothetically inhibit BC
growth at least in women aged around 50 years at diagnosis, in whom the prognosis
of BC was found to be better than that in women younger or older. A cohort of 12
804 women who received YFV in the Veneto Region, Italy, was divided into two
subcohorts according to age at vaccination and followed up through the Veneto
Tumor Registry. The time since vaccination until cancer incidence was categorized
(<=1.9; 2-3.9; 4-5.9; 6-7.9; 8-10.9; >=11 years) and, using the lowest class as a
reference, the incidence rate ratio for BC with a 95% confidence interval and P
value was estimated by Poisson regression in each time since vaccination class,
adjusting for age and calendar period. In 3140 women vaccinated at 40-54 years of
age, YFV administration resulted in a protective effect of long duration slowly
fading over time with a U-shaped pattern of response. Overall, BC risk was
reduced by about 50% (incidence rate ratio=0.46; 95% confidence interval=0.26
0.83; P=0.009) 2 years after vaccination. Cross-reactive antigens could not be
the mechanism because no protection was observed in women vaccinated before 40 or
after 54 years of age. BC cells in a microscopic stage of disease can be
destroyed or severely damaged by YFV if BC is not very aggressive. To prove that
treatment is truly effective, a placebo-controlled double-blind trial should be
conducted.
PMID- 27870644
TI - Analysis of Physiological Respiratory Variable Alarm Alerts Among Laboring Women
Receiving Remifentanil.
AB - BACKGROUND: Remifentanil may be used by laboring women for analgesia, despite
controversy because of potential apneas. We evaluated candidate variables as
early warning alerts for apnea, based on prevalence, positive predictive rate,
sensitivity for apnea event detection, and early warning alert time intervals
(lead time) for apnea. METHODS: We performed a secondary analysis of respiratory
physiological data that had been collected during a prospective IRB-approved
study of laboring women receiving IV patient-controlled boluses of remifentanil
20 to 60 MUg every 1 to 2 minutes. Analyzed data included the respiratory rate
(RR), end-tidal CO2 (EtCO2), pulse oximetry (SpO2), heart rate (HR), and the
Integrated Pulmonary Index (IPI; Capnostream 20; Medtronic, Boulder, CO) that had
been recorded continuously throughout labor. We defined immediate early warning
alerts as any drop in a variable value below a prespecified threshold for 15
seconds: RR < 8 breaths per minute (bpm), EtCO2 < 15 mm Hg, and SpO2 < 92%. We
defined alerts as "sustained" when the value remained below the threshold for >=
10 further seconds. The IPI value (1 to 10; 10 = healthy patient, <=4 = immediate
attention required, 1 = dire condition) was generated from a proprietary
algorithm using RR, EtCO2, SpO2, and HR parameters. Apnea was defined as maximal
CO2 < 5 mm Hg for at least 30 consecutive seconds. RESULTS: We counted 62 apneas,
among 10 of 19 (52.6%) women who received remifentanil (total dose 1725 +/- 1392
MUg, administered over 160 +/- 132 minutes). We counted 331 immediate early
warning alerts for the variables; 271 (82%) alerts were sustained for >=10
seconds. The positive predictive value of alerts for apnea was 35.8% (99%
confidence interval [CI]: 27.1-45.6), 28.9% (99% CI: 20.8-38.7), 4.3% (99% CI:
1.9-9.6), and 24.6% (99% CI: 18.3-32.2) for RR, EtCO2, SpO2, and IPI,
respectively. The sensitivity for apnea event detection was 100% (99% CI: 90.3
100) for RR (<8 bpm) and IPI (<=4); 75.8% (99% CI: 59.8-86.9) for EtCO2 <15 mm
Hg; and 14.5% (99% CI: 6.5-29.4) for SpO2 <92%. We found a statistically
significant difference in the timing of RR, EtCO2, SpO2, and IPI alerts for
apnea; Friedman's Q = 33.53; P < .0001. The EtCO2 had a median (interquartile
range) lead time of -0.2 (-12.2 to 0.7) seconds, and SpO2 had a median
(interquartile range) lead time of 40.0 (40.0 to 40.0) seconds. CONCLUSIONS: The
majority of women receiving IV remifentanil for labor analgesia experienced
apneas. Alerts for EtCO2 (<15 mm Hg), RR (<8 bpm), and IPI (<=4) detected most
apneas, whereas SpO2 alerts missed the majority of apneas. All variables had a
low positive predictive rate, demonstrating the limitations of the respiratory
monitors utilized as early warning surveillance for apneas in this setting.
PMID- 27870645
TI - Where next with preexposure prophylaxis?
AB - PURPOSE OF REVIEW: Controlling the HIV epidemic remains a major public health
challenge, and there is an urgent need for novel prevention strategies.
Preexposure prophylaxis (PrEP) refers to the use of antiretrovirals in HIV
negative people at high risk to prevent infection and has the potential to be an
important component in the global effort to end the HIV epidemic by 2030. We
review the current evidence for the safety and efficacy of PrEP in its different
forms and address emergent issues and concerns regarding its implementation.
RECENT FINDINGS: Two further randomized control trials report high efficacy of
both daily and intermittent PrEP in MSM leading to renewed calls for wider
availability of PrEP for this group. Oral tenofovir disoproxil/emtricitabine has
been licensed for PrEP in many countries and is well tolerated, safe and
effective. SUMMARY: Oral PrEP is well tolerated and effective in reducing the
incidence of HIV infection in individuals at high risk. Implementation in high
income countries is progressing slowly; demonstration projects and trials
continue in low and middle-income countries.
PMID- 27870646
TI - Ultrasound-Guided Electrodes for Conduction Studies of the Saphenous Nerve.
AB - PURPOSE: Saphenous nerve conduction studies are difficult, because the nerve is
hard to localize and evoked responses are small. Ultrasound imaging may assist in
the accurate localization and optimal positioning of surface (SE) and needle
electrodes (NE). METHODS: The study population included 39 subjects and was
divided into two groups. Group A consisted of 20 healthy subjects, whereas group
B of 19 patients with polyneuropathies. Orthodromic conduction was measured by
distal supramaximal nerve stimulation. Surface electrode and NE recordings were
compared. RESULTS: In the control group, SEs recorded responses in 17 of 20
healthy subjects, whereas NEs in 19. In the patients' group, SEs recorded
responses in 7 of 19 patients, whereas NEs in 16. In all healthy subjects and
patients, sensory nerve action potentials recorded by NEs were significantly
larger than those obtained by SEs (healthy subjects: 5.85 +/- 3.01 MUV vs. 1.98
+/- 1.37 MUV, P < 0.0001; patients 3.05 +/- 2.35 MUV vs. 0.71 +/- 1.14 MUV, t
test P < 0.0001). CONCLUSIONS: Ultrasound guidance allows precise electrode
positioning for saphenous nerve electrophysiological testing. Amplitudes of the
recorded sensory nerve action potentials are clearly higher with ultrasound
guided needle than with surface recordings.
PMID- 27870647
TI - MEG May Reveal Hidden Population of Spikes in Epilepsy With Porencephalic
Cyst/Encephalomalacia.
AB - Porencephalic cyst/encephalomalacia is often associated with intractable
epilepsy. A limited number of studies reported magnetoencephalography's (MEG's)
potential to help construct treatment strategy for epilepsies associated with
porencephalic cyst/encephalomalacia. The authors present here simultaneous
electroencephalography (EEG) and MEG findings in three adult patients with
pediatric-onset epilepsy due to porencephalic cyst/encephalomalacia. There were
two types of spikes: one type was detected by MEG only (EEG-/MEG+), and the other
detected by both EEG and MEG (EEG+/MEG+). Both types were seen in all three
cases. The EEG-/MEG+ spikes all formed tight clusters; in contrast, the majority
of EEG+/MEG+ spikes formed loose clusters. These data suggest that MEG may be
helpful to better identify spike populations in epilepsy patients with
porencephalic cyst/encephalomalacia. If hidden spike populations were to be found
by MEG, this information would affect the interpretation of patient's
pathophysiology and planning of intracranial electrode placement.
PMID- 27870648
TI - Facilitated temporal summation of pain correlates with clinical pain intensity
after hip arthroplasty.
AB - Widespread hyperalgesia has been found in patients with painful hip
osteoarthritis (OA) which can be normalized after total hip arthroplasty (THA) if
patients have no residual postoperative pain. This study characterized the
preoperative somatosensory profiles and provided possible interpretation of
underlying pain mechanisms that might influence the development of postoperative
pain. Forty hip OA patients with unilateral pain were assessed before and 6 weeks
post-THA and compared with 40 asymptomatic control subjects. Hip pain intensity
at rest and while walking was assessed on a visual analogue scale (VAS).
Bilateral cuff algometry from the thighs was used to assess the cuff pressure
pain thresholds, pressure values at VAS scores equal with 6 cm (PVAS6), cuff
pressure tolerance, and temporal summation of pain (TSP) quantified by an
increase in VAS scores to repeated phasic cuff stimulations. Correlations between
hip pain VAS post-THA and preoperative quantitative sensory testing results were
analyzed. Post-THA hip pain VAS scores decreased (P < 0.05) compared with pre
THA. The cuff pressure pain threshold, PVAS6, and cuff pressure tolerance were
significantly lower bilaterally in both patients with pre-THA and post-THA
compared with controls (P < 0.05). Temporal summation of pain was facilitated
bilaterally in patients with pre-THA compared with controls and normalized after
THA in postoperative pain-free patients (P < 0.05). Postoperative hip pain VAS
scores correlated with preoperative ipsilateral TSP (r = 0.44, P < 0.05).
Bilateral pressure pain hypersensitivity and facilitated TSP were demonstrated in
patients with preoperative hip OA. Although persistent postoperative pain is
known as multifactorial, greater preoperative TSP was associated with greater
pain and less reduction in pain after THA.
PMID- 27870649
TI - The Reliability of Disease Activity Score in 28 Joints-C-Reactive Protein Might
Be Overestimated in a Subgroup of Rheumatoid Arthritis Patients, When the Score
Is Solely Based on Subjective Parameters: A Cross-sectional, Exploratory Study.
AB - BACKGROUND: Disease Activity Score in 28 Joints (DAS28) is a scoring system to
evaluate disease activity and treatment response in rheumatoid arthritis (RA). A
DAS28 score of greater than 3.2 is a well-described limit for treatment
intensification; however, the reliability of DAS28 might be overestimated.
OBJECTIVE: The aim of this study was to evaluate the reliability of DAS28 in RA,
especially focusing on a subgroup of patients with a DAS28 score of greater than
3.2. METHODS: Data from RA patients registered in the local part of Danish DANBIO
Registry were collected in May 2015. Patients were categorized into 2 groups:
First, those with DAS28 >3.2 with at least one swollen joint (SJ) or elevated C
reactive protein (CRP) ("objective group"), and second, patients with a DAS28
>3.2 who had no SJ, and CRP values were within the reference range ("subjective
group"). Disease Activity Score in 28 Joints, Clinical Disease Activity Index,
and Health Assessment Questionnaire scores were calculated for each group. We
defined new score, DAS28 subjective, to focus on subjective parameters. RESULTS:
Two hundred thirty patients were included; 198 (86.1%) and 32 (13.9%) patients
were in the objective and subjective groups, respectively. Patients in the
subjective group had lower mean values of DAS28 (P < 0.001) and Evaluator Global
Assessment (P < 0.001) with less common immunoglobulin M rheumatoid factor (P <
0.001) and anti-cyclic citrullinated peptide positivity (P = 0.02) and contrarily
higher mean values of tender joints (P = 0.04) and DAS28 based on subjective
parameters (P = 0.003) compared with the objective group. CONCLUSIONS: Rheumatoid
arthritis scoring systems should be used cautiously in patients who are
considered for treatment intensification. Patients with central sensitization and
psychological problems and those with false-positive diagnosis of RA are at high
risk of overtreatment.
PMID- 27870650
TI - Surgical Answer to Intralesional Steroid-Induced Skin Atrophy.
PMID- 27870651
TI - Commentary on Chlorhexidine Keratitis.
PMID- 27870652
TI - Fatty acid metabolism in macrophages: a target in cardio-metabolic diseases.
AB - PURPOSE OF REVIEW: Recent studies have highlighted that macrophages dynamically
and autonomously handle all the facets of fatty acid (FA) metabolism including FA
oxidation and FA synthesis as well as the synthesis of monounsaturated FAs and
long chain n-3 and n-6 polyunsaturated FAs. RECENT FINDINGS: Macrophage M2
polarization is associated with an increase of FA oxidation. However, whether
increased FA oxidation simply correlates with or is required for M2 polarization
needs to be further evaluated. Macrophage M1 polarization is associated with the
activation of FA synthesis, which directly contributes to the inflammatory
response and affects cholesterol homeostasis and neutral lipid accumulation.
Finally, recent evidences suggest that macrophages are able to autonomously
produce signaling monounsaturated FAs, such as palmitoleic acid (C16 : 1 n-7),
and long chain n-3 and n-6 polyunsaturated FAs, such as arachidonic acid,
eicosapentaenoic acid, and docosahexaenoic acid. This pathway is regulated by
liver X receptors and has significant consequences on inflammation and on the FA
composition of atheroma plaques. SUMMARY: These studies shed new light on the
tight relationship between FA metabolism, macrophage polarization, and M1/M2
macrophage functions. These processes may have major consequences for
atherosclerosis pathogenesis as well as other metabolic disorders.
PMID- 27870653
TI - Apolipoprotein L1 and apolipoprotein A-IV and their association with kidney
function.
AB - PURPOSE OF REVIEW: Chronic kidney disease (CKD) is a common disease with an
estimated prevalence of 10-12%. There are pronounced differences between
ethnicities with a 3-fold to 4-fold higher lifetime risk for end-stage kidney
disease in African Americans compared to European Americans. The purpose of this
review was to discuss recent findings on two apolipoproteins (apolipoprotein L1
and A-IV) in the context of kidney disease and kidney function. RECENT FINDINGS:
The observation that certain apolipoprotein L1 risk genotypes that are only
present in African Americans might explain a major fraction of the ethnic
differences for nondiabetic CKD has set the stage for this otherwise under
researched apolipoprotein. These risk genotypes on the one hand protect African
Americans against African sleeping sickness but cause on the other hand several
types of nondiabetic CKD. We are currently beginning to understand the mechanisms
how apolipoprotein L1 is involved in the modification of lysosomal and
cytoplasmic membranes. The second protein, apolipoprotein A-IV (apoA-IV), turned
out to be an early marker of kidney impairment not only in patients with primary
CKD but also in individuals from the general population. Genetic studies provided
strong support of a causal effect of kidney function on apoA-IV concentrations.
SUMMARY: These two apolipoproteins have very distinct properties. Apolipoprotein
L1 is causally involved in the development of nondiabetic CKD in African
Americans. In contrast, apoA-IV is an early marker for kidney impairment.
PMID- 27870654
TI - Dietary fatty acid metabolism in prediabetes.
AB - PURPOSE OF REVIEW: Experimental evidences are strong for a role of long-chain
saturated fatty acids in the development of insulin resistance and type 2
diabetes. Ectopic accretion of triglycerides in lean organs is a characteristic
of prediabetes and type 2 diabetes and has been linked to end-organ
complications. The contribution of disordered dietary fatty acid (DFA) metabolism
to lean organ overexposure and lipotoxicity is still unclear, however. DFA
metabolism is very complex and very difficult to study in vivo in humans. RECENT
FINDINGS: We have recently developed a novel imaging method using PET with oral
administration of 14-R,S-F-fluoro-6-thia-heptadecanoic acid (FTHA) to quantify
organ-specific DFA partitioning. Our studies thus far confirmed impaired storage
of DFA per volume of fat mass in abdominal adipose tissues of individuals with
prediabetes. They also highlighted the increased channeling of DFA toward the
heart, associated with subclinical reduction in cardiac systolic and diastolic
function in individuals with prediabetes. SUMMARY: In the present review, we
summarize previous work on DFA metabolism in healthy and prediabetic states and
discuss these in the light of our novel findings using PET imaging of DFA
metabolism. We herein provide an integrated view of abnormal organ-specific DFA
partitioning in prediabetes in humans.
PMID- 27870655
TI - Blood pressure treatment levels and choice of antihypertensive agent in people
with diabetes mellitus: an overview of systematic reviews.
AB - OBJECTIVE: Multiple systematic reviews address the effect of antihypertensive
treatment in people with diabetes. Here, we summarize current systematic reviews
concerning antihypertensive treatment effect at different blood pressure (BP)
levels, and relative treatment effect of different antihypertensive agents.
METHODS: We searched MEDLINE, BIOSIS, DARE and CDSR during years 2005-2016.
Eligibility criteria, number of trials and participants, outcomes analysed,
statistical methods used for data synthesis, and principal results were extracted
for each review. Review quality was assessed using the assessment of multiple
systematic reviews tool. RESULTS: We found four reviews concerning BP treatment
level. These consistently showed that the effect of antihypertensive treatment on
mortality, cardiovascular disease and coronary heart disease was attenuated at
lower BP levels. If SBP was more than 140 mmHg, treatment reduced all-cause and
cardiovascular mortality, cardiovascular disease, stroke, myocardial infarction
and heart failure. If SBP was less than 140 mmHg, treatment increased the risk of
cardiovascular death. We found eight reviews concerning choice of agent. We found
no difference between angiotensin-converting enzyme inhibitors, angotensin
receptor blockers, beta-blockers, calcium channel blockers and diuretics in
preventing all-cause or cardiovascular mortality, combined cardiovascular
disease, coronary heart disease and end-stage renal disease. Minor differences
exist for stroke and heart failure. Data were limited on people with type 1
diabetes and very elderly patients with type 2 diabetes. None of the reviews
concerning choice of agent included all relevant trials. CONCLUSION: The
available evidence supports treatment in people with type 2 diabetes and SBP more
than 140 mmHg, using any of the major antihypertensive drug classes.
PMID- 27870656
TI - Oscillometric and auscultatory blood pressure measurement methods in children: a
systematic review and meta-analysis.
AB - BACKGROUND: The phase-out of mercury from clinical settings calls for valid
alternatives to assess blood pressure (BP) in children. Aneroid devices provide a
mercury-free alternative to BP measurements by auscultation, whereas
oscillometric (automated) devices are increasingly becoming the norm in clinical
practice due to their ease of use. The aim of this systematic review and meta
analysis was to investigate the accuracy of oscillometric and aneroid BP devices
compared with the mercury sphygmomanometer for the measurement of BP in children.
METHODS: We systematically searched four electronic databases (MEDLINE, Embase,
CINAHL, and Web of Science) and relevant journals for eligible articles published
before 30 July 2015. We screened 1415 articles, and two authors independently
reviewed 92 full-text articles. RESULTS: We included 29 articles (38 studies)
with 26 879 children. Random-effects model meta-analyses revealed that
oscillometric devices yield higher measurements of SBP than auscultation with a
mercury sphygmomanometer (pooled effect estimate 2.53 mmHg; 95% CI 0.57-4.50; P <
0.05); the pooled effect estimate for SBP was smaller in studies that 'passed'
validation protocols (1.76 mmHg; 95% CI 0.61-2.81; n = 12). There was no
significant difference for DBP (pooled effect estimate 1.55 mmHg; 95% CI -0.20 to
3.31). There was heterogeneity between studies, explained in part by differences
in manufacturer, study setting and observer training. Only three studies compared
BP using aneroid and mercury devices and found comparable results. CONCLUSION:
Oscillometric devices may serve as a suitable alternative to auscultation for
initial BP screening in the pediatric population.
PMID- 27870657
TI - Health Care Utilization and Cost in Children and Adolescents With Chronic Pain:
Analysis of Health Care Claims Data 1 Year Before and After Intensive
Interdisciplinary Pain Treatment.
AB - OBJECTIVES: The aim of this study was to analyze changes in health care
utilization and cost among a sample of highly impaired children and adolescents
who sought a 3-week intensive interdisciplinary pain treatment (IIPT). MATERIALS
AND METHODS: Claims data from 7 statutory health insurance companies were
analyzed for 65 children and adolescents who sought IIPT at the German Paediatric
Pain Centre. The annual health care utilization and cost were determined for the
following 4 areas: outpatient care, inpatient care, medications, and remedies and
aids. We analyzed the changes in resource utilization in the year before (pre_1
y) IIPT and in the subsequent year (post_1 y). RESULTS: Within the first year
after IIPT, overall health care costs did not decrease significantly. However,
the pattern of health care utilization changed. First, significantly more
children and adolescents started outpatient psychotherapy (P=0.001). Second, the
number of hospitalized children decreased significantly from 1-year pre to 1-year
post (P=0.001). Accordingly, there were significantly fewer hospitalizations for
primary chronic pain disorders at 1-year post (P<0.001). The prescription of
nonopioids, co-analgesics and opioids was significantly reduced from 1-year pre
to 1-year post (all P<0.013). DISCUSSION: The present results indicate that the
health care costs of children and adolescents with severe chronic pain disorders
do not significantly decrease 1 year after IIPT; however, the treatment becomes
more goal-focused. Differential diagnosis measures and nonindicated therapeutic
interventions decreased, and more indicated interventions, such as psychotherapy,
were used. Future research is needed to investigate the economic long-term
changes after IIPT.
PMID- 27870658
TI - Finally, Evidence for Continuation Electroconvulsive Therapy in Major Depressive
Disorder.
PMID- 27870659
TI - A novel TWIST1 gene mutation in a patient with Saethre-Chotzen syndrome.
PMID- 27870660
TI - Patients Negatively Impacted by Discontinuity of Nursing Care During Acute
Hospitalization.
AB - BACKGROUND: Continuity of nursing care in hospitals remains poor and not
prioritized, and we do not know whether discontinuous nursing care is negatively
impacting patient outcomes. OBJECTIVES: This study aims to examine nursing care
discontinuity and its effect on patient clinical condition over the course of
acute hospitalization. RESEARCH DESIGN: Retrospective longitudinal analysis of
electronic health records (EHR). Average point-in-time discontinuity was
estimated from time of admission to discharge and compared with theoretical
predictions for optimal continuity and random nurse assignment. Mixed-effects
models estimated within-patient change in clinical condition following a
discontinuity. SUBJECTS: A total of 3892 adult medical-surgical inpatients were
admitted to a tertiary academic medical center in the Eastern United States
during July 1, 2011 and December 31, 2011. MEASURES: Exposure: discontinuity of
nursing care was measured at each nurse assessment entry into a patient's EHR as
assignment of the patient to a nurse with no prior assignment to that patient.
OUTCOME: patient's clinical condition score (Rothman Index) continuously tracked
in the EHR. RESULTS: Discontinuity declined from nearly 100% in the first 24
hours to 70% at 36 hours, and to 50% by the 10th postadmission day. Discontinuity
was higher than predicted for optimal continuity, but not random. Each instance
of discontinuity lead to a 0.12-0.23 point decline in the Rothman Index score,
with more pronounced effects for older and high-mortality risk patients.
CONCLUSIONS: Discontinuity in acute care nurse assignments was high and
negatively impacted patient clinical condition. Improved continuity of provider
patient assignment should be advocated to improve patient outcomes in acute care.
PMID- 27870661
TI - Should the Positive Predictive Value be Used to Validate Complications Measures?
PMID- 27870662
TI - Introducing copayments in the emergency department would deter appropriate visits
in the Netherlands.
AB - OBJECTIVE: To determine what the effects of introduction of copayments for self
referred emergency department (ED) visits would be in the Netherlands and at what
amount patients would turn to a GP before visiting an ED. METHODS: This
questionnaire study was carried out in the ED of the Rijnstate Hospital, a
community teaching hospital in the Netherlands. In the Netherlands, a deductible
excess system is in use and this study investigated the effects of a copayment
for self-referred patients (SRPs) on top of the deductible excess. A
questionnaire was developed and handed out to SRPs. RESULTS: A total of 433 SRPs
were included; their average age was 33.1 years and 63% were male. With a
copayment of &OV0556;100, 47% of SRPs would choose to visit their GP instead of
the ED. A further increase in the copayment amount is largely ineffective in
reducing the number of self-referred ED visits. The higher the household income
and education level and the more urgent the triage category, the larger the
copayment patients are willing to pay. There is no significant relation between
appropriateness and the amount of copayment that patients are willing to pay and
we found no specific copayment level that resulted in reducing mainly
inappropriate ED visits. CONCLUSION: With a copayment of &OV0556;100, 47% of the
SRPs would choose to visit their GP instead of the ED. There was no specific
copayment level that resulted in reducing mainly inappropriate ED visits.
PMID- 27870663
TI - Dendritic cell subset expression in severe chronic rhinosinusitis with nasal
polyps.
AB - PURPOSE OF REVIEW: Two main pillars are implicated in nasal polyposis
development: a severe imbalance in immunomodulation and a mechanical dysfunction
because of an abnormal remodeling process. Dendritic cells play a crucial role in
the link between innate and adaptive immune response and orchestrating the T-cell
response and are implicated in the severe inflammatory process found in nasal
polypoid tissue. This review summarizes the existent knowledge about dendritic
cells in nasal polyposis. RECENT FINDINGS: Dendritic cells are found increased in
nasal polyposis, regardless of subset. Of interest, plasmacytoid dendritic cells
are decreased in patients with a more severe Th2 profile, suggesting an important
role of the cytokines milieu in their functional response or that plasmacytoid
dendritic cell could act mitigating the inflamed process found in polypoid
tissue. SUMMARY: Understanding the dendritic cell subset expression in different
environments, as well as the effect of these subsets on T-cell differentiation
will greatly improve the development of new therapies in nasal polyposis.
PMID- 27870664
TI - Targeting IL-25 as a novel therapy in chronic rhinosinusitis with nasal polyps.
AB - PURPOSE OF REVIEW: Chronic rhinosinusitis with nasal polyps (CRSwNP) is a
heterogeneous inflammatory disorder with a poorly understood pathophysiology.
Recent findings show that epithelial-derived cytokines, including thymic stromal
lymphopoietin, IL-33, and IL-25, can exacerbate Th2 immune responses, ultimately
leading to recalcitrant chronic rhinosinusitis and nasal polyps. Although IL-25
is increased in CRSwNP, the targeting of IL-25 as a therapeutic strategy remains
largely unexplored. In this review, we outline the many recent advances in our
understanding of the association between IL-25 and CRSwNP. RECENT FINDINGS:
Recently, we demonstrated that IL-25, produced primarily by sinonasal epithelial
cells and infiltrating mast cells, plays an important role in the pathogenesis of
CRSwNP in Asian patients. Furthermore, IL-25 and IL-25R are elevated in nasal
polyps. This cytokine has roles in the pathogenesis of CRSwNP via modulating
group 2 innate lymphoid cells (ILC2s). Similarly, ILC2 enrichment has been
reported in CRSwNP patients, and a positive correlation has been shown between
ILC2s and CRSwNP. Clinical trials blocking thymic stromal lymphopoietin and IL-33
pathways are ongoing using monoclonal antibodies, AMG157 and AMG282, against
CRSwNP, respectively. SUMMARY: Studies on the role played by IL-25 in the
pathogenesis of CRSwNP are accumulating and suggest the possibility of a novel
therapeutic strategy for treating CRSwNP.
PMID- 27870665
TI - Near-fatal asthma: a heterogeneous clinical entity.
AB - PURPOSE OF REVIEW: The aims of the present review were to describe the
heterogeneous nature of near-fatal asthma (NFA) and to summarize the distinctive
phenotypes identified in this subtype of asthma. RECENT FINDINGS: Clinical,
physiological, and histological studies have shown a large number of triggers,
pathological mechanisms, and risk factors associated with NFA. Based on the
demographic and clinical characteristics of the patients, the circumstances
surrounding the asthma exacerbation and some distinctive features of the disease,
several clinical profiles of asthma patients with NFA have been described. Recent
data show new associations between some gene expression patterns and fatal
asthma, as well as with some biological markers related to inflammatory or
immunologic mechanisms in the airways. Also, the use of statistical methods, such
as cluster analysis, allowed identifying and confirming different phenotypes of
life-threatening asthma patients. SUMMARY: NFA is a heterogeneous clinical
entity, in which different patients' clinical profiles may coexist [e.g. rapid
onset NFA, NFA in patients with dyspnea hypoperception or sensitized to certain
pneumoallergens (Alternaria alternata, soybean), NFA related to the menstrual
cycle, brittle asthma]. Knowledge of these phenotypes as well as adequate and
specific management strategies can reduce morbidity and mortality in patients
suffering from NFA.
PMID- 27870666
TI - Introduction: Current State of Healthcare Economics, Expenditures, and Our Moral
Obligation to Cost Containment.
PMID- 27870667
TI - The Role of Dedicated Musculoskeletal Urgent Care Centers in Reducing Cost and
Improving Access to Orthopaedic Care.
AB - OBJECTIVES: Over the past few years, the United States has seen the rapid growth
of dedicated musculoskeletal urgent care centers owned and operated by individual
orthopaedic practices. In June of 2014, our practice opened the first dedicated
orthopaedic urgent care in the region staffed by physician assistants and
supervised by orthopaedic surgeons. Our hypothesis is that such centers can
safely improve orthopaedic care for ambulatory orthopaedic injuries, decrease
volume for overburdened emergency departments (EDs), reduce wait times and
significantly decrease the cost of care while improving access to orthopaedic
specialists. DESIGN: Retrospective review. SETTING: Level 2 trauma center and
physician-owned orthopaedic urgent care. PATIENTS: Consecutive series of patients
seen in the hospital ED (n = 87,629) and orthopaedic urgent care (n = 12,722).
INTERVENTION: None. OUTCOMES: ED wait time, total visit time, time until being
seen by provider, time until consultation with orthopaedic surgeon, total visit
charges, and effect on orthopaedic practice revenue. RESULTS: During the 12
months of study, 12,722 patients were treated in our urgent care. The average
urgent care wait time until being seen by a provider was 17 minutes compared with
45 minutes in hospital ED. Total visit time was 43 minutes in the urgent care and
156 minutes in the hospital ED. Time to being seen by an orthopaedic specialist
was 1.2 days for urgent care patients compared with 3.4 days for ED patients. The
average charge for an urgent care visit was $461 compared with $8150 in hospital
ED. During the course of study, urgent care treatment reduced charges to health
care system by $97,819,458. Hospital ED orthopaedic volume did decrease as
expected but total ED patient volume remained the same. There was no measureable
effect on hospital ED wait times. Hospital surgical case volume did not change
over the period of study and the orthopaedic census remained stable. Urgent care
construction, marketing, administration, imaging, and labor costs totaled
$1,664,445. Urgent care revenue from evaluation and management, imaging, durable
medical equipment, and casting totaled $2,577,707. Practice revenue from follow
up care of patients who entered practice through the urgent care totaled
$7,657,998. CONCLUSION: Dedicated musculoskeletal urgent care clinics operated by
orthopaedic surgery practices can be extremely beneficial to patients,
physicians, and the health care system. They clearly improve access to care,
whereas significantly decreasing overall health care costs for patients with
ambulatory orthopaedic conditions and injuries. In addition, they can be
financially beneficial to both patients and orthopaedic surgeons alike without
cannibalizing local hospital surgical volumes. LEVEL OF EVIDENCE: Therapeutic
Level III.
PMID- 27870668
TI - Cost Savings Opportunities in Perioperative Management of the Patients With
Orthopaedic Trauma.
AB - Considerable opportunities for cost savings exist surrounding the perioperative
management of patients with orthopaedic fracture and trauma. Scientific evidence
is available to support each potential cost savings measure. Much of these data
had been documented for years but has never been adhered to, resulting in
millions of dollars in unnecessary testing and treatment. Careful attention to
preoperative laboratory testing can save huge amounts of money and expedite
medical clearance for injured patients. The use of a dedicated orthopaedic trauma
operating room has been shown to improve resource utilization, decrease costs,
and surgical complications. A variety of anesthetic techniques and agents can
reduce operative time, recovery room time, and hospital lengths of stay. Strict
adherence to blood utilization protocols, appropriate deep venous thrombosis
prophylaxis, and multimodal postoperative pain control with oversight from
dedicated hip fracture hospitalists is critical to cost containment. Careful
attention to postoperative disposition to acute care and management of
postoperative testing and radiographs can also be another area of cost
containment. Institutional protocols must be created and followed by a team of
orthopaedic surgeons, hospitalists, and anesthesiologists to significantly impact
the costs associated with care of patient with orthopaedic trauma and fracture.
PMID- 27870669
TI - Operating Room Efficiency: Benefits of an Orthopaedic Traumatologist at a Level
II Trauma Center.
AB - OBJECTIVES: Fellowship-trained orthopaedic traumatologists are presumably taught
skill sets leading to "best practice" outcomes and more efficient use of hospital
resources. This should result in more favorable economic opportunities when
compared with general orthopaedic surgeons (GOSs) providing similar clinical
services. The purpose of our study was to compare the operating room utilization
and financial data of traumatologists versus GOSs at a level II trauma center.
DESIGN: Retrospective review. SETTING: Level II community-based trauma hospital.
PATIENTS/PARTICIPANTS: Patients who presented to the emergency room at our
institution with fractures and orthopaedic conditions requiring surgical
intervention from January 1, 2010, to December 31, 2011. INTERVENTION: Operative
fracture fixation by members of our orthopaedic trauma panel, including
fellowship and nontrauma fellowship-trained orthopaedic surgeons. MAIN OUTCOME
MEASUREMENTS: Our institutional database was queried to determine operative
times, surgical supply and implant costs, and surgery labor expenses. Patients
were stratified according to those treated by our trauma panel's 3
traumatologists and those treated by the 15 GOSs on our trauma panel. These 2
groups were then compared using standard statistical methods. RESULTS: A total of
6449 orthopedic cases were identified and 2076 of these involved fracture care.
One thousand one hundred ninety-nine patients were treated by traumatologists and
877 by GOSs. There was no statistical difference detected in American Society of
Anesthesiologists score between trauma and nontrauma groups. Overall, the
traumatologist group demonstrated significantly decreased procedure times when
compared with the GOS group (55.6 vs. 75.8 minutes, P , 0.0001). In 16 of 18 most
common procedure types, traumatologists were more efficient. This led to
significantly decreased surgical labor costs ($381.4 vs. $484.8; P < 0.0001) and
surgical supply and implant costs ($2567 vs. $3003; P < 0.0001). CONCLUSIONS:
This study demonstrates that in our communitybased trauma system, fracture care
provided by traumatologists results in improved utilization of hospital-based
resources when compared with equivalent services provided by GOSs. Significantly
decreased operative times, surgical labor expenses, and supply and implant costs
by the fellowship-trained group represent enhanced control of the design, plan,
execution, and monitoring of orthopaedic trauma care. Traumatologists can provide
leadership recommendations for operating room efficiency in community-based
orthopaedic trauma care models. LEVEL OF EVIDENCE: Economic Level IV. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 27870670
TI - Operating Room Supply Costs in Orthopaedic Trauma: Cost Containment
Opportunities.
AB - In the current health care environment, cost containment is more important than
ever. Most physicians currently are unaware of the cost of operating room
supplies. A large amount of waste occurs secondary to lack of knowledge and
absence of physician incentives for cost saving. Many of the decisions for supply
use can be based on good scientific evidence, which supports specific cost saving
measures. Careful attention to blood utilization and use of tranexamic acid has
the potential to save millions in the hip fracture treatment and arthroplasty
treatments. Standardization of surgical preparation and draping can decrease
costs and prevent costly surgical site infections. Following protocols and
guidelines for bone graft and orthobiologics is critical. The clinical and legal
repercussions of retained instruments and costs associated with dropped implants
is a huge source of wasted health care dollars. Reprocessing programs for
external fixators and tourniquets have been extremely successful. A myriad of
opportunities for intraoperative cost savings exist that could be applied to
nearly every orthopaedic surgery performed in the United States. It is incumbent
on all surgeons to put aside the choices made out of habit and take part in
reducing operating room waste for the benefit of hospitals, patients, and the
health care system. When applied to the 5.3 million orthopaedic surgeries
performed annually in the United States, billions of dollars could easily be
saved with no adverse effect on patient care.
PMID- 27870671
TI - Surgeon Attitudes Regarding the Use of Generic Implants: An OTA Survey Study.
AB - OBJECTIVES: To determine the role of generic orthopaedic trauma implants in the
current orthopaedic trauma market, as perceived by OTA members, and investigate
potential hurdles to the use of generic implants and other cost-containment
measures. DESIGN: Survey study. SETTING: Not applicable. PARTICIPANTS: All active
OTA members with valid e-mail addresses were invited to participate.
INTERVENTION: Participants completed a brief online survey with questions
regarding participation in cost-containment and incentive programs, industry
relationships, generic implant use, and the role of surgeons in cost containment.
MAIN OUTCOME MEASURES: Survey data. RESULTS: Participation in cost-containment
programs (comanagement agreements, bundled payment for care improvement, and
gainsharing) was found to be very low among participants (17%, 36.5%, 17%,
respectively). Industry sales representatives were present in a majority of
participants' cases (76.9%) the majority of time, but relatively a few surgeons
(21.2%) felt their presence was necessary. Most surgeons were aware of the
availability of generic implants (72.6%), but a few had adopted the use of such
implants (25.5%), despite 50/52 (96.2%) prescribing generic drugs and 45/52
(86.5%) using generic products in their own households. CONCLUSIONS: Most
participants agreed that generic orthopaedic implants have a role in cost
containment, but a few have adopted these implants. The presence of sales
representatives does not seem to be necessary for most surgeons, and minimizing
or eliminating their presence may result in substantial savings for health care
institutions. Increased education and the use of financial incentive programs may
encourage improved surgeon participation in cost containment and adoption of
generic implants and may help reduce health care spending. LEVEL OF EVIDENCE:
Level 4. See Instructions for Authors for a complete description of levels of
evidence.
PMID- 27870672
TI - The Clinical and Economic Impact of Generic Locking Plate Utilization at a Level
II Trauma Center.
AB - OBJECTIVES: In today's climate of cost containment and fiscal responsibility,
generic implant alternatives represent an interesting area of untapped resources.
As patents have expired on many commonly used trauma implants, generic
alternatives have recently become available from a variety of sources. The
purpose of this study was to examine the clinical and economic impact of a cost
containment program using high quality, generic orthopaedic locking plates. The
implants available for study were anatomically precontoured plates for the
clavicle, proximal humerus, distal radius, proximal tibia, distal tibia, and
distal fibula. DESIGN: Retrospective review. SETTING: Level II Trauma center.
PATIENTS: 828 adult patients with operatively managed clavicle, proximal humerus,
distal radius, proximal tibia, tibial pilon, and ankle fractures. INTERVENTION:
Operative treatment with conventional or generic implants. RESULTS: The 414
patients treated with generic implants were compared with 414 patients treated
with conventional implants. There were no significant differences in age, sex,
presence of diabetes, smoking history or fracture type between the generic and
conventional groups. No difference in operative time, estimated blood loss or
intraoperative complication rate was observed. No increase in postoperative
infection rate, hardware failure, hardware loosening, malunion, nonunion or need
for hardware removal was noted. Overall, our hospital realized a 56% reduction in
implant costs, an average savings of $1197 per case, and a total savings of
$458,080 for the study period. CONCLUSIONS: Use of generic orthopaedic implants
has been successful at our institution, providing equivalent clinical outcomes
while significantly reducing implant expenditures. Based on our data, the use of
generic implants has the potential to markedly reduce operative costs as long as
quality products are used. LEVEL OF EVIDENCE: Therapeutic Level III.
PMID- 27870673
TI - Financial Impact of Dual Vendor, Matrix Pricing, and Sole-Source Contracting on
Implant Costs.
AB - Implant costs comprise the largest proportion of operating room supply costs for
orthopedic trauma care. Over the years, hospitals have devised several methods of
controlling these costs with the help of physicians. With increasing economic
pressure, these negotiations have a tremendous ability to decrease the cost of
trauma care. In the past, physicians have taken no responsibility for implant
pricing which has made cost control difficult. The reasons have been
multifactorial. However, industry surgeon consulting fees, research support, and
surgeon comfort with certain implant systems have played a large role in slowing
adoption of cost-control measures. With the advent of physician gainsharing and
comanagement agreements, physicians now have impetus to change. At our facility,
we have used 3 methods for cost containment since 2009: dual vendor, matrix
pricing, and sole-source contracting. Each has been increasingly successful,
resulting in massive savings for the institution. This article describes the
process and benefits of each model.
PMID- 27870674
TI - Impact of Hospital-Employed Physician Assistants on a Level II Community-Based
Orthopaedic Trauma System.
AB - OBJECTIVES: The American Academy of Orthopedic Surgeons and the Orthopedic Trauma
Association have released guidelines for the provision of orthopedic trauma
services such as adequate stipends, designated operating rooms, ancillary staff,
and guaranteed reimbursement for indigent care. One recommendation included a
provision for hospital-based physician assistants (PAs). Given current
reimbursement arrangements, PA collections for billable services may not meet
their salary and benefit expenses. However, their actions may indirectly affect
emergency room, operating room, and hospital reimbursement and patient care
itself. The purpose of our study is to define the true impact of hospitalbased
PAs on orthopaedic trauma care at a level II community hospital. DESIGN:
Retrospective case series. SETTING: Level II trauma center.
PATIENTS/PARTICIPANTS: One thousand one hundred four trauma patients with
orthopaedic injuries. INTERVENTION: PA involvement. MAIN OUTCOME MEASUREMENTS:
Emergency room data such as triage time, time until seen by the orthopedic
service, and total emergency room time was recorded. Operating room data such as
time to surgery, set-up time, total operating time, and out of room time was
entered as well. Charts were reviewed to determine if patients were given
postoperative antibiotics and Deep Venous Thrombosis (DVT) prophylaxis.
Intraoperative and postoperative complications were noted, and lengths of stay
were calculated for all patients. RESULTS: At our institution, PA collections
from patient care cover only 50% of their costs for salary and benefits. However,
with PA involvement, trauma patients with orthopedic injuries were seen 205
minutes faster (P = 0.006), total Emergency Room (ER) time decreased 175 minutes
(P = 0.0001), and time to surgery improved 360 minutes (P . 0.03). Operating room
parameters were minimally improved, but postoperative DVT prophylaxis increased
by a mean of 6.73% (P = 0.0084), postoperative antibiotic administration
increased by 2.88% (P = 0.0302), and there was a 4.67% decrease in postoperative
complications (P = 0.0034). Average length of stay decreased by 0.61 days (P =
0.27). CONCLUSIONS: Although the PA's collections do not cover their costs, the
indirect economic and patient care impacts are clear. By increasing emergency
room pull through and decreasing times to Operating Room (OR), operative times,
lengths of stay, and complications, their existence is clearly beneficial to
hospitals, physicians, and patients as well. LEVEL OF EVIDENCE: Economic Level
IV. See Instructions for Authors for a complete description of levels of
evidence.
PMID- 27870675
TI - Comanagement and Gainsharing Opportunities for Independent Physicians.
AB - Gainsharing and comanagament programs are both successful means of achieving
physician buy-in for all cost containment programs in Orthopaedic Trauma. Under
comanagement agreements, physicians are reimbursed for their time and
intellectual efforts in program and algorithm creation. The cost is minimal for
the hospital in return for the millions of dollars in savings they achieve.
Gainsharing models can incentivize physicians to quickly adopt cost-effective
implant choices, care plans, and program development. Hospital systems keep the
majority of the profits, patients, and insurance carriers benefit from the cost
savings and physicians receive remuneration for their efforts. Careful attention
must be paid to the legal issues surrounding the Federal Anti-Kickback Statute,
the Civil Monetary Penalty Law, and the Physician Self-Referral Law when setting
up these agreements. The keys to success for these programs are the presence of a
physician champion, economic transparency for both physicians and hospitals,
accurate data collection, and adequate economic incentive for physicians to drive
change in practice patterns.
PMID- 27870676
TI - Bundled Payments for Care Improvement: Lessons Learned in the First Year.
AB - The Bundled Payments for Care Improvement (BPCI) initiative is the latest cost
saving program developed by the Center for Medicare and Medicaid Innovation. This
model is intended to create a system for higher quality and more coordinated care
at a lower cost to Medicare. It is currently an optional program for physician
groups, hospitals and post-acute care providers to benefit financially from
improved care models and cost containment measures. Under the initiative,
organizations enter into payment arrangements that include financial and
performance accountability for episodes of care. Under this initiative, there are
certain fraud and abuse waivers in place that allow gainsharing among BPCI
organizations and approved providers so long as certain requirements are met. Our
practice entered this initiative for total joint arthroplasty episodes of care as
well as the hip and femur fracture episode of care. The first year experience
demonstrated that a significant learning curve is required. Keys for success
include appropriate patient selection for elective surgery, implant pricing
control, adherence to preoperative and postoperative protocols, diligent postcare
care management, and appropriate choice of metrics to maximize gainsharing
potential. Ultimately, the BPCI program has been a successful venture, saving our
hospitals over $1.6 million in 2015. In the process, this provided an additional
revenue stream for our physicians while decreasing the overall cost of care.
PMID- 27870677
TI - From the Guest Editor: Interventional Oncology: The Fourth Pillar of Oncology.
PMID- 27870679
TI - New Developments in Interventional Oncology: Liver Metastases From Colorectal
Cancer.
AB - Colorectal cancer is the third leading cause of cancer death in the United
States. Although hepatic excision is the first-line treatment for colorectal
liver metastasis (CRLM), few patients are candidates. Locoregional therapy (LRT)
encompasses minimally invasive techniques practiced by interventional radiology.
These include ablative treatments (radiofrequency ablation, microwave ablation,
and cryosurgical ablation) and transcatheter intra-arterial therapy (hepatic
arterial infusion chemotherapy, transarterial "bland" embolization, transarterial
chemoembolization, and radioembolization with yttrium 90). The National
Comprehensive Cancer Network recommends LRT for unresectable CRLM refractory to
chemotherapy. The following is a review of LRT in CRLM, including salient
features, advantages, limitations, current roles, and future considerations.
PMID- 27870680
TI - Liver Metastases From Noncolorectal Malignancies (Neuroendocrine Tumor, Sarcoma,
Melanoma, Breast).
AB - Patients with noncolorectal hepatic metastases often face limited treatment
options and a dismal prognosis. Over the last decade, the advent of targeted
immunotherapies has transformed the treatment of certain metastatic cancers, such
as sarcoma and melanoma; however, these patients eventually develop resistance.
Metastatic sarcoma, melanoma, and breast and neuroendocrine tumors are
notoriously treatment resistant once they have metastasized to the liver. For
these cancers, minimally invasive transarterial and ablation therapies have
emerged as safe, palliative therapies that can provide symptom control and even
prolong survival.
PMID- 27870678
TI - Interventional Oncology in Hepatocellular Carcinoma: Progress Through Innovation.
AB - The clinical management of hepatocellular carcinoma has evolved greatly in the
last decade mostly through recent technical innovations. In particular, the
application of cutting-edge image guidance has led to minimally invasive
solutions for complex clinical problems and rapid advances in the field of
interventional oncology. Many image-guided therapies, such as transarterial
chemoembolization and radiofrequency ablation, have meanwhile been fully
integrated into interdisciplinary clinical practice, whereas others are currently
being investigated. This review summarizes and evaluates the most relevant
completed and ongoing clinical trials, provides a synopsis of recent innovations
in the field of intraprocedural imaging and tumor response assessment, and offers
an outlook on new technologies, such as radiopaque embolic materials. In
addition, combination therapies consisting of locoregional therapies and systemic
molecular targeted agents (e.g., sorafenib) remain of major interest to the field
and are also discussed. Finally, we address the many substantial advances in
immune response pathways that have been related to the systemic effects of
locoregional therapies. Knowledge of these new developments is crucial as they
continue to shape the future of cancer treatment, further establishing
interventional oncology along with surgical, medical, and radiation oncology as
the fourth pillar of cancer care.
PMID- 27870681
TI - Kidney Cancer.
AB - The number of new cases of renal cell carcinoma has been steadily increasing
since the 1960s, reaching 62,000 and 89,000 annually in the United States and
Europe, respectively, in 2016. The current standard of care for early-stage
disease is nephron-sparing surgery, which has a demonstrated long-term disease
free survival and an acceptable safety profile. Technical developments (thin,
powerful probes and real-time image guidance systems) have allowed image-guided
percutaneous ablation to become a viable option for stage I renal cell carcinoma.
Because of the widespread use of cross-sectional imaging, most renal tumors (75%)
are indeed detected incidentally at stage I (75%). As a result, ablation is a
potentially curable intervention and one that could mitigate surgical risks. All
3 ablative modalities (radiofrequency ablation, microwave ablation, and
cryoablation) have been extensively applied. The utilization of ablation was
initially hampered by the lack of prospective, long-term oncologic data. As a
result, ablation was reserved for specific subgroups of patients, for example,
patients with solitary kidney, chronic kidney disease, or bilateral disease; poor
surgical candidates; or patients with syndromes that predispose them to renal
cell cancer. Recently, however, studies on percutaneous ablation for early-stage
renal cancer have yielded prospective, long-term oncologic data, affirming the
earlier, lower-level-evidence studies. The reported efficacy of ablation for
stage I renal cancer (especially cryoablation) appears to rival that of the
accepted standard of care (nephron-sparing surgery), whereas its safety profile
is a decided advantage. In conclusion, image-guided percutaneous ablation should
be considered a viable, curative option for stage IA renal cell carcinoma.
PMID- 27870682
TI - Advances in Interventional Oncology: Lung Cancer.
AB - Image-guided lung intervention in the oncologic patient includes transthoracic
needle biopsy, thermal ablation, fiducial placement, and tunneled pleural
catheter placement and is made possible by technical advancements in computed
tomography, fluoroscopy, and ultrasound technology, as well as the proliferation
of available thermal ablation modalities such as radiofrequency, microwave, and
cryoablation. With increasingly earlier cancer diagnoses being made and a greater
patient demand for minimally invasive therapies, interventional oncology has many
options to offer the patient with thoracic malignancies. The indications,
technique, outcomes, and complications of these diagnostic and therapeutic
procedures are described in detail in this review article.
PMID- 27870683
TI - Increasing Role of Image-Guided Ablation in the Treatment of Musculoskeletal
Tumors.
AB - In the last decade, percutaneous treatment of musculoskeletal (MSK) tumors has
become more established in routine clinical care while also undergoing a number
of advancements. Ablative techniques to palliate painful skeletal metastases have
gained wide acceptance, while goals for ablation have evolved to include local
control of oligometastases and desmoid tumors. Bone consolidation or augmentation
is now frequently used in conjunction with or instead of ablation of skeletal
tumors to stabilize pathologic fractures or prevent further morbidity that could
result from fractures caused by tumor progression. These procedures have
traditionally been performed with cement injection, although additional
percutaneous consolidation or stabilization devices have been developed.
Techniques to monitor the ablation zone and adjacent structures intraprocedurally
are now applied to increase the number of tumors amenable to treatment. These
include methods to depict, displace, or monitor critical structures adjacent to
targeted MSK tumors. Finally, the role of ablation in the comprehensive care of
patients with MSK tumors continues to change with the evolving triage of patients
between radiation therapy, surgical resection and stabilization, and percutaneous
ablative and consolidative management.
PMID- 27870684
TI - Palliative Interventional Oncology.
AB - Interventional oncologists are playing an ever greater role in improving the
quality of life of their patients through minimally invasive procedures, many of
which can be performed on an outpatient basis. Some of the most common palliative
procedures currently performed will be discussed including management of
intractable ascites and pleural effusions, neurolytic plexus blocks, and
palliation of pain and bleeding associated with metastatic tumors.
PMID- 27870685
TI - The Importance of Biopsy in the Era of Molecular Medicine.
AB - Recent advances in the molecular characterization of cancers have triggered
interest in developing a new taxonomy of disease in oncology with the goal of
using the molecular profile of a patient's tumor to predict response to
treatment. Image-guided needle biopsy is central to this "precision medicine"
effort. In this review, we first discuss the current role of biopsy in relation
to clinical examples of molecular medicine. We then outline important bottlenecks
to the advancement of precision medicine and highlight the potential role of
image-guided biopsy to address these challenges.
PMID- 27870687
TI - When is it ok to use a splint versus cast and what remodeling can one expect for
common pediatric forearm fractures.
AB - PURPOSE OF REVIEW: The purpose of this review is to provide an overview of common
pediatric forearm fractures, clarify the descriptions used to identify and
thereby appropriately treat them with a splint or cast, and explain osseous
remodeling that is unique to the skeletally immature. RECENT FINDINGS: Recent
literature addresses the gap in standard treatment protocols. There is
variability in the management of pediatric forearm fractures because of the
multiple subspecialty physicians that care for children's fractures and a lack of
well established guidelines. CONCLUSION: The following review will expound upon
the assortment of pediatric forearm fractures, address suitable treatment
options, and illustrate the expected restoration of bony deformity in an effort
to update practitioners of the most recent advances in research and clinical
practice of this common orthopedic injury.
PMID- 27870686
TI - Era of a Single Population-Based Payment to a Cancer Center: Delivering the Value
of Interventional Oncology in Accountable Care Organizations.
AB - To curtail increasing health care costs, the government has ushered in the era of
the Accountable care organization (ACO). The purposes of this review are to
evaluate the effects the ACO will have on the practice of interventional oncology
and its role in cancer care and to explore methods to assimilate. Proposed action
points include integration into the modern-day cancer center, adoption of an
outpatient clinic, mandatory performance measures, and workflow and cost
analysis. If adaptations are made, interventional oncology can continue its role
in cancer treatment, will provide more effective care, and reach more patients in
the new ACO.
PMID- 27870688
TI - Clinical aspects of Zika virus.
AB - PURPOSE OF REVIEW: Zika virus (ZIKV) is a mosquito-borne flavivirus that has
caused a sudden and explosive outbreak in South America and the Caribbean in the
last year, and has been declared a public health emergency by the WHO. As ZIKV
afflicts previously naive populations, more severe clinical presentations and
sequelae have been observed. A specific emphasis has been placed on the
neurological effects in infants resulting from viral exposure in utero. RECENT
FINDINGS: Acute onset of ZIKV disease is seen in approximately 20% of cases,
whereas most individuals (80%) exposed are asymptomatic. Presentation of illness
is typically mild, with disease spectrum ranging from arthralgia and rash to
encephalitis, myelitis, and Guillain-Barre syndrome. Infants have been uniquely
impacted by the current outbreak with significant congenital exposure resulting
in permanent neurological defects and developmental complications. SUMMARY: The
current ZIKV outbreak has illustrated the emergent capabilities of mosquito-borne
viruses and the teratogenic nature of ZIKV. Causality and risk factors associated
with severe manifestations, as well as chronic sequelae, have yet to be
determined. Extensive research is required to understand the molecular mechanisms
of infection, develop improved assays for differential diagnosis, and improve
overall knowledge of the spectrum of ZIKV disease in order to develop modes of
prevention and treatment.
PMID- 27870689
TI - Zika virus and diagnostics.
AB - PURPOSE OF REVIEW: The purpose of this review is to present what is known about
the Zika virus (ZIKV) at the time of writing this review. The viral structure and
its phylogeny, as well as the limitations of current available techniques used
for diagnosis, are discussed. RECENT FINDINGS: Crystallography and cryo-electron
microscopy of the whole ZIKV, or a few of its proteins, are confirming its
overall antigenic relatedness to other flaviviruses. Sequencing has revealed its
dynamic genetic variation and has placed the Western cluster of Zika isolates
within the Asian phylogenic tree. Genetic codon mutations, although highly
prevalent, do not usually translate into modifications at amino acid or proteomic
levels, revealing conserved enzymatic functions that could potentially be
addressed therapeutically. Clinical characterization of ZIKV infection is
complicated because of symptoms similar to dengue and chikungunya. Diagnosis
requires specialized laboratories with costly reagents and highly trained
personnel. Although commercial labs are now offering ZIKV diagnostic tests, most
of them are not fully tested in comparison with standard molecular techniques
standardized at CDC and local health departments. We are still in desperate need
of simpler diagnostic tests that better discriminate ZIKV from coendemic
arboviruses. SUMMARY: The area of better Zika diagnostic assays is a rapidly
developing field with the public attention directed to this epidemic. Academic
interest in this topic is driving fast disclosure of information in peer-reviewed
journals and grey papers via web-based forums. We expect in the near future that
new promising strategies for improved Zika diagnostics will translate into
preventive and therapeutic tools.
PMID- 27870690
TI - Advances in pediatric hematology/oncology.
PMID- 27870691
TI - Screw Stripping After Repeated Cortical Screw Insertion-Can We Trust the
Cancellous "Bailout" Screw?
AB - BACKGROUND: During osteosynthesis standard nonlocking cortical screws often
require reinsertion, raising concern over possible decrease in their
effectiveness. This study aims to quantify that potential loss of fixation with
reinsertions as well as examine the ability of a cancellous "bailout screw" to
regain insertion torque in a previously stripped screw hole. METHODS: Four
different types of bone surrogates were chosen to represent normal cortical bone,
osteoporotic cortical bone, high-density (normal) cancellous bone, and low
density (osteoporotic) cancellous bone; nonlocked 3.5-mm cortical screws were
inserted into the predrilled holes 1, 2, 3, 4, or 5 times before being torqued
maximally to the point of stripping. A 4.0-mm cancellous "bailout" screw was then
placed into the same hole and torqued until stripping. Torque was measured
continuously using a torque-measuring screwdriver and maximal insertion torque
(MIT) of 3.5 and 4.0 screws before stripping was recorded. RESULTS: MIT decreased
with reinsertion of nonlocked cortical screws. By the third reinsertion in all
but the normal bone surrogates, the screws lost approximately one third to one
half of their original MIT (50%-71% of original torque). The bailout screw
succeeded in restoring the original MIT in the osteoporotic cancellous bone
surrogate and the normal cortical bone surrogate. In the normal cancellous and
osteoporotic cortical bone surrogates, the bailout screw was only able to restore
an average of 50% (range 31%-63%) of the original MIT. CONCLUSIONS: Screw
reinsertion may significantly reduce the MIT of 3.5-mm nonlocked cortical screws.
Use of the bailout cancellous screw for a stripped cortical screw should be
expected to restore MIT only in normal cortical bone and osteoporotic cancellous
bone. In other scenarios, the bailout screw should not be expected to uniformly
restore full insertion torque.
PMID- 27870692
TI - Wide Variation of Surgical Cost in the Treatment of Periarticular Lower Extremity
Injuries Between 6 Fellowship-Trained Trauma Surgeons.
AB - OBJECTIVES: We hypothesized that negligible surgical material cost variation
exists between traumatolgists for treatment of bimalleolar ankle and bicondylar
tibial plateau fractures. DESIGN: Retrospective medical record review. SETTING:
Academic level 1 Trauma Center; 2-year period. PATIENTS/PARTICIPANTS: Current
Procedure Terminology codes for open treatment of bimalleolar ankle and
bicondylar tibial plateau fractures identified patients. Patients who had
operative treatment of other injuries under the same anesthetic session were
excluded. Only definitive treatment procedures were analyzed. INTERVENTION: We
analyzed the intraoperative material costs of these procedures and compared them
between surgeons. This analysis was done with a newly developed proprietary
program designed for inventory and cost analysis. MAIN OUTCOME MEASUREMENTS: Mean
and median total case material costs were compared using one-way analysis of
variance. Individual items that significantly increased costs were identified.
RESULTS: We identified 88 bimalleolar ankle and 46 bicondylar tibial plateau
fractures treated by 6 surgeons. The mean intraoperative material cost per
bimalleolar ankle fracture was $1099. The least expensive surgeon's mean case
cost was $613, which was significantly less than the most expensive surgeon's
$2243 (P = 0.009). The median cost range was $598-$784. The top quartile of cases
resulted in 57% of overall material cost for ankle fractures. The mean
intraoperative material cost per bicondylar tibial plateau fracture was $3219
(range $1839-$4088, P = 0.064). The range of median costs ($1826-$3989) was
significantly wider than for ankle fractures. Bone void fillers, locking plates,
adjunctive external fixators, mini-fragment locking plates, cannulated screws,
single-use taps, guidewires, and drill bits all substantially increased costs.
CONCLUSION: This study demonstrated variation in intraoperative material cost
between 6 traumatologists resulting from practice variations despite similar
specialty training. The cost differences resulting from practice variation reveal
potential savings through increased standardization of surgical care for similar
injuries. We identified high-cost items, which could lead to cost savings if used
only when they will have clinical benefit.
PMID- 27870693
TI - Limb Salvage With Intrepid Dynamic Exoskeletal Orthosis Versus Transtibial
Amputation: A Comparison of Functional Gait Outcomes.
AB - OBJECTIVES: To determine if there is a difference in functional gait outcomes
between patients with limb injuries treated with either transtibial amputation or
limb preservation with the Intrepid Dynamic Exoskeletal Orthosis. DESIGN:
Retrospective prognostic study. SETTING: Tertiary referral military hospital.
PATIENTS: This study included 10 transtibial amputees and 10 limb preservation
patients using the Intrepid Dynamic Exoskeletal Orthosis who were matched by body
mass index after excluding for nontraumatic, proximal ipsilateral, contralateral,
spine, or traumatic brain injuries. Transtibial amputation patients were also
excluded if they did not have a gait study between 6 and 12 months after
independent ambulation. Limb preservation were excluded if they did not complete
the "Return to Run" program. INTERVENTIONS: An observational study of functional
outcomes using instrumented gait analysis. OUTCOME MEASURES: Spatiotemporal,
kinetic (vertical ground reaction force), unified deformable power, work, and
efficiency. RESULTS: Limb preservation patients walked with a significantly
slower cadence (P = 0.036) and spent less time on their affected limb in stance
(P = 0.045), and longer in swing (P = 0.019). Amputees had significantly
increased maximum positive power in both limbs (P = 0.004 and P = 0.029) and
increased maximum negative power on the unaffected limb (P = 0.035). Amputees had
significantly increased positive and negative work in the affected limb (P =
0.0009 and P = 0.014) and positive work in the unaffected limb (P = 0.042). There
was no significant difference in the kinetic data or efficiency. CONCLUSIONS:
Limb preservation patients spend less time on their affected limb as a percentage
of the gait cycle. The unified deformable power demonstrated more dynamic gait in
amputees, with peak values closer to normative data. LEVEL OF EVIDENCE:
Therapeutic level III. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 27870695
TI - Validity and Reliability of a Submaximal Intermittent Running Test in Elite
Australian Football Players.
AB - Veugelers, KR, Naughton, GA, Duncan, CS, Burgess, DJ, and Graham, SR. Validity
and reliability of a submaximal intermittent running test in elite Australian
football players. J Strength Cond Res 30(12): 3347-3353, 2016-The aim of this
article was to determine the validity and reliability of a submaximal
intermittent running (SIR) test in elite Australian rules football (ARF) players.
Heart rate (HR) responses of 38 elite ARF players to both the SIR and the yo-yo
intermittent recovery 2 (YYIR2) tests were compared over 2 trials. Linear
regression analysis was used to examine the relationship between SIR test HR
responses and YYIR2 test performance. Heart rate responses of 25 elite ARF
players to the SIR test were monitored over 3 trials. Day-to-day reliability was
determined using intraclass correlation coefficient (ICC), typical error of
measurement, coefficient of variation (CV), and smallest worthwhile change. Large
inverse correlations were reported between 2-, 3-, and 4-minute HR during the SIR
test and YYIR2 test distance (r = -0.58 to -0.61, p < 0.01). Heart rate recovery
after 2 and 3 minutes of the SIR test was moderately correlated to YYIR2 distance
(r = 0.32-0.35, p <= 0.05). Strong correlations for ICC (r = 0.90-0.97) and low
CV (1.3-9.2%) were reported for all HR variables. Monitoring HR during the SIR
test is a valid and reliable indicator of YYIR2 test performance in elite ARF
players. These findings support the use of the SIR test as a regular and non
fatiguing indicator of intermittent running capacity.
PMID- 27870694
TI - Does Intrasession Concurrent Strength and Aerobic Training Order Influence
Training-Induced Explosive Strength and V[Combining Dot Above]O2max in
Prepubescent Children?
AB - Alves, AR, Marta, C, Neiva, HP, Izquierdo, M, and Marques, MC. Does intrasession
concurrent strength and aerobic training order influence training-induced
explosive strength and V[Combining Dot Above]O2max in prepubescent children?. J
Strength Cond Res 30(12): 3267-3277, 2016-The aim of this study was to analyze
the interference of strength and aerobic training order over an 8-week period on
explosive skills and maximal oxygen uptake (V[Combining Dot Above]O2max) in
prepubescent children. One hundred twenty-eight prepubescent children aged 10-11
years (10.9 +/- 0.5 years) were randomly selected and assigned to 1 of the 3
groups: intrasession concurrent aerobic before (GAS: n = 39) or after strength
training (GSA: n = 45) or control group (GC: n = 44; no training program). The GC
maintained their baseline level performance, and training-induced differences
were found in the experimental groups. Increases were found in the 1-kg and 3-kg
medicine ball throws: GAS: +3%, +5.5%, p <= 0.05, p < 0.001; GSA: +5.7%, +8.7%, p
< 0.001, respectively; in the counter movement jump height and standing long jump
length: GAS: +6.5%, +3.4%, p <= 0.05; GSA: +7%, +4.5%, p < 0.001, respectively;
in the 20-m shuttle-run time: GAS: +2.3%; GSA: +4.6%, p < 0.001; and, in the
V[Combining Dot Above]O2max: GAS: +7.3%, p < 0.001; GSA: +3.8%, p < 0.001 from
pretraining to post-training. All programs were effective, but GSA produced
better results than GAS for muscle strength variables, and GAS produced better
results than GSA for aerobic capacity variables. The present study explored an
unknown issue and added useful information to the literature in this area. These
training methods should be taken into consideration to optimize explosive
strength and cardiorespiratory fitness training in school-based programs and
sports club programs.
PMID- 27870696
TI - Strength and Conditioning and Concurrent Training Practices in Elite Rugby Union.
AB - Jones, TW, Smith, A, Macnaughton, LS, and French, DN. Strength and Conditioning
and Concurrent Training Practices in Elite Rugby Union. J Strength Cond Res
30(12): 3354-3366, 2016-There is limited published research on strength and
conditioning (S&C) practices in elite rugby union (RU). Information regarding
testing batteries and programme design would provide valuable information to both
applied practitioners and researchers investigating the influence of training
interventions or preperformance strategies. The aim of this study was to detail
the current practices of S&C coaches and sport scientists working in RU. A
questionnaire was developed that comprised 7 sections: personal details, physical
testing, strength and power development, concurrent training, flexibility
development, unique aspects of the programme, and any further relevant
information regarding prescribed training programmes. Forty-three (41 men, 2
women; age: 33.1 +/- 5.3 years) of 52 (83%) coaches responded to the
questionnaire. The majority of practitioners worked with international level
and/or professional RU athletes. All respondents believed strength training
benefits RU performance and reported that their athletes regularly performed
strength training. The clean and back squat were rated the most important
prescribed exercises. Forty-one (95%) respondents reported prescribing plyometric
exercises and 38 (88%) indicated that periodization strategies were used. Forty
two (98%) practitioners reported conducting physical testing, with body
composition being the most commonly tested phenotype. Thirty-three (77%)
practitioners indicated that the potential muted strength development associated
with concurrent training was considered when programming and 27 (63%) believed
that strength before aerobic training was more favorable for strength development
than vice versa. This research represents the only published survey to date of
S&C practices in northern and southern hemisphere RU.
PMID- 27870697
TI - Functional Movement Screen Factorial Validity and Measurement Invariance Across
Sex Among Collegiate Student-Athletes.
AB - Gnacinski, SL, Cornell, DJ, Meyer, BB, Arvinen-Barrow, M, and Earl-Boehm, JE.
Functional Movement Screen factorial validity and measurement invariance across
sex among collegiate student-athletes. J Strength Cond Res 30(12): 3388-3395,
2016-The Functional Movement Screen (FMS) is a screening tool used to evaluate
functional movement quality and subsequent musculoskeletal injury risk. Despite
recent research on the factorial validity of the FMS, no confirmatory factor
analysis (CFA) has been conducted to examine measurement invariance across sex
among student-athletes. The primary purpose of the current study was to confirm
the factor structure of the FMS measurement model in a collegiate student-athlete
population. It was hypothesized that the 1-factor model would demonstrate better
model fit than the recently proposed 2-factor model. The secondary purpose of the
study was to examine FMS measurement invariance across sex using the previously
identified measurement model. It was hypothesized that FMS measurement invariance
would hold across sex. Male (n = 88) and female (n = 88) collegiate student
athletes completed FMS screening during the off-season. Factorial validity was
examined using CFA procedures, and model parameters were estimated using maximum
likelihood estimation. Measurement invariance was examined by comparison of fit
indices between hierarchically constrained models. Results revealed support for
both the 1- and the 2-factor models; however, the 2-factor model failed to fit
the data significantly better than the 1-factor model. Results also indicated
that measurement invariance did not hold across sex, indicating that the FMS sum
score construct is not measured equivalently in male and female populations.
Collectively, results provide evidence for the use of the unidimensional FMS sum
score among collegiate student-athletes, yet prompt caution because it relates to
the evaluation of sex differences in sum or movement pattern scores.
PMID- 27870698
TI - Risk Factors for Injury Among Japanese Collegiate Players of American Football
Based on Performance Test Results.
AB - Iguchi, J, Watanabe, Y, Kimura, M, Fujisawa, Y, Hojo, T, Yuasa, Y, Higashi, S,
and Kuzuhara, K. Risk factors for injury among Japanese collegiate players of
American football based on performance test results. J Strength Cond Res 30(12):
3405-3411, 2016-The purpose of this study was to identify how risk factors for
injury during American football are related to players' physical strength as
determined using typical performance tests. One hundred 53 Japanese collegiate
players of American football were recruited for this study. Eight potential risk
factors were evaluated: position (skill vs. lineman), body mass index, back squat
one-repetition maximum, vertical jump height, power, height, body weight, and
previous injury. Using multivariate Cox regression, we examined how these factors
were associated with knee sprain, ankle sprain, and hamstring strain. We recorded
63 injuries (17 knee sprains, 23 ankle sprains, and 23 hamstring strains).
Players with higher power were at significantly greater risk for knee sprains (p
= 0.04), those with low power had a significantly higher incidence of ankle
sprain (p = 0.01), and vertical jump height was a significant predictor of
hamstring strain (p = 0.02). We identified several independent predictors of
injuries associated with American football. Our findings may contribute to the
development of effective screening tests and prevention exercises.
PMID- 27870700
TI - Patterns of Recurrence and Clinical Outcome of Patients With Stage IIIC to Stage
IV Epithelial Ovarian Cancer in Complete Response After Primary Debulking Surgery
Plus Chemotherapy or Neoadjuvant Chemotherapy Followed by Interval Debulking
Surgery: An Italian Multicenter Retrospective Study.
AB - OBJECTIVE: The objective of this retrospective study was to assess the clinical
outcome of patients with advanced epithelial ovarian cancer in complete response
after primary debulking surgery (PDS) or neoadjuvant chemotherapy followed by
interval debulking surgery (IDS]). METHODS: The authors reviewed the hospital
records of 384 patients who underwent PDS (n = 322) or IDS (n = 62) and who were
in complete response after primary treatment. RESULTS: Optimal (residual disease
[RD] < 1 cm) and complete (no gross RD) cytoreduction rates were higher after IDS
than after PDS (71.0% vs 55.9%; P = 0.001 and 51.6% vs 35.7%, respectively; P =
0.02). Tumor recurred in 73.0% of the 322 complete responders after PDS versus
87.1% of the 62 complete responders after IDS (P = 0.01). The IDS group showed a
higher recurrence rate within 6 months (11.3% vs 3.1%: P = 0.01) and a trend to
higher recurrence rate between 6 and 12 months (30.6% vs 19.9%). Tumor recurred
in 57.4% of the 115 completely cytoreduced patients after PDS versus 87.5% of the
32 completely cytoreduced patients after IDS (P = 0.001). The IDS group showed a
trend to higher recurrence rate within 6 months (6.2% vs 1.7%) and a higher
recurrence rate between 6 and 12 months (37.5% vs 15.6%; P = 0.01). Two-year, 5
year, and 7-year progression-free survival were 65.8%, 40.8%, and 39.3% for
completely cytoreduced patients after PDS versus 43.8%, 12.5%, and 12.5% for
completely cytoreduced patients after IDS (P = 0.001); and 2-year, 5-year, and 7
year overall survival were 96.4%, 69.3%, and 50.4% for the former versus 87.1%,
41.8%, and 32.6% for the latter (P = 0.001). CONCLUSIONS: The clinical outcome of
completely cytoreduced patients was significantly better for PDS group than for
IDS group, and therefore, the achievement of no gross RD after surgery seemed to
have a different prognostic relevance for the 2 groups.
PMID- 27870699
TI - Resistance Training Alters the Proportion of Skeletal Muscle Fibers but Not Brain
Neurotrophic Factors in Young Adult Rats.
AB - Antonio-Santos, J, Ferreira, DJS, Gomes Costa, GL, Matos, RJB, Toscano, AE,
Manhaes-de-Castro, R, and Leandro, CG. Resistance training alters the proportion
of skeletal muscle fibers but not brain neurotrophic factors in young adult rats.
J Strength Cond Res 30(12): 3531-3538, 2016-Resistance training (RT) is related
to improved muscular strength and power output. Different programs of RT for rats
have been developed, but peripheral and central response has not been evaluated
directly in the same animal. To test the hypothesis that RT induces central and
peripheral adaptations, this study evaluated the effects of a RT on the
performance of a weekly maximum overload test, fiber-type typology, and brain
neurotrophic factors in young adult rats. Thirty-one male Wistar rats (65 +/- 5
days) were divided in 2 groups: nontrained (NT, n = 13) and trained (T, n = 18).
Trained group was submitted to a program of RT ladder climbing, gradually added
mass, 5 days per week during 8 weeks at 80% of individual maximum overload. This
test was weekly performed to adjust the individual load throughout the weeks for
both groups. After 48 hours from the last session of exercise, soleus and
extensor digital longus (EDL) muscles were removed for myofibrillar ATPase
staining analysis. Spinal cord, motor cortex, and cerebellum were removed for RT
PCR analysis of BDNF and insulin-like growth factor-1 (IGF-1) gene expression. In
EDL muscle, T animals showed an increase in the proportion of type IIb fibers and
a reduction of type IIa fibers. Insulin-like growth factor-1 gene expression was
reduced in the cerebellum of T animals (NT: 1.025 +/- 0.12; T: 0.57 +/- 0.11).
Our data showed that 8 weeks of RT were enough to increase maximum overload
capacity and the proportion of glycolytic muscle fibers, but there were no
associations with the expression of growth neurotrophic factors.
PMID- 27870701
TI - A New MicroRNA Expression Signature for Cervical Cancer.
AB - BACKGROUND: Cervical cancer is the second most common cancer among women
worldwide. The potential of microRNAs as novel biomarkers in cervical cancer is
growing. OBJECTIVES: In this study, we investigated the functions and targets of
miR-466 in cervical cancer tissues. METHODS: Fresh cervical tissues were obtained
from 157 patients with cervical cancer, cervical intraepithelial neoplasia (CIN),
and healthy controls, and the tissues were immediately frozen in liquid nitrogen
until use. The RNA was extracted and quantitative real-time polymerase chain
reaction (PCR) was performed. RESULTS: A total of 157 participants were
summarized, including 56 patients with cervical cancer, 60 patients with CIN, and
49 healthy controls. The expression levels of miR-466 in cervical cancers (0.68)
were higher than that in healthy controls (0.082) (P < 0.01). The average fold
changes of miR-466 in the patients with CIN group and people group were 0.28 and
0.082, respectively (P < 0.01). It was a statistically significant difference in
patients with lymph node involvement (P = 0.022). However, the expression of miR
466 was not correlated with International Federation of Gynecology and Obstetrics
stages, tumor size, or vascular invasion (P = 0.506, P = 0.667, and P = 0.108,
respectively). CONCLUSIONS: Our results indicate that the aberrant expression of
miR-466 is closely associated with the occurrence and development of cervical
cancer.
PMID- 27870702
TI - Synchronous Ovarian and Appendiceal Mucinous Neoplasms in the Absence of
Pseudomyxoma Peritonei.
AB - BACKGROUND: Synchronous ovarian/appendiceal mucinous neoplasms sometimes occur in
the absence of clinical pseudomyxoma peritonei (PMP), which raises a question
about whether the 2 tumors could be independent. METHODS: We identified 11 cases
of synchronous ovarian/appendiceal mucinous neoplasms without PMP and
subclassified them into groups 1 and 2 based on the presence or absence of
microscopic peritoneal/ovarian surface mucin deposits. A 7-marker panel (CK7,
CK20, CDX2, PAX8, MUC1, MUC2, and MUC5AC) immunohistochemistry was performed on
both tumors. RESULTS: Between the 2 groups, there were no significant differences
in age, laterality, size, and histology of ovarian/appendiceal tumors. In group
1, 2 of 4 cases developed PMP later, and both had ovarian surface and
contralateral ovarian involvement and appendiceal perforation with microscopic
mucin deposits on the peritoneum. No patients in group 2 developed PMP. All group
1 cases showed a high degree of concordance of immunoprofile between the
synchronous tumors, with an identical expression of appendiceal pattern in
greater than 90% of the markers. In group 2, only 1 of 7 cases showed concordance
in all markers. CONCLUSIONS: If peritoneal mucin deposits present, even
microscopic and acellular, the synchronous tumors are most likely of a single
appendiceal origin. Otherwise, they are more heterogeneous, and some may be truly
dual primaries.
PMID- 27870704
TI - Clinical Outcomes for Stereotactic Ablative Radiotherapy in Oligometastatic and
Oligoprogressive Gynecological Malignancies.
AB - OBJECTIVES: We report single-institution clinical outcomes of women treated with
stereotactic ablative radiotherapy (SABR) for oligometastatic or progressive
gynecological malignancies. MATERIALS AND METHODS: From 2009 to 2015, 47 lesions
from 28 patients were treated with SABR and retrospectively analyzed. All
patients had oligometastatic (93%) or oligoprogressive (7%) disease. Primary
cancer diagnoses were 15 ovarian, 8 endometrial, 2 cervical, 2 vaginal, and 1
uterine carcinosarcoma. Treatment was delivered using a median of 5 fractions to
a median total dose of 40 Gy. Targets were grouped by treatment site and assessed
for response using Response Evaluation Criteria in Solid Tumors v1.1. Mean
biologically effective dose and pre-SABR tumor size were compared with response.
Progression-free survival (PFS) was determined using Kaplan-Meier analysis, and
toxicity outcomes were graded using Common Terminology Criteria for Adverse
Events version 4.03. RESULTS: Median follow-up was 12.8 months. Target locations
were 17% liver, 21% lung, 17% paraaortic node, 26% other node, and 19% pelvic
soft tissue. After treatment, 34% of targets were stable (SD), 32% had a partial
response (PR), 17% had a complete response (CR), and 17% had progressive disease
(PD). No failures occurred in lung or nodal targets. Mean +/- standard deviation
pre-SABR tumor diameter was 24 +/- 22 mm. There was a significant difference in
mean size between lesions that had a favorable (SD, PR, and CR) versus
unfavorable response (PD) (17.2 vs 57.6 mm, P = 0.0044). Lesions that responded
favorably were also more likely to have received a higher biologically effective
dose (79.0 vs 59.6 Gy, P = 0.027). Median PFS was 10.8 months, and 1 patient
experienced grade 3 toxicity. CONCLUSIONS: The SABR is a safe and effective local
treatment modality in patients with oligometastatic gynecological disease.
Distant progression remains the primary mode of failure in this patient
population. In carefully selected patients, a combination of systemic treatment
and SABR may offer long-term PFS.
PMID- 27870703
TI - Correlation of Collagen Triple Helix Repeat Containing 1 Overexpression With
Lymph Node and Peritoneal Metastasis in Epithelial Ovarian Cancer.
AB - OBJECTIVE: This study aimed to reveal whether collagen triple helix repeat
containing 1 (CTHRC1) was a predictor of peritoneal and lymph node metastases in
epithelial ovarian cancer, which had not been researched by others previously.
MATERIALS AND METHODS: Western blot analysis showed that dramatic overexpression
of CTHRC1 could be seen in most metastatic tissues. Univariate and multivariate
logistic regression analyses demonstrated that overexpression of CTHRC1 was
linked with peritoneal dissemination and lymph node metastasis in epithelial
ovarian cancer. RESULTS: The negative and sensitivity-predictive values of CTHRC1
staining were excellent for both lymph node and peritoneal metastases. The odds
ratio of high versus low staining for peritoneal dissemination was 2.250 (95%
confidence interval, 1.126-4.496), and that for lymph node metastasis was 13.102
(95% confidence interval, 6.036-28.439). CONCLUSIONS: Collagen triple helix
repeat containing 1 may potentially be used as a predictive marker of clinical
progression in ovarian cancer either alone or in combination with other markers.
PMID- 27870705
TI - Clinical Study on Female Genital Tract Rhabdomyosarcoma in Childhood: Changes
During 20 Years in One Center.
AB - OBJECTIVE: Rhabdomyosarcoma (RMS) is a rare tumor in girls. We intend to analyze
the clinical feature, therapeutic effect, and prognosis of pediatric and
adolescent RMS of the female genitourinary tract. METHODS: Pediatric or
adolescent patients with female genital tract RMS in 1 tertiary hospital from
1995 to 2015 were retrospectively reviewed. The medical records were collected,
including general information, tumor primary site, stage, histology, treatments,
adverse effects, and survival outcomes. RESULTS: There were 8 girls with genital
tract tumor in 403 patients with RMS during 20 years in 1 hospital: 5 originated
in the vagina, 2 in the cervix, and 1 in the pelvis. Except for the patient with
pelvic RMS who was diagnosed after acute abdominal pain, all the other patients
presented with polypoid masses protruding from the vagina accompanied by
mucosanguineous discharge. Mean age of patients at diagnosis was 5.4 years (8
months-14 years). Patients were categorized by using clinical group and TNM
classification of malignant tumours staging system, 7 with stage I and 1 with
stage II; none of them were classified to a higher stage. Histologically, all RMS
were embryonal tumors with 3 botryoid variants. Patients were treated with
surgery and adjuvant chemotherapy during which 6 patients developed fourth degree
myelosuppression. All patients achieved complete remission. Two patients with
vaginal RMS and the patient with pelvic RMS experienced tumor relapse and 2
patients died. CONCLUSIONS: Rhabdomyosarcoma is a rare malignant sarcoma with a
higher incidence in children and adolescents. Tumor sites are strongly associated
with age at diagnosis. After combined therapy with local excision and
chemotherapy, most patients can achieve a good prognosis. The prognosis is highly
correlated with tumor site and histologic type.
PMID- 27870706
TI - Evaluation of a Validated Biomarker Test in Combination With a Symptom Index to
Predict Ovarian Malignancy.
AB - OBJECTIVE: This study aimed to evaluate the predictive ability of a multivariate
biomarker test in combination with a symptom index (SI) to identify ovarian
cancer in a cohort of women planning to undergo surgery for a pelvic mass.
METHODS: This was a prospective study of patients seen at a tertiary care medical
center. Following consent, patients completed an SI and preoperative serum was
collected for a Food and Drug Administration-cleared multivariate biomarker test
[multivariate index assay (MIA)]. Results for the SI and MIA were correlated with
operative findings and surgical pathology. RESULTS: Of 218 patients enrolled, 124
(56.9%) had benign disease and 94 (43.1%) had borderline tumors or carcinomas.
Sixty-six patients had a primary ovarian or fallopian tube cancer. The median age
of patients enrolled in this study was 54 years (interquartile range, 44-63
years), of whom 148 (67.9%) were postmenopausal. More than a third (36.3%) of
patients with benign masses was accurately identified as low risk by MIA and SI.
The sensitivity and negative predictive value (NPV) of the SI relative to primary
ovarian cancer was 87.9% (95% CI, 77.9%-93.7%) and 91.6% (95% CI, 84.3%-95.7%),
respectively. The sensitivity and NPV of CA125 was 75.4% (95% CI, 63.7%-84.2%)
and 86.4% (95% CI, 79.1%-91.5%), respectively, and the sensitivity and NPV of the
MIA were 93.9% (95% CI, 85.4%-97.6%) and 94.5% (95% CI, 94.5%-100%),
respectively. The overall sensitivity for the combination of MIA plus SI was 100%
(66/66; 95% CI, 94.5%-100%), and specificity was 36.3% (45/124; 95% CI, 28.4%
45.0%), with an NPV of 100% (95% CI, 92.1%-100%). CONCLUSIONS: The addition of a
patient-reported SI, which captures subjective symptoms in an objective manner,
improved the sensitivity of MIA across all stages and subtypes of ovarian cancer.
PMID- 27870707
TI - Time Trends in Ovarian Cancer Survival in Estonia by Age and Stage.
AB - OBJECTIVE: The objective of the study was to examine temporal trends in ovarian
cancer (OC) survival in Estonia during 1995 to 2009 in relation to age and stage.
MATERIALS AND METHODS: Estonian Cancer Registry data on all adult cases of
primary OC diagnosed during 1995 to 2009 and followed up for vital status until
2014 were used to estimate relative survival ratios (RSRs). Cohort analysis was
used to estimate 1-, 2-, and 5-year RSRs for patients diagnosed in 1995 to 1999,
2000 to 2004, and 2005 to 2009. Analysis was performed by age at diagnosis (<50;
50-59; 60-69; 70+ years) and stage (International Federation of Gynecology and
Obstetrics 1988). RESULTS: Among 2296 women included in the study, the age
adjusted 5-year RSR improved from 27% in 1995 to 1999 to 38% in 2005 to 2009.
Survival increase of 10% units from 1995 to 1999 to 2005 to 2009 was seen for
women aged 50 to 59 and 60 to 69 years. Among younger and older women, the
respective changes were smaller. In 1995 to 1999, the difference in survival
between the youngest and oldest age groups was 41% units. This decreased over the
study period to 37% units. From 1995 to 1999 to 2005 to 2009, the 5-year RSR
increased from 82% to 91% for stage I patients; from 48% to 67% for stage II
patients; from 25% to 35% for stage III patients; and from 11% to 16% for stage
IV patients. CONCLUSIONS: The study showed an improvement of OC survival in
Estonia in all age and stage groups, but particularly among younger women and
those with early stage disease. Slower progress among older women is of great
concern.
PMID- 27870708
TI - Sarcopenia in Advanced Serous Ovarian Cancer.
AB - OBJECTIVE: Cancer cachexia is a paraneoplastic syndrome comprising involuntary
weight loss and muscle depletion (sarcopenia). Although weight loss has been
associated with poor clinical outcome, there is only limited information on the
prevalence and prognostic impact of sarcopenia in ovarian cancer so far. METHODS:
Total skeletal muscle mass was determined by computed tomography image analysis
of the third lumbar skeletal muscle cross-sectional area in 128 patients with
advanced serous ovarian cancer. Longitudinal change of muscle mass was studied in
209 consecutive computed tomography scans from 43 patients. Association with
survival was determined using Cox proportional hazards model. RESULTS: The
prevalence of sarcopenia at first diagnosis was 11% (12/105; 95% confidence
interval [CI], 6%-20%). Sarcopenic patients had a significantly reduced
progression-free (hazard ratio, 2.64; 95% CI, 1.24-5.64; P = 0.012) and overall
survival (hazard ratio, 3.17; 95% CI, 1.29-7.80; P = 0.012). On multivariable
analysis, these prognostic effects remained significant after adjustment for age,
International Federation of Gynecology and Obstetrics stage, and postsurgical
residual disease. Longitudinal analyses identified both patients with loss and
gain of muscle mass. However, change in muscle mass over time was not associated
with survival. CONCLUSIONS: Baseline sarcopenia is a prognostic factor in
advanced serous ovarian cancer. Identification of sarcopenic patients and early
enrollment in physical or nutritional education programs might thus be a feasible
way to improve outcome and should be further evaluated in prospective clinical
trials.
PMID- 27870709
TI - Groin Recurrences in Node Negative Vulvar Cancer Patients After Sole Sentinel
Lymph Node Dissection.
AB - OBJECTIVE: This study aimed to evaluate the recurrence rates after sole sentinel
dissection in vulvar cancer and describe characteristics of groin recurrences.
METHODS: All vulvar cancer cases between 2008 and 2014 were reviewed. Inclusion
criteria were restricted to lymph node-negative patients, sole sentinel lymph
node dissection (SLND), and tumor diameter less than 4 cm. In all patients, Tc
99m nanocolloid was used for preoperative SLN imaging. Regularly, planar
lymphoscintigraphy and single-photon emission computed tomography with computed
tomography were performed. Ultrastaging was routinely conducted on all negative
lymph nodes. RESULTS: Of 140 vulvar cancer cases, 30 node-negative patients
underwent sole SLND and met inclusion criteria. Keratinizing squamous cell
carcinoma was determined in final histology in 20/30 (66.7%) patients and the
mainly diagnosed tumor stage was pT1b (21/30, 70%). Three perioperative
complications occurred. On average, 4.6 (1-9) SLNs were dissected per patient and
2.5 (1-6) per each groin, respectively. During a median follow-up of 43.5 (4-75)
months, 5/30 (16.7%; 95% confidence interval, 7.3%-33.6%) local recurrences
occurred. In addition, 2/30 (6.6%; 95% confidence interval, 1.9%-21.3%) groin
recurrences were identified within a period of 12 months after the primary
surgery. Both patients had large (>2 cm) midline tumors. Despite surgical as well
as adjuvant treatment of the recurrent disease, both patients with groin
recurrences died. CONCLUSIONS: Sentinel lymph node dissection is a safe and
feasible alternative in early vulvar cancer. But false-negative sentinel carry a
high risk of mostly fatal groin recurrences. Especially, midline tumors larger
than 2 cm have to be treated with caution, because they are mostly found in cases
with groin recurrences after sole SLND.
PMID- 27870710
TI - Histopathology Discrepancy of Preoperative Endometrial Sampling and Final
Specimen: How Does This Influence Selective Lymph Node Dissection?
AB - OBJECTIVE: Preoperative histology is a major component in the perioperative
selective lymph node (LN) dissection decision process. Discrepancy between
preoperative endometrial sampling and final specimen histopathology is generally
accepted. The goals of this project are to determine if discrepancy of
histopathology is associated with alteration of adjuvant treatment or outcome.
MATERIALS AND METHODS: We performed a retrospective cross-sectional analysis of
all patients undergoing surgery for endometrial cancer at a single institution
from 2010 to 2014. All patients had preoperative endometrial sampling.
Histopathology discrepancy was evaluated for potential in variation of
perioperative LN dissection. Criteria for not performing LN dissection was
defined as preoperative endometrioid histology, grade 1 or 2 lesion, myometrial
invasion of 50% or less, and primary tumor diameter 2 cm or less. RESULTS: A
total of 352 patients were identified; 44 were excluded because of no
preoperative pathology or no residual disease on final pathology. Discrepancy of
histopathology was noted in 64/308 (20.8%; 95% confidence interval [CI], 16.2%
25.3%) patients. Preoperative endometrioid histology was noted in 272 patients,
and 17/272 (6.3%; 95% CI, 3.4%-9.1%) had preoperative sampling reviewed as a
grade 1 or 2 endometrioid lesion and final specimen was upgraded to grade 3.
Downstaging occurred in 3/272 (1.1%; 95% CI, 0%-2.3%) patients with preoperative
grade 3 lesion and final specimen demonstrated grade 1 or 2 disease. All 3
patients' primary tumor diameter was greater than 2 cm and therefore received LN
dissection. Histopathological discrepancy that would alter perioperative LN
dissection decision based on the aforementioned criteria occurred in 2/272 (0.7%;
95% CI, 0%-1.8%). CONCLUSIONS: Despite a 20% discrepancy of preoperative and
postoperative histopathology, discrepancy that would alter a perioperative
decision for LN dissection occurs in only 0.7% of cases in this retrospective
single-institutional experience. Myometrial invasion and tumor size may be more
influential than histology in LN selection criteria.
PMID- 27870711
TI - The Effect of Metformin on Oncological Outcomes in Patients With Cervical Cancer
With Type 2 Diabetes Mellitus.
AB - OBJECTIVE: The aim of this study was to evaluate any association between
metformin use and oncological outcomes of cervical cancer in patients with type 2
diabetes mellitus (DM). METHODS: We retrospectively identified 248 patients with
cervical cancer with type 2 DM who received primary treatment between 2004 and
2015. The clinicopathological characteristics and oncological outcomes were
collected and analyzed. The patients were then separated into metformin use (n =
118) or non-metformin use (n = 130) groups. RESULTS: With a median follow-up of
2.85 years, patients who were given metformin had lower recurrence rate than
patients who did not receive metformin (P = 0.011). The 5-year disease-free
survival (DFS) and overall survival (OS) were 81.55% and 93.27% in the metformin
use group, and 65.06% and 86.82% for the non-metformin use group, respectively.
In multivariate analysis, non-metformin use (hazard ratio [HR], 1.89; P = 0.037),
baseline hypertension (HR, 2.52; P = 0.005), and stage (HR for stage II vs I,
3.48; HR for stage III vs I, 6.45; P = 0.01) were independent adverse prognostic
factors for DFS, whereas the statistically significant independent prognostic
factors for OS were age (HR for age >65 vs <=50, 3.86; P = 0.044) and stage (HR
for stage III-IVA vs I-II, 3.45; P = 0.007). CONCLUSIONS: Our findings
demonstrate that metformin use is associated with improved DFS, but not in OS in
patients with cervical cancer with type 2 DM. Further large-scale and long-term
follow-up studies are warranted to confirm the antitumor effects of metformin.
PMID- 27870713
TI - The Frequency of Unplanned Rehospitalization and Associated Factors in
Gyneoncology Patients: A Retrospective Study.
AB - OBJECTIVE: In this study, we aim to analyze rate and associated factors with
unplanned rehospitalization in gynecological cancer patients. MATERIALS AND
METHODS: The electronic database query (2007 to 2014) was used to evaluate
rehospitalization rates within 90 days of index admission in patients with
gynecological cancer. Multivariable logistic regression was used to identify
factors associated with rehospitalization. RESULTS: Mean patient age was 59.05 +/
11.96 years (minimum, 32 years; maximum, 85 years). A total of 152 patients'
data were evaluated. Seventy-three patients (48.0%) were rehospitalized within 90
days of discharge. The median length of index hospital stay (from 3 to 34 days)
was 8.90 +/- 6.03 days. The most common rehospitalization causes includes pain
(24.6%), recurrence (21.9%), ascites (13.7%), surgical site infection (12.3%),
acute reoperation (9.6%), thromboembolism (8.2%), renal failure (5.5%),
ileus/obstruction (2.7%), and lymphedema (1.4%). In multivariable logistic
regression model, difference was found between history of operation, receive
chemotherapy, development of the complication during hospitalization
comorbidities as well as multiparity variables, and rehospitalization (P < 0.05).
CONCLUSIONS: Unplanned rehospitalization after discharge for gynecological cancer
is common with significant associated risk factors and patient outcomes.
Integrated multidisciplinary health care strategies, such as safe transition,
communication, patient and family education, accurate medication reconciliation,
and short-interval outpatient follow-up may help to prevent rehospitalization
after discharge and improve patient outcomes.
PMID- 27870712
TI - A Phase 2, Randomized, Open-Label Study of Irosustat Versus Megestrol Acetate in
Advanced Endometrial Cancer.
AB - OBJECTIVE: Advanced/metastatic or recurrent endometrial cancer has a poor
prognosis. Malignant endometrial tissue has high steroid sulphatase (STS)
activity. The aim of this study was to evaluate STS as a therapeutic target in
patients with endometrial cancer. METHODS: This was a phase 2, multicenter,
international, open-label, randomized (1:1), 2-arm study of the STS inhibitor
oral irosustat 40 mg/d versus oral megestrol acetate 160 mg/d in women with
advanced/metastatic or recurrent estrogen receptor-positive endometrial cancer.
The primary end point was the proportion of patients without progression or death
6 months after start of treatment. Secondary end points included progression-free
survival, time to progression, overall survival, and safety. RESULTS: Seventy-one
patients were treated (36 with irosustat, 35 with megestrol acetate). The study
was prematurely stopped after futility analysis. Overall, 36.1% and 54.1% of
patients receiving irosustat or megestrol acetate had not progressed or died at 6
months, respectively. There were no statistically significant differences between
irosustat and megestrol acetate in response and overall survival rates. Irosustat
patients had a median progression-free survival of 16 weeks (90% confidence
interval, 9.0-31.4) versus 40 weeks (90% confidence interval, 16.3-64.0) in
megestrol acetate patients. Treatment-related adverse events occurred in 20
(55.6%) and 13 (37.1%) patients receiving irosustat or megestrol, respectively.
Most adverse events in both groups were grade 1 or 2. CONCLUSIONS: Although
irosustat monotherapy did not attain a level of activity sufficient for further
development in patients with advanced/recurrent endometrial cancer, this study
confirms the activity of hormonal treatment (megestrol acetate) for this
indication.
PMID- 27870714
TI - Robotic-Assisted Video Endoscopic Inguinal Lymphadenectomy in Carcinoma Vulva:
Our Experiences and Intermediate Results.
AB - OBJECTIVES: To describe the technique of robotic-assisted video endoscopic
inguinal lymphadenectomy (R-VEIL) in patients with carcinoma vulva and discuss
the advantages of the technique and oncological outcome. METHODS: Twelve patients
of squamous cell cancer of vulva underwent 22 R-VEIL procedures from February
2011 to February 2015. Their preoperative, intraoperative, and postoperative data
were retrospectively analysed. RESULTS: The mean age of patients was 61 years
(range, 32-78 years). The mean operative time was 69.3 minutes (range, 45-95
minutes). The mean blood loss was 30 mL (range, 15-50 mL). No intraoperative
complication was observed. The mean drain output was 119 mL (range, 50-250 mL),
and the drains were removed at a mean of 13.9 days (range, 8-38 days). The
average number of superficial and deep inguinofemoral lymph nodes retrieved was
11 (range, 4-26). Two patients had positive lymph nodes on histopathology
(16.67%). Postoperative complications were lymphocele (6 groins), chronic lower
limb lymphedema (6 cases), prolonged lymphorrhea (1 groin), and cellulitis (2
groins). Over a follow-up period ranging from 7 to 67 months, 1 patient developed
recurrence in the inguinal nodes and died 7 months after the recurrence.
CONCLUSIONS: The R-VEIL allows the removal of inguinal lymph nodes within the
same limits as the open procedure for inguinal lymph node dissection and has a
potential to reduce the surgical morbidity associated with the open procedure.
Long-term oncological results are not available though our initial results appear
promising. Prospective multi-institutional studies are required to prove its
efficacy over open inguinal lymph node dissection.
PMID- 27870716
TI - The Big 4: Using Primary Prevention to Drive Population Health.
PMID- 27870715
TI - Posttranscriptional Control of PD-L1 Expression by 17beta-Estradiol via PI3K/Akt
Signaling Pathway in ERalpha-Positive Cancer Cell Lines.
AB - OBJECTIVE: Estrogen is a well-known oncogenic driver in endometrial (ECs) and
breast cancers (BCs). Programmed cell death protein 1 (PD-1) and its ligands PD-1
Ligand 1 (PD-L1) and PD-L2 have been shown to mediate immune evasion of the tumor
cells. The purpose of the present study was to assess the effects of estrogen on
PD-L1 and PD-L2 expression in EC and BC cell lines. METHODS: 17beta-Estradiol
(E2)-induced expression of PD-L1 and PD-L2 and possible signaling pathway were
investigated in EC and BC cells. Coculture of T cells and cancer cells with E2
stimulation was performed to assess the functions of T cells. RESULTS: We found
that E2 increased expression of PD-L1, but not PD-L2, protein via activation of
phosphoinositide 3-kinase (PI3K)/Akt pathway in Ishikawa and Michigan Cancer
Foundation-7 (MCF-7) cells. Phosphoinositide 3-kinase and Akt inhibitors could
block E2's effects. 17beta-Estradiol did not increase PD-L1 mRNA transcription,
but stabilized PD-L1 mRNA. 17beta-Estradiol's effects were only observed in
estrogen receptor alpha (ERalpha)-positive Ishikawa and MCF-7 cells, but not in
ERalpha-negative MDA-MB-231 cells. Coculture of Ishikawa or MCF-7 cells with T
cells inhibited expression of interferon-gamma and interleukin-2 and increased
BCL-2-interacting mediator of cell death expression in the presence of E2.
CONCLUSIONS: This study provides the first evidence that estrogen upregulates PD
L1 protein expression in ERalpha-positive EC and BC cells to suppress immune
functions of T cells in the tumor microenvironment, demonstrating a new mechanism
of how estrogen drives cancer progression.
PMID- 27870717
TI - An International Comparison of the Instigation and Design of Health Registers in
the Epidemiological Response to Major Environmental Health Incidents.
AB - CONTEXT: Epidemiological preparedness is vital in providing relevant,
transparent, and timely intelligence for the management, mitigation, and
prevention of public health impacts following major environmental health
incidents. A register is a set of records containing systematically collected,
standardized data about individual people. Planning for a register of people
affected by or exposed to an incident is one of the evolving tools in the public
health preparedness and response arsenal. OBJECTIVE: We compared and contrasted
the instigation and design of health registers in the epidemiological response to
major environmental health incidents in England, France, Italy, the Netherlands,
and the United States. DESIGN: Consultation with experts from the 5 nations,
supplemented with a review of gray and peer-reviewed scientific literature to
identify examples where registers have been used. SETTING: Populations affected
by or at risk from major environmental health incidents in England, France,
Italy, the Netherlands, and the United States. METHODS: Nations were compared
with respect to the (1) types of major incidents in their remit for considering a
register; (2) arrangements for triggering a register; (3) approaches to design of
register; (4) arrangements for register implementation; (5) uses of registers;
and (6) examples of follow-up studies. RESULTS: Health registers have played a
key role in the effective public health response to major environmental
incidents, including sudden chemical, biological, radiological, or nuclear, as
well as natural, more prolonged incidents. Value has been demonstrated in the
early and rapid deployment of health registers, enabling the capture of a
representative population. CONCLUSION: The decision to establish a health
register must ideally be confirmed immediately or soon after the incident using a
set of agreed criteria. The establishment of protocols for the instigation,
design, and implementation of health registers is recommended as part of
preparedness activities. Key stakeholders must be aware of the importance of, and
protocols for, establishing a register.Agencies will find value in preparing and
implementing registers as part of an effective public health response to major
environmental incidents, including sudden chemical, biological, radiological, or
nuclear incidents, as well as natural, more prolonged incidents.
PMID- 27870719
TI - Public Health Leadership and Management in the Era of Public Health 3.0.
PMID- 27870718
TI - Self-reported Preparedness to Respond to Mass Fatality Incidents in 38 State
Health Departments.
AB - CONTEXT: Public health departments play an important role in the preparation and
response to mass fatality incidents (MFIs). OBJECTIVE: To describe MFI response
capabilities of US state health departments. DESIGN: The data are part of a
multisector cross-sectional study aimed at 5 sectors that comprise the US mass
fatality infrastructure. Data were collected over a 6-week period via a self
administered, anonymous Web-based survey. SETTING: In 2014, a link to the survey
was distributed via e-mail to health departments in 50 states and the District of
Columbia. PARTICIPANTS: State health department representatives responsible for
their state's MFI plans. MEASURES: Preparedness was assessed using 3 newly
developed metrics: organizational capabilities (n = 19 items); operational
capabilities (n = 19 items); and resource-sharing capabilities (n = 13 items).
RESULTS: Response rate was 75% (n = 38). Among 38 responses, 37 rated their
workplace moderately or well prepared; 45% reported MFI training, but only 30%
reported training on MFI with hazardous contaminants; 58% estimated high levels
of staff willingness to respond, but that dropped to 40% if MFIs involved
hazardous contaminants; and 84% reported a need for more training. On average,
76% of operational capabilities were present. Resource sharing was most prevalent
with state Office of Emergency Management but less evident with faith-based
organizations and agencies within the medical examiner sector. CONCLUSION:
Overall response capability was adequate, with gaps found in capabilities where
public health shares responsibility with other sectors. Collaborative training
with other sectors is critical to ensure optimal response to future MFIs, but
recent funding cuts in public health preparedness may adversely impact this
critical preparedness element. In order for the sector to effectively meet its
public health MFI responsibilities as delineated in the National Response
Framework, resources to support training and other elements of preparedness must
be maintained.
PMID- 27870720
TI - Strengthening the Capacity of Local Health Departments to Reduce Exposure to
Electronic Nicotine Delivery Systems.
PMID- 27870721
TI - Differences in Health Care Needs, Health Care Utilization, and Health Care
Outcomes Among Children With Special Health Care Needs in Ohio: A Comparative
Analysis Between Medicaid and Private Insurance.
AB - OBJECTIVE: This study explores comparative differentials in health care needs,
health care utilization, and health status between Medicaid and private/employer
sponsored insurance (ESI) among a statewide population of children with special
health care needs (CSHCN) in Ohio. METHODS: We used data from the 2012 Ohio
Medicaid Assessment Survey to examine CSHCN's health care needs, utilization,
status, and health outcomes by insurance type. Adjusted multivariable logistic
regression models were used to explore associations between public and private
health insurance, as well as the utilization and health outcome variables.
RESULTS: Bivariate analyses indicate that the Medicaid population had higher care
coordination needs (odds ratio [OR] = 1.6; 95% confidence interval [CI], 1.1-2.2)
as well as need for mental/educational health care services (OR = 1.5; 95% CI;
1.1-2.0). They also reported higher unmet dental care needs (OR = 2.2; 95% CI,
1.2-4.0), higher emergency department (ED) utilization (OR = 2.3; 95% CI, 1.7
3.2), and worse overall health (OR = 0.6; 95% CI, 0.4-0.7), oral health (OR =
0.4; 95% CI, 0.3-0.5), and vision health (OR = 0.4; 95% CI, 0.2-0.6). After
controlling for demographic variables, CSHCN with Medicaid insurance coverage
were more likely to need mental health and education services (adjusted odds
ratio [AOR] = 1.8; 95% CI; 1.2-2.6), had significantly more ED visits (AOR = 2.3;
95% CI, 1.5-3.5), and were less likely to have excellent overall health (AOR =
0.64; 95% CI, 0.4-0.9), oral health (AOR = 0.43; 95% CI, 0.3-0.7), and vision
health (AOR = 0.38; 95% CI, 0.2-0.6) than those with private insurance/ESI.
CONCLUSION: The CSHCN population is a highly vulnerable population. While Ohio's
Medicaid provides greater coverage to CSHCN, disparities continue to exist within
access and services that Medicaid provides versus the ones provided by private
insurance/ESI.
PMID- 27870722
TI - A Qualitative Study of Symptom Experiences of Women With Acute Coronary Syndrome.
AB - BACKGROUND: Most studies show that women with symptoms of acute coronary syndrome
(ACS) delay seeking care longer than men do. Contributing factors include women
being more likely to experience diverse symptoms, to experience symptoms that do
not match preexisting symptom expectations, to interpret symptoms as noncardiac,
and to minimize symptoms until they become incapacitating. OBJECTIVE: The aim of
the study is to identify factors influencing women's ability to recognize and
accurately interpret symptoms of suspected ACS. METHODS: This qualitative study
used in-depth interviews with 18 women diagnosed with ACS to determine how they
recognized, interpreted, and acted on symptoms. An interview guide developed from
the author's initial research was used to provide structure for the process.
RESULTS: All of the women went through a process of recognizing and interpreting
their symptoms. Eight women had symptoms arise abruptly. Most of these women
recognized a change immediately, "knew" to go for treatment, and did so quickly.
Three women had vague symptoms that started slowly, converting unexpectedly to
intense symptoms prompting them to seek care urgently. The remaining 7 women had
evolving symptoms, were more likely to interpret symptoms as unrelated to their
heart, and avoided disclosing symptoms to others. Despite recognizing that the
situation may be serious, women with evolving symptoms adopted a wait-and-see
approach. CONCLUSION: Women with less severe, intermittent, or evolving symptoms
are at increased risk for delayed presentation, diagnosis, and treatment for ACS.
These women should be targeted for educational and behavioral interventions.
PMID- 27870724
TI - The Effects of Dietary Calcium Supplements Alone or With Vitamin D on Cholesterol
Metabolism: A Meta-Analysis of Randomized Controlled Trials.
AB - BACKGROUND: Evidence supports the role of lifestyle interventions as a primary
intervention strategy among individuals with dyslipidemia. The role of
micronutrients, and calcium in particular, on cholesterol metabolism is not clear
and warrants further investigation. OBJECTIVE: The aim of this study is to
conduct a meta-analysis of controlled clinical trials that have examined the
effects of calcium supplements on blood lipids among adults. METHODS: MEDLINE,
EMBASE, Web of Science, and Cochrane Central Register of Controlled Trials
databases were searched up to March 2016 for calcium supplements clinical trials.
Other trials were selected from searching bibliography of reviews, meta-analysis,
and included trials. Clinical trials with random allocation to calcium
supplementation or calcium plus vitamin D supplementation, or control were
selected. Data collected included study design, participant characteristics,
information of the intervention, and outcomes. Data synthesis was conducted using
random effect models. RESULTS: A total of 22 trials, representing 4071
participants, met the eligibility criteria. Compared with control group, calcium
supplements significantly reduced low-density lipoprotein cholesterol level by
0.12 mmol/L (95% confidence interval, -0.22 to -0.02) and increased high-density
lipoprotein cholesterol level by 0.05 mmol/L (95% confidence interval, 0.00 to
0.10). Subgroup analyses revealed that the associations were consistent across
study duration and vitamin D cosupplementation status. CONCLUSIONS: Calcium
supplementation has beneficial effect on blood lipids. Such supplements may be
useful as a nonpharmaceutical strategy in cholesterol control.
PMID- 27870726
TI - Melanocytic Nevi of Special Sites.
AB - Melanocytic nevi located on specific regions of the body can demonstrate unusual
histopathological features such as asymmetry, irregular nesting patterns,
pagetoid spread, cytologic atypia, and rarely, mitotic activity. However, despite
these features that may raise concern for malignant melanoma, these lesions
follow a benign clinical course and do not require intervention. Also known as
nevi of special sites or nevi with site-related atypia, these melanocytic nevi
were initially described on acral sites and genitalia. Now, additional anatomical
sites with known site-related atypia include the ear, conjunctivae, scalp,
breast, flexural skin, legs, and back and shoulder. This continuing medical
education article presents a review of the histopathological characteristics of
special site nevi based on anatomic location. It is imperative for
dermatologists, pathologists, and dermatopathologists to distinguish benign
melanocytic nevi with site-related atypia from malignant melanoma to avoid
unnecessary surgical intervention or treatment.
PMID- 27870723
TI - Influence of Baseline Psychological Health on Muscle Pain During Atorvastatin
Treatment.
AB - BACKGROUND: 3-hydroxy-3-methylglutaryl coenzyme A reductase reductase inhibitors
(statins) are generally well tolerated, with statin-associated muscle symptoms
(SAMS) the most common side effect (~10%) seen in statin users. However, studies
and clinical observations indicate that many of the self-reported SAMS appear to
be nonspecific (ie, potentially not attributable to statins). OBJECTIVE: Mental
health and well-being influence self-perception of pain, so we sought to assess
the effect of baseline well-being and depression on the development of muscle
pain with 6 months of atorvastatin 80 mg/d (ATORVA) or placebo in healthy, statin
naive adults. METHODS: The Psychological General Well-being Index (n = 83) and
Beck Depression Inventory (n = 55) questionnaires were administered at baseline
in participants (aged 59.5 +/- 1.2 years) from the effect of Statins on Skeletal
Muscle Function and Performance (STOMP) trial (NCT00609063). Muscle pain (Short
Form McGill Pain Questionnaire [SF-MPQ]), pain that interferes with daily life
(Brief Pain Inventory [BPI]), and pain severity (BPI) were then measured before,
throughout, and after treatment. RESULTS: At baseline, there were no differences
in well-being (Psychological General Well-being Index), depression (Beck
Depression Inventory), or pain measures (SF-MPQ and BPI) (P values >= .05)
between the placebo and ATORVA groups. Baseline well-being correlated negatively
with baseline BPI pain severity (r = -0.290, P = .008). Baseline depression
correlated with baseline pain (SF-MPQ; r = 0.314, P = .020). Baseline well-being
and depression did not predict the change in pain severity or interference after
6 months among the total sample or between groups (P values >= .05). CONCLUSION:
Baseline well-being and depression were not significant predictors of pain after
6 months of ATORVA (P values >= .05). Thus, they do not appear to increase the
risk of SAMS in otherwise healthy adults.
PMID- 27870727
TI - Solitary Annular Plaque on the Scrotum.
PMID- 27870728
TI - Erythematous and Hyperpigmented Macules on the Feet.
PMID- 27870730
TI - Cutaneous Sebaceous Lesions in a Patient With MUTYH-Associated Polyposis
Mimicking Muir-Torre Syndrome.
AB - A 76-year-old white male with a history of adenocarcinoma of the rectosigmoideum
and multiple colonic polyps removed at the age of 38 and 39 years by an
abdominoperitoneal amputation and total colectomy, respectively, presented with
multiple whitish and yellowish papules on the face and a verrucous lesion on the
trunk. The lesions were surgically removed during the next 3 years and a total of
13 lesions were investigated histologically. The diagnoses included 11 sebaceous
adenomas, 1 low-grade sebaceous carcinoma, and 1 squamous cell carcinoma. In some
sebaceous lesions, squamous metaplasia, intratumoral heterogeneity, mucinous
changes, and peritumoral lymphocytes as sometimes seen in sebaceous lesions in
Muir-Torre syndrome were noted. Mutation analysis of the peripheral blood
revealed a germline mutation c.692G>A,p.(Arg231His) in exon 9 and c.1145G>A,
p.(Gly382Asp) in exon 13 of the MUTYH gene. A KRAS mutation G12C (c.34G>T,
p.Gly12Cys) was detected in 1 sebaceous adenoma and a NRAS mutation Q61K
(c.181C>A, p.Gln61Lys) was found in 2 other sebaceous adenomas. No germline
mutations in MLH1, MSH2, MSH6 and PMS2 genes, no microsatellite instability, no
aberrant methylation of MLH1 promoter, and no somatic mutations in MSH2 and MSH6
were found. An identical MUTYH germline mutation was found in the patient's
daughter. Despite striking clinicopathological similarities with Muir-Torre
syndrome, the molecular biologic testing confirmed the final diagnosis of MUTYH
associated polyposis.
PMID- 27870729
TI - Aggressive Digital Papillary Adenocarcinoma With Multiple Organ Metastases: A
Case Report and Review of the Literature.
AB - Aggressive digital papillary adenocarcinoma (ADPA) is a rare sweat gland neoplasm
with a high recurrence rate and metastatic potential. In this study, the authors
describe a case that originally appeared to benign spiradenoma, but took an
ominous course eventually resulting in the diagnosis of ADPA. A 73-year-old woman
developed a gradually growing nodule on the second toe of her left foot, which
she had first noticed 4 years previously. An excisional biopsy was performed
followed by histological examination. The authors initially considered the tumor
to be a benign spiradenoma and did not perform reexcision. However, she
experienced local recurrence 24 months later, and multiple pulmonary metastasis
31 months later. On histological examination, both the primary and locally
recurrent tumors were found to be composed of discrete and well-circumscribed
solid nodules, lacking cystic space. All tumors (the primary tumor, locally
recurrent tumor, and lung metastases) presented with a pattern of fused back-to
back tubular structures and myoepithelial differentiation confirmed by
immunohistochemical examination. On the basis of these findings, the authors
finally diagnosed ADPA with multiple pulmonary metastases. The patient underwent
chemotherapy, but died of disease 49 months later. This case highlights the
importance of high clinical suspicion of ADPA when digital lesions present.
PMID- 27870731
TI - Solitary Annular Plaque on the Scrotum.
PMID- 27870732
TI - Erythematous and Hyperpigmented Macules on the Feet.
PMID- 27870733
TI - Cutaneous Metastatic Melanoma Resembling a Halo Nevus, in the Setting of PD-1
Inhibition.
AB - Malignant melanoma is a common source of cutaneous metastases and can
occasionally adopt a histological appearance which mimics a primary melanocytic
lesion, either benign or malignant. The authors describe a case of new cutaneous
deposits of metastatic melanoma in a 70-year-old woman with a prominent admixed
lymphocytic infiltrate, imparting a striking resemblance to a halo nevus. The
authors believe this appearance was a direct reflection of treatment with
pembrolizumab, a humanized antibody against the immune checkpoint inhibitor
programmed death-1. With increasing use of immune-modulating drugs, this
potential histological mimic may be seen more frequently in the future.
PMID- 27870734
TI - Incremental Value of Preoperative Copeptin for Predicting Myocardial Injury.
AB - BACKGROUND: Copeptin, a novel marker of endogenous stress, has shown diagnostic
and prognostic value in nonsurgical patients with a suspected coronary event. We
aimed to assess the incremental value of copeptin in addition to established
preoperative risk indices to predict the occurrence of postoperative myocardial
injury. METHODS: This secondary analysis of prospectively collected data included
adults undergoing noncardiac surgery with risk factors for adverse perioperative
cardiac events based on preoperative risk stratification. We examined
preoperative copeptin in patients without elevated preoperative troponin and its
association with myocardial injury by receiver operator characteristic curves,
logistic regression, and net reassignment indices. RESULTS: Of the 190 patients
included, 33 (17.4%) experienced myocardial injury within 48 hours, and 17 (8.9%)
experienced cardiac death and/or major adverse cardiac events within the first
postoperative year. Preoperative copeptin showed an area under the receiver
operator characteristic curve of .66 (95% confidence interval, .55-.76) for
myocardial injury and an optimal cutoff of 9.6 pmol/L. This cutoff was an
independent predictor of myocardial injury, with an odds ratio of 4.67 (95%
confidence interval, 2.06-11.19) when adjusted for age, sex, and the revised
cardiac risk index. The net reassignment improvement for myocardial injury was
between 39% and 50% for both events and nonevents when adding copeptin to
established preoperative risk indices. No significant difference in major adverse
cardiac event and/or cardiac death was observed. CONCLUSIONS: Copeptin (>=9.6
pmol/L) was associated with significantly higher rates of myocardial injury and
improved risk stratification in patients scheduled for noncardiac surgery with
nonelevated preoperative troponin.
PMID- 27870735
TI - Combination of 5-HT3 Antagonist and Dexamethasone Is Superior to 5-HT3 Antagonist
Alone for PONV Prophylaxis After Laparoscopic Surgeries: A Meta-analysis.
AB - INTRODUCTION: 5-Hydroxytryptamine type 3 (5-HT3) receptor antagonists are the
most commonly used drugs for postoperative nausea vomiting (PONV) prophylaxis.
Dexamethasone is another antiemetic with proven efficacy in reducing PONV. The
aim of this study was to conduct a systematic review and meta-analysis of
randomized controlled trials (RCTs) to compare the combination of dexamethasone
and 5-HT3 antagonist versus a 5-HT3 antagonist alone as prophylaxis of PONV in
laparoscopic surgical patients. METHODS: PubMed, PubMed Central, and CENTRAL
databases were searched to identify those randomized trials that compared a 5-HT3
antagonist with the 5-HT3 antagonist and dexamethasone combination for PONV
prophylaxis after laparoscopic surgeries. RESULTS: Data from 17 RCTs that
evaluated 1402 patients were included. Results from our meta-analysis show that
the combination of dexamethasone and a 5-HT3 receptor antagonist is more
effective in preventing PONV than the 5-HT3 antagonist alone (odds ratio 0.38,
95% confidence interval [CI] 0.27-0.54; number needed to treat = 6.6), with no
statistical heterogeneity (I = 0) among studies. The need for rescue antiemetic
is also decreased in patients receiving the combination (odds ratio 0.21, 99% CI
0.10-0.46; number needed to treat = 6), although data are insufficient to detect
any significant difference in incidence of adverse effects. In addition, patients
in the combination group complained of less pain after 24 hours (Weighted Mean
Difference -0.67, 99% CI -1.27 to -0.08). CONCLUSION: Combination of a 5-HT3
receptor antagonist and dexamethasone is significantly more effective than 5-HT3
antagonist alone in preventing PONV after laparoscopic surgeries, with possible
improvement in postoperative analgesia.
PMID- 27870736
TI - Lidocaine Administration Controls MicroRNAs Alterations Observed After Lung
Ischemia-Reperfusion Injury.
AB - BACKGROUND: Ischemia-reperfusion injury (IRI) is associated with morbidity and
mortality. MicroRNAs (miRNAs) have emerged as regulators of IRI, and they are
involved in the pathogenesis of organ rejection. Lidocaine has proven anti
inflammatory activity in several tissues but its modulation of miRNAs has not
been investigated. This work aims to investigate the involvement of miRNAs in
lung IRI in a lung auto-transplantation model and to investigate the effect of
lidocaine. METHODS: Three groups (sham, control, and Lidocaine), each comprising
6 pigs, underwent a lung autotransplantation. All groups received the same
anesthesia. In addition, animals of lidocaine group received a continuous
intravenous administration of lidocaine (1.5 mg/kg/h) during surgery. Lung
biopsies were taken before pulmonary artery clamp, before reperfusion, 30 minutes
postreperfusion (Rp-30), and 60 minutes postreperfusion (Rp-60). Samples were
analyzed for different miRNAs (miR-122, miR-145, miR-146a, miR-182, miR-107, miR
192, miR-16, miR-21, miR-126, miR-127, miR142-5p, miR152, miR155, miR-223, and
let7) via the use of reverse-transcription quantitative polymerase chain
reaction. Results were normalized with miR-103. RESULTS: The expression of miR
127 and miR-16 did not increase after IRI. Let-7d, miR-21, miR-107, miR-126, miR
145, miR-146a, miR-182, and miR-192 significantly increased at the Rp-60 (control
versus sham P < .001). miR-142-5p, miR-152, miR-155, and miR 223 significantly
increased at the Rp-30 (control versus sham P < .001) and at the Rp-60 (control
versus. sham P < .001). The administration of lidocaine was able to attenuate
these alterations in a significant way (control versus Lidocaine P < .001).
CONCLUSIONS: Lung IRI caused dysregulation miRNA. The administration of lidocaine
reduced significantly miRNAs alterations.
PMID- 27870737
TI - The Influence of Body Mass Index on Sensorimotor Block and Vasopressor
Requirement During Spinal Anesthesia for Elective Cesarean Delivery.
AB - BACKGROUND: It has been suggested that the dose requirement for spinal anesthesia
(SA) is lower in obese patients for cesarean delivery (CD). In this prospective,
observational, noninferiority study, we tested the hypothesis that obesity would
not have a clinically important effect on vasopressor requirements or block
height. METHODS: Two groups of 25 parturients, group O (body mass index [BMI] >40
kg/m) and group N (BMI <32 kg/m) requiring elective CD were recruited. All
patients received 10 mg intrathecal hyperbaric bupivacaine coadministered with 10
MUg fentanyl. Dermatomal levels were assessed at 5 and 25 minutes after SA, and
at completion of surgery, using light touch and cold sensation in response to
ethyl chloride. The primary outcomes were phenylephrine requirement in the first
30 minutes after SA, and maximum block height, measured by the sensation of touch
and cold. Secondary outcomes were total phenylephrine dose required, changes in
hand grip strength, and peak flow rate. RESULTS: There were no significant
between-group differences in median block height as assessed by touch at 5 or 25
minutes or by temperature at 5 minutes. At 25 minutes, there was a 2-dermatome
difference in median block height for loss of temperature sensation between group
O and group N (T2 vs T4, 95% confidence interval [CI] of the difference in
medians 0-2 dermatomes). No blocks extended to cervical dermatomes. The median
(range) phenylephrine dose for the first 30 minutes was 150 ug (0-900 ug), and
100 ug (0-1250 ug) in group N and group O, respectively. The 95% CI for the
difference between the 2 median doses was -150 ug to 100 ug. There were no
differences in median percentage reductions in peak flow rate or median hand grip
strength after SA. Mean surgical time was longer in group O than in group N (49.1
vs 39.4 min, 95% CI difference 1.7-17.7 min). The mean time for recovery of touch
sensation to T10 was longer in group O (152 vs 132 min, 95% CI difference 3.8
36.2 min). No analgesic supplementation was required. CONCLUSION: Only a minor
increase in block height as assessed by temperature occurred in group O at 25
minutes. Vasopressor requirements during the first 30 minutes of SA were
equivalent. Time for regression of SA block level was longer in the group O,
which may be beneficial considering the longer surgical time. A dose of spinal
bupivacaine 10 mg for single-shot SA should not be reduced in morbidly obese
parturients.
PMID- 27870738
TI - Persistent Pain After Cesarean Delivery and Vaginal Delivery: A Prospective
Cohort Study.
AB - BACKGROUND: Persistent pain after cesarean delivery and vaginal delivery has been
the subject of only a few research articles. The primary outcome of our
prospective study was the incidence of persistent pain and its association to
mode of delivery. We also studied the nature and intensity of pain after
delivery. METHODS: A questionnaire was distributed on postpartum day 2 to 1052
women who had given birth vaginally and to 502 who had undergone cesarean
delivery in a tertiary maternity hospital in Helsinki, Finland, in 2010. A second
questionnaire was mailed to the women 1 year later. We recorded the women's
health history, obstetric history and previous pain history, details of cesarean
delivery or vaginal delivery, and description of pain, if present. RESULTS: The
incidence of persistent pain at 1 year after delivery was greater after cesarean
delivery (85/379 [22%]) than after vaginal delivery (58/713 [8%]: P < .001,
relative risk 2.8, 95% confidence interval 2.0-3.8). Because of initial
differences in the groups, we performed logistic regression analysis with
persistent pain as a dependent factor that confirmed the mode of delivery as a
predictor of persistent pain. The incidence of persistent pain graded as moderate
or more severe (25/379 [7%] vs 25/713 [4%]: P = .022, relative risk 1.9, 95%
confidence interval 1.1-3.2) was also greater after cesarean delivery than
vaginal delivery. The incidence of persistent pain was significantly more common
in women with a history of previous pain and among primiparous women in logistic
regression analysis. The women with persistent pain had experienced more pain the
day after cesarean delivery (P = .023) and during vaginal delivery (P = .030)
than those who did not report persistent pain. Complications such as perineal
trauma, episiotomy, vacuum extraction, endometritis, wound infection, or ante- or
postpartum depression did not predispose women to persistent pain. Dyspareunia
was reported by 41% of women after vaginal delivery and by 2% after cesarean
delivery among women with persistent pain at 1 year. CONCLUSIONS: The incidence
of persistent pain at 1 year is greater after cesarean delivery than after
vaginal delivery. Pain shortly after cesarean delivery and during vaginal
delivery correlated with persistent pain.
PMID- 27870739
TI - Performance of the Angle Labor Pain Questionnaire During Initiation of Epidural
Analgesia in Early Active Labor.
AB - BACKGROUND: The Angle Labor Pain Questionnaire (A-LPQ) is a new, 22-item
multidimensional psychometric questionnaire that measures the 5 most important
dimensions of women's childbirth pain experiences using 5 subscales: The Enormity
of the Pain, Fear/Anxiety, Uterine Contraction Pain, Birthing Pain, and Back
Pain/Long Haul. Previous work showed that the A-LPQ has overall good psychometric
properties and performance during early active labor in women without pain
relief. The current study assessed the tool's sensitivity to change during
initiation of labor epidural analgesia with the standardized response mean (SRM,
primary outcome). METHODS: Two versions of the A-LPQ were administered once, in
each of 2 test sessions, by the same trained interviewer during early active
labor. The sequence of administration was randomized (ie, standard question order
version [Test 1] followed by mixed version [Test 2] or vice versa). Test 1 was
completed before epidural insertion; Test 2 commenced 20 to 30 minutes after the
test dose. Providers assessed/treated pain independently of the study.
Sensitivity to change was assessed using SRMs, Cohen's d, and paired t tests.
Overall pain intensity was concurrently examined using Numeric Rating Scale and
the Verbal Rating Scale (VRS); coping was assessed with the Pain Mastery Scale.
Changes in pain were measured with the Patient Global Impression of Change Scale.
Internal consistency was assessed with Cronbach's alpha. Concurrent validity with
other tools was assessed using Spearman's rank correlation coefficient. RESULTS:
A total of 51 complete datasets were analyzed. Most women reported moderate (63%,
32/51) or severe (18%, 9/51) baseline pain on VRS scores during Test 1; 29%
(15/51) reported mild pain, and 6% (3/51) reported moderate pain during Test 2.
Approximately 90% (46/51) of women reported much or very much improved pain at
the end of testing. Cronbach's alpha for A-LPQ summary scores was excellent
(0.94) and ranged from 0.78 (acceptable) to 0.92 (excellent) for subscales (Test
1). Large SRMs were found for A-LPQ summary scores (1.6, 95% CI: 1.2, 2.1) and
all subscales except the Birthing Pain subscale (moderate, 0.60, 95% CI: 0.23,
0.97). Significant (P < .001) differences were found between A-LPQ summary scores
and between all subscales on paired t tests. Correlations between A-LPQ summary
and Numeric Rating Scale scores (overall pain intensity) were strong (rho >
0.73), correlations were moderate (rho > 0.5) with VRS scores and coping scores
(rho > 0.67). CONCLUSIONS: Findings support A-LPQ use for measurement of women's
childbirth pain experiences during initiation of labor epidural analgesia during
early active labor. Combined with our previous work, they also support the use of
the A-LPQ in late labor and at delivery.
PMID- 27870740
TI - Patient Blood Management: An International Perspective.
AB - This article describes practices in patient blood management (PBM) in 4 countries
on different continents that may provide insights for anesthesiologists and other
physicians working in global settings. The article has its foundation in the
proceedings of a session at the 2014 AABB annual meeting during which
international experts from England, Uganda, China, and Brazil presented the
programs and implementation strategies in PBM developed in their respective
countries. To systematize the review and enhance the comparability between these
countries on different continents, authors were requested to respond to the same
set of 6 key questions with respect to their country's PBM program(s).
Considerable variation exists between these country regions that is driven both
by differences in health contexts and by disparities in resources. Comparing PBM
strategies from low-, middle-, and high-income countries, as described in this
article, allows them to learn bidirectionally from one another and to work toward
implementing innovative and preferably evidence-based strategies for improvement.
Sharing and distributing knowledge from such programs will ultimately also
improve transfusion outcomes and patient safety.
PMID- 27870741
TI - Relationship Between Preoperative Anemia and In-Hospital Mortality in Children
Undergoing Noncardiac Surgery.
AB - BACKGROUND: The relationship between preoperative anemia and in-hospital
mortality has not been investigated in the pediatric surgical population. We
hypothesized that children with preoperative anemia undergoing noncardiac surgery
may have an increased risk of in-hospital mortality. METHODS: We identified all
children between 1 and 18 years of age with a recorded preoperative hematocrit
(HCT) in the 2012, 2013, and 2014 American College of Surgeons National Surgical
Quality Improvement Program (ACS NSQIP) pediatric databases. The endpoint was
defined as the incidence of in-hospital mortality. Children with preoperative
anemia were identified based on their preoperative HCT. Demographic and surgical
characteristics, as well as comorbidities, were considered potential confounding
variables in a multivariable logistic regression analysis. A sensitivity analysis
was performed using propensity-matched analysis. RESULTS: Among the 183,833
children included in the 2012, 2013, and 2014 ACS NSQIP database, 74,508 had a
preoperative HCT recorded (41%). After exclusion of all children <1 year of age
(n = 12,063), those with congenital heart disease (n = 8943), and those who
received a preoperative red blood cell (RBC) transfusion (n = 1880), 12,551 (24%)
children were anemic, and 39,071 (76%) were nonanemic. The median preoperative
HCT was 33% (interquartile range, 31-35) in anemic children, and 39%
(interquartile range, 37-42) in nonanemic children (P < .001). Using
multivariable logistic regression analysis, and after adjustment for RBC
transfusion (OR, 2.13; 95% CI, 1.39-3.26; P < .001), we observed that
preoperative anemia was associated with higher odds for in-hospital mortality
(OR, 2.17; 95% CI, 1.48-3.19; P < .001). After propensity matching, the presence
of anemia was also associated with higher odds of in-hospital mortality (OR,
1.75; 95% CI, 1.15-2.65; P = .004). CONCLUSIONS: Our study demonstrates that
children with preoperative anemia are at increased risk for in-hospital
mortality. Further studies are needed to assess whether the correction of
preoperative HCT, through the development of a patient blood management program,
improves patient outcomes or simply reduces the need for transfusions.
PMID- 27870742
TI - Thoracic Paravertebral Nerve Blocks in Pediatric Patients: Safety and Clinical
Experience.
AB - Thoracic paravertebral nerve blocks (PVNBs) provide excellent analgesia for many
surgeries. The primary aim was to estimate the complication rate, and secondary
aims were to provide information on the potential clinical application of PVNBs.
Data on 2390 PVNBs were collected. A total of 625 catheters were performed on 468
patients, and 1765 single-injection PVNBs were performed on 403 patients. There
was 1 case of local anesthetic systemic toxicity for a major complication rate of
1 per 2390 PVNBs. The minor complication rate was 13.2%. We demonstrate a low
risk of complications in pediatric patients receiving PVNBs for various
surgeries.
PMID- 27870744
TI - Proactive Use of Plasma and Platelets in Massive Transfusion in Trauma: The Long
Road to Acceptance and a Lesson in Evidence-Based Medicine.
PMID- 27870743
TI - Epidural Local Anesthetics Versus Opioid-Based Analgesic Regimens for
Postoperative Gastrointestinal Paralysis, Vomiting, and Pain After Abdominal
Surgery: A Cochrane Review.
AB - BACKGROUND: The aim of this review was to compare the effects of postoperative
epidural analgesia with local anesthetics to postoperative systemic or epidural
opioids in terms of return of gastrointestinal transit, postoperative pain
control, postoperative vomiting, incidence of gastrointestinal anastomotic leak,
hospital length of stay, and cost after abdominal surgery. METHODS: Trials were
identified by computerized searches of the Cochrane Central Register of
Controlled Trials (CENTRAL) (2014, Issue 12), Medical Literature Analysis and
Retrieval System Online (MEDLINE) (from 1950 to December, 2014) and Excerpta
Medica dataBASE (EMBASE) (from 1974 to December 2014) and by checking the
reference lists of trials retained. We included parallel randomized controlled
trials comparing the effects of postoperative epidural local anesthetic with
regimens based on systemic or epidural opioids. The quality of the studies was
rated according to the Cochrane tool. Two authors independently extracted data.
We judged the quality of evidence according to the Grading of Recommendations,
Assessment, Development and Evaluations (GRADE) working group scale. RESULTS:
Based on 22 trials including 1138 participants, an epidural containing a local
anesthetic will decrease the time required for return of gastrointestinal transit
as measured by time required to observe the first flatus after an abdominal
surgery standardized mean difference (SMD) -1.28 (95% confidence interval [CI],
1.71 to -0.86; high quality of evidence; equivalent to 17.5 hours). The effect is
proportional to the concentration of local anesthetic used. Based on 28 trials
including 1559 participants, we also found a decrease in time to first feces
(stool): SMD -0.67 (95% CI, -0.86 to -0.47; low quality of evidence; equivalent
to 22 hours). Based on 35 trials including 2731 participants, pain on movement at
24 hours after surgery is also reduced: SMD -0.89 (95% CI, -1.08 to -0.70;
moderate quality of evidence; equivalent to 2.5 on a scale from 0 to 10). Based
on 22 trials including 1154 participants, we did not find a difference in the
incidence of vomiting within 24 hours: risk ratio 0.84 (95% CI, 0.57-1.23); low
quality of evidence. Based on 17 trials including 848 participants we did not
find a difference in the incidence of gastrointestinal anastomotic leak: risk
ratio 0.74 (95% CI, 0.41-1.32; low quality of evidence). Based on 30 trials
including 2598 participants, epidural analgesia reduces length of hospital stay
for an open surgery: SMD -0.20 (95% CI, -0.35 to -0.04; very low quality of
evidence; equivalent to 1 day). Data on cost were very limited. CONCLUSIONS: An
epidural containing a local anesthetic, with or without the addition of an
opioid, accelerates the return of the gastrointestinal transit (high quality of
evidence). An epidural containing a local anesthetic with an opioid decreases
pain after an abdominal surgery (moderate quality of evidence). An epidural
containing a local anesthetic does not affect the incidence of vomiting or
anastomotic leak (low quality of evidence). For an open surgery, an epidural
containing a local anesthetic would reduce the length of hospital stay (very low
quality of evidence).
PMID- 27870745
TI - Does Only Size Matter or Is There Still a Place for Single-Center Studies in the
Era of Big Data?
PMID- 27870746
TI - Foreword.
PMID- 27870747
TI - A Community-Based Participatory Research Intervention to Promote Physical
Activity Among Rural Children: Theory and Design.
AB - The overall objective of Project SHAPE (Shaping Health using Activity Photovoice
and E-Video) was to improve physical activity levels of rural, medically
underserved children by designing and implementing a culturally relevant physical
activity intervention. This objective was met by using a community-based
participatory research approach to design and implement an intervention that
would positively affect the psychosocial constructs related to increasing
physical activity, which, in turn, would lead to increases in the time spent in
daily physical activity. This article describes the unique design of the
intervention including its theoretical framework, its interrelated components,
and the logistics involved.
PMID- 27870748
TI - The Determinants of Physical Activity in Rural Women, Aged 20 to 44 Years, in
Georgia.
AB - Physical activity rates in rural women are very low. The purpose of this study
was to explore the determinants of physical activity in rural women aged 20 to 44
years. A survey was used to collect data on a cluster sample of 184 participants.
This study was guided by Self-Determination Theory. Survey data suggest that the
highest type of motivation was identified regulation, and husband's support had
the strongest effect on physical activity. Findings suggest that a history of
sports participation can lead to the formation of intrinsic motivation. The
results will assist in developing effective physical activity interventions.
PMID- 27870749
TI - Using a Community Health Development Framework to Increase Community Capacity: A
Multiple Case Study.
AB - The Physical Activity and Community Engagement Project utilized a comparative
case study to understand how a theoretical framework called community health
development (CHD) influences community capacity. Three rural communities (cases)
developed interventions using a CHD framework. Researchers collected qualitative
evidence measuring capacity and the CHD process for more than 3 years. Patterns
identified seven capacity constructs relevant to CHD, including community
history, civic participation, leadership, skills, resources, social and
interorganizational networks, and critical reflection. Community health
development focuses on population health improvement and strengthening community
capacity. As such, it helps communities address local priorities and equips them
to address future issues.
PMID- 27870750
TI - Mapping Coaches' Views of Participation in CrossFit to the Integrated Theory of
Health Behavior Change and Sense of Community.
AB - Group-based training through CrossFit has recently exploded in popularity.
Anecdotally, participants often make lifestyle changes and experience improved
fitness. Participation factors were mapped to the Integrated Theory of Health
Behavior Change and "sense of community" constructs. Key informant interviews
were conducted with 6 CrossFit gym owners/coaches. Data were thematically coded
with NVivo 10. Results revealed key factors that both facilitated and restricted
participation in CrossFit. Findings provide implications for future theory
application and highlight how to improve initiation and adherence by capitalizing
on community building and taking steps to reduce intimidation and cost,
facilitate realistic goals, and ensure social support.
PMID- 27870751
TI - Breast-feeding Coparenting Framework: A New Framework to Improve Breast-feeding
Duration and Exclusivity.
AB - Targeting mothers and fathers in breast-feeding promotion programs is recommended
as research has found that father's support positively impacts breast-feeding
duration and exclusivity. Breast-feeding coparenting refers to the manner in
which parents work together to achieve their breast-feeding goals. The Breast
feeding Coparenting Framework was developed on the basis of diverse coparenting
models and research related to father's involvement with breast-feeding. This
framework consists of 5 components: joint breast-feeding goal setting, shared
breast-feeding responsibility, proactive breast-feeding support,
father's/partner's parental-child interactions, and productive communication and
problem solving. This framework may be of value to policy makers and program
providers working to improve breast-feeding outcomes.
PMID- 27870752
TI - Using the Integrative Behavioral Model to Predict Monitoring of Fruit and
Vegetable Consumption Among Hispanic Mothers.
AB - The purpose of this study was to operationalize the Integrative Behavioral Model
(IBM) to identify significant theory-based determinants of maternal monitoring of
fruit and vegetables consumption among low-income, Hispanic mothers of 2- to 5
year-old children (N = 238). Mothers completed a survey evaluating each construct
of the IBM. Path analysis showed that intentions significantly predicted maternal
monitoring of fruit and vegetables consumption (5.3% of the variance explained)
and autonomy significantly predicted intentions (33.1% of the variance
explained). Results from this study help create foundational work to establish
targets for future public health interventions for Hispanic mothers of
preschoolers.
PMID- 27870753
TI - Utilizing a Social Determinant of Health Framework as Determinants of Perceived
Behavioral Control.
AB - Health disparities reflect inequalities in health outcomes among different
populations. As a planning tool for researchers and practitioners to address
health disparities, multiple frameworks utilizing social determinants of health
have been proposed. Perceived behavioral control, a construct within the
Integrative Behavioral Model, reflects how much control one feels over a health
behavior and how easy or difficult the behavior is to enact under internal and
external barriers. The purpose of this commentary is to suggest how a unified
social determinant of health framework can be utilized as a predictor and
determinant of the construct perceived behavioral control.
PMID- 27870754
TI - Framed, Interactive Theory-Driven Texting: Effects of Message Framing on Health
Behavior Change for Weight Loss.
AB - More approaches to support weight control are needed, especially among racial
minorities who shoulder a disproportionate obesity burden. Using an approach
influenced by regulatory fit theory, we conducted a 28-day, 4-arm experimental
trial with 89 obese adults recruited from urban, predominantly African American
churches to ascertain the efficacy of framed text messages to motivate behaviors
conducive to weight loss. Participants were assigned to receive message framing
that was matched versus mismatched to their motivational orientation. Results
were mixed overall; however, matched texts elicited greater motivation to change
eating and exercise behavior, suggesting promise in using motivational approaches
to tailor messages.
PMID- 27870755
TI - Applying a New Theory to Alter Binge Drinking Behavior in College Students.
AB - Binge drinking is a serious public health problem in the United States, where it
is estimated that 17.6% adults binge drink. Binge drinking is highest among
college students. There is need for effective binge drinking interventions.
Recently a new health behavior theory has been proposed called the multitheory
model of health behavior change. The purpose of this article is to describe the
application of the multitheory model for changing binge drinking behavior to a
behavior of responsible drinking or abstaining from alcohol. A framework for an
intervention and its testing is presented.
PMID- 27870756
TI - Using Multitheory Model of Health Behavior Change to Predict Adequate Sleep
Behavior.
AB - The purpose of this article was to use the multitheory model of health behavior
change in predicting adequate sleep behavior in college students. A valid and
reliable survey was administered in a cross-sectional design (n = 151). For
initiation of adequate sleep behavior, the construct of behavioral confidence (P
< .001) was found to be significant and accounted for 24.4% of the variance. For
sustenance of adequate sleep behavior, changes in social environment (P < .02),
emotional transformation (P < .001), and practice for change (P < .001) were
significant and accounted for 34.2% of the variance.
PMID- 27870757
TI - Examining a Partial Biopsychosocial Model for Monthly Alcohol, Tobacco, and
Marijuana Use Among Adolescents.
AB - Alcohol, tobacco, and marijuana are commonly used substances among adolescents.
In the context of the Biopsychosocial Model (BPSM), this study investigated the
relationships between psychological and normative factors associated with
adolescent alcohol, tobacco, and marijuana use. Data were analyzed from 1053
middle and high school students. Structural equation modeling was used to examine
the relationships between BPSM constructs. Results indicate that latent
constructs of the BPSM are significant antecedent factors to alcohol, tobacco,
and marijuana use; however, the relationships between study constructs were
inconsistent with those theorized by BPSM. Findings support the importance of
theory testing for complex models applied to new topics and new populations.
PMID- 27870758
TI - The Relationship Between Advertising-Induced Anger and Self-efficacy on
Persuasive Outcomes: A Test of the Anger Activism Model Using the Truth Campaign.
AB - Turner's Anger Activism Model (AAM) contends anger and efficacy interact in a
unique way to determine message responses to campaign materials. This study
tested the AAM using responses to 2 truth antismoking advertisements collected in
August-October 2014 via an online, cross-sectional survey of 15- to 21-year-olds.
Those aware of each of the truth advertisements (n = 319 for each) were organized
into 4 anger/efficacy groups. Analysis of variance and regressions were conducted
to understand group differences in message-related cognitions (persuasiveness,
receptivity, conversation). Message cognitions were highest among the high
anger/high efficacy group and lowest among the low anger/low efficacy group.
PMID- 27870759
TI - "Please Don't Just Hang a Feather on a Program or Put a Medicine Wheel on Your
Logo and Think 'Oh Well, This Will Work'": Theoretical Perspectives of American
Indian and Alaska Native Substance Abuse Prevention Programs.
AB - Many current theories guiding substance abuse prevention (SAP) programs stem from
Western ideologies, leading to a scarcity of research on theories from, and a
disconnect with, Indigenous perspectives. This qualitative research study
explored perceptions of theory by SAP researchers (N = 22) working with American
Indian and Alaska Native communities. In-depth interviews identified components
of Indigenous theoretical perspectives, including cultural elements such as
balance, social cohesion, and belonging as being particularly significant and
currently absent from many SAP programs. Recommendations for conducting
metatheory studies and operationalization of Indigenous perspectives into guiding
theoretical underpinnings for future SAP programming are provided.
PMID- 27870760
TI - Systematic Braiding of 2 Evidence-Based Parent Training Programs: Qualitative
Results From the Pilot Phase.
AB - An effective approach in early intervention for children and families, including
child maltreatment prevention, is home-based services. Although several evidence
based programs exist, they are often grouped or delivered together, despite
having different foci and approaches. This article describes the development and
pilot phases of a trial evaluating the systematic braiding of 2 evidence-based
home-based models, SafeCare and Parents as Teachers. We describe the methodology
for braiding model implementation and curriculum, specifically focusing on how
structured qualitative feedback from pilot families and providers was used to
create the braided curriculum and implementation. Systematic braiding of 2 models
at the implementation and curriculum levels is a mechanism that has the potential
to meet the more comprehensive needs of families at risk for maltreatment.
PMID- 27870761
TI - Disease Activity Score on 28 Joints and Polypharmacy Are Independent Predictors
for Health-Related Quality of Life Evaluated by INCAVISA in Patients With
Rheumatoid Arthritis.
AB - OBJECTIVE: The aim of this study was to investigate the main factors associated
to a diminished health-related quality of life (HRQoL) evaluated by INCAVISA
(Health-Related Quality of Life Inventory for Latin American Patients) in
patients with rheumatoid arthritis (RA). METHODS: Female, 18 years or older, RA
(American College of Rheumatology 1987 criteria and American College of
Rheumatology/European League against Rheumatism 2010 criteria) patients who
attended the outpatient rheumatology department of the Hospital Civil "Dr. Juan
I. Menchaca," Guadalajara, Mexico, matched with healthy controls were included.
Patients with any known comorbidities or treatment with antidepressive drugs were
excluded. Trained physicians performed the RA clinical evaluation and INCAVISA.
All data were analyzed using SPSS 21.0 software (SPSS Inc, Chicago, IL); P < 0.05
was considered statistically significant. RESULTS: Patients with polypharmacy
(>=3 drugs) had a lower HRQoL by INCAVISA. The number of drugs, total
comorbidities, and DAS-28 (Disease Activity Score on 28 Joints) were negatively
correlated with total INCAVISA. In multivariate analysis, DAS-28 and polypharmacy
were independent predictors for a negative perception of HRQoL evaluated by
INCAVISA in RA patients. CONCLUSIONS: Disease activity and disability secondary
to RA have a negative impact in the HRQoL. Other factors such as the number of
drugs prescribed to these patients have been shown to be important for the
negative perception of their HRQoL evaluated by INCAVISA.
PMID- 27870762
TI - Review of Current Workforce for Rheumatology in the Countries of the Americas
2012-2015.
AB - BACKGROUND: With the increases in and aging of the populations of the Americas,
monitoring the number of rheumatologists is critical to address and focus on
areas of greatest need. OBJECTIVES: The aim of this study was to gather data on
the rheumatology workforce from 21 national societies in the Pan American League
of Associations for Rheumatology (PANLAR). METHODS: In September and October 2012
and again in October and November 2015, the heads of the 21 rheumatology national
societies were contacted in the 2012 survey; all national societies responded
except Cuba. In the 2015 survey, all responded except Nicaragua, for which
information was provided by national society presidents in adjacent countries.
RESULTS: The data from 21 societies contained in PANLAR consist of 10,166 adult
and 678 pediatric rheumatologists serving 961 million people. The number of
rheumatologists per 100,000 population varies greatly from 3.9 per 100,000 people
(Uruguay) to 0.11 per 100,000 people (Nicaragua). The number of training programs
also varies widely, with some countries having no indigenous programs. The
distribution of rheumatologists is mainly in the large cities, particularly in
the smaller countries. Pediatric rheumatologists have dramatically increased in
number in 2012, but 96% reside in 6 countries. This remains an underserved area
in most countries. CONCLUSIONS: The rheumatology workforce in the Americas has
improved between 2012 and 2015, especially in the number of pediatric
rheumatologists. However, numerically and in the perception of the 21 member
societies of PANLAR, the number is still inadequate to meet the increasing
demands for rheumatologic care, especially in the care of children with rheumatic
disease and in rural areas.
PMID- 27870763
TI - The Effect of Psychosocial and Neuropsychiatric Factors on Medication Adherence
in a Cohort of Women With Systemic Lupus Erythematosus.
AB - BACKGROUND: Medication adherence in systemic lupus erythematosus (SLE) reduces
disease activity and the risk of flares. OBJECTIVES: We evaluated adherence in
women with SLE who exhibit high morbidity and mortality. We evaluated demographic
data and 2 conventional adherence predictors: self-efficacy and health literacy,
along with 2 potential neuropsychiatric SLE complications: cognitive dysfunction
and depression. METHODS: One hundred six women randomly selected from the
Barbados National Lupus Registry completed the Self-efficacy for Appropriate
Medication Use Scale, Rapid Estimate of Adult Literacy in Medicine-Short Form,
Cognitive Symptom Inventory, Beck Depression Inventory II, and Morisky's
Medication Adherence Questionnaire (MAQ). This study explored the effects of
psychosocial and neuropsychiatric functioning on adherence using ordinal logistic
regression. RESULTS: Sixty percent reported high MAQ scores. The probability of
high MAQ scores was lower among younger patients (P = 0.001) and those with
shorter disease duration (P = 0.05). The probability of high MAQ scores fell with
lower perceived self-efficacy (odds ratio [OR], 0.80; 95% confidence interval
[CI], 0.73-0.89; P < 0.001), worsening cognitive function (OR, 0.90; 95% CI, 0.84
0.97; P = 0.004), and increasing depression (OR, 0.93; 95% CI, 0.88-0.97; P =
0.002). There was no strong relationship between MAQ score and health literacy
(OR, 0.37; 95% CI, 0.13-1.03; P = 0.06). CONCLUSION: Women with SLE who are
younger and those with shorter disease duration should be assessed for medication
adherence. Screening at diagnosis followed by routine assessment of cognitive
dysfunction and depression along with perceived self-efficacy may further
identify the most vulnerable subgroup who should be targeted with personalized
intervention strategies.
PMID- 27870764
TI - Anti-Ro and Concomitant Anti-La Autoantibodies Strongly Associated With Anti
oxLDL or Anti-Phospholipid Antibody in Systemic Lupus Erythematosus.
AB - BACKGROUND: Premature atherosclerosis is observed in systemic lupus erythematosus
(SLE). Oxidative modification of LDL is associated with atherosclerotic plaque
formation. OBJECTIVES: We hypothesized that anti-oxidized LDL (oxLDL) and anti
phospholipid (APL) in SLE sera would segregate with specific antibody subsets,
and that anti-oxLDL antibodies will linger in circulation over an extended
period. PATIENTS AND METHODS: Sixty-seven SLE and control subjects and two SLE
subjects with sera collected longitudinally for 13 years were tested for anti
oxLDL and IgG/IgM/IgA APL antibodies. RESULTS: Anti-oxLDL ELISA values above
57.48 Activity Units (AcU) (means of normals + 3 standard deviations) and anti
IgG/IgM/IgA APL above 10 phospholipid units (PU) were considered positive.
Average anti-oxLDL was 67.7 +/- 50.5 AcU in SLE compared to 23.9 +/- 11.19 AcU in
normals (P = 0.018). Ten out of ten subjects with anti-Ro60/anti-La/anti-Ro52
antibodies had highly significant (P < 0.0001) anti-oxLDL (127.29 +/- 45.67 AcU)
and IgG APL (18.66 +/- 7.4 PU) (P < 0.02). Subjects with anti-RNP were positive
for anti-oxLDL (P < 0.002), but subjects with anti-Ro60/anti-Ro52 and subjects
negative for extractable nuclear antigen (ENA) antibody were not positive for
anti-oxLDL. Anti-oxLDL/anti-IgG APL remained significantly elevated in two
patients studied longitudinally. Interestingly, one developed anti-oxLDL/anti-APL
antibodies several years before anti-Ro60 development. CONCLUSIONS: Presence of
antibodies against Ro RNP and La, and RNP is highly associated with developing
anti-oxLDL and APL antibodies in SLE. It will be clinically important to see if
cardiovascular events occur in these SLE subsets having elevated anti-oxLDL and
APL antibodies. Emergence of anti-oxLDL/IgG APL before anti-Ro60 over time in a
patient indicates that these antibodies could not be cross-reactive in nature, at
least in this particular patient.
PMID- 27870765
TI - Accelerated Age-Related Arterial Stiffness in Systemic Lupus Erythematosus
Patients.
AB - BACKGROUND: An increased prevalence of arterial stiffness in systemic lupus
erythematosus (SLE) patients has been established, but the mechanisms of
progression of arterial stiffness with increasing age have not been fully
explored. OBJECTIVES: To investigate age-related progression of arterial
stiffness among SLE patients relative to healthy controls. METHODS: A total of
161 female SLE patients who were enrolled in the Chinese SLE Treatment and
Research group (CSTAR) registry and 135 age-matched healthy control subjects
participated in this cross-sectional investigation. Traditional cardiovascular
risk factors and SLE-related parameters were assessed on the day that brachial
ankle pulse wave velocity (baPWV) was examined. SAS 9.3 was used to perform all
statistical analyses in this study. Linear regression and curvilinear regression
models were utilized to analyze the association between age and arterial
stiffness. RESULTS: Arterial stiffness based on baPWV significantly differed
between the SLE patients and controls in the different age groups, and within the
SLE group. The baPWV increments for each age group (<25, 25-34, 35-45, and >45)
were 30 cm/s, 52 cm/s, and 121 cm/s for the controls and 61 cm/s, 132 cm/s, and
155 cm/s for the SLE patients, respectively. Curvilinear regression and linear
regression revealed various trends of increased arterial stiffness among the SLE
patients compared with the healthy controls. The correlation coefficients between
age and arterial stiffness significantly differed among the SLE patients relative
to the healthy controls (correlation coefficients of 0.46478 and 0.52612,
respectively; t = 2.05; P = 0.0409). Multivariate analysis revealed that age,
mean blood pressure (BP) (P < 0.0001), erythrocyte sedimentation rate (ESR) (P =
0.0073), prednisone course (P = 0.0144), and SLE disease activity (P = 0.0405)
were associated with arterial stiffness among the SLE patients. Further, these
patients exhibited earlier exposure to and higher frequencies of several risk
factors compared with the controls in each age group (<25 years: OR = 6.3253; 25
34 years: OR = 3.1043; 35-45 years: OR = 3.1316; >45 years: OR = 3.6020).
CONCLUSIONS: The mechanisms of the age-related progression of arterial stiffness
differed among the SLE patients relative to the healthy controls. Furthermore,
accelerated arterial stiffness was observed among the SLE patients relative to
the healthy controls with advancing age.
PMID- 27870766
TI - The Expanding Mosaic of Autoinflammatory Disease.
PMID- 27870767
TI - Flagellate Erythema in a Case of Paraneoplastic Dermatomyositis.
PMID- 27870768
TI - Proton Pump Inhibitor-Associated Subacute Cutaneous Lupus Erythematosus in a
Patient With Granulomatosis With Polyangiitis.
PMID- 27870769
TI - Brown Tumors in Secondary Hyperparathyroidism in a Patient With Lupus Nephritis.
PMID- 27870770
TI - Alternate Definitions.
PMID- 27870771
TI - Multiorgan Failure From Cryoglobulinemic Vasculitis Following Intravenous
Immunoglobulin Replacement Therapy.
PMID- 27870772
TI - Strawberry Gingivitis: First Manifestation of a Vasculitic Disorder in a Young
Child.
PMID- 27870773
TI - Tofacitinib Response in Juvenile Idiopathic Arthritis (JIA) and Collagenous
Colitis.
PMID- 27870774
TI - Absence of Association of Joint Tenderness and High-Resolution Ultrasound
Findings in Established Rheumatoid Arthritis.
PMID- 27870777
TI - Minocycline-Induced Scleral and Dermal Hyperpigmentation.
AB - PURPOSE: To present a case of minocycline-induced blue scleral pigmentation and
discuss the pathophysiology and differential diagnoses. The uses, mechanisms, and
other adverse effects of minocycline will also be highlighted. CASE REPORT: An
elderly Caucasian male patient presented for routine ocular examination
complaining of blue discoloration to the whites of his eyes. He was found to have
bilateral blue scleral pigmentation and blue discoloration to various other
dermal areas of his body. The blue pigmentation was also visible in the posterior
segment within a scleral crescent around his right optic nerve. This pigmentation
was determined to be caused by long-term use of oral minocycline. CONCLUSIONS:
Long-term minocycline use may induce scleral, dermal, and organ
hyperpigmentation, typically blue or black in coloration. The pigmentation may
reverse with discontinuation of the medication, but can also be permanent. The
exact mechanism of pigment deposition remains uncertain, but several theories
have been proposed. While the cosmetic appearance may be dramatic, this side
effect is not known to cause any systemic or ocular morbidity.
PMID- 27870778
TI - Inter-visit Test-Retest Variability of OCT in Glaucoma.
AB - PURPOSE: To determine the inter-visit test-retest variability (TRV) of a spectral
domain optical coherence tomograph, the Topcon 3D OCT-2000, in the measurement of
optic nerve head topography, peripapillary retinal nerve fiber layer (pRNFL), and
macular ganglion cell complex (GCC) parameters in glaucoma patients. We also
examine whether TRV with this instrument varies with the extent of glaucomatous
damage. METHODS: Twenty-four subjects with varying degrees of glaucoma severity
provided 41 eyes with usable results for the study. 3D Disc Retinal Nerve Fiber
Layer Analysis and Macula V (GCC) scans were repeated 1 week apart, at the same
time of day, to determine the inter-visit TRV. TRV was determined using Bland
Altman limits of agreement (LoA) and the resulting coefficients of repeatability
(CR). RESULTS: The overall horizontal and vertical cup/disc ratio CRs were 0.05
and 0.07, respectively. The GCC CR was 2.9 MUm. In contrast, average pRNFL TRV
expanded with increasing damage, with the LoA being well fitted by +/-(34.67 -
0.294(d)), where d is the pRNFL thickness. A more complex model, with constant
LoA of +/-5.61 MUm at d >82 MUm, and linearly expanding TRV below that, achieved
marginal significance (P < .06). CONCLUSIONS: The repeatability of GCC
measurements with this instrument was excellent. The determination of
statistically significant change in average pRNFL should take into account
average pRNFL thickness.
PMID- 27870779
TI - Painless Acanthamoeba Keratitis with Normal Vision.
AB - PURPOSE: To report an atypical case of contact lens-related Acanthamoeba
keratitis. CASE REPORT: A 15-year-old secondary school female student with a
history of soft contact lens wear was referred to our hospital by a private
general practitioner for management of right eye redness and discomfort for 2
weeks. Upon examination, the best-corrected visual acuity was 20/20 and 20/16 for
her right and left eyes, respectively. There was diffuse radial keratoneuritis
noted in the cornea of her right eye without any associated epithelial defect.
Corneal scraping was performed to induce epithelial defect over the
keratoneuritis area, and it was positive for Acanthamoeba trophozoites. She was
treated with amoebicidal therapy consisting of propamidine isethionate 0.1% and
polyhexamethylene biguanide 0.02%, for 6 months. The patient did not complain of
any ocular pain in the entire course of her disease. She attained a final visual
acuity of 20/13 in the affected eye with residual peripheral radial perineuritic
scar. CONCLUSIONS: Atypical presentation of Acanthamoeba infection is uncommon.
This case should arouse the awareness of an indolent presentation of this
potentially sight-threatening disease. Clinicians should have a high level of
suspicion in contact lens users who present with corneal abnormalities despite an
absence of pain. Microbiological work-up and prompt treatment led to a complete
resolution of Acanthamoeba infection in our patient.
PMID- 27870780
TI - The activation of metabotropic glutamate 5 receptors in the rat ventral tegmental
area increases dopamine extracellular levels.
AB - The mesocorticolimbic circuit projects to the prefrontal cortex, hippocampus,
amygdala, and nucleus accumbens, among others, and it originates in the
dopaminergic neurons of the ventral tegmental area (VTA). The VTA receives
glutamatergic inputs from the prefrontal cortex and several subcortical regions.
The glutamate released activates dopaminergic neurons and its action depends on
the activation of ionotropic and metabotropic glutamate receptors. VTA
dopaminergic neurons release dopamine (DA) from axon terminals in the innervated
regions and somatodendritically in the VTA itself. DA release in the VTA is
directly correlated with the activity of dopaminergic neurons. We hypothesized
that metabotropic glutamate 5 receptors (mGlu5) directly regulate the activity of
VTA dopaminergic neurons. To test this hypothesis, the extracellular levels of
VTA DA and glutamate were studied by in-vivo microdialysis after an intra-VTA
perfusion of (R,S)-2-chloro-5-hydroxyphenylglycine (CHPG), selective mGlu5
agonist. We observed that CHPG induced a significant increase in VTA DA and
glutamate extracellular levels. To determine whether the effect of CHPG on DA
levels is because of the increase in glutamate release, we perfused kynurenic
acid, an ionotropic glutamate receptor antagonist, through the probe. Our results
showed that kynurenic acid did not block the ability of CHPG to cause DA release.
Thus, our results suggest that CHPG acts directly on mGlu5 in dopaminergic
neurons to induce the release of DA.
PMID- 27870781
TI - Neuroanatomical assessment of the impact of negative emotion on explicit memory
in patients with obsessive-compulsive disorder.
AB - The aim of this study was to identify the brain activation patterns associated
with emotionally neutral or unpleasant words during explicit memory tasks in
patients with obsessive-compulsive disorder (OCD) using a functional MRI. Sixteen
patients with OCD (mean age=31.4+/-10.1 years) and 16 healthy controls (mean
age=32.6+/-5.8 years) who had no history of neurological or psychiatric illness
underwent functional MRI examinations on a 3-T Siemens MR Scanner. The
stimulation paradigm consisted of five times rest-condition, two times encoding
of two-syllable words, and two times explicit retrieval of the previously learned
words. Six different words were presented for 3 s each in the encoding and
retrieval tasks. In the retrieval task, the same words as those used at the
encoding task were presented randomly. Brain activation maps were quantified and
analyzed using SPM8 and MRIcron software. During the explicit retrieval tasks
with emotionally neutral words, the predominant activation areas observed in
patients with OCD included the angular gyrus and the supramarginal gyrus, whereas
healthy controls showed significantly higher activity in the postcentral gyrus
(P<0.005). During the explicit retrieval tasks with unpleasant words, patients
with OCD showed significantly higher activity, compared with healthy controls, in
the cerebellum, posterior cingulate gyrus, middle occipital gyrus, middle
temporal gyrus, and inferior frontal gyrus (P<0.005); however, no predominant
activation areas in healthy controls over patients with OCD were observed. This
study showed differential brain activation patterns in response to emotionally
neutral and unpleasant words in patients with OCD. This finding will be useful in
assessing the neural mechanisms associated with emotional effect on the
impairment of memory retrieval in OCD.
PMID- 27870782
TI - Safety of Laparoscopic Colorectal Resection in Patients With Severe
Comorbidities.
AB - We aimed to assess the safety of laparoscopic colorectal resection in patients
with severe comorbidities. High operative risk was defined as an American Society
of Anesthesiologists (ASA) class 3 score. Outcomes in 34 patients with an ASA
score of 3 undergoing laparoscopic surgery (LAP3) were compared with 172
laparoscopic surgery patients with an ASA score <=2 (LAP2) and 32 laparotomy
patients with an ASA score of 3 (OP3). The postoperative complication rate in
LAP3 was similar to that seen in LAP2 and significantly lower than that seen in
OP3 (LAP2, 4.0%; LAP3, 5.9%; OP3, 31.2%). The incidence of postoperative
hemorrhage, infection, ileus, and anastomotic leakage was similar between LAP3
and LAP2 and between LAP3 and OP3. However, the systemic complication rate in
LAP3 was similar to that seen in LAP2 and significantly lower than that seen in
OP3. Laparoscopic colorectal resection can be performed safely in patients with
severe comorbidities.
PMID- 27870783
TI - Preperitoneal Suction Technique to Secure the Proper Mesh Position During
Laparoscopic Herniorrhaphy.
AB - PURPOSE: Dislocation of the mesh is 1 cause of recurrence after laparoscopic
inguinal hernia repair (LIHR). Here, we propose a new procedure, the
"preperitoneal cavity suction technique," to confirm mesh position during LIHR
under a transabdominal preperitoneal approach (TAPP). PATIENTS AND METHODS: We
developed the "preperitoneal cavity suction technique" during LIHR by TAPP,
visualizing the mesh through the closed peritoneum by vacuuming up the carbon
dioxide and effusion at the preperitoneal cavity using a suction tube inserted
through the tunnel from a laterally placed trocar into the preperitoneal space.
We applied this technique in adults with inguinal hernias who were scheduled to
undergo elective surgery in our hospital between April 2013 and March 2015.
RESULTS: In total, 84 lesions were treated in 74 consecutive LIHRs by TAPP. The
"preperitoneal cavity suction technique" was applied to 83 lesions. We confirmed
appropriate positioning of the mesh for 82 of the 83 lesions (98.8%), with
dislocation of the mesh detected in 1 case. In that case, we reopened the
peritoneal flap and repositioned the mesh correctly during the operation. No
patients complained of pain or a sense of discomfort, and no hematoma was
identified around the dissected area or anterior superior iliac spine on the
affected side. Mean duration of hospitalization was 2.5 days. No cases of hernia
recurrence were observed during follow-up (range, 7 to 31 mo; median, 15 mo).
CONCLUSIONS: The "preperitoneal suction technique" seems useful to detect mesh
dislocation and has potential to reduce TAPP-related complications.
PMID- 27870784
TI - Intussusception With Cecal Cyst as Lead Point in a Child.
AB - Intussusception is a pediatric abdominal emergency in early childhood involving
telescoping of 1 part of the bowel into another part. In most children, the
condition is idiopathic with no identifiable lead point. Meckel diverticulum is
the most common lead point, followed by polyps and duplication cysts. We describe
a case of a boy with intussusception caused by a duplication cyst that was drawn
retrograde through the ileocecal valve. The patient presented after a 3-month
period of symptomatology and had been considered to have constipation before
signs of intestinal obstruction developed. Only mild bowel ischemia was seen
during the surgical reduction and resection of the cyst which may suggest an
ongoing partial obstruction that eventually became more significant. In children
with recurrent abdominal pain, surgeons should consider the possibility of
intermittent intussusceptions caused by a duplication cyst.
PMID- 27870785
TI - Abdominal Pain Caused by a Potentially Fatal Attraction.
AB - Abdominal pain is a challenging presentation in children. Examination findings
and etiology vary greatly, spanning a vast spectrum from flatulence to frank
peritonitis with septic shock. Here, we discuss a 10-year-old boy with 24 hours
of progressively worsening lower abdominal pain, nausea, and subjective fevers.
History and physical examination findings were consistent with appendicitis.
However, physicians were surprised when the single-view abdominal radiograph
showed an unanticipated, somewhat perplexing discovery.
PMID- 27870786
TI - Editor's Introduction: The Future of Ultrasound.
PMID- 27870787
TI - Can Nodular Hyperplasia of the Thyroid Gland be Differentiated From Follicular
Adenoma and Follicular Carcinoma by Ultrasonography?
AB - OBJECTIVES: The aim of this study was to evaluate the ultrasonographic features
for differentiation of follicular thyroid lesions. METHODS: Ultrasonographic
features of surgically confirmed 56 follicular adenoma (FA), 22 follicular
carcinoma (FC), and 100 nodular hyperplasia (NH) were evaluated using univariable
and multivariable multinomial logistic regression analyses, receiver operating
characteristic analyses, and areas under the curve. RESULTS: Tumor diameter,
margin, echotexture, cystic changes, calcification, hypoechoic rim, and
vascularity were significant on univariable analysis. On multivariable logistic
regression analyses, tumor diameter (FA, P = 0.002; odds ratio [OR], 0.75; FC, P
= 0.001; OR, 2.02), absence of cystic changes (FA, P = 0.127; OR, 2.21; FC, P <=
0.001; OR, 17.74), absence of spongiform appearance (FA, P = 0.234; OR, 0.31; FC,
P < 0.001; OR, 1673.46), and peripheral vascularity (FA, P = 0.004; OR, 26.64;
FC, P < 0.001; OR, 145060.38) differed significantly among the 3 follicular
lesions, with NH as a reference. The areas under the curve for NH, FA, and FC
were 0.844, 0.858, and 0.705, respectively, and diagnostic accuracy was 72.6%.
CONCLUSIONS: Tumor diameter, cystic changes, spongiform appearance, and
peripheral vascularity differed significantly among follicular lesions. The
diagnostic capability was moderate.
PMID- 27870788
TI - Prenatal Ultrasound Evaluation of the Position of Conus Medullaris for the
Diagnosis of Tethered Cord Syndrome.
AB - To determine the conus distance between the end of the conus medullaris and the
distal end of the last vertebral body in healthy fetuses with various gestational
ages using ultrasonography for its diagnostic value in tethered cord syndrome
(TCS). This retrospective study included 540 healthy and 8 autopsy-confirmed TCS
fetuses. Ultrasonographic measurement of the conus distance was performed when
the fetus was in a prone position within the spine in the near field at 14 to 41
weeks of gestational age. Linear correlation analysis was performed to analyze
the relationship between the conus distance and the gestational age, biparietal
diameter, femur length, head circumference, and abdominal circumference. The
normal results were compared with 8 cases of postnatally confirmed TCS. In 526
(95.9%) of 548 fetuses, the conus distance was successfully measured. The 95%
limits of agreement in measurement of conus distance were -2.2 to 2.6 mm for the
intraobserver variability and -3.7 to 3.1 mm for the interobserver variability.
Significant correlations between the conus distance and the gestational age,
biparietal diameter, femur length, head circumference, and abdominal
circumference were observed. The most marked association was found to be between
conus distance and femur length. The conus distance was significantly less in TCS
fetuses than in healthy fetuses. Ultrasonographic measurement of conus distance
is an easy and reliable method to evaluate the position of the conus medullaris
and, therefore, can be helpful in the prenatal diagnosis of TCS.
PMID- 27870789
TI - Breaking Borders: A Case Report of Borderline Ovarian Tumor in the Pregnant
Patient.
PMID- 27870790
TI - Case Report of a Uterine Angioleiomyoma.
PMID- 27870794
TI - Comparison of Measures of Maximal and Submaximal Fitness in Response to Exercise.
AB - INTRODUCTION: Adoption of physical activity (PA) consistent with current
guidelines does not improve maximal cardiorespiratory fitness (mCRF; VO2peak)
beyond the error of measurement for approximately 30% of adults. Whether PA
improves measures of exercise tolerance at submaximal levels (submaximal
cardiorespiratory fitness [sCRF]) independent of change in mCRF is unknown. Here
we assessed the relationship between exercise-induced changes in mCRF and sCRF.
METHODS: Twenty-five physically inactive men 30-60 yrs old (mean +/- SD = 44.3 +/
9.1 yr) completed 4 wk of supervised exercise consisting of 30 min of exercise,
five times per week at 65% mCRF. mCRF was assessed using a maximal treadmill
test. sCRF was measured as follows: 1) exercise tolerance, the distance traveled
during a 12-min time trial on a treadmill, and 2) change in heart rate (HR) at
submaximal work rates during the maximal treadmill test. Daily PA was measured by
accelerometry at baseline and 4 wk. RESULTS: mCRF (P = 0.009) and both measures
of sCRF (P < 0.001) improved at 4 wk. No change in measures of daily PA was
observed at 4-wk compared with baseline (P > 0.05). No association was observed
between exercise-induced change in mCRF and change in either measure of sCRF (P >
0.05) after exercise training. In the group of participants who did not improve
mCRF beyond the measurement error (n = 13, or 52%), we observed a significant
improvement in both measures of sCRF (P < 0.001). Among these 13 individuals, all
improved in at least one measure of sCRF. CONCLUSION: Exercise-induced
improvements in mCRF were not associated with improvements in either measure of
sCRF. Improvements in submaximal measures of cardiorespiratory fitness are
observed in the absence of change in mCRF. Measures of sCRF capture peripheral
adaptations to exercise not captured by measures of mCRF alone.
PMID- 27870795
TI - Achieving Equity in Physical Activity Participation: ACSM Experience and Next
Steps.
AB - There is clear and consistent evidence that regular physical activity is an
important component of healthy lifestyles and fundamental to promoting health and
preventing disease. Despite the known benefits of physical activity
participation, many people in the United States remain inactive. More
specifically, physical activity behavior is socially patterned with lower
participation rates among women; racial/ethnic minorities; sexual minority youth;
individuals with less education; persons with physical, mental, and cognitive
disabilities; individuals >65 yr of age; and those living in the southeast region
of the United States. Many health-related outcomes follow a pattern that is
similar to physical activity participation. In response to the problem of
inequities in physical activity and overall health in the United States, the
American College of Sports Medicine (ACSM) has developed a national roadmap that
supports achieving health equity through a physically active lifestyle. The
actionable, integrated pathways that provide the foundation of ACSM's roadmap
include the following: 1) communication-raising awareness of the issue and
magnitude of health inequities and conveying the power of physical activity in
promoting health equity; 2) education-developing educational resources to improve
cultural competency for health care providers and fitness professionals as well
as developing new community-based programs for lay health workers; 3)
collaboration-building partnerships and programs that integrate existing
infrastructures and leverage institutional knowledge, reach, and voices of
public, private, and community organizations; and 4) evaluation-ensuring that
ACSM attains measurable progress in reducing physical activity disparities to
promote health equity. This article provides a conceptual overview of these four
pathways of ACSM's roadmap, an understanding of the challenges and advantages of
implementing these components, and the organizational and economic benefits of
achieving health equity.
PMID- 27870798
TI - RETINAL ANGIOMATOUS PROLIFERATION DIAGNOSIS: A Multiimaging Approach.
AB - PURPOSE: To identify signs occurring more frequently in retinal angiomatous
proliferation (RAP) lesions compared with other types of choroidal
neovascularization (CNV) in age-related macular degeneration. METHODS: In this
cross-sectional retrospective study, 30 patients were evaluated. These signs were
correlated with the type of CNV: shunting of blood flow to the lesion by
fluorescein angiography, late leakage by indocyanine green angiography,
intraretinal cysts and retinal pigmented epithelium interruption along the
retinal pigmented epithelium detachment with a hyperreflective oval area by
spectral domain optical coherence tomography, and presence of reticular
pseudodrusen by infrared light. RESULTS: Shunting of blood flow was found in 56%
of RAP, whereas it was absent in 100% of other CNVs. Late leakage in indocyanine
green angiography occurred in all RAP cases, while it was found in 7% of other
CNVs. Intraretinal cysts were detected in 100% of RAP cases and in 14% of other
CNVs. Retinal pigmented epithelium interruption along the retinal pigmented
epithelium detachment was evident in 93% of RAP cases and in 15% of other CNVs.
Reticular pseudodrusen were present in 87% of RAP cases and in 21% of other CNVs.
CONCLUSION: All the signs investigated were strongly associated to RAP lesions. A
multimodal imaging approach may help differentiating subtypes of
neovascularization.
PMID- 27870799
TI - CHOROIDAL THICKNESS CHANGES AFTER INTRAVITREAL DEXAMETHASONE IMPLANT INJECTION
FOR THE TREATMENT OF MACULAR EDEMA DUE TO RETINAL VEIN OCCLUSION.
AB - PURPOSE: To investigate changes in choroidal thickness after intravitreal
injection of a dexamethasone implant for macular edema due to retinal vein
occlusion. METHODS: Thirty-one eyes of 31 patients, treated with a single dose of
a dexamethasone implant for retinal vein occlusion-associated macular edema, were
included. Subfoveal choroidal thickness (SFCT) and central macular thickness of
the affected eyes were compared with those of the normal contralateral eyes at
baseline and 1, 3, and 5 months after injection. RESULTS: The mean SFCT of the
affected eyes (296.3 MUm +/- 61.6 MUm) was significantly higher than that of the
contralateral eyes (251.2 MUm +/- 57.7 MUm; P < 0.001) at baseline. After
injection, the mean SFCT was decreased compared with baseline in the treated eyes
at months 1, 3, and 5. There was a correlation between SFCT and central macular
thickness in the affected eyes at baseline (r = 0.397, P = 0.027). The change in
SFCT was not correlated with the change in central macular thickness after
injection. In the contralateral eyes, the mean SFCT did not change significantly.
CONCLUSION: Subfoveal choroidal thickness in eyes with macular edema due to
retinal vein occlusion was higher than that of the contralateral eyes.
Intravitreal injection of a dexamethasone implant was associated with a reduction
in the choroidal thickness of the treated eye.
PMID- 27870800
TI - POSTOPERATIVE INNER NUCLEAR LAYER MICROCYSTS AFFECTING LONG-TERM VISUAL OUTCOMES
AFTER EPIRETINAL MEMBRANE SURGERY.
AB - PURPOSE: To investigate the risk factors and long-term visual impact of
microcysts in the inner nuclear layer developed after epiretinal membrane (ERM)
surgery. METHODS: This study used a retrospective review of medical records,
operative video and images of patients who had undergone idiopathic ERM surgery
with more than 12 months of follow-up. RESULTS: This study enrolled 44 patients
(44 eyes) with ERM and a mean follow-up period of 30.2 months. The visual acuity
improved from a mean of 6/22.8 to 6/12 (P < 0.001). Results indicated that a
final visual acuity greater than 0.5 was associated with younger age, better
preoperative visual acuity, a complete postoperative inner segment and outer
segment line, and absence of inner nuclear layer microcysts. The visual acuity
difference between patients with and without inner nuclear layer microcysts
emerged in the first month and continued throughout the entire study period.
Shorter duration of visual symptoms, more severe grade of ERM with paravascular
abnormalities, and retinal petechiae immediately after the peeling of the ERM are
risk factors of microcysts. CONCLUSION: This study suggests that inner nuclear
layer microcysts are induced shortly after surgery and have long-term visual
effects. Factors associated with this side effect suggest that severity,
acuteness, and adhesiveness of ERM may play a significant role in visual
outcomes.
PMID- 27870801
TI - RELATIONSHIP BETWEEN UVEITIS, DIFFERENT TYPES OF VIRAL HEPATITIS, AND LIVER
CIRRHOSIS: A 12-Year Nationwide Population-Based Cohort Study.
AB - PURPOSE: This study investigates whether patients with viral hepatitis and
cirrhosis are at risk of uveitis in the years following hepatitis. METHODS: We
used data from Taiwan National Health Insurance system. The cases were patients
newly diagnosed with viral hepatitis from 2000 to 2011. The end point of interest
was a diagnosis of uveitis. A chi-square test was used for the difference of
demographic characteristics between viral hepatitis and comparison. The risk of
uveitis in hepatitis was stratified using Cox proportional hazard regression.
RESULTS: We selected 17,389 patients with viral hepatitis and 34,778 matched
comparison. The risk of uveitis in hepatitis cohort was 1.30-fold (95% confidence
interval = 1.01-1.69). Patients with hepatitis B virus and hepatitis C virus
coinfection had the highest risk (hazard ratio = 2.88; 95% confidence interval =
1.07-7.78), and followed by only hepatitis C virus infection (hazard ratio =
1.75; 95% confidence interval = 1.10-2.79). Patients with cirrhosis had a higher
risk in the multivariable model but did not attach statistic difference.
CONCLUSION: Patients with hepatitis B virus and hepatitis C virus coinfection had
the highest risk of uveitis. In patients with hepatitis C virus and/or hepatitis
B virus infection, the symptoms of uveitis should be alerted. Although these
epidemiologic studies yielded informative results, the underlying mechanisms and
the host's genetic factors remain to be investigated.
PMID- 27870803
TI - THREE-DIMENSIONAL CHARACTERISTICS OF FOUR MACULAR INTRARETINAL LAYER THICKNESSES
IN SYMPTOMATIC AND ASYMPTOMATIC CARRIERS OF G11778A MUTATION WITH LEBER'S
HEREDITARY OPTIC NEUROPATHY.
AB - PURPOSE: To characterize by spectral domain optical coherence tomography the
three-dimensional thicknesses of four macular intraretinal layers in symptomatic
and asymptomatic carriers of G11778A mutation with Leber's hereditary optic
neuropathy. METHODS: Twenty-five eyes (7 symptomatic eyes and 18 asymptomatic
eyes) of patients with Leber's hereditary optic neuropathy from one Chinese
family and 16 normal eyes were enrolled. Macular radial scans by spectral domain
optical coherence tomography and custom software produced intraretinal three
dimensional thickness maps. The macula was divided into nine regions, and each
region included four intraretinal layers: nerve fiber layer, ganglion cell layer
and inner plexiform layer, inner nuclear layer and outer plexiform layer, and the
outer retinal layer. RESULTS: Nerve fiber layer in the symptomatic eyes was
significantly thinner than in normal eyes for most of the macular regions;
however in the asymptomatic eyes, it was increased in three regions. Ganglion
cell layer and inner plexiform layers in all regions of symptomatic eyes were
significant thinner than in asymptomatic eyes and controls. Inner nuclear layer
and outer plexiform layers in six regions of symptomatic and asymptomatic eyes
were significantly thicker than in controls. The outer retinal layer of
asymptomatic eyes was thicker than in most control regions. CONCLUSION:
Intraretinal thickness changes in asymptomatic patients could be prodromal events
that indicate the imminent conversion to symptomatic patients with Leber's
hereditary optic neuropathy.
PMID- 27870802
TI - INTRAVITREAL INFLIXIMAB IN REFRACTORY UVEITIS IN BEHCET'S DISEASE: A Safety and
Efficacy Clinical Study.
AB - PURPOSE: To assess the safety and efficacy of intravitreal infliximab (1 mg/0.05
mL) in patients with refractory posterior uveitis in Behcet's disease. METHODS:
Twenty patients were included in this study. Best corrected visual acuity (BCVA),
vitreous haze (graded 0-4), vasculitis, retinitis, and papillopathy (presence or
absence) were assessed at baseline, Day 1 and Week 2, 4, 6, 8, 12, and 18.
Optical coherence tomography (OCT) central foveal thickness, fluorescein
angiography, and flash electroretinogram were done at baseline and 4, 12, and 18
weeks. RESULTS: Mean baseline logMAR BCVA was 0.94 (20/160), had improved
significantly by Week 2 to 0.6 (20/80) (P < 0.0001), and reached 0.36 (20/40) by
Weeks 18 with three injections (P < 0.0001). Mean central foveal thickness OCT
decreased significantly from baseline 361 MUm to 180 MUm at the end of follow-up
(P < 0.0001). Profound decrease in mean vitreous haze gradings from two to 0.2 by
the end follow-up (P < 0.05). There was a significant reduction in the number of
patients with vasculitis (15 at baseline to 1 weeks at 18 weeks), retinitis (nine
at baseline to none at 4 weeks), and papillitis (two at baseline to none at 4
weeks) (P < 0.05). No significant electrophysiological changes or ocular adverse
inflammatory reactions were observed during the study period. CONCLUSION:
Intravitreal infliximab appeared to be safe and effective in treating uveitis in
Behcet's disease and should be considered as an alternative to systemic
therapies.
PMID- 27870805
TI - Minimum Clinically Important Difference: Current Trends in the Spine Literature.
AB - STUDY DESIGN: Review of the 2011 to 2015 minimum clinically important difference
(MCID)-related publications in Spine, Spine Journal, Journal of Neurosurgery
Spine, and European Spine Journal. OBJECTIVE: To summarize the various
determinations of MCID and to analyze its usage in the spine literature of the
past 5 years in order to develop a basic reference to help practitioners
interpret or utilize MCID. SUMMARY OF BACKGROUND DATA: MCID represents the
smallest change in a domain of interest that is considered beneficial to a
patient or clinician. The many sources of variation in calculated MCID values and
inconsistency in its utilization have resulted in confusion in the interpretation
and use of MCID. METHODS: All articles from 2011 to 2015 were reviewed. Only
clinical science articles utilizing patient reported outcome scores (PROs) were
included in the analysis. A keyword search was then performed to identify
articles that used MCID. MCID utilization in the selected papers was
characterized and recorded. RESULTS: MCID was referenced in 264/1591 (16.6%)
clinical science articles that utilized PROs: 22/264 (8.3%) independently
calculated MCID values and 156/264 (59.1%) used previously published MCID values
as a gauge of their own results. Despite similar calculation methods, there was a
two- or three-fold range in the recommended MCID values for the same instrument.
Half the studies recommended MCID values within the measurement error. Most
studies (97.2%) using MCID to evaluate their own results relied on generic MCID.
The few studies using specific MCID (MCID calculated for narrowly defined
indications or treatments) did not consistently match the characteristics of
their sample to the specificity of the MCID. About 48% of the studies compared
group averages instead of individual scores to the MCID threshold. CONCLUSION:
Despite a clear interest in MCID as a measure of patient improvement, its current
developments and uses have been inconsistent. LEVEL OF EVIDENCE: N/A.
PMID- 27870804
TI - Effects of Low-Load Motor Control Exercises and a High-Load Lifting Exercise on
Lumbar Multifidus Thickness: A Randomized Controlled Trial.
AB - STUDY DESIGN: Randomized controlled trial. OBJECTIVE: The aim of this study was
to compare the effects of low-load motor control (LMC) exercises and a high-load
lifting (HLL) exercise, on lumbar multifidus (LM) thickness on either side of the
spine and whether the effects were affected by pain intensity or change in pain
intensity. SUMMARY OF BACKGROUND DATA: There is evidence that patients with low
back pain (LBP) may have a decreased size of the LM muscles with an asymmetry
between sides in the lower back. It has also been shown that LMC training can
affect this asymmetry. It is, however, not known whether a high-load exercise has
the same effect. METHODS: Sixty-five participants diagnosed with nociceptive
mechanical LBP were included and randomized into LMC exercises or a HLL exercise,
the deadlift. The LM thickness was measured using rehabilitative ultrasound
imaging (RUSI), at baseline and after a 2-month training period. RESULTS: There
were no differences between interventions regarding effect on LM muscle
thickness. However, the analysis showed a significant effect for asymmetry. The
thickness of the LM muscle on the small side increased significantly compared
with the large side in both intervention groups, without influence of pain at
baseline, or change in pain intensity. CONCLUSION: At baseline, there was a
difference in thickness of the LM muscles between sides. It seems that exercises
focusing on spinal alignment may increase the thickness of the LM muscles on the
small side, irrespective of exercise load. The increase in LM thickness does not
appear to be mediated by either current pain intensity or the magnitude of change
in pain intensity. LEVEL OF EVIDENCE: 2.
PMID- 27870807
TI - Back Pain Prevalence Is Associated With Curve-type and Severity in Adolescents
With Idiopathic Scoliosis: A Cross-sectional Study.
AB - STUDY DESIGN: A cross-sectional study. OBJECTIVES: The aim of this study was to
investigate spinal pain prevalence in adolescents with idiopathic scoliosis (AIS)
and to explore associations between pain intensity and pain-related disability
with scoliosis site, severity, and spinal bracing. SUMMARY OF BACKGROUND DATA:
The causal link between spinal pain and AIS remains unclear. Spinal asymmetry has
been recognized as a back pain risk factor, which is a known cause of care
seeking in adolescents. METHODS: Participants were recruited from an outpatient
tertiary-care scoliosis clinic. Pain intensity and pain-related disability were
measured by the Brief Pain Inventory questionnaire and the Roland-Morris
Disability Questionnaire. Scoliosis severity estimation was performed using Cobb
angles. Associations were explored using multiple linear regressions and reported
with unstandardized beta coefficients (beta) adjusted for age and sex. RESULTS:
We recruited 500 patients (85% female) with mean (SD) age of 14.2 (1.8) years.
Means (SD) of thoracic and lumbar Cobb angle were 24.54(9.77) and 24.13 (12.40),
respectively. Spinal pain prevalence was 68% [95% confidence interval (95% CI):
64.5-72.4] with a mean intensity of 1.63 (SD, 1.89). Spinal pain intensity was
positively associated with scoliosis severity in the main thoracic (P = 0.003)
and lumbar (P = 0.001) regions. The mean (SD) disability score was 1.73 (2.98).
Disability was positively associated with scoliosis severity in the proximal
thoracic (P = 0.035), main thoracic (P = 0.000), and lumbar (P = 0.000)
regions.Spinal bracing was associated with lower spinal pain intensity in the
thoracic (P = 0.000) and lumbar regions (P = 0.009). Bracing was also related
with lower disability for all spinal areas (P < 0.045). CONCLUSION: Spinal pain
is common among patients with AIS, and greater spinal deformity was associated
with higher pain intensity. These findings should inform clinical decision-making
when caring for patients with AIS. LEVEL OF EVIDENCE: 3.
PMID- 27870808
TI - Description and Results of a Comprehensive Care Protocol for Overnight-Stay Spine
Surgery in Adults.
AB - STUDY DESIGN: This is a prospective cohort study. OBJECTIVE: The aim of this
study was to define the probability of successful morning-after discharge after
adult spine surgery achieved with a standard care protocol as applied to patients
with a large variety of common degenerative spine disorders. SUMMARY OF
BACKGROUND DATA: Qualifying criteria for ambulatory or overnight-stay adult spine
surgery are not well defined in either the spine or anesthesia literature. Most
reports simply go to American Society of Anesthesiology risk classification or
surgical technique alternatives and do not present a clearly defined patient care
and case management protocol. METHODS: A standardized protocol of patient
preparation, preoperative comorbidities optimization, and perioperative care was
applied in a prospective cohort of 126 patients including 83 lumbar and 41
cervical procedures. Office and hospital chart records were reviewed for relevant
outcomes. RESULTS: Fully 122 of 124 appropriately selected cases were able to
successfully achieve uneventful same-day discharge without any need for
readmission, unscheduled early emergency room or clinic visits, or other major
complications. Both failures were for urinary retention in senior males and
resolved after a single-day admission to the main hospital. CONCLUSION: A wide
variety of common degenerative spinal pathology in adults can be routinely and
safely managed on an overnight-stay basis without requirement for formal hospital
inpatient admission in patients appropriately selected and pre-educated to the
experience and whose major comorbidities are preoperatively optimized. LEVEL OF
EVIDENCE: N/A.
PMID- 27870809
TI - Rise in Haemophilus influenzae With Reduced Quinolone Susceptibility and
Development of a Simple Screening Method.
AB - BACKGROUND: beta-Lactamase-nonproducing ampicillin-resistant Haemophilus
influenzae are prevalent in Japan. Resistance has increased as a consequence of
the expanded use of antimicrobial agents, raising concerns about the rise of
multidrug (macrolide and fluoroquinolone)-resistant H. influenzae. METHODS: In
this study, we investigated susceptibility to fluoroquinolones in H. influenzae
clinical isolates from 2013 to 2014 and identified the amino acid substitutions
in quinolone resistance-determining regions of gyrA and parC. RESULTS: All
isolates (n = 145) were susceptible to fluoroquinolones; however, some showed
reduced susceptibility. The minimum inhibitory concentration of levofloxacin for
these strains was 0.063-0.5 ug/mL, and the strains harbored the amino acid
substitution S84L in GyrA. Such strains have seen a significant increase.
Importantly, all mutants from 2014 were isolated from pediatric patients. In
addition, we developed a simple polymerase chain reaction-based screening method
for detecting isolates with reduced fluoroquinolone susceptibility. CONCLUSIONS:
The mutation in GyrA is important as a first step in the development of
fluoroquinolone resistance. Hence, detection of reduced susceptible strains may
influence the choice of antimicrobial treatment.
PMID- 27870810
TI - Neonatal Group B Streptococcus Infections: Prevention Strategies, Clinical and
Microbiologic Characteristics in 7 Years of Surveillance.
AB - BACKGROUND: The characteristics of group B streptococcus (GBS) neonatal disease
in a period of 7 years are reported. METHODS: The estimation of the neonatal GBS
disease risk and prevention strategies adopted at delivery in absence of national
guidelines was evaluated by the analysis of 3501 questionnaires. Notification of
194 neonatal GBS infections was recorded. In addition, 115 strains from neonatal
early-onset disease (EOD) and late-onset disease, respectively, plus 320 strains
from pregnant women were analyzed by molecular typing methods and for antibiotic
resistance. RESULTS: Preterm deliveries, precipitous labor and GBS negatively
screened mothers were the prominent causes for an inadequate or lack of
intrapartum antibiotic prophylaxis and EOD occurrence. The superimposable
serotype distribution of GBS strains from EOD and from antenatal screening
confirmed the vertical transmission from mother to neonate as the cause of
disease. On the contrary, late-onset disease was almost exclusively caused by the
internationally diffused clonal complex 17. Erythromycin resistance was detected
in 17% of strains. Resistance to clindamycin was 15.3 %. CONCLUSIONS: The
administration of intrapartum antibiotic prophylaxis to negatively GBS screened
women in presence of risk factors was a deviation from the recommendations issued
by the Centers for Disease Control and Prevention, and it should deserve further
consideration. Routine surveillance and molecular typing of circulating clones
are essential for the effective management of the neonatal GBS disease.
PMID- 27870811
TI - Abdominal Actinomycosis in Children: A Case Report and Literature Review.
AB - Abdominal actinomycosis is an uncommon pediatric infection that often manifests
with a tumor-like lesion. We describe a previously healthy 11-year-old girl who
presented with right lower quadrant abdominal pain and drainage. Computed
tomography scan showed an abdominal wall mass. Surgical debridement cultures grew
Actinomyces meyeri. Literature review identified 18 additional pediatric cases
since 1964 that we have summarized.
PMID- 27870812
TI - Does Breastfeeding Protect Young Infants From Pertussis? Case-control Study and
Immunologic Evaluation.
AB - BACKGROUND: Pertussis infection can be severe in unvaccinated infants. A case
control study was conducted to investigate the potential role of breastfeeding in
protecting young, unvaccinated infants from pertussis. METHODS: Hospitalized
infants <6 months of age with positive real time polymerase chain reaction for
pertussis on nasopharyngeal aspirate were enrolled as cases; healthy controls
were enrolled among patients admitted for ultrasound screening. Vaccinated
infants were excluded. Sociodemographic, clinical and feeding information were
collected. The effect of breastfeeding on pertussis was investigated through
multivariable analysis. Breast milk and blood samples were obtained from mothers
of patients. IgA and bacterial binding against Bordetella pertussis and other
bacteria were tested in breast milk. IgG against pertussis toxin (PT) was tested
in serum. RESULTS: We enrolled 296 patients (61 cases and 235 controls).
Exclusive breastfeeding was not associated with pertussis compared with partial
breastfeeding/artificial feeding [odds ratio: 1.2; 95% confidence interval (CI):
0.31-4.67]. Children with siblings were at higher risk for pertussis (odds ratio:
2.5; 95% CI: 1.21-5.35). IgA against pertussis antigens were not higher in cases
(IgA anti-PT median = 0.24 optical density) compared with controls (IgA anti-PT
median = 0.21 optical density). Though bacterial binding to B. pertussis,
measured in breast milk, was higher in cases (median = 4.35%) compared with
controls (median = 2.8%; P = 0.004), bacterial binding to B. pertussis was low
compared with other pathogens. IgG titers were higher in mothers of cases, but no
correlation was found between serum IgG and breast milk IgA. CONCLUSION:
Breastfeeding remains a mainstay of prevention for numerous diseases, though it
does not seem to play a role against pertussis. Alternative strategies to protect
unvaccinated infants from pertussis should be considered.
PMID- 27870813
TI - Acute Otitis Media During Infancy: Parent-reported Incidence and Modifiable Risk
Factors.
AB - BACKGROUND: Age at exposure to acute otitis media (AOM) risk factors such as day
care attendance, lack of breastfeeding and tobacco smoke is little studied but
important for targeting AOM prevention strategies. Moreover, studies are
typically restricted to clinically diagnosed AOM, while a significant subset can
occur outside the health care system, depending on the country setting. This
study aims to determine risk factor exposure and effect of its timing within the
first year of life on parent-reported AOM symptom episodes. METHODS: In the
WHeezing and Illnesses STudy LEidsche Rijn birth-cohort study, 1056 children were
prospectively followed during their first year of life. Group day care
attendance, breastfeeding and tobacco smoke exposure were recorded monthly and
parent-reported AOM symptoms daily. Generalized estimating equations were used to
estimate the association between the time-varying risk factors and AOM symptom
episodes, while correcting for confounding by indication. RESULTS: The first-year
incidence rate of parent-reported AOM was 569/1000 child-years [95% confidence
interval (CI): 523-618]. Children who attended day care had higher odds of
developing AOM symptom episodes compared with those not attending (odds ratio:
5.0; 95% CI: 2.6-9.6). Tobacco smoke exposure and (a history of) breastfeeding
were not associated with AOM. Test for interaction revealed that the effect of
day care increased with each month younger in age. CONCLUSIONS: First-year day
care attendance is a major risk factor for AOM symptom episodes among infants in
the community. This adjusted effect estimate is higher than previously reported
and is age-dependent. AOM prevention strategies in day care facilities should
therefore focus in particular on the youngest age groups.
PMID- 27870814
TI - The Contemporary Microbiology and Rates of Concomitant Osteomyelitis in Acute
Septic Arthritis.
AB - BACKGROUND: Septic arthritis (SA) and acute osteomyelitis (AO) are among the most
common serious bacterial infections of childhood. Knowledge of the microbiology
of SA is critical to treatment. Awareness of the presence of attendant AO is also
important to guide clinical management. We sought to describe the current
microbiology of SA in children and clinical features associated with coexisting
AO. MATERIALS AND METHODS: Patients with SA were identified from the infectious
diseases consult service records from 2010 to 2014. Patients with
penetrating/open trauma and orthopedic hardware in situ were excluded. RESULTS: A
total of 168 patients with SA were included. The most common causative organism
was Staphylococcus aureus accounting for 47.7% of cases (29.1% were methicillin
susceptible S. aureus and 18.5% were methicillin-resistant S. aureus), followed
by group A streptococcus (GAS, 8.9%). The proportion of cases due to GAS
increased from 2011 to 2014 (3.3%-16.7%; P = 0.1). One hundred eight (64.3%)
patients had concurrent AO. The presence of osteomyelitis was associated with
older median age (5.9 vs. 2.4 years; P = 0.04), a longer duration of symptoms (5
vs. 2.5 days; P < 0.001), S. aureus (62.1% vs. 21.7%; P < 0.001), bacteremia
(46.2% vs. 20.3%; P = 0.001), a longer duration of fever after admission (5 vs. 2
days; P < 0.001) and a longer length of stay (10 vs. 6 days; P < 0.001).
CONCLUSIONS: Methicillin-resistant S. aureus continues to be an important cause
of SA though GAS may be increasing in frequency. The presence of concomitant
osteomyelitis is higher than previously reported and associated with older age, a
longer duration of symptoms and fever, bacteremia and S. aureus.
PMID- 27870816
TI - Welcome, Change.
PMID- 27870815
TI - The Cape Town Clinical Decision Rule for Streptococcal Pharyngitis in Children.
AB - BACKGROUND: Existing clinical decision rules (CDRs) to diagnose group A
streptococcal (GAS) pharyngitis have not been validated in sub-Saharan Africa. We
developed a locally applicable CDR while evaluating existing CDRs for diagnosing
GAS pharyngitis in South African children. METHODS: We conducted a prospective
cohort study and enrolled 997 children 3-15 years of age presenting to primary
care clinics with a complaint of sore throat, and whose parents provided consent.
Main outcome measures were signs and symptoms of pharyngitis and a positive GAS
culture from a throat swab. Bivariate and multivariate analyses were used to
develop the CDR. In addition, the diagnostic effectiveness of 6 existing rules
for predicting a positive culture in our cohort was assessed. RESULTS: A total of
206 of 982 children (21%) had a positive GAS culture. Tonsillar swelling,
tonsillar exudates, tender or enlarged anterior cervical lymph nodes, absence of
cough and absence of rhinorrhea were associated with positive cultures in
bivariate and multivariate analyses. Four variables (tonsillar swelling and one
of tonsillar exudate, no rhinorrhea, no cough), when used in a cumulative score,
showed 83.7% sensitivity and 32.2% specificity for GAS pharyngitis. Of existing
rules tested, the rule by McIsaac et al had the highest positive predictive value
(28%), but missed 49% of the culture-positive children who should have been
treated. CONCLUSION: The new 4-variable CDR for GAS pharyngitis (ie, tonsillar
swelling and one of tonsillar exudate, no rhinorrhea, no cough) outperformed
existing rules for GAS pharyngitis diagnosis in children with symptomatic sore
throat in Cape Town.
PMID- 27870818
TI - 2016 American Academy of Optometry Ocular Photography Contest.
PMID- 27870817
TI - Clinical Pearls.
PMID- 27870819
TI - Angiographic Characteristics of Pseudo-occlusion of the Internal Carotid Artery
Before and After Stenting.
AB - BACKGROUND: Various definitions of pseudo-occlusion and clinical outcomes after
various treatments have been reported, but reports discussing the stenotic
characteristics of pseudo-occlusion are rare. OBJECTIVE: To analyze the
angiographic characteristics of pseudo-occlusion of the internal carotid artery
(ICA) before and after carotid artery stenting (CAS). METHODS: We retrospectively
reviewed the angiographic characteristics of 56 patients with pseudo-occlusion of
the ICA treated with CAS. Angiographic changes were evaluated from digital
subtraction angiography in terms of lesion characteristics of the stenosis and
the diameter changes in the distal ICA before and after CAS. RESULTS: Fifty-six
patients were successfully treated. Based on angiographic findings of ICA
stenosis, 33 and 23 patients were classified into the single-channel and multiple
channel group, respectively. Regarding the diameter changes in the distal ICA
after CAS, 31 cases were classified as immediate dilatation and the other 25 as
restricted dilatation. Immediate dilatation of the distal ICA beyond the stent
and that at a follow-up examination were observed significantly less frequently
in the multiple-channel group than in the single-channel group. The use of
multiple stents and stent occlusion at a follow-up examination were significantly
more prevalent in the multiple-channel group than in the single-channel group.
CONCLUSION: Stenotic lesions of pseudo-occlusion of the ICA were classified as
single channel and multiple channel. Restricted dilatation of the distal ICA
after CAS was more prevalent in the multiple-channel group. Because adverse
events tended to be more frequent in the multiple-channel group than in the
single-channel group, the indications for CAS should be determined carefully in
multiple-channel patients. ABBREVIATIONS: CAS, carotid artery stentingICA,
internal carotid artery.
PMID- 27870820
TI - In Reply: Can We Rely on Susceptibility-Weighted Imaging (SWI) for Subthalamic
Nucleus Identification in Deep Brain Stimulation Surgery?
PMID- 27870822
TI - Comparison of Total Lung Capacity Determined by Plethysmography With Computed
Tomographic Segmentation Using CALIPER.
AB - PURPOSE: Traditionally, determination of total lung capacity (TLC) by
plethysmography (TLCpleth) has been important in the diagnosis of lung diseases.
Alternatively, data acquired from computerized tomography (CT) can be utilized to
calculate a measure of TLC (TLCCT). The clinical utility of TLCCT is not certain.
We sought to determine, in a clinical setting, whether TLCCT correlates with
TLCpleth across a range of lung diseases and scanning techniques. In addition, we
determined whether TLCCT affects the interpretation of pulmonary function tests.
SUBJECTS AND METHODS: Records of 118 of 148 consecutive lung transplant
recipients were reviewed and determined to have coinciding pulmonary function
tests, including plethysmography as well as volumetric chest CT performed supine
during full inspiration. CT images acquired with a wide range of scanning
protocols were analyzed using CALIPER, a software program for lung and trachea
extraction from a CT volume and volumetric tissue characterization of the lung.
Segmentation of the lung was achieved by using completely automated dynamic
thresholding and region-growing techniques developed to extract the relatively
low-density lung and tracheal anatomy from the CT data set without user
intervention. RESULTS: TLCpleth and TLCCT were strongly related with a
correlation coefficient of 0.88 (P<0.001). The efficacy of the CT-derived measure
was not influenced by specific lung diagnoses, age, height, body mass index, or
spirometric parameters. TLCCT did not misidentify any diagnosis of restrictive
lung disease, nor hyperinflation. CONCLUSIONS: In a clinical setting, CT
segmentation analysis provides a favorable determination of TLC compared with
traditional plethysmography. The technique has general applicability across
varying CT data acquisition protocols, lung diseases, and patient
characteristics. TLCCT may substitute for TLCpleth in pulmonary function
interpretation and may be preferable for some patients in whom plethysmography is
difficult to perform, such as transplant subjects with severe pulmonary fibrosis.
PMID- 27870823
TI - Diagnostic Yield for Cancer and Diagnostic Accuracy of Computed Tomography-guided
Core Needle Biopsy of Subsolid Pulmonary Lesions.
AB - PURPOSE: We aimed to determine the diagnostic yield for cancer and diagnostic
accuracy of computed tomography-guided core needle biopsy (CTNB) in subsolid
pulmonary lesions. MATERIALS AND METHODS: Fifty-two biopsies of 52 subsolid
lesions in 51 patients were identified from a database of 912 lung biopsies and
analyzed for the diagnostic yield for cancer and diagnostic accuracy of core CTNB
diagnosis as well as complication rates. RESULTS: When indeterminate biopsy
results were included in the analysis, the diagnostic yield for cancer was 80.8%
and the diagnostic accuracy of core needle biopsy was 84.6% (n=52). It was 85.7%
and 91.7%, respectively, when indeterminate results were excluded (n=48) and
82.4% and 82.4%, respectively, for biopsies with surgical confirmation (n=17).
Attenuation was statistically significant for diagnostic yield for cancer
(P=0.028) and diagnostic accuracy of core needle biopsy (P=0.001) when the
indeterminate results were excluded (n=48). Attenuation and size were not
statistically significant for diagnostic yield for cancer and diagnostic accuracy
of needle biopsy (n=52), and size was not statistically significant for either
when the indeterminate results were excluded. These results were achieved without
any major complications as per the Society of Interventional Radiology Standards
of Practice. CONCLUSIONS: CTNB offers a high yield in establishing a
histopathologic diagnosis of subsolid pulmonary lesions, with both ground-glass
and solid-predominance. The pure ground-glass category of lesions requires
further research to determine the true diagnostic yield and diagnostic accuracy
of core needle biopsies.
PMID- 27870824
TI - Efficacy of a Dehydrated Hydrogel Plug to Reduce Complications Associated With
Computed Tomography-guided Percutaneous Transthoracic Needle Biopsy.
AB - PURPOSE: The aim of the study was to determine whether a hydrogel plug, when
placed in the needle tract after a computed tomography-guided percutaneous
transthoracic needle lung biopsy, reduces the rate of complications. MATERIALS
AND METHODS: This retrospective analysis included biopsies of lung lesions from
200 consecutive patients. The first 100 consecutive biopsies made up the control
group, in which no plug intervention was used. The next 100 consecutive biopsies
made up the treatment group, in which a hydrogel plug was deployed through a 19-G
coaxial needle system after 20-G core samples were obtained. RESULTS: The overall
mean age was 64.92 years. No statistically significant differences in patient
characteristics and procedure parameters were found between the control and
treatment groups except for age (mean age, 62.92 vs. 66.92 y, P=0.022) and
procedure duration (mean minutes, 7.81 vs. 6.47 min, P=0.021). The rate of
pneumothorax in the control versus treatment group was 31% vs. 29% (P=0.498) and
the rate of hemoptysis was 6% vs. 3% (P=0.354). The rate of chest tube insertion
after pneumothorax was significantly higher in the control group than in the
treatment group (10% vs. 2%, P=0.032) and the average length of hospital stay was
longer in the control group (0.44 vs. 0.07 d, P=0.041). The difference was still
statistically significant for the chest tube insertion rate (P=0.030) and close
to statistically significant for the length of hospital stay (P=0.063), after
adjusting for the confounding effect of age and procedure duration. CONCLUSION:
These data show that the deployment of a hydrogel plug after a computed
tomography-guided lung biopsy significantly reduced the rate of chest tube
insertion along with a reduced length of hospital stay.
PMID- 27870825
TI - Evaluation of Pediatric Liver Transplantation-Related Artery Complications Using
Intra-Operative Multi-Parameter Ultrasonography.
AB - BACKGROUND This article discusses the value of using multi-parameter evaluation
of intra-operative ultrasonography in evaluating pediatric liver transplantation
related arterial complications. MATERIAL AND METHODS Sixty-eight children
receiving a liver transplant underwent intraoperative ultrasonography for
monitoring of artery hemodynamics. The ultrasonic measurement parameters included
the diameters of the hepatic artery (HA) of the donor and anastomotic stoma, peak
systolic velocity (PSV), resistance index (RI), acceleration time (SAT), and
blood flow volume. RESULTS After being treated immediately using surgery or other
means, blood flow returned to normal in 8 cases, and did not in 3 cases, of whom
2 experienced postoperative HAT. There was a significant difference in HA
diameter of the donor, anastomotic stoma diameter, PSV, RI, SAT, and blood flow
volume before and after treatment of the donor in the complications group.
Postoperative complications occurred in 7 of 68 recipients, including the 2 cases
exhibiting complications during the surgery (complication group) and 5 without
complications during the surgery (no complication group). There was a
statistically significant difference (P<0.05) between the 2 groups in
intraoperative ultrasonography parameters of HA diameter, anastomotic stoma
diameter, RI, and blood flow volume. CONCLUSIONS Through intraoperative multi
parameter ultrasonic measurement, a definite diagnosis of hepatic artery
complications can be made in liver transplantation patients. HA diameter of the
donor, anastomotic stoma diameter, PSV, RI, SAT, and blood flow volume are
important in assessing intraoperative artery complications.
PMID- 27870826
TI - Development and verification of a pharmacokinetic model to optimize physiologic
replacement of rhIGF-1/rhIGFBP-3 in preterm infants.
AB - BACKGROUND: rhIGF-1/rhIGFBP-3 is being investigated for prevention of retinopathy
of prematurity in extremely preterm infants. METHODS: A population
pharmacokinetic model was developed using data from phase I/II (Sections A-C)
trials of rhIGF-1/rhIGFBP-3 and additional studies in preterm infants to predict
optimal dosing to establish/maintain serum IGF-1 within physiological
intrauterine levels. In Section D of the phase II study, infants (gestational age
(GA) (wk+d) 23+0 to 27+6) were randomized to rhIGF-1/rhIGFBP-3, administered at
the model-predicted dose of 250 ug/kg/d continuous i.v. infusion up to
postmenstrual age (PMA) 29 wk+6 d or standard of care. An interim pharmacokinetic
analysis was performed for the first 10 treated infants to verify dosing.
RESULTS: Serum IGF-1 data were reviewed for 10 treated/9 control infants.
Duration of therapy in treated infants ranged 1-34.5 d. At baseline (before
infusion and <24 h from birth), mean (SD) IGF-1 was 19.2 (8.0) MUg/l (treated)
and 15.4 (4.7) MUg/l (controls). Mean (SD) IGF-1 increased to 45.9 (19.6) MUg/l
at 12 h in treated infants, and remained within target levels for all subsequent
timepoints. For treated infants, 88.8% of the IGF-1 measurements were within
target levels (controls, 11.1%). CONCLUSION: Through the reported work, we
determined appropriate rhIGF-1/rhIGFBP-3 dosing to achieve physiological
intrauterine serum IGF-1 levels in extremely preterm infants.
PMID- 27870827
TI - Augmented Th17-type immune responses in preterm neonates exposed to histologic
chorioamnionitis.
AB - BACKGROUND: Histologic chorioamnionitis (HCA) is a placental inflammatory
disorder that frequently precedes preterm delivery. HCA increases risk for long
standing inflammatory injury and may influence immune programming, particularly
in preterm (PT) neonates. We hypothesized that HCA exposure is associated with an
increased circulating frequency of proinflammatory, Th17-type responses. METHODS:
Placental cord blood was collected from HCA-exposed or control neonates (23-41 wk
gestation). Frequencies of Th17 and T regulatory (Treg) cells and assessments of
Th17-type features in CD4 and Treg cells were determined by flow cytometric
analysis. RESULTS: Cord blood samples from 31 PT and 17 term neonates were
analyzed by flow cytometry. A diagnosis of HCA in extremely PT (EPT, GA <= 30 wk)
gestations was associated with the highest cord blood frequencies of progenitor
(pTh17, CD4+CD161+) and mature (mTh17, CD4+CD161+CCR6+) Th17 cells. Preterm
neonates exposed to HCA also exhibited elevated cord blood frequencies of IL-17+
Treg cells, as well as T cells with effector memory phenotype (TEM) that
coexpressed Th17-type surface antigens. CONCLUSION: Th17-type responses are
amplified in preterm neonates exposed to HCA. We speculate that a Th17 bias may
potentiate the inflammatory responses and related morbidity observed in preterm
neonates whose immune systems have been "primed" by HCA exposure.
PMID- 27870828
TI - Agonist-stimulated phosphatidylinositol-3,4,5-trisphosphate generation by
scaffolded phosphoinositide kinases.
AB - Generation of the lipid messenger phosphatidylinositol-3,4,5-trisphosphate
(PtdIns(3,4,5)P3) is crucial for development, cell growth and survival, and
motility, and it becomes dysfunctional in many diseases including cancers. Here
we reveal a mechanism for PtdIns(3,4,5)P3 generation by scaffolded
phosphoinositide kinases. In this pathway, class I phosphatidylinositol-3-OH
kinase (PI(3)K) is assembled by IQGAP1 with PI(4)KIIIalpha and PIPKIalpha, which
sequentially generate PtdIns(3,4,5)P3 from phosphatidylinositol. By scaffolding
these kinases into functional proximity, the PtdIns(4,5)P2 generated is
selectively used by PI(3)K for PtdIns(3,4,5)P3 generation, which then signals to
PDK1 and Akt that are also in the complex. Moreover, multiple receptor types
stimulate the assembly of this IQGAP1-PI(3)K signalling complex. Blockade of
IQGAP1 interaction with PIPKIalpha or PI(3)K inhibited PtdIns(3,4,5)P3 generation
and signalling, and selectively diminished cancer cell survival, revealing a
target for cancer chemotherapy.
PMID- 27870829
TI - Crumbs2 promotes cell ingression during the epithelial-to-mesenchymal transition
at gastrulation.
AB - During gastrulation of the mouse embryo, individual cells ingress in an
apparently stochastic pattern during the epithelial-to-mesenchymal transition
(EMT). Here we define a critical role of the apical protein Crumbs2 (CRB2) in the
gastrulation EMT. Static and live imaging show that ingressing cells in Crumbs2
mutant embryos become trapped at the primitive streak, where they continue to
express the epiblast transcription factor SOX2 and retain thin E-cadherin
containing connections to the epiblast surface that trap them at the streak. CRB2
is distributed in a complex anisotropic pattern on apical cell edges, and the
level of CRB2 on a cell edge is inversely correlated with the level of myosin
IIB. The data suggest that the distributions of CRB2 and myosin IIB define which
cells will ingress, and we propose that cells with high apical CRB2 are basally
extruded from the epiblast by neighbouring cells with high levels of apical
myosin.
PMID- 27870830
TI - Clonal fate mapping quantifies the number of haematopoietic stem cells that arise
during development.
AB - Haematopoietic stem cells (HSCs) arise in the developing aorta during
embryogenesis. The number of HSC clones born has been estimated through
transplantation, but experimental approaches to assess the absolute number of
forming HSCs in a native setting have remained challenging. Here, we applied
single-cell and clonal analysis of HSCs in zebrafish to quantify developing HSCs.
Targeting creERT2 in developing cd41:eGFP+ HSCs enabled long-term assessment of
their blood contribution. We also applied the Brainbow-based multicolour Zebrabow
system with drl:creERT2 that is active in early haematopoiesis to induce
heritable colour barcoding unique to each HSC and its progeny. Our findings
reveal that approximately 21 HSC clones exist prior to HSC emergence and 30
clones are present during peak production from aortic endothelium. Our methods
further reveal that stress haematopoiesis, including sublethal irradiation and
transplantation, reduces clonal diversity. Our findings provide quantitative
insights into the early clonal events that regulate haematopoietic development.
PMID- 27870832
TI - Position effects influence HIV latency reversal.
AB - The main obstacle to curing HIV is the presence of latent proviruses in the
bodies of infected patients. The partial success of reactivation therapies
suggests that the genomic context of integrated proviruses can interfere with
treatment. Here we developed a method called Barcoded HIV ensembles (B-HIVE) to
map the chromosomal locations of thousands of individual proviruses while
tracking their transcriptional activities in an infected cell population. B-HIVE
revealed that, in Jurkat cells, the expression of HIV is strongest close to
endogenous enhancers. The insertion site also affects the response to latency
reversing agents, because we found that phytohemagglutinin and vorinostat
reactivated proviruses inserted at distinct genomic locations. From these
results, we propose that combinations of drugs targeting all areas of the genome
will be most effective. Overall, our data suggest that the insertion context of
HIV is a critical determinant of the viral response to reactivation therapies.
PMID- 27870831
TI - Asymmetric division coordinates collective cell migration in angiogenesis.
AB - The asymmetric division of stem or progenitor cells generates daughters with
distinct fates and regulates cell diversity during tissue morphogenesis. However,
roles for asymmetric division in other more dynamic morphogenetic processes, such
as cell migration, have not previously been described. Here we combine zebrafish
in vivo experimental and computational approaches to reveal that heterogeneity
introduced by asymmetric division generates multicellular polarity that drives
coordinated collective cell migration in angiogenesis. We find that asymmetric
positioning of the mitotic spindle during endothelial tip cell division generates
daughters of distinct size with discrete 'tip' or 'stalk' thresholds of pro
migratory Vegfr signalling. Consequently, post-mitotic Vegfr asymmetry drives
Dll4/Notch-independent self-organization of daughters into leading tip or
trailing stalk cells, and disruption of asymmetry randomizes daughter tip/stalk
selection. Thus, asymmetric division seamlessly integrates cell proliferation
with collective migration, and, as such, may facilitate growth of other
collectively migrating tissues during development, regeneration and cancer
invasion.
PMID- 27870833
TI - Integrative classification of human coding and noncoding genes through RNA
metabolism profiles.
AB - Pervasive transcription of the human genome results in a heterogeneous mix of
coding RNAs and long noncoding RNAs (lncRNAs). Only a small fraction of lncRNAs
have demonstrated regulatory functions, thus making functional lncRNAs difficult
to distinguish from nonfunctional transcriptional byproducts. This difficulty has
resulted in numerous competing human lncRNA classifications that are complicated
by a steady increase in the number of annotated lncRNAs. To address these
challenges, we quantitatively examined transcription, splicing, degradation,
localization and translation for coding and noncoding human genes. We observed
that annotated lncRNAs had lower synthesis and higher degradation rates than
mRNAs and discovered mechanistic differences explaining slower lncRNA splicing.
We grouped genes into classes with similar RNA metabolism profiles, containing
both mRNAs and lncRNAs to varying extents. These classes exhibited distinct RNA
metabolism, different evolutionary patterns and differential sensitivity to
cellular RNA-regulatory pathways. Our classification provides an alternative to
genomic context-driven annotations of lncRNAs.
PMID- 27870836
TI - The RNA helicase Mtr4p is a duplex-sensing translocase.
AB - The conserved Saccharomyces cerevisiae Ski2-like RNA helicase Mtr4p plays
essential roles in eukaryotic nuclear RNA processing. RNA helicase activity of
Mtr4p is critical for biological functions of the enzyme, but the molecular basis
for RNA unwinding is not understood. Here, single-molecule high-resolution
optical trapping measurements reveal that Mtr4p unwinds RNA duplexes by 3'-to-5'
translocation on the loading strand, that strand separation occurs in discrete
steps of 6 base pairs and that a single Mtr4p molecule performs consecutive
unwinding steps. We further show that RNA unwinding by Mtr4p requires interaction
with upstream RNA duplex. Inclusion of Mtr4p within the TRAMP complex increases
the rate constant for unwinding initiation but does not change the
characteristics of Mtr4p's helicase mechanism. Our data indicate that Mtr4p
utilizes a previously unknown unwinding mode that combines aspects of canonical
translocating helicases and non-canonical duplex-sensing helicases, thereby
restricting directional translocation to duplex regions.
PMID- 27870834
TI - Position-dependent termination and widespread obligatory frameshifting in
Euplotes translation.
AB - The ribosome can change its reading frame during translation in a process known
as programmed ribosomal frameshifting. These rare events are supported by complex
mRNA signals. However, we found that the ciliates Euplotes crassus and Euplotes
focardii exhibit widespread frameshifting at stop codons. 47 different codons
preceding stop signals resulted in either +1 or +2 frameshifts, and +1
frameshifting at AAA was the most frequent. The frameshifts showed unusual
plasticity and rapid evolution, and had little influence on translation rates.
The proximity of a stop codon to the 3' mRNA end, rather than its occurrence or
sequence context, appeared to designate termination. Thus, a 'stop codon' is not
a sufficient signal for translation termination, and the default function of stop
codons in Euplotes is frameshifting, whereas termination is specific to certain
mRNA positions and probably requires additional factors.
PMID- 27870835
TI - Full antagonism of the estrogen receptor without a prototypical ligand side
chain.
AB - Resistance to endocrine therapies remains a major clinical problem for the
treatment of estrogen receptor-alpha (ERalpha)-positive breast cancer. On-target
side effects limit therapeutic compliance and use for chemoprevention,
highlighting an unmet need for new therapies. Here we present a full-antagonist
ligand series lacking the prototypical ligand side chain that has been
universally used to engender antagonism of ERalpha through poorly understood
structural mechanisms. A series of crystal structures and phenotypic assays
reveal a structure-based design strategy with separate design elements for
antagonism and degradation of the receptor, and access to a structurally distinct
space for further improvements in ligand design. Understanding structural rules
that guide ligands to produce diverse ERalpha-mediated phenotypes has broad
implications for the treatment of breast cancer and other estrogen-sensitive
aspects of human health including bone homeostasis, energy metabolism, and
autoimmunity.
PMID- 27870837
TI - Ubiquitin utilizes an acidic surface patch to alter chromatin structure.
AB - Ubiquitylation of histone H2B, associated with gene activation, leads to
chromatin decompaction through an unknown mechanism. We used a hydrogen-deuterium
exchange strategy coupled with NMR spectroscopy to map the ubiquitin surface
responsible for its structural effects on chromatin. Our studies revealed that a
previously uncharacterized acidic patch on ubiquitin comprising residues Glu16
and Glu18 is essential for decompaction. These residues mediate promiscuous
electrostatic interactions with the basic histone proteins, potentially
positioning the ubiquitin moiety as a dynamic 'wedge' that prevents the intimate
association of neighboring nucleosomes. Using two independent crosslinking
strategies and an oligomerization assay, we also showed that ubiquitin-ubiquitin
contacts occur in the chromatin environment and are important for the
solubilization of the chromatin polymers. Our work highlights a novel, chromatin
related aspect of the 'ubiquitin code' and sheds light on how the information
rich ubiquitin modification can orchestrate different biochemical outcomes using
distinct surface features.
PMID- 27870839
TI - Nanomedicine: Catching tumour cells in the zone.
PMID- 27870838
TI - A computationally engineered RAS rheostat reveals RAS-ERK signaling dynamics.
AB - Synthetic protein switches controlled with user-defined inputs are powerful tools
for studying and controlling dynamic cellular processes. To date, these
approaches have relied primarily on intermolecular regulation. Here we report a
computationally guided framework for engineering intramolecular regulation of
protein function. We utilize this framework to develop chemically inducible
activator of RAS (CIAR), a single-component RAS rheostat that directly activates
endogenous RAS in response to a small molecule. Using CIAR, we show that direct
RAS activation elicits markedly different RAS-ERK signaling dynamics from growth
factor stimulation, and that these dynamics differ among cell types. We also
found that the clinically approved RAF inhibitor vemurafenib potently primes
cells to respond to direct wild-type RAS activation. These results demonstrate
the utility of CIAR for quantitatively interrogating RAS signaling. Finally, we
demonstrate the general utility of our approach in design of intramolecularly
regulated protein tools by applying it to the Rho family of guanine nucleotide
exchange factors.
PMID- 27870840
TI - Single-nanotube tracking reveals the nanoscale organization of the extracellular
space in the live brain.
AB - The brain is a dynamic structure with the extracellular space (ECS) taking up
almost a quarter of its volume. Signalling molecules, neurotransmitters and
nutrients transit via the ECS, which constitutes a key microenvironment for
cellular communication and the clearance of toxic metabolites. The spatial
organization of the ECS varies during sleep, development and aging and is
probably altered in neuropsychiatric and degenerative diseases, as inferred from
electron microscopy and macroscopic biophysical investigations. Here we show an
approach to directly observe the local ECS structures and rheology in brain
tissue using super-resolution imaging. We inject single-walled carbon nanotubes
into rat cerebroventricles and follow the near-infrared emission of individual
nanotubes as they diffuse inside the ECS for tens of minutes in acute slices.
Because of the interplay between the nanotube geometry and the ECS local
environment, we can extract information about the dimensions and local viscosity
of the ECS. We find a striking diversity of ECS dimensions down to 40 nm, and as
well as of local viscosity values. Moreover, by chemically altering the
extracellular matrix of the brains of live animals before nanotube injection, we
reveal that the rheological properties of the ECS are affected, but these
alterations are local and inhomogeneous at the nanoscale.
PMID- 27870841
TI - Tracking the dynamics of circulating tumour cell phenotypes using nanoparticle
mediated magnetic ranking.
AB - Profiling the heterogeneous phenotypes of rare circulating tumour cells (CTCs) in
whole blood is critical to unravelling the complex and dynamic properties of
these potential clinical markers. This task is challenging because these cells
are present at parts per billion levels among normal blood cells. Here we report
a new nanoparticle-enabled method for CTC characterization, called magnetic
ranking cytometry, which profiles CTCs on the basis of their surface expression
phenotype. We achieve this using a microfluidic chip that successfully processes
whole blood samples. The approach classifies CTCs with single-cell resolution in
accordance with their expression of phenotypic surface markers, which is read out
using magnetic nanoparticles. We deploy this new technique to reveal the dynamic
phenotypes of CTCs in unprocessed blood from mice as a function of tumour growth
and aggressiveness. We also test magnetic ranking cytometry using blood samples
collected from cancer patients.
PMID- 27870842
TI - Probing the electronic and catalytic properties of a bimetallic surface with 3 nm
resolution.
AB - An atomic- and molecular-level understanding of heterogeneous catalysis is
required to characterize the nature of active sites and improve the rational
design of catalysts. Achieving this level of characterization requires techniques
that can correlate catalytic performances to specific surface structures, so as
to avoid averaging effects. Tip-enhanced Raman spectroscopy combines scanning
probe microscopy with plasmon-enhanced Raman scattering and provides simultaneous
topographical and chemical information at the nano/atomic scale from ambient to
ultrahigh-vacuum and electrochemical environments. Therefore, it has been used to
monitor catalytic reactions and is proposed to correlate the local structure and
function of heterogeneous catalysts. Bimetallic catalysts, such as Pd-Au, show
superior performance in various catalytic reactions, but it has remained
challenging to correlate structure and reactivity because of their structural
complexity. Here, we show that TERS can chemically and spatially probe the site
specific chemical (electronic and catalytic) and physical (plasmonic) properties
of an atomically well-defined Pd(sub-monolayer)/Au(111) bimetallic model catalyst
at 3 nm resolution in real space using phenyl isocyanide as a probe molecule
(Fig. 1a). We observe a weakened N=C bond and enhanced reactivity of phenyl
isocyanide adsorbed at the Pd step edge compared with that at the Pd terrace.
Density functional theory corroborates these observations by revealing a higher d
band electronic profile for the low-coordinated Pd step edge atoms. The 3 nm
spatial resolution we demonstrate here is the result of an enhanced electric
field and distinct electronic properties at the step edges.
PMID- 27870843
TI - High electron mobility, quantum Hall effect and anomalous optical response in
atomically thin InSe.
AB - A decade of intense research on two-dimensional (2D) atomic crystals has revealed
that their properties can differ greatly from those of the parent compound. These
differences are governed by changes in the band structure due to quantum
confinement and are most profound if the underlying lattice symmetry changes.
Here we report a high-quality 2D electron gas in few-layer InSe encapsulated in
hexagonal boron nitride under an inert atmosphere. Carrier mobilities are found
to exceed 103 cm2 V-1 s-1 and 104 cm2 V-1 s-1 at room and liquid-helium
temperatures, respectively, allowing the observation of the fully developed
quantum Hall effect. The conduction electrons occupy a single 2D subband and have
a small effective mass. Photoluminescence spectroscopy reveals that the bandgap
increases by more than 0.5 eV with decreasing the thickness from bulk to bilayer
InSe. The band-edge optical response vanishes in monolayer InSe, which is
attributed to the monolayer's mirror-plane symmetry. Encapsulated 2D InSe expands
the family of graphene-like semiconductors and, in terms of quality, is
competitive with atomically thin dichalcogenides and black phosphorus.
PMID- 27870844
TI - Raman spectroscopy: Tipping point.
PMID- 27870845
TI - Identification of Conserved Moieties in Metabolic Networks by Graph Theoretical
Analysis of Atom Transition Networks.
AB - Conserved moieties are groups of atoms that remain intact in all reactions of a
metabolic network. Identification of conserved moieties gives insight into the
structure and function of metabolic networks and facilitates metabolic modelling.
All moiety conservation relations can be represented as nonnegative integer
vectors in the left null space of the stoichiometric matrix corresponding to a
biochemical network. Algorithms exist to compute such vectors based only on
reaction stoichiometry but their computational complexity has limited their
application to relatively small metabolic networks. Moreover, the vectors
returned by existing algorithms do not, in general, represent conservation of a
specific moiety with a defined atomic structure. Here, we show that
identification of conserved moieties requires data on reaction atom mappings in
addition to stoichiometry. We present a novel method to identify conserved
moieties in metabolic networks by graph theoretical analysis of their underlying
atom transition networks. Our method returns the exact group of atoms belonging
to each conserved moiety as well as the corresponding vector in the left null
space of the stoichiometric matrix. It can be implemented as a pipeline of
polynomial time algorithms. Our implementation completes in under five minutes on
a metabolic network with more than 4,000 mass balanced reactions. The scalability
of the method enables extension of existing applications for moiety conservation
relations to genome-scale metabolic networks. We also give examples of new
applications made possible by elucidating the atomic structure of conserved
moieties.
PMID- 27870846
TI - Improved Measures of Integrated Information.
AB - Although there is growing interest in measuring integrated information in
computational and cognitive systems, current methods for doing so in practice are
computationally unfeasible. Existing and novel integration measures are
investigated and classified by various desirable properties. A simple taxonomy of
Phi-measures is presented where they are each characterized by their choice of
factorization method (5 options), choice of probability distributions to compare
(3 * 4 options) and choice of measure for comparing probability distributions (7
options). When requiring the Phi-measures to satisfy a minimum of attractive
properties, these hundreds of options reduce to a mere handful, some of which
turn out to be identical. Useful exact and approximate formulas are derived that
can be applied to real-world data from laboratory experiments without posing
unreasonable computational demands.
PMID- 27870847
TI - Creating High-Resolution Multiscale Maps of Human Tissue Using Multi-beam SEM.
AB - Multi-beam scanning electron microscopy (mSEM) enables high-throughput, nano
resolution imaging of macroscopic tissue samples, providing an unprecedented
means for structure-function characterization of biological tissues and their
cellular inhabitants, seamlessly across multiple length scales. Here we describe
computational methods to reconstruct and navigate a multitude of high-resolution
mSEM images of the human hip. We calculated cross-correlation shift vectors
between overlapping images and used a mass-spring-damper model for optimal global
registration. We utilized the Google Maps API to create an interactive map and
provide open access to our reconstructed mSEM datasets to both the public and
scientific communities via our website www.mechbio.org. The nano- to macro-scale
map reveals the tissue's biological and material constituents. Living inhabitants
of the hip bone (e.g. osteocytes) are visible in their local extracellular matrix
milieu (comprising collagen and mineral) and embedded in bone's structural tissue
architecture, i.e. the osteonal structures in which layers of mineralized tissue
are organized in lamellae around a central blood vessel. Multi-beam SEM and our
presented methodology enable an unprecedented, comprehensive understanding of
health and disease from the molecular to organ length scale.
PMID- 27870848
TI - Genetically Blocking the Zebrafish Pineal Clock Affects Circadian Behavior.
AB - The master circadian clock in fish has been considered to reside in the pineal
gland. This dogma is challenged, however, by the finding that most zebrafish
tissues contain molecular clocks that are directly reset by light. To further
examine the role of the pineal gland oscillator in the zebrafish circadian
system, we generated a transgenic line in which the molecular clock is
selectively blocked in the melatonin-producing cells of the pineal gland by a
dominant-negative strategy. As a result, clock-controlled rhythms of melatonin
production in the adult pineal gland were disrupted. Moreover, transcriptome
analysis revealed that the circadian expression pattern of the majority of clock
controlled genes in the adult pineal gland is abolished. Importantly, circadian
rhythms of behavior in zebrafish larvae were affected: rhythms of place
preference under constant darkness were eliminated, and rhythms of locomotor
activity under constant dark and constant dim light conditions were markedly
attenuated. On the other hand, global peripheral molecular oscillators, as
measured in whole larvae, were unaffected in this model. In conclusion,
characterization of this novel transgenic model provides evidence that the
molecular clock in the melatonin-producing cells of the pineal gland plays a key
role, possibly as part of a multiple pacemaker system, in modulating circadian
rhythms of behavior.
PMID- 27870849
TI - Phosphorylation of CBP20 Links MicroRNA to Root Growth in the Ethylene Response.
AB - Ethylene is one of the most important hormones for plant developmental processes
and stress responses. However, the phosphorylation regulation in the ethylene
signaling pathway is largely unknown. Here we report the phosphorylation of cap
binding protein 20 (CBP20) at Ser245 is regulated by ethylene, and the
phosphorylation is involved in root growth. The constitutive phosphorylation
mimic form of CBP20 (CBP20S245E or CBP20S245D), while not the constitutive de
phosphorylation form of CBP20 (CBP20S245A) is able to rescue the root ethylene
responsive phenotype of cbp20. By genome wide study with ethylene regulated gene
expression and microRNA (miRNA) expression in the roots and shoots of both Col-0
and cbp20, we found miR319b is up regulated in roots while not in shoots, and its
target MYB33 is specifically down regulated in roots with ethylene treatment. We
described both the phenotypic and molecular consequences of transgenic over
expression of miR319b. Increased levels of miR319b (miR319bOE) leads to enhanced
ethylene responsive root phenotype and reduction of MYB33 transcription level in
roots; over expression of MYB33, which carrying mutated miR319b target site
(mMYB33) in miR319bOE is able to recover both the root phenotype and the
expression level of MYB33. Taken together, we proposed that ethylene regulated
phosphorylation of CBP20 is involved in the root growth and one pathway is
through the regulation of miR319b and its target MYB33 in roots.
PMID- 27870850
TI - A Biophysical Systems Approach to Identifying the Pathways of Acute and Chronic
Doxorubicin Mitochondrial Cardiotoxicity.
AB - The clinical use of the anthracycline doxorubicin is limited by its
cardiotoxicity which is associated with mitochondrial dysfunction. Redox cycling,
mitochondrial DNA damage and electron transport chain inhibition have been
identified as potential mechanisms of toxicity. However, the relative roles of
each of these proposed mechanisms are still not fully understood. The purpose of
this study is to identify which of these pathways independently or in combination
are responsible for doxorubicin toxicity. A state of the art mathematical model
of the mitochondria including the citric acid cycle, electron transport chain and
ROS production and scavenging systems was extended by incorporating a novel
representation for mitochondrial DNA damage and repair. In silico experiments
were performed to quantify the contributions of each of the toxicity mechanisms
to mitochondrial dysfunction during the acute and chronic stages of toxicity.
Simulations predict that redox cycling has a minor role in doxorubicin
cardiotoxicity. Electron transport chain inhibition is the main pathway for acute
toxicity for supratherapeutic doses, being lethal at mitochondrial concentrations
higher than 200MUM. Direct mitochondrial DNA damage is the principal pathway of
chronic cardiotoxicity for therapeutic doses, leading to a progressive and
irreversible long term mitochondrial dysfunction.
PMID- 27870852
TI - Order Under Uncertainty: Robust Differential Expression Analysis Using
Probabilistic Models for Pseudotime Inference.
AB - Single cell gene expression profiling can be used to quantify transcriptional
dynamics in temporal processes, such as cell differentiation, using computational
methods to label each cell with a 'pseudotime' where true time series
experimentation is too difficult to perform. However, owing to the high
variability in gene expression between individual cells, there is an inherent
uncertainty in the precise temporal ordering of the cells. Pre-existing methods
for pseudotime estimation have predominantly given point estimates precluding a
rigorous analysis of the implications of uncertainty. We use probabilistic
modelling techniques to quantify pseudotime uncertainty and propagate this into
downstream differential expression analysis. We demonstrate that reliance on a
point estimate of pseudotime can lead to inflated false discovery rates and that
probabilistic approaches provide greater robustness and measures of the temporal
resolution that can be obtained from pseudotime inference.
PMID- 27870851
TI - A Normalization Framework for Emotional Attention.
AB - The normalization model of attention proposes that attention can affect
performance by response- or contrast-gain changes, depending on the size of the
stimulus and attention field. Here, we manipulated the attention field by
emotional valence, negative faces versus positive faces, while holding stimulus
size constant in a spatial cueing task. We observed changes in the cueing effect
consonant with changes in response gain for negative faces and contrast gain for
positive faces. Neuroimaging experiments confirmed that subjects' attention
fields were narrowed for negative faces and broadened for positive faces.
Importantly, across subjects, the self-reported emotional strength of negative
faces and positive faces correlated, respectively, both with response- and
contrast-gain changes and with primary visual cortex (V1) narrowed and broadened
attention fields. Effective connectivity analysis showed that the emotional
valence-dependent attention field was closely associated with feedback from the
dorsolateral prefrontal cortex (DLPFC) to V1. These findings indicate a crucial
involvement of DLPFC in the normalization processes of emotional attention.
PMID- 27870854
TI - Quantity and/or Quality? The Importance of Publishing Many Papers.
AB - Do highly productive researchers have significantly higher probability to produce
top cited papers? Or do high productive researchers mainly produce a sea of
irrelevant papers-in other words do we find a diminishing marginal result from
productivity? The answer on these questions is important, as it may help to
answer the question of whether the increased competition and increased use of
indicators for research evaluation and accountability focus has perverse effects
or not. We use a Swedish author disambiguated dataset consisting of 48.000
researchers and their WoS-publications during the period of 2008-2011 with
citations until 2014 to investigate the relation between productivity and
production of highly cited papers. As the analysis shows, quantity does make a
difference.
PMID- 27870853
TI - Intron Lariat RNA Inhibits MicroRNA Biogenesis by Sequestering the Dicing Complex
in Arabidopsis.
AB - Lariat RNAs formed as by-products of splicing are quickly degraded by the RNA
debranching enzyme 1 (DBR1), leading to their turnover. Null dbr1 mutants in both
animals and plants are embryo lethal, but the mechanism underlying the lethality
remains unclear. Here we characterized a weak mutant allele of DBR1 in
Arabidopsis, dbr1-2, and showed that a global increase in lariat RNAs was
unexpectedly accompanied by a genome-wide reduction in miRNA accumulation. The
dbr1-2 mutation had no effects on expression of miRNA biogenesis genes or primary
miRNAs (pri-miRNAs), but the association of pri-miRNAs with the DCL1/HYL1 dicing
complex was impaired. Lariat RNAs were associated with the DCL1/HYL1 dicing
complex in vivo and competitively inhibited the binding of HYL1 with pri-miRNA.
Consistent with the impacts of lariat RNAs on miRNA biogenesis, over-expression
of lariat RNAs reduced miRNA accumulation. Lariat RNAs localized in nuclear
bodies, and partially co-localize with HYL1, and both DCL1 and HYL1 were mis
localized in dbr1-2. Together with our findings that nearly four hundred lariat
RNAs exist in wild type plants and that these lariat RNAs also associate with the
DCL1/HYL1 dicing complex in vivo, we thus propose that lariat RNAs, as decoys,
inhibit miRNA processing, suggesting a hitherto unknown layer of regulation in
miRNA biogenesis.
PMID- 27870855
TI - Host-Parasite Interactions and Population Dynamics of Rock Ptarmigan.
AB - Populations of rock ptarmigan (Lagopus muta) in Iceland fluctuate in multiannual
cycles with peak numbers c. every 10 years. We studied the ptarmigan-parasite
community and how parasites relate to ptarmigan age, body condition, and
population density. We collected 632 ptarmigan in northeast Iceland in early
October from 2006 to 2012; 630 (99.7%) were infected with at least one parasite
species, 616 (98%) with ectoparasites, and 536 (85%) with endoparasites. We
analysed indices for the combined parasite community (16 species) and known
pathogenic parasites, two coccidian protozoans Eimeria muta and Eimeria rjupa,
two nematodes Capillaria caudinflata and Trichostrongylus tenuis, one chewing
louse Amyrsidea lagopi, and one skin mite Metamicrolichus islandicus. Juveniles
overall had more ectoparasites than adults, but endoparasite levels were similar
in both groups. Ptarmigan population density was associated with endoparasites,
and in particular prevalence of the coccidian parasite Eimeria muta. Annual
aggregation level of this eimerid fluctuated inversely with prevalence, with lows
at prevalence peak and vice versa. Both prevalence and aggregation of E. muta
tracked ptarmigan population density with a 1.5 year time lag. The time lag could
be explained by the host specificity of this eimerid, host density dependent
shedding of oocysts, and their persistence in the environment from one year to
the next. Ptarmigan body condition was negatively associated with E. muta
prevalence, an indication of their pathogenicity, and this eimerid was also
positively associated with ptarmigan mortality and marginally inversely with
fecundity. There were also significant associations between fecundity and chewing
louse Amyrsidea lagopi prevalence (negative), excess juvenile mortality and
nematode Capillaria caudinflata prevalence (positive), and adult mortality and
skin mite Metamicrolichus islandicus prevalence (negative). Though this study is
correlational, it provides strong evidence that E. muta through time-lag in
prevalence with respect to host population size and by showing significant
relations with host body condition, mortality, and fecundity could destabilize
ptarmigan population dynamics in Iceland.
PMID- 27870857
TI - Life-History Traits of Macrolophus pygmaeus with Different Prey Foods.
AB - Macrolophus pygmaeus Rambur (Hemiptera: Miridae) is a generalist predatory mirid
widely used in augmentative biological control of various insect pests in
greenhouse tomato production in Europe, including the invasive tomato leafminer,
Tuta absoluta (Meyrick) (Lepidoptera, Gelechiidae). However, its biocontrol
efficacy often relies on the presence of alternative prey. The present study
aimed at evaluating the effect of various prey foods (Ephestia kuehniella eggs,
Bemisia tabaci nymphs, Tuta absoluta eggs and Macrosiphum euphorbiae nymphs) on
some life history traits of M. pygmaeus. Both nymphal development and adult
fertility of M. pygmaeus were significantly affected by prey food type, but not
survival. Duration of nymphal stage was higher when M. pygmaeus fed on T.
absoluta eggs compared to the other prey. Mean fertility of M. pygmaeus females
was greatest when fed with B. tabaci nymphs, and was greater when offered M.
euphorbiae aphids and E. kuehniella eggs than when offered T. absoluta eggs.
Given the low quality of T. absoluta eggs, the efficacy of M. pygmaeus to control
T. absoluta may be limited in the absence of other food sources. Experiments for
assessing effectiveness of generalist predators should involve the possible
impact of prey preference as well as a possible prey switching.
PMID- 27870856
TI - Dietary Intake Influences Adult Fertility and Offspring Fitness in Zebrafish.
AB - The burden of malnutrition, including both over- and undernutrition, is a major
public health concern. Here we used a zebrafish model of diet-induced obesity to
analyze the impact of dietary intake on fertility and the phenotype of the next
generation. Over an eight-week period, one group received 60 mg of food each day
(60 mg arm), while another received 5 mg (5 mg arm). At the end of the diet, the
body mass index of the 60 mg arm was 1.5 fold greater than the 5 mg arm. The
intervention also had a marked impact on fertility; breeding success and egg
production in the 60 mg arm were increased 2.1- and 6.2-fold compared to the 5 mg
arm, respectively. Transcriptome analysis of eggs revealed that transcripts
involved in metabolic biological processes differed according to dietary intake.
The progeny from the differentially fed fish were more likely to survive when the
parents had access to more food. An intergenerational crossover study revealed
that while parental diet did not influence weight gain in the offspring, the
progeny of well-fed parents had increased levels of physical activity when
exposed again to high nutrient availability. We conclude that dietary intake has
an important influence on fertility and the subsequent fitness of offspring, even
prior to breeding.
PMID- 27870858
TI - First Report of the Occurrence of Trichinella-Specific Antibodies in Domestic
Pigs in Central and Eastern Uganda.
AB - Previous research on trichinellosis in Africa focused on isolating Trichinella
from wildlife while the role of domestic pigs has remained highly under
researched. Pig keeping in Uganda is historically recent, and evidence on
zoonotic pig diseases, including infection with Trichinella species, is scarce. A
cross-sectional survey on Trichinella seroprevalence in pigs was conducted in
three districts in Central and Eastern Uganda from April 2013 to January 2015.
Serum from a random sample of 1125 pigs from 22 villages in Eastern and Central
Uganda was examined to detect immunoglobulin G (IgG) against any Trichinella spp.
using a commercially available ELISA based on excretory-secretory antigen. ELISA
positive samples were confirmed using Western Blot based on somatic antigen of
Trichinella spiralis as recommended in previous validation studies. Diaphragm
pillar muscle samples (at least 5 g each) of 499 pigs from areas with high ELISA
positivity were examined using the artificial digestion method. Overall, 78 of
all 1125 animals (6.9%, 95% CI: 5.6-8.6%) tested positive for antibodies against
Trichinella spp. in the ELISA at significantly higher levels in Kamuli district
compared to Masaka and Mukono districts. Thirty-one percent of the ELISA positive
samples were confirmed IgG positive by the Western Blot leading to an overall
seroprevalence of 2.1% (95% CI: 1.4-3.2%). The large proportion of ELISA positive
samples that could not be confirmed using Western blot may be the result of cross
reactivity with other gastrointestinal helminth infections or unknown host
specific immune response mechanisms in local pig breeds in Uganda. Attempts to
isolate muscle larvae for species determination using the artificial digestion
method were unsuccessful. Due to the large number of muscle samples examined we
are confident that even if pigs are infected, the larval burden in pork is too
low to pose a major risk to consumers of developing trichinellosis. This was the
first large systematic field investigation of Trichinella infection in domestic
pigs in Uganda and its results imply that further studies are needed to identify
the Trichinella species involved, and to identify potential sources of infection
for humans.
PMID- 27870859
TI - Plant Distribution Data Show Broader Climatic Limits than Expert-Based Climatic
Tolerance Estimates.
AB - BACKGROUND: Although increasingly sophisticated environmental measures are being
applied to species distributions models, the focus remains on using climatic data
to provide estimates of habitat suitability. Climatic tolerance estimates based
on expert knowledge are available for a wide range of plants via the USDA PLANTS
database. We aim to test how climatic tolerance inferred from plant distribution
records relates to tolerance estimated by experts. Further, we use this
information to identify circumstances when species distributions are more likely
to approximate climatic tolerance. METHODS: We compiled expert knowledge
estimates of minimum and maximum precipitation and minimum temperature tolerance
for over 1800 conservation plant species from the 'plant characteristics'
information in the USDA PLANTS database. We derived climatic tolerance from
distribution data downloaded from the Global Biodiversity and Information
Facility (GBIF) and corresponding climate from WorldClim. We compared expert
derived climatic tolerance to empirical estimates to find the difference between
their inferred climate niches (DeltaCN), and tested whether DeltaCN was
influenced by growth form or range size. RESULTS: Climate niches calculated from
distribution data were significantly broader than expert-based tolerance
estimates (Mann-Whitney p values << 0.001). The average plant could tolerate 24
mm lower minimum precipitation, 14 mm higher maximum precipitation, and 7 degrees
C lower minimum temperatures based on distribution data relative to expert-based
tolerance estimates. Species with larger ranges had greater DeltaCN for minimum
precipitation and minimum temperature. For maximum precipitation and minimum
temperature, forbs and grasses tended to have larger DeltaCN while grasses and
trees had larger DeltaCN for minimum precipitation. CONCLUSION: Our results show
that distribution data are consistently broader than USDA PLANTS experts'
knowledge and likely provide more robust estimates of climatic tolerance,
especially for widespread forbs and grasses. These findings suggest that widely
available expert-based climatic tolerance estimates underrepresent species'
fundamental niche and likely fail to capture the realized niche.
PMID- 27870860
TI - Gene Expression Profile of High IFN-gamma Producers Stimulated with Leishmania
braziliensis Identifies Genes Associated with Cutaneous Leishmaniasis.
AB - BACKGROUND: The initial response to Leishmania parasites is essential in
determining disease development or resistance. In vitro, a divergent response to
Leishmania, characterized by high or low IFN-gamma production has been described
as a potential tool to predict both vaccine response and disease susceptibility
in vivo. METHODS AND FINDINGS: We identified uninfected and healthy individuals
that were shown to be either high- or low IFN-gamma producers (HPs and LPs,
respectively) following stimulation of peripheral blood cells with Leishmania
braziliensis. Following stimulation, RNA was processed for gene expression
analysis using immune gene arrays. Both HPs and LPs were shown to upregulate the
expression of CXCL10, IFI27, IL6 and LTA. Genes expressed in HPs only (CCL7, IL8,
IFI44L and IL1B) were associated with pathways related to IL17 and TREM 1
signaling. In LPs, uniquely expressed genes (for example IL9, IFI44, IFIT1 and
IL2RA) were associated with pathways related to pattern recognition receptors and
interferon signaling. We then investigated whether the unique gene expression
profiles described here could be recapitulated in vivo, in individuals with
active Cutaneous Leishmaniasis or with subclinical infection. Indeed, using a set
of six genes (TLR2, JAK2, IFI27, IFIT1, IRF1 and IL6) modulated in HPs and LPs,
we could successfully discriminate these two clinical groups. Finally, we
demonstrate that these six genes are significantly overexpressed in CL lesions.
CONCLUSION: Upon interrogation of the peripheral response of naive individuals
with diverging IFN-gamma production to L. braziliensis, we identified differences
in the innate response to the parasite that are recapitulated in vivo and that
discriminate CL patients from individuals presenting a subclinical infection.
PMID- 27870861
TI - Synergistic Inhibition of Protein Fibrillation by Proline and Sorbitol:
Biophysical Investigations.
AB - We report here interesting synergistic effects of proline and sorbitol, two well
known chemical chaperones, in the inhibition of fibrillation of two proteins,
insulin and lysozyme. A combination of many biophysical techniques has been used
to understand the structural morphology and modes of interaction of the
chaperones with the proteins during fibrillation. Both the chaperones establish
stronger polar interactions in the elongation and saturation stages of
fibrillation compared to that in the native stage. However, when presented as a
mixture, we also see contribution of hydrophobic interactions. Thus, a co
operative adjustment of polar and hydrophobic interactions between the chaperones
and the protein surface seems to drive the synergistic effects in the
fibrillation process. In insulin, this synergy is quantitatively similar in all
the stages of the fibrillation process. These observations would have significant
implications for understanding protein folding concepts, in general, and for
designing combination therapies against protein fibrillation, in particular.
PMID- 27870862
TI - Problematic Peer Functioning in Girls with ADHD: A Systematic Literature Review.
AB - OBJECTIVE: Children with attention deficit hyperactivity disorder (ADHD)
experience many peer interaction problems and are at risk of peer rejection and
victimisation. Although many studies have investigated problematic peer
functioning in children with ADHD, this research has predominantly focused on
boys and studies investigating girls are scant. Those studies that did examine
girls, often used a male comparison sample, disregarding the inherent gender
differences between girls and boys. Previous studies have highlighted this
limitation and recommended the need for comparisons between ADHD females and
typical females, in order to elucidate the picture of female ADHD with regards to
problematic peer functioning. The aim of this literature review was to gain
insight into peer functioning difficulties in school-aged girls with ADHD.
METHODS: PsychINFO, PubMed, and Web of Knowledge were searched for relevant
literature comparing school-aged girls with ADHD to typically developing girls
(TDs) in relation to peer functioning. The peer relationship domains were grouped
into 'friendship', 'peer status', 'social skills/competence', and 'peer
victimisation and bullying'. In total, thirteen studies were included in the
review. RESULTS: All of the thirteen studies included reported that girls with
ADHD, compared to TD girls, demonstrated increased difficulties in the domains of
friendship, peer interaction, social skills and functioning, peer victimization
and externalising behaviour. Studies consistently showed small to medium effects
for lower rates of friendship participation and stability in girls with ADHD
relative to TD girls. Higher levels of peer rejection with small to large effect
sizes were reported in all studies, which were predicted by girls' conduct
problems. Peer rejection in turn predicted poor social adjustment and a host of
problem behaviours. Very high levels of peer victimisation were present in girls
with ADHD with large effect sizes. Further, very high levels of social impairment
and social skills deficits, with large effect sizes, were found across all
studies. Levels of pro-social behaviour varied across studies, but were mostly
lower in girls with ADHD, with small to large effect sizes. Overall, social
disability was significantly higher among girls with ADHD than among TD girls.
CONCLUSION: Congruous evidence was found for peer functioning difficulties in the
peer relationship domains of friendship, peer status, social skills/competence,
and peer victimisation and bullying in girls with ADHD.
PMID- 27870865
TI - Land Use, Yield and Quality Changes of Minor Field Crops: Is There Superseded
Potential to Be Reinvented in Northern Europe?
AB - Diversification of agriculture was one of the strengthened aims of the greening
payment of European Agricultural Policy (CAP) as diversification provides
numerous ecosystems services compared to cereal-intensive crop rotations. This
study focuses on current minor crops in Finland that have potential for expanded
production and considers changes in their cropping areas, yield trends, breeding
gains, roles in crop rotations and potential for improving resilience. Long-term
datasets of Natural Resources Institute Finland and farmers' land use data from
the Agency of Rural Affairs were used to analyze the above-mentioned trends and
changes. The role of minor crops in rotations declined when early and late CAP
periods were compared and that of cereal monocultures strengthened. Genetic yield
potentials of minor crops have increased as also genetic improvements in quality
traits, although some typical trade-offs with improved yields have also appeared.
However, the gap between potential and attained yields has expanded, depending on
the minor crop, as national yield trends have either stagnated or declined. When
comparing genetic improvements of minor crops to those of the emerging major
crop, spring wheat, breeding achievements in minor crops were lower. It was
evident that the current agricultural policies in the prevailing market and the
price environment have not encouraged cultivation of minor crops but further
strengthened the role of cereal monocultures. We suggest optimization of
agricultural land use, which is a core element of sustainable intensification, as
a future means to couple long-term environmental sustainability with better
success in economic profitability and social acceptability. This calls for
development of effective policy instruments to support farmer's diversification
actions.
PMID- 27870864
TI - Flood-Induced Changes in Soil Microbial Functions as Modified by Plant Diversity.
AB - Flooding frequency is predicted to increase during the next decades, calling for
a better understanding of impacts on terrestrial ecosystems and for developing
strategies to mitigate potential damage. Plant diversity is expected to buffer
flooding effects by providing a broad range of species' responses. Here we report
on the response of soil processes to a severe summer flood in 2013, which
affected major parts of central Europe. We compared soil microbial respiration,
biomass, nutrient limitation and enzyme activity in a grassland biodiversity
experiment in Germany before flooding, one week and three months after the flood.
Microbial biomass was reduced in the severely flooded plots at high, but not at
low plant functional group richness. Flooding alleviated microbial nitrogen
limitation, presumably due the input of nutrient-rich sediments. Further, the
activity of soil enzymes including 1,4-beta-N-acetylglucosaminidase, phenol
oxidase and peroxidase increased with flooding severity, suggesting increased
chitin and lignin degradation as a consequence of the input of detritus in
sediments. Flooding effects were enhanced at higher plant diversity, indicating
that plant diversity temporarily reduces stability of soil processes during
flooding. The long-term impacts, however, remain unknown and deserve further
investigation.
PMID- 27870863
TI - Characteristics of Aspergillus fumigatus in Association with Stenotrophomonas
maltophilia in an In Vitro Model of Mixed Biofilm.
AB - BACKGROUND: Biofilms are communal structures of microorganisms that have long
been associated with a variety of persistent infections poorly responding to
conventional antibiotic or antifungal therapy. Aspergillus fumigatus fungus and
Stenotrophomonas maltophilia bacteria are examples of the microorganisms that can
coexist to form a biofilm especially in the respiratory tract of
immunocompromised patients or cystic fibrosis patients. The aim of the present
study was to develop and assess an in vitro model of a mixed biofilm associating
S. maltophilia and A. fumigatus by using analytical and quantitative approaches.
MATERIALS AND METHODS: An A. fumigatus strain (ATCC 13073) expressing a Green
Fluorescent Protein (GFP) and an S. maltophilia strain (ATCC 13637) were used.
Fungal and bacterial inocula (105 conidia/mL and 106 cells/mL, respectively) were
simultaneously deposited to initiate the development of an in vitro mixed biofilm
on polystyrene supports at 37 degrees C for 24 h. The structure of the biofilm
was analysed via qualitative microscopic techniques like scanning electron and
transmission electron microscopy, and fluorescence microscopy, and by
quantitative techniques including qPCR and crystal violet staining. RESULTS:
Analytic methods revealed typical structures of biofilm with production of an
extracellular matrix (ECM) enclosing fungal hyphae and bacteria. Quantitative
methods showed a decrease of A. fumigatus growth and ECM production in the mixed
biofilm with antibiosis effect of the bacteria on the fungi seen as abortive
hyphae, limited hyphal growth, fewer conidia, and thicker fungal cell walls.
CONCLUSION: For the first time, a mixed A. fumigatus-S. maltophilia biofilm was
validated by various analytical and quantitative approaches and the bacterial
antibiosis effect on the fungus was demonstrated. The mixed biofilm model is an
interesting experimentation field to evaluate efficiency of antimicrobial agents
and to analyse the interactions between the biofilm and the airways epithelium.
PMID- 27870866
TI - Investigating the Predictive Value of Functional MRI to Appetitive and Aversive
Stimuli: A Pattern Classification Approach.
AB - BACKGROUND: Dysfunctional neural responses to appetitive and aversive stimuli
have been investigated as possible biomarkers for psychiatric disorders. However
it is not clear to what degree these are separate processes across the brain or
in fact overlapping systems. To help clarify this issue we used Gaussian process
classifier (GPC) analysis to examine appetitive and aversive processing in the
brain. METHOD: 25 healthy controls underwent functional MRI whilst seeing
pictures and receiving tastes of pleasant and unpleasant food. We applied GPCs to
discriminate between the appetitive and aversive sights and tastes using
functional activity patterns. RESULTS: The diagnostic accuracy of the GPC for the
accuracy to discriminate appetitive taste from neutral condition was 86.5%
(specificity = 81%, sensitivity = 92%, p = 0.001). If a participant experienced
neutral taste stimuli the probability of correct classification was 92. The
accuracy to discriminate aversive from neutral taste stimuli was 82.5%
(specificity = 73%, sensitivity = 92%, p = 0.001) and appetitive from aversive
taste stimuli was 73% (specificity = 77%, sensitivity = 69%, p = 0.001). In the
sight modality, the accuracy to discriminate appetitive from neutral condition
was 88.5% (specificity = 85%, sensitivity = 92%, p = 0.001), to discriminate
aversive from neutral sight stimuli was 92% (specificity = 92%, sensitivity =
92%, p = 0.001), and to discriminate aversive from appetitive sight stimuli was
63.5% (specificity = 73%, sensitivity = 54%, p = 0.009). CONCLUSIONS: Our results
demonstrate the predictive value of neurofunctional data in discriminating
emotional and neutral networks of activity in the healthy human brain. It would
be of interest to use pattern recognition techniques and fMRI to examine network
dysfunction in the processing of appetitive, aversive and neutral stimuli in
psychiatric disorders. Especially where problems with reward and punishment
processing have been implicated in the pathophysiology of the disorder.
PMID- 27870867
TI - Preserving Posterior Complex Can Prevent Adjacent Segment Disease following
Posterior Lumbar Interbody Fusion Surgeries: A Finite Element Analysis.
AB - OBJECTIVE: To investigate the biomechanical effects of the lumbar posterior
complex on the adjacent segments after posterior lumbar interbody fusion (PLIF)
surgeries. METHODS: A finite element model of the L1-S1 segment was modified to
simulate PLIF with total laminectomy (PLIF-LAM) and PLIF with hemilaminectomy
(PLIF-HEMI) procedures. The models were subjected to a 400N follower load with a
7.5-N.m moment of flexion, extension, torsion, and lateral bending. The range of
motion (ROM), intradiscal pressure (IDP), and ligament force were compared.
RESULTS: In Flexion, the ROM, IDP and ligament force of posterior longitudinal
ligament, intertransverse ligament, and capsular ligament remarkably increased at
the proximal adjacent segment in the PLIF-LAM model, and slightly increased in
the PLIF-HEMI model. There was almost no difference for the ROM, IDP and ligament
force at L5-S1 level between the two PLIF models although the ligament forces of
ligamenta flava remarkably increased compared with the intact lumbar spine (INT)
model. For the other loading conditions, these two models almost showed no
difference in ROM, IDP and ligament force on the adjacent discs. CONCLUSIONS:
Preserved posterior complex acts as the posterior tension band during PLIF
surgery and results in less ROM, IDP and ligament forces on the proximal adjacent
segment in flexion. Preserving the posterior complex during decompression can be
effective on preventing adjacent segment degeneration (ASD) following PLIF
surgeries.
PMID- 27870868
TI - Exploring Canadian Echinoderm Diversity through DNA Barcodes.
AB - DNA barcoding has proven an effective tool for species identification in varied
groups of marine invertebrates including crustaceans, molluscs, polychaetes and
echinoderms. In this study, we further validate its utility by analyzing almost
half of the 300 species of Echinodermata known from Canadian waters. COI
sequences from 999 specimens were assigned to 145 BINs. In most cases, species
discrimination was straightforward due to the large difference (25-fold) between
mean intra- (0.48%) and inter- (12.0%) specific divergence. Six species were
flagged for further taxonomic investigation because specimens assigned to them
fell into two or three discrete sequence clusters. The potential influence of
larval dispersal capacity and glacial events on patterns of genetic diversity is
discussed for 19 trans-oceanic species. Although additional research is needed to
clarify biogeographic patterns and resolve taxonomic questions, this study
represents an important step in the assembly of a DNA barcode library for all
Canadian echinoderms, a valuable resource for future biosurveillance programs.
PMID- 27870869
TI - Glucose Starvation Alters Heat Shock Response, Leading to Death of Wild Type
Cells and Survival of MAP Kinase Signaling Mutant.
AB - A moderate heat shock induces Neurospora crassa to synthesize large quantities of
heat shock proteins that are protective against higher, otherwise lethal
temperatures. However, wild type cells do not survive when carbohydrate
deprivation is added to heat shock. In contrast, a mutant strain defective in a
stress-activated protein kinase does survive the combined stresses. In order to
understand the basis for this difference in survival, we have determined the
relative levels of detected proteins in the mutant and wild type strain during
dual stress, and we have identified gene transcripts in both strains whose
quantities change in response to heat shock or dual stress. These data and
supportive experimental evidence point to reasons for survival of the mutant
strain. By using alternative respiratory mechanisms, these cells experience less
of the oxidative stress that proves damaging to wild type cells. Of central
importance, mutant cells recycle limited resources during dual stress by
undergoing autophagy, a process that we find utilized by both wild type and
mutant cells during heat shock. Evidence points to inappropriate activation of
TORC1, the central metabolic regulator, in wild type cells during dual stress,
based upon behavior of an additional signaling mutant and inhibitor studies.
PMID- 27870870
TI - Epidemiologic Correlates of Mortality among Symptomatic Visceral Leishmaniasis
Cases: Findings from Situation Assessment in High Endemic Foci in India.
AB - BACKGROUND: Visceral leishmaniasis (VL) is highly prevalent in the Indian state
of Bihar and, without proper diagnosis and treatment, is associated with high
fatality. However, lack of efficient reporting mechanism had been an impediment
in estimating the burden of mortality and its antecedents among symptomatic VL
cases. The objectives of the current study were to generate a reliable estimate
of symptomatic VL caseload and mortality in Bihar, as well as to identify the
epidemiologic and health infrastructure-related predictors of VL mortality.
METHODOLOGY AND PRINCIPAL FINDINGS: Using an elaborate index case tracing method,
we attempted to locate all symptomatic VL patients in eight districts of Bihar.
Interviews and medical-record-reviews were conducted with cases (or next-of-kin
for the dead) meeting the eligibility criteria. The information collected during
the interviews included socio-demographic characteristics, onset of disease
symptoms, place of diagnosis, pre- and post-diagnosis treatment history, type and
duration of drugs received. In total, we analyzed data on 4925 VL patients-59%
were male and 68% were less than 30 years old. There were 158 (3.2%) deaths and
the incidence rate of mortality was 3.2/100 person-years. In the adjusted Cox
proportional-hazards analysis, treatment at public facility [Adjusted Hazard
Ratio (AHR) = 0.61; 95% CI = 0.43-0.86], shorter (<=30 days) diagnostic delay
[AHR = 0.62, 95% CI = 0.43-0.92], and treatment completion [AHR = 0.03, 95% CI =
0.02-0.05] emerged as significant negative predictors of mortality. CONCLUSION:
Mortality reduction efforts in Bihar should focus on improving access to early
diagnosis, quality treatment and treatment-adherence measures, with special
emphasis on marginalized communities.
PMID- 27870871
TI - Functional Genomic Analysis of Candida albicans Adherence Reveals a Key Role for
the Arp2/3 Complex in Cell Wall Remodelling and Biofilm Formation.
AB - Fungal biofilms are complex, structured communities that can form on surfaces
such as catheters and other indwelling medical devices. Biofilms are of
particular concern with Candida albicans, one of the leading opportunistic fungal
pathogens of humans. C. albicans biofilms include yeast and filamentous cells
that are surrounded by an extracellular matrix, and they are intrinsically
resistant to antifungal drugs such that resolving biofilm infections often
requires surgery to remove the contaminated device. C. albicans biofilms form
through a regulated process of adhesion to surfaces, filamentation, maturation,
and ultimately dispersion. To uncover new strategies to block the initial stages
of biofilm formation, we utilized a functional genomic approach to identify genes
that modulate C. albicans adherence. We screened a library of 1,481 double
barcoded doxycycline-repressible conditional gene expression strains covering
~25% of the C. albicans genome. We identified five genes for which
transcriptional repression impaired adherence, including: ARC18, PMT1, MNN9,
SPT7, and orf19.831. The most severe adherence defect was observed upon
transcriptional repression of ARC18, which encodes a member of the Arp2/3 complex
that is involved in regulation of the actin cytoskeleton and endocytosis.
Depletion of components of the Arp2/3 complex not only impaired adherence, but
also caused reduced biofilm formation, increased cell surface hydrophobicity, and
increased exposure of cell wall chitin and beta-glucans. Reduced function of the
Arp2/3 complex led to impaired cell wall integrity and activation of Rho1
mediated cell wall stress responses, thereby causing cell wall remodelling and
reduced adherence. Thus, we identify important functional relationships between
cell wall stress responses and a novel mechanism that controls adherence and
biofilm formation, thereby illuminating novel strategies to cripple a leading
fungal pathogen of humans.
PMID- 27870872
TI - Aberrant IgA1 Glycosylation in IgA Nephropathy: A Systematic Review.
AB - OBJECTIVE: Galactose-deficient IgA1 was evaluated in patients with IgA
nephropathy(IgAN) and controls in order to determine the predictive value of
galactose-deficient IgA1 in cases of IgA nephropathy. METHODS: PubMed, EMBASE,
Cochrane central register of controlled trials, CNKI, CBM disc, and VIP database
were searched to identify eligible studies that evaluated a difference in
aberrant IgA1 glycosylation in IgAN patients compared with controls. A meta
analysis was conducted to evaluate the impact of galactose-deficient IgA1(Gd
IgA1) levels in different groups. RESULTS: A total of 22 studies (n = 1657) met
inclusion criteria. The mean Newcastle-Ottawa Scale (NOS) score was 7.2 and
ranged from 6 to 8. The standard mean difference(SMD) in the meta-analysis of 20
studies of the level of Gd-IgA1 in the serum and/or supernatant of cultured cells
was higher in the IgAN group compared with healthy controls as well as in those
with other renal diseases (SMD = 1.76, 95% CI = 1.18-2.34, P<0.00001; SMD = 1.05,
95% CI = 0.05-2.04, P = 0.04). The data synthesis suggested that IgAN patients
had similar levels of serum Gd-IgA1, with no significant differences, compared
with first-degree relatives and Henoch-Schonlein purpura nephritis (HSPN)
patients (MD = 0.04, 95% CI = 0.00-0.08, P = 0.05; MD = -46.03, 95% CI = -217.70
125.64, P = 0.60). In addition, the combined MD of 5 studies indicated that there
were no significant differences in Gd-IgA1 levels among patients with varying
severities of IgAN (MD = 0.02, 95% CI = -0.02-0.05, P = 0.28). CONCLUSIONS: The
pooled evidence suggests that the level of Gd-IgA1 in the serum or supernatant of
cultured cells from peripheral blood or tonsils may be a useful biomarker for
predicting IgA nephropathy, though the level of Gd-IgA1 was not significantly
associated with disease severity.
PMID- 27870873
TI - Herbivore-Induced DNA Demethylation Changes Floral Signalling and Attractiveness
to Pollinators in Brassica rapa.
AB - Plants have to fine-tune their signals to optimise the trade-off between
herbivore deterrence and pollinator attraction. An important mechanism in
mediating plant-insect interactions is the regulation of gene expression via DNA
methylation. However, the effect of herbivore-induced DNA methylation changes on
pollinator-relevant plant signalling has not been systematically investigated.
Here, we assessed the impact of foliar herbivory on DNA methylation and floral
traits in the model crop plant Brassica rapa. Methylation-sensitive amplified
fragment length polymorphism (MSAP) analysis showed that leaf damage by the
caterpillar Pieris brassicae was associated with genome-wide methylation changes
in both leaves and flowers of B. rapa as well as a downturn in flower number,
morphology and scent. A comparison to plants with jasmonic acid-induced defence
showed similar demethylation patterns in leaves, but both the floral methylome
and phenotype differed significantly from P. brassicae infested plants.
Standardised genome-wide demethylation with 5-azacytidine in five different B.
rapa full-sib groups further resulted in a genotype-specific downturn of floral
morphology and scent, which significantly reduced the attractiveness of the
plants to the pollinator bee Bombus terrestris. These results suggest that DNA
methylation plays an important role in adjusting plant signalling in response to
changing insect communities.
PMID- 27870874
TI - Associations between Season and Gametocyte Dynamics in Chronic Plasmodium
falciparum Infections.
AB - INTRODUCTION: In a markedly seasonal malaria setting, the transition from the
transmission-free dry season to the transmission season depends on the resurgence
of the mosquito population following the start of annual rains. The sudden onset
of malaria outbreaks at the start of the transmission season suggests that
parasites persist during the dry season and respond to either the reappearance of
vectors, or correlated events, by increasing the production of transmission
stages. Here, we investigate whether Plasmodium falciparum gametocyte density and
the correlation between gametocyte density and parasite density show seasonal
variation in chronic (largely asymptomatic) carriers in eastern Sudan. MATERIALS
AND METHODS: We recruited and treated 123 malaria patients in the transmission
season 2001. We then followed them monthly during four distinct consecutive
epidemiological seasons: transmission season 1, transmission-free season, pre
clinical period, and transmission season 2. In samples collected from 25
participants who fulfilled the selection criteria of the current analysis, we
used quantitative PCR (qPCR) and RT-qPCR to quantify parasite and gametocyte
densities, respectively. RESULTS AND DISCUSSION: We observed a significant
increase in gametocyte density and a significantly steeper positive correlation
between gametocyte density and total parasite density during the pre-clinical
period compared to the preceding transmission-free season. However, there was no
corresponding increase in the density or prevalence of total parasites or
gametocyte prevalence. The increase in gametocyte production during the pre
clinical period supports the hypothesis that P. falciparum may respond to
environmental cues, such as mosquito biting, to modulate its transmission
strategy. Thus, seasonal changes may be important to ignite transmission in
unstable-malaria settings.
PMID- 27870875
TI - A Novel Organ Culture Model to Quantify Collagen Remodeling in Tree Shrew Sclera.
AB - Increasing evidence suggests that unknown collagen remodeling mechanisms in the
sclera underlie myopia development. We are proposing a novel organ culture system
in combination with two-photon fluorescence imaging to quantify collagen
remodeling at the tissue- and lamella-level. Tree shrew scleral shells were
cultured up to 7 days in serum-free media and cellular viability was investigated
under: (i) minimal tissue manipulations; (ii) removal of intraocular tissues;
gluing the eye to a washer using (iii) 50 MUL and (iv) 200 MUL of cyanoacrylate
adhesive; (v) supplementing media with Ham's F-12 Nutrient Mixture; and (vi)
culturing eyes subjected to 15 mmHg intraocular pressure in our new bioreactor.
Two scleral shells of normal juvenile tree shrews were fluorescently labeled
using a collagen specific protein and cultured in our bioreactor. Using two
photon microscopy, grid patterns were photobleached into and across multiple
scleral lamellae. These patterns were imaged daily for 3 days, and tissue
/lamella-level strains were calculated from the deformed patterns. No significant
reduction in cell viability was observed under conditions (i) and (v). Compared
to condition (i), cell viability was significantly reduced starting at day 0
(condition (ii)) and day 3 (conditions (iii, iv, vi)). Tissue-level strain and
intralamellar shear angel increased significantly during the culture period. Some
scleral lamellae elongated while others shortened. Findings suggest that tree
shrew sclera can be cultured in serum-free media for 7 days with no significant
reduction in cell viability. Scleral fibroblasts are sensitive to tissue
manipulations and tissue gluing. However, Ham's F-12 Nutrient Mixture has a
protective effect on cell viability and can offset the cytotoxic effect of
cyanoacrylate adhesive. This is the first study to quantify collagen micro
deformations over a prolonged period in organ culture providing a new methodology
to study scleral remodeling in myopia.
PMID- 27870876
TI - Reduced PICD in Monocytes Mounts Altered Neonate Immune Response to Candida
albicans.
AB - BACKGROUND: Invasive fungal infections with Candida albicans (C. albicans) occur
frequently in extremely low birthweight (ELBW) infants and are associated with
poor outcome. Phagocytosis of C.albicans initializes apoptosis in monocytes
(phagocytosis induced cell death, PICD). PICD is reduced in neonatal cord blood
monocytes (CBMO). HYPOTHESIS: Phagocytosis of C. albicans causes PICD which
differs between neonatal monocytes (CBMO) and adult peripheral blood monocytes
(PBMO) due to lower stimulation of TLR-mediated immune responses. METHODS: The
ability to phagocytose C. albicans, expression of TLRs, the induction of
apoptosis (assessment of sub-G1 and nick-strand breaks) were analyzed by FACS.
TLR signalling was induced by agonists such as lipopolysaccharide (LPS), Pam3Cys,
FSL-1 and Zymosan and blocked (neutralizing TLR2 antibodies and MYD88 inhibitor).
RESULTS: Phagocytic indices of PBMO and CBMO were similar. Following stimulation
with agonists and C. albicans induced up-regulation of TLR2 and consecutive
phosphorylation of MAP kinase P38 and expression of TNF-alpha, which were
stronger on PBMO compared to CBMO (p < 0.005). Downstream, TLR2 signalling
initiated caspase-3-dependent PICD which was found reduced in CBMO (p < 0.05 vs
PBMO). CONCLUSION: Our data suggest direct involvement of TLR2-signalling in C.
albicans-induced PICD in monocytes and an alteration of this pathway in CBMO.
PMID- 27870877
TI - Nut Cracking Tools Used by Captive Chimpanzees (Pan troglodytes) and Their
Comparison with Early Stone Age Percussive Artefacts from Olduvai Gorge.
AB - We present the results of a series of experiments at the Kumamoto Sanctuary in
Japan, in which captive chimpanzees (Pan troglodytes) performed several nut
cracking sessions using raw materials from Olduvai Gorge, Tanzania. We examined
captive chimpanzee pounding tools using a combination of technological analysis,
use-wear distribution, and micro-wear analysis. Our results show specific
patterns of use-wear distribution across the active surfaces of pounding tools,
which reveal some similarities with traces on archaeological percussive objects
from the Early Stone Age, and are consistent with traces on other experimental
pounding tools used by modern humans. The approach used in this study may help to
stablish a framework with which to interpret archaeological assemblages and
improve understanding of use-wear formation processes on pounding tools used by
chimpanzees. This study represents the first direct comparison of chimpanzee
pounding tools and archaeological material, and thus may contribute to a better
understanding of hominin percussive activities.
PMID- 27870878
TI - 3D VMAT Verification Based on Monte Carlo Log File Simulation with Experimental
Feedback from Film Dosimetry.
AB - A model based on a specific phantom, called QuAArC, has been designed for the
evaluation of planning and verification systems of complex radiotherapy
treatments, such as volumetric modulated arc therapy (VMAT). This model uses the
high accuracy provided by the Monte Carlo (MC) simulation of log files and allows
the experimental feedback from the high spatial resolution of films hosted in
QuAArC. This cylindrical phantom was specifically designed to host films rolled
at different radial distances able to take into account the entrance fluence and
the 3D dose distribution. Ionization chamber measurements are also included in
the feedback process for absolute dose considerations. In this way, automated MC
simulation of treatment log files is implemented to calculate the actual delivery
geometries, while the monitor units are experimentally adjusted to reconstruct
the dose-volume histogram (DVH) on the patient CT. Prostate and head and neck
clinical cases, previously planned with Monaco and Pinnacle treatment planning
systems and verified with two different commercial systems (Delta4 and COMPASS),
were selected in order to test operational feasibility of the proposed model. The
proper operation of the feedback procedure was proved through the achieved high
agreement between reconstructed dose distributions and the film measurements
(global gamma passing rates > 90% for the 2%/2 mm criteria). The necessary
discretization level of the log file for dose calculation and the potential
mismatching between calculated control points and detection grid in the
verification process were discussed. Besides the effect of dose calculation
accuracy of the analytic algorithm implemented in treatment planning systems for
a dynamic technique, it was discussed the importance of the detection density
level and its location in VMAT specific phantom to obtain a more reliable DVH in
the patient CT. The proposed model also showed enough robustness and efficiency
to be considered as a pre-treatment VMAT verification system.
PMID- 27870880
TI - How Simple Hypothetical-Choice Experiments Can Be Utilized to Learn Humans'
Navigational Escape Decisions in Emergencies.
AB - How humans resolve non-trivial tradeoffs in their navigational choices between
the social interactions (e.g., the presence and movements of others) and the
physical factors (e.g., spatial distances, route visibility) when escaping from
threats in crowded confined spaces? The answer to this question has major
implications for the planning of evacuations and the safety of mass gatherings as
well as the design of built environments. Due to the challenges of collecting
behavioral data from naturally-occurring evacuation settings, laboratory-based
virtual-evacuation experiments have been practiced in a number of studies. This
class of experiments faces the traditional question of contextual bias and
generalizability: How reliably can we infer humans' behavior from decisions made
in hypothetical settings? Here, we address these questions by making a novel link
between two different forms of empirical observations. We conduct hypothetical
emergency exit-choice experiments framed as simple pictures, and then mimic those
hypothetical scenarios in more realistic fashions through staging mock evacuation
trials with actual crowds. Econometric choice models are estimated based on the
observations made in both experimental contexts. The models are contrasted with
each other from a number of perspectives including their predictions as well as
the sign, magnitude, statistical significance, person-to-person variations
(reflecting individuals' perception/preference differences) and the scale
(reflecting context-dependent decision randomness) of their inferred parameters.
Results reveal a surprising degree of resemblance between the models derived from
the two contexts. Most strikingly, they produce fairly similar prediction
probabilities whose differences average less than 10%. There is also unexpected
consensus between the inferences derived from both experimental sources on many
aspects of people's behavior notably in terms of the perception of social
interactions. Results show that we could have elicited peoples' escape strategies
with fair precision without observing them in action (i.e., simply by using only
hypothetical-choice data as an inexpensive, practical and non-invasive
experimental technique in this context). As a broader application, this offers
promising evidence as to the potential applicability of the hypothetical-decision
experiments to other decision contexts (at least for non-financial decisions)
when field or real-world data is prohibitively unavailable. As a practical
application, the behavioral insights inferred from our observations (reflected in
the estimated parameters) can improve how accurately we predict the movement
patterns of human crowds in emergency scenarios arisen in complex spaces. Fully
generic-in-parameters, our proposed models can even be directly introduced to a
broad range of crowd simulation software to replicate navigation decision making
of evacuees.
PMID- 27870879
TI - Occurrence of Diverse Antimicrobial Resistance Determinants in Genetically
Unrelated Biocide Tolerant Klebsiella pneumoniae.
AB - Nosocomial infections due to Klebsiella pneumoniae is a significant problem in
health care settings worldwide. In this study, we examined the antimicrobial
susceptibility, genetic profiles and mechanisms of antibiotic resistance in K.
pneumoniae isolates of Indian origin. To our knowledge this is the first report
demonstrating the high prevalence of beta-lactamases, aminoglycoside modifying
enzymes, quinolone resistance genes besides demonstrating the involvement of
active efflux in K. pneumoniae Indian isolates. This study has enabled us to
correlate the phenotypic and genotypic characteristics in K. pneumoniae,
providing an important base for continued monitoring and epidemiological studies
of this emerging nosocomial pathogen in Indian hospitals.
PMID- 27870881
TI - Associations between XRCC1 Gene Polymorphisms and Coronary Artery Disease: A Meta
Analysis.
AB - Genetic variations that influence DNA repair efficiency may contribute to
coronary artery disease (CAD) susceptibility. Previous studies have investigated
whether there was evidence of an association between polymorphisms at the X-ray
repair cross complementing 1 (XRCC1) gene and susceptibility to CAD, but findings
have been inconclusive. We identified eligible studies through a comprehensive
literature search to determine whether an association exists between XRCC1 gene
polymorphisms and CAD susceptibility. Findings were assessed using the odds ratio
(OR) and corresponding 95% confidence interval (CI), which were calculated using
a fixed- or random-effects model, based on the heterogeneity of the studies. Ten
eligible studies were finally included in this meta-analysis. Our pooled analysis
found that XRCC1 polymorphisms were significantly associated with CAD
susceptibility under recessive (Arg194Trp: OR = 1.47, 95% CI = 1.13-1.93;
Arg399Gln: OR = 1.45, 95% CI = 1.12-1.89), homozygous (Arg194Trp: OR = 1.37, 95%
CI = 1.03-1.81; Arg399Gln: OR = 1.56, 95% CI = 1.19-2.05), and allele (Arg399Gln:
OR = 1.18, 95% CI = 1.06-1.32) genetic models. Following subgroup analysis by
ethnicity, in Asian populations, we found evidence of associations between the
XRCC1 Arg194Trp polymorphism and CAD under recessive and homozygous genetic
models, and between the XRCC1 Arg399Gln polymorphism and CAD under recessive,
homozygous, and allele genetic models. Subgroup analysis stratified by control
source revealed associations between the Arg194Trp and Arg399Gln polymorphisms
and susceptibility to CAD under recessive and homozygous modes of inheritance,
respectively. In addition, subgroup analysis stratified by sample size found that
findings of the Arg194Trp polymorphism in large sample sizes were comparable to
those found using pooled eligible studies. Based on our meta-analysis, we
concluded that the XRCC1 gene polymorphisms, Arg194Trp and Arg399Gln, are
associated with CAD susceptibility, specifically in Asian populations. However,
additional, comprehensive and well-designed studies are warranted to confirm
these findings.
PMID- 27870882
TI - Immune Activation at Sites of HIV/TB Co-Infection Contributes to the Pathogenesis
of HIV-1 Disease.
AB - Systemic immune activation is critical to the pathogenesis of HIV-1 disease, and
is accentuated in HIV/TB co-infected patients. The contribution of immune
activation at sites of HIV/TB co-infection to viral activity, CD4 T cell count,
and productive HIV-1 infection remain unclear. In this study, we measured markers
of immune activation both in pleural fluid and plasma, and in T cells in pleural
fluid mononuclear cell (PFMC) and peripheral blood mononuclear cell (PBMC) in
HIV/TB co-infected subjects. The relationship between soluble and T cell
activation markers with viral load in pleural fluid and blood CD4 T cell count
were assessed. The T cell phenotype and activation status of HIV-1 p24 + T cells
in PFMC and PBMC from HIV/TB patients were determined. We found that T cell and
macrophage-specific and non-specific soluble markers of immune activation, sCD27,
sCD163, IL1Ra, and sCD14, were higher in pleural fluid as compared to plasma from
HIV/TB co-infected subjects, and higher as compared to pleural fluid from TB mono
infected subjects. Intestinal fatty acid-binding protein, a marker of intestinal
tract damage, in plasma from HIV/TB co-infected patients was not different than
that in HIV+ subjects. Expression of HLADR and CD38 double positive (HLADR/CD38)
on CD4 T cells, and CD69+ on CD8 T cells correlated with pleural fluid viral
load, and inversely with blood CD4 T cell count. Higher expression of HLADR/CD38
and CCR5 on CD4 T cells, and HLADR/CD38 and CD69 on CD8 T cells in PFMC were
limited to effector memory populations. HIV-1 p24+ CD8 negative (includes CD4 +
and double negative T cells) effector memory T cells in PFMC had higher
expression of HLADR/CD38, Ki67, and CCR5 compared to HIV-1 p24- CD8 negative
PFMC. Cumulatively, these data indicate that sites of HIV/TB co-infection are the
source of intense immune activation.
PMID- 27870883
TI - Association between Leptin and Complement in Hepatitis C Patients with Viral
Clearance: Homeostasis of Metabolism and Immunity.
AB - BACKGROUND: The association between leptin and complement in hepatitis C virus
(HCV) infection remains unknown. METHODS: A prospective study was conducted
including 474 (250 genotype 1, 224 genotype 2) consecutive chronic hepatitis C
(CHC) patients who had completed an anti-HCV therapy course and undergone pre
therapy and 24-week post-therapy assessments of interferon lambda3-rs12979860 and
HCV RNA/genotypes, anthropometric measurements, metabolic and liver profiles, and
complement component 3 (C3), C4, and leptin levels. RESULTS: Of the 474 patients,
395 had a sustained virological response (SVR). Pre-therapy leptin levels did not
differ between patients with and without an SVR. Univariate and multivariate
analyses showed that sex (pre- and post-therapy, p<0.001), body mass index (BMI)
(pre- and post-therapy, p<0.001), and C3 levels (pre-therapy, p = 0.027; post
therapy, p = 0.02) were independently associated with leptin levels with or
without HCV infection. Pre-therapy BMI, total cholesterol (TC), C4 levels, and
the rs12979860 genotype were independently associated with pre-therapy C3 levels
in all patients. Post-therapy BMI, alanine aminotransferase, TC, C4 levels, white
blood cell counts, and hepatic steatosis were independently associated with the
post-therapy C3 levels of SVR patients. Compared with pre-therapy levels, SVR
patients showed higher 24-week post-therapy C4 (20.32+/-7.30 vs. 21.55+/-7.07
mg/dL, p<0.001) and TC (171.68+/-32.67 vs. 186.97+/-36.09 mg/dL, p<0.001) levels;
however, leptin and C3 levels remained unchanged after therapy in patients with
and without an SVR. CONCLUSIONS: Leptin and C3 may maintain immune and metabolic
homeostasis through association with C4 and TC. Positive alterations in C4 and TC
levels reflect viral clearance after therapy in CHC patients.
PMID- 27870884
TI - Non-Specific Root Transport of Nutrient Gives Access to an Early Nutritional
Indicator: The Case of Sulfate and Molybdate.
AB - Under sulfur (S) deficiency, crosstalk between nutrients induced accumulation of
other nutrients, particularly molybdenum (Mo). This disturbed balanced between S
and Mo could provide a way to detect S deficiency and therefore avoid losses in
yield and seed quality in cultivated species. Under hydroponic conditions, S
deprivation was applied to Brassica napus to determine the precise kinetics of S
and Mo uptake and whether sulfate transporters were involved in Mo uptake. Leaf
contents of S and Mo were also quantified in a field-grown S deficient oilseed
rape crop with different S and N fertilization applications to evaluate the
[Mo]:[S] ratio, as an indicator of S nutrition. To test genericity of this
indicator, the [Mo]:[S] ratio was also assessed with other cultivated species
under different controlled conditions. During S deprivation, Mo uptake was
strongly increased in B. napus. This accumulation was not a result of the
induction of the molybdate transporters, Mot1 and Asy, but could be a direct
consequence of Sultr1.1 and Sultr1.2 inductions. However, analysis of single
mutants of these transporters in Arabidopsis thaliana suggested that other
sulfate deficiency responsive transporters may be involved. Under field
conditions, Mo content was also increased in leaves by a reduction in S
fertilization. The [Mo]:[S] ratio significantly discriminated between the plots
with different rates of S fertilization. Threshold values were estimated for the
hierarchical clustering of commercial crops according to S status. The use of the
[Mo]:[S] ratio was also reliable to detect S deficiency for other cultivated
species under controlled conditions. The analysis of the leaf [Mo]:[S] ratio
seems to be a practical indicator to detect early S deficiency under field
conditions and thus improve S fertilization management.
PMID- 27870885
TI - A Negative Relationship between Foliar Carbon Isotope Composition and Mass-Based
Nitrogen Concentration on the Eastern Slope of Mount Gongga, China.
AB - Plants adopt ecological strategy to resist environmental changes and increase
their resource-use efficiency. The ecological strategy includes changes in
physiological traits and leaf morphology, which may result in simultaneous
variations in foliar N concentration and the ratio of intercellular CO2
concentration to ambient CO2 concentration (ci/ca). This in turn links to foliar
carbon isotope discrimination, and thus, a relationship between foliar N
concentration and foliar carbon isotope composition (delta13C) is expected. To
understand how plants integrate their structural and physiological resistance to
environmental changes, the relationship between foliar N concentration and
foliardelta13C has been assessed intensively, especially the correlation between
area-based N concentration (Narea) and delta13C.Less effort has been dedicated to
the examination of the relationship between mass-based N concentration(Nmass) and
delta13C. Studies on the Nmass-delta13C relationship, especially those including
a large amount of data and species, will enhance our understanding of leaf
economics and benefit ecological modeling. The present study includes an
intensive investigation into this relationship by measuring foliar Nmass and
delta13C in a large number of plant species grown on the eastern slope of Mount
Gongga, China. This study shows that foliar Nmass decreases with increasing
delta13C, which is independent of functional group, vegetation type, and
altitude. This suggests that a negative correlation between Nmass and delta13C
may be a general pattern for plants grown not only on Mount Gongga, but also in
other areas.
PMID- 27870886
TI - Comparative Genomics Revealed Multiple Helicobacter pylori Genes Associated with
Biofilm Formation In Vitro.
AB - BACKGROUND: Biofilm formation by Helicobacter pylori may be one of the factors
influencing eradication outcome. However, genetic differences between good and
poor biofilm forming strains have not been studied. MATERIALS AND METHODS:
Biofilm yield of 32 Helicobacter pylori strains (standard strain and 31 clinical
strains) were determined by crystal-violet assay and grouped into poor, moderate
and good biofilm forming groups. Whole genome sequencing of these 32 clinical
strains was performed on the Illumina MiSeq platform. Annotation and comparison
of the differences between the genomic sequences were carried out using RAST
(Rapid Annotation using Subsystem Technology) and SEED viewer. Genes identified
were confirmed using PCR. RESULTS: Genes identified to be associated with biofilm
formation in H. pylori includes alpha (1,3)-fucosyltransferase, flagellar
protein, 3 hypothetical proteins, outer membrane protein and a cag pathogenicity
island protein. These genes play a role in bacterial motility, lipopolysaccharide
(LPS) synthesis, Lewis antigen synthesis, adhesion and/or the type-IV secretion
system (T4SS). Deletion of cagA and cagPAI confirmed that CagA and T4SS were
involved in H. pylori biofilm formation. CONCLUSIONS: Results from this study
suggest that biofilm formation in H. pylori might be genetically determined and
might be influenced by multiple genes. Good, moderate and poor biofilm forming
strain might differ during the initiation of biofilm formation.
PMID- 27870887
TI - Epidermal Growth Factor Receptor and Ki-67 as Predictive Biomarkers Identify
Patients Who Will Be More Sensitive to Intravesical Instillations for the
Prevention of Bladder Cancer Recurrence after Radical Nephroureterectomy.
AB - BACKGROUND: To date, prophylactic intravesical chemotherapy after radical
nephroureterectomy is one of the few available treatments that effectively
prevent secondary bladder cancer. However, treating all patients with
prophylactic intravesical chemotherapy is excessive for patients who are at a low
risk or insensitive to the treatment. Thus, to guide individualized clinical
treatment, in addition to identifying patients who are at risk of bladder cancer
recurrence, it is equally necessary to identify the patients who will benefit the
most from prophylactic, postoperative intravesical instillation therapy. METHODS:
Epidermal growth factor receptor (EGFR) and Ki-67 expression levels were measured
using immunohistochemical staining samples from 320 patients with upper urinary
tract urothelial carcinoma (UTUC) from 2004 to 2012. Although no patients
received intravesical chemotherapy after RNU before 2008, this method began to be
used in 2008 to prevent bladder cancer recurrence. To identify the patients who
would most benefit from intravesical chemotherapy, we assessed biological
interactions between intravesical chemotherapy and clinicopathological factors or
biomarkers. RESULTS: The incidence rates of bladder UTUC recurrence decreased
after intravesical chemotherapy, and the decrease was greater in patients with
low Ki-67 levels, negative EGFR staining and preoperative positive urine
cytology. Biological interactions were observed between intravesical
chemotherapy, low-level Ki-67 and EGFR negativity. The multivariate analysis
showed that after balancing a variety of factors, intravesical chemotherapy is a
protective factor for preventing intravesical recurrence in the negative EGFR,
low-level Ki-67 and preoperative positive urine cytology sub-groups but not in
their corresponding sub-groups. Additionally, the multivariate analysis revealed
that preoperative positive urine cytology and Ki-67 were not but that EGFR
positivity was an independent risk factor for recurrence after intravesical
chemotherapy. CONCLUSIONS: Patients with low Ki-67 levels, negative EGFR staining
and preoperative positive urine cytology appear to be more sensitive to
intravesical instillations for bladder recurrence prevention after RNU.
PMID- 27870888
TI - Phylogeographic Insights into a Peripheral Refugium: The Importance of Cumulative
Effect of Glaciation on the Genetic Structure of Two Endemic Plants.
AB - Quaternary glaciations and mostly last glacial maximum have shaped the
contemporary distribution of many species in the Alps. However, in the Maritime
and Ligurian Alps a more complex picture is suggested by the presence of many
Tertiary paleoendemisms and by the divergence time between lineages in one
endemic species predating the Late Pleistocene glaciation. The low number of
endemic species studied limits the understanding of the processes that took place
within this region. We used species distribution models and phylogeographical
methods to infer glacial refugia and to reconstruct the phylogeographical pattern
of Silene cordifolia All. and Viola argenteria Moraldo & Forneris. The predicted
suitable area for last glacial maximum roughly fitted current known distribution.
Our results suggest that separation of the major clades predates the last glacial
maximum and the following repeated glacial and interglacial periods probably
drove differentiations. The complex phylogeographical pattern observed in the
study species suggests that both populations and genotypes extinction was minimal
during the last glacial maximum, probably due to the low impact of glaciations
and to topographic complexity in this area. This study underlines the importance
of cumulative effect of previous glacial cycles in shaping the genetic structure
of plant species in Maritime and Ligurian Alps, as expected for a Mediterranean
mountain region more than for an Alpine region.
PMID- 27870889
TI - How Effective Is Road Mitigation at Reducing Road-Kill? A Meta-Analysis.
AB - Road traffic kills hundreds of millions of animals every year, posing a critical
threat to the populations of many species. To address this problem there are more
than forty types of road mitigation measures available that aim to reduce
wildlife mortality on roads (road-kill). For road planners, deciding on what
mitigation method to use has been problematic because there is little good
information about the relative effectiveness of these measures in reducing road
kill, and the costs of these measures vary greatly. We conducted a meta-analysis
using data from 50 studies that quantified the relationship between road-kill and
a mitigation measure designed to reduce road-kill. Overall, mitigation measures
reduce road-kill by 40% compared to controls. Fences, with or without crossing
structures, reduce road-kill by 54%. We found no detectable effect on road-kill
of crossing structures without fencing. We found that comparatively expensive
mitigation measures reduce large mammal road-kill much more than inexpensive
measures. For example, the combination of fencing and crossing structures led to
an 83% reduction in road-kill of large mammals, compared to a 57% reduction for
animal detection systems, and only a 1% for wildlife reflectors. We suggest that
inexpensive measures such as reflectors should not be used until and unless their
effectiveness is tested using a high-quality experimental approach. Our meta
analysis also highlights the fact that there are insufficient data to answer many
of the most pressing questions that road planners ask about the effectiveness of
road mitigation measures, such as whether other less common mitigation measures
(e.g., measures to reduce traffic volume and/or speed) reduce road mortality, or
to what extent the attributes of crossing structures and fences influence their
effectiveness. To improve evaluations of mitigation effectiveness, studies should
incorporate data collection before the mitigation is applied, and we recommend a
minimum study duration of four years for Before-After, and a minimum of either
four years or four sites for Before-After-Control-Impact designs.
PMID- 27870890
TI - Latitudinal Diversity of Culex pipiens Biotypes and Hybrids in Farm, Peri-Urban,
and Wetland Habitats in Europe.
AB - Despite the presence of Culex (Cx.) pipiens mosquitoes and circulation of West
Nile virus (WNV), WNV outbreaks have so far not occurred in northern Europe. The
species Cx. pipiens consists of two morphologically identical biotypes, pipiens
and molestus, which can form hybrids. Until now, population dynamic studies of
Cx. pipiens have not differentiated between biotypes and hybrids at the European
scale, nor have they used comparative surveillance approaches. We therefore aimed
to elucidate the relative abundance of Cx. pipiens biotypes and hybrids in three
habitat types at different latitudes across Europe, using two different
surveillance traps. BG-Sentinel and Mosquito-Magnet Liberty Plus traps were
placed in three habitat types (farms, peri-urban, wetlands), in three European
countries (Sweden, The Netherlands, Italy). Collected Cx. pipiens mosquitoes were
identified to biotype with real-time PCR. Both trap types collected equal ratios
of the biotypes and their hybrids. From northern to southern latitudes there was
a significant decrease of pipiens and an increase of molestus. Habitat types
influenced the relative ratios of biotypes and hybrids, but results were not
consistent across latitudes. Our results emphasize the need to differentiate Cx.
pipiens to the biotype level, especially for proper future WNV risk assessments
for Europe.
PMID- 27870892
TI - Body Weight Can Change How Your Emotions Are Perceived.
AB - Accurately interpreting other's emotions through facial expressions has important
adaptive values for social interactions. However, due to the stereotypical social
perception of overweight individuals as carefree, humorous, and light-hearted,
the body weight of those with whom we interact may have a systematic influence on
our emotion judgment even though it has no relevance to the expressed emotion
itself. In this experimental study, we examined the role of body weight in faces
on the affective perception of facial expressions. We hypothesized that the
weight perceived in a face would bias the assessment of an emotional expression,
with overweight faces generally more likely to be perceived as having more
positive and less negative expressions than healthy weight faces. Using two
alternative forced-choice perceptual decision tasks, participants were asked to
sort the emotional expressions of overweight and healthy weight facial stimuli
that had been gradually morphed across six emotional intensity levels into one of
two categories-"neutral vs. happy" (Experiment 1) and "neutral vs. sad"
(Experiment 2). As predicted, our results demonstrated that overweight faces were
more likely to be categorized as happy (i.e., lower happy decision threshold) and
less likely to be categorized as sad (i.e., higher sad decision threshold)
compared to healthy weight faces that had the same levels of emotional intensity.
The neutral-sad decision threshold shift was negatively correlated with
participant's own fear of becoming fat, that is, those without a fear of becoming
fat more strongly perceived overweight faces as sad relative to those with a
higher fear. These findings demonstrate that the weight of the face
systematically influences how its emotional expression is interpreted, suggesting
that being overweight may make emotional expressions appear more happy and less
sad than they really are.
PMID- 27870891
TI - oxLDL and eLDL Induced Membrane Microdomains in Human Macrophages.
AB - BACKGROUND: Extravasation of macrophages and formation of lipid-laden foam cells
are key events in the development and progression of atherosclerosis. The
degradation of atherogenic lipoproteins subsequently leads to alterations in
cellular lipid metabolism that influence inflammatory signaling. Especially
sphingolipids and ceramides are known to be involved in these processes. We
therefore analyzed monocyte derived macrophages during differentiation and after
loading with enzymatically (eLDL) and oxidatively (oxLDL) modified low-density
lipoproteins (LDL). METHODS: Primary human monocytes were isolated from healthy,
normolipidemic blood donors using leukapheresis and counterflow elutriation. On
the fourth day of MCSF-induced differentiation eLDL (40 MUg/ml) or oxLDL (80
MUg/ml) were added for 48h. Lipid species were analyzed by quantitative tandem
mass spectrometry. Taqman qPCR was performed to investigate transcriptional
changes in enzymes involved in sphingolipid metabolism. Furthermore, membrane
lipids were studied using flow cytometry and confocal microscopy. RESULTS: MCSF
dependent phagocytic differentiation of blood monocytes had only minor effects on
the sphingolipid composition. Levels of total sphingomyelin and total ceramide
remained unchanged, while lactosylceramides, cholesterylesters and free
cholesterol decreased. At the species level most ceramide species showed a
reduction upon phagocytic differentiation. Loading with eLDL preferentially
increased cellular cholesterol while loading with oxLDL increased cellular
ceramide content. Activation of the salvage pathway with a higher mRNA expression
of acid and neutral sphingomyelinase, neutral sphingomyelinase activation
associated factor and glucosylceramidase as well as increased surface expression
of SMPD1 were identified as potentially underlying mechanisms. Moreover, flow
cytometric analysis revealed a higher cell-surface-expression of ceramide,
lactosylceramide (CDw17), globotriaosylceramide (CD77), dodecasaccharide-ceramide
(CD65s) and GM1 ganglioside upon oxLDL loading. ApoE in contrast to apoA-I
preferentially bound to the ceramide enriched surfaces of oxLDL loaded cells.
Confocal microscopy showed a co-localization of acid sphingomyelinase with
ceramide rich membrane microdomains. CONCLUSION: eLDL leads to the formation of
lipid droplets and preferentially induces cholesterol/sphingomyelin rich membrane
microdomains while oxLDL promotes the development of cholesterol/ceramide rich
microdomains via activation of the salvage pathway.
PMID- 27870893
TI - Activin Receptor Type IIB Inhibition Improves Muscle Phenotype and Function in a
Mouse Model of Spinal Muscular Atrophy.
AB - Spinal muscular atrophy (SMA) is a devastating neurodegenerative disorder that
causes progressive muscle atrophy and weakness. Using adeno-associated virus
mediated gene transfer, we evaluated the potential to improve skeletal muscle
weakness via systemic, postnatal inhibition of either myostatin or all signaling
via the activin receptor type IIB (ActRIIB). After demonstrating elevated p-SMAD3
content and differential content of ActRIIB ligands, 4-week-old male C/C SMA
model mice were treated intraperitoneally with 1x1012 genome copies of pseudotype
2/8 virus encoding a soluble form of the ActRIIB extracellular domain (sActRIIB)
or protease-resistant myostatin propeptide (dnMstn) driven by a liver specific
promoter. At 12 weeks of age, muscle mass and function were improved in treated
C/C mice by both treatments, compared to controls. The fast fiber type muscles
had a greater response to treatment than did slow muscles, and the greatest
therapeutic effects were found with sActRIIB treatment. Myostatin/activin
inhibition, however, did not rescue C/C mice from the reduction in motor unit
numbers of the tibialis anterior muscle. Collectively, this study indicates that
myostatin/activin inhibition represents a potential therapeutic strategy to
increase muscle mass and strength, but not neuromuscular junction defects, in
less severe forms of SMA.
PMID- 27870894
TI - Identification of Stages of Erythroid Differentiation in Bone Marrow and
Erythrocyte Subpopulations in Blood Circulation that Are Preferentially Lost in
Autoimmune Hemolytic Anemia in Mouse.
AB - Repeated weekly injections of rat erythrocytes produced autoimmune hemolytic
anemia (AIHA) in C57BL/6 mice after 5-6 weeks. Using the double in vivo
biotinylation (DIB) technique, recently developed in our laboratory, turnover of
erythrocyte cohorts of different age groups during AIHA was monitored. Results
indicate a significant decline in the proportion of reticulocytes, young and
intermediate age groups of erythrocytes, but a significant increase in the
proportion of old erythrocytes in blood circulation. Binding of the autoantibody
was relatively higher to the young erythrocytes and higher levels of
intracellular reactive oxygen species (ROS) were also seen in these cells.
Erythropoietic activity in the bone marrows and the spleen of AIHA induced mice
was examined by monitoring the relative proportion of erythroid cells at various
stages of differentiation in these organs. Cells at different stages of
differentiation were enumerated flow cytometrically by double staining with anti
Ter119 and anti-transferrin receptor (CD71) monoclonal antibodies. Erythroid
cells in bone marrow declined significantly in AIHA induced mice, erythroblast C
being most affected (50% decline). Erythroblast C also recorded high
intracellular ROS level along with increased levels of membrane-bound
autoantibody. No such decline was observed in spleen. A model of AIHA has been
proposed indicating that binding of autoantibodies may not be a sufficient
condition for destruction of erythroid cells in bone marrow and in blood
circulation. Last stage of erythropoietic differentiation in bone marrow and
early stages of erythrocytes in blood circulation are specifically susceptible to
removal in AIHA.
PMID- 27870895
TI - Simulated Respiratory Secretion for Use in the Development of Influenza
Diagnostic Assays.
AB - Many assays have been developed for the detection of influenza virus which is an
important respiratory pathogen. Development of these assays commonly involves the
use of human clinical samples for validation of their performance. However,
clinical samples can be difficult to obtain, deteriorate over time, and be
inconsistent in composition. The goal of this study was to develop a simulated
respiratory secretion (SRS) that could act as a surrogate for clinical samples.
To this end, we determined the effects major respiratory secretion components
(Na+, K+, Ca2+, cells, albumin IgG, IgM, and mucin) have on the performance of
influenza assays including both nucleic acid amplification and rapid antigen
assays. Minimal effects on the molecular assays were observed for all of the
components tested, except for serum derived human IgG, which suppressed the
signal of the rapid antigen assays. Using dot blots we were able to show anti
influenza nucleoprotein IgG antibodies are common in human respiratory samples.
We composed a SRS that contained mid-point levels of human respiratory sample
components and studied its effect compared to phosphate buffered saline and virus
negative clinical sample matrix on the Veritor, Sofia, CDC RT-PCR, Simplexa,
cobas Liat, and Alere i influenza assays. Our results demonstrated that a SRS can
interact with a variety of test methods in a similar manner to clinical samples
with a similar impact on test performance.
PMID- 27870897
TI - A Forest Tent Caterpillar Outbreak Increased Resource Levels and Seedling Growth
in a Northern Hardwood Forest.
AB - In closed-canopy forests, gap formation and closure are thought to be major
drivers of forest dynamics. Crown defoliation by insects, however, may also
influence understory resource levels and thus forest dynamics. We evaluate the
effect of a forest tent caterpillar outbreak on understory light availability,
soil nutrient levels and tree seedling height growth in six sites with
contrasting levels of canopy defoliation in a hardwood forest in northern lower
Michigan. We compared resource levels and seedling growth of six hardwood species
before, during and in the three years after the outbreak (2008-2012). Canopy
openness increased strongly during the forest tent caterpillar outbreak in the
four moderately and severely defoliated sites, but not in lightly defoliated
sites. Total inorganic soil nitrogen concentrations increased in response to the
outbreak in moderately and severely defoliated sites. The increase in total
inorganic soil nitrogen was driven by a strong increase in soil nitrate, and
tended to become stronger with increasing site defoliation. Seedling height
growth increased for all species in the moderately and severely defoliated sites,
but not in lightly defoliated sites, either during the outbreak year or in the
year after the outbreak. Growth increases did not become stronger with increasing
site defoliation, but were strongest in a moderately defoliated site with high
soil nutrient levels. Growth increases tended to be strongest for the shade
intolerant species Fraxinus americana and Prunus serotina, and the shade tolerant
species Ostrya virginiana. The strong growth response of F. americana and P.
serotina suggests that recurring forest tent caterpillar outbreaks may facilitate
the persistence of shade intolerant species in the understory in the absence of
canopy gaps. Overall, our results suggest that recurrent canopy defoliation
resulting from cyclical forest insect outbreaks may be an additional driver of
dynamics in temperate closed-canopy forests.
PMID- 27870896
TI - M30 Antagonizes Indoleamine 2,3-Dioxygenase Activation and Neurodegeneration
Induced by Corticosterone in the Hippocampus.
AB - Monoamine oxidases (MAO), downstream targets of glucocorticoid, maintain the
turnover and homeostasis of monoamine neurotransmitters; yet, its
pathophysiological role in monoamine deficiency, oxidative stress and
neuroinflammation remains controversial. Protective effects of M30, a brain
selective MAO inhibitor with iron-chelating antioxidant properties, have been
shown in models of neurodegenerative diseases. This study aims to examine the
neuroprotective mechanism of M30 against depressive-like behavior induced by
corticosterone (CORT). Sprague-Dawley rats were given CORT subcutaneous
injections with or without concomitant M30 administration for two weeks. CORT
treated rats exhibited depressive-like behavior with significant elevated levels
of MAO activities, serotonin turnover, oxidative stress, neuroinflammation and
apoptosis in the hippocampus with significant losses of synaptic proteins when
compared to the control. The expression and activity of cytokine-responsive
indoleamine 2,3-dioxygenase (IDO-1), a catabolic enzyme of serotonin and
tryptophan, was significantly increased in the CORT-treated group with lowered
levels of serotonin. Besides, CORT markedly reduced dendritic length and spine
density. Remarkably, M30 administration neutralized the aberrant changes in the
hippocampus and prevented the induction of depressive-like behavior induced by
CORT. Our results suggest that M30 is neuroprotective against CORT-induced
depression targeting elevated MAO activities that cause oxidative stress and
neuroinflammation, resulting in IDO-1 activation, serotonin deficiency and
neurodegeneration.
PMID- 27870898
TI - Functional Tissue Analysis Reveals Successful Cryopreservation of Human
Osteoarthritic Synovium.
AB - Osteoarthritis (OA) is a degenerative joint disease affecting cartilage and is
the most common form of arthritis worldwide. One third of OA patients have severe
synovitis and less than 10% have no evidence of synovitis. Moreover, synovitis is
predictive for more severe disease progression. This offers a target for therapy
but more research on the pathophysiological processes in the synovial tissue of
these patients is needed. Functional studies performed with synovial tissue will
be more approachable when this material, that becomes available by joint
replacement surgery, can be stored for later use. We set out to determine the
consequences of slow-freezing of human OA synovial tissue. Therefore, we
validated a method that can be applied in every routine laboratory and performed
a comparative study of five cryoprotective agent (CPA) solutions. To determine
possible deleterious cryopreservation-thaw effects on viability, the synovial
tissue architecture, metabolic activity, RNA quality, expression of
cryopreservation associated stress genes, and expression of OA characteristic
disease genes was studied. Furthermore, the biological activity of the
cryopreserved tissue was determined by measuring cytokine secretion induced by
the TLR ligands lipopolysaccharides and Pam3Cys. Compared to non frozen synovium,
no difference in cell and tissue morphology could be identified in the conditions
using the CS10, standard and CryoSFM CPA solution for cryopreservation. However,
we observed significantly lower preservation of tissue morphology with the
Biofreeze and CS2 media. The other viability assays showed trends in the same
direction but were not sensitive enough to detect significant differences between
conditions. In all assays tested a clearly lower viability was detected in the
condition in which synovium was frozen without CPA solution. This detailed
analysis showed that OA synovial tissue explants can be cryopreserved while
maintaining the morphology, viability and phenotypical response after thawing,
offering enhanced opportunities for human in vitro studies.
PMID- 27870899
TI - The Association between the Urinary Excretion of Trimethylselenonium and
Trimethylsulfonium in Humans.
AB - Hydrogen sulfide is a signaling molecule that plays important roles in several
physiological processes, and its methylation product trimethylsulfonium (TMS) is
a natural constituent of human urine that could serve as a biomarker for hydrogen
sulfide. In vitro studies showed that the enzyme indole-ethylamine N
methyltransferase (INMT) is responsible for the production of trimethylsulfonium
as well as its selenium analogue trimethylselenonium (TMSe). Marked inter
individual variability in TMSe production is associated with genetic
polymorphisms in the INMT gene, but it remains unclear whether these
polymorphisms affect substrate specificity or general enzymatic activity.
Therefore, we explore the association between the TMS and TMSe production
phenotypes. Caucasian volunteers were recruited and grouped according to their
TMSe status into "TMSe producers" and "TMSe non-producers", and morning urine
samples were collected over 5 consecutive days from each volunteer. A total of
125 urine samples collected from 25 volunteers (13 TMSe producers and 12 TMSe non
producers) were analyzed for total selenium and total sulfur using inductively
coupled plasma mass spectrometry (ICPMS), trimethylselenonium using HPLC/ICPMS,
and trimethylsulfonium using HPLC/electrospray ionization-triple quadrupole-mass
spectrometry (ESI-QQQ-MS). Although there was no correlation between TMS and TMSe
urinary levels within the "TMSe producers" group, the "TMSe producers" had
urinary levels of TMS 10-fold higher than those of the "TMSe non-producers" (P <
0.001). This result indicates that stratification according to TMSe status or
genotype is crucial for the correct interpretation of urinary TMS as a possible
biomarker for hydrogen sulfide body pools.
PMID- 27870900
TI - To Fear Is to Gain? The Role of Fear Recognition in Risky Decision Making in TBI
Patients and Healthy Controls.
AB - Fear is an important emotional reaction that guides decision making in situations
of ambiguity or uncertainty. Both recognition of facial expressions of fear and
decision making ability can be impaired after traumatic brain injury (TBI), in
particular when the frontal lobe is damaged. So far, it has not been investigated
how recognition of fear influences risk behavior in healthy subjects and TBI
patients. The ability to recognize fear is thought to be related to the ability
to experience fear and to use it as a warning signal to guide decision making. We
hypothesized that a better ability to recognize fear would be related to a better
regulation of risk behavior, with healthy controls outperforming TBI patients. To
investigate this, 59 healthy subjects and 49 TBI patients were assessed with a
test for emotion recognition (Facial Expression of Emotion: Stimuli and Tests)
and a gambling task (Iowa Gambling Task (IGT)). The results showed that,
regardless of post traumatic amnesia duration or the presence of frontal lesions,
patients were more impaired than healthy controls on both fear recognition and
decision making. In both groups, a significant relationship was found between
better fear recognition, the development of an advantageous strategy across the
IGT and less risk behavior in the last blocks of the IGT. Educational level
moderated this relationship in the final block of the IGT. This study has
important clinical implications, indicating that impaired decision making and
risk behavior after TBI can be preceded by deficits in the processing of fear.
PMID- 27870901
TI - Ubiquitin-Dependent Modification of Skeletal Muscle by the Parasitic Nematode,
Trichinella spiralis.
AB - Trichinella spiralis is a muscle-specific parasitic worm that is uniquely
intracellular. T. spiralis reprograms terminally differentiated skeletal muscle
cells causing them to de-differentiate and re-enter the cell cycle, a process
that cannot occur naturally in mammalian skeletal muscle cells, but one that
holds great therapeutic potential. Although the host ubiquitin pathway is a
common target for viruses and bacteria during infection, its role in parasite
pathogenesis has been largely overlooked. Here we demonstrate that the secreted
proteins of T. spiralis contain E2 Ub-conjugating and E3 Ub-ligase activity. The
E2 activity is attributed to TsUBE2L3, a novel and conserved T. spiralis enzyme
located in the secretory organ of the parasite during the muscle stages of
infection. TsUBE2L3 cannot function with any T.spiralis secreted E3, but
specifically binds to a panel of human RING E3 ligases, including the RBR E3
ARIH2 with which it interacts with a higher affinity than the mammalian ortholog
UbcH7/UBE2L3. Expression of TsUBE2L3 in skeletal muscle cells causes a global
downregulation in protein ubiquitination, most predominantly affecting motor,
sarcomeric and extracellular matrix proteins, thus mediating their stabilization
with regards to proteasomal degradation. This effect is not observed in the
presence of the mammalian ortholog, suggesting functional divergence in the
evolution of the parasite protein. These findings demonstrate the first example
of host-parasite interactions via a parasite-derived Ub conjugating enzyme; an E2
that demonstrates a novel muscle protein stabilization function.
PMID- 27870904
TI - Correction: Deleterious Rare Variants Reveal Risk for Loss of GABAA Receptor
Function in Patients with Genetic Epilepsy and in the General Population.
AB - [This corrects the article DOI: 10.1371/journal.pone.0162883.].
PMID- 27870902
TI - Sleep Duration, Exercise, Shift Work and Polycystic Ovarian Syndrome-Related
Outcomes in a Healthy Population: A Cross-Sectional Study.
AB - CONTEXT: Few studies have examined the associations between sleep duration,
shiftwork, and exercise to the infrequent menstruation, hyperandrogenism, and
ovarian morphological changes observed in women with polycystic ovarian syndrome
(PCOS). OBJECTIVE: To examine whether lifestyle factors, including short sleep
duration, insufficient exercise, and shiftwork, alone or in combination, are
associated with the reproductive and metabolic abnormalities typical of PCOS in a
healthy population. STUDY DESIGN, SIZE, DURATION: Prospective cross-sectional
study of 231 women, including healthcare workers recruited for an annual health
screen, healthy referral patients from the Women's Clinic and volunteers from the
university community at the National University Hospital, Singapore, from 2011 to
2015. MAIN OUTCOME MEASURES: The women completed a questionnaire, including their
menstrual cycle length, sleep length, frequency of exercise and shift work.
Hyperandrogenism (hirsutism score, testosterone, sex hormone binding globulin
(SHBG)), ovarian morphology and function (anthral follicle count, ovarian volume,
anti-mullerian hormone (AMH)), and metabolic measures (body mass index (BMI),
waist hip ratio (WHR), blood pressure, fasting glucose, fasting insulin and
fasting lipids) were examined through anthropometric measurements, transvaginal
ultrasound scans, and blood tests. RESULTS: No significant associations were
observed between shift work, exercise or sleep duration and the androgenic and
ovarian measures that define PCOS. However, women reporting fewer than 6 hours of
sleep were more likely to report abnormal (short or long) menstrual cycle lengths
(OR = 2.1; 95% CI, 1.1 to 4.2). Women who reported fewer than 6 hours of sleep
had increased fasting insulin levels (difference in means = 2.13; 95% CI, 0.27 to
3.99 mU/L) and higher odds of insulin resistance (OR = 2.58; CI, 1.16 to 5.76).
Lack of regular exercise was associated with higher mean fasting insulin
(difference in means = 2.3 mU/L; 95% CI, 0.5 to 4.1) and HOMA-IR (difference in
means = 0.49; 95% CI, 0.09 to 0.90) levels. CONCLUSIONS: Women with insufficient
sleep are at increased risk of menstrual disturbances and insulin resistance, but
do not have the hyperandrogenism and polycystic ovarian morphology typical of
PCOS. WIDER IMPLICATIONS OF THE FINDINGS: Improved sleep duration may help reduce
the risks of diabetes or infertility. Shift work, exercise or sleep duration
appear not to impact the androgenic and ovarian measures that define PCOS.
PMID- 27870903
TI - rDNA Loci Evolution in the Genus Glechoma (Lamiaceae).
AB - Glechoma L. (Lamiaceae) is distributed in eastern Asia and Europe. Understanding
chromosome evolution in Glechoma has been strongly hampered by its small
chromosomes, constant karyotype and polyploidy. Here phylogenetic patterns and
chromosomal variation in Glechoma species are considered, using genome sizes,
chromosome mapping of 5S and 35S rDNAs by fluorescence in situ hybridisation
(FISH), and phylogenetic analyses of internal transcribed spacers (nrITS) of 35S
rDNA and 5S rDNA NTS sequences. Species and populations of Glechoma are
tetraploid (2n = 36) with base chromosome number of x = 9. Four chromosomes carry
pericentric 5S rDNA sites in their short arms in all the species. Two to four of
these chromosomes also carry 35S rDNA in subterminal regions of the same arms.
Two to four other chromosomes have 35S rDNA sites, all located subterminally
within short arms; one individual possessed additional weak pericentric 35S rDNA
signals on three other chromosomes. Five types of rDNA locus distribution have
been defined on the basis of 35S rDNA variation, but none is species-specific,
and most species have more than one type. Glechoma hederacea has four types.
Genome size in Glechoma ranges from 0.80 to 0.94 pg (1C), with low levels of
intrapopulational variation in all species. Phylogenetic analyses of ITS and NTS
sequences distinguish three main clades coinciding with geographical
distribution: European (G. hederacea-G. hirsuta), Chinese and Korean (G.
longituba), and Japanese (G. grandis). The paper presents the first comparative
cytogenetic analyses of Glechoma species including karyotype structure, rDNA
location and number, and genome size interpreted in a phylogenetic context. The
observed variation suggests that the genus is still in genomic flux. Genome size,
but not rDNA loci number and distribution, provides a character for species
delimitation which allows better inferences of interspecific relationships to be
made, in the absence of well-defined morphological differentiation.
PMID- 27870905
TI - Mapping QTL for Sex and Growth Traits in Salt-Tolerant Tilapia (Oreochromis spp.
X O. mossambicus).
AB - In aquaculture, growth and sex are economically important traits. To accelerate
genetic improvement in increasing growth in salt-tolerant tilapia, we conducted
QTL mapping for growth traits and sex with an F2 family, including 522 offspring
and two parents. We used 144 polymorphic microsatellites evenly covering the
genome of tilapia to genotype the family. QTL analyses were carried out using
interval mapping for all individuals, males and females in the family,
respectively. Using all individuals, three suggestive QTL for body weight, body
length and body thickness respectively were detected in LG20, LG22 and LG12 and
explained 2.4% to 3.1% of phenotypic variance (PV). When considering only males,
five QTL for body weight were detected on five LGs, and explained 4.1 to 6.3% of
PV. Using only females from the F2 family, three QTL for body weight were
detected on LG1, LG6 and LG8, and explained 7.9-14.3% of PV. The QTL for body
weight in males and females were located in different LGs, suggesting that in
salt-tolerant tilapia, different set of genes 'switches' control the growth in
males and females. QTL for sex were mapped on LG1 and LG22, indicating multigene
sex determination in the salt-tolerant tilapia. This study provides new insights
on the locations and effects of QTL for growth traits and sex, and sets the
foundation for fine mapping for future marker-assisted selection for growth and
sex in salt-tolerant tilapia aquaculture.
PMID- 27870907
TI - National Survey Indicates that Individual Vaccination Decisions Respond
Positively to Community Vaccination Rates.
AB - Some models of vaccination behavior imply that an individual's willingness to
vaccinate could be negatively correlated with the vaccination rate in her
community. The rationale is that a higher community vaccination rate reduces the
risk of contracting the vaccine-preventable disease and thus reduces the
individual's incentive to vaccinate. At the same time, as for many health-related
behaviors, individuals may want to conform to the vaccination behavior of peers,
counteracting a reduced incentive to vaccinate due to herd immunity. Currently
there is limited empirical evidence on how individual vaccination decisions
respond to the vaccination decisions of peers. In the fall of 2014, we used a
rapid survey technology to ask a large sample of U.S. adults about their
willingness to use a vaccine for Ebola. Respondents expressed a greater
inclination to use the vaccine in a hypothetical scenario with a high community
vaccination rate. In particular, an increase in the community vaccination rate
from 10% to 90% had the same impact on reported utilization as a nearly 50%
reduction in out-of-pocket cost. These findings are consistent with a tendency to
conform with vaccination among peers, and suggest that policies promoting
vaccination could be more effective than has been recognized.
PMID- 27870906
TI - Qualities of Life of Patients with Psychotic Disorders and Their Family
Caregivers: Comparison between Hospitalised and Community-Based Treatment in
Beijing, China.
AB - BACKGROUND: Community healthcare in mainland China is still at an early stage.
The qualities of life (QOLs) of patients with psychotic disorders undergoing
rehabilitation in hospitals or in the community, as well as those of their
caregivers, may differ from each other. OBJECTIVES: The study was performed to
evaluate the QOL of patients with psychotic disorders and assess the differences
in the QOLs between patients receiving care in diverse settings (hospital vs. the
community). METHODS: This study was a descriptive study, in which all cases were
collected from two psychiatric hospitals and five communities. Patients (n = 43)
and caregivers (n = 40) in the psychiatric hospitals were grouped according to
the length of illness and areas of residence and these criteria were also used to
group patients (n = 55) and caregivers (n = 59) in the community. All
participants were assessed using the WHOQOL-BREF (Chinese version). ANOVA was
adopted to compare the QOL scores among the four groups (cases and caregivers in
two settings), while confounding factors, such as age and marital status, were
adjusted. RESULTS: Among the four groups of participants, namely, hospitalised
and community patients and their corresponding caregivers, community samples had
a significantly lower QOL score. The QOL score for the social relationships
domain of the hospitalised patients' caregivers was significantly higher than
that of the caregivers of community patients (P = 0.019). CONCLUSION: Community
patients and their caregivers tend to have lower QOL scores than their
hospitalised counterparts. The support of family members is urgently needed to
provide better care for patients.
PMID- 27870908
TI - N-Terminal Pro-B-Type Natriuretic Peptide as a Biomarker for Loss of Muscle Mass
in Prevalent Hemodialysis Patients.
AB - Protein-energy wasting (PEW) is common in hemodialysis (HD) patients. A recent
study demonstrated that a high level of N-terminal pro-B-type natriuretic peptide
(NT-proBNP) may be associated with PEW in those patients. This prospective study
aimed to assess the association of NT-proBNP with body composition and muscle
loss. A cohort of prevalent HD patients (n = 238) was examined. Blood samples
were obtained at baseline to measure high-sensitive C-reactive protein (hsCRP),
interleukin-6 (IL-6), adiponectin and NT-proBNP. Nutritional status and changes
in muscle mass were assessed by subjective global assessment, percentage
creatinine generation rate (%CGR), creatinine index (CI) and lean body mass (LBM)
estimated by dual-energy X-ray absorptiometry (DXA). The %CGR and CI were
calculated five times for one year, and DXA was performed at baseline and one
year later. Cardiac function was estimated by ultrasonography at baseline. NT
proBNP was significantly higher in HD patients with PEW. High NT-proBNP was
associated with cardiac dysfunction, increased levels of hsCRP and IL-6, and
serially decreased levels of the indexes for muscle mass. Multiple regression
analysis adjusted with confounders showed that NT-proBNP was an independent
predictor for decrease in LBM and serial lower levels of %CGR and CI. In
conclusion, the present study demonstrated a novel association between NT-proBNP
and muscle loss. NT-proBNP may be an independent biomarker for malnutrition in HD
patients, especially in patients with muscles loss, regardless of chronic
inflammation, cardiac dysfunction, or overhydration.
PMID- 27870909
TI - Variability of Rheotaxis Behaviors in Larval Bullfrogs Highlights Species
Diversity in Lateral Line Function.
AB - The morphology and distribution of lateral line neuromasts vary between
ecomorphological types of anuran tadpoles, but little is known about how this
structural variability contributes to differences in lateral-line mediated
behaviors. Previous research identified distinct differences in one such
behavior, positive rheotaxis towards the source of a flow, in two tadpole
species, the African clawed frog (Xenopus laevis; type 1) and the American
bullfrog (Rana catesbeiana; type 4). Because these two species had been tested
under different flow conditions, we re-evaluated these findings by quantifying
flow-sensing behaviors of bullfrog tadpoles in the same flow field in which X.
laevis tadpoles had been tested previously. Early larval bullfrog tadpoles were
exposed to flow in the dark, in the presence of a discrete light cue, and after
treatment with the ototoxin gentamicin. In response to flow, tadpoles moved
downstream, closer to a side wall, and higher in the water column, but they did
not station-hold. Tadpoles exhibited positive rheotaxis, but with long latencies,
low to moderate accuracy, and considerable individual variability. This is in
contrast to the robust, stereotyped station-holding and accurate rheotaxis of X.
laevis tadpoles. The presence of a discrete visual cue and gentamicin treatment
altered spatial positioning and disrupted rheotaxis in both tadpole species.
Species differences in lateral-line mediated behaviors may reflect differences in
neuromast number and distribution, life history, or perceptual salience of other
environmental cues.
PMID- 27870911
TI - Long-Range Epistasis Mediated by Structural Change in a Model of Ligand Binding
Proteins.
AB - Recent analyses of amino acid mutations in proteins reveal that mutations at many
pairs of sites are epistatic-i.e., their effects on fitness are non-additive-the
combined effect of two mutations being significantly larger or smaller than the
sum of their effects considered independently. Interestingly, epistatic sites are
not necessarily near each other in the folded structure of a protein, and may
even be located on opposite sides of a molecule. However, the mechanistic reasons
for long-range epistasis remain obscure. Here, we study long-range epistasis in
proteins using a previously developed model in which off-lattice polymers are
evolved under ligand binding constraints. Epistatic effects in the model are
qualitatively similar to those recently reported for small proteins, and many are
long-range. We find that a major reason for long-range epistasis is
conformational change-a recurrent theme in both positive and negative epistasis
being the transfer, or exchange of material between the ordered nucleus, which
supports the binding site, and the liquid-like surface of a folded molecule.
These local transitions in phase and folded structure are largely responsible for
long-range epistasis in our model.
PMID- 27870910
TI - In Obesity, HPA Axis Activity Does Not Increase with BMI, but Declines with
Aging: A Meta-Analysis of Clinical Studies.
AB - BACKGROUND: Obesity is one of the major public health challenges worldwide. It
involves numerous endocrine disorders as etiological factors or as complications.
Previous studies strongly suggested the involvement of the hypothalamic-pituitary
adrenal (HPA) axis activity in obesity, however, to date, no consistent trend in
obesity-associated alterations of the HPA axis has been identified. Aging has
been demonstrated to aggravate obesity and to induce abnormalities of the HPA
axis. Thus, the question arises whether obesity is correlated with peripheral
indicators of HPA function in adult populations. OBJECTIVES: We aimed to meta
analyze literature data on peripheral cortisol levels as indicators of HPA
activity in obesity during aging, in order to identify possible explanations for
previous contradictory findings and to suggest new approaches for future clinical
studies. DATA SOURCES: 3,596 records were identified through searching of PubMed,
Embase and Cochrane Library Database. Altogether 26 articles were suitable for
analyses. STUDY ELIGIBILITY CRITERIA: Empirical research papers were eligible
provided that they reported data of healthy adult individuals, included body mass
index (BMI) and measured at least one relevant peripheral cortisol parameter
(i.e., either morning blood cortisol or 24-h urinary free cortisol). STATISTICAL
METHODS: We used random effect models in each of the meta-analyses calculating
with the DerSimonian and Laird weighting methods. I-squared indicator and Q test
were performed to assess heterogeneity. Meta-regression was applied to explore
the effect of BMI and age on morning blood and urinary free cortisol levels. To
assess publication bias Egger's test was used. RESULTS: Obesity did not show any
correlation with the studied peripheral cortisol values. On the other hand,
peripheral cortisol levels declined with aging within the obese, but not in the
non-obese groups. CONCLUSIONS: Our analysis demonstrated that obesity or healthy
aging does not lead to enhanced HPA axis activity, peripheral cortisol levels
rather decline with aging.
PMID- 27870913
TI - Genomic Contributors to Rhythm Outcome of Atrial Fibrillation Catheter Ablation -
Pathway Enrichment Analysis of GWAS Data.
AB - BACKGROUND: Left atrial enlargement and persistent atrial fibrillation (AF) are
well-known predictors for arrhythmia recurrence after AF catheter ablation
(LRAF). In this study, by using pathway enrichment analysis of GWAS data, we
tested the hypothesis that genetic pathways associated with these phenotypes are
also associated with LRAF. METHODS: Samples from 660 patients with paroxysmal (n
= 370) or persistent AF (n = 290) undergoing de-novo AF catheter ablation were
genotyped for ~1,000,000 SNPs. SNPs found to be significantly associated with
left atrial diameter (LAD) or AF type were used for gene-based association tests
in a systematic biological Knowledge-based mining system for Genome-wide Genetic
studies (KGG). Associated genes were tested for pathway enrichment using WEB
based Gene SeT AnaLysis Toolkit (WebGestalt), the Gene Annotation Tool to Help
Explain Relationships (GATHER) and the databases provided by Kyoto Encyclopedia
of Genes and Genomes (KEGG). In a second step, the association of consistently
enriched pathways and LRAF was tested. RESULTS: By using sequential 7-day Holter
ECGs, LRAF between 3 and 12 months was observed in 48% and was associated with
LAD (B = 1.801, 95% CI 0.760-2.841, p = 1.0E-3) and persistent AF (OR = 2.1; 95%
CI 1.567-2.931, p = 2.0E-6). WebGestalt (adj. p = 2.7E-22) and GATHER (adj. p =
5.2E-3) identified the calcium signaling pathway (hsa04020) as the only
consistently enriched pathway for LAD, while the extracellular matrix (ECM)
receptor interaction pathway (hsa04512) was the only consistently enriched
pathway for AF type (adj. p = 2.1E-15 in WebGestalt; adj. p = 9.3E-4 in GATHER).
Both calcium signaling (adj. p = 2.2E-17 in WebGestalt; adj. p = 2.9E-2 in
GATHER) and ECM-receptor interaction (adj. p = 1.2E-10 in WebGestalt; adj. p =
2.9E-2 in GATHER) were significantly associated with LRAF. CONCLUSIONS: Calcium
signaling and ECM-receptor interaction pathways are associated with LAD and AF
type and, in turn, with LRAF. Future and larger studies are necessary to
replicate and apply these findings.
PMID- 27870912
TI - ATP Hydrolysis Induced Conformational Changes in the Vitamin B12 Transporter
BtuCD Revealed by MD Simulations.
AB - ATP binding cassette (ABC) transporters utilize the energy of ATP hydrolysis to
uni-directionally transport substrates across cell membrane. ATP hydrolysis
occurs at the nucleotide-binding domain (NBD) dimer interface of ABC
transporters, whereas substrate translocation takes place at the translocation
pathway between the transmembrane domains (TMDs), which is more than 30 angstroms
away from the NBD dimer interface. This raises the question of how the hydrolysis
energy released at NBDs is "transmitted" to trigger the conformational changes at
TMDs. Using molecular dynamics (MD) simulations, we studied the post-hydrolysis
state of the vitamin B12 importer BtuCD. Totally 3-MUs MD trajectories
demonstrate a predominantly asymmetric arrangement of the NBD dimer interface,
with the ADP-bound site disrupted and the ATP-bound site preserved in most of the
trajectories. TMDs response to ATP hydrolysis by separation of the L-loops and
opening of the cytoplasmic gate II, indicating that hydrolysis of one ATP could
facilitate substrate translocation by opening the cytoplasmic end of
translocation pathway. It was also found that motions of the L-loops and the
cytoplasmic gate II are coupled with each other through a contiguous interaction
network involving a conserved Asn83 on the extended stretch preceding TM3 helix
plus the cytoplasmic end of TM2/6/7 helix bundle. These findings entail a TMD-NBD
communication mechanism for type II ABC importers.
PMID- 27870915
TI - Effects of Angiopoietin-2 on Transplanted Mouse Ovarian Tissue.
AB - Transplantation of ovarian tissue (OT) is currently the only clinical option to
restore fertility with cryopreserved OT. However, follicle loss caused by
ischemia and slow revascularization occurs in transplanted OT. To shorten the
ischemic period and promote angiogenesis, some angiogenic factors have been used.
Angiopoietin-2 (Ang2) is one of the major angiogenic factors and has been
reported to promote blood vessels and increase vascular permeability in ischemic
and/or hypoxic environment. This study was performed to investigate the effects
of Ang2 on follicle integrity and revascularization of transplanted mouse OT.
Five-week-old B6D2F1 female mice were divided into a control group and two Ang2
groups, followed by ovary collection and vitrification. After warming, the
ovaries were autotransplanted into kidney capsules with/without Ang2 injection
(50 or 500 ng/kg), and then the mice were sacrificed at days 2, 7, 21, and 42
after transplantation. A total 2,437 follicles in OT grafts were assessed for
follicular density, integrity, and classification by using hematoxylin and eosin
staining. Apoptosis and revascularization were evaluated by using TUNEL assay and
CD31 immunohistochemistry, respectively. Serum follicle-stimulating hormone (FSH)
levels were measured by using enzyme-linked immunosorbent assay. Both Ang2 groups
showed remarkable increase in morphologically intact follicle ratio across all
grafting durations except D21. The numbers of CD31(+) vessels were significantly
increased in both Ang2 groups compared with the control group at all durations,
except in the 50 ng Ang2 group at D42. However, the mean numbers of follicles of
the grafts, apoptosis ratios, and serum FSH levels showed no significant
differences among the groups. Our results show that Ang2 treatment significantly
increased the intact follicle ratios and the number of blood vessels of the mouse
OT grafts. However, further studies performed with large animal or human OT are
necessary before clinical application for fertility preservation in cancer
patients, and the reliability of the systemic effects of Ang2 should be verified.
PMID- 27870914
TI - Transgenic Bt Cotton Does Not Disrupt the Top-Down Forces Regulating the Cotton
Aphid in Central China.
AB - Top-down force is referred to arthropod pest management delivered by the
organisms from higher trophic levels. In the context of prevalent adoption of
transgenic Bt crops that produce insecticidal Cry proteins derived from Bacillus
thuringiensis (Bt), it still remains elusive whether the top-down forces are
affected by the insect-resistant traits that introduced into the Bt crops. We
explored how Bt cotton affect the strength of top-down forces via arthropod
natural enemies in regulating a non-target pest species, the cotton aphid Aphis
gossypii Glover, using a comparative approach (i.e. Bt cotton vs. conventional
cotton) under field conditions. To determine top-down forces, we manipulated
predation/parasitism exposure of the aphid to their natural enemies using
exclusion cages. We found that the aphid population growth was strongly
suppressed by the dominant natural enemies including Coccinellids, spiders and
Aphidiines parasitoids. Coccinellids, spiders and the assemblage of other
arthropod natural enemies (mainly lacewings and Hemipteran bugs) are similarly
abundant in both plots, but with the parasitoid mummies less abundant in Bt
cotton plots compared to the conventional cotton plots. However, the lower
abundance of parasitoids in Bt cotton plots alone did not translate into
differential top-down control on A. gossypii populations compared to conventional
ones. Overall, the top-down forces were equally strong in both plots. We conclude
that transgenic Bt cotton does not disrupt the top-down forces regulating the
cotton aphid in central China.
PMID- 27870917
TI - Combating Rhino Horn Trafficking: The Need to Disrupt Criminal Networks.
AB - The onslaught on the World's wildlife continues despite numerous initiatives
aimed at curbing it. We build a model that integrates rhino horn trade with rhino
population dynamics in order to evaluate the impact of various management
policies on rhino sustainability. In our model, an agent-based sub-model of horn
trade from the poaching event up through a purchase of rhino horn in Asia impacts
rhino abundance. A data-validated, individual-based sub-model of the rhino
population of South Africa provides these abundance values. We evaluate policies
that consist of different combinations of legal trade initiatives, demand
reduction marketing campaigns, increased anti-poaching measures within protected
areas, and transnational policing initiatives aimed at disrupting those criminal
syndicates engaged in horn trafficking. Simulation runs of our model over the
next 35 years produces a sustainable rhino population under only one management
policy. This policy includes both a transnational policing effort aimed at
dismantling those criminal networks engaged in rhino horn trafficking-coupled
with increases in legal economic opportunities for people living next to
protected areas where rhinos live. This multi-faceted approach should be the
focus of the international debate on strategies to combat the current slaughter
of rhino rather than the binary debate about whether rhino horn trade should be
legalized. This approach to the evaluation of wildlife management policies may be
useful to apply to other species threatened by wildlife trafficking.
PMID- 27870916
TI - Quantitative Trait Locus Analysis of Late Leaf Spot Resistance and Plant-Type
Related Traits in Cultivated Peanut (Arachis hypogaea L.) under Multi
Environments.
AB - Late leaf spot (LLS) is one of the most serious foliar diseases affecting peanut
worldwide leading to huge yield loss. To understand the genetic basis of LLS and
assist breeding in the future, we conducted quantitative trait locus (QTL)
analysis for LLS and three plant-type-related traits including height of main
stem (HMS), length of the longest branch (LLB) and total number of branches
(TNB). Significant negative correlations were observed between LLS and the plant
type-related traits in multi-environments of a RIL population from the cross
Zhonghua 5 and ICGV 86699. A total of 20 QTLs were identified for LLS, of which
two QTLs were identified in multi-environments and six QTLs with phenotypic
variation explained (PVE) more than 10%. Ten, seven, fifteen QTLs were identified
for HMS, LLB and TNB, respectively. Of these, one, one, two consensus QTLs and
three, two, three major QTLs were detected for HMS, LLB and TNB, respectively. Of
all 52 unconditional QTLs for LLS and plant-type-related traits, 10 QTLs were
clustered in five genetic regions, of which three clusters including five robust
major QTLs overlapped between LLS and one of the plant-type-related traits,
providing evidence that the correlation could be genetically constrained. On the
other hand, conditional mapping revealed different numbers and different extent
of additive effects of QTLs for LLS conditioned on three plant-type-related
traits (HMS, LLB and TNB), which improved our understanding of interrelationship
between LLS and plant-type-related traits at the QTL level. Furthermore, two
QTLs, qLLSB6-7 and qLLSB1 for LLS resistance, were identified residing in two
clusters of NB-LRR-encoding genes. This study not only provided new favorable
QTLs for fine-mapping, but also suggested that the relationship between LLS and
plant-type-related traits of HMS, LLB and TNB should be considered while breeding
for improved LLS resistance in peanut.
PMID- 27870918
TI - Time-Dependent Impact of Irreversible Electroporation on Pancreas, Liver, Blood
Vessels and Nerves: A Systematic Review of Experimental Studies.
AB - INTRODUCTION: Irreversible electroporation (IRE) is a novel ablation technique in
the treatment of unresectable cancer. The non-thermal mechanism is thought to
cause mostly apoptosis compared to necrosis in thermal techniques. Both in
experimental and clinical studies, a waiting time between ablation and tissue or
imaging analysis to allow for cell death through apoptosis, is often reported.
However, the dynamics of the IRE effect over time remain unknown. Therefore, this
study aims to summarize these effects in relation to the time between treatment
and evaluation. METHODS: A systematic search was performed in Pubmed, Embase and
the Cochrane Library for original articles using IRE on pancreas, liver or
surrounding structures in animal or human studies. Data on pathology and time
between IRE and evaluation were extracted. RESULTS: Of 2602 screened studies, 36
could be included, regarding IRE in liver (n = 24), pancreas (n = 4), blood
vessels (n = 4) and nerves (n = 4) in over 440 animals (pig, rat, goat and
rabbit). No eligible human studies were found. In liver and pancreas, the first
signs of apoptosis and haemorrhage were observed 1-2 hours after treatment, and
remained visible until 24 hours in liver and 7 days in pancreas after which the
damaged tissue was replaced by fibrosis. In solitary blood vessels, the tunica
media, intima and lumen remained unchanged for 24 hours. After 7 days,
inflammation, fibrosis and loss of smooth muscle cells were demonstrated, which
persisted until 35 days. In nerves, the median time until demonstrable
histological changes was 7 days. CONCLUSIONS: Tissue damage after IRE is a
dynamic process with remarkable time differences between tissues in animals.
Whereas pancreas and liver showed the first damages after 1-2 hours, this took 24
hours in blood vessels and 7 days in nerves.
PMID- 27870921
TI - Microthreaded Implants and Crestal Bone Loss: A Systematic Review.
AB - This systematic literature review investigated the effect of microthreaded-neck
dental implants on crestal bone loss. Using the participants, interventions,
comparison groups, outcomes, and study design (PICO) system, we addressed the
following focused question: Do microthreaded-neck dental implants positively
affect the crestal bone level around dental implants? We searched 3 electronic
databases to find articles published between January 1995 and June 2016 that
contained any combination of the following keywords: dental implant, microthread,
microthreaded, crestal bone level, crestal bone loss, and alveolar bone level. We
excluded case reports, review articles, letters to the editor, commentaries, and
articles published in a language other than English. We found a total of 70
articles. After eliminating duplicates and applying PICO eligibility criteria, we
selected only articles that reported the results of randomized controlled trials,
prospective or retrospective cohort studies, case control studies, cross
sectional studies, or other types of clinical trials that compared the
microthreaded implant design with other implant designs. We were left with 23
articles for review. The 23 articles reported crestal bone loss ranging from .05
mm to .9 mm, with a range of 12 to 96 months of follow-up. Less crestal bone was
lost with dental implants that had a microthreaded neck design than with machined
surface or conventional rough-surface dental implants. Thus, microthreaded dental
implants are a better choice than are implants with other designs. Future studies
should use standardized imaging techniques to evaluate the placement of these
implants in bone-augmented sites.
PMID- 27870922
TI - Evaluating the benefits of vaccination when used in combination with stamping-out
measures against hypothetical introductions of foot-and-mouth disease into New
Zealand: a simulation study.
AB - AIMS: To evaluate the benefits of vaccination against simulated outbreaks of foot
and-mouth disease (FMD) in New Zealand, when applied as an additional measure to
stamping-out. METHODS: A simulation modelling approach was used. The study
population comprised all known farms in New Zealand with FMD-susceptible
livestock. Infection was seeded into three different areas of New Zealand.
Transmission mechanisms included direct and indirect contacts, local spread and
airborne spread. Efficacies of some of the stamping-out measures were varied.
Vaccination strategies involved different start times, size and type of
vaccination zone, and species vaccinated. Personnel resources for vaccination
were varied as was the herd immunity profile following vaccination. Altogether,
336 models were specified, with 100 iterations conducted for each model.
Generalised linear modelling and boosted regression trees were used to evaluate
which variables had the biggest effect on the number of infected premises (IP),
epidemic duration and area under control. RESULTS: Vaccination, when used as an
adjunct to the standard stamping-out programme, significantly reduced the
outbreak size. Vaccination reduced the median number of IP by 26 (95% CI=18-35),
epidemic duration by 16 (95% CI=13-19) days and area under control by 474 (95%
CI=250-699) km2 when there was no airborne spread; and when there was airborne
spread the median reduction was 87 (95% CI=70-105) IP, 32 (95% CI=28-35) days and
898 (95% CI=665-1139) km2, respectively. Multivariable analyses showed that
starting vaccination 11 days after first detection of FMD produced greater
benefits than starting 16 or 21 days after detection. Increasing vaccination
zones resulted in increased benefits. Boosted regression tree analyses showed
that the most influential variables on the outcome measures were interval to
first detection, incursion location, whether there was airborne spread or not and
herd immunity profile. CONCLUSIONS AND CLINICAL RELEVANCE: This study showed that
there are benefits to the use of vaccination in combination with a stamping-out
policy for control of FMD outbreaks under New Zealand conditions. The optimal
vaccination strategy was identified as being a 3-5 km radius suppressive
vaccination zone deployed between 11-16 days after first detection. Vaccination
had a greater benefit during larger outbreaks, such as when there was airborne
transmission. The key factors which were identified from this study will help
inform New Zealand's competent authority on how best to deploy vaccination to
further strengthen its approach to FMD eradication should New Zealand ever
experience an outbreak.
PMID- 27870920
TI - Picroside II Attenuates Airway Inflammation by Downregulating the Transcription
Factor GATA3 and Th2-Related Cytokines in a Mouse Model of HDM-Induced Allergic
Asthma.
AB - Picroside II isolated from Pseudolysimachion rotundum var. subintegrum has been
used as traditional medicine to treat inflammatory diseases. In this study, we
assessed whether picroside II has inhibitory effects on airway inflammation in a
mouse model of house dust mite (HDM)-induced asthma. In the HDM-induced asthmatic
model, picroside II significantly reduced inflammatory cell counts in the
bronchoalveolar lavage fluid (BALF), the levels of total immunoglobulin (Ig) E
and HDM-specific IgE and IgG1 in serum, airway inflammation, and mucus
hypersecretion in the lung tissues. ELISA analysis showed that picroside II down
regulated the levels of Th2-related cytokines (including IL-4, IL-5, and IL-13)
and asthma-related mediators, but it up-regulated Th1-related cytokine, IFNgamma
in BALF. Picroside II also inhibited the expression of Th2 type cytokine genes
and the transcription factor GATA3 in the lung tissues of HDM-induced mice.
Finally, we demonstrated that picroside II significantly decreased the expression
of GATA3 and Th2 cytokines in developing Th2 cells, consistent with in vivo
results. Taken together, these results indicate that picroside II has protective
effects on allergic asthma by reducing GATA3 expression and Th2 cytokine bias.
PMID- 27870919
TI - Cytomegalovirus Reinfections Stimulate CD8 T-Memory Inflation.
AB - Cytomegalovirus (CMV) has been shown to induce large populations of CD8 T
effector memory cells that unlike central memory persist in large quantities
following infection, a phenomenon commonly termed "memory inflation". Although
murine models to date have shown very large and persistent CMV-specific T-cell
expansions following infection, there is considerable variability in CMV-specific
T-memory responses in humans. Historically such memory inflation in humans has
been assumed a consequence of reactivation events during the life of the host.
Because basic information about CMV infection/re-infection and reactivation in
immune competent humans is not available, we used a murine model to test how
primary infection, reinfection, and reactivation stimuli influence memory
inflation. We show that low titer infections induce "partial" memory inflation of
both mCMV specific CD8 T-cells and antibody. We show further that reinfection
with different strains can boost partial memory inflation. Finally, we show
preliminary results suggesting that a single strong reactivation stimulus does
not stimulate memory inflation. Altogether, our results suggest that while high
titer primary infections can induce memory inflation, reinfections during the
life of a host may be more important than previously appreciated.
PMID- 27870923
TI - Covering the Implant Prosthesis Screw Access Hole: A Biological Approach to
Material Selection and Technique.
PMID- 27870925
TI - Quantitative and qualitative analysis of student tutors as near-peer teachers in
the gross anatomy course.
AB - INTRODUCTION: Peer teaching is a well-established teaching method in medical
education. During the 2012/13 winter term, the Institute of Anatomy and Cell
Biology in Ulm, Germany, introduced a longitudinal didactics program ("Train the
Tutor": TtT) to train student tutors as near-peer teachers (NPT) in the
dissection course (DC). Twenty-three of 38 tutors participated in the programme.
Our study describes the educational concept and the NPTs' activities in the
dissection course. METHODS: NPTs documented their activities on a daily basis in
the form of semi-structured reports. A total of 575 reports were quantitatively
and qualitatively analysed. Free-text analysis was performed using Grounded
Theory followed by code quantification of all indications (n=1868). RESULTS: NPTs
spend 61% of their time dissecting by themselves or supervising the tutee's
dissection process. Organisational tasks had a larger share at the beginning of
the course. Just before examinations the proportion of time spent giving feedback
rose. Of all positive indications, 45% described experiences working with the
tutees. In contrast, 68% of all negative indications were characterized by a self
critical reflection on their own activities. NPTs included all learning domains
in their teaching, substantially functioning as teachers and role models to
convey particular attitudes. CONCLUSION: TtT-Trained Tutors (NPT) clearly met the
requirements of a practical course and adjusted their activities in response to
the course's progress. NPTs were concerned about their tutees' attitudes and may
need more professional support within the TtT program regarding this.
PMID- 27870926
TI - Rare Submandibular Presentation of Pediatric Castleman Disease: Case Report.
AB - Castleman disease (CD), a benign lymphoproliferative disorder that affects lymph
nodes, is uncommon in children, with only approximately 100 cases published.
Although 23% of pediatric CD cases are found in the neck, there is no substantial
reported percentage found in the salivary glands, especially the submandibular
salivary gland (SMSG). A pediatric case of CD involving the SMSG is reported
because of its extreme rarity.
PMID- 27870927
TI - CD30 Induces Heat Shock Protein 90 and Signal Integration in Classic Hodgkin
Lymphoma Cells.
AB - Previous studies report deregulation of multiple signaling pathways in classic
Hodgkin lymphoma (cHL) cells. However, the mechanisms of how these pathways are
integrated are not fully understood. Herein, we show involvement of cHL hallmark
antigen CD30 in this process. CD30 facilitates phosphorylation of heat shock
factor 1, activates heat shock promoter element, and induces heat shock protein
(HSP) 90. CD30 repression and subsequent inhibition of HSP90 suppresses NF
kappaB, extracellular signal-regulated kinase, AKT, and STAT pathways in cHL cell
lines. Thus, CD30-mediated induction of HSP90 appears to serve as a central hub
for integration of intracellular signaling in cHL cells. We also show that CD30
induces HSP90 through phosphorylation of heat shock factor 1 via c-Jun N-terminal
kinase in cHL cells. Although anaplastic large-cell lymphoma (ALCL) also is
associated with CD30 overexpression, our experiments reveal that HSP90 induction
in ALCL-bearing nucleophosmin-anaplastic lymphoma kinase (ALK) does not depend on
CD30 but instead on ALK via c-Jun N-terminal kinase. Together, these results
highlight a novel role for CD30 in mediating integration of signaling pathways of
cHL cells while being replaced in this function by ALK in ALCL cells.
PMID- 27870924
TI - Evaluating mesenchymal stem cell therapy for sepsis with preclinical meta
analyses prior to initiating a first-in-human trial.
AB - Evaluation of preclinical evidence prior to initiating early-phase clinical
studies has typically been performed by selecting individual studies in a non
systematic process that may introduce bias. Thus, in preparation for a first-in
human trial of mesenchymal stromal cells (MSCs) for septic shock, we applied
systematic review methodology to evaluate all published preclinical evidence. We
identified 20 controlled comparison experiments (980 animals from 18
publications) of in vivo sepsis models. Meta-analysis demonstrated that MSC
treatment of preclinical sepsis significantly reduced mortality over a range of
experimental conditions (odds ratio 0.27, 95% confidence interval 0.18-0.40,
latest timepoint reported for each study). Risk of bias was unclear as few
studies described elements such as randomization and no studies included an
appropriately calculated sample size. Moreover, the presence of publication bias
resulted in a ~30% overestimate of effect and threats to validity limit the
strength of our conclusions. This novel prospective application of systematic
review methodology serves as a template to evaluate preclinical evidence prior to
initiating first-in-human clinical studies.
PMID- 27870928
TI - miR-182-5p Inhibition Ameliorates Ischemic Acute Kidney Injury.
AB - Acute kidney injury (AKI) remains a major clinical event with high mortality
rates. We previously identified renal miR-182 as the main driver of post
transplantation AKI. Therefore, we tested the causal inference of miR-182 by
inhibiting its renal expression in vivo. In 45 rats AKI was induced by right
nephrectomy and contralateral clamping of the renal pedicle for 40 minutes.
Systemically administered antisense oligonucleotide (ASO) inhibited miR-182 in
the kidneys up to 96 hours. The maximum creatinine elevation was on day 2 after
injury (mg/dL; median and interquartile range): ASO 2.5mg/kg: 1.9 (1.3; 3.2), ASO
25mg/kg: 2.8 (0.7; 5.0), mismatch oligonucleotide (MM) 25mg/kg: 5.7 (5,0; 5.8),
saline: 4.4 (3.5; 5.8) (P = 0.016, analysis of variance). Blinded
semiquantitative histologic evaluation of renal biopsies showed better preserved
morphology in both ASO groups than saline- and MM-treated kidneys (median and
interquartile range of overall injury scores): ASO both concentrations 1 (1, 1),
saline 3 (3, 3) and MM 3 (3, 3) (P< 0.001, analysis of variance). ASO facilitated
cell proliferation, metabolism, and angiogenesis on a genome-wide level. ASO when
applied in normothermic kidney machine perfusion reduced renal miR-182 expression
by more than two magnitudes. In summary, we showed that in vivo inhibition of miR
182 by ASO improved kidney function and morphology after AKI. This technique may
be applicable to reduce the high rate of AKI in the human renal transplantation
setting.
PMID- 27870929
TI - A clinical study of cutaneous changes in pregnancy.
AB - BACKGROUND/OBJECTIVE: Pregnant women experience a myriad of physiological and
metabolic changes that affect different organ systems in the body. Cutaneous and
appendageal alterations that manifest during pregnancy are largely modulated by
hormonal, immunologic, and metabolic factors. Detailed reports encompassing
physiological changes and specific dermatoses of pregnancy and effects of various
dermatoses on pregnant women are scanty in literature. This study was conducted
to examine in detail both physiological changes and specific dermatoses. The
cutaneous changes are divided into physiological changes, skin diseases
aggravated by pregnancy, and specific dermatoses of pregnancy. The objectives
were to study the various cutaneous changes of pregnancy and to know the
proportion of these cutaneous manifestations in pregnant women. METHODS: This
study included 600 pregnant women attending the Obstetrics and Gynecology
Department of a tertiary teaching hospital in Northern Kerala, India. Detailed
history elicitation and complete physical and dermatological examination were
performed. Skin biopsy was performed in relevant cases. RESULTS: Cutaneous
changes were seen in a majority of patients, of which physiological changes were
the most common (99%). The most common cutaneous manifestation was
hyperpigmentation (526; 87.6%), followed by striae gravidarum (72.8%). Other
changes were vascular, including pedal edema (10%), pregnancy gingivitis (1.8%),
and varicose veins (1%). Infections were the common dermatological problem in
this study group. The most common infections were vulvovaginal candidiasis (21%),
Tinea versicolor (6%), scabies (2.8%), dermatophytosis (1.5%), and sexually
transmitted infection (0.5%). Specific dermatoses were seen in 12 cases (2%),
with the most common being pruritic urticarial papules and plaques of pregnancy
(1.3%). CONCLUSION: Pregnant women are prone to suffer from a wide range of
dermatological problems apart from specific dermatoses of pregnancy. The study
emphasizes the need for a detailed and meticulous examination of these patients
to detect these various disorders.
PMID- 27870930
TI - Influence of membrane material on the production of colloidal emulsions by premix
membrane emulsification.
AB - Premix membrane emulsification is a possibility to produce colloidal emulsions as
carrier systems for poorly water soluble drugs. During the extrusion of a coarse
pre-emulsion through a porous membrane, the emulsion droplets are disrupted into
smaller droplets. The influence of the membrane material on the emulsification
success was investigated in dependence on the emulsifier. Premixed medium chain
triglyceride (MCT) emulsions stabilized with five different emulsifiers were
extruded through seven different hydrophilic polymeric membrane materials with
pore sizes of 200nm. The resulting emulsions differed strongly in particle size
and particle size distribution with a range of median particle sizes between
0.08MUm and 11MUm. The particle size of the emulsions did not depend mainly on
the structure or thickness of the membrane but on the combination of emulsifier
and membrane material. Contact angle measurements indicated that the wetting of
the membrane with the continuous phase of the emulsion was decisive for achieving
emulsions with colloidal particle sizes. The type of dispersed phase was of minor
importance as basically the same results were obtained with peanut oil instead of
MCT. To prove the assumption that only sufficiently hydrophilic membrane
materials led to emulsions with colloidal particle sizes, two membrane materials
were hydrophilized by plasma treatment. After hydrophilization, the emulsifying
process led to emulsions with smaller particle sizes. The use of an alumina
membrane (Anodisc(r)) improved the process even more. With this type of membrane,
emulsions with a median particle size below 250nm and a narrow particle size
distribution could be obtained with all investigated emulsifiers.
PMID- 27870931
TI - Effects of three diamides (chlorantraniliprole, cyantraniliprole and
flubendiamide) on life history, embryonic development and oxidative stress
biomarkers of Daphnia magna.
AB - The diamides have become one of the most promising new classes of insecticides.
In this study, we evaluated the toxicity of three diamides (chlorantraniliprole,
cyantraniliprole and flubendiamide) to Daphnia magna. The acute toxicity test
showed that the 48-h EC50 of chlorantraniliprole, cyantraniliprole and
flubendiamide were 8.5, 23.9 and 63.5 MUg/L, respectively. Biochemical
measurements revealed a significant increase in reactive oxygen species (ROS) in
D. magna after acute exposure to the three diamides. A significant decrease in
activities of antioxidant enzymes superoxide dismutase (SOD) and glutathione
peroxidase (GPx) was observed, which was consistent with the down-regulated
transcription of antioxidant genes sod and gpx. Catalase (CAT) activity exhibited
a significant increase while the related gene cat showed no obvious change in
daphnids acutely exposed to the three diamides. The chronic test revealed that
the three diamides could cause lethal and sub-lethal effects on daphnids within
constricted range of concentrations at MUg/L level. The 21-d EC50 of
chlorantraniliprole, cyantraniliprole and flubendiamide for mobility were 5.0,
13.6 and 36.8 MUg/L, respectively. The chronic LOEC of chlorantraniliprole,
cyantraniliprole and flubendiamide based on survival, growth and reproduction of
D. magna were 4.05, 10.24 and 19.36 MUg/L, respectively. Moreover, these three
diamides can induce severe developmental abnormalities in D. magna embryos
including underdeveloped second antennae, curved tail spine and abnormal body
region after acute exposure and the 48-h EC50 were 6.2, 14.1 and 30.8 MUg/L for
chlorantraniliprole, cyantraniliprole and flubendiamide respectively. Our
findings indicate that even low levels of diamides can pose ecological risks to
aquatic ecosystems.
PMID- 27870932
TI - Investigation of the toxicity of bismuth oxide nanoparticles in various cell
lines.
AB - Nanoparticles have been drawn attention in various fields ranging from medicine
to industry because of their physicochemical properties and functions, which lead
to extensive human exposure to nanoparticles. Bismuth (Bi)-based compounds have
been commonly used in the industrial, cosmetic and medical applications. Although
the toxicity of Bi-based compounds was studied for years, there is a serious lack
of information concerning their toxicity and effects in the nanoscale on human
health and environment. Therefore, we aimed to investigate the toxic effects of
Bi (III) oxide (Bi2O3) nanoparticles in liver (HepG2 hepatocarcinoma cell),
kidney (NRK-52E kidney epithelial cell), intestine (Caco-2 colorectal
adenocarcinoma cell), and lung (A549 lung carcinoma cell) cell cultures. Bi2O3
nanoparticles (~149.1 nm) were easily taken by all cells and showed cyto- and
genotoxic effects. It was observed that the main cell death pathways were
apoptosis in HepG2 and NRK-52E cells and necrosis in A549 and Caco-2 cells
exposed to Bi2O3 nanoparticles. Also, the glutathione (GSH), malondialdehyde
(MDA), and 8-hydroxy deoxyguanine (8-OHdG) levels were significantly changed in
HepG2, NRK-52E, and Caco-2 cells, except A549 cell. The present study is the
first to evaluate the toxicity of Bi2O3 nanoparticles in mammalian cells. Bi2O3
nanoparticles should be thoroughly assessed for their potential hazardous effects
to human health and the results should be supported with in vivo studies to fully
understand the mechanism of their toxicity.
PMID- 27870933
TI - Bioremediation of hydrocarbon degradation in a petroleum-contaminated soil and
microbial population and activity determination.
AB - Bioremediation of hydrocarbon degradation in petroleum-polluted soil is carried
out by various microorganisms. However, little information is available for the
relationships between hydrocarbon degradation rates in petroleum-contaminated
soil and microbial population and activity in laboratory assay. In a microcosm
study, degradation rate and efficiency of total petroleum hydrocarbons (TPH),
alkanes, and polycyclic aromatic hydrocarbons (PAH) in a petroleum-contaminated
soil were determined using an infrared photometer oil content analyzer and a gas
chromatography mass spectrometry (GC-MS). Also, the populations of TPH, alkane,
and PAH degraders were enumerated by a modified most probable number (MPN)
procedure, and the hydrocarbon degrading activities of these degraders were
determined by the Biolog (MT2) MicroPlates assay. Results showed linear
correlations between the TPH and alkane degradation rates and the population and
activity increases of TPH and alkane degraders, but no correlation was observed
between the PAH degradation rates and the PAH population and activity increases.
Petroleum hydrocarbon degrading microbial population measured by MPN was
significantly correlated with metabolic activity in the Biolog assay. The results
suggest that the MPN procedure and the Biolog assay are efficient methods for
assessing the rates of TPH and alkane, but not PAH, bioremediation in oil
contaminated soil in laboratory.
PMID- 27870934
TI - Remediation of hexavalent chromium spiked soil by using synthesized iron sulfide
particles.
AB - Carboxymethyl cellulose (CMC) stabilized microscale iron sulfide (FeS) particles
were synthesized and applied to remediate hexavalent chromium (Cr(VI)) spiked
soil. The effects of parameters including dosage of FeS particles, soil moisture,
and natural organic matter (NOM) in soil were investigated with comparison to
iron sulfate (FeSO4). The results show that the stabilized FeS particles can
reduce Cr(VI) and immobilize Cr in soil quickly and efficiently. The soil
moisture ranging from 40% to 70% and NOM in soil had no significant effects on
Cr(VI) remediation by FeS particles. When molar ratio of FeS to Cr(VI) was 1.5:1,
about 98% of Cr(VI) in soil was reduced by FeS particles in 3 d and Cr(VI)
concentration decreased from 1407 mg kg-1 to 16 mg kg-1. The total Cr and Cr(VI)
in Toxicity Characteristic Leaching Procedure (TCLP) leachate were reduced by
98.4% and 99.4%, respectively. In FeS particles-treated soil, the exchangeable Cr
fraction was mainly converted to Fe-Mn oxides bound fraction because of the
precipitation of Cr(III)-Fe(III) hydroxides. The physiologically based extraction
test (PBET) bioaccessibility of Cr was decreased from 58.67% to 6.98%. Compared
to FeSO4, the high Cr(VI) removal and Cr immobilization efficiency makes prepared
FeS particles a great potential in field application of Cr(VI) contaminated soil
remediation.
PMID- 27870935
TI - Effects of gamma radiation on cork wastewater: Antioxidant activity and toxicity.
AB - A comprehensive assessment of the toxicity and antioxidant activity of cork
boiling wastewater and the effects of gamma radiation on these parameters was
performed. Antioxidant activity was evaluated using different methodologies as
DPPH radical scavenging activity, reducing power and inhibition of beta-carotene
bleaching. The results have shown that gamma radiation can induce an increase on
the antioxidant activity of cork boiling wastewater. Toxicity tests were
performed to access the potential added value of the irradiated wastewaters
and/or minimization of the impact for discharge in the environment. Two different
methods for toxicity evaluation were followed, bacterial growth inhibition test
and cytotoxicity assay, in order to predict the behavior of different cells
(prokaryotic and eukaryotic) in the presence of cork wastewater. Non-treated cork
boiling wastewater seemed to be non-toxic for prokaryotic cells (Pseudomonas
fluorescens and Bacillus subtilis) but toxic for eukaryotic cells (A549 human
cells and RAW264.7 mouse cells). The gamma radiation treatment at doses of 100
kGy appeared to increase the toxicity of cork compounds for all tested cells,
which could be related to a toxic effect of radiolytic products of cork compounds
in the wastewaters.
PMID- 27870937
TI - Spinosad induces programmed cell death involves mitochondrial dysfunction and
cytochrome C release in Spodoptera frugiperda Sf9 cells.
AB - Spinosad, a reduced-risk insecticide, acts on the nicotinic acetylcholine
receptors and the gamma-aminobutyric acid receptor in the nervous system of
target insects. However, its mechanism of action in non-neural insect cells is
unclear. This study aimed to evaluate mitochondrial functional changes associated
with spinosad in Spodoptera frugiperda (Sf9) insect cells. Our results indicate
that in Sf9 cells, spinosad induces programmed cell death and mitochondrial
dysfunction through enhanced reactive oxygen species production, mitochondrial
permeability transition pore (mPTP) opening, and mitochondrial membrane potential
collapse, eventually leading to cytochrome C release and apoptosis. The
cytochrome C release induced by spinosad treatment was partly inhibited by the
mPTP inhibitors cyclosporin A and bongkrekic acid. Subsequently, we found that
spinosad downregulated Bcl-2 expression and upregulated p53 and Bax expressions,
activated caspase-9 and caspase-3, and triggered PARP cleavage in Sf9 cells.
These findings suggested that spinosad-induced programmed cell death was
modulated by mitochondrial dysfunction and cytochrome C release.
PMID- 27870936
TI - Photochemical transformation of zearalenone in aqueous solutions under simulated
solar irradiation: Kinetics and influence of water constituents.
AB - The presence of estrogenic mycotoxins, such as zearalenone (ZEN), in surface
waters is an emerging environmental issue. Little is known about its
phototransformation behavior, which may influence its environmental fate. In this
context, the phototransformation of ZEN was investigated in pure water, river
water and estuarine water using simulated sunlight irradiation. Kinetic studies
revealed that two concomitant processes contribute to the fate of ZEN under solar
irradiation: photoisomerization and photodegradation. This phototransformation
followed a pseudo-first order kinetics. ZEN degrades quickly in natural waters
and slowly in deionized water, with half-lives (t1/2) of 28 +/- 4 min (estuarine
water), 136 +/- 21 min (river water) and 1777 +/- 412 min (deionized water). The
effects of different water constituents on the phototransformation of ZEN in
aqueous solution have been assessed (NaCl, Ca2+, Mg2+, Fe3+, NO3- and oxalate
ions, synthetic seawater, Fe(III)-oxalate and Mg(II)-oxalate complexes, humic
acids, fulvic acids and XAD-4 fraction). In the presence of synthetic seawater
salt (t1/2 = 18 +/- 5 min) and Fe(III)-oxalate complexes (t1/2 = 61 +/- 9 min),
the transformation rate increased considerably in relation to other water
constituents tested. The solution pH also had a considerable effect in the
kinetics with maximum transformation rates occurring around pH 8.5. These results
allow us to conclude that phototransformation by solar radiation can be an
important degradation pathway of ZEN in natural waters.
PMID- 27870938
TI - Evaluation of alpha-synuclein as a novel cerebrospinal fluid biomarker in
different forms of prion diseases.
AB - INTRODUCTION: Accurate diagnosis of prion diseases and discrimination from
alternative dementias gain importance in the clinical routine, but partial
overlap in cerebrospinal fluid (CSF) biomarkers impedes absolute discrimination
in the differential diagnostic context. METHODS: We established the clinical
parameters for prion disease diagnosis for the quantification of CSF alpha
synuclein in patients with sporadic (n = 234) and genetic (n = 56) prion
diseases, in cases with cognitive impairment/dementia or neurodegenerative
disease (n = 278), and in the neurologic control group (n = 111). RESULTS: An
optimal cutoff value of 680 pg/mL alpha-synuclein results in 94% sensitivity and
96% specificity when diagnosing sporadic Creutzfeldt-Jakob disease (CJD). Genetic
CJD cases showed increased CSF alpha-synuclein values. No increased alpha
synuclein levels were detected in non-CJD cases with rapid progression course.
DISCUSSION: Detection of alpha-synuclein in the CSF of patients with suspected
CJD is a valuable diagnostic test reaching almost full discrimination from non
prion disease cases. These data highlight the utility of CSF alpha-synuclein
quantification in front of classical CSF biomarkers in clinical routine.
PMID- 27870939
TI - Mixed neuropathologies and estimated rates of clinical progression in a large
autopsy sample.
AB - INTRODUCTION: Whether co-occurring neuropathologies interact or independently
affect clinical disease progression is uncertain. We estimated rates of clinical
progression and tested whether associations between clinical progression and
Alzheimer's disease neuropathology (ADNP) were modified by co-occurring Lewy body
disease (LBD) or vascular brain injury (VBI). METHODS: Linear mixed effects
models evaluated longitudinal trends in the Clinical Dementia Rating Scale Sum of
Boxes on 2046 autopsied participants seen at a U.S. Alzheimer's Disease Center.
RESULTS: Annual clinical progression was slightly faster for ADNP + LBD compared
with ADNP only (P = .06) and slightly slower for ADNP + VBI (P = .003).
Differences in progression were less than expected if each neuropathology
independently contributed to progression; ADNP interacted with LBD (P = .002) and
VBI (P = .003). In secondary models, the effect of additional pathologies on
clinical progression was greater in those with intermediate compared with high
levels of ADNP. DISCUSSION: The impact of co-occurring pathologies on progression
may depend on severity of ADNP.
PMID- 27870941
TI - Nonverbal behaviors are associated with increased vagal activity in major
depressive disorder: Implications for the polyvagal theory.
AB - BACKGROUND: Major depressive disorder (MDD) is associated with impairments in
nonverbal behaviors (NVBs) and vagal activity. The polyvagal theory proposes that
vagal activity regulates heart rate and NVBs by modulating a common anatomically
and neurophysiologically discrete social engagement system. However, the
association between these putative endophenotypes has not yet been explored. We
hypothesize that in MDD, NVBs indicating positive affects and social interest and
those indicating negative feelings and social disinterest could be associated
with different patterns of vagal activity. METHODS: For this cross-sectional
study we recruited 50 antidepressant-free participants with moderate-to-severe
MDD. Vagal activity was indexed by heart rate variability (HRV) measures, and
positive and negative nonverbal behaviors (NVBs) by a validated ethogram.
Associations between NVBs and HRV were explored by bivariate analyses and
multivariable models were adjusted by age, gender, depression severity, and self
reported positive and negative affects. RESULTS: HRV measures indicative of
higher vagal activity were positively correlated with positive NVBs exhibited
during the clinical interview. Conversely, NVBs related to negative affects, low
energy and social disinterest were not associated with HRV. LIMITATIONS: Absence
of a control group. CONCLUSIONS: The findings highlight that the examined
depression endophenotypes (nonverbal behaviors and vagal activity) are related,
shedding light on MDD pathophysiology in the context of the polyvagal theory.
PMID- 27870940
TI - Blood-based biomarkers in Alzheimer disease: Current state of the science and a
novel collaborative paradigm for advancing from discovery to clinic.
AB - The last decade has seen a substantial increase in research focused on the
identification of blood-based biomarkers that have utility in Alzheimer's disease
(AD). Blood-based biomarkers have significant advantages of being time- and cost
efficient as well as reduced invasiveness and increased patient acceptance.
Despite these advantages and increased research efforts, the field has been
hampered by lack of reproducibility and an unclear path for moving basic
discovery toward clinical utilization. Here we reviewed the recent literature on
blood-based biomarkers in AD to provide a current state of the art. In addition,
a collaborative model is proposed that leverages academic and industry strengths
to facilitate the field in moving past discovery only work and toward clinical
use. Key resources are provided. This new public-private partnership model is
intended to circumvent the traditional handoff model and provide a clear and
useful paradigm for the advancement of biomarker science in AD and other
neurodegenerative diseases.
PMID- 27870943
TI - Longitudinal monitoring of heartbeat dynamics predicts mood changes in bipolar
patients: A pilot study.
AB - OBJECTIVES: Recent research indicates that Heart Rate Variability (HRV) is
affected in Bipolar Disorders (BD) patients. To determine whether such
alterations are a mere expression of the current mood state or rather contain
longitudinal information on BD course, we examined the potential influence of
states adjacent in time upon HRV features measured in a target mood state.
METHODS: Longitudinal evaluation of HRV was obtained in eight BD patients by
using a wearable monitoring system developed within the PSYCHE project. We
extracted time-domain, frequency-domain and non-linear HRV-features and trained a
Support Vector Machine (SVM) to classify HRV-features according to mood state. To
evaluate the influence of adjacent mood states, we trained SVM with different HRV
feature sets: 1) belonging to each mood state considered alone; 2) belonging to
each mood state and normalized using information from the preceding mood state;
3) belonging to each mood state and normalized using information from the
preceding and subsequent mood states; 4) belonging to each mood state and
normalized using information from two randomly chosen states. RESULTS: SVM
classification accuracy within a target state was significantly greater when HRV
features from the previous and subsequent mood states were considered.
CONCLUSIONS: Although preliminary and in need of replications our results suggest
for the first time that psychophysiological states in BD contain information
related to the subsequent ones. Such characteristic may be used to improve
clinical management and to develop algorithms to predict clinical course and mood
switches in individual patients.
PMID- 27870942
TI - Altered time course of amygdala activation during speech anticipation in social
anxiety disorder.
AB - BACKGROUND: Exaggerated anticipatory anxiety is common in social anxiety disorder
(SAD). Neuroimaging studies have revealed altered neural activity in response to
social stimuli in SAD, but fewer studies have examined neural activity during
anticipation of feared social stimuli in SAD. The current study examined the time
course and magnitude of activity in threat processing brain regions during speech
anticipation in socially anxious individuals and healthy controls (HC). METHOD:
Participants (SAD n=58; HC n=16) underwent functional magnetic resonance imaging
(fMRI) during which they completed a 90s control anticipation task and 90s speech
anticipation task. Repeated measures multi-level modeling analyses were used to
examine group differences in time course activity during speech vs. control
anticipation for regions of interest, including bilateral amygdala, insula,
ventral striatum, and dorsal anterior cingulate cortex. RESULTS: The time course
of amygdala activity was more prolonged and less variable throughout speech
anticipation in SAD participants compared to HCs, whereas the overall magnitude
of amygdala response did not differ between groups. Magnitude and time course of
activity was largely similar between groups across other regions of interest.
LIMITATIONS: Analyses were restricted to regions of interest and task order was
the same across participants due to the nature of deception instructions.
CONCLUSIONS: Sustained amygdala time course during anticipation may uniquely
reflect heightened detection of threat or deficits in emotion regulation in
socially anxious individuals. Findings highlight the importance of examining
temporal dynamics of amygdala responding.
PMID- 27870945
TI - Erythropoietin administration is associated with improved T-cell properties in
patients with myelodysplastic syndromes.
AB - The immune system is impaired in myelodysplastic syndromes (MDS) and plays a role
in the pathogenesis of the disease. Here we show effects of recombinant human
erythropoietin (rHuEPO) on T cell (CD4+, CD8+ and CD4+CD25+) number and function
in MDS patients. Healthy (20 subjects), MDS patients without rHuEPO treatment
('MDS', 13), and MDS patients treated with rHuEPO ('MDS+EPO', 17) were examined.
CD4+ and CD8+ T cell numbers were reduced and increased respectively in MDS
compared to healthy subjects. EPO treatment normalized these levels. CD4+CD25+
cell numbers, lower in MDS, were normalized in MDS+EPO. In vitro activation of
CD4+ and CD8+ cells with phytohemagglutinin as measured by CD69 expression,
demonstrated a 7.2 fold increase in CD4+ activation vs 13.6 fold for MDS and
MDS+EPO respectively (p=0.004); and 10.2 fold (MDS) vs 18.6 fold (MDS+EPO,
p<0.003) for CD8+ T cells. Expression of the co-stimulatory marker CD28,
decreased in CD4+ and CD8+ T cells in MDS, was normalized in MDS+EPO CD4+ T
cells. Subgroup analysis of milder disease (WHO RA and RARS) and more advanced
disease revealed no difference in CD4+ and CD8+ T cell numbers. However, the
activation of these cells in the RA/RARS subgroup was impaired in EPO-untreated
and enhanced in EPO-treated MDS patients. Our data suggest that EPO treatment
improves immune abnormalities in MDS and may depend on disease severity.
PMID- 27870946
TI - Conserved hierarchical gain of chromosome 4 is an independent prognostic factor
in high hyperdiploid pediatric acute lymphoblastic leukemia.
AB - BACKGROUND: High hyperdiploid (HeH) pre-B pediatric acute lymphoblastic leukemia
(B-pALL) is known to be heterogeneous by prognosis, but the stratification
principals according to conventional cytogenetic analysis (CCA) are equivocal.
PROCEDURE: Untreated bone marrow samples of 214 B-pALL patients were previously
classified according to the modal numbers (iMN8) based on the gains of the
chromosomes 4, 6, 10, 14, 17, 18, 21, and X as revealed by consecutive and
correlated 2*4 color interphase fluorescence in situ hybridization, and at least
five years of follow up data were analyzed. RESULTS: Data from 48 of the 53 HeH
(iMN8>50) B-pALL patients indicated that among the age, gender, WBC, and iMN8
parameters, only the last was significantly associated with overall survival
(pOS), which allowed the cases to be classified as iMN8 51-54 (75%) and iMN8 >=
55 (95%). Among the specific chromosomal gains of +4, +4/+6, +4/+17 and +4/+18,
the first exhibited the most significance in terms of beneficial outcomes. The
better prognostic group according to the iMN8 was associated with a significantly
reduced complexity of the subclonal landscape. However, iMN8 did not prove to be
an independent variable but was instead overridden by isolated trisomy of
chromosome 4. CONCLUSIONS: These data indicate that the better outcomes in the
HeH B-pALL group arose from the gain of a specific chromosome that always ranks
at the same position in the sequential acquisition of the affected chromosomes.
PMID- 27870944
TI - Application of Single-Molecule Amplification and Resequencing Technology for
Broad Surveillance of Plasma Mutations in Patients with Advanced Lung
Adenocarcinoma.
AB - Liquid biopsy to access the circulating tumor DNA is a promising surrogate for
invasive tumor genotyping. We designed a multiplex assay based on circulating
single-molecule amplification and resequencing technology (cSMART) to
simultaneously detect and quantitate hot spot EGFR, KRAS, BRAF, ERBB2, and ALK
plasma DNA variants in 103 patients with advanced lung adenocarcinoma. In
validation studies using an analytical mutation standard, the sensitivity of the
assay for EGFR mutation detection was at least 0.1% and specificity was 100%. The
diagnostic detection sensitivity was one mutant molecule per 2 mL of plasma. The
most frequently detected plasma mutations were EGFR variants L858R (21.4%), exon
19 deletions (19.4%), T790M (9.7%), and KRAS G12X variants (9.7%). Rarer were
BRAF V600X (1.95%) and ERBB2 exon 20 (0.97%) variants. In single samples, four
novel EGFR exon 19 deletions, one KIF5B-ALK, and two EML4-ALK variants were also
detected. From comparisons of 103 matched plasma and tumor specimen genotypes, 75
(72.8%) were concordant, 9 (8.8%) were partially concordant, and 19 (18.4%) were
discordant. Overall, the combined positive and negative concordance rate for
detection of each oncogenic variant exceeded 90%. On the basis of these findings,
we propose that cSMART displays the diagnostic hallmarks of a comprehensive
plasma genotyping assay, with potential application for precisely monitoring
changes in plasma mutation levels in response to targeted drug therapy.
PMID- 27870947
TI - Subcellular localization of the FLT3-ITD oncogene plays a significant role in the
production of NOX- and p22phox-derived reactive oxygen species in acute myeloid
leukemia.
AB - Internal tandem duplication of the juxtamembrane domain of FMS-like tyrosine
kinase 3 (FLT3-ITD) receptor is the most prevalent FLT3 mutation accounting for
20% of acute myeloid leukemia (AML) patients. FLT3-ITD mutation results in ligand
independent constitutive activation of the receptor at the plasma membrane and
'impaired trafficking' of the receptor in compartments of the endomembrane
system, such as the endoplasmic reticulum (ER). FLT3-ITD expressing cells have
been shown to generate increased levels of reactive oxygen species (ROS), in
particular NADPH oxidase (NOX)-generated ROS which act as pro-survival signals.
The purpose of this study is to investigate FLT3-ITD production of ROS at the
plasma membrane and ER in the FLT3-ITD expressing AML cell line MV4-11. Receptor
trafficking inhibitors; Tunicamycin and Brefeldin A induce ER retention of FLT3
ITD, resulting in a decrease in protein expression of NOX4 and its partner
protein p22phox, thus demonstrating the critical importance of FLT3-ITD
localization for the generation of pro-survival ROS. NOX-generated ROS contribute
to total endogenous hydrogen peroxide (H2O2) in AML as quantified by flow
cytometry using the cell-permeable H2O2-probe Peroxy Orange 1 (PO1). We found
that PI3K/AKT signaling only occurs when FLT3-ITD is expressed at the plasma
membrane and is required for the production of NOX-generated ROS. ER retention of
FLT3-ITD resulted in NOX4 deglycosylation and p22phox protein degradation.
PMID- 27870948
TI - HbA1c increase is associated with higher coronary and peripheral atherosclerotic
burden in non diabetic patients.
AB - BACKGROUND AND AIMS: Prediabetes is associated with an increased risk of
developing diabetes and cardiovascular disease. Our objective was to examine the
cardiovascular (CV) risk profile of non-diabetic patients with and without
prediabetes according to HbA1c, using macroangiopathic imaging biomarkers.
METHODS: Our population consisted of 272 non diabetic patients aged between 40
and 70 years, with a normal fasting plasma glucose (FPG <5.6 mmol/L) and at least
1 CV risk factor. Exclusion criteria were prior history of CV disease or clinical
evidence of advanced renal disease. Prediabetes was defined as an HbA1c value of
5.7-6.4%. Coronary artery calcium (CAC) score as well as mean common carotid
intima media thickness (IMT) and plaque presence were assessed using consensus
criteria. RESULTS: CAC score was higher in the prediabetes group compared to non
prediabetic subjects (131.7 +/- 295.6 vs. 62.4 +/- 178.8 AU, p < 0.001).
Prediabetic subjects had higher mean IMT than non-exposed subjects (0.77 +/- 0.14
vs. 0.61 +/- 0.15 mm, p < 0.001). The proportion of prediabetic patients with CAC
= 0 was significantly lower compared to non-exposed subjects (35% vs. 63%, p <
0.01). In contrast, the proportion of patients with a CAC >400 was significantly
higher in the prediabetes group (10% vs. 3%, p < 0.05). Moreover, carotid plaques
were significantly more present in patients with prediabetes than in the
normoglycemic subjects (p < 0.01). In a multiple linear regression, IMT was
associated with HbA1c continuous levels (p < 0.001). In addition, logistic
regression showed that higher HbA1c levels were associated with CAC and carotid
plaques presence (p for trend for all < 0.001). CONCLUSIONS: Among patients with
normal fasting glucose, HbA1c increase is associated with higher coronary and
peripheral atherosclerotic burden in non-diabetic patients.
PMID- 27870950
TI - Impact of particle-laden drops: Particle distribution on the substrate.
AB - The splat morphology after the impact of suspension drops on hydrophilic (glass)
and hydrophobic (polycarbonate) substrates was investigated. The suspensions were
mixtures of water and spherical hydrophobic particles with diameter of 200MUm or
500MUm. The impact was studied by side, bottom and angled view images. At
Reynolds and Weber numbers in the range 150?We?750 and 7100?Re?16,400, the
particles distributed in a monolayer on the hydrophilic substrates. It was found
that the 200MUm particles self-arranged as rings or disks on the hydrophilic
substrates. On hydrophobic substrates, many particles were at the air-water
interface and 200MUm formed a crown-like structure. The current study for impact
of particle-laden drops shows that the morphology of splats depends on the
substrate wettability, the particle size and impact velocity. We developed
correlations for the inner and outer diameter of the particle distribution on the
hydrophilic substrates, and for the crown height on hydrophobic substrates. The
proposed correlations capture the character of the particle distributions after
drop impact that depends on particle volume fraction, the wettability of both
particles and the substrate, and the dimensionless numbers such as Reynolds and
Weber.
PMID- 27870949
TI - Green synthesis of Pd nanoparticles at Apricot kernel shell substrate using
Salvia hydrangea extract: Catalytic activity for reduction of organic dyes.
AB - For the first time the extract of the plant of Salvia hydrangea was used to green
synthesis of Pd nanoparticles (NPs) supported on Apricot kernel shell as an
environmentally benign support. The Pd NPs/Apricot kernel shell as an effective
catalyst was prepared through reduction of Pd2+ ions using Salvia hydrangea
extract as the reducing and capping agent and Pd NPs immobilization on Apricot
kernel shell surface in the absence of any stabilizer or surfactant. According to
FT-IR analysis, the hydroxyl groups of phenolics in Salvia hydrangea extract as
bioreductant agents are directly responsible for the reduction of Pd2+ ions and
formation of Pd NPs. The as-prepared catalyst was characterized by Fourier
transform infrared (FT-IR) and UV-Vis spectroscopy, field emission scanning
electron microscopy (FESEM) equipped with an energy dispersive X-ray spectroscopy
(EDS), Elemental mapping, X-ray diffraction analysis (XRD) and transmittance
electron microscopy (TEM). The synthesized catalyst was used in the reduction of
4-nitrophenol (4-NP), Methyl Orange (MO), Methylene Blue (MB), Rhodamine B (RhB),
and Congo Red (CR) at room temperature. The Pd NPs/Apricot kernel shell showed
excellent catalytic activity in the reduction of these organic dyes. In addition,
it was found that Pd NPs/Apricot kernel shell can be recovered and reused several
times without significant loss of catalytic activity.
PMID- 27870951
TI - Simultaneous determination of trace Cd(II), Pb(II) and Cu(II) by differential
pulse anodic stripping voltammetry using a reduced graphene oxide-chitosan/poly-l
lysine nanocomposite modified glassy carbon electrode.
AB - The reduced graphene oxide (RGO) and Chitosan (CS) hybrid matrix RGO-CS were
coated onto the glassy carbon electrode (GCE) surface, then, poly-l-lysine films
(PLL) were prepared by electropolymerization with cyclic voltammetry (CV) method
to prepare RGO-CS/PLL modified glassy carbon electrode (RGO-CS/PLL/GCE) for the
simultaneous electrochemical determination of heavy metal ions Cd(II), Pb(II) and
Cu(II). Combining the advantageous features of RGO and CS, RGO and CS are used
together because the positively charged CS can interact with the negatively
changed RGO to prevent their aggregation. Furthermore, CS has many amino groups
along its macromolecular chains and possessed strongly reactive with metal ions.
Moreover, PLL modified electrodes have good stability, excellent permselectivity,
more active sites and strong adherence to electrode surface, which enhanced
electrocatalytic activity. The RGO-CS/PLL/GCE was characterized voltammetrically
using redox couples (Fe(CN)63-/4-), complemented with electrochemical impedance
spectroscopy (EIS). Differential pulse anodic stripping voltammetry (DPASV) has
been used for the detection of Cd(II), Pb(II) and Cu(II). The detection limit of
RGO-CS/PLL/GCE toward Cd(II), Pb(II) and Cu(II) is 0.01MUgL-1, 0.02MUgL-1 and
0.02MUgL-1, respectively. The electrochemical parameters that exert influence on
deposition and stripping of metal ions, such as supporting electrolytes, pH
value, deposition potential, and deposition time, were carefully studied.
PMID- 27870952
TI - Hexadecyltrimethylammonium bromide (CTA-Br) and 1-butyl-3-methylimidazolium
tetrafluoroborate (bmim-BF4) in aqueous solution: An ephemeral binary system.
AB - HYPOTHESIS: Mixtures of the cationic surfactant hexadecyltrimethylammonium
bromide (CTA-Br) and the ionic liquid 1-butyl-3-methylimidazolium
tetrafluoroborate (bmim-BF4) in aqueous solutions are expected to behave as
typical binary cationic surfactant system taking into account the surface
activity displayed by the ionic liquid, instead of considering the IL as a water
cosolvent. EXPERIMENTS: Surface tension and conductivity measurements have been
conducted as a function of the total concentration of the mixtures at different
surfactant mole fraction (alphaCTA-Br) to investigate the surface active
properties. FINDINGS: Turbidity immediately appearing when the compounds are
mixed in water suggests the spontaneous formation of the low soluble compound
hexadecyltrimethylammonium tetrafluoroborate (CTA-BF4), together with the salt
formed by the respective counterions bmim+and Br- in solution. For alphaCTA
Br?0.5, furthermore of the mentioned compounds, the spare bmim-BF4 (for alphaCTA
Br<0.5) or CTA-Br (for alphaCTA-Br>0.5), are also present in the aqueous
solution. Systems containing excess of bmim-BF4 show a low critical aggregate
concentration (cac), but an unexpected high surface tension at cac (gammacac~53
56mN/m), as pure CTA-BF4. For systems containing excess of CTA-Br, cac increases
but gammacac decreases up to 36mN/m. Mixtures of pure CTA-BF4 and bmim-BF4 or CTA
Br behave as typical binary surfactant systems.
PMID- 27870953
TI - Comparative NO2-sensing in cobalt and metal-free porphyrin nanotubes.
AB - In the present study, the nanotubes of 5-(4-hydroxyphenyl)-10, 15, 20-tri(4
chlorophenyl) porphyrin (p-HTClPP) (1) and 5-(4-hydroxyphenyl)-10, 15, 20-tri(4
chlorophenyl) porphyrin cobalt (p-HTClPPCo) (2) were successfully prepared by
using anodize alumina oxide (AAO) template method. The p-HTClPP and p-HTClPPCo
nanotubes have been confirmed by scanning electron microscopy (SEM), transmission
electron microscopy (TEM), electronic absorption spectra, fluorescence
spectroscopy, fourier transform infrared spectroscopy (FT-IR), low-angle X-ray
diffraction (XRD) and energy dispersive spectroscopy (EDS) techniques. Both p
HTClPPCo and p-HTClPP nanotubes showed excellent sensitivity, reproducibility and
selectivity toward NO2. Especially the prepared sensor of p-HTClPPCo nanotubes
exhibited faster response/recovery characteristics and lower detection limit of
NO2 (up to 500ppb) than that of p-HTClPP nanotubes, which pave a new avenue in
the gas sensitive field.
PMID- 27870956
TI - Mixed-matrix membranes incorporated with porous shape-persistent organic cages
for gas separation.
AB - There has been much recent interest in the use of porous materials derived from
self-assembling, shape-persistent organic cages due to their solubility and easy
post-synthetic modification. Herein we report the preparation of novel mixed
matrix membranes (MMMs) employing the porous organic cage Noria and its
derivatives Noria-Boc and Noria-COtBu as the fillers, and a fluorine containing
polyimide, 6FDA-DAM, as the polymeric matrix. The physical structures and
properties of Noria and its derivatives were measured and investigated. Noria
with substituents of Boc (cleaved by thermal treatment during the process of
membrane fabrication) and COtBu groups tend to show much better compatibility
with polyimide than Noria itself, resulting in homogeneous dispersion of
nanoaggregates and fine adhesion between the two phases in the derived Noria/6FDA
DAM and Noria-COtBu/6FDA-DAM MMMs. Gas permeation tests revealed that Noria and
Noria-COtBu nanoparticles have different effect on gas separation performance of
MMMs. The introduction of Noria into 6FDA-DAM tends to enhance CO2/CH4
selectivity and thus improve its gas separation properties, though a decrease in
the observed permeability could be observed. In contrast, the introduction of
Noria-COtBu with higher surface area and larger pores tends to increase the free
volume and gas permeability of MMMs. These results show that both the morphology
and the gas separation properties of MMMs could be tuned by tailoring the
structures of porous organic cages.
PMID- 27870954
TI - Synthesis and characterization of O-acylated-omega-hydroxy fatty acids as skin
protecting barrier lipids.
AB - A series of O-acylated-omega-hydroxy fatty acids (Acyl acids) of up to 34 carbons
were synthesized and characterized through DSC, FTIR and Langmuir isotherm
measurements to identify potential replacements to petrolatum, a highly used
occlusive technology that if unrefined, it can potentially be classified as
carcinogenic. Fourier transform infrared spectroscopy studies demonstrated that
long acyl acids engender orthorhombic packing; packing behavior that is
predominant in the lipid matrix of healthy stratum corneum, the outmost layer of
the skin. In addition, Differential Scanning Calorimetry (DSC) and Langmuir
isotherm studies suggested that the length of the hydrocarbon chain and the
position of the ester bond influence the molecular organization of the acyl
acids. For instance, 16-(tetradecanoyloxy)hexadecanoic acid (30 carbons)
displayed a higher melting point (mp=68 degrees C) than 10-(stearoyloxy)decanoic
acid (28 carbons; mp=63 degrees C) and 10-(tetradecanoyloxy)decanoic acid (24
carbons; mp=55 degrees C) according to DSC. Moreover, Langmuir isotherm studies
showed that mixtures of acyl acid with distearoylphosphatidylcholine improved
packing behavior. Finally, Water Vapor Transmission Rate (WVTR) measurements
showed that the compounds in fact decrease WVTR compared to untreated control
(P<0.001) which demonstrates the potential of these ingredients as occlusive
technologies to combat skin barrier diseases.
PMID- 27870955
TI - Ultrafast self-assembly of silver nanostructures on carbon-coated copper grids
for surface-enhanced Raman scattering detection of trace melamine.
AB - Structurally well-defined assemblies of silver nanoparticles, including the
dendritic nano-flowers (NFs), planar nano-spheres (NSs) and nano-dendrites (NDs)
were obtained by a surfactant-free and ultrafast (~15min) self-assembly process
on as-purchased carbon-coated copper TEM grids. The silver nano-assemblies,
especially the NFs modified TEM grids, when serving as surface-enhanced Raman
spectroscopy (SERS) substrates for detecting melamine molecules, demonstrated a
long-lived limit of detection (LOD) of as low as 10-11M, suggesting the potential
of these silver-assemblies modified carbon-coated copper grids as novel potable
and cost-effective SERS substrates for trace detection toward various food
contaminants like melamine.
PMID- 27870957
TI - Influence of TiO2 hollow sphere size on its photo-reduction activity for toxic
Cr(VI) removal.
AB - After polystyrene@titanium dioxide (PS@TiO2) composite with different size was
calcined at designated temperature, TiO2 hollow sphere with controllable size was
obtained for high efficient photo-reduction of Cr(VI). The feature of the TiO2
hollow sphere was investigated by SEM, TEM, XRD, UV-Vis, and photoluminescence.
The photo-reduction of Cr(VI) were measured for the performance assessment of the
TiO2 hollow sphere, Cr(VI) was used as an electron acceptor. After irradiation
for 2h, the photo-reduction rate of Cr(VI) (pH=2.82) for TiO2(450nm) was 96%,
which exhibited an increase of 5% and 8% compared with TiO2(370nm) and
TiO2(600nm). The absorption edges of TiO2 hollow sphere (450nm) was largest with
the increasing of hollow sphere size from 370 to 600nm. The optimal hollow sphere
size of TiO2 was 450nm for the photo-reduction of Cr(VI), because the light
harvesting efficiency (the best of absorption edge) and photo-generated electron
hole separation rate (the best of photo-reduction rate) of TiO2 hollow sphere
were controlled by its hollow sphere size. In addition, we find that the behavior
of the hydrogen production was inhibited by the coexistence Cr(VI) solution. This
study can improve our understanding of the mechanism for the activity enhancement
by the optimal hollow sphere size of TiO2.
PMID- 27870958
TI - Enhanced photocatalytic properties of alpha-SnWO4 nanosheets modified by Ag
nanoparticles.
AB - Decoration of silver nanoparticles (Ag-NPs) on surface of alpha-SnWO4 nanosheets
has been achieved by a microwave-assisted deposition method. The as-synthesized
products are structurally characterized by X-ray diffraction (XRD) and
transmission electron microscopy (TEM). The results illustrate that Ag-NPs are
evenly anchored onto alpha-SnWO4 surface to form close heterojunction and the
amount of Ag nanoparticles grown on alpha-SnWO4 nanosheets can be well controlled
by tuning Ag+ concentration. The photocatalytic properties of Ag-NPs/alpha-SnWO4
composites are evaluated by degrading methyl orange (MO) under visible-light
irradiation. Ag-NPs/alpha-SnWO4 composites exhibit better photocatalytic
properties than that of pure alpha-SnWO4, and Ag-NPs/alpha-SnWO4 (5mol% Ag)
presents the best photocatalytic activity, whose photodegradation efficiency of
MO is about 97% within 70min. In addition, the obtained samples demonstrate good
recyclability. The enhanced photocatalytic properties was attributed to
synergistic effect between Ag-NPs and alpha-SnWO4 nanosheets, which can increase
absorption of visible light enabled by surface plasma resonance (SPR) of Ag-NPs
and facilitate the separation of photogenerated electron-hole pairs.
PMID- 27870959
TI - High performance flexible pH sensor based on polyaniline nanopillar array
electrode.
AB - Flexible pH sensor technologies have attracted a great deal of attention in many
applications, such as, wearable health care devices and monitors for chemical and
biological processes. Here, we fabricated flexible and thin pH sensors using a
two electrode configuration comprised of a polyaniline nanopillar (PAN) array
working electrode and an Ag/AgCl reference electrode. In order to provide
nanostructure, soft lithography using a polymeric blend was employed to create a
flexible nanopillar backbone film. Polyaniline-sensing materials were deposited
on a patterned-nanopillar array by electrochemical deposition. The pH sensors
produced exhibited a near-Nernstian response (~60.3mV/pH), which was maintained
in a bent state. In addition, pH sensors showed other excellent sensor
performances in terms of response time, reversibility, repeatability,
selectivity, and stability.
PMID- 27870960
TI - Towards an accurate estimation of the isosteric heat of adsorption - A
correlation with the potential theory.
AB - Accurate estimation of the isosteric heat of adsorption is mandatory for a good
modeling of adsorption processes. In this paper a thermodynamic formalism on
adsorbed phase volume which is a function of adsorption pressure and temperature
has been proposed for the precise estimation of the isosteric heat of adsorption.
The estimated isosteric heat of adsorption using the new correlation has been
compared with measured values of prudently selected several adsorbent-refrigerant
pairs from open literature. Results showed that the proposed isosteric heat of
adsorption correlation fits the experimentally measured values better than the
Clausius-Clapeyron equation.
PMID- 27870961
TI - Design and construction of multifunctional hyperbranched polymers coated
magnetite nanoparticles for both targeting magnetic resonance imaging and cancer
therapy.
AB - Magnetic drug targeting is a drug delivery strategy that can be used to improve
the therapeutic efficiency on tumor cells and reduce the side effects on normal
cells and tissues. The aim in this study is designing a novel multifunctional
drug delivery system based on superparamagnetic nanoparticles for cancer therapy.
Magnetic nanoparticles were synthesized by coprecipitation of iron oxide followed
by coating with poly citric acid (PCA) dendritic macromolecules via bulk
polymerization strategy. It was further surface-functionalized with poly(ethylene
glycol) (PEG) and then to achieve tumor cell targeting property, folic acid was
further incorporated to the surface of prepared carriers via a facile coupling
reaction between the hydroxyl end group of the PEG and the carboxyl group of
folic acid. The so prepared nanocarriers (Fe3O4@PCA-PEG-FA) were characterized by
X-ray diffraction, TEM, TGA, FT-IR, DLS and VSM techniques. The room temperature
VSM measurements showed that magnetic particles were superparamagnetic.
Transmission electron microscopy and dynamic light scattering were also performed
which revealed that size of nanocarriers was lying in the range of 10-49nm.
Quercetin loading and release profiles of prepared nanocarriers showed that up to
83% of loaded drug was released in 250h. Fluorescent microscopy showed that the
cellular uptake by folate receptor-overexpressing HeLa cells of the quercetin
loaded Fe3O4@PCA-PEG-FA nanoparticles was higher than that of non-folate
conjugated nanoparticles. Thus, folate conjugation significantly increased
nanoparticle cytotoxicity. Also, T2-weighted MRI images of Fe3O4@PCA-PEG-FA
nanoparticles showed that the magnetic resonance signal is enhanced significantly
with increasing nanoparticle concentration in water and they also served as MRI
contrast agents with relaxivities of 3.4mM-1s-1 (r1) and 99.8mM-1s-1 (r2). The
results indicate that this multifunctional nanocarrier is a significant
breakthrough in developing a drug delivery vehicle that combines drug targeting
as well as sensing and therapy at the same time.
PMID- 27870963
TI - Ultra-hydrophobic sticky polymer surfaces formed by water-induced surface
deformation.
AB - Surface properties of poly(oxyethylene)s with alkyl thioether side chains (CH3
nTE; n=carbon atoms in the side chain) were investigated. CH3-nTEs having shorter
side chains (n=6, 8, 10) did not have ordered structures, while those with longer
chains (n=12, 14) showed well-ordered, lamellar structures with side chain
crystalline domains. Accordingly, CH3-12TE and CH3-14TE film surfaces were much
more enriched with hydrophobic alkyl side chains than CH3-8TE and CH3-10TE
surfaces. However, CH3-12TE and CH3-14TE films had smaller water contact angles
(~114 degrees ) than CH3-8TE and CH3-10TE films (>130 degrees ). This unexpected
behavior is ascribed to the formation of very rough CH3-8TE and CH3-10TE film
surfaces (Rrms>100nm) on contact with water. The CH3-10TE/poly(methyl
methacrylate) (PMMA) surfaces also showed high water contact angles (>125 degrees
), even with low CH3-10TE content (30wt%), because the CH3-10TE moiety roughened
the surfaces. Furthermore, ultra-hydrophobic sticky behavior was observed for CH3
nTEs (n=8, 10) and CH3-10TE/PMMA surfaces.
PMID- 27870962
TI - Pore volume and distribution regulation of highly nanoporous titanium dioxide
nanofibers and their photovoltaic properties.
AB - By combining the initial solvent volatilization and ultimate calcination to form
highly nanoporous polystyrene/titanium dioxide (PS/TiO2) composite nanofibrous
mats were fabricated via electrospinning, then the PS was removed afterwards by
calcination, and finally porous TiO2 nanofibers were formed successfully. The
porous structure of the nanofibers was characterized by field emission scanning
electron microscopy and Brunauer-Emmett-Teller measurements, which indicated that
the size and the diameter of the pore and the ratio of the surface area to the
volume of the mats were regulated by adjusting the weight ratios of
tetrahydrofuran and N,N-dimethylformamide in the binary solvent mixtures. X-ray
photoelectron spectroscopy and Raman analysis confirmed that the addition of TiO2
into the fibers was successful and that PS decomposed completely from fibers
after calcination at 500 degrees C. The photovoltaic measurements showed that the
obtained TiO2 nanofibers were ideal candidates for the fabrication of the
photoanodes on the dye-sensitized solar cells.
PMID- 27870964
TI - High performance electrochemical pseudocapacitors from ionic liquid assisted
electrochemically synthesized p-type conductive polymer.
AB - In this paper firstly, 1-methyl-3-methylimidazolium bromide (MB) as a new high
efficient ionic liquid was synthesized using chemical approach and then
fabricated POAP/MB films by electro-polymerization of POAP in the presence of MB
to serve as the active electrode for electrochemical supercapacitor. Theoretical
study (AIM) and electrochemical analysis have been used for characterization of
ionic liquid and POAP/MB composite film. Different electrochemical methods
including galvanostatic charge-discharge experiments, cyclic voltammetry and
electrochemical impedance spectroscopy are carried out in order to investigate
the performance of the system. This work introduces new most efficient materials
for electrochemical redox capacitors with advantages including ease synthesis,
high active surface area and stability in an aqueous electrolyte.
PMID- 27870965
TI - A biocompatible and novelly-defined Al-HAP adsorption membrane for highly
effective removal of fluoride from drinking water.
AB - A biocompatible and novelly-defined adsorption membrane for rapid removal of
fluoride was prepared. Both adsorption and membrane techniques were used in this
research. Al(OH)3 nanoparticles modified hydroxyapatite (Al-HAP) nanowires were
developed and made into Al-HAP membrane. The adsorption data of Al-HAP adsorbent
could be well described by Freundlich isotherm model while the adsorption kinetic
followed pseudo-second-order model. The maximum of adsorption capacity was
93.84mg/g when the fluoride concentration was 200mg/L. The adsorption mechanism
was anion exchanges and electrostatic interactions. The contribution rates of HAP
nanowires and Al(OH)3 nanoparticles in fluoride removal were 36.70% and 63.30%,
respectively. The fixed-bed column test demonstrate that the Al-HAP was
biocompatible and in a good stability during the process of water treatment. The
fluoride removal abilities of Al-HAP membrane with 0.3mm thickness could reach
1568L/m2 when fluoride concentrations were 5mg/L. This study indicated that the
Al-HAP membrane could be developed into a very viable technology for highly
effective removal of fluoride from drinking water.
PMID- 27870966
TI - Biochemical effects of fipronil and its metabolites on lipid peroxidation and
enzymatic antioxidant defense in tadpoles (Eupemphix nattereri: Leiuperidae).
AB - Amphibians are very sensitive to environmental change and pollution because they
have both aquatic and terrestrial life cycle stages and high skin permeability.
Particularly during the larval stages, when these animals are restricted to
small, transient ponds, exposure to high concentrations of pesticides is
inevitable in agricultural areas. Given that pesticide application increases
during the summer, which coincides with the reproductive season and the
occurrence of most neotropical tadpoles in their natural environment, strong
indications exist that tadpoles are developing in contaminated ponds. Fipronil is
one of the primary insecticides used in sugarcane cultivation in Brazil, and
little is known about its toxic effects on non-target organisms such as tadpoles.
The purpose of this study was to evaluate the effects of fipronil and its
metabolites on oxidative stress in Eupemphix nattereri tadpoles after exposure in
water and sediment at concentrations of 35, 120 and 180ugkg-1. We assessed the
activities of the antioxidant enzymes glutathione S-transferase (GST), glucose 6
phosphate dehydrogenase (G6PDH) and catalase (CAT) and lipid peroxidation
(malondialdehyde, MDA). The results showed that fipronil has an inherent capacity
to cause oxidative stress in tadpoles, as evidenced by a decrease in CAT activity
and an increase in lipid peroxidation levels at all concentrations tested.
Fipronil sulfone also produced elevated MDA levels at two of the tested
concentrations and increased G6PDH activity in tadpoles exposed to the highest
concentration of this metabolite but did not affect MDA levels. Our data showed
that fipronil and its degradation products promoted oxidative stress in Eupemphix
nattereri tadpoles exposed to environmentally relevant concentrations and could
lead to a decrease in the long-term physiological performance of these animals,
leading to detrimental effects at the population level.
PMID- 27870968
TI - The cardiac maladaptive ATF3-dependent cross-talk between cardiomyocytes and
macrophages is mediated by the IFNgamma-CXCL10-CXCR3 axis.
AB - RATIONAL: Pressure overload induces adaptive and maladaptive cardiac remodeling
processes in the heart. Part of the maladaptive process is the cross-talk between
cardiomyocytes and macrophages which is dependent on the function of the
Activating Transcription Factor 3, ATF3. Yet, the molecular mechanism involved in
cardiomyocytes-macrophages communication leading to macrophages recruitment to
the heart and cardiac maladaptive remodeling is currently unknown. METHODS AND
RESULTS: Isolated peritoneal macrophages from either wild type or ATF3-KO mice
were cultured in serum free medium to collect conditioned medium (CM). CM was
used to probe an antibody cytokine/chemokine array. The interferon gamma induced
protein 10kDa, CXCL10, was found to be enriched in wild type macrophages CM. Wild
type cardiomyocytes treated with CXCL10 in vitro, resulted in significant
increase in cell volume as compared to ATF3-KO cardiomyocytes. In vivo, pressure
overload was induced by phenylephrine (PE) infusion using micro-osmotic pumps.
Consistently, CXCL11 (CXCL10 competitive agonist) and CXCL10 receptor antagonist
(AMG487) attenuated PE-dependent maladaptive cardiac remodeling. Significantly,
we show that the expression of the CXCL10 receptor, CXCR3, is suppressed in
cardiomyocytes and macrophages derived from ATF3-KO mice. CXCR3 is positively
regulated by ATF3 through an ATF3 transcription response element found in its
proximal promoter. Finally, mice lacking CXCR3 display a significant reduction of
cardiac remodeling processes following PE infusion. CONCLUSIONS: Chronic PE
infusion results in a unique cardiomyocytes-macrophages cross-talk that is
mediated by IFNgamma. Subsequently, macrophages that are recruited to the heart
secrete CXCL10 resulting in maladaptive cardiac remodeling mediated by the CXCR3
receptor. ATF3-KO mice escape from PE-dependent maladaptive cardiac remodeling by
suppressing the IFNgamma-CXCL10-CXCR3 axis at multiple levels.
PMID- 27870969
TI - Preliminary experience with high-density electroanatomical mapping for ablation
of atrial fibrillation - Comparison of mini-basket and novel open irrigated
magnetic ablation catheter in consecutive patients.
AB - BACKGROUND: Recently, a novel electroanatomic mapping system enabling rapid and
automatic acquisition of high-resolution maps has been introduced. Previous
reports focused on system use in combination with a mini-basket catheter.
However, a novel system-specific, magnet-enabled ablation catheter eliminates the
need for the mini-basket catheter and can potentially reduce procedure complexity
and cost. Here we present our first experience from two consecutive case series
using both procedural settings. METHODS: In 14 consecutive patients (67+/-9years,
5 male) with paroxysmal (n=10) or persistent AF (n=4) undergoing de-novo (n=8) or
repeat (n=6) AF ablation, left atrial electroanatomical maps were acquired with a
mini-basket and in 22 patients (64+/-9years, 17 male) with paroxysmal (n=4) or
persistent AF (n=18) undergoing de-novo (n=12) or repeat (n=10) AF ablation with
the new ablation catheter. RESULTS: Both complete (7.9 [IQR 4.5-16.2] vs 18.8
[IQR 12.0-25.5] minutes, p=0.005) and partial maps (3.0 [IQR 2.0-4.6] vs 4.5 [IQR
2.0-6.0] minutes, p=0.014) acquired with mini-basket required significantly
shorter mapping time and had higher point density: 8832+/-4809 vs 4460+/-3914
(p=0.014) and 2483+/-1774 vs 1111+/-1926 data points (p=0.002) in partial maps.
However, procedural (201+/-52 vs 159+/-29min, p=0.004) and fluoroscopy time (33+/
11 vs 25+/-6min, p=0.005) was significantly higher in the mini-basket group.
Procedural endpoints and complications rates were similar in both groups.
CONCLUSION: The high-density mapping system can successfully be used with both
mini-basket catheters and ablation catheters employed for electro-anatomic
reconstruction of the left atrium. While mapping is faster and point density
higher with the mini-basket, procedure and fluoroscopy times are longer. The
clinical significance of those findings needs to be investigated in future and
larger studies.
PMID- 27870967
TI - Osthole inhibits the expressions of collagen I and III through Smad signaling
pathway after treatment with TGF-beta1 in mouse cardiac fibroblasts.
AB - BACKGROUND: Osthole, a natural coumarin and bioactive compound isolated from the
fruit of Cnidium monnieri (L.) Cusson, was reported to prevent isoprenaline
induced myocardial fibrosis in mice by inhibiting the transforming growth factor
beta1 (TGF-beta1) expression, but the underlying mechanism is still unclear. The
aim of this study is to illuminate whether the mechanism of osthole inhibiting
collagen I and III expressions is associated with Smad signaling pathway in mouse
cardiac fibroblasts (CFs) treated with TGF-beta1. METHODS: The mouse CFs
stimulated with TGF-beta1 were cultured and treated with osthole 1.25-5MUg/ml for
24h. The expressions of alpha-SMA, collagen I, collagen III, TGF-beta receptor I
(TbetaRI), Smad2/3, phospho-Smad2/3 (P-Smad2/3), Smad4 and Smad7 were detected by
real-time PCR method and western blot method, respectively. RESULTS: After
treatment with TGF-beta1 and osthole in CFs, the levels of alpha-SMA expression
and collagen I and III were reduced by osthole treatment. Accordingly, the ratio
of collagen I/III had a similar changing trend. Besides, the levels of TbetaRI,
Smad2/3, P-Smad2/3 and Smad4 expressions were decreased, while the level of Smad7
expression was increased after treatment with osthole. CONCLUSION: The present
results demonstrated that osthole could inhibit the collagen I and III
expressions and their ratio in CFs treated with TGF-beta1 via Smad signaling
pathway, which might be one of its anti-fibrotic action mechanisms.
PMID- 27870970
TI - Panoramic characterization of endocardial left atrial activation during human
persistent AF: Insights from non-contact mapping.
AB - BACKGROUND: The relative importance of focal drivers, multiple wavelets, rotors
and endocardial-epicardial circuits in the maintenance of persistent AF remains
unclear. Our objective was to characterize AF wavefront (WF) dynamics during
persistent AF. METHODS: The Ensite 3000 (St Jude Medical) non-contact mapping
system was used to map the LA of 15 patients with persistent AF. Wavefronts were
classified into planar WFs, rotors or focal WFs. For each new WF the site of
origin, the unipolar electrogram, and propagation patterns were determined.
RESULTS: AF was characterized by highly unstable patterns of activation with
random combinations of 1-2 propagating planar wavefronts alternating with focal
activations in a dynamic process. Stable reentry circuits and rotors were never
seen. A total of 499 wavefront patterns were analyzed in this study (416 planar
wavefronts and 83 focal wavefronts). In an individual patient planar WFs
accounted for 67+/-35% of activations with lifespans of 98+/-86ms. Focal
activations accounted for 29.7+/-33.5% of activations with lifespans of 76+/
95ms. The most common sites for new WF generation were the PVs (33%), LA roof
(23%), anterior LA (15%), LAA (11%), and posterior LA (8%). The most common
unipolar electrogram morphologies observed were QS pattern (34%), rS (29%), CFAE
(26%), QR (7%) and Rs (4%), suggesting that WFs may originate from both the
endocardial and epicardial surfaces. CONCLUSION: Human persistent AF is
characterized by the formation of highly unstable WFs consisting of various
combinations of one to two planar WFs and brief focal activations without any
evidence of rotors or sustained focal sources.
PMID- 27870972
TI - MEK/ERK signaling is involved in the role of VEGF and IGF1 in cardiomyocyte
differentiation of mouse adipose tissue-derived stromal cells.
AB - BACKGROUND: We found that mouse brown adipose tissue-derived stromal cells
(BATDCs), but not white adipose tissue-derived stromal cells (WATDCs), could
spontaneously differentiate into cardiomyocyte-like cells in a simple culture
medium. This study would find out some critical trophic factors that were
responsible for such difference in differentiation, and further determine the
involved signaling pathway. METHODS AND RESULTS: The cardiomyocyte
differentiation capacity of cells was identified by morphological observations,
immunofluorescence staining, and evaluation of expression of cardiomyocyte
specific markers. The amount of vascular endothelial growth factor (VEGF) and
insulin-like growth factor 1 (IGF1) secreted by cells was determined by ELISA
analysis. Results indicated that BATDCs secreted higher levels of VEGF and IGF1
than WATDCs. Supplementation of BATDCs with antibodies against VEGF receptor Flt
1 or IGF1 receptor Igf-1ralpha significantly suppressed the cardiac
differentiation capacity of the cells. Additionally, anti-Flt-1 and anti-Igf
1ralpha antibodies decreased phosphorylation of ERK1/2 in BATDCs. Inhibition of
MEK/ERK activity by the inhibitor PD0325901 or by RNA interference blunted the
cardiac differentiation of BATDCs. Loading recombinant VEGF and IGF1, or
transfecting their expression vectors into WATDCs, promoted cardiac
differentiation of the cells. Preincubation with PD0325901, before VEGF and IGF1
supplementation or vector transfections, blocked the stimulation of cardiac
differentiation in WATDCs. CONCLUSIONS: These findings indicated that VEGF and
IGF1 were critical factors for the spontaneous cardiac differentiation of BATDCs,
and MEK/ERK signaling was involved in the role of VEGF and IGF1. VEGF and IGF1
could be used to promote the development of cardiomyocyte phenotype in WATDCs.
PMID- 27870973
TI - Transthoracic Doppler echocardiography compared with positron emission tomography
for assessment of coronary microvascular dysfunction: The iPOWER study.
AB - BACKGROUND: Coronary microvascular function can be assessed by transthoracic
Doppler echocardiography as a coronary flow velocity reserve (TTDE CFVR) and by
positron emission tomography as a myocardial blood flow reserve (PET MBFR). PET
MBFR is regarded the noninvasive reference standard for measuring coronary
microvascular function but has limited availability. We compared TTDE CFVR with
PET MBFR in women with angina pectoris and no obstructive coronary artery disease
and assessed repeatability of TTDE CFVR. METHODS: From a cohort of women with
angina and no obstructive coronary artery stenosis at invasive coronary
angiography, TTDE CFVR by dipyridamole induced stress and MBFR by rubidium-82 PET
with adenosine was successfully measured in 107 subjects. Repeatability of TTDE
CFVR was assessed in 10 symptomatic women and in 10 healthy individuals. RESULTS:
MBFR was systematically higher than CFVR. Median MBFR (interquartile range, IQR)
was 2.68 (2.29-3.10) and CFVR (IQR) was 2.31 (1.89-2.72). Pearson's correlation
coefficient was 0.36 (p<0.01). Limits of agreement (2.standard deviation)
assessed by the Bland-Altman (confidence interval, CI) method was 1.49
(1.29;1.69) and unaffected by time-interval between examinations. Results were
similar when adjusting for rate pressure product or focusing on perfusion of the
left anterior descending artery region. Limits of agreement (CI) for repeated
CFVR in 10 healthy individuals and in 10 women with angina was 0.44 (0.21;0.68)
and 0.48 (0.22; 0.74), respectively. CONCLUSION: CFVR had a good repeatability,
but the agreement between CFVR and MBFR was modest. Divergence could be due to
methodology differences; TTDE estimates flow velocities whereas PET estimates
myocardial blood flow.
PMID- 27870971
TI - Modes of death in patients with heart failure and preserved ejection fraction.
AB - BACKGROUND: Recent studies suggest that reduced right ventricular function is an
important predictor of outcome in patients with heart failure and preserved
ejection fraction (HFpEF). Because affected patients suffer from a broad spectrum
of non-cardiac co-morbidities, it remains unclear, whether they actually die from
right heart failure (RHF) or as a consequence of other conditions. METHODS:
Consecutive patients with a confirmed diagnosis of HFpEF were enrolled in this
prospective registry. Local and external medical records, as well as telephone
interviews with relatives were used to ascertain modes of death. RHF was accepted
as a mode of death, if the following criteria were met: 1. right ventricular
dysfunction assessed by transthoracic echocardiography, and 2. clinical signs of
right heart decompensation at the time of death. RESULTS: Out of 230 patients
with complete follow-up, 16.5% (n=38) died after a mean of 30+/-17months. 60.5%
deaths were classified as cardiovascular and 34.2% as non-cardiovascular. In 5.3%
patients, the reason for death remained unknown. Of the cardiovascular cases
(n=23), 91.4% of deaths were attributed to RHF, 4.3% died from stroke and 4.3%
from sudden cardiac death. Of the non-cardiovascular deaths (n=13), 46.2% of
deaths were attributed to major infections and 38.4% deaths were related to
cancer. Other reasons for death included ileus (7.7%) and major bleeding (7.7%).
CONCLUSION: In our well-characterised HFpEF cohort, more than half of all deaths
could directly be attributed to RHF. The right ventricle seems to be a meaningful
therapeutic target in a subset of patients.
PMID- 27870975
TI - Comparisons of the underlying mechanisms of left atrial remodeling after repeat
circumferential pulmonary vein isolation with or without additional left atrial
linear ablation in patients with recurrent atrial fibrillation.
AB - BACKGROUND: Radiofrequency catheter ablation (RFCA) is a potentially curative
treatment for atrial fibrillation (AF), however, whether or not additional left
atrial (LA) linear ablation for recurrent AF adversely affects LA remodeling is
unknown. METHODS: Thirty-eight patients experiencing AF recurrence after the 1st
circumferential pulmonary vein isolation (CPVI) underwent a repeat RFCA,
including 20 and 18 patients receiving a repeat CPVI (group I) or CPVI plus LA
linear ablation (group II), respectively. 2-D echocardiography was performed
during sinus rhythm within 24h, at 1-m and 6-m after RFCA. Longitudinal strains
and strain rate were measured with speckle-tracking echocardiography. The
standard deviation of contraction duration was defined as LA mechanical
dispersion. RESULTS: One and two patients experienced AF recurrence after the 2nd
RFCA in group I and II, respectively (P=NS). The 1st CPVI with AF recurrence did
not reduce LA size significantly in two groups. After a repeat CPVI, LA diameter
but not LA maximal and minimal volume was significantly reduced in group I;
additional LA linear ablation significantly decreased LA diameter, maximal and
minimal volume in group II. However, there was no significant difference in LA
emptying function, global and segmental LA strain and strain rate among the
baseline, 1-m and 6-m follow-up in two groups. RFCA did not significantly
increase LA mechanical dispersion regardless of the AF ablation strategies.
CONCLUSIONS: In patients with recurrent AF, a successful repeat CPVI with or
without additional LA linear ablation reduced LA size without significant
deleterious effects on LA function and mechanical dispersion.
PMID- 27870974
TI - Myocardial perfusion in peripheral Raynaud's phenomenon. Evaluation using stress
cardiovascular magnetic resonance.
AB - BACKGROUND: Peripheral Raynaud's phenomenon (RP) is either primary (PRP), without
any coexisting disease or secondary (SRP), due to connective tissue diseases
(CTD). We hypothesized that adenosine stress cardiovascular magnetic resonance
(CMR) can assess myocardial perfusion in a population of PRP and SRP. PATIENTS
METHODS: Twenty CTDs, aged 30.6+/-7.5yrs., 16F/4M, including 9 systemic sclerosis
(SSc), 4 systemic lupus erythematosus (SLE), 3 mixed connective tissue disease
(MCTD), 2 polymyositis (PM) and 2 rheumatoid arthritis (RA), with SRP, under
treatment with calcium blockers, were evaluated by stress CMR and compared with
age-sex matched PRP and controls. All RP patients were under treatment with
calcium blockers. Stress perfusion CMR was performed by 1.5T system using
140mg/kg/min adenosine for 4min and 0.05mmol/kg Gd-DTPA for first-pass perfusion.
A rest perfusion was performed with the same protocol. Late gadolinium enhanced
(LGE) images were acquired after another dose of Gd-DTPA. RESULTS: In both PRP,
SRP, the myocardial perfusion reserve index (MPRI) was significantly reduced
compared with the controls (1.7+/-0.6 vs 3.5+/-0.4, p<0.001 and 0.7+/-0.2 vs
3.5+/-0.4, p<0.001, respectively). Furthermore, in SRP, MPRI was significantly
reduced, compared with PRP (0.7+/-0.2 vs 1.7+/-0.6, p<0.001). Subendo-cardial
LGE=8.2+/-1.7 of LV mass was revealed in 1 SLE, 1MCTD and 2 SSc, but in none of
PR patients. CONCLUSIONS: MPRI reduction is common in both PRP and SRP, but it is
more severe in SRP, even if RP patients are under treatment with calcium
blockers. Occult fibrosis may coexist with the reduced MPRI in SRP but not in
PRP.
PMID- 27870976
TI - Percutaneous coronary intervention and recurrent hospitalizations in elderly
patients with non ST-segment acute coronary syndrome: The role of frailty.
PMID- 27870977
TI - Longitudinal treatment patterns with ADP receptor inhibitors after myocardial
infarction: Insights from the Canadian Observational AntiPlatelet sTudy.
AB - BACKGROUND: After myocardial infarction (MI) treated with percutaneous coronary
intervention (PCI), guidelines recommend dual antiplatelet therapy (DAPT) with
aspirin and an ADP receptor inhibitor (ADPri) for at least 1year. However,
whether real-world Canadian practice patterns reflect this recommendation is
unknown. METHODS: We studied 2175 MI patients treated with PCI and discharged
from 26 Canadian hospitals between 12/2011 and 05/2013 in the Canadian
Observational Antiplatelet sTudy (COAPT). Hierarchical Cox proportional hazard
regression modeling was used to determine baseline demographic and clinical
factors associated with duration of ADPri therapy post-discharge. RESULTS: At
index-hospitalization discharge, 1597 (73%) patients were treated with
clopidogrel, 220 (10%) with prasugrel, and 358 (17%) with ticagrelor. ADPri was
discontinued prior to 1year in 474 (21.8%) patients; discontinuation rates were
lowest for patients discharged on prasugrel (17.7%), compared with clopidogrel
(22.5%) or ticagrelor (21.0%), (log rank test, p=0.03). In addition to regional
variability, factors associated with shorter ADPri duration included older age,
low body weight, Killip III/IV heart failure, atrial fibrillation, ticagrelor on
discharge, and bare metal stent use, while longer ADPri duration was associated
with history of prior MI. CONCLUSIONS: One in five PCI-treated MI patients did
not complete Canadian guideline-recommended 1-year course of ADPri treatment.
Premature ADPri discontinuation was most strongly associated with factors that
increase the risk of bleeding. Further study is required to assess the clinical
implications of premature ADPri discontinuation on patient outcomes.
PMID- 27870979
TI - Coronary disease risk assessment in men: Comparison between ASCVD Risk versus
Framingham.
AB - INTRODUCTION: This study evaluated the risk of men developing coronary heart
disease and its determinant variables, comparing these results through two
validated coronary risk scales. METHODS: A cross sectional epidemiological
analytical study in which data were collected by spontaneous demand, through a
semi-structured questionnaire, clinical examination, and blood collection. The
Chi-square test, logistic regression and Kappa for statistical analysis were
performed. RESULTS: The study included 637 men. Age was a determining factor
(p<0.05) in blood pressure (BP) changes, central obesity, BMI, glycemia, total
cholesterol, LDL and triglycerides. From this group of 637, 252 presented BP
above the recommended values. It was found that 34.54% of men had high total
cholesterol, 19.94% had high LDL, 46.78% presented HDL below normal values and
36.42% had elevated triglycerides. Metabolic syndrome was found in 24.96% of the
men. With the Framingham scale, 637 men were evaluated, 12.56% were at
intermediate-risk and 5.49% elevated risk, while on the ASCVD Risk scale 553 men
were evaluated, and 7.05% had moderate risk and none had high coronary risk. In
this study, 50.43% of men still had no previous diagnosis for any disease that
increases the risk factors. CONCLUSIONS: The determinant clinical variables were
age, blood pressure, smoking, central obesity, race and education. The Framingham
scale allowed the assessment of cardiac risk of all men in the study, with no age
restriction or cholesterol value, so in population studies it shows advantages
over the ASCVD Risk due to its comprehensive feature of including all
individuals.
PMID- 27870978
TI - Towards the standardization of stem cell therapy studies for ischemic heart
diseases: Bridging the gap between animal models and the clinical setting.
AB - Today there is an increasing demand for heart transplantations for patients
diagnosed with heart failure. Though, shortage of donors as well as the large
number of ineligible patients hurdle such treatment option. This, in addition to
the considerable number of transplant rejections, has driven the clinical
research towards the field of regenerative medicine. Nonetheless, to date,
several stem cell therapies tested in animal models fall by the wayside and when
they meet the criteria to clinical trials, subjects often exhibit modest
improvements. A main issue slowing down the admission of such therapies in the
domain of human trials is the lack of protocol standardization between research
groups, which hampers comparison between different approaches as well as the lack
of thought regarding the clinical translation. In this sense, given the large
amount of reports on stem cell therapy studies in animal models reported in the
last 3years, we sought to evaluate their advantages and limitations towards the
clinical setting and provide some suggestions for the forthcoming investigations.
We expect, with this review, to start a new paradigm on regenerative medicine, by
evoking the debate on how to plan novel stem cell therapy studies with animal
models in order to achieve more consistent scientific production and accelerate
the admission of stem cell therapies in the clinical setting.
PMID- 27870980
TI - A systematic review on perceptual-motor calibration to changes in action
capabilities.
AB - Perceptual-motor calibration has been described as a mapping between perception
and action, which is relevant to distinguish possible from impossible
opportunities for action. To avoid movement errors, it is relevant to rapidly
calibrate to immediate changes in capabilities and therefore this study sought to
explain in what conditions calibration is most efficient. A systematic search of
seven databases was conducted to identify literature concerning changes in
calibration in response to changes in action capabilities. Twenty-three papers
satisfied the inclusion criteria. Data revealed that calibration occurs rapidly
if there is a good match between the task that requires calibration and the
sources of perceptual-motor information available for exploration (e.g. when
exploring maximal braking capabilities by experiencing braking). Calibration can
take more time when the perceptual-motor information that is available is less
relevant. The current study identified a number of limitations in the field of
perceptual-motor research. Most notably, the mean participant age in the included
studies was between 18 and 33years of age, limiting the generalizability of the
results to other age groups. Also, due to inconsistent terminology used in the
field of perceptual-motor research, we argue that investigating calibration in
older cohorts should be a focus of future research because of the possible
implications of impaired calibration in an aging society.
PMID- 27870981
TI - Healthy dietary patterns and incidence of biliary tract and gallbladder cancer in
a prospective study of women and men.
AB - BACKGROUND: Whether diet influences the risk of biliary tract cancer (BTC) is
unknown. We examined the associations of two healthy dietary patterns, including
a modified Dietary Approach to Stop Hypertension (mDASH) diet and a modified
Mediterranean (mMED) diet, with the incidence of BTC in a population-based
prospective study. METHODS: The study population comprised 76,014 Swedish adults
who were 45-83 years of age and cancer-free at baseline. The mDASH and mMED diets
were calculated from self-reported dietary data collected by a validated food
frequency questionnaire. Cox proportional hazards regression models were used to
estimate hazard ratios (HR) with 95% confidence intervals (CI) adjusted for
potential confounders. RESULTS: Over 1,010,777 person-years (mean 13.3 years) of
follow-up, 140 extrahepatic BTC cases (including 77 gallbladder cancers) and 23
intrahepatic BTC cases were ascertained by linkage with the Swedish Cancer
Register. Adherence to the mDASH and mMED diets was statistically significantly
inversely associated with risk of extrahepatic BTC (Ptrend <= 0.0003) and
gallbladder cancer (Ptrend <= 0.005) but not intrahepatic BTC (Ptrend >= 0.11).
The multivariable HRs (95% CI) for the highest versus lowest tertile of the mDASH
diet were 0.41 (0.26-0.64) for extrahepatic BTC and 0.36 (0.20-0.64) for
gallbladder cancer. The corresponding HRs (95% CI) for the mMED diet were
respectively 0.41 (0.25-0.67) and 0.42 (0.23-0.79). CONCLUSION: Adherence to a
healthy diet may play a role in reducing the risk of extrahepatic BTC.
PMID- 27870982
TI - UV and fluorescent spectra study the reaction between 1, 8-Naphthalimide
derivative and hypochlorite their applications.
AB - Two simple, efficient turn-on fluorescent probes for hypochlorite have been
rationally designed and developed by utilizing the oxidation of hypochlorite.
Notably, probe 1 and 2 displayed rapid and remarkable turn-on responses to ClO-
in PBS buffer solution (pH7.4). Further, the optical properties of two probes and
their ClO--addition products were confirmed by density functional theory
calculations. And detection limits of two probes for ClO- based on the definition
by IUPAC were calculated for 2.882nM and 0.354MUM. More importantly, cell imaging
experiments demonstrated that probe 1 was more suitable for detecting the ClO- in
living A549 cells. And both two probes had the possibility of potentially applied
in practical applications such as detecting the hypochlorite concentration of tap
water and river water.
PMID- 27870983
TI - Modulation of pi-spacer of carbazole-carbazole based organic dyes toward high
efficient dye-sensitized solar cells.
AB - The effects of type and position of pi-linker in carbazole-carbazole based dyes
on their performance in dye-sensitized solar cells (DSSCs) were investigated by
DFT and TDDFT methods. The calculated electronic energy level, electron density
composition, charge injection and charge recombination properties were compared
with those of the high performance CCT3A dye synthesized recently. It is found
that that mixing a benzothiadizole (B) unit with two thiophene (T) units in the
pi-spacer can greatly shift absorption wavelength to near infrared region and
enhance the light harvesting efficiency (LHE) resulting in increasing of short
circuit current density (Jsc), whereas a thienothiophene unit does not affect
those properties. However, a B should be not directly connected to the anchoring
group of the dye because it brings electrolyte to the TiO2 surface which may
increase charge recombination rate and consequently decrease open circuit voltage
(Voc). This work shows how type and position of the pi-linker affect the
performance of DSSCs, and how to modulate those properties. We predicted that the
designed dye derived from insertion of the B unit in between the two T units
would have higher performance than CCT3A dye. The insight understanding from this
study is useful for further design of higher performance dyes by molecular
engineering.
PMID- 27870984
TI - Prevalence and molecular characterization of Clostridium difficile isolates from
a pig slaughterhouse, pork, and humans in Taiwan.
AB - Clostridium difficile causes antibiotic-associated diarrhea in both humans and
animals. The ribotype 078, predominant in food animals, is associated with
community-acquired C. difficile infection, and C. difficile is suggested to be a
foodborne pathogen. Recently, the C. difficile ribotype 078 lineage emerged in
patients and pigs in Taiwan. This study aimed to investigate the prevalence and
molecular characterization of C. difficile isolated from a pig slaughterhouse,
retail meat, ready-to-eat meals, and humans in Taiwan. We collected samples from
one slaughterhouse (n=422), 29 retail markets (raw pork, n=62; ready-to-eat pork,
n=65), and one hospital (non-diarrheal humans, stool, n=317) in 2015. The
isolated C. difficile were subjected to ribotyping and multilocus variable-number
tandem-repeat analysis (MLVA). In the slaughterhouse, the isolation rate from
carcasses was high (23%, 21/92) and ribotype 126 dominated. Scalding water was
found to have C. difficile contamination (44%, 4/9), and two of the seven
isolates were ribotype 126. The isolation rates from raw pork and ready-to-eat
pork were between 20% and 29%. Ribotypes 126, 127, and 014 were found in raw
pork, whereas ribotype 078 was not identified in this study. Eight isolates-seven
non-toxigenic isolates and one ribotype 017-were found in non-diarrheal human
samples. Notably, MLVA showed that ribotype 126 isolates from the slaughterhouse,
pig stool, colons, carcasses, and scalding water were closely genetically
related, indicating serious risk for cross-contamination. However, the genetic
evidence of foodborne transmission from carcasses to food and humans is still
lacking.
PMID- 27870985
TI - Effect of ammonium and amino acids on the growth of selected strains of
Gluconobacter and Acetobacter.
AB - Acetic acid bacteria (AAB) are a group of microorganisms highly used in the food
industry. However, its use can be limited by the insufficient information known
about the nutritional requirements of AAB for optimal growth. The aim of this
work was to study the effects of different concentrations and sources of nitrogen
on the growth of selected AAB strains and to establish which nitrogen source best
encouraged their growth. Two strains of three species of AAB, Gluconobacter
japonicus, Gluconobacter oxydans and Acetobacter malorum, were grown in three
different media with diverse nitrogen concentrations (25, 50, 100, and 300mgN/L
and 1gN/L) as a complete solution of amino acids and ammonium. With this
experiment, the most favourable medium and the lowest nitrogen concentration
beneficial for the growth of each strain was selected. Subsequently, under these
conditions, single amino acids or ammonium were added to media individually to
determine the best nitrogen sources for each AAB strain. The results showed that
nitrogen requirements are highly dependent on the nitrogen source, the medium and
the AAB strain. Gluconobacter strains were able to grow in the lowest nitrogen
concentration tested (25mgN/L); however, one of the G. oxydans strains and both
A. malorum strains required a higher concentration of nitrogen (100-300mgN/L) for
optimal growth. In general, single nitrogen sources were not able to support the
growth of these AAB strains as well as the complete solution of amino acids and
ammonium.
PMID- 27870986
TI - A generalized nonlinear model-based mixed multinomial logit approach for crash
data analysis.
AB - The mixed multinomial logit (MNL) approach, which can account for unobserved
heterogeneity, is a promising unordered model that has been employed in analyzing
the effect of factors contributing to crash severity. However, its basic
assumption of using a linear function to explore the relationship between the
probability of crash severity and its contributing factors can be violated in
reality. This paper develops a generalized nonlinear model-based mixed MNL
approach which is capable of capturing non-monotonic relationships by developing
nonlinear predictors for the contributing factors in the context of unobserved
heterogeneity. The crash data on seven Interstate freeways in Washington between
January 2011 and December 2014 are collected to develop the nonlinear predictors
in the model. Thirteen contributing factors in terms of traffic characteristics,
roadway geometric characteristics, and weather conditions are identified to have
significant mixed (fixed or random) effects on the crash density in three crash
severity levels: fatal, injury, and property damage only. The proposed model is
compared with the standard mixed MNL model. The comparison results suggest a
slight superiority of the new approach in terms of model fit measured by the
Akaike Information Criterion (12.06 percent decrease) and Bayesian Information
Criterion (9.11 percent decrease). The predicted crash densities for all three
levels of crash severities of the new approach are also closer (on average) to
the observations than the ones predicted by the standard mixed MNL model.
Finally, the significance and impacts of the contributing factors are analyzed.
PMID- 27870988
TI - Sensitivity and attachment interventions in early childhood: A systematic review
and meta-analysis.
AB - A systematic review and meta-analysis of randomized controlled trials (RCT) was
conducted to determine whether early interventions are effective in improving
attachment security and parental sensitivity. Electronic databases were searched
2002-2015 onwards, All RCTs delivered to mothers, fathers or carers, before their
child's mean age was 36 months, via 1:1 support, group work or guided self-help
were included. The search was restricted to English Language publications. Study
Selection, data extraction and quality appraisal were independently undertaken by
two authors. With regard to analysis, where appropriate, dichotomous data were
pooled using the Mantel- Haenszel odds ratio method and for continuous data
descriptive statistics were collected in order to calculate standardized mean
differences and effect sizes. Four studies met inclusion criteria and were
divided into two groups: North American & Canadian and South African based
studies. Combining data from both groups indicates that early interventions
improve attachment security and improves rates of disorganized attachment. One
study provided extractable data on the outcome of parental sensitivity which
shows that early interventions were effective in improving maternal sensitivity
at 6 and 12 months. Study results generally support the findings of a previous
review (Bakermans-Kranenburg et al., 2003) which found that early interventions
improved attachment security and maternal sensitivity.
PMID- 27870989
TI - Maternal postpartum depressive symptoms predict delay in non-verbal communication
in 14-month-old infants.
AB - We investigated the potential relationship between maternal depressive symptoms
during the postpartum period and non-verbal communication skills of infants at 14
months of age in a birth cohort study of 951 infants and assessed what factors
may influence this association. Maternal depressive symptoms were measured using
the Edinburgh Postnatal Depression Scale, and non-verbal communication skills
were measured using the MacArthur-Bates Communicative Development Inventories,
which include Early Gestures and Later Gestures domains. Infants whose mothers
had a high level of depressive symptoms (13+ points) during both the first month
postpartum and at 10 weeks were approximately 0.5 standard deviations below
normal in Early Gestures scores and 0.5-0.7 standard deviations below normal in
Later Gestures scores. These associations were independent of potential
explanations, such as maternal depression/anxiety prior to birth, breastfeeding
practices, and recent depressive symptoms among mothers. These findings indicate
that infants whose mothers have postpartum depressive symptoms may be at
increased risk of experiencing delay in non-verbal development.
PMID- 27870987
TI - Single-arm trial of the second version of an acceptance & commitment therapy
smartphone application for smoking cessation.
AB - BACKGROUND: The first randomized trial of a smartphone application (app) for
adult smoking cessation (SmartQuit 1.0) revealed key features that predict
cessation. These findings guided the revision of this Acceptance & Commitment
Therapy (ACT)-based application (SmartQuit 2.0), which was primarily tested to
examine participant receptivity, short-term cessation and reduction, and the
relationship between program completion, smoking cessation and reduction.
Secondarily, outcomes were descriptively compared with the SmartQuit1.0 trial.
METHOD: Adult participants (78% female, 25% with high school or less education,
30% unemployed) were recruited into the single-arm pilot trial (N=99) of
SmartQuit 2.0 with a two-month follow-up (85% retention). RESULTS: Regarding
receptivity, 84% of participants were satisfied with SmartQuit 2.0 (vs. 59% for
SmartQuit1.0), 73% would recommend it to a friend (vs. 48% for SmartQuit1.0), 81%
found the ACT exercises useful for quitting (vs. 44% for SmartQuit1.0). At the 2
month follow-up, the quit rates were 21% for 7-day point prevalence (vs. 23% for
SmartQuit1.0), 11% for 30-day point prevalence (vs. 13% for SmartQuit1.0), and
75% of participants reduced their smoking frequency (vs. 57% for SmartQuit1.0).
Among program completers (24% of total sample), the quit rates were 33% for 7-day
point prevalence, 28% for 30-day point prevalence, and 88% of participants
reduced their smoking frequency. CONCLUSIONS: The revised app had high user
receptivity, modest quit rates, and high smoking reduction rates. Program
completion may be key to boosting the app's effectiveness.
PMID- 27870990
TI - Memory by association: Integrating memories prolongs retention by two-year-olds.
AB - Recalling one memory often leads to the recollection of other memories that share
overlapping features. This phenomenon, spreading activation, was originally
documented in studies conducted with verbal adults, and more recently, it has
been demonstrated with preverbal infants. Here, we examine the effect of
spreading activation on long-term retention by 2-year-olds. Participants were
tested in the Visual Recognition Memory (VRM) paradigm and the deferred imitation
paradigm. Typically, infants of this age exhibit retention in the VRM paradigm
for 24h, while they exhibit retention in the deferred imitation paradigm for at
least 8 weeks. In the present experiment, we paired these tasks together during
original encoding and tested infants after an 8-week delay. Two-year-olds
exhibited retention in both tasks. That is, when these two tasks initially
occurred together - one task that is extremely memorable and one that is not -
retrieving the memory of the more memorable task cued retrieval of the less
memorable task, extending its longevity.
PMID- 27870991
TI - Potts Hamiltonian models of protein co-variation, free energy landscapes, and
evolutionary fitness.
AB - Potts Hamiltonian models of protein sequence co-variation are statistical models
constructed from the pair correlations observed in a multiple sequence alignment
(MSA) of a protein family. These models are powerful because they capture higher
order correlations induced by mutations evolving under constraints and help
quantify the connections between protein sequence, structure, and function
maintained through evolution. We review recent work with Potts models to predict
protein structure and sequence-dependent conformational free energy landscapes,
to survey protein fitness landscapes and to explore the effects of epistasis on
fitness. We also comment on the numerical methods used to infer these models for
each application.
PMID- 27870992
TI - Nanoencapsulation of coenzyme Q10 and vitamin E acetate protects against UVB
radiation-induced skin injury in mice.
AB - This study aimed to investigate the feasibility of producing semisolid
formulations based on nanocapsule suspensions containing the association of the
coenzyme Q10 and vitamin E acetate by adding gellan gum (2%) to the suspensions.
Furthermore, we studied their application as an alternative for the treatment of
inflammation induced by ultraviolet B (UVB) radiation. For this, an animal model
of injury induced by UVB-radiation was employed. All semisolids presented pH
close to 5.5, drug content above 95% and mean diameter on the nanometric range,
after redispersion in water. Besides, the semisolids presented non-Newtonian flow
with pseudoplastic behavior and suitable spreadability factor values. The results
also showed that the semisolid containing coenzyme Q10-loaded nanocapsules with
higher vitamin E acetate concentration reduced in 73+/-8% the UVB radiation
induced ear edema. Moreover, all formulations tested were able to reduce
inflammation parameters evaluated through MPO activity and histological procedure
on injured tissue and the semisolids containing the nanoencapsulated coenzyme Q10
reduced oxidative parameters assessment through the non-protein thiols levels and
lipid peroxidation. This way, the semisolids based on nanocapsules may be
considered a promising approach for the treatment and prevention of skin
inflammation diseases.
PMID- 27870993
TI - DLVO, hydrophobic, capillary and hydrodynamic forces acting on bacteria at solid
air-water interfaces: Their relative impact on bacteria deposition mechanisms in
unsaturated porous media.
AB - Experimental and modeling studies were performed to investigate bacteria
deposition behavior in unsaturated porous media. The coupled effect of different
forces, acting on bacteria at solid-air-water interfaces and their relative
importance on bacteria deposition mechanisms was explored by calculating
Derjaguin-Landau-Verwey-Overbeek (DLVO) and non-DLVO interactions such as
hydrophobic, capillary and hydrodynamic forces. Negatively charged non-motile
bacteria and quartz sands were used in packed column experiments. The
breakthrough curves and retention profiles of bacteria were simulated using the
modified Mobile-IMmobile (MIM) model, to identify physico-chemical attachment or
physical straining mechanisms involved in bacteria retention. These results
indicated that both mechanisms might occur in both sand. However, the attachment
was found to be a reversible process, because attachment coefficients were
similar to those of detachment. DLVO calculations supported these results: the
primary minimum did not exist, suggesting no permanent retention of bacteria to
solid-water and air-water interfaces. Calculated hydrodynamic and resisting
torques predicted that bacteria detachment in the secondary minimum might occur.
The capillary potential energy was greater than DLVO, hydrophobic and
hydrodynamic potential energies, suggesting that film straining by capillary
forces might largely govern bacteria deposition under unsaturated conditions.
PMID- 27870994
TI - Landscape changes in a neotropical forest-savanna ecotone zone in central Brazil:
The role of protected areas in the maintenance of native vegetation.
AB - In the Amazon-savanna ecotone in northwest Brazil, the understudied Araguaia
River Basin contains high biodiversity and seasonal wetlands. The region is
representative of tropical humid-dry ecotone zones, which have experienced
intense land use and land cover (LULC) conversions. Here we assessed the LULC
changes for the last four decades in the central portion of the Araguaia River
Basin to understand the temporal changes in the landscape composition and
configuration outside and inside protected areas. We conducted these analyzes by
LULC mapping and landscape metrics based on patch classes. During this period,
native vegetation was reduced by 26%. Forests were the most threatened
physiognomy, with significant areal reduction and fragmentation. Native
vegetation cover was mainly replaced by croplands and pastures. Such replacement
followed spatial and temporal trends related to the implementation of protected
areas and increases in population cattle herds. The creation of most protected
areas took place between 1996 and 2007, the same period during which the
conversion of the landscape matrix from natural vegetation to agriculture
occurred. We observed that protected areas mitigate fragmentation, but their
roles differ according to their location and level of protection. Still, we argue
that landscape characteristics, such as suitability for agriculture, also
influence landscape conversions and should be considered when establishing
protected areas. The information provided in this study can guide new research on
species conservation and landscape planning, as well as improve the understanding
of the impacts of landscape composition and configuration changes.
PMID- 27870995
TI - Agronomic assessment of pyrolysed food waste digestate for sandy soil management.
AB - The digestate (DFW) of an industrial food waste treatment plant was pyrolysed for
production of biochar for its direct application as bio-fertilizer or soil
enhancer. Nutrient dynamics and agronomic viability of the pyrolysed food waste
digestate (PyD) produced at different temperatures were evaluated using
germination index (GI), water retention/availability and mineral sorption as
indicators when applied on arid soil. The pyrolysis was found to enrich P, K and
other micronutrients in the biochar at an average enrichment factor of 0.87. All
PyD produced at different temperatures indicated significantly low phytotoxicity
with GI range of 106-168% and an average water retention capacity of 40.2%.
Differential thermogravimetric (DTG) thermographs delineated the stability of the
food waste digestate pyrolysed at 500 degrees C (PyD500) against the degradation
of the digestate food waste despite the latter poor nutrient sorption potential.
Plant available water in soil is 40% when treated with 100 g of digestate per kg
soil, whereas PyD500 treated soil indicated minimal effect on plant available
water, even with high application rates. However, the positive effects of PyD on
GI and the observed enrichment in plant macro and micronutrients suggest
potential agronomic benefits for PyD use, in addition to the benefits from energy
production from DFW during the pyrolysis process.
PMID- 27870996
TI - Design and performance evaluation of a 1000-year evapotranspiration-capillary
surface barrier.
AB - Surface barrier technology is used to isolate radioactive waste and to reduce or
eliminate recharge water to the waste zone for 1000 years or longer. However, the
design and evaluation of such a barrier is challenging because of the extremely
long design life. After establishing a set of design and performance objectives,
a package of design solutions was developed for 1000-year surface barriers over
nuclear waste sites. The Prototype Hanford Barrier (PHB) was then constructed in
1994 in the field over an existing waste site as a demonstration. The barrier was
tested to evaluate surface-barrier design and performance at the field scale
under conditions of enhanced and natural precipitation and of no vegetation. The
monitoring data demonstrate that the barrier satisfied nearly all objectives in
the past two decades. The PHB far exceeded the Resource Conservation and Recovery
Act criteria, functioned in Hanford's semiarid climate, limited drainage to well
below the 0.5 mm yr-1 performance criterion, limited runoff, and minimized
erosion and bio-intrusion. Given the two-decade record of successful performance
and consideration of the processes and mechanisms that could affect barrier
stability and hydrology in the future, the results suggest the PHB is very likely
to perform for its 1000-year design life. This conclusion is based on two
assumptions: (1) the exposed subgrade receives protection against erosion and (2)
institutional controls prevent inadvertent human activity at the barrier. The PHB
design can serve as the basis for site-specific barriers over waste sites
containing underground nuclear waste, uranium mine tailings, and hazardous mine
waste.
PMID- 27870997
TI - Comparative efficacy of psychological therapies for improving mental health and
daily functioning in irritable bowel syndrome: A systematic review and meta
analysis.
AB - Previous meta-analyses have shown that psychotherapy improves gastrointestinal
symptoms in adults with irritable bowel syndrome (IBS); however, the impact on
functioning in daily activities is unknown. Meta-analysis was used to estimate
the effect of psychotherapy on mental health and daily functioning in adults with
IBS. An extensive literature search located 28 eligible randomized controlled
trials (RCTs) providing outcome data for mental health and 18 RCTs providing data
for daily functioning. Compared to a mixed group of control conditions,
psychotherapy produced significantly greater improvements to mental health (d
=0.41) and daily functioning (d-=0.43). Cognitive behavior therapy (CBT) was
evaluated in the largest number of trials (21 trials), followed by hypnosis (4
trials), psychodynamic (3 trials), and relaxation (2 trials). The
psychotherapeutic modalities were comparable with respect to their effect on
mental health. CBT produced the greatest improvements to daily functioning, and
this effect was significantly larger than that produced by relaxation therapy.
These results have important clinical implications for treatment of adults with
IBS.
PMID- 27870998
TI - Supporting metabolomics with adaptable software: design architectures for the end
user.
AB - Large and disparate sets of LC-MS data are generated by modern metabolomics
profiling initiatives, and while useful software tools are available to annotate
and quantify compounds, the field requires continued software development in
order to sustain methodological innovation. Advances in software development
practices allow for a new paradigm in tool development for metabolomics, where
increasingly the end-user can develop or redeploy utilities ranging from simple
algorithms to complex workflows. Resources that provide an organized framework
for development are described and illustrated with LC-MS processing packages that
have leveraged their design tools. Full access to these resources depends in part
on coding experience, but the emergence of workflow builders and pluggable
frameworks strongly reduces the skill level required. Developers in the
metabolomics community are encouraged to use these resources and design content
for uptake and reuse.
PMID- 27870999
TI - Sparse Bayesian registration of medical images for self-tuning of parameters and
spatially adaptive parametrization of displacements.
AB - We extend Bayesian models of non-rigid image registration to allow not only for
the automatic determination of registration parameters (such as the trade-off
between image similarity and regularization functionals), but also for a data
driven, multiscale, spatially adaptive parametrization of deformations. Adaptive
parametrizations have been used with success to promote both the regularity and
accuracy of registration schemes, but so far on non-probabilistic grounds -
either as part of multiscale heuristics, or on the basis of sparse optimization.
Under the proposed model, a sparsity-inducing prior on transformation parameters
complements the classical smoothness-inducing prior, and favors parametrizations
that use few degrees of freedom. As a result, finer bases get introduced only in
the presence of coherent image information and motion, while coarser bases ensure
better extrapolation of the motion to textureless, uninformative regions. The
space of possible parametrizations consists of arbitrary combinations of basis
functions chosen among any preset, widely overcomplete (and typically multiscale)
dictionary. Inference is tackled in an efficient Variational Bayes framework. In
addition we propose a flexible mixture-of-Gaussian model of data that proves to
be more faithful for a variety of image modalities than the sum-of-squared
differences. The performance of the proposed approach is demonstrated on time
series of (cine and tagged) magnetic resonance and echocardiographic cardiac
images. The proposed algorithm matches the state-of-the-art on benchmark datasets
evaluating accuracy of motion and strain, and is highly automated.
PMID- 27871000
TI - Comparison of atlas-based techniques for whole-body bone segmentation.
AB - We evaluate the accuracy of whole-body bone extraction from whole-body MR images
using a number of atlas-based segmentation methods. The motivation behind this
work is to find the most promising approach for the purpose of MRI-guided
derivation of PET attenuation maps in whole-body PET/MRI. To this end, a variety
of atlas-based segmentation strategies commonly used in medical image
segmentation and pseudo-CT generation were implemented and evaluated in terms of
whole-body bone segmentation accuracy. Bone segmentation was performed on 23
whole-body CT/MR image pairs via leave-one-out cross validation procedure. The
evaluated segmentation techniques include: (i) intensity averaging (IA), (ii)
majority voting (MV), (iii) global and (iv) local (voxel-wise) weighting atlas
fusion frameworks implemented utilizing normalized mutual information (NMI),
normalized cross-correlation (NCC) and mean square distance (MSD) as image
similarity measures for calculating the weighting factors, along with other atlas
dependent algorithms, such as (v) shape-based averaging (SBA) and (vi) Hofmann's
pseudo-CT generation method. The performance evaluation of the different
segmentation techniques was carried out in terms of estimating bone extraction
accuracy from whole-body MRI using standard metrics, such as Dice similarity
(DSC) and relative volume difference (RVD) considering bony structures obtained
from intensity thresholding of the reference CT images as the ground truth.
Considering the Dice criterion, global weighting atlas fusion methods provided
moderate improvement of whole-body bone segmentation (DSC= 0.65 +/- 0.05)
compared to non-weighted IA (DSC= 0.60 +/- 0.02). The local weighed atlas fusion
approach using the MSD similarity measure outperformed the other strategies by
achieving a DSC of 0.81 +/- 0.03 while using the NCC and NMI measures resulted in
a DSC of 0.78 +/- 0.05 and 0.75 +/- 0.04, respectively. Despite very long
computation time, the extracted bone obtained from both SBA (DSC= 0.56 +/- 0.05)
and Hofmann's methods (DSC= 0.60 +/- 0.02) exhibited no improvement compared to
non-weighted IA. Finding the optimum parameters for implementation of the atlas
fusion approach, such as weighting factors and image similarity patch size, have
great impact on the performance of atlas-based segmentation approaches. The voxel
wise atlas fusion approach exhibited excellent performance in terms of cancelling
out the non-systematic registration errors leading to accurate and reliable
segmentation results. Denoising and normalization of MR images together with
optimization of the involved parameters play a key role in improving bone
extraction accuracy.
PMID- 27871001
TI - Identification of miRNA from Bouteloua gracilis, a drought tolerant grass, by
deep sequencing and their in silico analysis.
AB - BACKGROUND: MicroRNAs (miRNAs) are small non-coding RNA molecules that regulate
signal transduction, development, metabolism, and stress responses in plants
through post-transcriptional degradation and/or translational repression of
target mRNAs. Several studies have addressed the role of miRNAs in model plant
species, but miRNA expression and function in economically important forage
crops, such as Bouteloua gracilis (Poaceae), a high-quality and drought-resistant
grass distributed in semiarid regions of the United States and northern Mexico
remain unknown. RESULTS: We applied high-throughput sequencing technology and
bioinformatics analysis and identified 31 conserved miRNA families and 53 novel
putative miRNAs with different abundance of reads in chlorophyllic cell cultures
derived from B. gracilis. Some conserved miRNA families were highly abundant and
possessed predicted targets involved in metabolism, plant growth and development,
and stress responses. We also predicted additional identified novel miRNAs with
specific targets, including B. gracilis ESTs, which were detected under drought
stress conditions. CONCLUSIONS: Here we report 31 conserved miRNA families and 53
putative novel miRNAs in B. gracilis. Our results suggested the presence of
regulatory miRNAs involved in modulating physiological and stress responses in
this grass species.
PMID- 27871002
TI - Coating nanoparticles with tunable surfactants facilitates control over the
protein corona.
AB - Nanoparticles with long blood circulation time are a prerequisite for targeted
drug delivery. To make the nanoparticles invisible for phagocytizing cells,
functional moieties on the particle surface are believed to be necessary to
attract specific so-called 'stealth' proteins forming a protein 'corona'.
Currently, covalent attachment of those moieties represents the only way to
achieve that attraction. However, that approach requires a high synthetic effort
and is difficult to control. Therefore, we present the coating of model
nanoparticles with biodegradable polymeric surfactants as an alternative method.
The thermodynamic parameters of the coating process can be tuned by adjusting the
surfactants' block lengths and hydrophilicity. Consequently, the unspecific
protein adsorption and aggregation tendency of the particles can be controlled,
and stealth proteins inhibiting cell uptake are enriched on their surface. This
non-covalent approach could be applied to any particle type and thus facilitates
tuning the protein corona and its biological impact.
PMID- 27871003
TI - Magnetic mesoporous nanospheres anchored with LyP-1 as an efficient pancreatic
cancer probe.
AB - Immobilization of a ligand that selectively interacts with cancer cells to
nanomaterials can enhance their diagnostic and therapeutic efficiency. In this
study, we firstly demonstrate the high expression of receptor for cyclic nine
amino acid peptide LyP-1 (Cys-Gly-Asn-Lys-Arg-Thr-Arg-Gly-Cys) in both mouse and
human pancreatic cancer. Based on these findings, sub-50 nm multifunctional
superparamagnetic mesoporous nanospheres with surface modified with LyP-1 are
rationally designed. Theses nanospheres have a core of silica-protected magnetite
nanoparticle and a shell of FITC-labeled mesoporous silica, and they are able to
specifically recognize and conjugate with the pancreatic cancer cell in vitro, as
verified by the combined techniques of fluorescent imaging and T2 weight magnetic
resonance imaging. After systematic administration, these LyP-1 immobilized
nanospheres are found to actively target to mouse orthotopic xenograft of
pancreatic cancer, which opens up the door for applications in early probing and
diagnosis of pancreatic cancer by the multimodal imaging.
PMID- 27871004
TI - BDE-47 exposure changed the immune function of haemocytes in Mytilus edulis: An
explanation based on ROS-mediated pathway.
AB - Brominated Tetra-BDE (BDE-47), is suggested to be widely distributed in marine
environments and highly accumulated in marine organisms. Blue mussel Mytilus
edulis is a sentinel organism that is commonly used for monitoring chemical
contaminants in coastal ecosystems, and its haemocytes play an essential role in
immune function. Therefore, we estimated the effects of BDE-47 exposure on the M.
edulis haemocytes' immune function under controlled laboratory conditions. The
study found the following results: (1) BDE-47 exposure increased the mortality of
the haemocytes and decreased the total haemocyte counts. The ultrastructure and
microstructure in the haemocytes were significantly changed, and the micronucleus
frequency was increased steadily in a concentration-dependent manner, inferring
that cellular and molecular damages occur during the exposure. (2) The immune
function of the haemocytes was estimated from lysosomal and phagocytic changes.
The lysosomal membrane stability was significantly disrupted compared to the
control according to neutral red retention time changes, and the phagocytic
ability was reduced significantly. Two lysosomal enzymes, acid phosphatases and
alkaline phosphatases, presented similar increasing trends during the treatment.
(3) BDE-47 exposure significantly induced the overproduction of reactive oxygen
species and malondialdehyde in a clear time- and concentration-dependent manner,
suggesting the occurrence of oxidative stress. We thus presumed that BDE-47
exposure affected the immune function of the mussel's haemocytes, and an ROS
mediated pathway might be one of the possible explanations for the observation.
PMID- 27871005
TI - Nickel affects gill and muscle development in oriental fire-bellied toad (Bombina
orientalis) embryos.
AB - The developmental toxicity of nickel was examined in the embryos of Bombina
orientalis, a common amphibian in Korea. Based on a standard frog embryo
teratogenesis assay, the LC50 and EC50 for malformation of nickel after 168h of
treatment were 33.8MUM and 5.4MUM, respectively. At a lethal concentration
(100MUM), nickel treatment decreased the space between gill filaments and caused
epithelial swelling and abnormal fusion of gill filaments. These findings suggest
that nickel affects the functional development of gills, leading to embryonic
death. At sublethal concentrations (1-10MUM), nickel produced multiple embryonic
abnormalities, including bent tail and tail dysplasia. At 10MUM, nickel
significantly decreased tail length and tail muscle fiber density in tadpoles,
indicating inhibition of myogenic differentiation. Before hatching, the pre
muscular response to muscular response stages (stages 26-31) were the most
sensitive period to nickel with respect to tail muscle development. During these
stages, MyoD mRNA was upregulated, whereas myogenic regulatory factor 4 mRNA was
downregulated by 0.1MUM nickel. Calcium-dependent kinase activities in muscular
response stage embryos were significantly decreased by nickel, whereas these
activities were restored by exogenous calcium. In tadpoles, 10MUM nickel
significantly decreased the expression of the myosin heavy chain and the 12/101
muscle marker protein in the tail. Expression was restored by exogenous calcium.
Our results indicate that nickel affects muscle development by disrupting calcium
dependent myogenesis in developing B. orientalis embryos.
PMID- 27871006
TI - Evaluating the use of friend or family controls in epidemiologic case-control
studies.
AB - BACKGROUND: Traditional methodologies for identifying and recruiting controls in
epidemiologic case-control studies, such as random digit dialing or neighborhood
walk, suffer from declining response rates. Here, we revisit the feasibility and
comparability of using alternative sources of controls, specifically friend and
family controls. METHODS: We recruited from a recently completed case-control
study of non-Hodgkin lymphoma (NHL) among women in Los Angeles County where
controls from the parent study were ascertained by neighborhood walk. We
calculated participation rates and compared questionnaire responses between the
friend/family controls and the original matched controls from the parent study.
RESULTS: Of the 182 NHL case patients contacted, 111 (61%) agreed to participate
in our feasibility study. 70 (63%) provided contact information for potential
friend and/or family member controls. We were able to successfully contact and
recruit a friend/family member for 92% of the case patients. This represented 46
friend controls and 54 family controls. Family controls significantly differed
from original matched controls by sex and household income. Other characteristics
were similar between friend controls and the original study's neighborhood
controls. CONCLUSION: The apparent comparability of neighborhood controls to
friend and family controls among respondents in this study suggests that these
alternative methods of control identification can serve as a complementary source
of eligible controls in epidemiologic case-control studies.
PMID- 27871008
TI - Production cross sections of radioisotopes from 3He-particle induced nuclear
reactions on natural titanium.
AB - Excitation functions were measured using the stacked-foil method for the
natTi(3He,x)44mSc, 46m+gSc, 47Sc, 48Sc, 48V and 48Cr nuclear processes up to
68MeV. Our new cross-section data were compared with the earlier reported values
as well as the evaluated theoretical predictions by means of the TALYS 1.6 code
as compiled in the TENDL-2015 library. The new data show acceptable agreement
with the previous experimental values in the overlapping energy regions, however
only a partial agreement was found in the case of the results of the nuclear
reaction model code. The present work not only strengthens the experimental
datasets of the above processes but also provides new cross-section values above
36MeV where only one dataset is available for each reaction.
PMID- 27871007
TI - A precise measurement of the 117mSn half-life.
AB - The 117mSn isotope was produced via fast, 14.1MeV neutron activation of natural
tin and studied with a background shielded HPGe detector. To enable time-resolved
gamma-ray spectroscopy to precisely measure the half-life of 117mSn, a commercial
DAQ was replaced with a CAMAC-based analogue DAQ. The deadtime response of the
applied DAQ was verified for the measurement. The half-life of 117mSn was
measured to be 13.91+/-0.03 days and the I(158.6keV)/I(156.0keV) gamma-ray ratio
was measured to be 43.6+/-1.5.
PMID- 27871009
TI - Malignant nerve sheath tumor involving glossopharyngeal, vagus and spinal nerve
with intracranial-extracranial extension and systemic metastases in a patient
with type 1 neurofibromatosis: A case report.
AB - INTRODUCTION: Intracranial malignant peripheral nerve sheath tumors are an
extremely rare pathology with a high morbidity and mortality. Epidemiological,
clinical and prognostic data are scarce and with little certainty in the
literature. The aim of this paper is to report for first time in English
literature, the case of a patient with type 1 neurofibromatosis, who presented a
malignant peripheral nerve sheath tumor that involved the left glossopharyngeal,
vagus and spinal nerves with intracranial and extracranial extension through
jugular foramen and systemic metastases. PRESENTATION OF CASE: A 37 years-old
female patient with malnutrition and Villarets syndrome. It was confirmed by
brain magnetic resonance imaging and PET-CT the presence of a neoplasic lesion
which was radiologically compatible with malignant peripheral nerve sheath tumor
with systemic metastases. Partial surgical resection was performed; the patient
postoperative course was without significant clinical improvement but with added
peripheral facial palsy. The patient did not accept adjuvant management because
of personal reasons. DISCUSSION AND CONCLUSION: Behavior therapy is unclear due
to the low frequency of the disease and the lack of case series, representing a
challenge for the physician in its approach and a poor prognosis for the patient.
PMID- 27871010
TI - Diagnostic challenges in a patient with myocardial tuberculoma: A case report.
AB - INTRODUCTION: Tuberculosis can affect any organ of the body, including the heart.
PRESENTATION OF CASE: An 18-year old woman presented with a multifocal
tuberculosis infection involving abdominal lymph nodes, a sternotomy wound, an
abscess of the abdominal wall and most notably a myocardial tuberculoma.
Establishing the diagnosis of the myocardial tuberculoma was challenging mainly
due to the location within the heart. Initially a diagnostic percutaneous femoral
vascular catheter guided biopsy of the right atrial mass was performed, but later
open surgery involving median sternotomy was needed. The patient recovered fully
after surgery and nine months treatment with anti-tuberculosis drugs. DISCUSSION:
The optimal length of treatment for myocardial tuberculoma is unknown. Medical
treatment for six months might be enough regardless whether surgery is performed
or not. CONCLUSION: Myocardial tuberculoma requires culture from the infected
tissue for confirmed diagnosis and might be successfully treated with anti
tuberculosis drugs only. Indications for surgery include uncertain diagnosis,
poor response to medical treatment or cardiac complications.
PMID- 27871011
TI - Multiple concurrent bilateral groin hernias in a single patient; a case report
and a review of uncommon groin hernias: A possible source of persistent pain
after successful repair.
AB - INTRODUCTION: The wide use of laparoscopy for groin hernia repair has unveiled
"hidden hernias" silently residing in this area. During the open repair of the
presenting hernia, the surgeon was often unaware of these occult hernias. These
patients postoperatively may present with unexplained chronic groin or pelvic
pain. PRESENTATION OF CASE: Rare groin hernias are defined according to their
anatomical position. Challenges in the diagnosis and management of occult rare
groin hernias are discussed. These problems are illustrated by a unique case
report of multiple (six) coexisting groin hernias, whereof five were occult and
two were rare. DISCUSSION: Rare groin hernias are uncommon because they are
difficult to diagnose clinically and are not routinely looked for. They are often
occult and may coexist with other inguinal hernias, thus posing a diagnostic and
treatment challenge to the surgeon, especially if there is persistent groin pain
after "successful" repair. MRI is the most accurate preoperative and
postoperative diagnostic tool, if there is a clinical suspicion that the patient
might have an occult hernia. CONCLUSION: Preperitoneal endoscopic approach is the
recommended method in confirming the diagnosis and management of occult groin
hernias. A sound knowledge of groin anatomy and a thorough preperitoneal
inspection of all possible sites for rare groin hernias are needed to diagnose
and repair all defects. The preperitoneal mesh repair with adequate overlap of
all hernia orifices is the recommended treatment of choice.
PMID- 27871012
TI - Algorithm based on the short-term Renyi entropy and IF estimation for noisy EEG
signals analysis.
AB - Stochastic electroencephalogram (EEG) signals are known to be nonstationary and
often multicomponential. Detecting and extracting their components may help
clinicians to localize brain neurological dysfunctionalities for patients with
motor control disorders due to the fact that movement-related cortical activities
are reflected in spectral EEG changes. A new algorithm for EEG signal components
detection from its time-frequency distribution (TFD) has been proposed in this
paper. The algorithm utilizes the modification of the Renyi entropy-based
technique for number of components estimation, called short-term Renyi entropy
(STRE), and upgraded by an iterative algorithm which was shown to enhance
existing approaches. Combined with instantaneous frequency (IF) estimation, the
proposed method was applied to EEG signal analysis both in noise-free and noisy
environments for limb movements EEG signals, and was shown to be an efficient
technique providing spectral description of brain activities at each electrode
location up to moderate additive noise levels. Furthermore, the obtained
information concerning the number of EEG signal components and their IFs show
potentials to enhance diagnostics and treatment of neurological disorders for
patients with motor control illnesses.
PMID- 27871013
TI - Tri- and tetravalent mannoclusters cross-link and aggregate BC2L-A lectin from
Burkholderia cenocepacia.
AB - The opportunistic Gram-negative bacterium Burkholderia cenocepacia causes lethal
infections in cystic fibrosis patients. Multivalent mannoside derivatives were
prepared as potential inhibitors of lectin BC2L-A, one of the virulence factors
deployed by B. cenocepacia in the infection process. An (alpha1->2)-thio-linked
mannobioside mimic bearing an azide functionalized aglycon was conjugated to
different multivalent scaffolds such as propargylated calix[4]arenes, methyl
gallate and pentaerythritol by azide-alkyne 1,3-dipolar cycloaddition. The
interaction between the glycoclusters and the mannose binding BC2L-A lectin from
B. cenocepacia was examined by isothermal microcalorimetry, surface plasmon
resonance, inhibition of yeast agglutination and analytical ultracentrifugation.
PMID- 27871014
TI - Tooth wear pattern analysis in a sample of Italian Early Bronze Age population.
Proposal of a 3-D sampling sequence.
AB - OBJECTIVE: The purpose of this study was to assess the prevalence, distribution
and intensity of tooth wear in a sample of an ancient Italian population in order
to explain the pattern in terms of dietary habits and/or non-dietary tooth-use
behaviors during the Early Bronze Age, with a focus on possible age-group and sex
differences. DESIGN: Well-preserved permanent teeth of individuals from the
Bronze Age site of Ballabio (Lecco) in northern Italy were examined for tooth
wear by different methods. Eight 3D models of teeth at increasing severity of
wear were created. RESULTS: In total, 357 permanent teeth belonging to male and
female individuals were included in the study. Dental wear was present in 96.6%
of the total sample. Males showed significantly greater levels of wear than
females in the mandibular teeth. Both sexes exhibited a significantly different
wear direction between the anterior (oblique and flat) and posterior (oblique and
concave) teeth. Significant age differences were observed in the direction and
level of wear in the incisors, canines and premolars, with higher wear in the
older group. Complete and rotatable virtual 3D images of different wear patterns
are proposed. CONCLUSIONS: The findings of the present study confirm the data
from archaeological studies on this site and on northern Italian habits during
the Early Bronze Age suggesting a diet rich in vegetables. The observed wear
patterns can be related both to the diet of this Bronze age population, based on
hard and abrasive food requiring vigorous mastication, and to sex differences in
cultural practices.
PMID- 27871015
TI - The Generalized Problematic Internet Use Scale 2: Validation and test of the
model to Facebook use.
AB - The main goals of the present study were to test the psychometric properties of a
Portuguese version of the GPIUS2 (Generalized Problematic Internet Use Scale 2,
Caplan, 2010), and to test whether the cognitive-behavioral model proposed by
Caplan (2010) replicated in the context of Facebook use. We used a sample of 761
Portuguese adolescents (53.7% boys, 46.3% girls, mean age = 15.8). Our results
showed that the data presented an adequate fit to the original model using
confirmatory factor analysis. The scale presented also good internal consistency
and adequate construct validity. The cognitive-behavioral model was also
applicable to the Facebook context, presenting good fit. Consistently with
previous findings we found that preference for online social interaction and the
use of Facebook to mood regulation purposes, predicted positively and
significantly the deficient self-regulation in Facebook use, which in turn was a
significant predictor of the negative outcomes associated with this use.
PMID- 27871016
TI - Predicting school adjustment from multiple perspectives on parental behaviors.
AB - Past research supported the importance of parental autonomy support, involvement,
and structure for student outcomes. The goal of this study was to test the
contribution of these behaviors from mothers and fathers in predicting
adolescents' adjustment in school using a multi-informant approach. A sample of
522 adolescents (233 boys, 389 girls), their mothers (n = 535), and fathers (n =
296) participated in the study. Results revealed that parents' self-evaluations
explained additional variance in children's school adjustment, over and beyond
the contribution of children's evaluation of their parents. Maternal reports on
their positive behaviors (autonomy support, involvement, and structure) predicted
their child's academic and emotional adjustment while their reported control
predicted lower levels of these. Fathers' self-reported positive behaviors
predicted academic adjustment while their control predicted lower academic and
personal-emotional adjustment. These findings support the importance of multiple
assessments of parental behaviors for improving the prediction of adjustment in
school.
PMID- 27871017
TI - Predicting postoperative gait in cerebral palsy.
AB - In this work, postoperative lower limb kinematics are predicted with respect to
preoperative kinematics, physical examination and surgery data. Data of 115
children with cerebral palsy that have undergone single-event multilevel surgery
were considered. Preoperative data dimension was reduced utilizing principal
component analysis. Then, multiple linear regressions with 80% confidence
intervals were performed between postoperative kinematics and bilateral
preoperative kinematics, 36 physical examination variables and combinations of 9
different surgical procedures. The mean prediction errors on test vary from 4
degrees (pelvic obliquity and hip adduction) to 10 degrees (hip rotation and
foot progression), depending on the kinematic angle. The unilateral mean sizes of
the confidence intervals vary from 5 degrees to 15 degrees . Frontal plane
angles are predicted with the lowest errors, however the same performance is
achieved when considering the postoperative average signals. Sagittal plane
angles are better predicted than transverse plane angles, with statistical
differences with respect to the average postoperative kinematics for both plane's
angles except for ankle dorsiflexion. The mean prediction errors are smaller than
the variability of gait parameters in cerebral palsy. The performance of the
system is independent of the preoperative state severity of the patient. Even if
the system is not yet accurate enough to define a surgery plan, it shows an
unbiased estimation of the most likely outcome, which can be useful for both the
clinician and the patient. More patients' data are necessary for improving the
precision of the model in order to predict the kinematic outcome of a large
number of possible surgeries and gait patterns.
PMID- 27871018
TI - Is lower peripheral information weighted differently as a function of step number
during step climbing?
AB - The importance of peripheral visual information during stair climbing and how
peripheral visual information is weighted as a function of step number during
step climbing is unclear. Previous authors postulated that the knowledge of
predictable characteristics of the steps may decrease reliance on foveal vision
and transfer the online visual guidance of stair climbing to peripheral vision.
Hence the aim of this study was to investigate if and how the occlusion of the
lower peripheral visual field influenced stair climbing and if peripheral visual
information was weighted differently between steps. Ten young adult male
participants ascended a 5-step staircase under 2 visual conditions: full vision
(FV) and lower visual occlusion (LO). Kinematic data (100Hz) were collected. The
effect of Vision and Step condition on vertical forefoot clearance was examined
with a Repeated Measures 2-way ANOVA. Tukey's HSD test was used for post-hoc
comparisons. A significant interaction Vision x Step and main effect of Step were
found (p<=0.04): vertical forefoot clearance was greater in LO compared to FV
condition only on the 1st and the 2nd steps (p<0.013) and on the last step
compared to the other steps (p<0.01). These findings suggest that online
peripheral visual information is more relevant when negotiating the first two
steps, rather than the end of a staircase and that the steps subsequent the first
few ones may require different information likely based on proprioception or
working memory of the step height.
PMID- 27871019
TI - Comparison of lower limb and trunk kinematics between markerless and marker-based
motion capture systems.
AB - : Three dimensional (3-D) motion capture systems are used by researchers and
clinicians to analyze the kinematics of human movement. Traditional marker based
systems are time consuming and limit the size of studies. Markerless 3-D systems
are quicker to use but the differences between data captured in each system is
unclear. AIM: To examine the relationship of kinematic data captured by marker
based and markerless motion capture systems. METHODS: Movement was assessed in
two tests: a simple knee flexion test and single leg squat with a marker based
protocol (Vicon) and a markerless protocol (Organic Motion). RESULTS: There was
no significant difference between protocols in knee flexion angle (p=0.33). In
single leg squat there was no significant difference in 9 of 13 clinically
relevant joint angles in the change in angle from the start to the peak of squat.
There were significant differences in the angle at the peak of the squat for 9 of
13 joint angles. DISCUSSION: This study provides evidence that a marker-based and
a markerless protocol report similar ranges of change in angle from the start of
a squat to peak squat in the pelvis and lower limb in single leg squat. Specific
joint angles should not be compared between protocols.
PMID- 27871020
TI - Altered functional connectivity of interoception in illness anxiety disorder.
AB - Interoception collects all information coming from the body and is sustained by
several brain areas such as insula and cingulate cortex. Here, we used resting
state functional magnetic resonance imaging to investigate functional
connectivity (FC) of networks implied in interoception in patients with Illness
anxiety disorders (IADs). We observed significantly reduced FC between the left
extrastriate body area (EBA) and the paracentral lobule compared to healthy
controls. Moreover, the correlation analysis between behavioural questionnaires
and ROI to ROI FC showed that higher levels of illness anxiety were related to
hyper-connectivity between EBA and amygdala and hippocampus. Scores on a
questionnaire for interoceptive awareness were significantly correlated with
higher FC between right hippocampus and nucleus accumbens bilaterally, and with
higher connectivity between left anterior cingulate cortex (ACC) and left
orbitofrontal cortex (OFC). Last, patients showed increased interoceptive
awareness, measured by Self-Awareness Questionnaire (SAQ), and reduced capability
in recognizing emotions, indicating inverse correlation between interoception and
emotional awareness. Taken together our results suggested that, in absence of
structural and micro-structural changes, patients with IADs show functional
alteration in the neural network involved in the self-body representation; such
functional alteration might be the target of possible treatments.
PMID- 27871021
TI - Stop codons in the hepatitis B surface proteins are enriched during antiviral
therapy and are associated with host cell apoptosis.
AB - Premature stop codons in the hepatitis B virus (HBV) surface protein can be
associated with nucleos(t)ide analogue resistance due to overlap of the HBV
surface and polymerase genes. The aim of this study was to determine the effect
of the replication of three common surface stop codon variants on the hepatocyte.
Cell lines were transfected with infectious HBV clones encoding surface stop
codons rtM204I/sW196*, rtA181T/sW172*, rtV191I/sW182*, and a panel of
substitutions in the surface proteins. HBsAg was measured by Western blotting.
Proliferation and apoptosis were measured using flow cytometry. All three surface
stop codon variants were defective in HBsAg secretion. Cells transfected with
these variants were less proliferative and had higher levels of apoptosis than
those transfected with variants that did not encode surface stop codons. The most
cytopathic variant was rtM204I/sW196*. Replication of HBV encoding surface stop
codons was toxic to the cell and promoted apoptosis, exacerbating disease
progression.
PMID- 27871022
TI - Vascular-targeted photodynamic therapy of gastric antral vascular ectasia (GAVE).
AB - BACKGROUND AND STUDY AIM: Vascular-targeted photodynamic therapy (V-PDT) has been
used for several benign vascular diseases. The aim of this pilot study was to
demonstrate the potential benefits of VPDT in the treatment of gastric antral
vascular ectasia (GAVE). PATIENTS AND METHODS: Data from patients with GAVE (n=5)
who underwent endoscopic V-PDT were analyzed retrospectively. Pre- and post-V-PDT
clinical and endoscopic features, hemoglobin levels, and transfusion requirement
were compared. RESULTS: The five GAVE patients received one to four sessions of V
PDT. The hemoglobin levels of all five patients increased steadily following V
PDT. Within 6-48months of follow-up, gastrointestinal bleeding and melena
disappeared in all five patients and none of the patients needed a transfusion.
Endoscopy examinations showed that the dilated vessels had disappeared without
scar formation. No significant side effects or adverse reactions were reported.
CONCLUSION: This preliminary study indicates the good selectivity, safety, and
efficacy of V-PDT in the treatment of patients with GAVE. Larger prospective
studies are needed to further confirm the feasibility of using V-PDT to treat
patients with GAVE.
PMID- 27871024
TI - Is it time to address survivorship in advanced breast cancer? A review article.
AB - The outcome of advanced breast cancer has significantly improved over recent
decades. As a consequence, the complex needs of patients living with the disease
and their care-givers should be addressed not only in terms of supportive and
palliative care but also of "survivorship" requirements. The multidisciplinary
approach to advanced breast cancer should encompass - early in the history of the
disease - not only physical but also functional, social, psychological and
spiritual domains. It is important to clearly define the disease context with
patients and families ("chronic" preferred to "incurable"), addressing the
concept of uncertainty, and tailoring the treatment strategy according to both
disease status and individual priorities. Specific psychosocial needs of young
and elderly women and male patients - i.e. social security, job flexibility,
rehabilitation (including sexuality), home and child care - should be recognized
and supported. This review will address the key questions associated with
survivorship in this disease context, recognizing the dearth of specific data and
the urgent need for targeted clinical research and tailored interventions.
PMID- 27871023
TI - Association of a novel GABRG2 splicing variation and a PTGS2/COX-2 single
nucleotide polymorphism with Taiwanese febrile seizures.
AB - Febrile seizure (FS) is the most common type of convulsion in infants and young
children. The occurrence of FS in a subset of children with febrile illness
suggested genetic factors may have an important effect on the predisposition of
the disease. Using targeted next generation sequencing (NGS), a novel splicing
variation (NM_198903.2:c.1249-1G>T) was identified in the gamma-aminobutyric acid
type A (GABA-A) receptor gamma2 subunit (GABRG2) gene of a FS patient. To
investigate possible association of FS with single nucleotide polymorphisms
(SNPs) in prostaglandin-endoperoxide synthase-2 (prostaglandin G/H synthase-2;
PTGS2/cyclooxygenase-2; COX2) gene involving in thermoregulatory pathway, eight
SNPs, rs689465, rs689466, rs20417, rs13306038, rs201931599, rs689470, rs4648306
and rs4648308, along with 2 previously reported variations in IL1RN (86-bp VNTR)
and IL10 (rs1900872) were genotyped and utilized for case-control association
studies on 35 FS and 31 non-FS controls. A single SNP (rs689466) localized at 5'
1192 of the PTGS2 gene exhibited significant association with FS (p=0.045) based
on case-control allelic association analyses. A significant decrease in the
frequency of the G allele in FS (0.357) was observed compared to that in controls
(0.536) with an estimated odds ratio (OR) of 0.48 (95% CI, 0.23-0.99) for the G
versus A allele. Using case-control genotypic association analysis, the -1192 A
allele is most likely to confer susceptibility to FS by a recessive action model
(p=0.045, pointwise empirical p value (EMP1)=0.049). The association of SNPs in
PTGS2, in addition to IL6, IL-6 receptor (IL6R) and prostaglandin E receptor 3
(PTGER3) in prior reports, with FS suggests their possible action in concert to
modulate phenotypes in FS as well as the involvement of thermoregulatory pathway
in pathogenesis of FS.
PMID- 27871025
TI - Factors influencing local control in patients undergoing breast conservation
surgery for ductal carcinoma in situ.
AB - BACKGROUND: The aim of our study was to assess various predictors for local
recurrence (LR) in patients undergoing breast conservation surgery (BCS) for
ductal carcinoma in situ (DCIS). MATERIALS AND METHODS: An audit was performed of
582 consecutive patients with DCIS between Jan 1975 to June 2008. In patients
undergoing BCS, local guidelines reported a margin of >=10 mm during the above
period. Guideline with regard to margin of excision changes soon after this
period. We retrospectively analysed clinical and pathological risk factors for
local recurrence in patients undergoing BCS. Statistical analysis was carried out
using SPSS version 19, and a cox regression model for multivariate analysis of
local recurrence was used. RESULTS: Overall 239 women had BCS for DCIS during the
above period. The actuarial 5-year recurrence rate was 9.6%. The overall LR rate
was 17% (40/239. LR was more common in patients <=50 years: (10/31 patients, 32%)
compared to patients > 50 years (30/208, 14%, P = 0.02). Forty three per cent of
patients (6/14) with <5 mm margin developed LR which was significantly higher
compared to patients with 5-9 mm margin (12%, 3/25) and with >=10 mm margin (14%,
27/188, P = 0.01). On multivariate analysis age <=50 years, <5 mm pathological
margin were independent prognostic factors for local recurrence. CONCLUSION: Our
study shows that younger age (<=50 years) and a margin < 5 mm are poor prognostic
factors for LR in patients undergoing breast conservation surgery for DCIS.
PMID- 27871026
TI - Copeptin - A potential endocrine surrogate marker of CCK-4-induced panic
symptoms?
AB - Intravenous cholecystokinin-tetrapeptide (CCK-4) administration reliably and dose
dependently provokes panic anxiety in man, accompanied by adrenocorticotropic
hormone (ACTH) and cortisol release. Preclinical findings suggest that behavioral
and endocrine effects of CCK-4 are mediated via corticotropin-releasing hormone
(CRH) release. Anxiogenic stimulation of the central CCK-receptors in man was
shown to increase as well vasopressin (AVP), which acts synergistically with CRH
as pituitary-adrenocortical axis stimulator during stress. Copeptin (CoP), the C
terminal part of pre-pro-AVP, is released in an equimolar ratio to AVP. It is
more stable in the circulation and easier to determine than AVP and it was found
to closely mirror the production of AVP. So far, CoP secretion has not been
characterized during panic provocation. In 30 healthy male human subjects, we
repeatedly measured CoP in plasma during a panic challenge and studied its
correlation to Acute Panic Inventory (API) ratings and plasma ACTH and cortisol.
CoP levels correlated positively with the increase in API ratings (r=0.41,
p=0.03), while ACTH or cortisol did not (r=0.08, p=0.68 and r=0.12, p=0.53,
respectively). CoP levels correlated also positively with ACTH (r=0.48, p=0.009)
and cortisol (r=0.48, p=0.01) concentrations throughout the CCK-4 challenge. As
expected, we found a positive correlation between plasma ACTH and cortisol levels
(r=0.57, p=0.001). A vasopressinergic activation during CCK-4 induced panic was
demonstrated, which was correlated positively to panic symptoms and pituitary
adrenocortical release. Our findings suggest a role of CoP as a potential
surrogate marker of CCK-4 panic symptoms. Further studies are needed to replicate
our results and to further clarify the role of CoP as a stress-sensitive hormone
in different panic paradigms as well as in panic patients.
PMID- 27871027
TI - Trauma exposure relates to heightened stress, altered amygdala morphology and
deficient extinction learning: Implications for psychopathology.
AB - Stress exposure causes a structural reorganization in neurons of the amygdala. In
particular, animal models have repeatedly shown that both acute and chronic
stress induce neuronal hypertrophy and volumetric increase in the lateral and
basolateral nuclei of amygdala. These effects are visible on the behavioral
level, where stress enhances anxiety behaviors and provokes greater fear
learning. We assessed stress and anxiety levels in a group of 18 healthy human
trauma-exposed individuals (TR group) compared to 18 non-exposed matched controls
(HC group), and related these measurements to amygdala volume. Traumas included
unexpected adverse experiences such as vehicle accidents or sudden loss of a
loved one. As a measure of aversive learning, we implemented a cued fear
conditioning paradigm. Additionally, to provide a biological marker of chronic
stress, we measured the sensitivity of the hypothalamus-pituitary-adrenal (HPA)
axis using a dexamethasone suppression test. Compared to the HC, the TR group
showed significantly higher levels of chronic stress, current stress and trait
anxiety, as well as increased volume of the left amygdala. Specifically, we
observed a focal enlargement in its lateral portion, in line with previous animal
data. Compared to HC, the TR group also showed enhanced late acquisition of
conditioned fear and deficient extinction learning, as well as salivary cortisol
hypo-suppression to dexamethasone. Left amygdala volumes positively correlated
with suppressed morning salivary cortisol. Our results indicate differences in
trauma-exposed individuals which resemble those previously reported in animals
exposed to stress and in patients with post-traumatic stress disorder and
depression. These data provide new insights into the mechanisms through which
traumatic stress might prompt vulnerability for psychopathology.
PMID- 27871028
TI - Microstructure analysis method for evaluating degenerated intervertebral disc
tissue.
AB - Degeneration of intervertebral disc (IVD) tissue is characterized by several
structural changes that result in variations in disc physiology and loss of
biomechanical function. The complex process of degeneration exhibits highly
intercorrelated biomechanical, biochemical, and cellular interactions. There is
currently some understanding of the cellular changes in degenerated
intervertebral disc tissue, but microstructural changes and deterioration of the
tissue matrix has previously been rarely explored. In this work, sequestered IVD
tissue was successfully characterized using histology, light microscopy, and
scanning electron microscopy (SEM) to quantitatively evaluate parameters of
interest for intervertebral disc degeneration (IDD) such as delamination of the
collagenous matrix, cell density, cell size, and extra cellular matrix (ECM)
thickness. Additional qualitative parameters investigated included matrix
fibration and irregularity, neovascularization of the IVD, granular inclusions in
the matrix, and cell cluster formation. The results of this study corroborated
several previously published findings, including those positively correlating
female gender and IVD cell density, age and cell size, and female gender and ECM
thickness. Additionally, an array of quantitative and qualitative investigations
of IVD degeneration could be successfully evaluated using the given methodology,
resin-embedded SEM in particular. SEM is especially practical for studying
micromorphological changes in tissue, as other microscopy methods can cause
artificial tissue damage due to the preparation method. Investigation of the
microstructural changes occurring in degenerated tissue provides a greater
understanding of the complex process of disc degeneration as a whole. Developing
a more complete picture of the degenerative changes taking place in the
intervertebral disc is crucial for the advancement and application of
regenerative therapies based on the pathology of intervertebral disc
degeneration.
PMID- 27871029
TI - Effects of cerebrolysin on functional recovery in patients with severe disability
after traumatic brain injury: A historical cohort study.
AB - OBJECTIVE: To determine the effects of cerebrolysin on functional recovery in
patients with severe disability after traumatic brain injury (TBI). METHODS: This
was a retrospective cohort study being performed during a 2-year period in a
level I trauma center in Southern Iran including all the adult patients
(>16years) with severe disability (GOS of 2 and 3) 1-month after trauma. We
excluded those with posttraumatic seizures and those with meningitis or current
infections. Some patients received cerebrolysin (n=65) and some did not (n=64).
Cerebrolysin was administered intravenously in 10mL dosage daily for 30days.
Patients in two study groups were matched regarding the baseline characteristics
including age, gender, GCS on admission, pupil reactivity and Rotterdam score.
The administered cerebrolysin dosage was 10mL intravenously daily for 30days. The
3- and 6-month Glasgow Outcome Scale Extended (GOSE) was recorded. The outcome
scales were compared between two study groups. RESULTS: Overall we included 129
patients with severe disability 1-month after TBI. The baseline characteristics
were comparable between groups. We found that GOSE at 3-month (p=0.017) and 6
month (p=0.009) was significantly higher in those receiving cerebrolysin.
Cerebrolysin administration was associated with lower mortality rate, and higher
good recovery after 6 month of therapy (p=0.024). Cerebrolysin administration was
also associated with higher favorable and lower unfavorable outcome (p=0.043).
Cerebrolysin was associated with higher seizure rate (p=0.042). CONCLUSION:
Cerebrolysin administration in patients with severe disability after TBI is
associated with improved functional recovery, decreased mortality rate and
increased favorable outcome. Seizure is important side effect of cerebrolysin
administration in TBI patients.
PMID- 27871031
TI - Prominent increased calcineurin immunoreactivity in the superior temporal gyrus
in schizophrenia: A postmortem study.
AB - Many neuroimaging studies have demonstrated structural changes in the superior
temporal gyrus (STG) in patients with schizophrenia. Several postmortem studies
have reported on the pathogenesis of schizophrenia, but few reports have
investigated alterations in molecules in the STG. In addition, several studies
have suggested that calcineurin (CaN) inadequacy may be a risk factor for
schizophrenia, but no reports about CaN expression in the STG in schizophrenia
have been published. We compared the density of CaN-immunoreactive (CaN-IR)
neurons in the STG from 11 patients with schizophrenia with that of 11 sex- and
age-matched controls. We used immunohistochemical analysis with rabbit polyclonal
antibodies against human CaN. In the STG, the density of CaN-IR neurons in layers
II - VI in the group with schizophrenia was significantly higher than that in the
control group. Our results confirmed pathological changes in the STG in patients
with schizophrenia, suggesting that alterations in the CaN pathway play a role in
the pathogenesis of schizophrenia.
PMID- 27871030
TI - The impact of gender on treatment effectiveness of body psychotherapy for
negative symptoms of schizophrenia: A secondary analysis of the NESS trial data.
AB - Despite promising findings from small-scale studies suggesting that body
psychotherapy may be an effective treatment for negative symptoms, these results
were not replicated in a recent multisite trial. In this trial a far smaller
proportion of women were recruited relative to earlier studies, which may be an
issue given the gender mix of the sample evaluated has been found to affect trial
outcomes in schizophrenia. Using data from our multisite trial, the interaction
between gender and treatment allocation as a predictor of outcomes was examined
in 275 participants (72 women and 203 men) randomised to either a body
psychotherapy or Pilates group. Negative symptoms were found to significantly
reduce in women randomised to the body psychotherapy condition in comparison to
Pilates, while no such effect was detected in men. Consistent with the smaller
trials, this improvement was found to relate predominantly to expressive
deficits. These findings suggest that body psychotherapy may be an effective
treatment for negative symptoms in women. These findings emphasise the importance
of sample characteristics in determining trial outcome in psychological treatment
studies.
PMID- 27871032
TI - Level of serum thioredoxin and correlation with neurocognitive functions in
patients with schizophrenia using clozapine and other atypical antipsychotics.
AB - Thioredoxin is a serum antioxidant that has been investigated in the etiology of
schizophrenia. The aim of this study is investigating the relationship between
serum thioredoxin levels and cognitive functions in acute psychotic episode and
remission state patients with schizophrenia; and examining whether there were
differences between patients using clozapine and other atypical antipsychotics;
including risperidone, olanzapine and amisulpride. This research was performed in
schizophrenia patients hospitalized with acute psychotic episode (n=57),
reevaluated patients after the initiation of treatment (mean 16 weeks) (n=46),
and healthy controls (n=41). Positive and Negative Syndrome Scale, Clinic Global
Impressions Scale, Neuropsychologic test battery to assess cognitive performance,
and serum thioredoxin levels measured by ELISA were used in this research. Serum
thioredoxin levels were highest in acute psychotic episode, lower in the
remission state and the lowest in healthy controls. Significant correlation has
been established between serum thioredoxin levels and Trail Making Test-A
performance in remission state patients. In conclusion, serum thioredoxin levels
were increased in acute psychotic episode and decreased in remission state, and
its relationship with attention is worth to consider in schizophrenia patients.
PMID- 27871033
TI - Design, synthesis, anticancer, antimicrobial activities and molecular docking
studies of novel quinoline bearing dihydropyridines.
AB - A new series of eight quinoline bearing dihydropyridine derivatives (A1-A8) were
synthesized in high yield and in short reaction time by a four component reaction
of 2-chloro-3-fomyl quinoline, malononitrile, arylamines and dimethyl
acetylenedicarboxylate in the presence of a catalytic amount of triethylamine.
The compounds were fully characterized by IR, NMR and GC-MS. These compounds were
screened for potential biological activity in an A549 lung cancer cell line and
were also evaluated for their antibacterial activities against Pseudomonas
aeruginosa ATCC 27853, Escherichia coli ATCC 25922 and Staphylococcus aureus ATCC
29213 whilst their molecular docking properties in an enzymatic system were also
determined. Compounds A2, A3, A4 and A8 showed anti-proliferative activity; with
A4 having the highest toxicity at 250MUg/mL and A8 has high toxicity at 125, 250
and 500MUg/mL, respectively. Antibacterial results indicated that A4 have
significant activity against tested microorganisms at the minimum inhibitory
concentration (MIC) values of 32MUg/mL against Pseudomonas aeruginosa and
Escherichia coli, and 16MUg/mL against Staphylococcus aureus. Docking of A1 with
human mdm2 indicated the lowest binding energy (-6.111Kcal/mol) thereby showing
strong affinity of the ligand molecule with the receptor which has been
stabilized by strong hydrogen bond interactions in the binding pocket. This
confirms that A1 is a better inhibitor for E3 ubiquitin-protein ligase mdm2.
PMID- 27871034
TI - Isotope fractionation of benzene during partitioning - Revisited.
AB - Isotope fractionation between benzene-D0 and benzene-D6 caused by multi-step
partitioning of the benzenes between water and two organic solvents, n-octane and
1-octanol, as well as between water and the gas phase, was measured. The obtained
fractionation factors alphaH = KH/KD are alphaH = 1.080 +/- 0.015 and alphaH =
1.074 +/- 0.015 for extraction into n-octane and 1-octanol, respectively, and
alphaH = 1.049 +/- 0.010 for evaporation from aqueous solution. The comparison of
solvent- and gas-phase partitioning reveals that about 2/3 of the driving force
of fractionation is due to different interactions in the aqueous phase, whereas
1/3 is due to different interactions in the organic phase. The heavy benzene
isotopologue behaves more 'hydrophilically' and the light one more
'hydrophobically'. This synergistic alignment gives rise to relatively large
fractionation effects in partitioning between water and non-polar organic matter.
In contrast to a previous study, there is no indication of strong fractionation
by specific interactions between benzene and octanol. Partitioning under non
equilibrium conditions yields smaller apparent fractionation effects due to
opposite trends of thermodynamic and kinetic fractionation parameters, i.e.
partition and diffusion coefficients of the isotopologues. This may have
consequences which should be taken into account when considering isotope
fractionation due to sorption in environmental compartments.
PMID- 27871035
TI - Analyzing pH-induced changes in a myofibril model system with vibrational and
fluorescence spectroscopy.
AB - The decline of pH and ultimate pH in meat postmortem greatly influences meat
quality (e.g. water holding capacity). Four spectroscopic techniques, Raman,
Fourier transform infrared (FT-IR), near infrared (NIR) and fluorescence
spectroscopy, were used to study protein and amino acid modifications to
determine pH-related changes in pork myofibril extracts at three different pH
levels, 5.3, 5.8 and 6.3. Protonation of side-chain carboxylic acids of aspartic
and glutamic acid and changes in secondary structure, mainly the amide I-III
peaks, were the most important features identified by Raman and FT-IR
spectroscopy linked to changes in pH. Fluorescence spectroscopy identified
tryptophan interaction with the molecular environment as the most important
contributor to changes in the spectra. NIR spectroscopy gave no significant
contributions to interpreting protein structure related to pH. Results from our
study are useful for interpreting spectroscopic data from meat where pH is an
important variable.
PMID- 27871036
TI - Influence of red wine pomace seasoning and high-oxygen atmosphere storage on
carcinogens formation in barbecued beef patties.
AB - Polycyclic aromatic hydrocarbons (PAHs) and heterocyclic aromatic amines (HAs)
are carcinogenic compounds formed in barbecued meat. Conditions that reduce their
formation are of major interest. This study aims to evaluate the influence of red
wine pomace seasoning (RWPS) and high-oxygen atmosphere storage on the formation
of PAHs and HAs in barbecued beef patties. In general, the levels of PAHs and HAs
quantified were low. The storage (9days) promoted higher formation of PAHs in
control patties without increase of HAs. RWPS patties cooked at preparation day
presented higher levels of PAHs and HAs than control. Nevertheless, RWPS patties
cooked after storage presented lower levels of PAHs and HAs than control. ABTS
assay pointed out that higher radical scavenging activity may be related to with
lower PAHs or HAs formation. In conclusion, RWPS can be an interesting ingredient
to inhibit the formation of cooking carcinogens in barbecued patties stored at
high-oxygen atmosphere.
PMID- 27871037
TI - Design, synthesis and SAR analysis of potent BACE1 inhibitors: Possible lead drug
candidates for Alzheimer's disease.
AB - We have identified potent isophthalic acid derivatives armed with imidazol and
indolyl groups as potent beta-secretase inhibitors. The most effective analogs
demonstrated low nano-molar potency for the BACE1 (beta-secretase cleaving
enzyme) as measured by FRET (Fluorescence Resonance Energy Transfer) and cell
based (ELISA) assays. Our design strategy followed a traditional SAR approach and
was supported by molecular modeling studies based on previously reported
hydroxyethylene transition state inhibitor derived from isophthalic acid I. In
the FRET assay, the most potent compound, 10a, displayed an IC50 value for BACE1
of 75 nM, and exhibited cellular activity with an EC50 value of 0.81 MUM. On the
other hand, compound 11b was found to be the most potent compound in the cell
based assay with an EC50 value of 0.29 MUM.
PMID- 27871038
TI - Imidazopyridine-fused [1,3]-diazepinones part 2: Structure-activity relationships
and antiproliferative activity against melanoma cells.
AB - We recently described a pyrido-imidazodiazepinone derivative which could be a
promising hit compound for the development of new drugs acting against melanoma
cells. In this study, a series of 28 novel pyrido-imidazodiazepinones were
synthesized and screened for their in vitro cytotoxic activities against the
melanoma MDA-MB-435 cell line. Among the derivatives, seven of them showed 50%
growth inhibitory activity at 1 MUM concentration, and high selectivity against
the melanoma cell line MDA-MB-435.
PMID- 27871039
TI - Synthesis and antitumor activity of novel substituted uracil-1'(N)-acetic acid
ester derivatives of 20(S)-camptothecins.
AB - A series of novel substituted uracil-1'(N)-acetic acid esters (6-20) of
camptothecins (CPTs) were synthesized by the acylation method. These new
compounds were evaluated for in vitro antitumor activity against tumor cell
lines, A549, Bel7402, BGC-823, HCT-8 and A2780. In vitro results showed that most
of the derivatives exhibited comparable or superior cytotoxicity compare to CPT
(1) and topotecan (TPT, 2), with 12 and 13 possessing the best efficacy. Four
compounds, 9, 12, 13 and 16, were selected to be evaluated for in vivo antitumor
activity against H22, BGC-823 and Bel-7402 in mice. In vivo testing results
indicated that 12 and 13 had antitumor activity against mouse liver carcinoma H22
close to Paclitaxel and cyclophosphamide. 12 had similar antitumor activity
against human gastric carcinoma BGC-823 in nude mice compared to irinotecan (3)
and possessed better antitumor activity against human hepatocarcinoma Bel-7402 in
nude mice than 2. It is also discovered that 12 showed a similar mechanism but
better inhibitory activity on topoisomerase I (Topo I) compared to 2. These
findings indicate that 20(S)-O-fluorouracil-1'(N)-acetic acid ester derivative of
CPTs, 12, could be developed as an antitumor drug candidate for clinical trial.
PMID- 27871040
TI - Mobile technology in clinical teaching.
AB - Technology is having a profound effect on education in the 21st century and nurse
educators are being challenged to integrate technological innovation to assist
students in their learning. This paper reports a study on the introduction of
smart mobile technology to support student learning in the clinical environment.
In a climate of collaborative inquiry, clinical lecturers and two researchers
from the same department carried out a project in three phases: formation,
implementation and analysis. Following the formation phase, six clinical
lecturers adopted iPads to support their clinical teaching (implementation
phase). At this time they also kept reflective journals. In the analysis phase a
thematic analysis of the data from the journals and from a focus group found both
enabling and constraining factors influenced the use of iPads by clinical
lecturers. The themes categorised as enablers were: resources and technology;
and, management and technology support. Those identified as barriers or
constraining factors were: clinical staff engagement; and lecturer experience
with technology. Student engagement and learning, and connectivity were both
enabling and constraining factors. This paper concludes that the use of a mobile
device such as an iPad can enhance teaching in clinical settings but that in
order for such devices to be successfully integrated into clinical teaching
consideration needs to be given to professional development needs, adequate
resourcing and technology support.
PMID- 27871041
TI - Toxicity of aqueous vanadium to zooplankton and phytoplankton species of
relevance to the athabasca oil sands region.
AB - Vanadium (V) is an abundant trace metal present in bitumen from the Athabasca Oil
Sands (AOS) region in Alberta, Canada. The upgrading of bitumen can result in the
production of large volumes of a carbonaceous material referred to as petroleum
coke that contains V at elevated levels compared to the native bitumen. Previous
studies have shown that coke has the capacity to leach ecotoxicologically
relevant levels of V into water it contacts, yet limited data are available on
the toxicity of aqueous V to planktonic organisms. Therefore, this study set out
to evaluate the acute and chronic toxicity of V (as vanadate oxyanions) to
freshwater zooplankton and phytoplankton species that are either commonly-used
laboratory species, or species more regionally-representative of northern
Alberta. Four cladoceran (2-d and 21-d tests) and two algal (3-d tests) species
were exposed to V to obtain both acute and chronic toxicity estimates. Acute V
toxicity (LC50s) ranged from 0.60mgV/L for Ceriodaphnia quadrangula to 2.17mgV/L
for Daphnia pulex. Chronic toxicity estimates (EC50s) for cladoceran survival and
reproduction were nearly identical within species and ranged from a low of 0.13
to a high of 0.46mgV/L for Daphnia dentifera and D. pulex, respectively. The lack
of sublethal V toxicity in daphnia suggests a direct mechanism of toxicity
through ion imbalance. Growth inhibition (EC50) of green algae occurred at
concentrations of 3.24 and 4.12mgV/L for Pseudokirchneriella subcapitata and
Scenedesmus quadricauda, respectively. Overall, cladocerans were more sensitive
to V than green algae, with survival of the field-collected D. dentifera being
approximately 2.5 to 3.5 times more sensitive to acute and chronic V exposure
than the standard test species D. pulex. However, there were no significant
differences in V toxicity between the field-collected cladocerans Simocephalus
serrulatus and C. quadrangula, compared to the respective standard species D.
pulex and Ceriodaphnia dubia. Similarly, there were no significant differences in
sensitivity to V in the two algal species evaluated. Based on V concentrations
reported in laboratory-generated coke leachates, zooplankton survival could be
adversely impacted under conditions of chronic leachate exposure if V
concentrations in the environment exceed 0.1mg/L. Furthermore, toxicity
thresholds from commonly-used planktonic test species would likely have sufficed
for derivation of a V water quality guideline (WQG) for protection of local
aquatic communities near oil sands operations, but the new data presented here on
V toxicity to more regionally-representative species will strengthen the database
for WQG derivation.
PMID- 27871042
TI - Is trade liberalisation a vector for the spread of sugar-sweetened beverages? A
cross-national longitudinal analysis of 44 low- and middle-income countries.
AB - Does trade and investment liberalisation increase the growth in sales of sugar
sweetened beverages (SSBs)? Here, for the first time to our knowledge, we test
this hypothesis using a unique data source on SSB-specific trade flows. We test
whether lower tariffs effectively increase imports of SSBs, and whether a higher
level of imports increase sales of SSBs. Cross-national fixed effects models were
used to evaluate the association between SSBs sales and trade liberalisation.
SSBs per capita sales data were taken from EuroMonitor, covering 44 low- and
middle-income countries from 2001 to 2014, SSBs import data were from TradeMap,
Foreign Direct Investment data were from EuroMonitor, and data on applied tariffs
on SSB from the World Trade Organisation tariffs database, all 2015 editions. The
results show that higher tariffs on SSBs significantly decreased per capita SSB
imports. Each one percent increase in tariffs was associated with a 2.9% (95% CI:
0.9%-5%) decrease in imports of SSBs. In turn, increased imports of SSBs were
significantly associated with greater sales of SSBs per capita, with each 10
percent increase in imports (in US$) associated with a rise in sales of 0.36 L
per person (95% CI: 0.08-0.68). Between 2001 and 2014, this amounted to 9.1 L
greater sales per capita, about 40% of the overall rise seen in this period in
LMICs. We observed that tariffs were inversely but not significantly associated
with sales of SSBs. In conclusion, lower tariffs substantially increased imports
of SSBs in LMICs, which translated into greater sales. These findings suggest
that trade policies which lower tariff barriers to SSB imports can be expected to
lead to increased imports and then increased sales of SSBs in LMICs, with adverse
consequences for obesity and the diseases that result from it.
PMID- 27871043
TI - Evaluating the perceived effectiveness of pregnancy-related cigarette package
health warning labels among different gender/age groups.
AB - INTRODUCTION: The impact of pregnancy-related health warning labels (HWLs)
appearing on cigarette packages on women of reproductive age and other socio
demographic groups is not well understood. The current study analyzes how
different age/gender groups respond to pregnancy-related HWLs as compared to non
pregnancy HWLs. METHODS: Data were analyzed from four waves of an online
longitudinal study with adult smokers aged 18-64 in Australia, Canada, Mexico,
and the US. Participants were classified into four age?gender groups: women 40
and under; men 40 and under; women over 40; men over 40. Participants rated one
pregnancy-related and several non-pregnancy related labels on worry,
believability, and motivation to quit. Country-specific adjusted linear GEE were
estimated regressing ratings for each of the three key outcomes for 1) pregnancy
related HWLs and 2) a rating difference score that subtracted the average ratings
of the non-pregnancy warning from the rating of the pregnancy warning. All models
adjusted for socio-demographics and smoking related variables. RESULTS: In Mexico
and Australia, where graphic pregnancy-related HWL imagery is used (i.e.,
premature infant), women of reproductive age reported stronger believability,
worry, and quit motivation than all other groups. Results were similar in the US,
where text only HWLs are used. In contrast in Canada, where the pregnancy-related
HWL imagery features a pregnant woman, ratings were unassociated with gender/age
groups. Stronger effects among women of reproductive age were limited to
pregnancy HWLs in each country, except Canada. CONCLUSIONS: HWLs that depict
graphic effects to illustrate smoking-related pregnancy risks appear to be
perceived as particularly effective among women of reproductive age.
PMID- 27871044
TI - Now is the time to advocate for interventions designed specifically to prevent
and control waterpipe tobacco smoking.
AB - Waterpipe tobacco usage is spreading rapidly worldwide, with reports of more
youth being waterpipe users compared to adults. In many areas of the world,
waterpipe usage surpasses cigarette smoking. Waterpipes and cigarettes are both
mechanisms for inhalation of tobacco smoke and therefore have serious health
consequences. However, because of the many differences between the two products,
prevention and control strategies that have proven effective for cigarettes may
not transfer readily to waterpipe. This report highlights the differences between
waterpipes and cigarettes in toxicant exposure and physiologic effects, patterns
of use, social norms, the extent of evidence, and the policy environment. There
is little evidence to date around effective interventions for waterpipe
prevention and control. The current state of evidence for intervention to curb or
control waterpipe is at ground zero and critically needs attention from both
scientists and policy makers. National and global efforts aimed at cigarette
prevention have succeeded, particularly in developed countries. We suggest the
time has come to harness what we know works for cigarette prevention and control
and adapt it to tackle the growing epidemic of waterpipe tobacco use.
PMID- 27871045
TI - Electronic cigarette and marijuana use among youth in the United States.
AB - BACKGROUND: There are growing concerns over the high rate of electronic cigarette
(e-cigarette) use and decreases in perceived harm of marijuana use among youth.
We seek to identify risk factors associated with e-cigarette and marijuana use
among U.S. middle and high school students. METHODS: Estimates of e-cigarette use
only, marijuana use only, dual use of both substances as well as frequency of
substance use were calculated for 8th, 10th and 12th grades with the typical age
of 13, 15, 17 years old respectively by using the 2014 Monitoring the Future
survey (n=16,184). RESULTS: Overall, 7.9% of students only used e-cigarettes,
9.3% of students only used marijuana, and 6.6% of students used both e-cigarettes
and marijuana in the last 30days. E-cigarette use only was most prevalent among
8th and 10th graders while marijuana use only was most prevalent among 12th
graders. The dual use of e-cigarettes and marijuana rapidly increased from 8th
graders (2.6%) to 10th graders (7.3%) and maintained a high level for 12th
graders (8.5%). Students' sociodemographic factors, school performance and work
intensity were associated with e-cigarette and marijuana use in the multivariate
analysis. Being a dual user of e-cigarettes and marijuana was associated with
increased risk of a medium level or a high level of e-cigarette use and a medium
level of marijuana use CONCLUSIONS: This study raised the possibility that youth
start to use e-cigarettes at an earlier age and then progress to other
substances. Education campaigns with tailored messages to prevent youth from
vaping and drug use are critically needed.
PMID- 27871046
TI - Recombinant azurin-CdSe/ZnS hybrid structures for nanoscale resistive random
access memory device.
AB - In the present study, we developed a biohybrid material composed of recombinant
azurin and CdSe-ZnS quantum dot to perform as a resistive random access memory
(ReRAM) device. Site specific amino acid sequences were introduced in azurin to
bind with the surface of CdSe-ZnS nanoparticle allowing the formation of a hybrid
and voltage-driven switching enabled to develop a resistive random access memory
(ReRAM) device. The analytical measurements confirmed that the azurin and CdSe
ZnS nanoparticles were well conjugated and formed into a single hybrid. Further,
reversible, bistable switching along with repeatable writing-reading-erasing
processes on individual azurin/CdSe-ZnS hybrid at nanoscale was achieved on the
hybrid device. The device was programmed tested for 50 cycles with an ON/OFF
ratio and measured to be of three orders of magnitude. The developed device shown
good stability and repeatability and operates at low voltages thus makes it
promising candidate for future memory device applications.
PMID- 27871047
TI - A novel label-free electrochemical immunosensor based on functionalized nitrogen
doped graphene quantum dots for carcinoembryonic antigen detection.
AB - A novel and ultrasensitive label-free electrochemical immunosensor was fabricated
for quantitative detection of carcino-embryonic antigen (CEA). The nitrogen-doped
graphene quantum dots (N-GQDs) supported PtPd bimetallic nanoparticles (PtPd/N
GQDs) were synthesized by a simple and green hydrothermal procedure.
Subsequently, PtPd/N-GQDs functionalized Au nanoparticles (PtPd/N-GQDs@Au) were
prepared successfully via a self-assembly approach. Because of the synergetic
effect present in PtPd/N-GQDs@Au, this novel nanocomposites has shown excellent
electrocatalytic activity towards hydrogen peroxide (H2O2) reduction. Featuring
good biocompatibility, excellent conductivity and large surface area, PtPd/N
GQDs@Au was applied as transducing materials to efficiently conjugate capture
antibodies and amplify electrochemical signal. Under the optimal conditions, the
proposed immunosensor was used for the detection of CEA with wide dynamic range
in the range from 5 fg/mL to 50ng/mL with a low detection limit of 2fg/mL
(S/N=3). Furthermore, this label-free immunosensor possesses high sensitivity,
special selectivity and long-term stability, which shows promising application in
bioassay analysis.
PMID- 27871048
TI - Construction of a highly sensitive non-enzymatic sensor for superoxide anion
radical detection from living cells.
AB - A novel non-enzymatic superoxide anion (O2*-) sensor was fabricated based on Ag
nanoparticles (NPs)/L-cysteine functioned carbon nanotubes (Cys-MWCNTs)
nanocomposites and used to measure the release of O2*- from living cells. In this
strategy, AgNPs could be uniformly electrodeposited on the MWCNTs surface with
average diameter of about 20nm as exhibited by scanning electronmicroscopy (SEM).
Electrochemical study demonstrated that the AgNPs/Cys-MWCNTs modified glassy
carbon electrode exhibited excellent catalytic activity toward the reduction of
O2*- with a super wide linear range from 7.00*10-11 to 7.41*10-5M and a low
detection limit (LOD) of 2.33*10-11M (S/N=3). Meanwhile, the mechanism for O2*-
reduction was also proposed for the first time. Importantly, this novel non
enzymatic O2*- sensor can detect O2*- release from cancer cells under both the
external stimulation and the normal condition, which has the great potential
application in clinical diagnostics to assess oxidative stress of living cells.
PMID- 27871049
TI - What kinds of alternative possibilities are required of the folk concept(s) of
choice?
AB - Our concept of choice is integral to the way we understand others and ourselves,
especially when considering ourselves as free and responsible agents. Despite the
importance of this concept, there has been little empirical work on it. In this
paper we report four experiments that provide evidence for two concepts of choice
namely, a concept of choice that is operative in the phrase having a choice and
another that is operative in the phrase making a choice. The experiments indicate
that the two concepts of choice can be differentiated from each other on the
basis of the kind of alternatives to which each is sensitive. The results
indicate that the folk concept of choice is more nuanced than has been assumed.
This new, empirically informed understanding of the folk concept of choice has
important implications for debates concerning free will, responsibility, and
other debates spanning psychology and philosophy.
PMID- 27871050
TI - Removal characteristics of DON in pharmaceutical wastewater and its influence on
the N-nitrosodimethylamine formation potential and acute toxicity of DOM.
AB - Previous research has focused on dissolved organic carbon (DOC) as a surrogate
for dissolved organic matter (DOM) in pharmaceutical wastewater. Dissolved
organic nitrogen (DON) as a part of DOM has received little attention. This study
investigated the removal characteristics of DON and its influence on the N
nitrosodimethylamine formation potential (NDMA FP) and acute toxicity of DOM in a
full-scale hydrolysis/acidification + anaerobic/anoxic/aerobic + moving bed
biofilm reactor (MBBR) process treating pharmaceutical wastewater. Results showed
that maximum removal of DON (68 +/- 12%) was present in the anaerobic process.
The removal of DON by anoxic and aerobic processes was negligible as a result of
the production of new N-containing compounds that are characteristic of
proteins/amino sugars and lipids. DON concentration decreased significantly in
the MBBR process (p < 0.05, t-test), indicating that manipulation of the solids
retention times (SRTs) could be a solution to minimize DON. Based on the Pearson
correlation analysis, the behavior of NDMA FP and DOM acute toxicity was
significantly associated with the 3 kDa < MW < 10 kDa (r = 0.709, p < 0.05) and
MW < 3 kDa DON (r = 0.659, p < 0.05), respectively, and are not identical to that
of DOC fractions (r = 0.037-0.466, p = 0.051-0.886). Moreover, the removal and
molecular changes of DON are not coupled with that of DOC during biotreatment.
Thus, testing the performance indicator of DON in pharmaceutical wastewater was
recommended, as it provides important information for DOM removal
characteristics.
PMID- 27871052
TI - Crystallinity as a tunable switch of poly(L-lactide) shape memory effects.
AB - Materials with shape memory effect (SME) have already been widely used in the
medical field. The interesting part of this group is represented by double
function materials. The bioresorption and SME ability are common in polyesters
implants. The first information about vascular stent made of bioresorbable
polyester with SME was published in 2000. However, there are not many
investigations about SME control of elements in the aspect of material
processing. In the present work, the ability to control the shape memory (SM) of
bioresorbable and semicrystalline poly(L-lactide) (PLLA) is investigated. The
studies are based on the unexpected effect of material orientation which was
demonstrated even at low percentage deformation in crystallized mould injected
material. The presented studies revealed that the different degrees of
crystallinity obtained during processing might be a useful switch to create a
tailored SME for a specific application. The prepared samples of variable
morphology revealed a possibility to control the value of material stress during
permanent shape recovery. The degree of shape recovery of the prepared samples
was also controlable. The highest stress value observed during permanent shape
recovery reached 10MPa for the sample annealed 60min at 115 degrees C even when
the sample was only deformed in 8%. The other significant aspect of this work is
to present the problem of slow crystallization of the material during and after
processing (cooling rate) as well as the possibility of negative SME change
during the shelf life of the fabric.
PMID- 27871053
TI - Quality by Design in the development of hydrophilic interaction liquid
chromatography method with gradient elution for the analysis of olanzapine.
AB - This paper deals with the development of hydrophilic interaction liquid
chromatography (HILIC) method with gradient elution, in accordance with
Analytical Quality by Design (AQbD) methodology, for the first time. The method
is developed for olanzapine and its seven related substances. Following step by
step AQbD methodology, firstly as critical process parameters (CPPs) temperature,
starting content of aqueous phase and duration of linear gradient are recognized,
and as critical quality attributes (CQAs) separation criterion S of critical
pairs of substances are investigated. Rechtschaffen design is used for the
creation of models that describe the dependence between CPPs and CQAs. The design
space that is obtained at the end is used for choosing the optimal conditions
(set point). The method is fully validated at the end to verify the adequacy of
the chosen optimal conditions and applied to real samples.
PMID- 27871051
TI - Aging modifies the effect of GCH1 RS11158026 on DAT uptake and Parkinson's
disease clinical severity.
AB - Novel single nucleotide polymorphisms within Parkinson's disease (PD) can predict
disease risk, but their influence on clinical, cognitive, and neurobiological
indices remains unexplored. We investigated differences between functional
polymorphisms at RS11158026 coding for guanosine triphosphate cyclohydrolase-1
(GCH1), an essential enzyme for dopamine production in nigrostriatal cells. Among
newly diagnosed, untreated PD subjects and age-matched controls from the
Parkinson's Progression Markers Initiative, T allele carriers showed higher PD
risk (odds ratio = 1.23, p = 0.048), earlier age of onset by 5 years (p = 0.003),
and lower striatal dopamine reuptake transporter uptake (p = 0.003). Carriers
also had increased cerebrospinal fluid alpha-synuclein (p = 0.016), worse motor
function (p = 0.041), anxiety (p = 0.038), and executive function (p < 0.001).
Strikingly, these effects were only in younger T carriers (<50 years), where
aging quells the effects of these genetic factors. This suggests GCH1 variants
affect early PD risk through altered dopamine uptake, and aging alters how
genetic factors contribute to disease development. Future studies should
investigate how aging modifies genotypes' contributions on PD risk and sequelae.
PMID- 27871054
TI - Lipophilicity estimation and characterization of selected steroid derivatives of
biomedical importance applying RP HPLC.
AB - The present paper deals with chromatographic lipophilicity determination of
twenty-nine selected steroid derivatives using reversed-phase high-performance
liquid chromatography (RP HPLC) combined with two mobile phase, acetonitrile
water and methanol-water. Chromatographic behavior of four groups (triazole and
tetrazole, toluenesulfonylhydrazide, nitrile and dinitrile and dione) of selected
steroid derivatives was studied. Investigated compounds were grouped using
principal component analysis (PCA) according to their logk values for both mobile
phases. Grouping was in the very good accordance with the polarity and
lipophilicity of the investigated compounds. QSRR (quantitative structure
retention relationship) approach was used to model chromatographic lipophilicity
behavior using molecular descriptors. Modeling was performed using linear
regression (LR) and multiple linear regression (MLR) methods. The most
influential molecular descriptors were lipophilicity descriptors that are
important for molecules ability to pass through biological membranes and
geometrical descriptors. All established LR-QSRR and MLR-QSRR models were
statistically validated by standards, cross- and external validation parameters
as well as with two graphical methods. According to all these assessments, MLR
models were better for chromatographic lipophilicity prediction. It was shown
that chromatographic systems with methanol-water were better for modeling of logk
than systems with acetonitrile-water, as well as the systems that contained lower
volume fractions of organic component in mobile phase. Modeling was performed in
order to obtain lipophilicity profiles of investigated compounds as future drug
candidates of biomedical importance.
PMID- 27871055
TI - Microfluidic device for label-free quantitation and distinction of bladder cancer
cells from the blood cells using micro machined silicon based electrical
approach; suitable in urinalysis assays.
AB - This paper introduces an integrated microfluidic chip as a promising tool to
measure the concentration of bladder cancer cells (BCC) in urine samples. Silicon
microchannels were used as trapping gates for both floated BCC and leukocytes
which are found in the urine of patients. By the assistance of the gold
electrodes patterned at the bottom of the micro gates, the capacitance of
captured cancerous and blood cells were measured. Different membrane capacitance
between BCC and leukocyte was the indicative signal for diagnosing the nature of
captured cells in a urine like solution. The concentration range of the target
that could be detected was about 10 BCCs per one chip. Such response has been
achieved without applying any biochemical or florescent markers. Thus, it could
be a simple and cheap approach to support cytological and immune-fluorescent
assays. The limit of detection was approximately 1 cancerous cell/11 leukocytes
in 1ml of the urine like solution. The entire measurement time was less than an
hour. Consequently, this electrical microfluidic device promises significant
potential in urinalysis.
PMID- 27871056
TI - Fampridine treatment and walking distance in multiple sclerosis: A randomised
controlled trial.
AB - OBJECTIVE: To explore the benefits of modified-release fampridine on walking
distance in MS. METHODS: This was a randomised double-blind, placebo-controlled
crossover trial of fampridine in 25 MS patients. The primary outcome measure was
the six minute walk test (6MWT). A p-value<10% led to rejection of the null
hypothesis. RESULTS: The pre-specified criterion for statistical significance was
met, with a 17m improvement in 6MWT in the treatment arm. In addition, baseline
S2 accommodation, a nerve excitability parameter that reflects slow K+ channel
activity, modified the effect of fampridine. For patients who had abnormally high
S2 accommodation values, there was a 28m improvement in the 6MWT (p=0.04). In
contrast, for patients with low S2 values, a 0m improvement was noted (p=1.0).
CONCLUSION: The study provides evidence that fampridine may improve walking
distance. Nerve excitability assessment may be useful in selecting those patients
who are most likely to gain benefit from fampridine. SIGNIFICANCE: Fampridine may
improve walking distance in MS. Nerve excitability assessment may assist in
identifying those patients most likely to respond to fampridine.
PMID- 27871058
TI - Short synthesis of phenylpropanoid glycoside grayanoside-A and analogues.
AB - A short synthesis of phenylethyl glycosides grayanoside-A 1, 2 and analogues 3-4
in high 43-65% overall yields is described. The main synthetic step involved
regioselective O-6 acylation of unprotected 2-phenylethyl-beta-D-glucoside 7 with
cinnamoyl chlorides 8a-d using Me2SnCl2 as catalyst. The acylation at O-6 is
regioselective regardless of the type of cinnamoyl chloride used.
Protection/deprotection steps of the glucoside core were not necessary. The
synthetic route is generally applicable for the synthesis of phenylpropanoid
glycoside class of compounds acylated at O-6.
PMID- 27871057
TI - Mechanistic insights into GPCR-G protein interactions.
AB - G protein-coupled receptors (GPCRs) respond to extracellular stimuli and interact
with several intracellular binding partners to elicit cellular responses,
including heterotrimeric G proteins. Recent structural and biophysical studies
have highlighted the dynamic nature of GPCRs and G proteins and have identified
specific conformational changes important for receptor-mediated nucleotide
exchange on Galpha. While domain separation within Galpha is necessary for GDP
release, opening the inter-domain interface is insufficient to stimulate
nucleotide exchange. Rather, an activated receptor promotes GDP release by
allosterically disrupting the nucleotide-binding site via interactions with the
Galpha N-termini and C-termini. Highlighting the allosteric nature of GPCRs,
recent studies suggest that agonist binding alone poorly stabilizes an active
conformation of several receptors. Rather, full stabilization of the receptor in
an active state requires formation of the agonist-receptor-G protein ternary
complex. In turn, nucleotide-free Galpha is able to stabilize conformational
changes around the receptor's agonist-binding site to enhance agonist affinity.
PMID- 27871060
TI - Involvement of serum glucocorticoid-regulated kinase 1 in reproductive success.
AB - Reproductive processes, in particular events that concern pregnancy, are fine
tuned to produce offspring. Reproductive success is of prime importance for the
survival of every species. The highly conserved and ubiquitously expressed serum
glucocorticoid-regulated kinase 1 (SGK1) was first implicated in infertility as a
regulator of a Na+ channel. In this review, we emphasize the prominent role of
SGK1 during early pregnancy: 1) balancing uterine luminal fluid secretion and
reabsorption to aid blastocyst adhesion and to import nutrients and energy; 2)
transducing signals from the blastocyst to the receptive endometrium; 3) inducing
multiple genes that are involved in uterine receptivity and trophoblast invasion;
4) regulating cell differentiation and antioxidant defenses at the fetomaternal
interface; and 5) contributing to the proliferation and survival of decidual
stromal cells. Accordingly, SGK1 coordinates many cellular processes that are
crucial to reproductive activities. Aberrant expression or function of SGK1
results in implantation failure and early pregnancy loss. Further investigation
of the molecular mechanisms of the function of SGK1 might provide novel
diagnostic tools and interventions for reproductive complications.-Lou, Y., Hu,
M., Mao, L., Zheng, Y., Jin, F. Involvement of serum glucocorticoid-regulated
kinase 1 in reproductive success.
PMID- 27871059
TI - Selective p38alpha MAP kinase/MAPK14 inhibition in enzymatically modified LDL
stimulated human monocytes: implications for atherosclerosis.
AB - The first ATP-competitive p38alpha MAPK/MAPK14 inhibitor with excellent in vivo
efficacy and selectivity, skepinone-L, is now available. We investigated the
impact of selective p38alpha MAPK/MAPK14 inhibition on enzymatically modified LDL
(eLDL) stimulated human monocytes with its implications for atherosclerosis.
Among the different p38 MAPK isoforms, p38alpha/MAPK14 was the predominantly
expressed and activated isoform in isolated human peripheral blood monocytes.
Moreover, eLDL colocalized with macrophages positive for p38alpha MAPK/MAPK14 in
human carotid endarterectomy specimens. Using the human leukemia cell line THP-1
and/or primary monocyte-derived macrophages, skepinone-L inhibited eLDL-induced
activation of the p38 MAPK pathway, inhibited eLDL induced expression of both
cluster of differentiation 36 (CD36) and ATP-binding cassette, subfamily A,
member 1 (ABCA1), without a net effect on foam cell formation, had a cell- and
time-dependent effect on eLDL-triggered apoptosis, and inhibited eLDL-stimulated
secretion of IL-8 and MIP-1beta/CCL4 (macrophage inflammatory protein
1beta/chemokine, CC motif, ligand 4). Inhibition of a key signaling molecule of
the p38 MAPK pathway, p38alpha MAPK/MAPK14, by selective inhibitors like
skepinone-L, conclusively facilitates elucidation of the impact of the complex
network of p38 MAPK signaling on atherogenesis and might provide a promising
therapeutic tool to prevent inflammatory cascades in atherosclerosis.-Cheng, F.,
Twardowski, L., Fehr, S., Aner, C., Schaeffeler, E., Joos, T., Knorpp, T.,
Dorweiler, B., Laufer, S., Schwab, M., Torzewski, M. Selective p38alpha MAP
kinase/MAPK14 inhibition in enzymatically modified LDL-stimulated human
monocytes: implications for atherosclerosis.
PMID- 27871061
TI - A small-molecule inhibitor of NF-kappaB-inducing kinase (NIK) protects liver from
toxin-induced inflammation, oxidative stress, and injury.
AB - Potent and selective chemical probes are valuable tools for discovery of novel
treatments for human diseases. NF-kappaB-inducing kinase (NIK) is a key trigger
in the development of liver injury and fibrosis. Whether inhibition of NIK
activity by chemical probes ameliorates liver inflammation and injury is largely
unknown. In this study, a small-molecule inhibitor of NIK, B022, was found to be
a potent and selective chemical probe for liver inflammation and injury. B022
inhibited the NIK signaling pathway, including NIK-induced p100-to-p52 processing
and inflammatory gene expression, both in vitro and in vivo Furthermore, in vivo
administration of B022 protected against not only NIK but also CCl4-induced liver
inflammation and injury. Our data suggest that inhibition of NIK is a novel
strategy for treatment of liver inflammation, oxidative stress, and injury.-Ren,
X., Li, X., Jia, L., Chen, D., Hou, H., Rui, L., Zhao, Y., Chen, Z. A small
molecule inhibitor of NF-kappaB-inducing kinase (NIK) protects liver from toxin
induced inflammation, oxidative stress, and injury.
PMID- 27871062
TI - Unmasking ultradian rhythms in gene expression.
AB - Biological oscillations with an ultradian time scale of 1 to several hours
include cycles in behavioral arousal, episodic glucocorticoid release, and gene
expression. Ultradian rhythms are thought to have an extrinsic origin because of
a perceived absence of ultradian rhythmicity in vitro and a lack of known
molecular ultradian oscillators. We designed a novel, non-spectral-analysis
method of separating ultradian from circadian components and applied it to a
published gene expression dataset with an ultradian sampling resolution.
Ultradian rhythms in mouse hepatocytes in vivo have been published, and we
validated our approach using this control by confirming 175 of 323 ultradian
genes identified in a prior study and found 862 additional ultradian genes. For
the first time, we now report ultradian expression of >900 genes in vitro Sixty
genes exhibited ultradian transcriptional rhythmicity, both in vivo and in vitro,
including 5 genes involved in the cell cycle. Within these 60 genes, we
identified significant enrichment of specific DNA motifs in the 1000 bp proximal
promotor, some of which associate with known transcriptional factors. These
findings are in strong support of instrinsically driven ultradian rhythms and
expose potential molecular mechanisms and functions underlying ultradian rhythms
that remain unknown.-Van der Veen, D. R., Gerkema, M. P. Unmasking ultradian
rhythms in gene expression.
PMID- 27871063
TI - Dioscorea esculenta-induced increase in muscle sex steroid hormones is associated
with enhanced insulin sensitivity in a type 2 diabetes rat model.
AB - The effects of chronic Dioscorea esculenta administration and exercise training
on muscle sex steroid hormone levels and insulin resistance in type 2 diabetes
rats was assessed. Twenty-week-old male Otsuka Long Evans Tokushima Fatty (OLETF)
rats were assigned randomly to the control, D. esculenta treatment, D. esculenta
with 5alpha-reductase inhibitor treatment, or the exercise training groups
(running at 25 m/min for 1 h, 5 d/wk; n = 10 each group). Eight weeks of D.
esculenta treatment or exercise training significantly attenuated the increase in
plasma insulin and fasting glucose levels. Plasma and muscle concentrations of
dehydroepiandrosterone and 5alpha-dihydrotestosterone (DHT) and the expression of
5alpha-reductase increased significantly in the D. esculenta-treated and exercise
training groups, and both treatments led to the upregulation of glucose
transporter-4 translocation with concomitant increases in PKB and PKC-zeta/lambda
phosphorylation. Furthermore, the glucose metabolic clearance rate, which
represents insulin sensitivity, increased significantly in both the D. esculenta
treated and exercise training groups. These effects were suppressed by
administration of the DHT synthetic inhibitor. Together, these findings suggest
that the D. esculenta-induced increase in muscle sex steroid hormone levels helps
decrease insulin resistance in type 2 diabetes.-Sato, K., Fujita, S., Iemitsu, M.
Dioscorea esculenta-induced increase in muscle sex steroid hormones is associated
with enhanced insulin sensitivity in a type 2 diabetes rat model.
PMID- 27871065
TI - Mechanical remodeling of normally sized mammalian cells under a gravity vector.
AB - Translocation of the dense nucleus along a gravity vector initiates mechanical
remodeling of a cell, but the underlying mechanisms of cytoskeletal network and
focal adhesion complex (FAC) reorganization in a mammalian cell remain unclear.
We quantified the remodeling of an MC3T3-E1 cell placed in upward-, downward-, or
edge-on-orientated substrate. Nucleus longitudinal translocation presents a high
value in downward orientation at 24 h or in edge-on orientation at 72 h, which is
consistent with orientation-dependent distribution of perinuclear actin stress
fibers and vimentin cords. Redistribution of total FAC area and fractionized
super mature adhesion number coordinates this dependence at short duration. This
orientation-dependent remodeling is associated with nucleus flattering and lamin
A/C phosphorylation. Actin depolymerization or Rho-associated protein kinase
signaling inhibition abolishes the orientation dependence of nucleus
translocation, whereas tubulin polymerization inhibition or vimentin disruption
reserves the dependence. A biomechanical model is therefore proposed for
integrating the mechanosensing of nucleus translocation with cytoskeletal
remodeling and FAC reorganization induced by a gravity vector.-Zhang, C., Zhou,
L., Zhang, F., Lu, D., Li, N., Zheng, L., Xu, Y., Li, Z., Sun, S., Long, M.
Mechanical remodeling of normally sized mammalian cells under a gravity vector.
PMID- 27871064
TI - Benzopyrimido-pyrrolo-oxazine-dione CFTR inhibitor (R)-BPO-27 for antisecretory
therapy of diarrheas caused by bacterial enterotoxins.
AB - Secretory diarrheas caused by bacterial enterotoxins, including cholera and
traveler's diarrhea, remain a major global health problem. Inappropriate
activation of the cystic fibrosis transmembrane conductance regulator (CFTR)
chloride channel occurs in these diarrheas. We previously reported that the
benzopyrimido-pyrrolo-oxazinedione (R)-BPO-27 inhibits CFTR chloride conductance
with low-nanomolar potency. Here, we demonstrate using experimental mouse models
and human enterocyte cultures the potential utility of (R)-BPO-27 for treatment
of secretory diarrheas caused by cholera and Escherichia coli enterotoxins. (R)
BPO-27 fully blocked CFTR chloride conductance in epithelial cell cultures and
intestine after cAMP agonists, cholera toxin, or heat-stable enterotoxin of E.
coli (STa toxin), with IC50 down to ~5 nM. (R)-BPO-27 prevented cholera toxin and
STa toxin-induced fluid accumulation in small intestinal loops, with IC50 down to
0.1 mg/kg. (R)-BPO-27 did not impair intestinal fluid absorption or inhibit other
major intestinal transporters. Pharmacokinetics in mice showed >90% oral
bioavailability with sustained therapeutic serum levels for >4 h without the
significant toxicity seen with 7-d administration at 5 mg/kg/d. As evidence to
support efficacy in human diarrheas, (R)-BPO-27 blocked fluid secretion in
primary cultures of enteroids from human small intestine and anion current in
enteroid monolayers. These studies support the potential utility of (R)-BPO-27
for therapy of CFTR-mediated secretory diarrheas.-Cil, O., Phuan, P.-W.,
Gillespie, A. M., Lee, S., Tradtrantip, L., Yin, J., Tse, M., Zachos, N. C., Lin,
R., Donowitz, M., Verkman, A. S. Benzopyrimido-pyrrolo-oxazine-dione CFTR
inhibitor (R)-BPO-27 for antisecretory therapy of diarrheas caused by bacterial
enterotoxins.
PMID- 27871067
TI - The lncRNA SNHG5/miR-32 axis regulates gastric cancer cell proliferation and
migration by targeting KLF4.
AB - Long noncoding RNAs (lncRNAs) are emerging as important regulators in cellular
processes, including the development, proliferation, and migration of cancer
cells. We have demonstrated in a prior study that small nucleolar RNA host gene 5
(SNHG5) is dysregulated in gastric cancer (GC). To further explore the underlying
mechanisms of SNGH5 function in the development of GC, in this study, we screened
the microRNAs interacting with SNHG5 and elucidated their roles in GC. We showed
that SNHG5 contains a putative miR-32-binding site and that deletion of this site
abolishes the responsiveness to miR-32. Suppression of SNHG5 expression by miR-32
was found to be Argonaute (Ago)2-dependent. Immunoprecipitation showed that SNHG5
could be pulled down from the Ago-2 complex with miR-32. Furthermore, it was
reported that Kruppel-like factor 4 (KLF4) is a target gene of miR-32. In
agreement with SNHG5 being a decoy for miR-32, we showed that KLF4 suppression by
miR-32 could be partially rescued by SNHG5 overexpression, whereas miR-32 mimic
rescued SNHG5 overexpression-mediated suppression of GC cell migration. In
addition, we identified a negative correlation between the expression of SNHG5
and miR-32 in GC tissues. Furthermore, KLF4 expression was significantly
downregulated in GC specimens, and a negative correlation between miR-32 and KLF4
expression and a positive correlation between KLF4 and SNHG5 expression levels
were detected. Overall, this study demonstrated, for the first time, that the
SNHG5/miR-32/KLF4 axis functions as an important player in GC cell migration and
potentially contributes to the improvement of GC diagnosis and therapy.-Zhao, L.,
Han, T., Li, Y., Sun, J., Zhang, S., Liu, Y., Shan, B., Zheng D., Shi, J. The
lncRNA SNHG5/miR-32 axis regulates gastric cancer cell proliferation and
migration by targeting KLF4.
PMID- 27871066
TI - A novel amino acid and metabolomics signature in mice overexpressing muscle
uncoupling protein 3.
AB - Uncoupling protein 3 (UCP3) is highly selectively expressed in skeletal muscle
and is known to lower mitochondrial reactive oxygen species and promote fatty
acid oxidation; however, the global impact of UCP3 activity on skeletal muscle
and whole-body metabolism have not been extensively studied. We utilized
untargeted metabolomics to identify novel metabolites that distinguish mice
overexpressing UCP3 in muscle, both at rest and after exercise regimens that
challenged muscle metabolism, to potentially unmask subtle phenotypes. Male wild
type (WT) and muscle-specific UCP3-overexpressing transgenic (UCP3 Tg) C57BL/6J
mice were compared with or without a 5 wk endurance training protocol at rest or
after an acute exercise bout (EB). Skeletal muscle, liver, and plasma samples
were analyzed by gas chromatography time-of-flight mass spectrometry.
Discriminant metabolites were considered if within the top 99th percentile of
variable importance measurements obtained from partial least-squares discriminant
analysis models. A total of 80 metabolites accurately discriminated UCP3 Tg mice
from WT when modeled within a specific exercise condition (i.e.,
untrained/rested, endurance trained/rested, untrained/EB, and endurance
trained/EB). Results revealed that several amino acids and amino acid derivatives
in skeletal muscle and plasma of UCP3 Tg mice (e.g., Asp, Glu, Lys, Tyr, Ser,
Met) were significantly reduced after an EB; that metabolites associated with
skeletal muscle glutathione/Met/Cys metabolism (2-hydroxybutanoic acid,
oxoproline, Gly, and Glu) were altered in UCP3 Tg mice across all training and
exercise conditions; and that muscle metabolite indices of dehydrogenase activity
were increased in UCP3 Tg mice, suggestive of a shift in tissue NADH/NAD+ ratio.
The results indicate that mitochondrial UCP3 activity affects metabolism well
beyond fatty acid oxidation, regulating biochemical pathways associated with
amino acid metabolism and redox status. That select metabolites were altered in
liver of UCP3 Tg mice highlights that changes in muscle UCP3 activity can also
affect other organ systems, presumably through changes in systemic metabolite
trafficking.-Aguer, C., Piccolo, B. D., Fiehn, O., Adams, S. H., Harper, M.-E. A
novel amino acid and metabolomics signature in mice overexpressing muscle
uncoupling protein 3.
PMID- 27871068
TI - Autoinflammatory Skin Disease: A Review of Concepts and Applications to General
Dermatology.
AB - We provide an up-to-date summary of important concepts of autoinflammation as
well as describe important but rare monogenic autoinflammatory disorders that may
present with cutaneous findings. Finally, of particular interest to a practicing
general dermatology audience, we review concepts of autoinflammation as they
apply to understanding the disease pathogenesis of common skin disorders.
PMID- 27871069
TI - Rate and Predictors of Interval Esophageal and Gastric Cancers after
Esophagogastroduodenoscopy in the United States.
AB - BACKGROUND AND AIMS: In the United States, little is known about the rates of
interval upper gastrointestinal (GI) cancer (possibly missed out) after an
esophagogastroduodenoscopy (EGD) is performed. Data from non-US studies reported
interval cancer rates of 7-26%. We aimed to study the rate and predictors of
interval upper GI cancers in the United States. METHODS: Using the random 5%
sample of Medicare beneficiaries in the Surveillance, Epidemiology, and End
Results-Medicare linked database, we identified patients diagnosed with
esophageal or gastric cancer during 2000-2007. EGD performed within 36 months
prior to cancer diagnosis was identified using CPT codes. Cancers diagnosed 6-36
months after EGD were defined as interval (vs. detected) cancers. The chi-square
test and the multivariate logistic model were used in statistical analysis.
RESULTS: Of 751 patients diagnosed with upper GI cancer, 52 patients (6.9%) were
diagnosed with interval cancers 6-36 months after EGD. The rate of interval
cancers was 5.5% (31/568) for gastroenterologists and 11.5% (21/183) for non
gastroenterologists (p < 0.01). In multivariate logistic regression, EGDs
performed by gastroenterologists (vs. non-gastroenterologists: OR 0.46, 95% CI
0.25-0.83) and those in inpatient setting (vs. outpatient: OR 0.53, 95% CI 0.28
0.997) were associated with a lower likelihood of interval cancers. Sensitivity
analyses limited to outpatient EGDs or interval cancers 6-30 months after EGDs
led to similar results. CONCLUSIONS: The rate of interval cancers after EGD is
the same as the rate of colonoscopy among Medicare patients in the United States.
EGDs performed by gastroenterologists and in in-patient settings were associated
with a lesser likelihood of interval cancers.
PMID- 27871070
TI - Khat (Catha edulis) and Obesity: A Scoping Review of Animal and Human Studies.
AB - BACKGROUND: Khat (Catha edulis) is a plant that is deeply rooted in the cultural
life of East African and Southwestern Arabian populations. Prevalent traditional
beliefs about khat are that the plant has an effect on appetite and body weight.
SUMMARY: This review assesses the accumulated evidences on the mutual influence
of monoamines, hormones and neuropeptides that are linked to obesity. A few anti
obesity drugs that exert their mechanisms of action through monoamines are
briefly discussed to support the notion of monoamines being a critical target of
drug discovery for new anti-obesity drugs. Subsequently, the review provides a
comprehensive overview of central dopamine and serotonin changes that are
associated with the use of khat or its alkaloids. Then, all the studies on khat
that describe physical, biochemical and hormonal changes are summarised and
discussed in depth. CONCLUSION: The reviewed studies provide relatively
acceptable evidence that different khat extracts or cathinone produces changes in
terms of weight, fat mass, appetite, lipid biochemistry and hormonal levels.
These changes are more pronounced at higher doses and long durations of
intervention. The most suggested mechanism of these changes is the central action
that produces changes in the physiology of dopamine and serotonin. Nonetheless,
there are a number of variations in the study design, including species, doses
and durations of intervention, which makes it difficult to arrive at a final
conclusion about khat regarding obesity, and further studies are necessary in the
future to overcome these limitations.
PMID- 27871071
TI - WT1 Expression in Patients with Myelodysplastic Syndromes: A Variety of
Possibilities in Future Clinical Practice.
PMID- 27871073
TI - Expression of Kisspeptin in Gonadotrope Precursors in the Mouse Pituitary during
Embryonic and Postnatal Development and in Adulthood.
AB - BACKGROUND: Kisspeptins are important regulators of the development and function
of the hypothalamic-pituitary-gonadal axis. However, the importance of kisspeptin
at the pituitary level is unclear. METHODS: We examined the expression profile of
kisspeptin in the mouse pituitary during development and in adulthood using RT
PCR, quantitative PCR and immunohistochemistry. RESULTS: Kiss1 mRNA was detected
in both embryonic and postnatal pituitaries. Kisspeptin-immunoreactive (+) cells
were detected from embryonic day (E) 13.5 throughout adulthood, being localized
to the rostroventral portion in the anterior pituitary (AP) in embryos, and also
to the dorsocaudal AP postnatally. A large proportion of kisspeptin+ cells were
double-labeled with gonadotrope markers including Foxl2, SF-1, and LHbeta, and
the percentage of LHbeta+ cells in kisspeptin+ cells increased during
development. No kisspeptin+ cells were positive for the proliferating cell marker
MCM7 (minichromosome maintenance protein 7), but a few kisspeptin+ cells co
expressed the stem/progenitor cell marker Sox2. Kisspeptin expression was similar
between sexes and between agonadal SF-1 knockout embryos and wild-type
littermates. Kiss1 mRNA levels were not significantly different between sexes or
during early postnatal development, but levels in females increased when puberty
began and were significantly higher than in males at postpubertal ages.
CONCLUSIONS: These results suggest that kisspeptin is expressed in gonadotrope
precursors during gonadotrope differentiation, and that kisspeptin expression
begins soon after the initiation of alphaGSU production and is extinguished soon
after the initiation of LH production. Furthermore, pituitary kisspeptin
expression may be regulated in a gonad-independent manner during development, but
may be associated with gonadotrope function in adulthood.
PMID- 27871074
TI - Comparison of the HiFocus Mid-Scala and HiFocus 1J Electrode Array: Angular
Insertion Depths and Speech Perception Outcomes.
AB - The HiFocus Mid-Scala (MS) electrode array has recently been introduced onto the
market. This precurved design with a targeted mid-scalar intracochlear position
pursues an atraumatic insertion and optimal distance for neural stimulation. In
this study we prospectively examined the angular insertion depth achieved and
speech perception outcomes resulting from the HiFocus MS electrode array for 6
months after implantation, and retrospectively compared these with the HiFocus 1J
lateral wall electrode array. The mean angular insertion depth within the MS
population (n = 96) was found at 470 degrees . This was 50 degrees shallower but
more consistent than the 1J electrode array (n = 110). Audiological evaluation
within a subgroup, including only postlingual, unilaterally implanted, adult
cochlear implant recipients who were matched on preoperative speech perception
scores and the duration of deafness (MS = 32, 1J = 32), showed no difference in
speech perception outcomes between the MS and 1J groups. Furthermore, speech
perception outcome was not affected by the angular insertion depth or frequency
mismatch.
PMID- 27871072
TI - Testosterone Rapidly Augments Retrograde Endocannabinoid Signaling in
Proopiomelanocortin Neurons to Suppress Glutamatergic Input from Steroidogenic
Factor 1 Neurons via Upregulation of Diacylglycerol Lipase-alpha.
AB - Testosterone exerts profound effects on reproduction and energy homeostasis. Like
other orexigenic hormones, it increases endocannabinoid tone within the
hypothalamic feeding circuitry. Therefore, we tested the hypothesis that
testosterone upregulates the expression of diacylglycerol lipase (DAGL)alpha in
the hypothalamic arcuate nucleus (ARC) to increase energy intake via enhanced
endocannabinoid-mediated retrograde inhibition of anorexigenic
proopiomelanocortin (POMC) neurons. Energy intake, meal patterns, and energy
expenditure were evaluated in orchidectomized, male guinea pigs treated
subcutaneously with testosterone propionate (TP; 400 MUg) or its sesame oil
vehicle (0.1 mL). TP rapidly increased energy intake, meal size, O2 consumption,
CO2 production, and metabolic heat production, all of which were antagonized by
prior administration of the DAGL inhibitor orlistat (3 MUg) into the third
ventricle. These orlistat-sensitive, TP-induced increases in energy intake and
expenditure were temporally associated with a significant elevation in ARC
DAGLalpha expression. Electrophysiological recordings in hypothalamic slices
revealed that TP potentiated depolarization-induced suppression of excitatory
glutamatergic input onto identified ARC POMC neurons, which was also abolished by
orlistat (3 MUM), the CB1 receptor antagonist AM251 (1 MUM), and the AMP
activated protein kinase inhibitor compound C (30 MUM) and simulated by transient
bath application of the dihydrotestosterone mimetic Cl-4AS-1 (100 nM) and
testosterone-conjugated bovine serum albumin (100 nM). Thus, testosterone boosts
DAGLalpha expression to augment retrograde, presynaptic inhibition of glutamate
release onto ARC POMC neurons that, in turn, increases energy intake and
expenditure. These studies advance our understanding of how androgens work within
the hypothalamic feeding circuitry to affect changes in energy balance.
PMID- 27871075
TI - Comparative Effectiveness of Renin-Angiotensin System Antagonists in Maintenance
Dialysis Patients.
AB - BACKGROUND/AIMS: Whether angiotensin converting enzyme inhibitors (ACE) and
angiotensin receptor blockers (ARB) are differentially associated with reductions
in cardiovascular events and mortality in patients receiving maintenance dialysis
is uncertain. We compared outcomes between ACE and ARB users among hypertensive,
maintenance dialysis patients. METHODS: National retrospective cohort study of
hypertensive, Medicare-Medicaid eligible patients initiating chronic dialysis
between 1/1/2000 to 12/31/2005. The exposure of interest was new use of either an
ACEI or ARB. Outcomes were all-cause mortality (ACM) and combined cardiovascular
hospitalization or death (CV-endpoint). Cox proportion hazards models were used
to compare the effect of ACEI vs ARB use on ACM and, separately, CV-endpoint.
RESULTS: ACM models were based on 3,555 ACEI and 1,442 ARB new users, while CV
endpoint models included 3,289 ACEI and 1,346 ARB new users. After statistical
adjustments, ACEI users had higher hazard ratios for ACM (AHR = 1.22, 99% CI 1.05
1.42) and CV-endpoint (AHR = 1.12, 99% CI 0.99-1.27). CONCLUSIONS: Patients
initiating maintenance dialysis who received an ACEI faced an increased risk for
mortality and a trend towards an increased risk for CV-endpoints when compared to
patients who received an ARB. Validation of these results in a rigorous clinical
trial is warranted.
PMID- 27871076
TI - Semi-Rigid Ureteroscopy for Proximal Ureteral Stones: Does Adjunctive Tamsulosin
Therapy Increase the Chance of Success?
AB - OBJECTIVES: To assess the efficacy of adjunctive tamsulosin therapy in improving
the success rate of laser-assisted semi-rigid ureteroscopy (URS) for removing
proximal ureteral stones. PATIENTS AND METHODS: This prospective study included
165 patients with proximal ureteral stones >=10 mm. The patients were randomly
assigned to a tamsulosin group (Group I, n = 81) receiving tamsulosin 0.4 mg
daily for 1 week pre-URS and a control group (Group II, n = 84) without
tamsulosin therapy. Treatment consisted of URS using a semi-rigid ureteroscope
(7.5 Fr), followed by intracorporeal holmium: YAG laser lithotripsy. The patients
were followed up regularly for 8 weeks after URS. RESULTS: The operative time was
43.4 and 49.6 min in Groups I and II, respectively (p < 0.001). Scope to stone
access rate was 93.8 and 82.1% in patients of Groups I and II, respectively (p =
0.022). The stone-free rate was significantly higher in Group I compared to Group
II (74/81; 91.4% vs. 67/84; 79.8%; p = 0.035). The complication rate was
significantly lower in Group I compared to Group II (17.3 vs. 38.1%, p = 0.003).
Only minor complications were encountered and were managed conservatively.
CONCLUSIONS: Tamsulosin therapy prior to semi-rigid URS improved ureteroscopic
access to proximal ureteral stones, thus leading to an increased success rate and
low morbidity.
PMID- 27871077
TI - Impact of Annexin A 7 Deficiency on FGF23 Plasma Concentrations.
AB - BACKGROUND/AIMS: The release of fibroblast growth factor FGF23, a powerful
regulator of 1,25(OH)2D3 formation and mineral metabolism, is stimulated by store
operated Ca2+ entry (SOCE), which is accomplished by the pore forming Ca2+
release activated channel protein Orai1. Regulators of Orai1 and thus FGF23
release include serum & glucocorticoid inducible kinase SGK1, a kinase up
regulated by glucocorticosteroids. Some effects of glucocorticoids require the
presence of annexin A7, such as suppression of prostaglandin E2 in gastric
glands. The present study thus explored whether annexin A7 impacts on FGF23
plasma levels. METHODS: Comparisons were made between gene targeted mice lacking
functional annexin A7 (Anx7-/-) and their wild type littermates (Anx7+/+). Serum
C-terminal-FGF23, intact FGF23, 1,25(OH)2D3 and PTH concentrations were measured
by ELISA or EIA. The serum and urinary phosphate concentrations were measured by
colorimetry, the serum Ca2+ concentration and the urinary Ca2+ concentration by
flame photometry. RESULTS: Serum C-terminal FGF23 levels and corticosterone
levels were significantly higher and serum 1,25(OH)2 D3 and PTH levels were
significantly lower in Anx7-/- than in Anx7+/+ mice. Water intake was slightly
but significantly higher in Anx7-/- mice than in Anx7+/+ mice. No significant
difference was observed between Anx7-/- and Anx7+/+ mice in urinary fluid
excretion, plasma Ca2+ concentration, plasma phosphate concentration and urinary
Ca2+ output. The urinary phosphate output was significantly lower in Anx7-/- mice
than in Anx7+/+ mice. CONCLUSION: Annexin A7 deficiency upregulates FGF23 plasma
levels, an effect paralleled by increased corticosterone plasma levels, as well
as decreased 1,25(OH)2 D3 and PTH plasma levels.
PMID- 27871078
TI - Cerebral Microhemorrhages and Meningeal Siderosis in Infective Endocarditis.
AB - OBJECTIVE: Patients with infective endocarditis (IE) frequently experience
cerebral insults, and neurological involvement in IE has been reported to herald
a worse prognosis. In this manuscript, we describe a distinctive pattern of
findings on susceptibility-weighted imaging (SWI) sequences in subjects with IE.
METHODS: Patients with IE who underwent SWI MRI at an academic hospital from 2009
to 2014 were retrospectively analyzed. The pattern of findings was compared to
SWI findings in groups of subjects with cerebral amyloid angiopathy (CAA) or
severe hypertension. RESULTS: Sixty-six subjects with IE were included; 64 (94%)
had microhemorrhages and the average number per patient was 21.5. In 11 (17%)
patients, microhemorrhages were the only neuroimaging abnormality. The majority
of microhemorrhages were between 1 and 3 mm. In a direct comparison of gradient
echo T2* (GRE-T2*) and SWI, many microhemorrhages in this size range were not
detected by GRE-T2*. Microhemorrhages in IE involved every part of the brain with
a significant predilection for the cerebellum. This pattern was distinct from
that seen in hypertension or CAA. Small subarachnoid hemorrhage or meningeal
siderosis were also frequently detected in IE, but were not associated with
mycotic aneurysms. INTERPRETATION: SWI is a sensitive diagnostic technique for
detecting infectious cerebral angiopathy in subjects with IE, producing a pattern
of microhemorrhages that were distinct from other common microangiopathies.
PMID- 27871079
TI - Triptolide Attenuates Inflammatory Response in Membranous Glomerulo-Nephritis Rat
via Downregulation of NF-kappaB Signaling Pathway.
AB - BACKGROUND/AIMS: Triptolide (TPL), a main active ingredient of Tripterygium
wilfordii has been shown to exert anti-inflammatory effect. The role of TPL on
glomerular diseases remains unclear. METHODS: This study aims to investigate the
potential anti-inflammatory effect of TPL in rats with membranous
glomerulonephritis (MGN). RESULTS: Our data showed that the pathological kidney
damage was significantly alleviated by TPL treatment in MGN rats. We also found
that MGN rats exhibited significantly higher (p < 0.01) level of inflammatory
cytokines (TNF-alpha, IL-1beta and MCP-1) than those in normal group, while these
inflammatory cytokines levels were significantly reduced in TPL treatment group
compared with model group. Additionally, we found that TPL treatment could
significantly decrease the malondialdehyde (MDA) level while enhanced superoxide
dismutase (SOD) activity. Meanwhile, we also found that IkappaB kinase inhibitor
(IMD-0354) could significantly reduce the accumulation of inflammation damage and
oxidative lesions. Furthermore, we observed that both TPL and IMD-0354 treatment
could block IkappaBalpha degradation and suppress mRNA and protein level of
nuclear factor (NF) -kappaB p65. CONCLUSION: Together, all above results suggest
that inflammatory response could be attenuated by TPL and this is partly due to
the inhibition of NF- kappaB signaling pathway.
PMID- 27871080
TI - The Association between Premature Adrenarche and Cardiovascular Risk May Be
Greater than Expected.
AB - AIM: The aim of this study was to investigate the cardiovascular risk of children
with premature adrenarche (PA). METHODS: A total of 75 children (44 with PA and
31 control subjects) aged 6-10 years were included in the study. Their metabolic,
anthropometric, and echocardiographic parameters were recorded and compared.
RESULTS: Triglyceride, DHEA-SO4, and 17-hydroxyprogesterone levels were
significantly higher in the PA group (p = 0.04, p = 0.002, and p = 0.01,
respectively). The echocardiographic assessments revealed that the left
ventricular end-diastolic diameter (LVEDD) (p < 0.001), left ventricle (LV) and
right ventricle (RV) ejection times (p = 0.031 and p = 0.035, respectively), and
LV and RV Tei index measurements (p = 0.033 and p = 0.006, respectively) were
significantly higher in the PA group than in the control group, whereas the E/e'
ratio of the mitral lateral annulus was significantly lower in the PA group (p =
0.006). Additionally, carotid intima-media thickness and epicardial adipose
tissue measurements were significantly increased in the PA group compared to the
control group (p < 0.001). CONCLUSION: Early atherosclerotic changes and
subclinical impairment of cardiac function were observed in children with PA. It
is possible that these children are on a course for early cardiovascular disease.
PMID- 27871081
TI - Renal Complications in Patients with Renal Infarction: Prevalence and Risk
Factors.
AB - BACKGROUND/AIMS: This study aimed to investigate the incidence and risk factors
for acute kidney injury (AKI) and chronic kidney disease (CKD) in patients with
renal infarction. METHODS: A single-center retrospective study was conducted from
January 2005 to December 2013. Baseline and clinical characteristics of the
enrolled patients with renal infarction were evaluated and analyzed according to
the presence of AKI and CKD. In particular, predictors for AKI and CKD were
determined using logistic regression analysis. RESULTS: Of the 105 patients
included in present study, 41 (39.0%) patients had AKI. A total of 80 patients
were followed up for 2 years after hospital discharge. Among these patients, 27
(33.8%) patients had CKD. In the multivariate analysis, the predictors were mean
blood pressure (odds ratio [OR] 1.062, 95% confidence interval [CI] 1.015-1.112,
p = 0.009) and bilateral involvement (OR 4.396, 95% CI 1.096-17.632, p = 0.037)
for AKI, and AKI (OR 14.799, 95% CI 4.173-52.490, p < 0.001) and old age (OR
1.065, 95% CI 1.016-1.116, p = 0.009) for CKD. CONCLUSIONS: Physicians should pay
attention to the development of AKI and CKD after renal infarction and follow
patients over a long term.
PMID- 27871082
TI - Self-Reported Physical Activity, Quality of Life, and Psychological Status in
Relation to Plasma 25-Hydroxyvitamin D Concentration in Patients Treated with
Hemodialysis.
AB - BACKGROUND/AIMS: Vitamin D status is announced among factors that may influence
physical performance and mental health. Our aim was to evaluate self-reported
physical activity, quality of life, psychiatric functioning, and affects with
respect to plasma 25-hydroxyvitamin D [25(OH)D] concentrations in HD patients.
METHODS: The study was carried out in HD patients not receiving vitamin D
supplements (n = 112). IPAQ-L, QLI-D, GHQ-28, and PANAS were used in
psychological evaluations. Plasma 25(OH)D concentration was determined by a
chemiluminescent microparticle immunoassay. RESULTS: Plasma 25(OH)D level was
suboptimal in all patients (14.6 +/- 4.1 ng/ml). Adjusted correlates of 25(OH)D
concentration included the GG genotype of GC rs7041 (beta+/-SE: 1.77+/- 0.70,
P=0.014), female sex (beta+/-SE: -2.19+/-0.75, P=0.004), and treatment with high
flux HD (beta+/-SE: 2.59+/-0.69, P=0.0003). In adjusted analyses, circulating
25(OH)D showed the independent association with total activity related to
domestic and gardening domain (beta+/-SE: 53.2+/-23.8, P=0.028), and with
moderate-intensity activities (beta+/-SE: 54.9+/-27.4, P=0.048), but not with any
of quality of life, psychiatric functioning, or affects measures. CONCLUSIONS:
Vitamin D status is independently positively associated with physical activity in
HD patients. Quality of life and mental health do not seem to be associated with
circulating 25(OH)D under condition of its suboptimal levels.
PMID- 27871083
TI - Work of Breathing in Fixed and Pressure Relief Continuous Positive Airway
Pressure (C-FlexTM): A post hoc Analysis.
AB - BACKGROUND: Expiratory pressure relief continuous positive airway pressure
(pressure relief CPAP; C-FlexTM) causes increases in inspiratory duty cycle and
shortening of expiratory time. It has been suggested that these changes are
caused by an increase in work of breathing. OBJECTIVES: We studied the effects of
C-Flex on work of breathing and intrinsic positive end-expiratory pressure as
compared to fixed CPAP. METHODS: Work of breathing was analyzed in 24 patients
with obstructive sleep apnea during treatment with fixed CPAP and C-Flex with 3
different pressure relief settings in a randomized order during rapid-eye
movement (REM) and non-REM sleep. Work of breathing was assessed on a breath-by
breath basis using a piezoelectric esophageal pressure catheter and a
pneumotachograph for measuring airflow. RESULTS: We found there was no increase
in inspiratory work of breathing observed using C-Flex compared to fixed CPAP.
Instead, we found a linear decrease in inspiratory work of breathing with
increasing pressure relief, with a mean difference of 1.22 J/min between CPAP and
maximum pressure release (C-Flex 3; 90% of the value with nasal CPAP); however,
the decrease was not statistically significant. The decrease in inspiratory work
of breathing associated with C-Flex has a significant inverse correlation with
BMI. CONCLUSIONS: The C-Flex technology does not change work of breathing but
shows a tendency towards a reduction of inspiratory work of breathing in patients
with a lower BMI using higher C-Flex. The effect is probably caused by
diminishing airway resistance generated by the positive end-expiratory pressure.
Our findings may lead to additional fields of application of the C-Flex
technology, such as chronic obstructive pulmonary disease or muscular dystrophy.
PMID- 27871084
TI - Olmesartan Prevents Microalbuminuria in db/db Diabetic Mice Through Inhibition of
Angiotensin II/p38/SIRT1-Induced Podocyte Apoptosis.
AB - BACKGROUND/AIMS: Blockage of the renin-angiotensin II system (RAS) prevents or
delays albuminuria in diabetic patients. The aim of this study was to investigate
the inhibitory mechanism of the angiotensin receptor blocker olmesartan on
albuminuria in a murine model of diabetic nephropathy. METHODS: Male db/db
diabetic mice were fed with placebo or 20 mg/kg olmesartan by daily gavage for 12
weeks. Conditionally immortalized mouse podocytes were treated with glucose,
angiotensin II, olmesartan or p38 inhibitor s8307 in different experimental
conditions after differentiation. RESULTS: Olmesartan reduced albuminuria in
db/db mice without change in body weight and glycemia. The increase of apoptotic
cells and decrease of podocytes in the diabetic glomerulus were prevented by
olmesartan. Moreover, olmesartan restored silent mating type information
regulation 1 (SIRT1) expression in diabetic glomeruli. Furthermore, olmesartan
treatment suppressed p38 phosphorylation but did not restore adenosine 5'
monophosphate-activated protein kinase (AMPK) phosphorylation in the diabetic
glomerulus. In vitro study revealed that olmesartan prevented angiotensin
II/p38/SIRT1 induced podocyte apoptosis, but it only slightly prevented high
glucose/AMPK/SIRT1 induced podocyte apoptosis. In addition, the p38 inhibitor
s8307 reversed SIRT1 expression and angiotensin II induced podocyte apoptosis.
CONCLUSIONS: Olmesartan reduced albuminuria in diabetic nephropathy through
inhibiting angiotensin II/p38/SIRT1 triggered podocyte apoptosis.
PMID- 27871085
TI - Plasma Renalase is Not Associated with Blood Pressure and Brachial-Ankle Pulse
Wave Velocity in Chinese Adults With Normal Renal Function.
AB - BACKGROUND/AIMS: This study aimed to investigate the association of renalase with
blood pressure (BP) and brachial-ankle pulse wave velocity (baPWV) in order to
better understand the role of renalase in the pathogenesis of hypertension and
atherosclerosis. METHODS: A total of 344 subjects with normal kidney function
were recruited from our previously established cohort in Shaanxi Province, China.
They were divided into the normotensive (NT) and hypertensive (HT) groups or high
baPWV and normal baPWV on the basis of BP levels or baPWV measured with an
automatic waveform analyzer. Plasma renalase was determined through an enzyme
linked immunosorbent assay. RESULTS: Plasma renalase did not significantly differ
between HT and NT groups (3.71 +/- 0.69 ug/mL vs. 3.72 +/- 0.73 MUg/mL, P =
0.905) and between subjects with and without high baPWV (3.67 +/- 0.66 ug/mL vs.
3.73 +/- 0.74 ug/mL, P = 0.505). However, baPWV was significantly higher in the
HT group than in the NT group (1460.4 +/- 236.7 vs. 1240.7 +/- 174.5 cm/s, P <
0.001). Plasma renalase was not correlated with BP levels and baPWV in the entire
group. Linear and logistic regression analysis revealed that plasma renalase was
not significantly associated with hypertension and high baPWV. CONCLUSION: Plasma
renalase may not be associated with BP and baPWV in Chinese subjects with normal
renal function.
PMID- 27871086
TI - The Influence of Two Different Foam Creams on Skin Barrier Repair of Foot
Xerosis: A Prospective, Double-Blind, Randomised, Placebo-Controlled Intra
Individual Study.
AB - BACKGROUND/AIMS: Dry skin, or xerosis, is a common condition and a key feature of
skin diseases like atopic dermatitis (AD) and ichthyosis vulgaris. Foot xerosis
may exist without underlying disease and could be related to very mild forms of
AD or ichthyosis vulgaris. The synthesis of important skin lipids (cholesterol,
free fatty acids and ceramides) is reduced in xerosis and AD, and reduced lipid
synthesis is responsible for a lack of lipids and enzymes in the skin barrier.
This slows down reorganisation of the lipid lamellae in the stratum corneum (SC).
METHODS: Skin barrier integrity was measured by morphometric analysis of the
lipid lamellae in the SC after 4 weeks of treatment with a foam cream (active
agent vs. placebo). RESULTS: Significant treatment effects were shown after 2 and
4 weeks by an increasing amount of intercellular lipids in the SC. CONCLUSION:
This study shows that a quick reorganisation of the SC lipids initiates a good
restoration of the whole skin barrier after 4 weeks of treatment with a foam
cream.
PMID- 27871087
TI - The HDM2 (MDM2) Inhibitor NVP-CGM097 Inhibits Tumor Cell Proliferation and Shows
Additive Effects with 5-Fluorouracil on the p53-p21-Rb-E2F1 Cascade in the
p53wild type Neuroendocrine Tumor Cell Line GOT1.
AB - BACKGROUND/AIMS: The tumor suppressor p53 is depleted in many tumor cells by the
E3 ubiquitin ligase mouse double minute 2 homolog (MDM2) through MDM2/p53
interaction. A novel target for inhibiting p53 degradation and for causing
reexpression of p53wild type is inhibition of MDM2. The small molecule NVP-CGM097
is a novel MDM2 inhibitor. We investigated MDM2 inhibition as a target in
neuroendocrine tumor cells in vitro. METHODS: Human neuroendocrine tumor cell
lines from the pancreas (BON1), lung (NCI-H727), and midgut (GOT1) were incubated
with the MDM2 inhibitor NVP-CGM097 (Novartis) at concentrations from 4 to 2,500
nM. RESULTS: While p53wild type GOT1 cells were sensitive to NVP-CGM097,
p53mutated BON1 and p53mutated NCI-H727 cells were resistant to NVP-CGM097.
Incubation of GOT1 cells with NVP-CGM097 at 100, 500, and 2,500 nM for 96 h
caused a significant decline in cell viability to 84.9 +/- 9.2% (p < 0.05), 77.4
+/- 6.6% (p < 0.01), and 47.7 +/- 9.2% (p < 0.01). In a Western blot analysis of
GOT1 cells, NVP-CGM097 caused a dose-dependent increase in the expression of p53
and p21 tumor suppressor proteins and a decrease in phospho-Rb and E2F1.
Experiments of co-incubation of NVP-CGM097 with 5-fluorouracil, temozolomide, or
everolimus each showed additive antiproliferative effects in GOT1 cells. NVP
CGM097 and 5-fluorouracil increased p53 and p21 expression in an additive manner.
CONCLUSIONS: MDM2 inhibition seems a promising novel therapeutic target in
neuroendocrine tumors harboring p53wild type. Further investigations should
examine the potential role of MDM2 inhibitors in neuroendocrine tumor treatment.
PMID- 27871089
TI - Water balance creates a threshold in soil pH at the global scale.
AB - Soil pH regulates the capacity of soils to store and supply nutrients, and thus
contributes substantially to controlling productivity in terrestrial ecosystems.
However, soil pH is not an independent regulator of soil fertility-rather, it is
ultimately controlled by environmental forcing. In particular, small changes in
water balance cause a steep transition from alkaline to acid soils across natural
climate gradients. Although the processes governing this threshold in soil pH are
well understood, the threshold has not been quantified at the global scale, where
the influence of climate may be confounded by the effects of topography and
mineralogy. Here we evaluate the global relationship between water balance and
soil pH by extracting a spatially random sample (n = 20,000) from an extensive
compilation of 60,291 soil pH measurements. We show that there is an abrupt
transition from alkaline to acid soil pH that occurs at the point where mean
annual precipitation begins to exceed mean annual potential evapotranspiration.
We evaluate deviations from this global pattern, showing that they may result
from seasonality, climate history, erosion and mineralogy. These results
demonstrate that climate creates a nonlinear pattern in soil solution chemistry
at the global scale; they also reveal conditions under which soils maintain pH
out of equilibrium with modern climate.
PMID- 27871091
TI - Age-Specific Injury Risk Curves for Distributed, Anterior Thoracic Loading of
Various Sizes of Adults Based on Sternal Deflections.
AB - Injury Risk Curves are developed from cadaver data for sternal deflections
produced by anterior, distributed chest loads for a 25, 45, 55, 65 and 75 year
old Small Female, Mid-Size Male and Large Male based on the variations of bone
strengths with age. These curves show that the risk of AIS >= 3 thoracic injury
increases with the age of the person. This observation is consistent with NASS
data of frontal accidents which shows that older unbelted drivers have a higher
risk of AIS >= 3 chest injury than younger drivers.
PMID- 27871088
TI - Structure of photosystem II and substrate binding at room temperature.
AB - Light-induced oxidation of water by photosystem II (PS II) in plants, algae and
cyanobacteria has generated most of the dioxygen in the atmosphere. PS II, a
membrane-bound multi-subunit pigment protein complex, couples the one-electron
photochemistry at the reaction centre with the four-electron redox chemistry of
water oxidation at the Mn4CaO5 cluster in the oxygen-evolving complex (OEC).
Under illumination, the OEC cycles through five intermediate S-states (S0 to S4),
in which S1 is the dark-stable state and S3 is the last semi-stable state before
O-O bond formation and O2 evolution. A detailed understanding of the O-O bond
formation mechanism remains a challenge, and will require elucidation of both the
structures of the OEC in the different S-states and the binding of the two
substrate waters to the catalytic site. Here we report the use of femtosecond
pulses from an X-ray free electron laser (XFEL) to obtain damage-free, room
temperature structures of dark-adapted (S1), two-flash illuminated (2F; S3
enriched), and ammonia-bound two-flash illuminated (2F-NH3; S3-enriched) PS II.
Although the recent 1.95 A resolution structure of PS II at cryogenic temperature
using an XFEL provided a damage-free view of the S1 state, measurements at room
temperature are required to study the structural landscape of proteins under
functional conditions, and also for in situ advancement of the S-states. To
investigate the water-binding site(s), ammonia, a water analogue, has been used
as a marker, as it binds to the Mn4CaO5 cluster in the S2 and S3 states. Since
the ammonia-bound OEC is active, the ammonia-binding Mn site is not a substrate
water site. This approach, together with a comparison of the native dark and 2F
states, is used to discriminate between proposed O-O bond formation mechanisms.
PMID- 27871093
TI - Effect of Abdominal Loading Location on Liver Motion: Experimental Assessment
using Ultrafast Ultrasound Imaging and Simulation with a Human Body Model.
AB - A protocol based on ultrafast ultrasound imaging was applied to study the in situ
motion of the liver while the abdomen was subjected to compressive loading at 3
m/s by a hemispherical impactor or a seatbelt. The loading was applied to various
locations between the lower abdomen and the mid thorax while feature points
inside the liver were followed on the ultrasound movie (2000 frames per second).
Based on tests performed on five post mortem human surrogates (including four
tested in the current study), trends were found between the loading location and
feature point trajectory parameters such as the initial angle of motion or the
peak displacement in the direction of impact. The impactor tests were then
simulated using the GHBMC M50 human body model that was globally scaled to the
dimensions of each surrogate. Some of the experimental trends observed could be
reproduced in the simulations (e.g. initial angle) while others differed more
widely (e.g. final caudal motion). The causes for the discrepancies need to be
further investigated. The liver strain energy density predicted by the model was
also widely affected by the impact location. Experimental and simulation results
both highlight the importance of the liver position with respect to the impactor
when studying its response in situ.
PMID- 27871092
TI - The Effect of Rib Shape on Stiffness.
AB - This study investigates the isolated effect of rib shape on the mechanical
characteristics of ribs subjected to multiple forms of loading. It aims to
measure the variation in stiffness due to shape that is seen throughout the
population and, in particular, provide a tool for researchers to better
understand the influence of shape on resulting stiffness. A previously published
six-parameter shape model of the central axis of human ribs was used. It has been
shown to accurately model the overall rib path using intrinsic geometric
properties such as size, aspect ratio, and skewness, through shapes based on
logarithmic spirals with high curvature continuity. In this study the model was
fitted to 19,500 ribs from 989 adult female and male CT scans having demographic
distributions matching the US adult population. Mechanical loading was simulated
through a simplified finite element model aimed at isolating rib shape from other
factors influencing mechanical response. Four loading scenarios were used
representing idealized free and constrained loading conditions in axial (body
anterior) and lateral directions. Characteristic rib stiffness and maximum stress
location were tracked as simulation output measures. Regression models of rib
stiffness found that all shape model parameters added information when predicting
stiffness under each loading condition, with their linear combination able to
account for 95% of the population stiffness variation due to shape in midlevel
ribs for free axial loading, and 92%-98% in other conditions. Full regression
models including interactive terms explained up to 99% of population variability.
Results allow researchers to better evaluate the differences in stiffness results
that are obtained from physical testing by providing a framework with which to
explain variation due to rib shape.
PMID- 27871094
TI - Biomechanical Responses of PMHS Subjected to Abdominal Seatbelt Loading.
AB - Past studies have found that a pressure based injury risk function was the best
predictor of liver injuries due to blunt impacts. In an effort to expand upon
these findings, this study investigated the biomechanical responses of the
abdomen of post mortem human surrogates (PMHS) to high-speed seatbelt loading and
developed external response targets in conjunction with proposing an abdominal
injury criterion. A total of seven unembalmed PMHS, with an average mass and
stature of 71 kg and 174 cm respectively were subjected to belt loading using a
seatbelt pull mechanism, with the PMHS seated upright in a freeback
configuration. A pneumatic piston pulled a seatbelt into the abdomen at the level
of the umbilicus with a nominal peak penetration speed of 4.0 m/s. Pressure
transducers were placed in the re-pressurized abdominal vasculature, including
the inferior vena cava (IVC) and abdominal aorta, to measure internal pressure
variation during the event. Jejunum tear, colon hemorrhage, omentum tear, splenic
fracture and transverse processes fracture were identified during post-test
anatomical dissection. Peak abdominal forces ranged from 2.8 to 4.7 kN. Peak
abdominal penetrations ranged from 110 to 177 mm. A force-penetration corridor
was developed from the PMHS tests in an effort to benchmark ATD biofidelity. Peak
aortic pressures ranged from 30 to 104 kPa and peak IVC pressures ranged from 36
to 65 kPa. Updated pressure based abdominal injury risk functions were developed
for vascular Pmax and Pmax*Pmax.
PMID- 27871090
TI - The genomic basis of circadian and circalunar timing adaptations in a midge.
AB - Organisms use endogenous clocks to anticipate regular environmental cycles, such
as days and tides. Natural variants resulting in differently timed behaviour or
physiology, known as chronotypes in humans, have not been well characterized at
the molecular level. We sequenced the genome of Clunio marinus, a marine midge
whose reproduction is timed by circadian and circalunar clocks. Midges from
different locations show strain-specific genetic timing adaptations. We examined
genetic variation in five C. marinus strains from different locations and mapped
quantitative trait loci for circalunar and circadian chronotypes. The region most
strongly associated with circadian chronotypes generates strain-specific
differences in the abundance of calcium/calmodulin-dependent kinase II.1
(CaMKII.1) splice variants. As equivalent variants were shown to alter CaMKII
activity in Drosophila melanogaster, and C. marinus (Cma)-CaMKII.1 increases the
transcriptional activity of the dimer of the circadian proteins Cma-CLOCK and Cma
CYCLE, we suggest that modulation of alternative splicing is a mechanism for
natural adaptation in circadian timing.
PMID- 27871095
TI - Investigation of Pelvic Injuries on Eighteen Post Mortem Human Subjects Submitted
to Oblique Lateral Impacts.
AB - The aim of this study was to investigate the sacroiliac joint injury mechanism.
Two test configurations were selected from full scale car crashes conducted with
the WorldSID 50th dummy resulting in high sacroiliac joint loads and low pubic
symphysis force, i.e. severe conditions for the sacroiliac joint. The two test
conditions were reproduced in laboratory using a 150-155 kg guided probe
propelled respectively at 8 m/s and 7.5 m/s and with different shapes and
orientations for the plate impacting the pelvis. Nine Post Mortem Human Subject
(PMHS) were tested in each of the two configurations (eighteen PMHS in total). In
order to get information on the time of fracture, eleven strain gauges were glued
on the pelvic bone of each PMHS. Results - In the first configuration, five PMHS
out of nine sustained AIS2+ pelvic injuries. All five presented sacroiliac joint
injuries associated with pubic area injuries. In the second configuration, four
specimens out of nine sustained AIS2+ pelvic injuries. Two of them presented
sacroiliac joint fractures associated with pubic area injuries. The other two
presented injuries at the pubic area and acetabulum only. The strain gauges
signals suggested that the pubic fractures occurred before the sacroiliac joint
fractures in the great majority of the cases (five cases out of seven).
Conclusions - Even in the oblique impact conditions of the present study, the
pubic symphysis area was observed to be the weakest zone of the pelvis and its
failure the predominant cause of sacroiliac joint injuries. It was hypothesized
that the failure of the pubic rami allowed the hemi-pelvis to rotate inward, and
that this closing-book motion induced the failure of the sacroiliac joint.
PMID- 27871097
TI - Association of Impact Velocity with Risks of Serious Injuries and Fatalities to
Pedestrians in Commercial Truck-Pedestrian Accidents.
AB - This study aimed to clarify the relationship between truck-pedestrian crash
impact velocity and the risks of serious injury and fatality to pedestrians. We
used micro and macro truck-pedestrian accident data from the Japanese Institute
for Traffic Accident Research and Data Analysis (ITARDA) database. We classified
vehicle type into five categories: heavy-duty trucks (gross vehicle weight [GVW]
>=11 * 103 kg [11 tons (t)], medium-duty trucks (5 * 103 kg [5 t] <= GVW < 11 *
103 kg [11 t]), light-duty trucks (GVW <5 * 103 kg [5 t]), box vans, and sedans.
The fatality risk was <=5% for light-duty trucks, box vans, and sedans at impact
velocities <= 30 km/h and for medium-duty trucks at impact velocities <=20 km/h.
The fatality risk was <=10% for heavy-duty trucks at impact velocities <=10 km/h.
Thus, fatality risk appears strongly associated with vehicle class. The results
also revealed that a 10 km/h reduction in impact velocities could mitigate the
severity of pedestrian injuries at impact velocities >=30 km/h for all five
analyzed vehicle types. Therefore, serious injuries and fatalities to pedestrians
could be decreased by the development and deployment of collision mitigation
systems (CMSs) to all vehicles, including to commercial trucks, because CMSs can
detect pedestrians in even severe conditions, such as when the drive's view is
obstructed, and can reduce the impact velocity. The present results indicate that
CMS design specifications should differ between vehicle types because of the
strong dependence of seriousinjury and fatality risks on vehicle type.
PMID- 27871096
TI - Responses and Injuries to PMHS in Side-Facing and Oblique Seats in Horizontal
Longitudinal Sled Tests per FAA Emergency Landing Conditions.
AB - The objective of the present exploratory study is to understand occupant
responses in oblique and side-facing seats in the aviation environment, which are
increasingly installed in modern aircrafts. Sled tests were conducted using
intact Post Mortem Human Surrogates (PMHS) seated in custom seats approximating
standard aircraft geometry. End conditions were selected to represent candidate
aviation seat and restraint configurations. Three-dimensional head center-of
gravity linear accelerations, head angular velocities, and linear accelerations
of the T1, T6, and T12 spinous processes, and sacrum were obtained. Three
dimensional kinematics relative to the seat were obtained from retroreflective
targets attached to the head, T1, T6, T12, and sacrum. All specimens sustained
spinal injuries, although variations existed by vertebral level. While the
tension mechanism was associated with cervical spine injuries, complex
distraction-coupled with bending and tension was attributed to thoracolumbar
spine injuries. Skeletal fractures to the ribcage were attributed to compression
induced by the restraint from the seatbelt, the presence of the armrest, and/or
severe motions of the unconstrained torso. Pelvic injuries were also attributed
to restraint offered by the lap belt on the accelerating torso-pelvis complex in
the absence of the armrest. Lower extremity injuries occurred due to the
unconstrained motion (flailing mechanism). These results serve as an initial
dataset to understand the kinematics of different body regions, injuries and
patterns, and potential injury mechanisms describing PMHS responses in the
aviation environment.
PMID- 27871098
TI - Traffic Accidents Involving Cyclists Identifying Causal Factors Using
Questionnaire Survey, Traffic Accident Data, and Real-World Observation.
AB - The purpose of this study is to clarify the mechanism of traffic accidents
involving cyclists. The focus is on the characteristics of cyclist accidents and
scenarios, because the number of traffic accidents involving cyclists in Tokyo is
the highest in Japan. First, dangerous situations in traffic incidents were
investigated by collecting data from 304 cyclists in one city in Tokyo using a
questionnaire survey. The survey indicated that cyclists used their bicycles
generally while commuting to work or school in the morning. Second, the study
investigated the characteristics of 250 accident situations involving cyclists
that happened in the city using real-world bicycle accident data. The results
revealed that the traffic accidents occurred at intersections of local streets,
where cyclists collided most often with vehicles during commute time in the
morning. Third, cyclists' behavior was observed at a local street intersection in
the morning in the city using video pictures. In one hour during the morning
commute period, 250 bicycles passed through the intersection. The results
indicated that one of the reasons for traffic accidents involving cyclists might
be the combined effect of low visibility, caused by the presence of box-like
building structures close to the intersections, and the cyclists' behavior in
terms of their velocity and no confirming safety. It was observed that, on
average, bicycle velocity was 3.1 m/s at the initial line of an intersection. The
findings from this study could be useful in developing new technologies to
improve cyclist safety, such as alert devices for cyclists and vehicle drivers,
wireless communication systems between cyclists and vehicle drivers, or advanced
vehicles with bicycle detection and collision mitigation systems.
PMID- 27871099
TI - Evaluation of WIAMan Technology Demonstrator Biofidelity Relative to Sub
Injurious PMHS Response in Simulated Under-body Blast Events.
AB - Three laboratory simulated sub-injurious under-body blast (UBB) test conditions
were conducted with whole-body Post Mortem Human Surrogates (PMHS) and the
Warrior Assessment Injury Manikin (WIAMan) Technology Demonstrator (TD) to
establish and assess UBB biofidelity of the WIAMan TD. Test conditions included a
rigid floor and rigid seat with independently varied pulses. On the floor, peak
velocities of 4 m/s and 6 m/s were applied with a 5 ms time to peak (TTP). The
seat peak velocity was 4 m/s with varied TTP of 5 and 10 ms. Tests were conducted
with and without personal protective equipment (PPE). PMHS response data was
compiled into preliminary biofidelity response corridors (BRCs), which served as
evaluation metrics for the WIAMan TD. Each WIAMan TD response was evaluated
against the PMHS preliminary BRC for the loading and unloading phase of the
signal time history using Correlation Analysis (CORA) software to assign a
numerical score between 0 and 1. A weighted average of all responses was
calculated to determine body region and whole body biofidelity scores for each
test condition. The WIAMan TD received UBB biofidelity scores of 0.62 in
Condition A, 0.59 in Condition B, and 0.63 in Condition C, putting it in the fair
category (0.44-0.65). Body region responses with scores below a rating of good
(0.65-0.84) indicate potential focus areas for the next generation of the WIAMan
design.
PMID- 27871100
TI - Biomechanical Response of Military Booted and Unbooted Foot-Ankle-Tibia from
Vertical Loading.
AB - A new anthropomorphic test device (ATD) is being developed by the US Army to be
responsive to vertical loading during a vehicle underbody blast event. To obtain
design parameters for the new ATD, a series of non-injurious tests were conducted
to derive biofidelity response corridors for the foot-ankle complex under
vertical loading. Isolated post mortem human surrogate (PMHS) lower leg specimens
were tested with and without military boot and in different initial foot-ankle
positions. Instrumentation included a six-axis load cell at the proximal end,
three-axis accelerometers at proximal and distal tibia, and calcaneus, and strain
gages. Average proximal tibia axial forces for a neutral-positioned foot were
about 2 kN for a 4 m/s test, 4 kN for 6 m/s test and 6 kN for an 8 m/s test. The
force time-to-peak values were from 3 to 5 msec and calcaneus acceleration rise
times were 2 to 8 msec. Compared to the neutral posture, the "off-axis" measures
(e.g. shear and bending moment) were much greater in magnitude in plantar- or
dorsi-flexed posture. The results as a function of velocity demonstrated uniform
increases with increasing test velocities. The response corridors supplied from
the present investigation will serve as initial design parameters for the ATD
lower leg, and can also be used for validation for a human computational model.
PMID- 27871101
TI - Morphomics of the Talus.
AB - Previous studies of frontal crash databases reported that ankle fractures are
among the most common lower extremity fractures. While not generally life
threatening, these injuries can be debilitating. Laboratory research into the
mechanisms of ankle fractures has linked dorsiflexion with an increased risk of
tibia and fibula malleolus fractures. However, talus fractures were not produced
in the laboratory tests and appear to be caused by more complex loading of the
joint. In this study, an analysis of the National Automotive Sampling System -
Crashworthiness Data System (NASS-CDS) for the years 2004-2013 was conducted to
investigate foot-ankle injury rates in front seat occupants involved in frontal
impact crashes. A logistic regression model was developed indicating occupant
weight, impact delta velocity and gender to be significant predictors of talus
fracture (p<0.05). Separately, a specific set of Computed Tomography (CT) scans
from the International Center for Automotive Medicine (ICAM) scan database was
used to characterize the talar dome. This control population consisted of 207
adults aged 18 to 84, with no foot or ankle trauma, and scans that had suitable
coverage of the talus. Size of the talus was determined using medial-to-lateral
width and anterior-to-posterior depth measurements. Geometry was assessed by
evaluating the radius of the articulating talus and strength was assessed using a
combination of cross sectional area and density. Demographics were studied to
investigate correlation with talus measurements from the CT scan database. A
multi-variable linear regression model of the morphomics showed gender to be
statistically significant (p<0.05) for talus depth, width, cross-sectional area,
radius and strength. Body Mass Index (BMI) was significant for depth and radius.
Weight was significant for depth, width, density and strength. Stature was
significant for depth, cross-sectional area, radius and strength. Age was
significant for radius and density.
PMID- 27871102
TI - New Risk Curves for NHTSA's Brain Injury Criterion (BrIC): Derivations and
Assessments.
AB - The National Highway Traffic Safety Administration (NHTSA) recently published a
Request for Comments regarding a potential upgrade to the US New Car Assessment
Program (US NCAP) - a star-rating program pertaining to vehicle crashworthiness.
Therein, NHTSA (a) cited two metrics for assessing head risk: Head Injury
Criterion (HIC15) and Brain Injury Criterion (BrIC), and (b) proposed to conduct
risk assessment via its risk curves for those metrics, but did not prescribe a
specific method for applying them. Recent studies, however, have indicated that
the NHTSA risk curves for BrIC significantly overstate field-based head injury
rates. Therefore, in the present three-part study, a new set of BrIC-based risk
curves was derived, an overarching head risk equation involving risk curves for
both BrIC and HIC15 was assessed, and some additional candidatepredictor-
variable assessments were conducted. Part 1 pertained to the derivation.
Specifically, data were pooled from various sources: Navy volunteers, amateur
boxers, professional football players, simple-fall subjects, and racecar drivers.
In total, there were 4,501 cases, with brain injury reported in 63. Injury
outcomes were approximated on the Abbreviated Injury Scale (AIS). The statistical
analysis was conducted subject to ordinal logistic regression analysis (OLR),
such that the various levels of brain injury were cast as a function of BrIC. The
resulting risk curves, with Goodman Kruksal Gamma=0.83, were significantly
different than those from NHTSA. Part 2 pertained to the assessment relative to
field data. Two perspectives were considered: "aggregate" (DeltaV=0-56 km/h) and
"point" (high-speed, regulatory focus). For the aggregate perspective, the new
risk curves for BrIC were applied in field models pertaining to belted, mid-size,
adult drivers in 11-1 o'clock, full-engagement frontal crashes in the National
Automotive Sampling System (NASS, 1993-2014 calendar years). For the point
perspective, BrIC data from tests were used. The assessments were conducted for
minor, moderate, and serious injury levels for both Newer Vehicles (airbag
fitted) and Older Vehicles (not airbag-fitted). Curve-based injury rates and NASS
based injury rates were compared via average percent difference (AvgPctDiff). The
new risk curves demonstrated significantly better fidelity than those from NHTSA.
For example, for the aggregate perspective (n=12 assessments), the results were
as follows: AvgPctDiff (present risk curves) = +67 versus AvgPctDiff (NHTSA risk
curves) = +9378. Part 2 also contained a more comprehensive assessment.
Specifically, BrIC-based risk curves were used to estimate brain-related injury
probabilities, HIC15-based risk curves from NHTSA were used to estimate
bone/other injury probabilities, and the maximum of the two resulting
probabilities was used to represent the attendant headinjury probabilities.
(Those HIC15-based risk curves yielded AvgPctDiff=+85 for that application.)
Subject to the resulting 21 assessments, similar results were observed:
AvgPctDiff (present risk curves) = +42 versus AvgPctDiff (NHTSA risk curves) =
+5783. Therefore, based on the results from Part 2, if the existing BrIC metric
is to be applied by NHTSA in vehicle assessment, we recommend that the
corresponding risk curves derived in the present study be considered. Part 3
pertained to the assessment of various other candidate brain-injury metrics.
Specifically, Parts 1 and 2 were revisited for HIC15, translation acceleration
(TA), rotational acceleration (RA), rotational velocity (RV), and a different
rotational brain injury criterion from NHTSA (BRIC). The rank-ordered results for
the 21 assessments for each metric were as follows: RA, HIC15, BRIC, TA, BrIC,
and RV. Therefore, of the six studied sets of OLR-based risk curves, the set for
rotational acceleration demonstrated the best performance relative to NASS.
PMID- 27871103
TI - Development of an Unbiased Validation Protocol to Assess the Biofidelity of
Finite Element Head Models used in Prediction of Traumatic Brain Injury.
AB - This study describes a method to identify laboratory test procedures and impact
response requirements suitable for assessing the biofidelity of finite element
head models used in prediction of traumatic brain injury. The selection of the
experimental data and the response requirements were result of a critical
evaluation based on the accuracy, reproducibility and relevance of the available
experimental data. A weighted averaging procedure was chosen in order to consider
different contributions from the various test conditions and target measurements
based on experimental error. According to the quality criteria, 40 experimental
cases were selected to be a representative dataset for validation. Based on the
evaluation of response curves from four head finite element models, CORA was
chosen as a quantitative method to compare the predicted time history response to
the measured data. Optimization of the CORA global settings led to the
recommendation of performing curve comparison on a fixed time interval of 0-30 ms
for intracranial pressure and at least 0-40 ms for brain motion and deformation.
The allowable maximum time shift was adjusted depending on the shape of the
experimental curves (DMAX = 0.12 for intracranial pressure, DMAX = 0.40 for brain
motion and DMAX = 0.25 for brain deformation). Finally, bigger penalization of
ratings was assigned to curves with fundamentally incorrect shape compared to
those having inaccuracies in amplitude or time shift (cubic vs linear). This
rigorous approach is necessary to ensure confidence in the model results and
progress in the usage of finite element head models for traumatic brain injury
prediction.
PMID- 27871104
TI - Development, Evaluation, and Sensitivity Analysis of Parametric Finite Element
Whole-Body Human Models in Side Impacts.
AB - Occupant stature and body shape may have significant effects on injury risks in
motor vehicle crashes, but the current finite element (FE) human body models
(HBMs) only represent occupants with a few sizes and shapes. Our recent studies
have demonstrated that, by using a mesh morphing method, parametric FE HBMs can
be rapidly developed for representing a diverse population. However, the
biofidelity of those models across a wide range of human attributes has not been
established. Therefore, the objectives of this study are 1) to evaluate the
accuracy of HBMs considering subject-specific geometry information, and 2) to
apply the parametric HBMs in a sensitivity analysis for identifying the specific
parameters affecting body responses in side impact conditions. Four side-impact
tests with two male post-mortem human subjects (PMHSs) were selected to evaluate
the accuracy of the geometry and impact responses of the morphed HBMs. For each
PMHS test, three HBMs were simulated to compare with the test results: the
original Total Human Model for Safety (THUMS) v4.01 (O-THUMS), a parametric THUMS
(P-THUMS), and a subject-specific THUMS (S-THUMS). The P-THUMS geometry was
predicted from only age, sex, stature, and BMI using our statistical geometry
models of skeleton and body shape, while the S-THUMS geometry was based on each
PMHS's CT data. The simulation results showed a preliminary trend that the
correlations between the PTHUMS- predicted impact responses and the four PMHS
tests (mean-CORA: 0.84, 0.78, 0.69, 0.70) were better than those between the O
THUMS and the normalized PMHS responses (mean-CORA: 0.74, 0.72, 0.55, 0.63),
while they are similar to the correlations between S-THUMS and the PMHS tests
(mean-CORA: 0.85, 0.85, 0.67, 0.72). The sensitivity analysis using the PTHUMS
showed that, in side impact conditions, the HBM skeleton and body shape
geometries as well as the body posture were more important in modeling the
occupant impact responses than the bone and soft tissue material properties and
the padding stiffness with the given parameter ranges. More investigations are
needed to further support these findings.
PMID- 27871105
TI - Development and Full Body Validation of a 5th Percentile Female Finite Element
Model.
AB - To mitigate the societal impact of vehicle crash, researchers are using a variety
of tools, including finite element models (FEMs). As part of the Global Human
Body Models Consortium (GHBMC) project, comprehensive medical image and
anthropometrical data of the 5th percentile female (F05) were acquired for the
explicit purpose of FEM development. The F05-O (occupant) FEM model consists of
981 parts, 2.6 million elements, 1.4 million nodes, and has a mass of 51.1 kg.
The model was compared to experimental data in 10 validation cases ranging from
localized rigid hub impacts to full body sled cases. In order to make direct
comparisons to experimental data, which represent the mass of an average male,
the model was compared to experimental corridors using two methods: 1) post-hoc
scaling the outputs from the baseline F05-O model and 2) geometrically morphing
the model to the body habitus of the average male to allow direct comparisons.
This second step required running the morphed full body model in all 10
simulations for a total of 20 full body simulations presented. Overall,
geometrically morphing the model was found to more closely match the target data
with an average ISO score for the rigid impacts of 0.76 compared to 0.67 for the
scaled responses. Based on these data, the morphed model was then used for model
validation in the vehicle sled cases. Overall, the morphed model attained an
average weighted score of 0.69 for the two sled impacts. Hard tissue injuries
were also assessed and the baseline F05-O model was found to predict a greater
occurrence of pelvic fractures compared to the GHBMC average male model, but
predicted fewer rib fractures.
PMID- 27871106
TI - Thoracic Injury Risk Curves for Rib Deflections of the SID-IIs Build Level D.
AB - Injury risk curves for SID-IIs thorax and abdomen rib deflections proposed for
future NCAP side impact evaluations were developed from tests conducted with the
SID-IIs FRG. Since the floating rib guide is known to reduce the magnitude of the
peak rib deflections, injury risk curves developed from SID-IIs FRG data are not
appropriate for use with SID-IIs build level D. PMHS injury data from three
series of sled tests and one series of whole-body drop tests are paired with
thoracic rib deflections from equivalent tests with SID-IIs build level D. Where
possible, the rib deflections of SID-IIs build level D were scaled to adjust for
differences in impact velocity between the PMHS and SID-IIs tests. Injury risk
curves developed by the Mertz-Weber modified median rank method are presented and
compared to risk curves developed by other parametric and non-parametric methods.
PMID- 27871107
TI - The Large Omnidirectional Child (LODC) ATD: Biofidelity Comparison with the
Hybrid III 10 Year Old.
AB - When the Hybrid III 10-year old (HIII-10C) anthropomorphic test device (ATD) was
adopted into Code of Federal Regulations (CFR) 49 Part 572 as the best available
tool for evaluating large belt-positioning booster seats in Federal Motor Vehicle
Safety Standard (FMVSS) No. 213, NHTSA stated that research activities would
continue to improve the performance of the HIII-10C to address biofidelity
concerns. A significant part of this effort has been NHTSA's in-house development
of the Large Omnidirectional Child (LODC) ATD. This prototype ATD is comprised of
(1) a head with pediatric mass properties, (2) a neck that produces head lag with
Zaxis rotation at the atlanto-occipital joint, (3) a flexible thoracic spine, (4)
multi-point thoracic deflection measurement capability, (5) skeletal
anthropometry representative of a seated child, and (6) an abdomen that can
directly measure belt loading. The objective of this study was to evaluate the
LODC by comparing its body region and full-body responses to both standard HIII
10C responses and pediatric biomechanical data. In body region tests, the LODC
(BioRank = 1.21) showed improved biofidelity over the HIII-10C (BioRank = 2.70).
The LODC also exhibited kinematics more similar to pediatric PMHS kinematics in a
reconstruction test. In FMVSS No. 213 tests, the LODC was observed to have lower
HIC values with the absence of hard chin-to-chest contacts, indicating that chin
to-chest contact severity is mitigated in the LODC design. LODC abdomen pressures
and belt penetrations discriminated between restraint conditions. These results
suggest the LODC has biofidelic characteristics that make it a candidate for
improved assessment of injury risk in restraint system development.
PMID- 27871108
TI - Biomechanical and Scaling Basis for Frontal and Side Impact Injury Assessment
Reference Values.
AB - In 1983, General Motors Corporation (GM) petitioned the National Highway Traffic
Safety Administration (NHTSA) to allow the use of the biofidelic Hybrid III
midsize adult male dummy as an alternate test device for FMVSS 208 compliance
testing of frontal impact, passive restraint systems. To support their petition,
GM made public to the international automotive community the limit values that
they imposed on the Hybrid III measurements, which were called Injury Assessment
Reference Values (IARVs). During the past 20 years, these IARVs have been updated
based on relevant biomechanical studies that have been published and scaled to
provide IARVs for the Hybrid III and CRABI families of frontal impact dummies.
Limit values have also been developed for the biofidelic side impact dummies,
BioSID, ES-2 and SID-IIs. The purpose of the original publication was to provide
in a single document: 1) a listing of the IARVs for measurements made with the
Hybrid III and CRABI families of frontal impact dummies, and for the biofidelic
side impact dummies, 2) the biomechanical and/or scaling bases for these IARVs,
and 3) a comparison of IARVs and regulatory compliance limits and how they affect
restraint design. The purpose for republication is to correct errors in the
original publication and update the regulatory compliance limits.
PMID- 27871109
TI - [Graves' Orbitopathy].
PMID- 27871110
TI - [Understanding OCT - Part 2: State of the Practice].
PMID- 27871111
TI - [Understanding OCT - Part 1: Basic Knowledge].
PMID- 27871112
TI - PRKACA Mutations in Adrenal Adenomas: Genotype/Phenotype Correlations.
AB - Untargeted, next generation sequencing approaches have provided deep insights
into genetic events that result in unopposed steroidogenesis from the adrenal
cortex. In particular, somatic mutations in the gene encoding the catalytic
subunit alpha of protein kinase A (PKA) (PRKACA) were identified independently by
several groups as the most frequently altered gene in cortisol-producing
adenomas. Detailed functional studies could explore the molecular consequences of
these hot-spot mutations and large international cohorts have provided the basis
to explore the clinical characteristics associated with this mutation. Thereby,
PRKACA mutations are highly specific for cortisol over-secretion, while they are
absent or very rare in the context of other adrenal diseases. Patients carrying
these somatic mutations are affected by a more severe phenotype and are
identified at a younger age. Thus, these genotype/phenotype correlations provide
further evidence for the importance of PKA-dependent pathways for adrenal
physiology and disease.
PMID- 27871113
TI - Bone Formation, Growth, and Repair.
PMID- 27871114
TI - Bone Marrow Stromal Stem Cells in Tissue Engineering and Regenerative Medicine.
AB - Bone marrow stromal stem cells (BMSCs) are adult multipotent cells, which have
the potential to differentiate into cell types of mesodermal origin, namely
osteocytes, adipocytes, and chondrocytes. Due to their accessibility and
expansion potential, BMSCs have historically held therapeutic promise in tissue
engineering and regenerative medicine applications. More recently, it has been
demonstrated that not only can bone marrow stromal stem cells directly
participate in tissue regeneration, but they also have the capacity to migrate to
distant sites of tissue injury, where they can participate in tissue repair
either directly through their differentiation or indirectly through paracrine
mechanisms. Additionally, they can elicit various immunomodulatory signals, which
can attenuate the inflammatory and immune responses. As such, bone marrow stromal
stem cells have been explored clinically for treatment of a wide variety of
different conditions including bone defects, graft-vs.-host disease,
cardiovascular diseases, autoimmune diseases, diabetes, neurological diseases,
and liver and kidney diseases. This review provides an overview of current
clinical applications of bone marrow stromal stem cells and discusses their
therapeutic properties, while also addressing limitations of their use. PubMed,
Ovid, and Google Scholar online databases were searched using several keywords,
including "stem cells", "tissue engineering", tissue regeneration" and "clinical
trials". Additionally, Clinical trials.gov was used to locate completed clinical
trials using bone marrow derived stem cells.
PMID- 27871115
TI - Abnormal Proteoglycan Synthesis Due to Gene Defects Causes Skeletal Diseases with
Overlapping Phenotypes.
AB - In recent years, massively parallel sequencing technologies have helped us to
identify novel disease genes and solve the mysteries behind rare diseases. Today,
we know that some diseases with many overlapping and distinct clinical features,
as presented in this review, can be caused by mutations in genes that encode
enzymes playing crucial roles at different steps of the exact same pathway. In
this review, we exclusively focused on 5 genes - XYLT1, XYLT2, B4GALT7, B3GALT6,
and B3GAT3 - that encode enzymes involved in the biosynthesis of the common
tetrasaccharide linker region of proteoglycans and review the associated
diseases, also referred to as linkeropathies, by summarizing the cases reported
in literature. Since proteoglycans are essential macromolecules in development,
signaling and homeostasis of many tissues and organs, mutations in these genes
can affect many organs; including bone, cartilage, eyes, ears, heart, and skin.
Short stature, developmental delay, facial dysmorphism, and skeletal dysplasias
are some of the common features observed in patients with mutations in these
genes. Among these genes, XYLT2 mutations cause a relatively distinct phenotype,
the so-called spondyloocular syndrome, which is characterized by clinical
presentation of a very severe childhood-onset primary osteoporosis, cataract, and
hearing impairment. The full phenotype spectrum of diseases mentioned here is
likely to expand with additional clinical reports and further molecular studies.
PMID- 27871116
TI - Glucocorticoid Signaling and Bone Biology.
AB - Since glucocorticoids remain an effective therapeutic option for the treatment of
many inflammatory and autoimmune diseases, glucocorticoid-induced osteoporosis is
the most common form of secondary osteoporosis. Fractures may occur in as many as
30-50% of patients receiving chronic glucocorticoid therapy. Under physiological
conditions, glucocorticoids are required for normal bone development due to their
regulation of osteoblast differentiation, possibly via the Wnt/beta-catenin
pathway and TSC22D3. However, serum levels of endogenous corticosterone are
elevated in aged mice and glucocorticoids exert negative effects on the survival
of osteoblasts and osteocytes as well as angiogenesis. Glucocorticoid treatments
impair bone formation and enhance bone resorption. Excess glucocorticoids induce
osteoblast and osteocyte apoptosis by increasing pro-apoptotic molecules,
reactive oxygen species, and endoplasmic reticulum stress and suppressing the
Wnt/beta-catenin pathway. Autophagy protects osteocytes from glucocorticoid
induced apoptosis, but passed some threshold, the process of autophagy leads the
cells to apoptosis. Excess glucocorticoids impair osteoblastogenesis by inducing
Wnt antagonists, including Dkk1, Sost, and sFRP-1. However, the findings are
controversial and the involvement of Wnt antagonists requires further study.
Excess glucocorticoids reduce the phosphorylation of Akt and GSK3beta, which
enhances the degradation of beta-catenin. Excess glucocorticoids have been shown
to modulate the expression of miRNAs, including miR-29a, miR-34a-5p, and miR-199a
5p, which regulate the proliferation and differentiation of osteoblast lineage
cells. Excess glucocorticoids also enhance bone resorption by reducing OPG
expression, increasing Rankl expression and reactive oxygen species, and
prolonging the life span of osteoclasts; however, they also suppress the bone
degrading capacity of osteoclasts by disturbing the organization of the
cytoskeleton.
PMID- 27871117
TI - Hypoglycemic Activity of Polysaccharides from Sweet Corncob on Streptozotocin
Induced Diabetic Rats.
AB - A water-soluble polysaccharide (SCP-80-I) was isolated from sweet corncob using
microwave-assisted compound-enzyme extraction and column chromatography. SCP-80-I
is composed mainly of arabinose, mannose, glucose, and galactose in a molar ratio
of 0.369:0.824:10.759:0.333, and has a molecular mass of 18350 Da and beta
glycosides linkages in its molecular structure. The preliminary hypoglycemic and
hypolipidemic activity in streptozotocin-induced diabetic rats was investigated.
Rats were administered daily with 100, 200, and 400 mg/kg SCP-80-I for 21 d. The
SCP-80-I increased the rat body mass significantly and reduced the blood glucose
level in a dose-dependent manner. The SCP-80-I reduced liver swelling; kidney and
pancreas hypertrophy; and total cholesterol, triglyceride, and low-density
lipoprotein-C levels significantly; and increased the level of high-density
lipoproteins in streptozotocin-induced diabetic rats. These results indicate that
SCP-80-I exerts a potential hypoglycemic effect in streptozotocin-induced
diabetic rats.
PMID- 27871118
TI - Earlier nesting by generalist predatory bird is associated with human responses
to climate change.
AB - Warming temperatures cause temporal changes in growing seasons and prey abundance
that drive earlier breeding by birds, especially dietary specialists within
homogeneous habitat. Less is known about how generalists respond to climate
associated shifts in growing seasons or prey phenology, which may occur at
different rates across land cover types. We studied whether breeding phenology of
a generalist predator, the American kestrel (Falco sparverius), was associated
with shifts in growing seasons and, presumably, prey abundance, in a mosaic of
non-irrigated shrub/grasslands and irrigated crops/pastures. We examined the
relationship between remotely-sensed normalized difference vegetation index
(NDVI) and abundance of small mammals that, with insects, constitute
approximately 93% of kestrel diet biomass. We used NDVI to estimate the start of
the growing season (SoGS) in irrigated and non-irrigated lands from 1992 to 2015
and tested whether either estimate of annual SoGS predicted the timing of kestrel
nesting. Finally, we examined relationships among irrigated SoGS, weather and
crop planting. NDVI was a useful proxy for kestrel prey because it predicted
small mammal abundance and past studies showed that NDVI predicts insect
abundance. NDVI-estimated SoGS advanced significantly in irrigated lands (beta =
1.09 +/- 0.30 SE) but not in non-irrigated lands (beta = -0.57 +/- 0.53). Average
date of kestrel nesting advanced 15 days in the past 24 years and was positively
associated with the SoGS in irrigated lands, but not the SoGS in non-irrigated
lands. Advanced SoGS in irrigated lands was related to earlier planting of crops
after relatively warm winters, which were more common in recent years. Despite
different patterns of SoGS change between land cover types, kestrel nesting
phenology shifted with earlier prey availability in irrigated lands. Kestrels may
preferentially track prey in irrigated lands over non-irrigated lands because of
higher quality prey on irrigated lands, or earlier prey abundance may release
former constraints on other selective pressures to breed early, such as seasonal
declines in fecundity or competition for high-quality mates. This is one of the
first examples of an association between human adaptation to climate change and
shifts in breeding phenology of wildlife.
PMID- 27871119
TI - Inhibitory Activity of Avocado Seed Fatty Acid Derivatives (Acetogenins) Against
Listeria Monocytogenes.
AB - High standards regarding Listeria monocytogenes control and consumer demands for
food products without synthetic additives represent a challenge to food industry.
We determined the antilisterial properties of an enriched acetogenin extract
(EAE) from avocado seed, compared it to two commercial antimicrobials (one
enriched in avocado acetogenins), and tested purified molecules. Acetogenin
composition in pulp and seed of Hass avocado was quantified. EAE were obtained by
two sequential centrifuge partition chromatography separations and molecules
purified by preparative chromatography and quantified by HPLC-MS-TOF and HPLC
PDA. Avocado seed extracts which are the following two: 1) EAE and 2) the
commercially available antimicrobial Avosafe(r), presented similar inhibition
zones and chemical profiles. Minimum inhibitory concentration (MIC) values of
extracts and two isolated acetogenins varied between 7.8 and 15.6 mg/L, were
effective at 37 and 4 degrees C, and showed a bactericidal effect probably
caused by increased membrane permeability and lytic effects, evidenced by flow
cytometry at 10 and 100* MIC. Activity was comparable to Mirenat(r). Most potent
acetogenins were Persenone C (5) and A (6), and AcO-avocadenyne (1), the latter
exclusively present in seed. Common features of bioactive molecules were the
acetyl moiety and multiple unsaturations (2 to 3) in the aliphatic chain, some
persenones also featured a trans-enone group. Seeds contained 1.6 times higher
levels of acetogenins than pulp (5048.1 +/- 575.5 and 3107.0 +/- 207.2 mg/kg
fresh weight, respectively), and total content in pulp was 199 to 398 times
higher than MIC values. Therefore, acetogenin levels potentially consumed by
humans are higher than inhibitory concentrations. Results document properties of
avocado seed acetogenins as natural antilisterial food additives.
PMID- 27871120
TI - Tri-focal Model of Care Implementation: Perspectives of Residents and Family.
AB - PURPOSE: To explore residents' and family members' perceptions of partnership
centered long-term care (LTC) associated with implementation of the Tri-focal
Model of Care. The Model promotes partnership-centered care, evidence-based
practice, and a positive environment. Its implementation is supported by a
specifically designed education program. METHODS: The Model was implemented over
approximately 12 months in seven LTC facilities in Victoria, Australia. A
qualitative exploratory-descriptive approach was used. Data were collected using
individual and focus group interviews with residents and family members prior to
and following implementation of the Model. Data were analyzed thematically.
FINDINGS: Prior to implementation of the Model, residents described experiencing
a sense of disempowerment, and emphasized the importance of communication,
engagement, and being a partner in the staff-resident care relationship.
Following implementation, residents reported experiencing improved partnership
approaches to care, although there were factors that impacted on having a good
experience. Family members described a desire to remain involved in the
resident's life by establishing good communication and rapport with staff. They
acknowledged this was important for partnership-centered care. Following
implementation, they described experiencing a partnership with staff, giving them
confidence to assist staff and be included in decisions about the resident.
CONCLUSIONS: The Tri-focal Model of Care can enable residents, family members,
and staff to be partners in resident care in LTC settings. CLINICAL RELEVANCE:
With an ageing population, an increasing demand for complex, individualized LTC
exists. Delivery of high-quality LTC requires a strategy to implement a
partnership-centered approach, involving residents, family members, and staff.
PMID- 27871121
TI - High-Throughput Sequencing of Viable Microbial Communities in Raw Pork Subjected
to a Fast Cooling Process.
AB - This study aimed to investigate the effect of the fast cooling process on the
microbiological community in chilled fresh pork during storage. We established a
culture-independent method to study viable microbes in raw pork. Tray-packaged
fresh pork and chilled fresh pork were completely spoiled after 18 and 49 d in
aseptic bags at 4 degrees C, respectively. 16S/18S ribosomal RNAs were reverse
transcribed to cDNA to characterize the activity of viable bacteria/fungi in the
2 types of pork. Both cDNA and total DNA were analyzed by high-throughput
sequencing, which revealed that viable Bacteroides sp. were the most active genus
in rotten pork, although viable Myroides sp. and Pseudomonas sp. were also
active. Moreover, viable fungi were only detected in chilled fresh pork. The
sequencing results revealed that the fast cooling process could suppress the
growth of microbes present initially in the raw meat to extend its shelf life.
Our results also suggested that fungi associated with pork spoilage could not
grow well in aseptic tray-packaged conditions.
PMID- 27871123
TI - A Focus on Quality and Safety Traits of Saccharomyces cerevisiae Isolated from
Uva di Troia Grape Variety.
AB - The aim of this work was to study Saccharomyces cerevisiae strains isolated from
vineyards of the autochthonous grape variety "Uva di Troia" located in different
geographical areas of Apulian region (Southern Italy). Four hundred isolates were
studied in relation to H2 S production, beta-glucosidase activity, and pigments
adsorption from grape skin. Thus, 81 isolates were selected, identified through
the amplification of the interdelta region, and grouped in 19 biotypes (from I to
XIX). The enological performances were assessed to determine the content of
residual sugars, ethanol, glycerol, and volatile acidity, after a
microfermentation in Uva di Troia must for each isolate. The ability to remove
ochratoxin A (OTA) was studied as an additional tool to select promising strains.
A geographical-dependent technological variability was found for glycerol and
volatile acidity, suggesting that the different indigenous yeasts can have a
peculiar impact on the final characteristics of the corresponding wine ("Nero di
Troia"). Only 2 biotypes (VI and XVII) were able to remove OTA throughout
fermentation, with the highest reduction achieved by the biotype XVII (ca. 30%).
PMID- 27871122
TI - Efficacy and safety of ablation for people with non-paroxysmal atrial
fibrillation.
AB - BACKGROUND: The optimal rhythm management strategy for people with non-paroxysmal
(persistent or long-standing persistent) atrial fibrilation is currently not well
defined. Antiarrhythmic drugs have been the mainstay of therapy. But recently, in
people who have not responded to antiarrhythmic drugs, the use of ablation
(catheter and surgical) has emerged as an alternative to maintain sinus rhythm to
avoid long-term atrial fibrillation complications. However, evidence from
randomised trials about the efficacy and safety of ablation in non-paroxysmal
atrial fibrillation is limited. OBJECTIVES: To determine the efficacy and safety
of ablation (catheter and surgical) in people with non-paroxysmal (persistent or
long-standing persistent) atrial fibrillation compared to antiarrhythmic drugs.
SEARCH METHODS: We searched the Cochrane Central Register of Controlled Trials
(CENTRAL), MEDLINE Ovid, Embase Ovid, conference abstracts, clinical trial
registries, and Health Technology Assessment Database. We searched these
databases from their inception to 1 April 2016. We used no language restrictions.
SELECTION CRITERIA: We included randomised trials evaluating the effect of
radiofrequency catheter ablation (RFCA) or surgical ablation compared with
antiarrhythmic drugs in adults with non-paroxysmal atrial fibrillation,
regardless of any concomitant underlying heart disease, with at least 12 months
of follow-up. DATA COLLECTION AND ANALYSIS: Two review authors independently
selected studies and extracted data. We evaluated risk of bias using the Cochrane
'Risk of bias' tool. We calculated risk ratios (RRs) for dichotomous data with
95% confidence intervals (CIs) a using fixed-effect model when heterogeneity was
low (I2 <= 40%) and a random-effects model when heterogeneity was moderate or
substantial (I2 > 40%). Using the GRADE approach, we evaluated the quality of the
evidence and used the GRADE profiler (GRADEpro) to import data from Review
Manager 5 to create 'Summary of findings' tables. MAIN RESULTS: We included three
randomised trials with 261 participants (mean age: 60 years) comparing RFCA (159
participants) to antiarrhythmic drugs (102) for non-paroxysmal atrial
fibrillation. We generally assessed the included studies as having low or unclear
risk of bias across multiple domains, with reported outcomes generally lacking
precision due to low event rates. Evidence showed that RFCA was superior to
antiarrhythmic drugs in achieving freedom from atrial arrhythmias (RR 1.84, 95%
CI 1.17 to 2.88; 3 studies, 261 participants; low-quality evidence), reducing the
need for cardioversion (RR 0.62, 95% CI 0.47 to 0.82; 3 studies, 261
participants; moderate-quality evidence), and reducing cardiac-related
hospitalisation (RR 0.27, 95% CI 0.10 to 0.72; 2 studies, 216 participants; low
quality evidence) at 12 months follow-up. There was substantial uncertainty
surrounding the effect of RFCA regarding significant bradycardia (or need for a
pacemaker) (RR 0.20, 95% CI 0.02 to 1.63; 3 studies, 261 participants; low
quality evidence), periprocedural complications, and other safety outcomes (RR
0.94, 95% CI 0.16 to 5.68; 3 studies, 261 participants; very low-quality
evidence). AUTHORS' CONCLUSIONS: In people with non-paroxysmal atrial
fibrillation, evidence suggests a superiority of RFCA to antiarrhythmic drugs in
achieving freedom from atrial arrhythmias, reducing the need for cardioversion,
and reducing cardiac-related hospitalisations. There was uncertainty surrounding
the effect of RFCA with significant bradycardia (or need for a pacemaker),
periprocedural complications, and other safety outcomes. Evidence should be
interpreted with caution, as event rates were low and quality of evidence ranged
from moderate to very low.
PMID- 27871124
TI - Introducing Technology for Thriving in Residential Long-Term Care.
AB - PURPOSE: To present an emerging innovative care model that supports participation
and thriving by older adults in residential care, by introduction to new
technology and mobilizing volunteer services. DESIGN: Qualitative, exploratory
study, introducing tablet computers to 15 older adults in two municipalities.
METHODS: The intervention encompassed weekly workshops over the course of 1 year
with volunteer adolescents as personal tutors. Observations of workshops,
interviews with nurses, and repeated semistructured interviews with older adult
participants eliciting their perspective on use, experiences, perceived
usefulness, and overall evaluation of the intervention. FINDINGS: A model of four
components is suggested to support participation and thriving by older adults in
residential care: (a) simplified tools: iPad-technology relatively easy to use;
(b) person-centered process: one-to-one tutoring following each individual's own
pace; (c) young volunteers to teach technology, establishing an intergenerational
arena; and (d) being mindful of driving forces that encourage use and learning.
We found that all kinds of use and all levels of mastery generated a sense of
pride that supported thriving and enjoyment. CONCLUSIONS: These findings support
the use of new technology and use of volunteer services for sustaining thriving
in older adults. The person-centered approach stimulates use of the tablet, and
participants showed enjoyment, more social participation, and reported subjective
experiences of thriving. CLINICAL RELEVANCE: Innovative models of care that
prevent (or postpone) functional decline and support thriving in older adults are
highly sought after in health care. A model that systematically involves
volunteer services comes with potentials to alleviate nurses' workload, and then
the intervention is seen as a manageable and low-cost initiative in residential
care.
PMID- 27871125
TI - Eye of the Finch: characterization of the ocular microbiome of house finches in
relation to mycoplasmal conjunctivitis.
AB - Vertebrate ocular microbiomes are poorly characterized and virtually unexplored
in wildlife species. Pathogen defense is considered a key function of
microbiomes, but determining microbiome stability during disease is critical for
understanding the role of resident microbial communities in infectious disease
dynamics. Here, we characterize the ocular bacterial microbiome of house finches
(Haemorhous mexicanus), prior to and during experimental infection with an
inflammatory ocular disease, Mycoplasmal conjunctivitis, caused by Mycoplasma
gallisepticum. In ocular tissues of healthy house finches, we identified 526
total bacterial operational taxonomic units (OTUs, 97% similarity), primarily
from Firmicutes (92.6%) and Proteobacteria (6.9%), via 16S rRNA gene amplicon
sequencing. Resident ocular communities of healthy female finches were
characterized by greater evenness and phylogenetic diversity compared to healthy
male finches. Regardless of sex, ocular microbiome community structure
significantly shifted 11 days after experimental inoculation with M.
gallisepticum. A suite of OTUs, including taxa from the genera Methylobacterium,
Acinetobacter and Mycoplasma, appear to drive these changes, indicating that the
whole finch ocular microbiome responds to infection. Further study is needed to
quantify changes in absolute abundance of resident taxa and to elucidate
potential functional roles of the resident ocular microbiome in mediating
individual responses to this common songbird bacterial pathogen.
PMID- 27871126
TI - Metagenomic analysis reveals unusually high incidence of proteorhodopsin genes in
the ultraoligotrophic Eastern Mediterranean Sea.
AB - Sunlight can be directly harvested by photoheterotrophic bacteria to create a pH
gradient across the membrane, which can then be utilized to produce ATP. Despite
the potential importance of this trophic strategy, when and where such organisms
are found in the seas and oceans is poorly described. Here, we describe the
abundance and taxonomy of bacteria with different trophic strategies
(heterotrophs, phototrophs and photoheterotrophs) in contrasting water masses of
the ultra-oligotrophic eastern Mediterranean Sea. These water bodies, an
anticyclonic eddy and a high-chlorophyll patch resulting from transport of
nutrient-rich coastal waters into offshore oligotrophic waters, each supported
different microbial populations in surface waters. Based on infrared microscopy
and metagenomics, aerobic anoxygenic photoheterotrophic (AAP) bacteria
represented up to 10.4% of the microbial community. In contrast, the
proteorhodopsin (PR) gene was found in 78.6%-118.8% of the bacterial genome
equivalents, the highest abundance reported to date. These results suggest that
PR-mediated photoheterotrophy may be especially important in oligotrophic,
potentially phosphate-limited conditions.
PMID- 27871128
TI - Hand-assisted laparoscopic left hepatectomy: how I do it.
AB - Laparoscopic liver resection has been adopted slowly due to concerns for bleeding
and oncologic outcomes. Currently, over 9,500 laparoscopic liver resections have
been performed and reported worldwide. Numerous studies have shown the safety and
oncologic equivalence of laparoscopic liver resection when compared to open
resection. Pure laparoscopic and hand-assisted laparoscopic liver resection are
the two most commonly used techniques for minimally invasive liver resection
surgery. Advantages of the hand-port include tactile feedback, facilitation of
liver mobilization, and ease of ability to control bleeding. We present a case
report with video of a hand-assisted laparoscopic left liver resection for a
hepatocellular carcinoma in a non-cirrhotic patient.
PMID- 27871129
TI - A comment on the discussion and application of statistical methods in Mandrup et
al. Low-dose effects of bisphenol A on mammary gland development in rats
(Andrology 4: 673-683, 2016).
PMID- 27871127
TI - Effect of maternal position on fetal behavioural state and heart rate variability
in healthy late gestation pregnancy.
AB - KEY POINTS: Fetal behavioural state in healthy late gestation pregnancy is
affected by maternal position. Fetal state 1F is more likely to occur in maternal
supine or right lateral positions. Fetal state 4F is less likely to occur when
the woman lies supine or semi-recumbent. Fetal state change is more likely when
the woman is supine or semi-recumbent. Fetal heart rate variability is affected
by maternal position with variability reduced in supine and semi-recumbent
positions. ABSTRACT: Fetal behavioural states (FBS) are measures of fetal
wellbeing. In acute hypoxaemia, the human fetus adapts to a lower oxygen
consuming state with changes in the cardiotocograph and reduced fetal activity.
Recent studies of late gestation stillbirth described the importance of sleep
position in the risk of intrauterine death. We designed this study to assess the
effects of different maternal positions on FBS in healthy late gestation
pregnancies under controlled conditions. Twenty-nine healthy women had continuous
fetal ECG recordings under standardized conditions in four randomly allocated
positions, left lateral, right lateral, supine and semi-recumbent. Two blinded
observers, assigned fetal states in 5 min blocks. Measures of fetal heart rate
variability were calculated from ECG beat to beat data. Compared to state 2F,
state 4F was less likely to occur when women were semi-recumbent [odds ratio (OR)
= 0.11, 95% confidence interval (95% CI) 0.02, 0.55], and supine (OR = 0.27, 95%
CI 0.07, 1.10). State 1F was more likely on the right (OR = 2.36, 95% CI 1.11,
5.04) or supine (OR = 4.99, 95% CI 2.41, 10.43) compared to the left. State
change was more likely when the mother was semi-recumbent (OR = 2.17, 95% CI
1.19, 3.95) or supine (OR = 2.67, 95% CI 1.46, 4.85). There was a significant
association of maternal position to mean fetal heart rate. The measures of heart
rate variability (SDNN and RMSSD) were reduced in both semi-recumbent and supine
positions. In healthy late gestation pregnancy, maternal position affects FBS and
heart rate variability. These effects are likely fetal adaptations to positions
which may produce a mild hypoxic stress.
PMID- 27871130
TI - PAAR-Rhs proteins harbor various C-terminal toxins to diversify the antibacterial
pathways of type VI secretion systems.
AB - The type VI secretion system (T6SS) of bacteria plays a key role in competing for
specific niches by the contact-dependent killing of competitors. Recently, Rhs
proteins with polymorphic C-terminal toxin-domains that inhibit or kill
neighboring cells were identified. In this report, we identified a novel Rhs with
an MPTase4 (Metallopeptidase-4) domain (designated as Rhs-CT1) that showed an
antibacterial effect via T6SS in Escherichia coli. We managed to develop a
specific strategy by matching the diagnostic domain-architecture of Rhs-CT1 (Rhs
with an N-terminal PAAR-motif and a C-terminal toxin domain) for effector
retrieval and discovered a series of Rhs-CTs in E. coli. Indeed, the screened Rhs
CT3 with a REase-3 (Restriction endonuclease-3) domain also mediated
interbacterial antagonism. Further analysis revealed that vgrGO1 and eagR/DUF1795
(upstream of rhs-ct) were required for the delivery of Rhs-CTs, suggesting eagR
as a potential T6SS chaperone. In addition to chaperoned Rhs-CTs, neighborless
Rhs-CTs could be classified into a distinct family (Rhs-Nb) sharing close
evolutionary relationship with T6SS2-Rhs (encoded in the T6SS2 cluster of E.
coli). Notably, the Rhs-Nb-CT5 was confirmed bioinformatically and experimentally
to mediate interbacterial antagonism via Hcp2B-VgrG2 module. In a further
retrieval analysis, we discovered various toxin/immunity pairs in extensive
bacterial species that could be systematically classified into eight referential
clans, suggesting that Rhs-CTs greatly diversify the antibacterial pathways of
T6SS.
PMID- 27871131
TI - Metabolomic changes in response to toxic arsenite.
PMID- 27871132
TI - Aspergillus penicillioides differentiation and cell division at 0.585 water
activity.
AB - Water availability acts as the most stringent constraint for life on Earth. Thus,
understanding the water relations of microbial extremophiles is imperative to our
ability to increase agricultural productivity (e.g., by enhancing the processing
and turnover of dead organic matter in soils of arid regions), reduce human
exposure to mycotoxins in buildings and our food-supply chain, prevent the
spoilage of foods/animal feeds, books, museum specimens and artworks and better
control microbiology of industrial fermentations. Only a small number of
microbial systems can retain activity at <0.710 water activity (ISME J 2015 9:
1333-1351). It has long-been considered that the most resilient of these is
Xeromyces bisporus, which inhabits sugar-rich substrates (Appl Environ Microbiol
1968 16: 1853-1858). The current study focused on germination of Aspergillus
penicillioides, a xerophile which is also able to grow under low humidity and
saline conditions. Investigations of germination differed from those reported
earlier: firstly, aerially borne conidia were harvested, and then used for
inoculations, in their dry condition; secondly, cultures were incubated at 24
degrees C, i.e. below optimum germination temperature, to minimize the
possibility of water loss from the substrate; thirdly, cultures remained sealed
throughout the 73-day study period (microscopic examination was carried out
directly 48 through the Petri plate lid); fourthly, the germination parameters
determined were: rates and extent of conidial swelling, production of
differentiated germination-structures and septate germlings, and subsequent
development of mycelium and/or sporulation; fifthly, assessments were carried out
over a range of water-activity values and time points to obtain a complete
profile of the germination process. Conidia swelled, formed differentiated
germination-structures and then produced septate germlings at a water-activity of
just 0.585 (=58.5% relative humidity), outside the currently understood
thermodynamic window for life. Furthermore, analyses of these data suggest a
theoretical water-activity minimum of 0.565 for germination of A. penicilliodes.
In relation to astrobiology, these findings have an application in understanding
the limits to life in extraterrestrial environments. In light of current plans
for exploration missions to Mars and other places, and the need to safeguard
martian scientific sites and potential resources (including water) for future
human habitation, a knowledge-based and effective policy for planetary protection
is essential. As it is, Mars-bound spacecraft may frequently be contaminated with
aspergilli (including A. penicillioides) and other organisms which, when
transported to other planetary bodies, pose a contamination risk. In crafting
countermeasures to offset this, it is important to know as precisely as possible
the capabilities of these potential interplanetary visitors.
PMID- 27871133
TI - Civilian cerebral gunshot wounds: a South African experience.
AB - BACKGROUND: Cerebral gunshot wounds represent one of the most lethal forms of
traumatic brain injury, but there is a paucity of literature on the topic,
especially from the developing world. We reviewed our experience and describe the
spectrum and outcome of civilian cerebral gunshot wounds in a major metropolitan
trauma centre in South Africa. METHODS: This was a retrospective study of all
patients with isolated cerebral gunshot wounds managed by the Pietermaritzburg
Metropolitan Trauma Service over a 5-year period from 2010 to 2014. RESULTS: One
hundred and two patients were included, 92% (94/102) were male and the mean age
was 29 years. Fifty-four per cent (55/102) of all patients were from urban areas.
The mean time from injury to arrival was 6 h (standard deviation: 5) for urban
patients and 15 h (standard deviation: 5.2) for rural patients (P < 0.001).
Ninety-four per cent (94/102) of all injuries were related to interpersonal
violence and involved low velocity firearms. Twenty per cent of all patients
(20/102) had a Glasgow Coma Scale 3-8, 20% (20/102) 9-12 and 61% (61/102) 12-15.
All 102 patients underwent computed tomography scans. Thirty per cent (31/102)
required neurosurgical interventions. The overall mortality rate was 22%
(22/102). There was a significant difference in mortality between urban and rural
patients (9% versus 36%, P < 0.001). CONCLUSIONS: Cerebral gunshot wounds are
associated with significant mortality and protracted delay to definitive care is
common in our setting. Those who survive the delayed transfer to definitive care
generally do well and have reasonably good clinical outcomes.
PMID- 27871134
TI - When green algae turn red.
PMID- 27871135
TI - Fire modifies the phylogenetic structure of soil bacterial co-occurrence
networks.
AB - Fire alters ecosystems by changing the composition and community structure of
soil microbes. The phylogenetic structure of a community provides clues about its
main assembling mechanisms. While environmental filtering tends to reduce the
community phylogenetic diversity by selecting for functionally (and hence
phylogenetically) similar species, processes like competitive exclusion by
limiting similarity tend to increase it by preventing the coexistence of
functionally (and phylogenetically) similar species. We used co-occurrence
networks to detect co-presence (bacteria that co-occur) or exclusion (bacteria
that do not co-occur) links indicative of the ecological interactions structuring
the community. We propose that inspecting the phylogenetic structure of co
presence or exclusion links allows to detect the main processes simultaneously
assembling the community. We monitored a soil bacterial community after an
experimental fire and found that fire altered its composition, richness and
phylogenetic diversity. Both co-presence and exclusion links were more
phylogenetically related than expected by chance. We interpret such a
phylogenetic clustering in co-presence links as a result of environmental
filtering, while that in exclusion links reflects competitive exclusion by
limiting similarity. This suggests that environmental filtering and limiting
similarity operate simultaneously to assemble soil bacterial communities,
widening the traditional view that only environmental filtering structures
bacterial communities.
PMID- 27871136
TI - Contribution of different dispersal sources to the metabolic response of lake
bacterioplankton following a salinity change.
AB - Dispersal can modify how bacterial community composition (BCC) changes in
response to environmental perturbations, yet knowledge about the functional
consequences of dispersal is limited. Here we hypothesized that changes in
bacterial community production in response to a salinity disturbance depend on
the possibility to recruit cells from different dispersal sources. To investigate
this, we conducted an in situ mesocosm experiment where bacterial communities of
an oligotrophic lake were exposed to different salinities (0, 18, 36 psu) for 2
weeks and subjected to dispersal of cells originating from sediments, air
(mesocosms open to air deposition), both or none. BCC was determined using 454
pyrosequencing of the 16S rRNA gene and bacterial production was measured by 3 H
leucine uptake. Bacterial production differed significantly among salinity
treatments and dispersal treatments, being highest at high salinity. These
changes were associated with changes in BCC and it was found that the identity of
the main functional contributors differed at different salinities. Our results
further showed that after a salinity perturbation, the response of bacterial
communities depended on the recruitment of taxa, including marine representatives
(e.g., Alphaproteobacteria Loktanella, Erythrobacter and the Gammaproteobacterium
Rheiheimera) from dispersal sources, in which atmospheric deposition appeared to
play a major role.
PMID- 27871137
TI - New insights in the early extracellular events in hydrocarbon and lipid
biodegradation.
PMID- 27871139
TI - Glycerol stress in Saccharomyces cerevisiae: Cellular responses and evolved
adaptations.
AB - Glycerol synthesis is key to central metabolism and stress biology in
Saccharomyces cerevisiae, yet the cellular adjustments needed to respond and
adapt to glycerol stress are little understood. Here, we determined impacts of
acute and chronic exposures to glycerol stress in S. cerevisiae. Glycerol stress
can result from an increase of glycerol concentration in the medium due to the S.
cerevisiae fermenting activity or other metabolic activities. Acute glycerol
stress led to a 50% decline in growth rate and altered transcription of more than
40% of genes. The increased genetic diversity in S. cerevisiae population, which
had evolved in the standard nutrient medium for hundreds of generations, led to
an increase in growth rate and altered transcriptome when such population was
transferred to stressful media containing a high concentration of glycerol; 0.41
M (0.990 water activity). Evolution of S. cerevisiae populations during a 10-day
period in the glycerol-containing medium led to transcriptome changes and
readjustments to improve control of glycerol flux across the membrane, regulation
of cell cycle, and more robust stress response; and a remarkable increase of
growth rate under glycerol stress. Most of the observed regulatory changes arose
in duplicated genes. These findings elucidate the physiological mechanisms, which
underlie glycerol-stress response, and longer-term adaptations, in S. cerevisiae;
they also have implications for enigmatic aspects of the ecology of this
otherwise well-characterized yeast.
PMID- 27871140
TI - Metabolic response of Agrobacterium tumefaciens 5A to arsenite.
AB - Wide-spread abundance in soil and water, coupled with high toxicity have put
arsenic at the top of the list of environmental contaminants. Early studies
demonstrated that both concentration and the valence state of inorganic arsenic
(arsenite, As(III) vs. arsenate As(V)) can be modulated by microbes. Using
genetics, transcriptomic and proteomic techniques, microbe-arsenic
detoxification, respiratory As(V) reduction and As(III) oxidation have since been
examined. The effect of arsenic exposure on whole-cell intracellular microbial
metabolism, however, has not been extensively studied. We combined LC-MS and 1 H
NMR to quantify metabolic changes in Agrobacterium tumefaciens (strain 5A) upon
exposure to sub-lethal concentrations of As(III). Metabolomics analysis reveals
global differences in metabolite concentrations between control and As(III)
exposure groups, with significant perturbations to intermediates shuttling into
and cycling within the TCA cycle. These data are most consistent with the
disruption of two key TCA cycle enzymes, pyruvate dehydrogenase and alpha
ketoglutarate dehydrogenase. Glycolysis also appeared altered following As(III)
stress, with carbon accumulating as complex saccharides. These observations
suggest that an important consequence of As(III) contamination in nature will be
to alter microbial carbon metabolism at the microbial community level and thus
has the potential to foundationally impact all biogeochemical cycles in the
environment.
PMID- 27871138
TI - High genetic diversity of Vibrio cholerae in the European lake Neusiedler See is
associated with intensive recombination in the reed habitat and the long-distance
transfer of strains.
AB - Coastal marine Vibrio cholerae populations usually exhibit high genetic
diversity. To assess the genetic diversity of abundant V. cholerae non-O1/non
O139 populations in the Central European lake Neusiedler See, we performed a
phylogenetic analysis based on recA, toxR, gyrB and pyrH loci sequenced for 472
strains. The strains were isolated from three ecologically different habitats in
a lake that is a hot-spot of migrating birds and an important bathing water. We
also analyzed 76 environmental and human V. cholerae non-O1/non-O139 isolates
from Austria and other European countries and added sequences of seven genome
sequenced strains. Phylogenetic analysis showed that the lake supports a unique
endemic diversity of V. cholerae that is particularly rich in the reed stand.
Phylogenetic trees revealed that many V. cholerae isolates from European
countries were genetically related to the strains present in the lake belonging
to statistically supported monophyletic clades. We hypothesize that the observed
phenomena can be explained by the high degree of genetic recombination that is
particularly intensive in the reed stand, acting along with the long distance
transfer of strains most probably via birds and/or humans. Thus, the Neusiedler
See may serve as a bioreactor for the appearance of new strains with new
(pathogenic) properties.
PMID- 27871141
TI - Root isoflavonoids and hairy root transformation influence key bacterial taxa in
the soybean rhizosphere.
AB - Rhizodeposits play a key role in shaping rhizosphere microbial communities. In
soybean, isoflavonoids are a key rhizodeposit component that aid in plant defense
and enable symbiotic associations with rhizobia. However, it is uncertain if and
how they influence rhizosphere microbial communities. Isoflavonoid biosynthesis
was silenced via RNA interference of isoflavone synthase in soybean hairy root
composite plants. Rhizosphere soil fractions tightly associated with roots were
isolated, and PCR amplicons from 16S rRNA gene variable regions V1-V3 and V3-V5
from these fractions were sequenced using 454. The resulting data was resolved
using MOTHUR and vegan to identify bacterial taxa and evaluate changes in
rhizosphere bacterial communities. The soybean rhizosphere was enriched in
Proteobacteria and Bacteroidetes, and had relatively lower levels of
Actinobacteria and Acidobacteria compared with bulk soil. Isoflavonoids had a
small effect on bacterial community structure, and in particular on the abundance
of Xanthomonads and Comamonads. The effect of hairy root transformation on
rhizosphere bacterial communities was largely similar to untransformed plant
roots with approximately 74% of the bacterial families displaying similar
colonization underscoring the suitability of this technique to evaluate the
influence of plant roots on rhizosphere bacterial communities. However, hairy
root transformation had notable influence on Sphingomonads and Acidobacteria.
PMID- 27871142
TI - Identification of two mutations that cause defects in the ligninolytic system
through an efficient forward genetics in the white-rot agaricomycete Pleurotus
ostreatus.
AB - White-rot fungi play an important role in the global carbon cycle because they
are the species that almost exclusively biodegrade wood lignin in nature. Lignin
peroxidases (LiPs), manganese peroxidases (MnPs) and versatile peroxidases (VPs)
are considered key players in the ligninolytic system. Apart from LiPs, MnPs and
VPs, however, only few other factors involved in the ligninolytic system have
been investigated using molecular genetics, implying the existence of
unidentified elements. By combining classical genetic techniques with next
generation sequencing technology, they successfully showed an efficient forward
genetics approach to identify mutations causing defects in the ligninolytic
system of the white-rot fungus Pleurotus ostreatus. In this study, they
identified two genes - chd1 and wtr1 - mutations in which cause an almost
complete loss of Mn2+ -dependent peroxidase activity. The chd1 gene encodes a
putative chromatin modifier, and wtr1 encodes an agaricomycete-specific protein
with a putative DNA-binding domain. The chd1-1 mutation and targeted disruption
of wtr1 hamper the ability of P. ostreatus to biodegrade wood lignin. Examination
of the effects of the aforementioned mutation and disruption on the expression of
certain MnP/VP genes suggests that a complex mechanism underlies the ligninolytic
system in P. ostreatus.
PMID- 27871144
TI - The unexpected versatility of the cellulosome.
PMID- 27871143
TI - Bringing microbial diversity into focus: high-resolution analysis of iron mats
from the Lo'ihi Seamount.
AB - Thirty kilometers south of the island of Hawai'i lies the Lo'ihi Seamount, an
active submarine volcano that hosts a network of low-temperature hydrothermal
vents enriched in ferrous iron that supports extensive microbial mats. These
mats, which can be a half a meter deep, are composed of ferric iron bound to
organic polymers - the metabolic byproduct of iron-oxidizing Zetaproteobacteria.
Though the role of Zetaproteobacteria in mat formation is well established, we
have a limited understanding of how differences in diversity are related to mat
morphology. We used Minimum Entropy Decomposition and ZetaOtu classification to
demonstrate cryptic diversity between closely related Zetaproteobacteria while
showing habitat and geographic specificity. Veiled mats, common structures at
Lo'ihi, exhibit distinct community composition and contain diversity not detected
in other mat types, including specific Zetaproteobacteria and an unclassified
Gammaproteobacteria. Our analyses also indicate that diversity can change
dramatically across small spatial transects from points of active venting, yet we
found comparatively few differences between major sampling sites. This study
provides a better picture of the microbiome responsible for iron mat production
at Lo'ihi and has broad implications for our understanding of these globally
distributed communities.
PMID- 27871145
TI - Meta-omic analyses of Baltic Sea cyanobacteria: diversity, community structure
and salt acclimation.
AB - Cyanobacteria are important phytoplankton in the Baltic Sea, an estuarine-like
environment with pronounced north to south gradients in salinity and nutrient
concentrations. Here, we present a metagenomic and -transcriptomic survey, with
subsequent analyses targeting the genetic identity, phylogenetic diversity, and
spatial distribution of Baltic Sea cyanobacteria. The cyanobacterial community
constituted close to 12% of the microbial population sampled during a pre-bloom
period (June-July 2009). The community was dominated by unicellular
picocyanobacteria, specifically a few highly abundant taxa (Synechococcus and
Cyanobium) with a long tail of low abundance representatives, and local peaks of
bloom-forming heterocystous taxa. Cyanobacteria in the Baltic Sea differed
genetically from those in adjacent limnic and marine waters as well as from
cultivated and sequenced picocyanobacterial strains. Diversity peaked at brackish
salinities 3.5-16 psu, with low N:P ratios. A shift in community composition from
brackish to marine strains was accompanied by a change in the repertoire and
expression of genes involved in salt acclimation. Overall, the pre-bloom
cyanobacterial population was more genetically diverse, widespread and abundant
than previously documented, with unicellular picocyanobacteria being the most
abundant clade along the entire Baltic Sea salinity gradient.
PMID- 27871146
TI - Abundant and rare picoeukaryotic sub-communities present contrasting patterns in
the epipelagic waters of marginal seas in the northwestern Pacific Ocean.
AB - In this work, they compared patterns of abundant and rare picoeukaryotic sub
communities in the epipelagic waters (surface and 40-75 m depth subsurface
layers) of the East and South China Seas across seasons via 454 pyrosequencing of
the V4 region of 18S rDNA. They also examined the relative effects of
environmental filtering, dispersal limitations and seasonality on community
assembly. Their results indicated that (i) in the surface layer, abundant taxa
are primarily influenced by dispersal limitations and rare taxa are primarily
influenced by environmental filtering, whereas (ii) in the subsurface layer, both
abundant and rare sub-communities are only weakly influenced by environmental
filtering but are strongly influenced by dispersal limitations. Moreover, (iii)
abundant taxa exhibit stronger temporal variability than rare taxa. They also
found that abundant and rare sub-communities display similar spatial richness
patterns that are negatively correlated with latitude and chlorophyll a and
positively correlated with temperature. In summary, environmental filtering and
dispersal limitations have different effects on abundant and rare picoeukaryotic
sub-communities in different layers. Thus, depth appears as an essential variable
that governs the structuring patterns of picoeukaryotic communities in the oceans
and should be thoroughly considered to develop a more comprehensive understanding
of oceanic microbial assemblages.
PMID- 27871147
TI - Shared and host-specific microbiome diversity and functioning of grapevine and
accompanying weed plants.
AB - Weeds and crop plants select their microbiota from the same pool of soil
microorganisms, however, the ecology of weed microbiomes is poorly understood. We
analysed the microbiomes associated with roots and rhizospheres of grapevine and
four weed species (Lamium amplexicaule L., Veronica arvensis L., Lepidium draba
L. and Stellaria media L.) growing in proximity in the same vineyard using 16S
rRNA gene sequencing. We also isolated and characterized 500 rhizobacteria and
root endophytes from L. draba and grapevine. Microbiome data analysis revealed
that all plants hosted significantly different microbiomes in the rhizosphere as
well as in root compartment, however, differences were more pronounced in the
root compartment. The shared microbiome of grapevine and the four weed species
contained 145 OTUs (54.2%) in the rhizosphere, but only nine OTUs (13.2%) in the
root compartment. Seven OTUs (12.3%) were shared in all plants and compartments.
Approximately 56% of the major OTUs (>1%) showed more than 98% identity to
bacteria isolated in this study. Moreover, weed-associated bacteria generally
showed a higher species richness in the rhizosphere, whereas the root-associated
bacteria were more diverse in the perennial plants grapevine and L. draba.
Overall, weed isolates showed more plant growth-promoting characteristics
compared with grapevine isolates.
PMID- 27871148
TI - Composition and diversity of mucosa-associated microbiota along the entire length
of the pig gastrointestinal tract; dietary influences.
AB - Mucosa-associated microbial populations of the gastrointestinal tract are in
intimate contact with the outer mucus layer. This proximity offers these
populations a higher potential, than lumenal microbiota, in exerting effects on
the host. Functional characteristics of the microbiota and influences of host
physiology shape the composition and activity of the mucosa-associated bacterial
community. We have shown previously that inclusion of an artificial sweetener,
SUCRAM, included in the diet of weaning piglets modulates the composition of
lumenal-residing gut microbiota and reduces weaning-related gastrointestinal
disorders. In this study, using Illumina sequencing we characterised the mucosa
associated microbiota along the length of the intestine of piglets, and
determined the effect of SUCRAM supplementation on mucosa-associated populations.
There were clear distinctions in the composition of mucosa-associated microbiota,
between small and large intestine, concordant with differences in regional oxygen
distribution and nutrient provision by the host. There were significant
differences in the composition of mucosa-associated compared with lumenal
microbiota in pig caecum. Dietary supplementation with SUCRAM affected mucosa
associated bacterial community structure along the length of the intestinal
tract. Most notably, there was a substantial reduction in predominant
Campylobacter populations proposing that SUCRAM supplementation of swine diet has
potential for reducing meat contamination and promoting food safety.
PMID- 27871149
TI - PSTha5a23, a candidate effector from the obligate biotrophic pathogen Puccinia
striiformis f. sp. tritici, is involved in plant defense suppression and rust
pathogenicity.
AB - During the infection of host plants, pathogens can deliver virulence-associated
'effector' proteins to promote plant susceptibility. However, little is known
about effector function in the obligate biotrophic pathogen Puccinia striiformis
f. sp. tritici (Pst) that is an important fungal pathogen in wheat production
worldwide. Here, they report their findings on an in planta highly induced
candidate effector from Pst, PSTha5a23. The PSTha5a23 gene is unique to Pst and
shows a low level of intra-species polymorphism. It has a functional N-terminal
signal peptide and is translocated to the host cytoplasm after infection.
Overexpression of PSTha5a23 in Nicotiana benthamiana was found to suppress the
programmed cell death triggered by BAX, PAMP-INF1 and two resistance-related
mitogen-activated protein kinases (MKK1 and NPK1). Overexpression of PSTha5a23 in
wheat also suppressed pattern-triggered immunity (PTI)-associated callose
deposition. In addition, silencing of PSTha5a23 did not change Pst virulence
phenotypes; however, overexpression of PSTha5a23 significantly enhanced Pst
virulence in wheat. These results indicate that the Pst candidate effector
PSTha5a23 plays an important role in plant defense suppression and rust
pathogenicity, and also highlight the utility of gene overexpression in plants as
a tool for studying effectors from obligate biotrophic pathogens.
PMID- 27871150
TI - Integrated proteomics and metabolomics suggests symbiotic metabolism and
multimodal regulation in a fungal-endobacterial system.
AB - Many plant-associated fungi host endosymbiotic endobacteria with reduced genomes.
While endobacteria play important roles in these tri-partite plant-fungal
endobacterial systems, the active physiology of fungal endobacteria has not been
characterized extensively by systems biology approaches. Here, we use integrated
proteomics and metabolomics to characterize the relationship between the
endobacterium Mycoavidus sp. and the root-associated fungus Mortierella elongata.
In nitrogen-poor media, M. elongata had decreased growth but hosted a large and
growing endobacterial population. The active endobacterium likely extracted
malate from the fungal host as the primary carbon substrate for energy production
and biosynthesis of phospho-sugars, nucleobases, peptidoglycan and some amino
acids. The endobacterium obtained nitrogen by importing a variety of nitrogen
containing compounds. Further, nitrogen limitation significantly perturbed the
carbon and nitrogen flows in the fungal metabolic network. M. elongata regulated
many pathways by concordant changes on enzyme abundances, post-translational
modifications, reactant concentrations and allosteric effectors. Such multimodal
regulations may be a general mechanism for metabolic modulation.
PMID- 27871151
TI - Therapeutic Potential of Human Mesenchymal Stem Cells for Treating Ischemic Limb
Diseases.
AB - Ischemic limb diseases are induced by different obstructions of peripheral
arteries. These obstructions result in insufficient nutrient and oxygen supplies
to the extremities, thereby leading to severe tissue damage that is in turn
related to severe morbidities and mortalities. Mesenchymal stem cells (MSCs) have
been isolated from various sources. These cells are multipotent with respect to
differentiation and are also characterized by migration, immune suppression, and
secretion of paracrine factors. Mesenchymal stem cells have been proposed to have
therapeutic potential for the treatment of ischemic limb diseases. In preclinical
experiments, injection of single MSCs has been shown to increase angiogenesis and
blood flow in ischemic hindlimb animal models; several molecular mechanisms of
angiogenesis have also been elucidated. Furthermore, modified strategies have
been developed for enhancing angiogenesis and the efficacy of MSCs. These
strategies have demonstrated significant effects in pre-clinical studies. In
clinical trials, MSCs have shown significant effects in the treatment of ischemic
limb diseases. In this review, we focus on the therapeutic properties of human
MSCs and the modified methods for enhancing angiogenesis in pre-clinical
experiments. We also discuss the clinical applications of MSCs for treating limb
ischemia.
PMID- 27871152
TI - Human Adipose-derived Mesenchymal Stem Cells Attenuate Early Stage of Bleomycin
Induced Pulmonary Fibrosis: Comparison with Pirfenidone.
AB - Background and Objectives: Idiopathic pulmonary fibrosis (IPF) is a progressive,
irreversible, invariably fatal fibrotic lung disease with no lasting option for
therapy. Mesenchymal stem cells (MSCs) could be a promising modality for the
treatment of IPF. Aim of the study was to investigate improvement in
survivability and anti-fibrotic efficacy of human adipose-derived mesenchymal
stem cells (AD-MSCs) in comparison with pirfenidone in the bleomycin-induced
pulmonary fibrosis model. Methods: Human AD-MSCs were administered intravenously
on day 3, 6 and 9 after an intra-tracheal challenge with bleomycin, whereas,
pirfenidone was given orally in drinking water at the rate of 100 mg/kg body
weight three times a day daily from day 3 onward. AD-MSCs were labelled with PKH
67 before administration to detect engraftment. Disease severity and improvement
was assessed and compared between sham control and vehicle control groups using
Kaplan-Meier survival analysis, biochemical and molecular analysis,
histopathology and high resolution computed tomography (HRCT) parameters at the
end of study. Results: Results demonstrated that AD-MSCs significantly increase
survivability; reduce organ weight and collagen deposition better than
pirfenidone group. Histological analyses and HRCT of the lung revealed that AD
MSCs afforded protection against bleomycin induced fibrosis and protect
architecture of the lung. Gene expression analysis revealed that AD-MSCs potently
suppressed pro-fibrotic genes induced by bleomycin. More importantly, AD-MSCs
were found to inhibit pro-inflammatory related transcripts. Conclusions: Our
results provided direct evidence that AD-MSC-mediated immunomodulation and anti
fibrotic effect in the lungs resulted in marked protection in pulmonary fibrosis,
but at an early stage of disease.
PMID- 27871153
TI - In vivo Evaluation of Human Embryonic Stem Cells Isolated by 57-C11 Monoclonal
Antibody.
AB - Background: The normal cells derived from human embryonic stem cells (hESCs) are
regarded as substitutes for damaged or dysfunctional adult cells. However,
tumorigenicity of hESCs remains a major challenge in clinical application of hESC
derived cell transplantation. Previously, we generated monoclonal antibody (MAb)
57-C11 specific to the surface molecule on undifferentiated hESCs. The aim of
this study is to prove whether 57-C11-positive hESCs are pluripotent and
tumorigenic in immunodeficient mice. Methods: Undifferentiated hESCs were mixed
with retinoic acid (RA)-differentiated hESCs at different ratios prior to 57-C11
mediated separation. To isolate 57-C11-positive hESCs from the mixture,
biotinylated 57-C11 and streptavidin-coated magnetic beads were added to the
mixture. Unbound 57-C11-negative hESCs were first isolated after applying magnet
to the cell mixture, and 57-C11-bound hESCs were then released from the magnetic
beads. In order to measure the efficiency of separation, 57-C11-positive or
negative hESCs were counted after isolation. To evaluate the efficiency of
teratoma formation in vivo, 57-C11-positive or negative cells were further
injected into left and right, respectively, testes of nonobese diabetic/severe
combined immunodeficiency (NOD/SCID) mice. Results: Approximately 77~100% of
undifferentiated hESCs were isolated after applying 57-C11-coated magnetic beads
to the mixed cell populations. Importantly, teratomas were not observed in
NOD/SCID mice after the injection of isolated 57-C11-negative hESCs, whereas
teratomas were observed with 57-C11-positive hESCs. Conclusion: 57-C11-positive
hESCs are pluripotent and tumorigenic. The combination of 57-C11 and magnetic
beads will be useful to eliminate remaining undifferentiated hESCs for the safe
cell transplantation.
PMID- 27871154
TI - Neuroprotection of Dexmedetomidine against Cerebral Ischemia-Reperfusion Injury
in Rats: Involved in Inhibition of NF-kappaB and Inflammation Response.
AB - Dexmedetomidine is an alpha2-adrenergic receptor agonist that exhibits a
protective effect on ischemia-reperfusion injury of the heart, kidney, and other
organs. In the present study, we examined the neuroprotective action and
potential mechanisms of dexmedetomidine against ischemia-reperfusion induced
cerebral injury. Transient focal cerebral ischemia-reperfusion injury was induced
in Sprague-Dawley rats by middle cerebral artery occlusion. After the ischemic
insult, animals then received intravenous dexmedetomidine of 1 ug/kg load dose,
followed by 0.05 ug/kg/min infusion for 2 h. After 24 h of reperfusion,
neurological function, brain edema, and the morphology of the hippocampal CA1
region were evaluated. The levels and mRNA expressions of interleukin-1beta,
interleukin-6 and tumor nevrosis factor-alpha as well as the protein expression
of inducible nitric oxide synthase, cyclooxygenase-2, nuclear factor-kappaBp65,
inhibitor of kappaBalpha and phosphorylated of kappaBalpha in hippocampus were
assessed. We found that dexmedetomidine reduced focal cerebral ischemia
reperfusion injury in rats by inhibiting the expression and release of
inflammatory cytokines and mediators. Inhibition of the nuclear factor-kappaB
pathway may be a mechanism underlying the neuroprotective action of
dexmedetomidine against focal cerebral I/R injury.
PMID- 27871156
TI - Kahweol from Coffee Induces Apoptosis by Upregulating Activating Transcription
Factor 3 in Human Colorectal Cancer Cells.
AB - Kahweol as a coffee-specific diterpene has been reported to induce apoptosis in
human cancer cells. Although some molecular targets for kahweol-mediated
apoptosis have been elucidated, the further mechanism for apoptotic effect of
kahweol is not known. Activating transcription factor 3 (ATF3) has been reported
to be associated with apoptosis in colorectal cancer. The present study was
performed to investigate the molecular mechanism by which kahweol stimulates ATF3
expression and apoptosis in human colorectal cancer cells. Kahweol increased
apoptosis in human colorectal cancer cells. It also increased ATF3 expression
through the transcriptional activity. The responsible cis-element for ATF3
transcriptional activation by kahweol was CREB located between -147 to -85 of
ATF3 promoter. ATF3 overexpression increased kahweol-mediated cleaved PARP, while
ATF3 knockdown attenuated the cleavage of PARP by kahweol. Inhibition of ERK1/2
and GSK3beta blocked kahweol-mediated ATF3 expression. The results suggest that
kahweol induces apoptosis through ATF3-mediated pathway in human colorectal
cancer cells.
PMID- 27871155
TI - Protective Role of Fucoidan in Cerebral Ischemia-Reperfusion Injury through
Inhibition of MAPK Signaling Pathway.
AB - Fucoidan has been reported to exhibit various beneficial activities ranging from
to antivirus and anticancer properties. However, little information is available
about the effects of fucoidan on cerebral ischemia-reperfusion injury (IRI). Our
study aimed to explore the effects of fucoidan on cerebral IRI, as well as the
underlying mechanisms. Sprague-Dawley (SD) rats were randomly subjected to four
groups: Sham, IRI+saline (IRI+S), IRI+80 mg/kg fucoidan (IRI+F80), and IRI+160
mg/kg fucoidan (IRI+F160). Fucoidan (80 mg/kg or 160 mg/kg) was intraperitoneally
injected from 7 days before the rats were induced to cerebral IRI model with
middle cerebral artery occlusion (MCAO) method. At 24 h after reperfusion,
neurological deficits and the total infarct volume were determined. The levels of
inflammation-associated cytokines (interleukin (IL)-1beta, IL-6, myeloperoxidase
(MPO), and tumor necrosis factor (TNF)-alpha), oxidative stress-related proteins
(malondialdehyde (MDA) and superoxide dismutase (SOD)) in the ischemic brain were
measured by enzyme-linked immunosorbent assay (ELISA). Besides, the levels of
apoptosis-related proteins (p-53, Bax, and B-cell lymphoma (Bcl)-2) and mitogen
activated protein kinase (MAPK) pathway (phosphorylation-extracellular
signalregulated kinase (p-ERK), p-c-Jun N-terminal kinase (JNK), and p-p38) were
measured. Results showed that administration of fucoidan significantly reduced
the neurological deficits and infarct volume compared to the IRI+S group in a
dose-dependent manner. Also, fucoidan statistically decreased the levels of
inflammation-associated cytokines, and oxidative stress-related proteins,
inhibited apoptosis, and suppressed the MAPK pathway. So, Fucoidan plays a
protective role in cerebral IRI might be by inhibition of MAPK pathway.
PMID- 27871157
TI - Synthetic Cannabinoid-Induced Immunosuppression Augments Cerebellar Dysfunction
in Tetanus-Toxin Treated Mice.
AB - Synthetic cannabinoids are one of most abused new psychoactive substances. The
recreational use of abused drug has aroused serious concerns about the
consequences of these drugs on infection. However, the effects of synthetic
cannabinoid on resistance to tetanus toxin are not fully understood yet. In the
present study, we aimed to determine if the administration of synthetic
cannabinoids increase the susceptibility to tetanus toxin-induced motor
behavioral deficit and functional changes in cerebellar neurons in mice.
Furthermore, we measured T lymphocytes marker levels, such as CD8 and CD4 which
against tetanus toxin. JWH-210 administration decreased expression levels of T
cell activators including cluster of differentiation (CD) 3epsilon, CD3gamma,
CD74p31, and CD74p41. In addition, we demonstrated that JWH-210 induced motor
impairment and decrement of vesicle-associated membrane proteins 2 levels in the
cerebellum of mice treated with tetanus toxin. Furthermore, cerebellar
glutamatergic neuronal homeostasis was hampered by JWH-210 administration, as
evidenced by increased glutamate concentration levels in the cerebellum. These
results suggest that JWH-210 may increase the vulnerability to tetanus toxin via
the regulation of immune function.
PMID- 27871159
TI - [Acute Kidney Injury in Cirrhotic Patients with Portal Hypertension].
AB - Acute kidney injury (AKI) is one of the most common manifestations encountered in
clinical practice. It is associated with high morbidity and mortality in
cirrhotic pre- and post-transplantation patients. Hepatorenal syndrome (HRS), a
special form of AKI in cirrhotic patients, was recognized as a consequence of
renal vasoconstriction from systemic/renal hemodynamic alterations developed in
advanced cirrhosis with portal hypertension. Recently, multiple factors-such as
infection/inflammation, underlying glomerulonephritis, bile cast, or increased
abdominal pressure-have been considered to contribute to renal dysfunction in
cirrhotic patients, which were presumed to induce HRS. Moreover, in addition to
changing the definition of AKI in the nephrologic guidelines, the new AKI
definition for early diagnosis and intervention based on characteristics of liver
cirrhosis has been proposed in an international meeting. This article provides a
comprehensive and recent review of AKI definition, laying out the topics in
accordance with the pathophysiologic mechanisms and therapeutic interventions of
AKI in cirrhotic patients with portal hypertension.
PMID- 27871158
TI - Platelet Shape Changes and Cytoskeleton Dynamics as Novel Therapeutic Targets for
Anti-Thrombotic Drugs.
AB - Platelets play an essential role in hemostasis through aggregation and adhesion
to vascular injury sites but their unnecessary activation can often lead to
thrombotic diseases. Upon exposure to physical or biochemical stimuli, remarkable
platelet shape changes precede aggregation or adhesion. Platelets shape changes
facilitate the formation and adhesion of platelet aggregates, but are readily
reversible in contrast to the irrevocable characteristics of aggregation and
adhesion. In this dynamic phenomenon, complex molecular signaling pathways and a
host of diverse cytoskeleton proteins are involved. Platelet shape change is
easily primed by diverse pro-thrombotic xenobiotics and stimuli, and its
inhibition can modulate thrombosis, which can ultimately contribute to the
development or prevention of thrombotic diseases. In this review, we discussed
the current knowledge on the mechanisms of platelet shape change and also
pathological implications and therapeutic opportunities for regulating the
related cytoskeleton dynamics.
PMID- 27871160
TI - Clinical Outcome of Doublet and Triplet Neoadjuvant Chemotherapy for Locally
Advanced Gastric Cancer.
AB - Background/Aims: In gastric cancer, the rate of recurrence and metastasis
following radical resection is high, necessitating improvement in survival and
cure rates. Neoadjuvant chemotherapy (NAC) has potential benefits for locally
advanced gastric cancer; however, the surgical benefits and effects on survival
are unclear. This study evaluates the effectiveness of NAC in locally advanced
gastric cancer and compares clinical outcomes of doublet and triplet regimens.
Methods: We reviewed patient medical records of 383 patients who underwent NAC
(n=41) or surgery only (n=342) for treatment of locally advanced gastric cancer.
The baseline characteristics and clinical outcomes were compared between the
groups. Chemotherapy patients were classified according to regimen, doublet
(n=28) and triplet (n=13), and NAC-related clinical response, safety, and
toxicity were analyzed. Results: The baseline characteristics did not differ
significantly between groups. After NAC, the tumor downstage rate was 51.2%
(21/41); however, overall survival (p=0.205) and disease-free survival (p=0.415)
were not significantly different between the groups. On subgroup analysis, no
significant differences in drug toxicity (p=0.604) or clinical response (p=0.374)
were found between outcomes of doublet and triplet chemotherapy regimens.
Conclusions: In patients with locally advanced gastric cancer, NAC showed
tolerable drug toxicity and increased tumor downstage, but NAC failed to increase
the survival rate, which may be caused by a high D2-lymphadenectomy rate.
Therefore, NAC was found to be a therapeutic option for select gastric cancer
patients.
PMID- 27871161
TI - Helicobacter pylori Eradication Modulates Aberrant CpG Island Hypermethylation in
Gastric Carcinogenesis.
AB - Background/Aims: Helicobacter pylori infection induces aberrant DNA methylation
in gastric mucosa. We evaluated the long-term effect of H. pylori eradication on
promotor CpG island hypermethylation in gastric carcinogenesis. Methods: H.
pylori-positive patients with gastric adenoma or early gastric cancer who
underwent endoscopic resection were enrolled. According to H. pylori eradication
after endoscopic resection, the participants were randomly assigned to H. pylori
eradication or non-eradication group. H. pylori-negative gastric mucosa from
normal participants provided the normal control. CpG island hypermethylation of
tumor-related genes (p16, CDH1, and RUNX-3) was evaluated by quantitative
MethyLight assay in non-tumorous gastric mucosa. The gene methylation rate and
median values of hypermethylation were compared after one year by H. pylori
status. Results: In H. pylori-positive patients, hypermethylation of p16 was
found in 80.6%, of CDH1 in 80.6%, and of RUNX-3 in 48.4%. This is significantly
higher than normal control (p16, 10%; CDH1, 44%; RUNX-3, 16%) (p<0.05). In the H.
pylori eradication group, methylation rates of p16 and CDH1 decreased in 58.1%
and 61.3% of the patients, and the median values of hypermethylation were
significantly lower at one year compared with the non-eradication group. However,
RUNX-3 hypermethylation did not differ significantly at one year after H. pylori
eradication. The non-eradication group hypermethylation did not change after one
year. Conclusions: H. pylori infection was associated with promotor
hypermethylation of genes in gastric carcinogenesis, and H. pylori eradication
might reverse p16 and CDH1 hypermethylation.
PMID- 27871163
TI - A Case of Endoscopically Complete Remission of Esophageal Neuroendocrine Tumors
by Concurrent Chemoradiation Therapy.
AB - Neuroendocrine tumors (NETs) of the esophagus are extremely rare, aggressive and
have a poor prognosis. Combined therapy using chemotherapy, radiotherapy and/or
surgery appear effective. Here, we present a patient with a complaint of
dysphagia who was diagnosed with this rare tumor. Upper gastrointestinal
endoscope of a 46-year-old female revealed a localized ulcerative lesion in the
middle esophagus. Histologic exam of biopsy specimens indicated a neuroendocrine
carcinoma. The tumor cells were arranged in microtubular structures, with small
and round cells containing scanty cytoplasm. They were positive for synaptophysin
and chromogranin A on immunohistochemical staining. A computed tomography scan
showed an esophageal tumor with enlarged superior mediastinal lymph nodes and
about 1.2 cm sized liver metastasis, similar to findings in PET-CT scanning. The
patient was prescribed chemotherapy consisting of etoposide and cisplatin, which
led to regression of disease on follow-up imaging study. She continues under
clinical observation. We seek to increase awareness of this exceedingly rare but
hazardous disease by sharing our unexpected finding.
PMID- 27871164
TI - [A Case of Biliary Obstruction Caused by Liver Cyst].
AB - Most cystic lesions of the liver are found incidentally in imaging studies
because they are not symptomatic, and generally do not require treatment. Rarely,
however, symptomatic hepatic cysts may develop complications and require
treatment. Here, we describe a case of a 77-year-old woman who developed biliary
obstruction with abdominal pain due to compression of the bile duct by a simple
hepatic cyst. We confirmed the diagnosis based on symptoms and imaging studies.
The patient'ssymptoms improved after simple cyst ablation by sclerotherapy.
PMID- 27871165
TI - Acute Pancreatitis Complicated with Diabetic Ketoacidosis in a Young Adult
without Hypertriglyceridemia: A Case Report.
AB - Systemic complications related to acute pancreatitis include acute respiratory
distress syndrome, multiple organ dysfunction syndrome, disseminated
intravascular coagulation, hypocalcemia, hyperglycemia, and insulin dependent
diabetes or diabetic ketoacidosis. In practice, the development of diabetic
ketoacidosis induced by acute pancreatitis is rare and generally associated with
hypertriglyceridemia. However, herein we report a case of a 34-year-old female
without hypertriglyceridemia, who was diagnosed with acute pancreatitis
complicated with diabetic ketoacidosis. The patient was admitted with complaints
of febrile sensation, back pain, and abdominal pain around the epigastric area.
Levels of serum amylase and lipase were elevated to 663 U/L and 3,232 U/L.
Contrast-enhanced abdominal CT showed pancreatic swelling, peri-pancreatic fat
infiltration and fluid collection. The patient was initially diagnosed with
simple acute pancreatitis. Though the symptoms were rapidly relieved after
initiation of treatment, severe hyperglycemia (575 mg/dL), severe metabolic
acidosis (pH 6.9), and ketonuria developed at four days after hospitalization.
However, serum triglyceride levels remained within the normal range (134 mg/dL).
Finally, the patient was diagnosed with acute pancreatitis complicated with
diabetic ketoacidosis unrelated to hypertriglyceridemia. She recovered through
insulin and fluid therapy, and receives insulin therapy at the outpatient clinic.
PMID- 27871166
TI - Traumatic Neuroma at the Inferior Mesenteric Artery Stump after Rectal Cancer
Surgery: A Case Report and Literature Review.
AB - Traumatic neuroma results from regeneration attempts of the proximal end of an
injured or severed nerve, resulting in a non-neoplastic nodular lesion. The lower
extremity after amputation is the most common site, followed by the head and
neck. Traumatic neuromas occurring in the abdomen, however, are rare. In the
abdominal region, traumatic neuromas occur in the cystic duct stump and the
common bile ducts as well as around the celiac trunk. This study reports a case
of a 59-year-old man who presented with a traumatic neuroma arising at the stump
of the inferior mesenteric artery after rectal cancer surgery. Traumatic neuromas
at the stump of the inferior mesenteric artery have not been previously reported.
The lesion exhibited atypical imaging features, including a well-enhanced nodule,
a significant interval growth in size and a mild increase in 18F-fluo
rodeoxyglucose uptake, resembling lymph node metastasis. This case report will
help physicians understand the sites of occurrence and imaging features of
traumatic neuromas in the abdomen.
PMID- 27871162
TI - Eradication Rate by Duration of Third-line Rescue Therapy with Levofloxacin after
Helicobacter pylori Treatment Failure in Clinical Practice.
AB - Background/Aims: This study evaluated the eradication rate of levofloxacin
containing rescue therapy by treatment duration in patients in whom previous
first- and second-line treatment failed. Methods: Fifty-five patients with
persistent Helicobacter pylori infection after first-line therapy and second-line
therapy were studied in a single referral academic center. We compared the
eradication rates by the treatment duration of third-line therapy. Results: Of 55
patients, 12 (21.8%) received rescue therapy for seven days, 24 (43.6%) received
rescue therapy for 10 days, and 19 (34.5%) received rescue therapy for 14 days.
The eradication rates of therapy with levofloxacin were 65.5% in the 55 enrolled
patients and 73.5% in the 49 patients who underwent follow-up testing. In cases
where follow-up testing was performed, the eradication rate of 7-day therapy was
58.3%, of 10-day 68.2%, and of 14-day therapy 93.3%. Eradication rate of 14-day
therapy was higher than 7-day (p=0.06) and 10-day (p=0.108), but chance could not
be ruled out in the difference among groups. Conclusions: This study showed
somewhat increasing of H. pylori eradication rate by extending the duration of
levofloxacin-containing rescue therapy to 14 days.
PMID- 27871167
TI - [Corrigendum: Current Status of Translational Research on Functional Dyspepsia].
PMID- 27871168
TI - Long-term prognosis of end-stage renal disease patients with normal myocardial
perfusion as determined by single photon emission computed tomography.
AB - BACKGROUND/AIMS: Normal myocardial perfusion is closely associated with very low
rates of cardiac events and better long-term outcomes; however, little is known
about its prognostic value in patients with end-stage renal disease (ESRD).
METHODS: A total of 286 incident patients underwent baseline cardiac evaluations
using echocardiography and stress-rest single-photon emission computed
tomography. Perfusion scans for 177 patients (61.9%) who had a summed stress
score (SSS) < 4 were normal. RESULTS: During the 4-year follow-up period, 79
cardiac events occurred. Patients with a SSS < 4 had significantly lower annual
rates of cardiac events than did those with a SSS >= 4 (6.4% vs. 13.2%; hazard
ratio, 0.54; 95% confidence interval, 0.31 to 0.94). Among patients with a SSS <
4, however, cardiac event rates significantly differed according to the presence
of comorbid conditions such as old age, diabetes, history of coronary artery
disease, and elevated C-reactive protein levels. In addition, the presence of
left ventricular (LV) systolic dysfunction and LV hypertrophy at the start of
hemodialysis strongly influenced future cardiac events. CONCLUSIONS: In patients
with ESRD, normal perfusion scans usually indicate a significantly low risk of
adverse cardiac events. However, even in patients with normal perfusion scans,
the cardiovascular prognosis is largely dependent on baseline inflammation levels
and comorbidities.
PMID- 27871169
TI - Structural and Biochemical Studies Reveal a Putative FtsZ Recognition Site on the
Z-ring Stabilizer ZapD.
AB - FtsZ, a tubulin homologue, is an essential protein of the Z-ring assembly in
bacterial cell division. It consists of two domains, the N-terminal and C
terminal core domains, and has a conserved C-terminal tail region. Lateral
interactions between FtsZ protofilaments and several Z-ring associated proteins
(Zaps) are necessary for modulating Z-ring formation. ZapD, one of the positive
regulators of Z-ring assembly, directly binds to the C-terminal tail of FtsZ and
promotes stable Z-ring formation during cytokinesis. To gain structural and
functional insights into how ZapD interacts with the C-terminal tail of FtsZ, we
solved two crystal structures of ZapD proteins from Salmonella typhimurium
(StZapD) and Escherichia coli (EcZapD) at a 2.6 and 3.1 A resolution,
respectively. Several conserved residues are clustered on the concave sides of
the StZapD and EcZapD dimers, the suggested FtsZ binding site. Modeled structures
of EcZapD-EcFtsZ and subsequent binding studies using bio-layer interferometry
also identified the EcFtsZ binding site on EcZapD. The structural insights and
the results of bio-layer interferometry assays suggest that the two FtsZ binding
sites of ZapD dimer might be responsible for the binding of ZapD dimer to two
protofilaments to hold them together.
PMID- 27871170
TI - Allele-Specific Phenotype Suggests a Possible Stimulatory Activity of RCAN-1 on
Calcineurin in Caenorhabditis elegans.
AB - Regulator of calcineurin 1 (RCAN1) binds to calcineurin through the PxIxIT motif,
which is evolutionarily conserved. SP repeat phosphorylation in RCAN1 is required
for its complete function. The specific interaction between RCAN1 and calcineurin
is critical for calcium/calmodulin-dependent regulation of calcineurin
serine/threonine phosphatase activity. In this study, we investigated two
available deletion rcan-1 mutants in Caenorhabditis elegans, which proceed
differently for transcription and translation. We found that rcan-1 may be
required for calcineurin activity and possess calcineurin-independent function in
body growth and egg-laying behavior. In the genetic background of enhanced
calcineurin activity, the rcan-1 mutant expressing a truncated RCAN-1 which
retains the calcineurin-binding PxIxIT motif but misses SP repeats stimulated
growth, while rcan-1 lack mutant resulted in hyperactive egg-laying suppression.
These data suggest rcan-1 has unknown functions independent of calcineurin, and
may be a stimulatory calcineurin regulator under certain circumstances.
PMID- 27871171
TI - Cell-SELEX Based Identification of an RNA Aptamer for Escherichia coli and Its
Use in Various Detection Formats.
AB - Escherichia coli are important indicator organisms, used routinely for the
monitoring of water and food safety. For quick, sensitive and real-time detection
of E. coli we developed a 2'F modified RNA aptamer Ec3, by Cell-SELEX. The 31
nucleotide truncated Ec3 demonstrated improved binding and low nano-molar
affinity to E. coli. The aptamer developed by us out-performs the commercial
antibody and aptamer used for E. coli detection. Ec3(31) aptamer based E. coli
detection was done using three different detection formats and the assay
sensitivities were determined. Conventional Ec3(31)-biotin-streptavidin magnetic
separation could detect E. coli with a limit of detection of 1.3 * 106 CFU/ml.
Although, optical analytic technique, biolayer interferometry, did not improve
the sensitivity of detection for whole cells, a very significant improvement in
the detection was seen with the E. coli cell lysate (5 * 104 CFU/ml). Finally we
developed Electrochemical Impedance Spectroscopy (EIS) gap capacitance biosensor
that has detection limits of 2 * 104 CFU/mL of E. coli cells, without any
labeling and signal amplification techniques. We believe that our developed
method can step towards more complex and real sample application.
PMID- 27871173
TI - STAT3 Potentiates SIAH-1 Mediated Proteasomal Degradation of beta-Catenin in
Human Embryonic Kidney Cells.
AB - The beta-catenin functions as an adhesion molecule and a component of the Wnt
signaling pathway. In the absence of the Wnt ligand, beta-catenin is constantly
phosphorylated, which designates it for degradation by the APC complex. This
process is one of the key regulatory mechanisms of beta-catenin. The level of
beta-catenin is also controlled by the E3 ubiquitin protein ligase SIAH-1 via a
phosphorylation-independent degradation pathway. Similar to beta-catenin, STAT3
is responsible for various cellular processes, such as survival, proliferation,
and differentiation. However, little is known about how these molecules work
together to regulate diverse cellular processes. In this study, we investigated
the regulatory relationship between STAT3 and beta-catenin in HEK293T cells. To
our knowledge, this is the first study to report that beta-catenin-TCF-4
transcriptional activity was suppressed by phosphorylated STAT3; furthermore,
STAT3 inactivation abolished this effect and elevated activated beta-catenin
levels. STAT3 also showed a strong interaction with SIAH-1, a regulator of active
beta-catenin via degradation, which stabilized SIAH-1 and increased its
interaction with beta-catenin. These results suggest that activated STAT3
regulates active beta-catenin protein levels via stabilization of SIAH-1 and the
subsequent ubiquitin-dependent proteasomal degradation of beta-catenin in HEK293T
cells.
PMID- 27871172
TI - LIN-23, an E3 Ubiquitin Ligase Component, Is Required for the Repression of CDC
25.2 Activity during Intestinal Development in Caenorhabditis elegans.
AB - Caenorhabditis elegans (C. elegans) utilizes two different cell-cycle modes,
binucleations during the L1 larval stage and endoreduplications at four larval
moltings, for its postembryonic intestinal development. Previous genetic studies
indicated that CDC-25.2 is specifically required for binucleations at the L1
larval stage and is repressed before endoreduplications. Furthermore, LIN-23, the
C. elegans beta-TrCP ortholog, appears to function as a repressor of CDC-25.2 to
prevent excess intestinal divisions. We previously reported that intestinal
hyperplasia in lin-23(e1883) mutants was effectively suppressed by the RNAi
depletion of cdc-25.2. Nevertheless, LIN-23 targeting CDC-25.2 for ubiquitination
as a component of E3 ubiquitin ligase has not yet been tested. In this study, LIN
23 is shown to be the major E3 ubiquitin ligase component, recognizing CDC-25.2
to repress their activities for proper transition of cell-cycle modes during the
C. elegans postembryonic intestinal development. In addition, for the first time
that LIN-23 physically interacts with both CDC-25.1 and CDC-25.2 and facilitates
ubiquitination for timely regulation of their activities during the intestinal
development.
PMID- 27871174
TI - Viral Inhibition of PRR-Mediated Innate Immune Response: Learning from KSHV
Evasion Strategies.
AB - The innate immune system has evolved to detect and destroy invading pathogens
before they can establish systemic infection. To successfully eradicate
pathogens, including viruses, host innate immunity is activated through diverse
pattern recognition receptors (PRRs) which detect conserved viral signatures and
trigger the production of type I interferon (IFN) and pro-inflammatory cytokines
to mediate viral clearance. Viral persistence requires that viruses co-opt
cellular pathways and activities for their benefit. In particular, due to the
potent antiviral activities of IFN and cytokines, viruses have developed various
strategies to meticulously modulate intracellular innate immune sensing
mechanisms to facilitate efficient viral replication and persistence. In this
review, we highlight recent advances in the study of viral immune evasion
strategies with a specific focus on how Kaposi's sarcoma-associated herpesvirus
(KSHV) effectively targets host PRR signaling pathways.
PMID- 27871176
TI - In Vivo Angiogenic Capacity of Stem Cells from Human Exfoliated Deciduous Teeth
with Human Umbilical Vein Endothelial Cells.
AB - Dental pulp is a highly vascularized tissue requiring adequate blood supply for
successful regeneration. In this study, we investigated the functional role of
stem cells from human exfoliated deciduous teeth (SHEDs) as a perivascular source
for in vivo formation of vessel-like structures. Primarily isolated SHEDs showed
mesenchymal stem cell (MSC)-like characteristics including the expression of
surface antigens and in vitro osteogenic and adipogenic differentiation
potentials. Moreover, SHEDs were positive for NG2, alpha-smooth muscle actin
(SMA), platelet-derived growth factor receptor beta (PDGFRbeta), and CD146 as
pericyte markers. To prove feasibility of SHEDs as perivascular source, SHEDs
were transplanted into immunodeficient mouse using Matrigel with or without human
umbilical vein endothelial cells (HUVECs). Transplantation of SHEDs alone or
HUVECs alone resulted in no formation of vessel-like structures with enough red
blood cells. However, when SHEDs and HUVECs were transplanted together, extensive
vessel-like structures were formed. The presence of murine erythrocytes within
lumens suggested the formation of anastomoses between newly formed vessel-like
structures in Matrigel plug and the host circulatory system. To understand
underlying mechanisms of in vivo angiogenesis, the expression of angiogenic
cytokine and chemokine, their receptors, and MMPs was compared between SHEDs and
HUVECs. SHEDs showed higher expression of VEGF, SDF-1alpha, and PDGFRbeta than
HUVECs. On the contrary, HUVECs showed higher expression of VEGF receptors,
CXCR4, and PDGF-BB than SHEDs. This differential expression pattern suggested
reciprocal interactions between SHEDs and HUVECs and their involvement during in
vivo angiogenesis. In conclusion, SHEDs could be a feasible source of
perivascular cells for in vivo angiogenesis.
PMID- 27871175
TI - Epigenetic Changes in Neurodegenerative Diseases.
AB - Afflicted neurons in various neurodegenerative diseases generally display diverse
and complex pathological features before catastrophic occurrence of massive
neuronal loss at the late stages of the diseases. This complex nature of neuronal
pathophysiology inevitably implicates systemwide changes in basic cellular
activities such as transcriptional controls and signal cascades, and so on, as a
cause. Recently, as one of these systemwide cellular changes associated with
neurodegenerative diseases, epigenetic changes caused by protein toxicity have
begun to be highlighted. Notably, recent advances in related techniques including
next-generation sequencing (NGS) and mass spectrometry enable us to monitor
changes in the post-translational modifications (PTMs) of histone proteins and to
link these changes in histone PTMs to the specific transcriptional changes.
Indeed, epigenetic alterations and consequent changes in neuronal transcriptome
are now begun to be extensively studied in neurodegenerative diseases including
Alzheimer's disease (AD). In this review, we will discuss details of our current
understandings on epigenetic changes associated with two representative
neurodegenerative diseases [AD and polyglutamine (polyQ) diseases] and further
discuss possible future development of pharmaceutical treatment of the diseases
through modulating these epigenetic changes.
PMID- 27871178
TI - Use of polyethylene glycol in functional constipation and fecal impaction.
AB - OBJECTIVE: The objective of this study was to evaluate in an analytical and
descriptive manner the evidence published so far on the use of polyethylene
glycol (PEG), with or without electrolytes, in the management of functional
constipation and the treatment of fecal impaction. METHODOLOGY: Search on
MEDLINE, EMBASE and Cochrane databases until May 2016 of all publications
adjusted to the following terms: constipation AND/OR fecal impaction AND (PEG OR
polyethylene glycol OR macrogol OR movicol OR idralax OR miralax OR transipeg OR
forlax OR golytely OR isocolan OR mulytely) NOT colonoscopy. Critical reading of
selected articles (English or Spanish), sorting their description according to
group age (adult/pediatric age) and within those, in accordance with study
features (efficacy evaluation versus placebo, doses query, safety, comparison
with other laxatives, observational studies and monographic review articles of
polyethylene glycol or meta-analysis). RESULTS: Fifty-eight publications have
been chosen for descriptive analysis; of them, 41 are clinical trials, eight are
observational studies and nine are systematic reviews or meta-analysis. Twelve
clinical trials evaluate PEG efficacy versus placebo, eight versus lactulose, six
are dose studies, five compare polyethylene glycol with and without electrolytes,
two compare its efficacy with respect to milk of magnesia, and the rest of the
trials evaluate polyethylene glycol with enemas (two), psyllium (one), tegaserod
(one), prucalopride (one), paraffin oil (one), fiber combinations (one) and
Descurainia sophia (one). CONCLUSIONS: Polyethylene glycol with or without
electrolytes is more efficacious than placebo for the treatment of functional
constipation, either in adults or in pediatric patients, with great safety and
tolerability. These preparations constitute the most efficacious osmotic
laxatives (more than lactulose) and are the first-line treatment for functional
constipation in the short and long-term. They are as efficacious as enemas in
fecal impaction, avoid the need for hospital admission and are well tolerated by
patients (mainly when administered without electrolytes).
PMID- 27871179
TI - Validating competencies for an undergraduate training program in rural medicine
using the Delphi technique.
AB - INTRODUCTION: Worldwide, half the population lives in rural or remote
areas; however, less than 25% of doctors work in such regions. Despite the
continental dimensions of Brazil and its enormous cultural diversity, only some
medical schools in this country offer students the opportunity to acquire work
experience focused on medicine in rural or remote areas. The objective of the
present study was to develop a framework of competencies for a longitudinal
medical training program in rural medicine as an integrated part of medical
training in Brazil. METHODS: Two rounds of a modified version of the Delphi
technique were conducted. Initially, a structured questionnaire was elaborated,
based on a literature review. This questionnaire was submitted to the opinion of
20 panelists affiliated with the Rural Medicine Working Party of the Brazilian
Society of Family and Community Medicine. The panelists were asked to evaluate
the relevance of the competencies using a five-point Likert-type scale. In this
study, the consensus criterion for a competency to be included in the framework
was it being deemed 'very important' or 'indispensable' by a simple majority of
the participants, while the criterion for excluding a competency was that a
simple majority of the panel members considered that it 'should not be included'
or was 'of little importance'. When a consensus was not reached regarding a given
competency, it was submitted to a second round to enable the panelists to re
evaluate the now dichotomized questions. RESULTS: Compliance in responding to the
questionnaire was better among the panelists predominantly involved in teaching
activities (85%; n=12) compared to those working principally in patient
care (45%; n=8). The questionnaire consisted of 26 core competencies and
165 secondary competencies. After evaluation by the specialists, all the 26 core
competencies were classified as relevant, with none being excluded and only eight
secondary competencies failing to achieve a consensus. No new competencies were
suggested. Of the competencies that failed to reach a consensus in the first
round, seven were excluded from the framework in the second round, with most of
these being associated with hospital procedures. CONCLUSIONS: A framework of
competencies for a program in rural medicine was developed and validated. It
consists of 26 core competencies and 158 secondary competencies that should be
useful when constructing competency-based curricula in rural medicine for medical
education in Brazil.
PMID- 27871177
TI - Glucose and Insulin Stimulate Lipogenesis in Porcine Adipocytes: Dissimilar and
Identical Regulation Pathway for Key Transcription Factors.
AB - Lipogenesis is under the concerted action of ChREBP, SREBP-1c and other
transcription factors in response to glucose and insulin. The isolated porcine
preadipocytes were differentiated into mature adipocytes to investigate the roles
and interrelation of these transcription factors in the context of glucose- and
insulin-induced lipogenesis in pigs. In ChREBP-silenced adipocytes, glucose
induced lipogenesis decreased by ~70%, however insulin-induced lipogenesis was
unaffected. Moreover, insulin had no effect on ChREBP expression of unperturbed
adipocytes irrespective of glucose concentration, suggesting ChREBP mediate
glucose-induced lipogenesis. Insulin stimulated SREBP-1c expression and when
SREBP-1c activation was blocked, and the insulin-induced lipogenesis decreased by
~55%, suggesting SREBP-1c is a key transcription factor mediating insulin-induced
lipogenesis. LXRalpha activation promoted lipogenesis and lipogenic genes
expression. In ChREBP-silenced or SREBP-1c activation blocked adipocytes,
LXRalpha activation facilitated lipogenesis and SREBP-1c expression, but had no
effect on ChREBP expression. Therefore, LXRalpha might mediate lipogenesis via
SREBP-1c rather than ChREBP. When ChREBP expression was silenced and SREBP-1c
activation blocked simultaneously, glucose and insulin were still able to
stimulated lipogenesis and lipogenic genes expression, and LXRalpha activation
enhanced these effects, suggesting LXRalpha mediated directly glucose- and
insulin-induced lipogenesis. In summary, glucose and insulin stimulated
lipogenesis through both dissimilar and identical regulation pathway in porcine
adipocytes.
PMID- 27871181
TI - Iron stains on paper. Can electrophoretic removal become an effective alternative
to chemical cleaning?
AB - Research in restoration and conservation is directed vs. more sustainable working
materials, methods and technologies. Electrophoretic removal, from porous
material, of undesired stains due to charged species is theoretically an
interesting alternative to chemical cleaning methods, but the lack of specific
and comprehensive research work leads to controversial opinions about the
efficiency and the needed harmfulness for the treated objects. In this work
paper, samples with artificial rust stains were subjected to electrophoretic
cleaning treatments in mineral water as electrolyte. Treatments were carried out
either in a bath by complete sample immersion between the distanced electrodes or
by sample wetting and sandwiching between the electrodes. Evaluation of cleaning
efficiency and treatment effects was based on colour change measurements (image
analysis of scanned paper samples before and after the treatment and by
colorimetric data via spectrophotometric measurements), investigation of
morphological changes by SEM observations and folding endurance measurements.
PMID- 27871180
TI - Prescription opioid prescribing, use/misuse, harms and treatment among Aboriginal
people in Canada: a narrative review of available data and indicators.
AB - INTRODUCTION: Prescription opioid (PO) misuse and related harms are high in
Canada, and a major public health challenge. In Canada, 1.4 million individuals
(4.3% of the total population) self-identify as Aboriginal, among whom substance
use and related harms are elevated. While there are reports of PO use and
associated problems among Aboriginal groups, no comprehensive data review
currently exists. METHODS: A review of available data sources (ie journal
publications, public reports and 'grey' literature) was conducted following
principles of a scoping review. Information and data were identified, extracted,
and organized into major indicator categories: PO prescribing/dispensing,
use/abuse, morbidity/mortality harms and treatment, and narratively
reported. RESULTS: Data suggest that PO dispensing, use and misuse levels among
Aboriginal populations are high and/or rising in select settings when compared to
the general Canadian population. High levels of PO-related dependence and
pregnancy harms exist (mainly in Northern Ontario); there is some indication of
elevated opioid mortality among Aboriginals. Vast discrepancies in availability
and access to interventions exist; some recent pilot studies suggest improved
care. CONCLUSIONS: Data regarding PO use and harms among Aboriginal people are
limited, even though elevated problem levels are indicated; improved monitoring,
and more effective yet culturally and contextually appropriate interventions for
this acute problem are needed.
PMID- 27871182
TI - Chemometric analysis of minerals and trace elements in Sicilian wines from two
different grape cultivars.
AB - Chemometric analysis are used for food authenticity evaluation, correlating
botanical and geographical origins with food chemical composition. This research
was carried out in order to prove that it is possible linked red wines to Nero
d'Avola and Syrah cultivars of Vitis vinifera according to their mineral content,
while the values of the physical and chemical parameters do not affect relevantly
this discrimination. The levels of mineral elements were determined by ICP-OES
and ICP-MS. Samples from cv Nero d'Avola had the highest content of Zn, Cr, Ni,
As and Cd, whereas the highest mineral concentration in cv Syrah samples was
represented by K, Mg, Cu, and Sb. The research highlights that it is possible
linked red wines to Nero d'Avola and Syrah cultivars of V. vinifera according to
their mineral contents, adding knowledge to the determination studies of the wine
botanical origin.
PMID- 27871184
TI - In vitro evaluation of prebiotic properties derived from rice bran obtained by
debranning technology.
AB - The prebiotic ability of several rice bran fractions obtained by debranning (RBD)
using human microbiota was studied in anaerobic batch cultures with agitation and
pH-controlled. Fraction C (3.8-5% w/w pearling) from RBD increased the number of
bifidobacteria and lactobacteria compared with the positive control, raftilose
P95. RBD fermentation induced changes in the short-chain fatty acid (SCFA)
profile. In addition, Fraction C revealed the highest growth of positive
lactobacteria than commercial control. The present work illustrates the prebiotic
capacity of RBD to modulate human microbiota and highlights that fraction C could
be an economical source for use in human food as well as an interesting
alternative to valorise a by-product of cereal industry.
PMID- 27871183
TI - HIV patient and provider feedback on a telehealth collaborative care for
depression intervention.
AB - In the HIV Translating Initiatives for Depression into Effective Solutions
project, we conducted a randomized controlled effectiveness and implementation
trial comparing depression collaborative care with enhanced usual care in
Veterans Health Administration HIV clinics in the US. An offsite HIV depression
care team including a psychiatrist, a depression care manager (DCM), and a
clinical pharmacist provided collaborative care using a stepped-care model of
treatment and made recommendations to providers through the electronic health
record system. The DCM delivered care management to HIV patients through phone
calls, performing routine assessments and providing counseling in self-management
and problem-solving. The DCM documented all calls in each patient's electronic
medical record. In this paper we present results from interviews conducted with
patients and clinical staff in a multi-stage formative evaluation (FE). We
conducted semi-structured FE interviews with 26 HIV patients and 30 clinical
staff at the three participating sites during and after the trial period to
gather their experiences and perspectives concerning the intervention components.
Interviews were transcribed verbatim and analyzed using rapid content analysis
techniques. Patients reported high satisfaction with the depression care manager
(DCM) phone calls. Both HIV and mental health providers reported that the DCM's
chart notes in the electronic health record were very helpful, and most felt that
a dedicated DCM for HIV patients is ideal to meet patient needs. Sites
encountered barriers to achieving and maintaining universal depression screening,
but had greater success when such screening was incorporated into routine intake
processes. FE results demonstrated that depression care management via telehealth
from an offsite team is acceptable and helpful to both HIV patients and their
providers. Given that a centralized offsite depression care team can deliver
effective, cost-effective, cost-saving services for multiple HIV clinics in
different locations with high patient and provider satisfaction, broad
implementation should be considered.
PMID- 27871185
TI - Interventions that increase the intention to seek voluntary HIV testing in young
people: a review.
AB - Young people 15-24 years old represent 39% of new HIV infections globally.
However, they are the least likely age demographic to seek HIV testing and the
most likely to be unaware of their HIV status. The purpose of this systematic
literature review was to identify interventions that increase either rates of HIV
testing or intentions to seek HIV testing in young people 10-24 years old. In
total, 1601 manuscripts were systematically examined and five manuscripts were
included in the final review. Two common themes identified in the interventions
were education and test delivery methods. Educational programs were found to be
effective when delivered in classroom or entertainment-based formats. Health
providers offering testing and home testing increased the rate of testing.
Additional research is needed on programs aimed at young people not enrolled in
schools, interventions that measure testing rates, and educating healthcare
providers about offering HIV tests to young people.
PMID- 27871186
TI - Does a TV Public Service Advertisement Campaign for Suicide Prevention Really
Work?
AB - BACKGROUND: One of the critical measures in suicide prevention is promoting
public awareness of crisis hotline numbers so that individuals can more readily
seek help in a time of crisis. Although public service advertisements (PSA) may
be effective in raising the rates of both awareness and use of a suicide hotline,
few investigations have been performed regarding their effectiveness in South
Korea, where the suicide rate is the highest among OECD countries. AIMS: The goal
of this study was to evaluate the effectiveness of a television PSA campaign.
METHOD: We analyzed a database of crisis phone calls compiled by the Korean
Ministry of Health and Welfare to track changes in call volume to a crisis
hotline that was promoted in a TV campaign. We compared daily call counts for
three periods of equal length: before, during, and after the campaign. RESULTS:
The number of crisis calls during the campaign was about 1.6 times greater than
the number before or after the campaign. Relative to the number of suicide
related calls in the previous year, the number of calls during the campaign
period surged, displaying a noticeable increase. CONCLUSION: The findings
confirmed that this campaign had a positive impact on call volume to the suicide
hotline.
PMID- 27871187
TI - Hepatoprotective triterpenoids and lignans from the stems of Schisandra
pubescens.
AB - One new triterpenoid (1) and 13 known compounds (2-14) were isolated from
Schisandra pubescens stems. The structure of the new compound was established on
the basis of 1D/2D NMR and HRESIMS spectroscopic analyses. The isolated compounds
were evaluated for their hepatoprotective activities against D-GalN-induced cell
injury in QSG7701 cells. Compounds 1, 13 and 14 at 10 MUM showed hepatoprotective
activities, with survival rates of 60.5, 50.4 and 48.9%, respectively.
PMID- 27871188
TI - What can 35 years and over 700,000 measurements tell us about noise exposure in
the mining industry?
AB - OBJECTIVE: To analyse over 700,000 cross-sectional measurements from the Mine
Safety and Health Administration (MHSA) and develop statistical models to predict
noise exposure for a worker. DESIGN: Descriptive statistics were used to
summarise the data. Two linear regression models were used to predict noise
exposure based on MSHA-permissible exposure limit (PEL) and action level (AL),
respectively. Twofold cross validation was used to compare the exposure estimates
from the models to actual measurement. The mean difference and t-statistic was
calculated for each job title to determine whether the model predictions were
significantly different from the actual data. STUDY SAMPLE: Measurements were
acquired from MSHA through a Freedom of Information Act request. RESULTS: From
1979 to 2014, noise exposure has decreased. Measurements taken before the
implementation of MSHA's revised noise regulation in 2000 were on average 4.5 dBA
higher than after the law was implemented. Both models produced exposure
predictions that were less than 1 dBA different than the holdout data.
CONCLUSION: Overall noise levels in mines have been decreasing. However, this
decrease has not been uniform across all mining sectors. The exposure predictions
from the model will be useful to help predict hearing loss in workers in the
mining industry.
PMID- 27871189
TI - Determination of 1,2/1,3-diglycerides in Sicilian extra-virgin olive oils by 1H
NMR over a one-year storage period.
AB - This study is aimed to monitor by 1H NMR spectroscopy the effect of a 12-month
storage period on the 1,2-diglycerides over 1,3-diglycerides ratio for five mono
cultivar 'extra virgin olive oils' (EVOO) (Arbequina, Arbosana, Cerasuola,
Nocellara and FS17) and one blend of two different cultivars (Nocellara +
Biancolilla) preserved in the dark and at room temperature. These
quantifications, at 500 MHz, are readily extracted through a specific and
original integration difference method. Albeit it was known that the
isomerisation rate is affected by the free acidity, we here demonstrate that it
also depends on the presence of specific macromolecules (lipases), indeed,
different EVOO cultivars with similar free acidity, show different isomerisation
rate. Our results are consistent with similar diglyceride monitoring performed on
Greek and Spanish EVOOs by 31P NMR.
PMID- 27871190
TI - The significance of social rules in group training sessions.
AB - PURPOSE: To identify what types of social rules are involved in group
interventions led by physiotherapists (PTs), and how these rules influence
individual recovery. METHODS: Eight patients; six women and two men, which had
recently undergone elective hip or knee replacement surgery, were recruited as
informants from an intervention group that investigated effect of task specific
exercise. The data comprise observational notes from group training sessions and
one-on-one semi-structured interviews with the eight patients. Observational
notes contributed to the development of the research questions. The interviews
were audio-recorded and transcribed, and a theoretically-oriented analytical
approach guided by performance theory was conducted to identify the rules.
RESULTS: Several implicit rules for behavior when attending this group
intervention were identified. The compulsory style enhances recovery in an
explicit manner. CONCLUSION: When giving advice and home exercise PTs must
acknowledge that the roles patients are subtly exposed to during the actual
clinical encounter are probably quite different from the roles the patients can
merge into outside the therapy context. This might shed some light on the notion
of patient adherence/concordance. The significance of social rules in group
training sessions. Implications for Rehabilitation Health professionals should be
aware of the implicit social regulations of the clinical encounter. They will
influence whether and how patients adhere to advice. By acknowledging that
patients have different roles to merge into, depending on context, health
professionals may significantly broaden our understanding of patient adherence
and compliance. The social rules identified in this study contribute to
individual recovery processes. We encourage health professionals to regard "group
dynamics" as something that is going on during the group session, and worthwhile
taking advantage of when planning and implementing group interventions.
PMID- 27871191
TI - Second trimester medical abortion with mifepristone followed by unlimited dosing
of buccal misoprostol in Armenia.
AB - OBJECTIVES: The aim of the study was to assess the efficacy and acceptability of
a regimen using mifepristone and buccal misoprostol with unlimited dosing for
second trimester abortion in Armenia. METHODS: Women seeking to terminate 13-22
week pregnancies were enrolled in the study. Participants swallowed 200 mg
mifepristone in the clinic and were instructed to return to the hospital for
induction 24-48 h later. During induction, women were given 400 MUg buccal
misoprostol every 3 h until the fetus and placenta were expelled. The abortion
was considered a success if complete uterine evacuation was achieved without
oxytocin or surgery. RESULTS: A total of 120 women with a median gestational age
of 18 weeks participated in the study. All women began misoprostol induction
around 24 h after taking mifepristone. Complete uterine evacuation was achieved
in 119 (99.2%) women. The median induction-to-abortion interval was 10.3 h (range
4-17.4) with a mean of 9.5 +/- 2.5 h. A median of four misoprostol doses (range 2
6) with a mean of 4 +/- 1 misoprostol doses were administered. The induction-to
abortion interval, number of misoprostol doses, pain score and analgesia use
increased as gestational age advanced. Acceptability of the method was high among
both patients and providers. CONCLUSION: The medical abortion regimen of 200 mg
mifepristone followed 24 h later by induction with 400 MUg buccal misoprostol
administered every 3 h, with no limit on the number of doses used for the
termination of pregnancies of 13-22 weeks' gestation is an effective and
acceptable option for women.
PMID- 27871192
TI - Specialised dental care for children with complex disabilities focusing on
child's functioning and need for general anaesthesia.
AB - PURPOSE: To describe and analyse dental care and treatment modalities for
children with complex disabilities from a biopsychosocial perspective, with
special focus on dental treatment under general anaesthesia (GA) and its
relationship to child's functioning. METHOD: An ICF-CY Checklist for Oral Health
was completed using structured interview, direct observations, and dental records
for patients attending a specialist paediatric dentistry clinic. Descriptive and
comparative data analysis was performed. Performance qualifiers from the ICF-CY
component Activities and participation were used to calculate functional factors.
RESULTS: Median referral age was 1.5 years and the majority were referred by
their paediatrician. Almost all visited a dental hygienist regularly. Dental
treatment under GA was common and was combined in 78% of sessions with medical
treatment. Children with limitations in their interpersonal interactions and
relationships were most likely to have dental GA. CONCLUSION: Children without
caries experience had been referred for specialist dental care at an earlier age
than children with caries experience. GA was a common treatment modality and
dental and medical treatments were coordinated under the same GA for a majority
of children. By using the ICF-CY, it was possible to identify functional
limitations characterising children with disabilities that require dental
treatment under GA. Implications for Rehabilitation Early referral to a
specialist in paediatric dentistry is valuable for oral disease prevention in
children with disabilities. Availability of dental treatment under general
anaesthesia (GA) is also important. Combining dental and medical interventions
during the same GA session optimises resources both for the individual and for
the health organisation. Children with limitations in interpersonal interactions
and relationships are more likely to need dental treatment under GA than other
children.
PMID- 27871193
TI - Physiotherapist-delivered cognitive-behavioural interventions are effective for
low back pain, but can they be replicated in clinical practice? A systematic
review.
AB - PURPOSE: To determine if physiotherapist-led cognitive-behavioural (CB)
interventions are effective for low back pain (LBP) and described sufficiently
for replication. METHOD: Randomised controlled trials (RCTs) of patients with LBP
treated by physiotherapists using a CB intervention were included. Outcomes of
disability, pain, and quality of life were assessed using the GRADE approach.
Intervention reporting was assessed using the Template for Intervention
Description and Replication. RESULTS: Of 1898 titles, 5 RCTs (n = 1390) were
identified. Compared to education and/or exercise interventions, we found high
quality evidence that CB had a greater effect (SMD; 95% CI) on reducing
disability (-0.19; -0.32, -0.07), pain (-0.21; -0.33, -0.09); and moderate
quality evidence of little difference in quality of life (-0.06; -0.18 to 0.07).
Sufficient information was provided on dose, setting, and provider; but not
content and procedural information. Studies tended to report the type of CB
component used (e.g., challenging unhelpful thoughts) with little detail on how
it was operationalised. Moreover, access to treatment manuals, patient materials
and provider training was lacking. CONCLUSIONS: With additional training,
physiotherapists can deliver effective CB interventions. However, without
training or resources, successful translation and implementation remains
unlikely. Researchers should improve reporting of procedural information, provide
relevant materials, and offer accessible provider training. Implications for
Rehabilitation Previous reviews have established that traditional biomedical
based treatments (e.g., acupuncture, manual therapy, massage, and specific
exercise programmes) that focus only on physical symptoms do provide short-term
benefits but the sustained effect is questionable. A cognitive-behavioural (CB)
approach includes techniques to target both physical and psychosocial symptoms
related to pain and provides patients with long-lasting skills to manage these
symptoms on their own. This combined method has been used in a variety of
settings delivered by different health care professionals and has been shown to
produce long-term effects on patient outcomes. What has been unclear is if these
programmes are effective when delivered by physiotherapists in routine
physiotherapy settings. Our study synthesises the evidence for this context. We
have confirmed with high-quality evidence that with additional training,
physiotherapists can deliver CB interventions that are effective for patients
with back pain. Physiotherapists who are considering enhancing their treatment
for patients with low back pain should consider undertaking some additional
training in how to incorporate CB techniques into their practice to optimise
treatment benefits and help patients receive long-lasting treatment effects.
Importantly, our results indicate that using a CB approach, including a variety
of CB techniques that could be easily adopted in a physical therapy setting,
provides greater benefits for patient outcomes compared to brief education,
exercise or physical techniques (such as manual therapy) alone. This provides
further support that a combined treatment approach is likely better than one
based on physical techniques alone. Notably, we identified a significant barrier
to adopting any of these CB interventions in practice. This is because no study
provided a description of the intervention or accessible training materials that
would allow for accurate replication. Without access to provider training and/or
resources, we cannot expect this evidence to be implemented in practice with
optimal effects. Thus, we would urge physiotherapists to directly contact authors
of the studies for more information on how to incorporate their interventions
into their settings.
PMID- 27871195
TI - What psychological and physical changes predict patients' attainment of
personally meaningful goals six months following a CBT based pain management
intervention?
AB - PURPOSE: To examine the influence of demographic measures, and changes in
physical ability, pain, self-efficacy and emotional distress on Goal Attainment
Scaling (GAS) scores, after a 15-day CBT based pain management programme. METHOD:
Chronic pain patients (N = 257) were referred; 225 (88%) completed the programme
and were invited for follow up six months later. One hundred and sixty-two (63%),
(mean age 47.7, 71% female) completed the reassessment procedures. GAS scores
(which were also repeated at the end the programme), an 11-point Pain Numerical
Rating Scale, Pain Self-Efficacy Questionnaire, Hospital Anxiety and Depression
Scale, distance walked in 5 mins, number of sit/stand repetitions in 1 min and
number of stairs climbed in 1 min were measured on the first day and six months
following the programme. RESULTS: At six months post discharge, changes in goal
attainment, physical measures, pain intensity, depression and self-efficacy were
observed. Hierarchical regression showed change in GAS was predicted by
improvement in walking tolerance and self-efficacy. CONCLUSIONS: The achievement
of personally important goals was most significantly associated with change in
walking ability and self-efficacy, while controlling for the influence of change
in pain. Implications for Rehabilitation Chronic pain can reduce psychological
and physical functioning, leading to a reduction in meaningful activities.
Achievement of personally important activities as measured by Goal Attainment
Scaling can be a more sensitive measure of programme outcome than that captured
by many other standard measures. Self-efficacy is an important predictor of
attainment of patient preferred goals following a CBT based pain management
programme, and could be emphasized during treatment along with improved walking
ability, to enhance patients' goal achievement.
PMID- 27871194
TI - Lipopolysaccharide reduces food passage rate from the crop by a prostaglandin
independent mechanism in chickens.
AB - 1. We examined the effect of lipopolysaccharide (LPS), a component of Gram
negative bacteria, on food passage in the digestive tract of chickens (Gallus
gallus) in order to clarify whether bacterial infection affects food passage in
birds. 2. Food passage in the crop was significantly reduced by intraperitoneal
(IP) injection of LPS while it did not affect the number of defecations,
suggesting that LPS may affect food passage only in the upper digestive tract. 3.
Similar to LPS, prostaglandin E2 (PGE2), one of the mediators of LPS, also
reduced crop-emptying rate in chickens while it had no effect on the number of
defecations. 4. Pretreatment with indomethacin, which is an inhibitor of
cyclooxygenase (COX), a prostaglandin synthase, had no effect on LPS-induced
inhibition of crop emptying. 5. IP injection of LPS did not affect the mRNA
expression of COX2 in the upper digestive tract of chickens. 6. It is therefore
likely that LPS and PGE2 reduced food passage rate in the crop by a prostaglandin
independent pathway in chickens.
PMID- 27871196
TI - Standardised anatomical alignment of the head in a clinical photography studio. A
comparison between the Frankfort Horizontal and the natural head position.
AB - The Frankfort Horizontal (FH) is used by clinical photographers as a reference
plane to help maintain Standardised Representational Photography (SRP) when
photographing a patients head. A comparative method of alignment is the Natural
Head Position (NHP). For this study a survey was created that asked consultants
and clinical photographers which method of alignment they considered to be the
most effective. The survey found that 77% of respondents thought the FH was the
most effective method of alignment. This high figure is due to some confirmation
bias as the FH is the industry standard.
PMID- 27871197
TI - Left ventricular assist device-induced reverse remodeling: it's not just about
myocardial recovery.
AB - INTRODUCTION: The abnormal structure, function and molecular makeup of dilated
cardiomyopathic hearts can be partially normalized in patients supported by a
left ventricular assist device (LVAD), a process called reverse remodeling. This
leads to recovery of function in many patients, though the rate of full recovery
is low and in many cases is temporary, leading to the concept of heart failure
remission, rather than recovery. Areas covered: We summarize data indicative of
ventricular reverse remodeling, recovery and remission during LVAD support. These
terms were used in searches performed in Pubmed. Duplication of topics covered in
depth in prior review articles were avoided. Expert commentary: Although most
patients undergoing mechanical circulatory support (MCS) show a significant
degree of reverse remodeling, very few exhibit sufficiently improved function to
justify device explantation, and many from whom LVADs have been explanted have
relapsed back to the original heart failure phenotype. Future research has the
potential to clarify the ideal combination of pharmacological, cell, gene, and
mechanical therapies that would maximize recovery of function which has the
potential to improve exercise tolerance of patients while on support, and to
achieve a higher degree of myocardial recovery that is more likely to persist
after device removal.
PMID- 27871199
TI - Influence of obesity and hormone disturbances on sexuality of women in the
menopause.
AB - OBJECTIVE: To assess influence of obesity and hormone disturbances on sexuality
in the menopause. METHODS: The study included 73 menopausal women, who were
divided into groups according to body mass index (BMI) >= 26.7 kg/m2.
Anthropometric characteristics and blood pressure were measured. Blood was taken
at 08:00 for hormones. All the participants filled in McCoy Female Sexual
Questionnaire for the assessment of sexual life. STATISTICS: Student's t-test,
correlation, analysis of variance (ANOVA). RESULTS: Follicle-stimulating hormone
(FSH), luteinizing hormone (LH) and sex hormone-binding globulin (SHBG) were very
significantly lower in obese compared to controls. E2 and systolic blood pressure
were very significantly, while diastolic blood pressure significantly higher in
obese compared to controls. Obese women had significantly decreased frequency of
pain during sexual intercourse (3.48 +/- 2.64 vs. 4.09 +/- 2.81). Influence of
age on frequency of sexual intercourse was very significant. Significant
influence in interaction between BMI and age on frequency of sexual fantasies as
well as significant influence of BMI on satisfaction with partner as lover is
also found. CONCLUSION: Obesity has influence on different aspects of sexuality
in the postmenopausal women. Our results suggest the need of awareness toward
obesity and its impact on sexuality in the menopause.
PMID- 27871198
TI - "It is easier for me to shoot up": stigma, abandonment, and why HIV-positive drug
users in Russia fail to link to HIV care.
AB - Many HIV-positive people who inject drugs (PWID) globally are not receiving HIV
care. This represents a major challenge among key populations to end the global
HIV epidemic. This qualitative study explored the process and associated barriers
of linking HIV-positive PWID who are in addiction treatment to HIV care in St.
Petersburg, Russia. We conducted three focus groups and seven semi-structured
interviews with participants in the LINC ("Linking Infectious and Narcology
Care") project at addiction and HIV hospitals in St. Petersburg. The sample
consisted of 25 HIV-infected patients with opioid dependence and seven health
care providers, including addiction and infectious disease physicians and case
managers. A variety of intertwining factors influence effective engagement of
PWID with HIV treatment. Stigma, problematic patient-provider relationships, and
fragmented health care were the main challenges for HIV care initiation by PWID,
which were further exacerbated by injection drug use. Effective linkage of PWID
to HIV care requires acknowledging and addressing stigma's role and different
perspectives of patients and providers.
PMID- 27871201
TI - First-trimester reference centiles of fetal biometry in Indian population.
AB - AIM AND OBJECTIVE: To create crown-rump length (CRL)-based biometric chart for
fetus in the first trimester among the Indian population. MATERIAL AND METHODS:
Cross-sectional data were obtained from 400 singleton pregnancies between 11 and
14 weeks gestation with a normal outcome. Linear regression models were
constructed; the mean and SD were derived as a function of CRL. RESULTS: There
was a positive correlation of CRL with nuchal translucency (NT) (y = 0.0102x +
0.6307 R2 = 0.1177), biparietal diameter (BPD) (BPD = 0.032*CRL +0.185 R2 =
0.765), occipito-frontal diameter (OFD), lateral ventricular diameter (LV),
abdominal circumference (AC) (AC = 0.944*CRL +9.684 R2 = 0.668), femur length
(FL) (FL = 0.222*CRL -4.734 R2 = 0.661), fetal weight (FW) (FW = 1.328*CRL -10.41
R2 = 0.662). The regression models and centile charts of NT, BPD, OFD, LV, AC,
and FW were constructed. Taking FW as the independent variable, a linear equation
of BPD, AC, and FL to calculate weight was constructed. CONCLUSIONS: The first
trimester centile charts of fetal parameters can be used as a reference for
Indian population in the determination of gestational age or other adverse
outcomes.
PMID- 27871202
TI - Corticobasal syndrome due to sporadic Creutzfeldt-Jakob disease: a review and
neuropsychological case report.
AB - OBJECTIVE: Creutzfeldt-Jakob disease (CJD) is a rare, rapidly progressive, and
fatal neurodegenerative disease with neuropsychological sequelae. This study
highlighted a rare presentation of CJD (e.g. corticobasal syndrome [CBS]),
reviewed updated diagnostic criteria and procedures for CJD (e.g. diffusion
weighted imaging [DWI], real-time quaking-induced conversion [RT-QuIC]), and
discussed differential diagnoses. METHOD: Case report methodology focused on a 68
year-old, Hispanic, right-handed man with 11 years of education. He presented
with a 1-2-month history of gait and motor difficulties (e.g. rigidity,
myoclonus). RESULTS: After evaluation, a 'cortical ribboning' pattern on DWI and
positive RT-QuIC was integrated with performance on neurobehavioral exam (i.e.
alien limb phenomenon, unilateral ideomotor apraxia) and neuropsychological
testing (i.e. frontal-parietal dysfunction pattern) to reach a diagnosis of sCJD
CBS. The patient expired 3 months after onset of symptoms. CONCLUSIONS: This
literature review and case report highlighted the importance of staying abreast
of developments in neurological literature and the added value of
neuropsychology, when integrated with newer procedures, for confirming and
excluding diagnostic considerations.
PMID- 27871203
TI - Predicting periviable birthweight and survival: bedside ultrasound versus anatomy
scan gestational adjusted age projection.
AB - OBJECTIVE: Two methods of birthweight (BW) prediction in the periviable period:
bedside ultrasound proximate to delivery versus gestation-adjusted-projection
(GAP) method was compared. METHODS: Periviable births were identified over a 6
year period. The GAP method was applied to the estimated fetal weight (EFW) from
anatomy scans and the gestational age at delivery to predict BW, designated
EFWGAP. EFW from the bedside ultrasound (EFWUS), and the EFWGAP were compared to
actual BW to calculate absolute values of error in BW estimates. Neonatal
survival estimates were made utilizing a National Institute of Child Health and
Human Development calculator. RESULTS: EFWUS was more accurate than EFWGAP in
predicting BW as the mean absolute value of error with bedside ultrasound |EFWUS
BW| was significantly lower than mean absolute value of error with GAP method
|EFWGAP-BW|, 75.32 +/- 74.64 g versus 125.68 +/- 130.62 g, p = 0.01. Predicted
neonatal survival based on EBWUS was closer to reference than predicted survival
based on EBWGAP 9.66% +/- 9.43% versus 7.76% +/- 7.78% p = 0.26. CONCLUSIONS:
EFWUS is more accurate than EFWGAP for predicting BW in this period. However, the
GAP technique could have utility in survival predictions when timely performance
of ultrasound is not feasible.
PMID- 27871204
TI - DNA barcoding of a colonial ascidian, Lissoclinum fragile (Van Name, 1902).
AB - Ascidians (tunicates) are marine benthic organisms possessing various
pharmacological activities, including anti-oxidant, anti-tumour, antimicrobial,
etc. They also play a key role as model organisms to study various
neurobehavioral disorders. Ascidian diversity is reportedly less in India due to
lack of taxonomists as well as the limitations in morphology based taxonomy.
Molecular taxonomy, comprising the sequencing of cytochrome c oxidase 1 gene
(barcode region) otherwise known as DNA barcoding reduces these bottlenecks.
Since several species of the family Didemnidae closely resemble in morphology,
the present study was aimed to develop DNA barcodes of a colonial ascidian,
Lissoclinum fragile belonging to the family Didemnidae. CO1 gene of L. fragile
from Thoothukudi, Mandapam, and Vizhinjam waters were sequenced and submitted in
GenBank, NCBI through Barcode submission tool. BLAST results showed maximum
identity (97-100%) for L. fragile collected from different stations. The pairwise
genetic distances within species and genera were calculated using Kimura two
parameter (K2P) and the phylogenetic tree was constructed using Neighbour-Joining
Tree.
PMID- 27871200
TI - Denosumab: targeting the RANKL pathway to treat rheumatoid arthritis.
AB - INTRODUCTION: Rheumatoid arthritis (RA) is a chronic inflammatory disorder
characterized by focal pathologic bone resorption due to excessive activity of
osteoclasts (OC). Receptor activator of nuclear factor kappa B ligand (RANKL) is
essential for the proliferation, differentiation, and survival of OC. Denosumab
(DMab) is a humanized monoclonal antibody that binds to RANKL with high affinity
and blocks its subsequent association with its receptor RANK on the surface of OC
precursors. Area covered: The authors review the molecular and cellular
mechanisms underlying therapeutic applications of DMab, provide recent highlights
on pharmacology, efficacy and safety of DMab, and discuss the potential of DMab
as a novel therapeutic option for the treatment of rheumatoid arthritis. Expert
opinion: Clinical results suggest that DMab is efficient both in systemic and
articular bone loss in RA with limited side effects. Diminished bone erosion
activity was also noted in RA patients on corticosteroids and bisphosphonates.
Combination of DMab with an anti-TNF agent was not associated with increased
infection rates. Collectively, these data indicate that DMab, in combination with
methotrexate and possibly other conventional synthetic Disease Modifying Anti
Rheumatic Drugs (csDMARDs), is an effective, safe and cost-effective option for
the treatment of RA.
PMID- 27871205
TI - The effects of two different swimming training periodization on physiological
parameters at various exercise intensities.
AB - This study analysed the effects of two different periodization strategies on
physiological parameters at various exercise intensities in competitive swimmers.
Seventeen athletes of both sexes were divided to two groups, the traditional
periodization (TPG, n = 7) and the reverse periodization group (RPG, n = 10).
Each group followed a 10-week training period based on the two different
periodization strategies. Before and after training, swimming velocity (SV),
energy expenditure (EE), energy cost (EC) and percentage of aerobic (%Aer) and
anaerobic (%An) energy contribution to the swimming intensities corresponding to
the aerobic threshold (AerT), the anaerobic threshold (AnT) and the velocity at
maximal oxygen uptake (vVO2max) were measured. Both groups increased the %An at
the AerT and AnT intensity (P <= .05). In contrast, at the AnT intensity, EE and
EC were only increased in TPG. Complementary, %Aer, %An, EE and EC at vVO2max did
not alter in both groups (P > .05); no changes were observed in SV in TPG and RPG
at all three intensities. These results indicate that both periodization schemes
confer almost analogous adaptations in specific physiological parameters in
competitive swimmers. However, given the large difference in the total training
volume between the two groups, it is suggested that the implementation of the
reverse periodization model is an effective and time-efficient strategy to
improve performance mainly for swimming events where the AnT is an important
performance indicator.
PMID- 27871207
TI - Gesturing more diminishes recall of abstract words when gesture is allowed and
concrete words when it is taboo.
AB - Gesture during speech can promote or diminish recall for conversation content. We
explored effects of cognitive load on this relationship, manipulating it at two
scales: individual-word abstractness and social constraints to prohibit gestures.
Prohibited gestures can diminish recall but more so for abstract-word recall.
Insofar as movement planning adds to cognitive load, movement amplitude may
moderate gesture effects on memory, with greater permitted- and prohibited
gesture movements reducing abstract-word recall and concrete-word recall,
respectively. We tested these effects in a dyadic game in which 39 adult
participants described words to confederates without naming the word or five
related words. Results supported our expectations and indicated that memory
effects of gesturing depend on social, cognitive, and motoric aspects of
discourse.
PMID- 27871206
TI - Brucellosis and thrombosis of the inferior vena cava.
AB - We describe the case of a 23-year old woman with a newly diagnosed thrombosis of
the inferior vena cava associated with a Brucella melitensis infection. We
suggest possible mechanisms leading to brucellosis-associated venous thrombosis
and review 14 previously reported cases.?.
PMID- 27871208
TI - Identification of fraud (with pig stuffs) in chicken-processed meat through
information of mitochondrial cytochrome b.
AB - This study was conducted to find out the fraud in chicken-processed meat
ingredients to protect consumers from commercial adulteration and authentication
through a reliable way: direct amplification of conserved segment of cytochrome b
gene of mitochondrial DNA, in addition, using species-specific primer assay for a
certain cytochrome b. The results reported that chicken-processed meats were
identified as a chicken meat based on amplification of conserved cytochrome b
gene of mtDNA, while different fragments sizes were produced after the
application of species-specific primer as follows: 227, 157, 274, 331, 389 and
439 bp for raw meat of chicken, goat, cattle, sheep, pig and horse, respectively.
The results revealed that all chicken meat products are produced with 227 bp in
size. While, an adulteration with pork stuffs was observed in some of the chicken
meat products using a species-specific primer of cytochrome b gene, namely,
chicken luncheon and chicken burger. This study represents a reliable technique
that could be used to provide a promising solution for identifying the commercial
adulteration and substitutions in processed meat in retail markets.
PMID- 27871209
TI - Work-family conflict and neck and back pain in surgical nurses.
AB - OBJECTIVE: Surgical nurses' work is physically and mentally demanding, possibly
leading to work-family conflict (WFC). The current study tests WFC to be a risk
factor for neck and lower back pain (LBP). Job influence and social support are
tested as resources that could buffer the detrimental impact of WFC. METHODS:
Forty-eight surgical nurses from two university hospitals in Germany and
Switzerland were recruited. WFC was assessed with the Work-Family Conflict Scale.
Job influence and social support were assessed with the Copenhagen Psychosocial
Questionnaire, and back pain was assessed with the North American Spine Society
Instrument. RESULTS: Multiple linear regression analyses confirmed WFC as a
significant predictor of cervical pain (beta = 0.45, p < 0.001) and LBP (beta =
0.33, p = 0.012). Job influence and social support did not turn out to be
significant predictors and were not found to buffer the impact of WFC in
moderator analyses. CONCLUSION: WFC is likely to affect neck and back pain in
surgery nurses. Work-life interventions may have the potential to reduce WFC in
surgery nurses.
PMID- 27871210
TI - Para-kala-azar dermal Leishmaniasis cases in Indian subcontinent - A case series.
PMID- 27871212
TI - Contributions of triggering-receptor-expressed-on-myeloid-cells-2 to neurological
diseases.
AB - Recent laboratory and gene sequencing data suggest that variations in receptors
called the "triggering-receptors-expressed-on-myeloid-cells" (TREMs) are
implicated in Alzheimer's disease, Parkinson's disease, multiple sclerosis, and
frontotemporal lobar degeneration. TREM receptors are thought to play a critical
role in regulating the immune system, inflammation, and certain cellular
functions. One TREM, in particular, TREM2, is highly expressed on cells of the
myeloid lineage. The binding of TREM2 to the adapter protein, DNAX activating
protein of 12 kD (DAP12), in microglial cells has been shown to modulate
phagocytosis within the nervous system. This review highlights the role of TREM2
in neurological diseases. Moreover, here we consider potential contributions of
TREM2 and mechanisms underlying TREM2 activity as contributing to
neurodegeneration. These findings may provide novel insights and opportunities to
consider, especially for clinicians, as they diagnose and treat certain
neurological diseases.
PMID- 27871211
TI - Registry and health insurance claims data in vascular research and quality
improvement.
AB - The expansion of procedures in multidisciplinary vascular medicine has sparked a
controversy regarding measures of quality improvement. In addition to primary
registries, the use of health insurance claims data is becoming of increasing
importance. However, due to the fact that health insurance claims data are not
collected for scientific evaluation but rather for reimbursement purposes,
meticulous validation is necessary before and during usage in research and
quality improvement matters. This review highlights the advantages and
disadvantages of such data sources. A recent comprehensive expert opinion panel
examined the use of health insurance claims data and other administrative data
sources in medicine. Results from several studies concerning the validity of
administrative data varied significantly. Validity of these data sources depends
on the clinical relevance of the diagnoses considered. The rate of implausible
information was 0.04 %, while the validity of the considered diagnoses varied
between 80 and 97 % across multiple validation studies. A matching study between
health insurance claims data of the third-largest German health insurance
provider, DAK-Gesundheit, and a prospective primary registry of the German
Society for Vascular Surgery demonstrated a good level of validity regarding the
mortality of endovascular and open surgical treatment of abdominal aortic
aneurysm in German hospitals. In addition, a large-scale international comparison
of administrative data for the same disorder presented important results in
treatment reality, which differed from those from earlier randomized controlled
trials. The importance of administrative data for research and quality
improvement will continue to increase in the future. When discussing the internal
and external validity of this data source, one has to distinguish not only
between its intended usage (research vs. quality improvement), but also between
the included diseases and/or treatment procedures. Linkage between primary
registry data and administrative data could be a reasonable solution to some
current major issues of validity.?.
PMID- 27871213
TI - Shifting racial hierarchies: An analysis of residential segregation among multi
racial and mono-racial groups in the United States.
AB - Multi-racial (mixed-race) people constitute a growing percentage of the United
States (US) population. The study reported in this paper used residential
segregation measures as a proxy for social distance, to examine whether
segregation levels of multi-racial groups differ from those of mono-racial groups
in the US in 2010. First, we find that all multi-racial groups considered in the
study experience lower levels of segregation at county level than their mono
racial counterparts. However, black-whites and Hispanic-whites experience higher
levels of segregation than other multi-racial groups. Second, we find region and
minority composition of counties are associated significantly with segregation
levels for multi-racial groups, but relative income is not.
PMID- 27871214
TI - A measure of perceived pain and tobacco smoking interrelations: pilot validation
of the pain and smoking inventory.
AB - Mounting evidence indicates that pain can motivate cigarette smoking behavior,
smokers have reliably endorsed the use of tobacco to cope with pain, and there is
reason to suspect that pain may impede smoking cessation. Smoking-related outcome
expectancies are among the best predictors of cigarette consumption and relapse,
and the goal of this pilot study was to develop a standardized measure of how
tobacco smokers perceive their pain and smoking behavior to be interrelated (i.e.
pain as a motivator of smoking, expectancies for smoking as a means of coping
with pain, and pain as a barrier to quitting). Participants (N = 75) completed an
online survey that was designed to assess interrelations between pain and tobacco
smoking. The nine-item Pain and Smoking Inventory (PSI) demonstrated excellent
internal consistency (alpha = .95). As expected, PSI scores were higher among
smokers with chronic pain (vs. no pain), and were positively associated with
established indices of both pain and tobacco smoking dependence. These results
corroborate the notion that smokers can effectively communicate perceptions of
interrelations between their pain and smoking behavior. Future research is needed
to establish reliability and validity of the PSI among larger, more diverse
samples of smokers with varying levels of pain. Future work should also examine
PSI scores as predictors of smoking cessation outcomes, and whether PSI data may
usefully inform the development of tailored interventions for smokers in pain.
PMID- 27871215
TI - Formulation, characterization, and in vitro/ex vivo evaluation of quercetin
loaded microemulsion for topical application.
AB - The aim of this study was to develop a new microemulsion formulation for topical
application of poorly soluble drug named quercetin. In order to design suitable
microemulsion system, the pseudo-ternary phase diagrams of microemulsion systems
were constructed at different surfactant/co-surfactant ratios using tween 80 as
surfactant, transcutol(r) P as a co-surfactant and oleic acid as an oil phase.
Some physicochemical properties such as droplet size, density, refractive index,
electrical conductivity, pH, surface tension, and viscosity of the microemulsion
systems were measured at 298.15 K. The average hydrodynamic droplet size of the
optimized microemulsions was obtained by dynamic light scattering method.
Morphology assessment of the optimized quercetin-loaded microemulsion by
transmission electron microscopy analysis indicated that the particles have the
size of about 25 nm and spherical with narrow size distribution. Equilibrium
solubility, in vitro drug release at a 24 h time period, release kinetic
evaluation as well as ex vivo permeation and retention of quercetin-loaded
microemulsions through rat skin has been investigated. The obtained results
showed a slow release behavior without any transdermal delivery. Most of the
formulations fitted best with zero-order kinetic model with a non-Fickian
mechanisms. This study illustrated that the proposed QU-microemulsion has a good
potential for use in sunscreen formulations. [Formula: see text].
PMID- 27871216
TI - Intensive or standard: a meta-analysis of blood pressure lowering for cerebral
haemorrhage.
AB - The ideal target blood pressure(BP) has remaining controversial for patients with
cerebral haemorrhage, so we performed a meta-analysis to assess the effects of
intensive BP lowering therapy. Clinical trials in which acute-phase patients were
randomly assigned to an intensive BP lowering group or a standard BP lowering
group were included. The primary outcome was mortality and dependency at 90 days.
The secondary outcomes were mortality at 90 days, the proportion of cases
involving haematoma extension during the acute phase and early neurological
deterioration. Although intensive BP lowering was associated with reduced
mortality and dependency at 90 days, this result was not statistically
significant (OR 0.89, 95% CI 0.77-1.02, P=0.09). No differences between the two
groups were found with respect to the secondary outcomes. Significant differences
remained absent in sensitivity analyses. The results suggested that intensive BP
lowering does not affect 90-day outcomes, but appears to be safe.
PMID- 27871217
TI - Identification of marine traditional Chinese medicine dried seahorses in the
traditional Chinese medicine market using DNA barcoding.
AB - Seahorse documented in Chinese pharmacopeia possess important medicinal efficacy
and are used as an ingredient in traditional Chinese medicines. The growing
international trade threatens the species. DNA barcoding holds a great
application potentiality in wildlife conservation and might prevent the illegal
trade of threatened species. The COI gene was used to identify seahorse, and nine
Hippocampus species were found in the three large traditional Chinese medicines
markets of China. All inter-specific genetic variations were larger than 2%. Mean
genetic distances between species were 17-fold larger than those within the
species. Phylogenetic tree showed that each species clustered in the appropriate
branch. All results demonstrated that COI-based barcoding technique could be used
to identify seahorse species and played a major role in monitoring the seahorse
trade.
PMID- 27871218
TI - The validity of the Brain Injury Cognitive Screen (BICS) as a neuropsychological
screening assessment for traumatic and non-traumatic brain injury.
AB - OBJECTIVE: The Brain Injury Cognitive Screen (BICS) was developed as an in
service cognitive assessment battery for acquired brain injury patients entering
community rehabilitation. The BICS focuses on domains that are particularly
compromised following TBI, and provides a broader and more detailed assessment of
executive function, attention and information processing than comparable
screening assessments. The BICS also includes brief assessments of perception,
naming, and construction, which were predicted to be more sensitive to
impairments following non-traumatic brain injury. The studies reported here
examine preliminary evidence for its validity in post-acute rehabilitation.
METHOD: In Study 1, TBI patients completed the BICS and were compared with
matched controls. Patients with focal lesions and matched controls were compared
in Study 2. Study 3 examined demographic effects in a sample of normative data.
RESULTS: TBI and focal lesion patients obtained significantly lower composite
memory, executive function and attention and information processing BICS scores
than healthy controls. Injury severity effects were also obtained. Logistic
regression analyses indicated that each group of BICS memory, executive function
and attention measures reliably differentiated TBI and focal lesion participants
from controls. Design Recall, Prospective Memory, Verbal Fluency, and Visual
Search test scores showed significant independent regression effects. Other
subtest measures showed evidence of sensitivity to brain injury. CONCLUSIONS: The
study provides preliminary evidence of the BICS' sensitivity to cognitive
impairment caused by acquired brain injury, and its potential clinical utility as
a cognitive screen. Further validation based on a revised version of the BICS and
more normative data are required.
PMID- 27871219
TI - Relationship between endothelial function of micro- and macrocirculation in
patients with peripheral arterial disease.
AB - BACKGROUND: In the pathogenesis of peripheral arterial occlusive disease (PAD),
different risk factors of atherosclerosis are involved which stimulate the
development of the functional and morphological deterioration of vessel walls in
macro- and microcirculation. The endothelial dysfunction (ED) of macrovessels has
been proven, however, data on ED in microcirculation are sparse. Therefore, we
have studied the relationship between micro- and macrocirculation in PAD
patients. PATIENTS AND METHODS: The study included 85 participants: 30 patients
had PAD without diabetes mellitus, 30 patients had PAD and diabetes mellitus type
2, and 25 were healthy controls. Flow-mediated dilation (FMD) and endothelium
independent dilation (NMD) were studied as markers of macrocirculation, while ED
of microcirculation was determined by peripheral arterial tonometry, which
enabled calculation of the reactive hyperemia index (RHI). Simultaneously, the
augmentation index (AI) as a measure of arterial stiffness was calculated.
RESULTS: In comparison to healthy subjects, PAD patients had reduced FMD (5.7 +/-
1.3 % vs. 10.0 +/- 2.4 %, p < 0.001) and significantly decreased NMD (11.0 +/-
2.9 % vs 23.0 +/- 9.0 %, p < 0.001). Low-flow-mediated constriction was not
significantly different between the groups. In both groups of patients, RHI was
significantly lower than in the controls (1.75 +/- 0.45 vs. 2.05 +/- 0.54, p =
0.033). Furthermore, AI as an indicator of arterial stiffness was significantly
higher in patient groups than in controls. However, there were no significant
differences between the groups of PAD patients with and without diabetes mellitus
in indicators of the functional capability of micro- and macrocirculation. An
interrelationship was shown between risk factors and both FMD and NMD.
Significant correlation was also found between FMD and RHI, as was a borderline
correlation between FMD and AI. CONCLUSIONS: In PAD patients with and without
diabetes, the functional capability of the entire arterial system is
deteriorated. These patients have ED in micro- and macrocirculation, as well as
increased arterial stiffness.?.
PMID- 27871220
TI - Quiet eye training aids the long-term learning of throwing and catching in
children: Preliminary evidence for a predictive control strategy.
AB - Quiet eye training (QET) may be a more effective method for teaching children to
catch than traditional training (TT) methods, but it is unclear if the benefits
accrued persist in the long term. Thirty children were randomly allocated into a
QET or TT group and, while wearing a mobile eye tracker, underwent baseline
testing, training and two retention tests over a period of eight weeks, using a
validated throw and catch task. During training, movement-related information was
provided to both groups, while the QET group received additional instruction to
increase the duration of their targeting fixation (QE1) on the wall prior to the
throw, and pursuit tracking (QE2) period on the ball prior to catching. In both
immediate (R1) and delayed (R2, six weeks later) retention tests, the QET group
had a significantly longer QE1 duration and an earlier and longer QE2 duration,
compared to the TT group, who revealed no improvements. A performance advantage
was also found for the QET compared to the TT group at both R1 and R2, revealing
the relatively robust nature of the visuomotor alterations. Regression analyses
suggested that only the duration of QE1 predicted variance in catch success post
training, pointing to the importance of a pre-programming visuomotor strategy for
successful throw and catch performance.
PMID- 27871221
TI - Most of the tight positional conservation of transcription factor binding sites
near the transcription start site reflects their co-localization within
regulatory modules.
AB - BACKGROUND: Transcription factors (TFs) form complexes that bind regulatory
modules (RMs) within DNA, to control specific sets of genes. Some transcription
factor binding sites (TFBSs) near the transcription start site (TSS) display
tight positional preferences relative to the TSS. Furthermore, near the TSS, RMs
can co-localize TFBSs with each other and the TSS. The proportion of TFBS
positional preferences due to TFBS co-localization within RMs is unknown,
however. ChIP experiments confirm co-localization of some TFBSs genome-wide,
including near the TSS, but they typically examine only a few TFs at a time,
using non-physiological conditions that can vary from lab to lab. In contrast,
sequence analysis can examine many TFs uniformly and methodically, broadly
surveying the co-localization of TFBSs with tight positional preferences relative
to the TSS. RESULTS: Our statistics found 43 significant sets of human motifs in
the JASPAR TF Database with positional preferences relative to the TSS, with 38
preferences tight (+/-5 bp). Each set of motifs corresponded to a gene group of
135 to 3304 genes, with 42/43 (98%) gene groups independently validated by DAVID,
a gene ontology database, with FDR < 0.05. Motifs corresponding to two TFBSs in a
RM should co-occur more than by chance alone, enriching the intersection of the
gene groups corresponding to the two TFs. Thus, a gene-group intersection
systematically enriched beyond chance alone provides evidence that the two TFs
participate in an RM. Of the 903 = 43*42/2 intersections of the 43 significant
gene groups, we found 768/903 (85%) pairs of gene groups with significantly
enriched intersections, with 564/768 (73%) intersections independently validated
by DAVID with FDR < 0.05. A user-friendly web site at http://go.usa.gov/3kjsH
permits biologists to explore the interaction network of our TFBSs to identify
candidate subunit RMs. CONCLUSIONS: Gene duplication and convergent evolution
within a genome provide obvious biological mechanisms for replicating an RM near
the TSS that binds a particular TF subunit. Of all intersections of our 43
significant gene groups, 85% were significantly enriched, with 73% of the
significant enrichments independently validated by gene ontology. The co
localization of TFBSs within RMs therefore likely explains much of the tight TFBS
positional preferences near the TSS.
PMID- 27871222
TI - Genome-wide association study (GWAS) reveals the genetic architecture of four
husk traits in maize.
AB - BACKGROUND: Maize (Zea mays) husk referring to the leafy outer enclosing the ear,
plays an important role in grain production by directly contributing
photosynthate and protecting ear from pathogen infection. Although the
physiological functions related to husk have been extensively studied, little is
known about its morphological variation and genetic basis in natural population.
RESULTS: Here we utilized a maize association panel including 508 inbred lines
with tropical, subtropical and temperate backgrounds to decipher the genetic
architecture attributed to four husk traits, i.e. number of layers, length, width
and thickness. Evaluating the phenotypic diversity at two different environments
showed that four traits exhibit broadly natural variations and moderate levels of
heritability with 0.64, 0.74, 0.49 and 0.75 for number, length, width and
thickness, respectively. Diversity analysis indicated that different traits have
dissimilar responses to subpopulation effects. A series of significantly positive
or negative correlations between husk phenotypes and other agronomic traits were
identified, indicating that husk growth is coordinated with other developmental
processes. Combining husk traits with about half of a million of single
nucleotide polymorphisms (SNPs) via genome-wide association study revealed a
total of 9 variants significantly associated with traits at P < 1.04 * 10-5,
which are implicated in multiple functional categories, such as cellular
trafficking, transcriptional regulation and metabolism. CONCLUSIONS: These
results provide instrumental information for understanding the genetic basis of
husk development, and further studies on identified candidate genes facilitate to
illuminate molecular pathways regulating maize husk growth.
PMID- 27871223
TI - Patients with HFpEF and HFrEF have different clinical characteristics but similar
prognosis: a retrospective cohort study.
AB - BACKGROUND: Globally, heart failure (HF) has been recognized as one of the major
cardiovascular disorder with high morbidity, mortality and considerable social
impact. In Sub Saharan African countries, HF has turned out as a leading form of
cardiovascular diseases, and has considerable socioeconomic impact. However,
there are differences in clinical characteristics and survival status among
patients with preserved (HFpEF) and reduced (HFrEF) ejection fraction. The aim of
this study is to outline the clinical characteristics and medication profile,
assess the survival status and prognostic factors of Ethiopian HF patients with
HFrEF and HFpEF. METHODS: A retrospective cohort study was carried out and we
employed medical records of patient's, admitted as a result of HF to the
University of Gondar Referral Hospital in the period between December 02, 2010
and December 01, 2015 due to HF. Kaplan Meier curve was used to analyze the
survival status and log rank test was used to compare the curves. Cox regression
was used to analyze independent predictors of mortality in all HF patients.
RESULTS: Of the 850 patients who were admitted due to HF, 311 patients met the
inclusion criteria. Majority of the patients had HFpEF (52.73%) and tend to be
women (76.22%). They predominantly had etiologies of valvular and hypertensive
heart diseases, and took calcium channel blockers and anticoagulants. Conversely,
patients with HFrEF had etiologies of ischemic heart disease and dilated
cardiomyopathy and were prescribed angiotensine converting inhibitors (ACEI) and
beta blockers. Kaplan Meier curves and Log rank test (p = 0.807) showed that
there was no statistically significant difference in the mortality difference
among patients with HFpEF and HFrEF. On the other hand, Cox regression analysis
showed advanced age, lower sodium level, higher creatinine level and absence of
medications like ACEI, spironolactone and statins independently predicted
mortality in all HF patients. CONCLUSIONS: Different clinical characteristics
were found in both groups of HF patients. There was no difference in survival
outcome between patients with HFrEF and HFpEF.
PMID- 27871224
TI - Insights into the human mesenchymal stromal/stem cell identity through
integrative transcriptomic profiling.
AB - BACKGROUND: Mesenchymal Stromal/Stem Cells (MSCs), isolated under the criteria
established by the ISCT, still have a poorly characterized phenotype that is
difficult to distinguish from similar cell populations. Although the field of
transcriptomics and functional genomics has quickly grown in the last decade, a
deep comparative analysis of human MSCs expression profiles in a meaningful
cellular context has not been yet performed. There is also a need to find a well
defined MSCs gene-signature because many recent biomedical studies show that key
cellular interaction processes (i.e. inmuno-modulation, cellular cross-talk,
cellular maintenance, differentiation, epithelial-mesenchymal transition) are
dependent on the mesenchymal stem cells within the stromal niche. RESULTS: In
this work we define a core mesenchymal lineage signature of 489 genes based on a
deep comparative analysis of multiple transcriptomic expression data series that
comprise: (i) MSCs of different tissue origins; (ii) MSCs in different states of
commitment; (iii) other related non-mesenchymal human cell types. The work
integrates several public datasets, as well as de-novo produced microarray and
RNA-Seq datasets. The results present tissue-specific signatures for adipose
tissue, chorionic placenta, and bone marrow MSCs, as well as for dermal
fibroblasts; providing a better definition of the relationship between
fibroblasts and MSCs. Finally, novel CD marker patterns and cytokine-receptor
profiles are unravelled, especially for BM-MSCs; with MCAM (CD146) revealed as a
prevalent marker in this subtype of MSCs. CONCLUSIONS: The improved biomolecular
characterization and the released genome-wide expression signatures of human MSCs
provide a comprehensive new resource that can drive further functional studies
and redesigned cell therapy applications.
PMID- 27871225
TI - Genomic epidemiology of Lineage 4 Mycobacterium tuberculosis subpopulations in
New York City and New Jersey, 1999-2009.
AB - BACKGROUND: Whole genome sequencing (WGS) has rapidly become an important
research tool in tuberculosis epidemiology and is likely to replace many existing
methods in public health microbiology in the near future. WGS-based methods may
be particularly useful in areas with less diverse Mycobacterium tuberculosis
populations, such as New York City, where conventional genotyping is often
uninformative and field epidemiology often difficult. This study applies four
candidate strategies for WGS-based identification of emerging M. tuberculosis
subpopulations, employing both phylogenomic and population genetics methods.
RESULTS: M. tuberculosis subpopulations in New York City and New Jersey can be
distinguished via phylogenomic reconstruction, evidence of demographic expansion
and subpopulation-specific signatures of selection, and by determination of
subgroup-defining nucleotide substitutions. These methods identified known
historical outbreak clusters and previously unidentified subpopulations within
relatively monomorphic M. tuberculosis endemic clone groups. Neutrality
statistics based on the site frequency spectrum were less useful for identifying
M. tuberculosis subpopulations, likely due to the low levels of informative
genetic variation in recently diverged isolate groups. In addition, we observed
that isolates from New York City endemic clone groups have acquired multiple non
synonymous SNPs in virulence- and growth-associated pathways, and relatively few
mutations in drug resistance-associated genes, suggesting that overall
pathoadaptive fitness, rather than the acquisition of drug resistance mutations,
has played a central role in the evolutionary history and epidemiology of M.
tuberculosis subpopulations in New York City. CONCLUSIONS: Our results
demonstrate that some but not all WGS-based methods are useful for detection of
emerging M. tuberculosis clone groups, and support the use of phylogenomic
reconstruction in routine tuberculosis laboratory surveillance, particularly in
areas with relatively less diverse M. tuberculosis populations. Our study also
supports the use of wider-reaching phylogenomic and population genomic methods in
tuberculosis public health practice, which can support tuberculosis control
activities by identifying genetic polymorphisms contributing to epidemiological
success in local M. tuberculosis populations and possibly explain why certain
isolate groups are apparently more successful in specific host populations.
PMID- 27871226
TI - Functional validation of novel compound heterozygous variants in B3GAT3 resulting
in severe osteopenia and fractures: expanding the disease phenotype.
AB - BACKGROUND: A new disease class of syndromes, described as linkeropathies, which
are derived from defects in the glycosaminoglycan-linker region as well as
glycosaminoglycan-side chains of proteoglycans is increasingly being recognized
as a cause of human disease. Proteoglycans are an essential component of the
extracellular matrix. Defects in the enzymatic process of proteoglycan synthesis
broadly occur due to the incorrect addition of side chains. Previously,
homozygous missense variants within the B3GAT3 gene encoding beta 1,3
glucuronyltransferase 3(GlcAT-I) responsible for the biosynthesis of
glycosaminoglycans have been described in 7 individuals. CASE PRESENTATION: In
this study, a 4-year-old patient with a severe phenotype of osteoporosis,
hypotonia, joint laxity, fractures, scoliosis, biscuspid aortic valve and myopia
was referred for next generation sequencing after extensive negative clinical
testing. Whole exome sequencing was performed on the proband and his unaffected
parents to identify the molecular basis of his disease. Sequencing revealed
compound heterozygous variants in B3GAT3: c.1A > G (p.Met1?) and c.671 T > A
(p.L224Q). Clinical and in vitro functional studies were then completed to verify
the pathogenicity of the genotype and further characterize the functional basis
of the patient's disease demonstrating the patient had a decrease both in the
protein level of B3GAT3 and in the glucuronyltransferase activity when compared
to control samples. Independent in vitro assessment of each variant confirmed the
B3GAT3: c.1A > G (p.Met1?) variant is functionally null and the c.671 T > A
(p.L224Q) missense variant has significantly reduced glucuronyltransferase
activity (~3% of control). CONCLUSIONS: This is the first report of a patient
with compound heterozygosity for a null variant in trans with a missense in
B3GAT3 resulting in a severe phenotype, expanding both the genotypic and
phenotypic spectrum of B3GAT3-related disease.
PMID- 27871227
TI - Genome-wide SNP discovery and population structure analysis in pepper (Capsicum
annuum) using genotyping by sequencing.
AB - BACKGROUND: Knowledge on population structure and genetic diversity in vegetable
crops is essential for association mapping studies and genomic selection.
Genotyping by sequencing (GBS) represents an innovative method for large scale
SNP detection and genotyping of genetic resources. Herein we used the GBS
approach for the genome-wide identification of SNPs in a collection of Capsicum
spp. accessions and for the assessment of the level of genetic diversity in a
subset of 222 cultivated pepper (Capsicum annum) genotypes. RESULTS: GBS analysis
generated a total of 7,568,894 master tags, of which 43.4% uniquely aligned to
the reference genome CM334. A total of 108,591 SNP markers were identified, of
which 105,184 were in C. annuum accessions. In order to explore the genetic
diversity of C. annuum and to select a minimal core set representing most of the
total genetic variation with minimum redundancy, a subset of 222 C. annuum
accessions were analysed using 32,950 high quality SNPs. Based on Bayesian and
Hierarchical clustering it was possible to divide the collection into three
clusters. Cluster I had the majority of varieties and landraces mainly from
Southern and Northern Italy, and from Eastern Europe, whereas clusters II and III
comprised accessions of different geographical origins. Considering the genome
wide genetic variation among the accessions included in cluster I, a second round
of Bayesian (K = 3) and Hierarchical (K = 2) clustering was performed. These
analysis showed that genotypes were grouped not only based on geographical
origin, but also on fruit-related features. CONCLUSIONS: GBS data has proven
useful to assess the genetic diversity in a collection of C. annuum accessions.
The high number of SNP markers, uniformly distributed on the 12 chromosomes,
allowed the accessions to be distinguished according to geographical origin and
fruit-related features. SNP markers and information on population structure
developed in this study will undoubtedly support genome-wide association mapping
studies and marker-assisted selection programs.
PMID- 27871228
TI - Genome-wide analysis of G-quadruplexes in herpesvirus genomes.
AB - BACKGROUND: G-quadruplexes are increasingly recognized as regulatory elements in
human, animal, bacterial and plant genomes. The presence and function of G
quadruplexes are not well studied among herpesviruses; in particular, there are
no systematic genome-wide analysis of these important secondary structures in
herpesvirus genomes. RESULTS: We performed genome-wide analysis of putative
quadruplex sequences (PQS) in human herpesviruses. We found unusually high PQS
densities among human herpesviruses. PQS are enriched in the repeat regions and
regulatory regions of human herpesviruses. Interestingly, PQS densities are
higher in regulatory regions of immediate early genes compared to early and late
genes in most herpesviruses. In addition, the majority of genes functionally
conserved across human herpesviruses contain one or more PQS within the
regulatory regions. We also describe the existence of unique intramolecular PQS
repeats or repetitive G-quadruplex motifs in herpesviruses. Functional studies
confirm a role for G-quadruplexes in regulating the gene expression of human
herpesviruses. CONCLUSION: The pervasiveness of PQS, their enrichment and
conservation at specific genomic locations suggest that these structural entities
may represent a novel class of functional elements in herpesviruses. Our findings
provide the necessary framework for studies on the biological role of G
quadruplexes in herpesviruses.
PMID- 27871229
TI - "The Cango Lyec Project - Healing the Elephant": HIV related vulnerabilities of
post-conflict affected populations aged 13-49 years living in three Mid-Northern
Uganda districts.
AB - BACKGROUND: The protracted war between the Government of Uganda and the Lord's
Resistance Army in Northern Uganda (1996-2006) resulted in widespread atrocities,
destruction of health infrastructure and services, weakening the social and
economic fabric of the affected populations, internal displacement and death.
Despite grave concerns that increased spread of HIV/AIDS may be devastating to
post conflict Northern Uganda, empirical epidemiological data describing the
legacy of the war on HIV infection are scarce. METHODS: The 'Cango Lyec' Project
is an open cohort study involving conflict-affected populations living in three
districts of Gulu, Nwoya and Amuru in mid-northern Uganda. Between November 2011
and July 2012, 8 study communities randomly selected out of 32, were mapped and
house-to-house census conducted to enumerate the entire community population.
Consenting participants aged 13-49 years were enrolled and interviewer
administered data were collected on trauma, depression and socio-demographic
behavioural characteristics, in the local Luo language. Venous blood was taken
for HIV and syphilis serology. Multivariable logistic regression was used to
determine factors associated with HIV prevalence at baseline. RESULTS: A total of
2954 participants were eligible, of whom 2449 were enrolled. Among 2388
participants with known HIV status, HIV prevalence was 12.2% (95%CI: 10.8-13.8),
higher in females (14.6%) than males (8.5%, p < 0.001), higher in Gulu (15.2%)
than Nwoya (11.6%, p < 0.001) and Amuru (7.5%, p = 0.006) districts. In this post
conflict period, HIV infection was significantly associated with war trauma
experiences (Adj. OR = 2.50; 95%CI: 1.31-4.79), the psychiatric problems of PTSD
(Adj. OR = 1.44; 95%CI: 1.06-1.96), Major Depressive Disorder (Adj. OR = 1.89;
95%CI: 1.28-2.80) and suicidal ideation (Adj. OR = 1.87; 95%CI: 1.34-2.61). Other
HIV related vulnerabilities included older age, being married, separated,
divorced or widowed, residing in an urban district, ulcerative sexually
transmitted infections, and staying in a female headed household. There was no
evidence in this study to suggest that people with a history of abduction were
more likely to be HIV positive. CONCLUSIONS: HIV prevalence in this post conflict
affected population is high and is significantly associated with age, trauma,
depression, history of ulcerative STIs, and residing in more urban districts.
Evidence-based HIV/STI prevention programs and culturally safe, gender and trauma
informed are urgently needed.
PMID- 27871230
TI - Effect of the ifenprodil administered into rostral anterior cingulate cortex on
pain-related aversion in rats with bone cancer pain.
AB - BACKGROUND: To assess the effect of rostral anterior cingulate cortex (rACC)
administration with ifenprodil (NR2B receptor blocker) on bone cancer pain (BCP)
related aversion sentiment using the conditioned place avoidance experiments in
rats. METHODS: A total of 30 male Wistar rats without place preference were
randomly assigned to three groups: control group (Group C, n = 10), BCP group
(Group P, n = 10) and ifenprodil group (Group Ifen, n = 10). Three microliter
MADB-106 cells were inoculated into right tibia bone marrow cavity in group P and
Ifen, while the same dose of normal saline in group C as a control. Ifenprodil
was administered into the rACC at the 14th day after inoculation in group Ifen
and normal saline in group C and P. Mechanical stimulation pain thresholds of the
rats' right hind paws were measured using Von Frey stimulation method at 1 day
before injection of the tumor cells and at 3, 7,10, 12 and 14 days after the
injection. The pain-related aversion in rats with BCP was determined by the
conditioned place avoidance (CPA) test at 14 days after injection of ifenprodil.
RESULTS: The mechanical stimulation pain thresholds substantially decreased in
rats in groups P and Ifen from 10 days to 14 days after the incubation with the
MADB-106 cells (P < 0.05). There were significant differences in pain thresholds
in groups P and Ifen compared to group C at 10, 12 and 14 days after inoculation
(P < 0.05). The percentage of residence time in chamber A was (30 +/- 4%) in
group P, which was lower than (52 +/- 5%) in group C (P < 0.05). After ifenprodil
treatment, the percentage time in chamber A increased to (42 +/- 5%), which was
higher than that in group P and still lower than that in group C (P < 0.05).
CONCLUSION: Ifenprodil administered into rACC as a selective NR2B antagonist can
effectively alleviate pain-related aversion sentiment in rats with BCP.
PMID- 27871232
TI - Lipooligosaccharide locus classes and putative virulence genes among chicken and
human Campylobacter jejuni isolates.
AB - BACKGROUND: Campylobacter cause morbidity and considerable economic loss due to
hospitalization and post infectious sequelae such as reactive arthritis, Guillain
Barre- and Miller Fischer syndromes. Such sequelae have been linked to C. jejuni
harboring sialic acid structures in their lipooligosaccharide (LOS) layer of the
cell wall. Poultry is an important source of human Campylobacter infections but
little is known about the prevalence of sialylated C. jejuni isolates and the
extent of transmission of such isolates to humans. RESULTS: Genotypes of C.
jejuni isolates from enteritis patients were compared with those of broiler
chicken with pulsed-field gel electrophoresis (PFGE), to study the patterns of
LOS biosynthesis genes and other virulence associated genes and to what extent
these occur among Campylobacter genotypes found both in humans and chickens.
Chicken and human isolates generally had similar distributions of the putative
virulence genes and LOS locus classes studied. However, there were significant
differences regarding LOS locus class of PFGE types that were overlapping between
chicken and human isolates and those that were distinct to each source.
CONCLUSIONS: The study highlights the prevalence of virulence associated genes
among Campylobacter isolates from humans and chickens and suggests possible
patterns of transmission between the two species.
PMID- 27871233
TI - A retrospective analysis of melioidosis in Cambodian children, 2009-2013.
AB - BACKGROUND: Melioidiosis, infection by Burkholderia pseudomallei, is an important
but frequently under-recognised cause of morbidity and mortality in Southeast
Asia and elsewhere in the tropics. Data on the epidemiology of paediatric
melioidosis in Cambodia are extremely limited. METHODS: Culture-positive
melioidosis cases presenting to Angkor Hospital for Children, a non-governmental
paediatric hospital located in Siem Reap, Northern Cambodia, between 1st January
2009 and 31st December 2013 were identified by searches of hospital and
laboratory databases and logbooks. RESULTS: One hundred seventy-three evaluable
cases were identified, presenting from eight provinces. For Siem Reap province,
the median commune level incidence was estimated to be 28-35 cases per 100,000
children <15 years per year. Most cases presented during the wet season, May to
October. The median age at presentation was 5.7 years (range 8 days-15.9 years).
Apart from undernutrition, co-morbidities were rare. Three quarters (131/173) of
the children had localised infection, most commonly skin/soft tissue infection
(60 cases) or suppurative parotitis (51 cases). There were 39 children with B.
pseudomallei bacteraemia: 29 (74.4%) of these had clinical and/or radiological
evidence of pneumonia. Overall mortality was 16.8% (29/173) with mortality in
bacteraemic cases of 71.8% (28/39). At least seven children did not receive an
antimicrobial with activity against B. pseudomallei prior to death. CONCLUSIONS:
This retrospective study demonstrated a considerable burden of melioidosis in
Cambodian children. Given the high mortality associated with bacteraemic
infection, there is an urgent need for greater awareness amongst healthcare
professionals in Cambodia and other countries where melioidosis is known or
suspected to be endemic. Empiric treatment guidelines should ensure suspected
cases are treated early with appropriate antimicrobials.
PMID- 27871231
TI - Transcriptomic and proteomic insights into innate immunity and adaptations to a
symbiotic lifestyle in the gutless marine worm Olavius algarvensis.
AB - BACKGROUND: The gutless marine worm Olavius algarvensis has a completely reduced
digestive and excretory system, and lives in an obligate nutritional symbiosis
with bacterial symbionts. While considerable knowledge has been gained of the
symbionts, the host has remained largely unstudied. Here, we generated
transcriptomes and proteomes of O. algarvensis to better understand how this
annelid worm gains nutrition from its symbionts, how it adapted physiologically
to a symbiotic lifestyle, and how its innate immune system recognizes and
responds to its symbiotic microbiota. RESULTS: Key adaptations to the symbiosis
include (i) the expression of gut-specific digestive enzymes despite the absence
of a gut, most likely for the digestion of symbionts in the host's epidermal
cells; (ii) a modified hemoglobin that may bind hydrogen sulfide produced by two
of the worm's symbionts; and (iii) the expression of a very abundant protein for
oxygen storage, hemerythrin, that could provide oxygen to the symbionts and the
host under anoxic conditions. Additionally, we identified a large repertoire of
proteins involved in interactions between the worm's innate immune system and its
symbiotic microbiota, such as peptidoglycan recognition proteins, lectins,
fibrinogen-related proteins, Toll and scavenger receptors, and antimicrobial
proteins. CONCLUSIONS: We show how this worm, over the course of evolutionary
time, has modified widely-used proteins and changed their expression patterns in
adaptation to its symbiotic lifestyle and describe expressed components of the
innate immune system in a marine oligochaete. Our results provide further support
for the recent realization that animals have evolved within the context of their
associations with microbes and that their adaptive responses to symbiotic
microbiota have led to biological innovations.
PMID- 27871236
TI - The timing of administration of intravenous dexmedetomidine during lower limb
surgery: a randomized controlled trial.
AB - BACKGROUND: Dexmedetomidine, a selective alpha-2 agonist, has sedative,
analgesic, and anxiolytic effects without respiratory depression. Dexmedetomidine
can cause a biphasic cardiovascular response, and induce transient hypertension.
Hypotension is a common complication of spinal anesthesia. Decreasing anxiety of
patients before procedure is important for high quality of procedure. This study
aimed to compare the incidence of hypotension and patients' anxiety and comfort
levels when dexmedetomidine was intravenously administered before and after
spinal anesthesia. METHODS: Seventy-four patients with American Society of
Anesthesiologists physical status classification I or II were randomly allocated
into two groups. Spinal anesthesia was performed using 12 mg of 0.5% heavy
bupivacaine. In Group A, 1 MUg/kg of dexmedetomidine was intravenously
administered for 10 min, followed by the maintenance infusion of dexmedetomidine
0.2 MUg/kg/hr after 5 min of intrathecal bupivacaine injection. Patients in Group
B received same dose of dexmedetomidine by intravenous administration before 5
min of intrathecal bupivacaine injection. Perioperative vital signs, anxiety
(using the Spielberger's State-Trait Anxiety Inventory) and comfort (using the
numerical rating scale) were evaluated. RESULTS: The incidence of hypotension was
significantly lower in Group A (16.1%) than in Group B (48.4%) during infusion of
dexmedetomidine (p = 0.01). The need for treatment of hypotension is higher in
Group B than Group A (p = 0.02). The incidence of bradycardia and desaturation
did not significantly differ between the two groups. There were no statistically
significant differences regarding the patients' anxiety and comfort. CONCLUSIONS:
Hypotension is more frequently occurred, and the treatment of hypotension is more
needed in Group B. The intravenously administration of dexmedetomidine before
spinal anesthesia has no advantages in hemodynamic status and patients' comfort
compared to that after spinal anesthesia during lower limb surgery. TRIAL
REGISTRATION: ClinicalTrials.gov number, NCT02155010 . Retrospectively registered
on May 22, 2014.
PMID- 27871234
TI - A genome-wide BAC-end sequence survey provides first insights into sweetpotato
(Ipomoea batatas (L.) Lam.) genome composition.
AB - BACKGROUND: Sweetpotato, Ipomoea batatas (L.) Lam., is an important food crop
widely grown in the world. However, little is known about the genome of this
species because it is a highly heterozygous hexaploid. Gaining a more in-depth
knowledge of sweetpotato genome is therefore necessary and imperative. In this
study, the first bacterial artificial chromosome (BAC) library of sweetpotato was
constructed. Clones from the BAC library were end-sequenced and analyzed to
provide genome-wide information about this species. RESULTS: The BAC library
contained 240,384 clones with an average insert size of 101 kb and had a 7.93
10.82 * coverage of the genome, and the probability of isolating any single-copy
DNA sequence from the library was more than 99%. Both ends of 8310 BAC clones
randomly selected from the library were sequenced to generate 11,542 high-quality
BAC-end sequences (BESs), with an accumulative length of 7,595,261 bp and an
average length of 658 bp. Analysis of the BESs revealed that 12.17% of the
sweetpotato genome were known repetitive DNA, including 7.37% long terminal
repeat (LTR) retrotransposons, 1.15% Non-LTR retrotransposons and 1.42% Class II
DNA transposons etc., 18.31% of the genome were identified as sweetpotato-unique
repetitive DNA and 10.00% of the genome were predicted to be coding regions. In
total, 3,846 simple sequences repeats (SSRs) were identified, with a density of
one SSR per 1.93 kb, from which 288 SSRs primers were designed and tested for
length polymorphism using 20 sweetpotato accessions, 173 (60.07%) of them
produced polymorphic bands. Sweetpotato BESs had significant hits to the genome
sequences of I. trifida and more matches to the whole-genome sequences of Solanum
lycopersicum than those of Vitis vinifera, Theobroma cacao and Arabidopsis
thaliana. CONCLUSIONS: The first BAC library for sweetpotato has been
successfully constructed. The high quality BESs provide first insights into
sweetpotato genome composition, and have significant hits to the genome sequences
of I. trifida and more matches to the whole-genome sequences of Solanum
lycopersicum. These resources as a robust platform will be used in high
resolution mapping, gene cloning, assembly of genome sequences, comparative
genomics and evolution for sweetpotato.
PMID- 27871235
TI - Development of the interRAI home care frailty scale.
AB - BACKGROUND: The concept of frailty, a relative state of weakness reflecting
multiple functional and health domains, continues to receive attention within the
geriatrics field. It offers a summary of key personal characteristics, providing
perspective on an individual's life course. There have been multiple attempts to
measure frailty, some focusing on physiologic losses, others on specific
diseases, disabilities or health deficits. Recently, multidimensional approaches
to measuring frailty have included cognition, mood and social components. The
purpose of this project was to develop and evaluate a Home Care Frailty Scale and
provide a grounded basis for assessing a person's risk for decline that included
functional and cognitive health, social deficits and troubling diagnostic and
clinical conditions. METHODS: A secondary analysis design was used to develop the
Home Care Frailty Scale. The data set consisted of client level home care data
from service agencies around the world. The baseline sample included 967,865
assessments while the 6-month follow-up sample of persons still being served by
the home care agencies consisted of 464,788 assessments. A pool of 70 candidate
independent variables were screened for possible inclusion and 16 problem
outcomes referencing accumulating declines and clinical complications served as
the dependent variables. Multiple regression techniques were used to analyze the
data. RESULTS: The resulting Home Care Frailty Scale consisted of a final set of
29 items. The items fall across 6 categories of function, movement, cognition and
communication, social life, nutrition, and clinical symptoms. The prevalence of
the items ranged from a high of 87% for persons requiring help with meal
preparation to 3.7% for persons who have experienced a recent decline in the
amount of food eaten. CONCLUSIONS: The interRAI Home Care Frailty Scale is based
on a strong conceptual foundation and in our analysis, performed as expected.
Given the use of the interRAI Home Care Assessment System in multiple, diverse
countries, the Home Care Frailty Scale will have wide applicability to support
program planning and policy decision-making impacting home care clients and their
formal and informal caregivers throughout the world.
PMID- 27871237
TI - A unique association of arrhythmogenic right ventricular dysplasia and acute
myocarditis, as assessed by cardiac MRI: a case report.
AB - BACKGROUND: Arrhythmogenic right ventricular dysplasia (ARVD), is a genetic
disorder of the heart, which mainly involves the right ventricle. It is
characterized by hypokinetic areas at the free wall of the right ventricle (RV)
or both ventricles, where myocardium is replaced by fibrous or fatty tissue. ARVD
is an important cause of ventricular arrhythmias in children and young adults.
Although the transmission of the disease is based on hereditary, in young adults
it may not show any symptoms. The main differential diagnoses with other frequent
etiological causes of sudden arrhythmia are: idiopathic outflow tract ventricular
tachycardia of the RV, myocarditis, dilated cardiomyopathy and sarcoidosis. CASE
PRESENTATION: We describe an unusual case of a 44-year-old woman who was
hospitalized for ventricular tachycardia, deep asthenia and dyspnoea with no
previous history of cardiac disease. The patient had a ten-year history of
palpitations, which started immediately after her last pregnancy. She was
diagnosed with both acute/subacute viral myocarditis and arrhythmogenic right
ventricular dysplasia, based on established clinical and cardiac MRI criteria.
After the diagnosis the patient received an automatic implantable cardioverter
defibrillator. Currently, she is on clinical follow-up with no apparent further
complications. CONCLUSION: Analyzing this rare case, we have shown the link
between myocarditis and arrhythmogenic right ventricular dysplasia, and how
important is to perform a cardiac MRI, in the context of acute myocarditis and
ventricular arrhythmia.
PMID- 27871238
TI - Long chain n-3 polyunsaturated fatty acids and vascular function in patients with
chronic kidney disease and healthy subjects: a cross-sectional and comparative
study.
AB - BACKGROUND: Patients with chronic kidney disease have a markedly increased
cardiovascular mortality compared with the general population. Long chain n-3
polyunsaturated fatty acids have been suggested to possess cardioprotective
properties. This cross-sectional and comparative study evaluated correlations
between hemodynamic measurements, resistance artery function and fish consumption
to the content of long chain n-3 polyunsaturated fatty acids in adipose tissue, a
long-term marker of seafood intake. METHODS: Seventeen patients with chronic
kidney disease stage 5 + 5d and 27 healthy kidney donors were evaluated with
hemodynamic measurements before surgery; from these subjects, 11 patients and 11
healthy subjects had vasodilator properties of subcutaneous resistance arteries
examined. The measurements were correlated to adipose tissue n-3 polyunsaturated
fatty acids. Information on fish intake was obtained from a dietary questionnaire
and compared with adipose tissue n-3 polyunsaturated fatty acids. RESULTS: Fish
intake and the content of n-3 polyunsaturated fatty acids in adipose tissue did
not differ between patients and controls. n-3 polyunsaturated fatty acid levels
in adipose tissue were positively correlated to systemic vascular resistance
index; (r = 0.44; p = 0.07 and r = 0.62; p < 0.05, chronic kidney disease and
healthy subjects respectively) and negatively correlated to cardiac output index
(r = -0.69; p < 0.01 and r = -0.50; p < 0.05, chronic kidney disease and healthy
subjects respectively). No correlation was observed between n-3 polyunsaturated
fatty acid levels in adipose tissue and vasodilator properties in resistance
arteries. n-3 PUFA content in adipose tissue increased with increasing self
reported fish intake. CONCLUSIONS: The correlations found, suggest a role for n-3
polyunsaturated fatty acids in hemodynamic properties. However, this is
apparently not due to changes in intrinsic properties of the resistance arteries
as no correlation was found to n-3 polyunsaturated fatty acids.
PMID- 27871239
TI - Lesbian womens' access to healthcare, experiences with and expectations towards
GPs in German primary care.
AB - BACKGROUND: Lesbian women have higher rates of physical and psychiatric disorders
associated with experiences of discrimination, homophobia and difficulties with
coming out. Therefore, easy access to specialized healthcare in an open
atmosphere is needed. We aimed to describe women's access to and experiences with
healthcare in Germany, and to assess the responsibility of the general
practitioner (GP) compared to other specialities providing primary health care.
METHODS: A questionnaire study was conducted via internet and paper-based
sampling. Using current literature, we designed a questionnaire consisting of
sociodemographic data, sexual orientation, access to care and reasons for
encounter, disclosure of sexual orientation, experience with the German health
system (discrimination, homophobia), and psychological burden. Depression was
assessed using the depression screening from the Patient Health Questionnaire
(PHQ-2). RESULTS: We obtained responses from 766 lesbian women. Although 89% had
a primary care physician, only 40% had revealed their sexual orientation to their
doctor. The main medical contacts were GPs (66%), gynaecologists (10%) or
psychiatrists (6%). Twenty-three percent claimed they were unable to find a
primary care physician. Another 12.4% had experienced discrimination. Younger
lesbian women with higher education levels and who were less likely to be out to
other physicians were more likely to disclose their sexual orientation to their
primary care physician. GPs play an important role in healthcare for lesbian
women, even in a non-gatekeeping healthcare system like Germany. Study
participants suggested improvements regarding gender neutral language, flyers on
homosexuality in waiting areas, involvement of partners, training of physicians,
directories of homosexual physicians and labelling as a lesbian-friendly
practice. CONCLUSIONS: GPs should create an open atmosphere and acquire the
respective knowledge to provide adequate treatment. Caring for marginal groups
should be incorporated in medical training and further education. Ideally,
physicians address patients' sexual orientation pro-actively in order to address
individual needs accordingly.
PMID- 27871241
TI - Prevalence, antimicrobial susceptibility patterns and associated risk factors of
Shigella and Salmonella among food handlers in Arba Minch University, South
Ethiopia.
AB - BACKGROUND: The availability of safe food improves health of the people that
contributes to productivity and provides an effective platform for development
and poverty alleviation. On the other hand, unsafe food handling and processing
can serve as a vehicle for the transmission of a variety of disease causing
agents. The risk of food getting contaminated depends largely on the health
status of the food handlers, their personal hygiene, knowledge and practice of
food hygiene. Food borne diseases are therefore a public health problem in
developed and developing countries which is also true for Ethiopia. Hence, the
aim of this study was to determine prevalence, antimicrobial susceptibility
patterns and associated risk factors of Shigella and Salmonella among food
handlers in Arba Minch University, South Ethiopia. METHODS: A cross sectional
study was conducted among food handlers in Arba Minch University students'
cafeteria from April- June, 2015. Structured questionnaire was used to collect
socio demographic data and associated risk factors. Stool sample was collected
and examined for pathogens following standard procedures. Biochemical tests were
done to identify the species of pathogens and sensitivity test was done using
Kirby- Baur disk diffusion technique. RESULTS: A total of 376 food handlers were
enrolled in the study with the response rate of 100% for data collected by
questionnaire. About 7.4% were aged less than 20 years with majority (63.3%) lay
in the working age group of 21-35 years. However, a total of 345 food handlers
participated for stool examination of whom, stool cultures revealed 6.9% of
Salmonella and 3% Shigella isolates. Finger nail status (AOR=0.033), hand washing
practice after toilet (AOR= 0.006) and touching food with bare hands (AOR= p <
0.001) were independent predictors of infectious enteric diseases among the food
handlers. All isolated pathogens were resistant to amoxicillin (100%), followed
by clarithromycin (41%) and amoxicillin-clavulanic acid (35%). CONCLUSION: The
present study showed high prevalence of enteropathogens among the study
participants. The study also revealed poor personal hygiene like poor practice of
hand washing. Therefore, pre placement, in service training on personal and food
hygiene should be provided to all food handlers with regular sanitary inspection
to improve adherence of food handlers to personal hygiene and food safety
practices.
PMID- 27871240
TI - ABO antigen and secretor statuses are not associated with gut microbiota
composition in 1,500 twins.
AB - BACKGROUND: Host genetics is one of several factors known to shape human gut
microbiome composition, however, the physiological processes underlying the
heritability are largely unknown. Inter-individual differences in host factors
secreted into the gut lumen may lead to variation in microbiome composition. One
such factor is the ABO antigen. This molecule is not only expressed on the
surface of red blood cells, but is also secreted from mucosal surfaces in
individuals containing an intact FUT2 gene (secretors). Previous studies report
differences in microbiome composition across ABO and secretor genotypes. However,
due to methodological limitations, the specific bacterial taxa involved remain
unknown. RESULTS: Here, we sought to determine the relationship of the microbiota
to ABO blood group and secretor status in a large panel of 1503 individuals from
a cohort of twins from the United Kingdom. Contrary to previous reports, robust
associations between either ABO or secretor phenotypes and gut microbiome
composition were not detected. Overall community structure, diversity, and the
relative abundances of individual taxa were not significantly associated with ABO
or secretor status. Additionally, joint-modeling approaches were unsuccessful in
identifying combinations of taxa that were predictive of ABO or secretor status.
CONCLUSIONS: Despite previous reports, the taxonomic composition of the
microbiota does not appear to be strongly associated with ABO or secretor status
in 1503 individuals from the United Kingdom. These results highlight the
importance of replicating microbiome-associated traits in large, well-powered
cohorts to ensure results are robust.
PMID- 27871242
TI - Comparison of coronary arterial lumen dimensions on angiography and plaque
characteristics on optical coherence tomography images and their changes induced
by statin.
AB - BACKGROUND: Coronary angiography (CAG) is widely used to assess lumen dimensions,
and optical coherence tomography (OCT) is used to evaluate the characteristics of
atherosclerotic plaque. This study was aimed to compare coronary lumen dimensions
using CAG and plaque characteristics using OCT and their changes during statin
therapy. METHODS: We identified 97 lipid-rich plaques from 69 statin-naive
patients, who received statin therapy in the following 12 months. CAG and OCT
examinations were conducted at baseline and 12-month follow-up period. RESULTS:
Lesion length, as measured by CAG, was closely correlated with lipid length by
OCT (baseline: r = 0.754, p < 0.001; follow-up: r = 0.639, p < 0.001). However,
no significant correlations were found between the other findings on OCT and data
on CAG. With 12-month statin therapy, microstructures of lipid-rich plaques were
significantly improved, but CAG-derived lumen dimensions were not improved.
Moreover, we found no significant relationship between changes in OCT
measurements and changes in CAG data over time. CONCLUSION: Lipid length on OCT
and lesion length on CAG were closely correlated. However, plaque microstructural
characteristics on OCT showed no significantly statistically correlations with
lumen dimensions on CAG, neither did their evolutionary changes induced by statin
over time. A RETROSPECTIVELY REGISTERED STUDY: Clinical trial registry:
ClinicalTrial.gov. Registered number: NCT01023607 . Registered 1 December 2009.
PMID- 27871243
TI - OsACOS12, an orthologue of Arabidopsis acyl-CoA synthetase5, plays an important
role in pollen exine formation and anther development in rice.
AB - BACKGROUND: Sporopollenin is a major component of the pollen exine pattern. In
Arabidopsis, acyl-CoA synthetase5 (ACOS5) is involved in sporopollenin precursor
biosynthesis. In this study, we identified its orthologue, OsACOS12, in rice
(Oryza sativa) and compared the functional conservation of ACOS in rice to
Arabidopsis. RESULTS: Sequence analysis showed that OsACOS12 shares 63.9 % amino
acid sequence identity with ACOS5. The osacos12 mutation caused by a pre-mature
stop codon in LOC_Os04g24530 exhibits defective sexine resulting in a male
sterile phenotype in rice. In situ hybridization shows that OsACOS12 is expressed
in tapetal cells and microspores at the transcript level. The localization of
OsACOS12-GFP demonstrated that OsACOS12 protein is accumulated in tapetal cells
and anther locules. OsACOS12 driven by the ACOS5 promoter could partially restore
the male fertility of the acos5 mutant in Arabidopsis. CONCLUSIONS: OsACOS12 is
an orthologue of ACOS5 that is essential for sporopollenin synthesis in rice.
ACOS5 and OsACOS12 are conserved for pollen wall formation in monocot and dicot
species.
PMID- 27871245
TI - Methicillin resistant Staphylococcus aureus in Ethiopia: a meta-analysis.
AB - BACKGROUND: The burden of methicillin resistant Staphylococcus aureus is a major
public health concern worldwide; however the overall epidemiology of multidrug
resistant strains is neither coordinated nor harmonized, particularly in
developing countries including Ethiopia. Therefore, the aim of this meta-analysis
was to assess the burden of methicillin resistant Staphylococcos aureus and its
antibiotic resistance pattern in Ethiopia at large. METHODS: PubMed, Google
Scholar, and lancet databases were searched and a total of 20 studies have been
selected for meta-analysis. Six authors have independently extracts data on the
prevalence of methicillin resistant Staphylococcus aureus among clinical isolates
of Staphylococcus aureus. Statistical analysis was achieved by using Open meta
analyst (version 3.13) and Comprehensive meta-analysis (version 3.3) softwares.
The overall prevalence of methicillin resistant Staphylococcus aureus and its
antibiotic resistance pattern were pooled by using the forest plot, table and
figure with 95% CI. RESULTS: The pooled prevalence of methicillin resistant
Staphylococcus aureus was 32.5% (95% CI, 24.1 to 40.9%). Moreover, methicillin
resistant Staphylococcus aureus strains were found to be highly resistant to
penicillin, ampicillin, erythromycin, and amoxicillin, with a pooled resistance
ratio of 99.1, 98.1, 97.2 and 97.1%, respectively. On the other hand, comparably
low levels of resistance ratio were noted to vancomycin, 5.3%. CONCLUSION: The
overall burden of methicillin resistant Staphylococcus aureus is considerably
high, besides these strains showed extreme resistance to penicillin, ampicillin,
erythromycin and amoxicillin. In principle, appropriate use of antibiotics,
applying safety precautions are the key to reduce the spread of multidrug
resistant strains, methicillin resistant Staphylococcus aureus in particular.
PMID- 27871246
TI - Functional characterization of thiolase-encoding genes from Xanthophyllomyces
dendrorhous and their effects on carotenoid synthesis.
AB - BACKGROUND: The basidiomycetous yeast Xanthophyllomyces dendrorhous has been
described as a potential biofactory for terpenoid-derived compounds due to its
ability to synthesize astaxanthin. Functional knowledge of the genes involved in
terpenoid synthesis would create opportunities to enhance carotenoid production.
A thiolase enzyme catalyzes the first step in terpenoid synthesis. RESULTS: Two
potential thiolase-encoding genes were found in the yeast genome;
bioinformatically, one was identified as an acetyl-CoA C-acetyltransferase
(ERG10), and the other was identified as a 3-ketoacyl Co-A thiolase (POT1).
Heterologous complementation assays in Saccharomyces cerevisiae showed that the
ERG10 gene from X. dendrorhous could complement the lack of the endogenous ERG10
gene in S. cerevisiae, thereby allowing cellular growth and sterol synthesis. X.
dendrorhous heterozygous mutants for each gene were created, and a homozygous
POT1 mutant was also obtained. This mutant exhibited changes in pigment
composition and higher ERG10 transcript levels than the wild type strain.
CONCLUSIONS: The results support the notion that the ERG10 gene in X. dendrorhous
is a functional acetyl-CoA C-acetyltransferase essential for the synthesis of
mevalonate in yeast. The POT1 gene would encode a functional 3-ketoacyl Co-A
thiolase that is non-essential for cell growth, but its mutation indirectly
affects pigment production.
PMID- 27871247
TI - Cost-effectiveness of workplace wellness to prevent cardiovascular events among
U.S. firefighters.
AB - BACKGROUND: The leading cause of death among firefighters in the United States
(U.S.) is cardiovascular events (CVEs) such as sudden cardiac arrest and
myocardial infarction. This study compared the cost-effectiveness of three
strategies to prevent CVEs among firefighters. METHODS: We used a cost
effectiveness analysis model with published observational and clinical data, and
cost quotes for physiologic monitoring devices to determine the cost
effectiveness of three CVE prevention strategies. We adopted the fire department
administrator perspective and varied parameter estimates in one-way and two-way
sensitivity analyses. RESULTS: A wellness-fitness program prevented 10% of CVEs,
for an event rate of 0.9% at $1440 over 10-years, or an incremental cost
effectiveness ratio of $1.44 million per CVE prevented compared to no program. In
one-way sensitivity analyses, monitoring was favored if costs were < $116/year.
In two-way sensitivity analyses, monitoring was not favored if cost was >=
$399/year. A wellness-fitness program was not favored if its preventive relative
risk was >0.928. CONCLUSIONS: Wellness-fitness programs may be a cost-effective
solution to preventing CVE among firefighters compared to real-time physiologic
monitoring or doing nothing.
PMID- 27871244
TI - Identification of genes for engineering the male germline of Aedes aegypti and
Ceratitis capitata.
AB - BACKGROUND: Synthetic biology approaches are promising new strategies for control
of pest insects that transmit disease and cause agricultural damage. These
strategies require characterised modular components that can direct appropriate
expression of effector sequences, with components conserved across species being
particularly useful. The goal of this study was to identify genes from which new
potential components could be derived for manipulation of the male germline in
two major pest species, the mosquito Aedes aegypti and the tephritid fruit fly
Ceratitis capitata. RESULTS: Using RNA-seq data from staged testis samples, we
identified several candidate genes with testis-specific expression and suitable
expression timing for use of their regulatory regions in synthetic control
constructs. We also developed a novel computational pipeline to identify
candidate genes with testis-specific splicing from this data; use of alternative
splicing is another method for restricting expression in synthetic systems. Some
of the genes identified display testis-specific expression or splicing that is
conserved across species; these are particularly promising candidates for
construct development. CONCLUSIONS: In this study we have identified a set of
genes with testis-specific expression or splicing. In addition to their interest
from a basic biology perspective, these findings provide a basis from which to
develop synthetic systems to control important pest insects via manipulation of
the male germline.
PMID- 27871248
TI - Left ventricle remodeling predicts the recurrence of ventricular tachyarrhythmias
in implantable cardioverter defibrillator recipients for secondary prevention.
AB - BACKGROUND: Implantable cardioverter defibrillator (ICD) is an effective
treatment for secondary prevention of ventricular tachycardia/ventricular
fibrillation (VT/VF). Left ventricular (LV) remodeling may develop before ICD
implant and over time. However, it remains unclear how LV remodeling affects
subsequent risk for recurrence VT/VF in ICD recipients under optimal medical
therapy. METHODS: From May of 2004 to June of 2015, 144 patients received ICD
implantation for secondary prevention were enrolled in this study. All
information interrogated from ICD devices during follow-up or ICD therapy history
(anti-tachycardia pacing and shock therapy) were reviewed and validated the
occurrences of VT/VF. RESULTS: At a mean follow-up of 1110.5 +/- 860.6 days, 53
patients (36.8%) had recurrence of VT/VF episodes and 91 patients had no
recurrence of VT/VF episode after ICD implant. Left ventricular end-diastolic
volume (LVEDV) > 163.5 mL had significant predictive value for VT/VF recurrence
(area under the curve: 0.602, p = 0.041). Moreover, the percentage of patients
with LVEDV >163.5 mL was significantly higher in patients with recurrent VT/VF
than patients without recurrent VT/VF (62.3 vs 40.0%, p = 0.010). Left
ventricular ejection fraction <= 30% (p = 0.031), LVEDV > 163.5 mL (p = 0.012)
and QRS width > 125 msec (p = 0.049) were significant predictors for VT/VF
recurrence by univariate Cox regression analysis. However, only LVEDV > 163.5 mL
(hazard ratio: 2.549, 95% confidence interval: 1.249 ~ 5.201, p = 0.010) and QRS
width > 125 msec (hazard ratio: 2.173, 95% confidence interval: 1.030 ~ 4.586, p
= 0.042) were independent predictors for recurrence of VT/VF after multivariable
adjustment. CONCLUSION: LV remodeling and QRS width > 125 msec were independent
predictors for VT/VF recurrence in secondary prevention ICD recipients under
optimal medical therapy, independent of LV ejection fraction.
PMID- 27871249
TI - Benign clear cell "sugar" tumor of the lung in a patient with Birt-Hogg-Dube
syndrome: a case report.
AB - BACKGROUND: Birt-Hogg-Dube (BHD) syndrome is a rare inherited autosomal
genodermatosis and caused by germline mutation of the folliculin (FLCN) gene, a
tumor suppressor gene of which protein product is involved in mechanistic target
of rapamycin (mTOR) signaling pathway regulating cell growth and metabolism.
Clinical manifestations in BHD syndrome is characterized by fibrofolliculomas of
the skin, pulmonary cysts with or without spontaneous pneumothorax, and renal
neoplasms. There has been no pulmonary neoplasm reported in BHD syndrome,
although the condition is due to deleterious sequence variants in a tumor
suppressor gene. Here we report, for the first time to our knowledge, a patient
with BHD syndrome who was complicated with a clear cell "sugar" tumor (CCST) of
the lung, a benign tumor belonging to perivascular epithelioid cell tumors
(PEComas) with frequent causative relation to tuberous sclerosis complex 1 (TSC1)
or 2 (TSC2) gene. CASE PRESENTATION: In a 38-year-old Asian woman, two well
circumscribed nodules in the left lung and multiple thin-walled, irregularly
shaped cysts on the basal and medial area of the lungs were disclosed by chest
roentgenogram and computer-assisted tomography (CT) during a preoperative survey
for a bilateral faucial tonsillectomy. Analysis of the resected tumor showed
large polygonal cells with clear cytoplasm proliferating in a solid pattern.
Immunohistochemistry revealed that these tumor cells were positive for
microphthalmia-transcription factor, S100, and CD1a but negative for HMB45,
indicating that the tumor was a CCST. Genetic testing indicated that the patient
had a germline mutation on exon 12 of the FLCN gene, i.e., insertion of 7
nucleotides (CCACCCT) (c.1347_1353dupCCACCCT). Direct sequencing of the FLCN exon
12 using genomic DNA obtained from her microdissected CCST cells clearly revealed
loss of the wild-type FLCN sequence, which confirmed complete functional loss of
the FLCN gene. On the other hand, no loss of heterozygosity around TCS1- or TSC2
associated genetic region was demonstrated. CONCLUSION: To our knowledge, this is
the first report of CCST of the lung in a patient with BHDS, indicating that CCST
should be added to the spectrum of pulmonary manifestations of BHDS.
PMID- 27871250
TI - Drug resistance and population structure of M.tuberculosis isolates from prisons
and communities in Ethiopia.
AB - BACKGROUND: The population structure and drug resistance pattern of Mycobacterium
tuberculosis complex (MTBC) isolates in Ethiopian prisons and some communities is
still unknown. METHODS: A comparative cross sectional study was conducted on 126
MTBC strains isolated from prisons and communities in southwestern, southern and
eastern Ethiopia. Phenotypic drug susceptibility testing was performed with the
MGIT960 system. Combined 24-loci Mycobacterium interspersed repetitive unit
variable number tandem repeat and spacer oligonucleotide typing methods were used
to study the MTBC population structure. The obtained data from prisons and
communities were compared using statistical tests and regression analysis.
RESULTS: A diverse population structure with 11 different lineages and sub
lineages was identified. The predominant strains were the recently described
Ethiopia_H37Rv like (27.52%) and Ethiopia_3 (16.51%) with equal lineage
distribution between prisons and communities. 28.57% of prison strains and 31.82%
of community strains shared the identical genotype with at least one other
strain. The multidrug-resistance (MDR) prevalence of the community was 2.27%
whereas that of prisons was 9.52%. The highest mono resistance was seen against
streptomycin (15.89%). CONCLUSION: Tuberculosis in communities and prisons is
caused by a variety of MTBC lineages with predominance of local Ethiopian
lineages. The increasing prevalence of MDR MTBC strains is alarming. These
findings suggest the need for new approaches for control of MDR tuberculosis in
Ethiopia.
PMID- 27871251
TI - Epidemiology and RAPD-PCR typing of thermophilic campylobacters from children
under five years and chickens in Morogoro Municipality, Tanzania.
AB - BACKGROUND: Campylobacter species are gram negative and flagellated bacteria
under the genus Campylobacter, family Campylobacteriaceae. These pathogens cause
zoonotic infections among human and animal populations. This study was undertaken
between December 2006 and May 2007 to determine prevalence, risk factors and
genetic diversity of thermophilic Campylobacter isolates from children less than
5 years and chickens in Morogoro Municipality, Tanzania. METHODS: The Skirrow's
protocol was used for isolation and identification of Campylobacter from 268
human stool specimens and 419 chicken cloacal swabs. Patient biodata and risk
factors associated with human infection were also collected. Genetic diversity of
Campylobacter isolates was determined by a RAPD-PCR technique using OPA 11 primer
(5'-CAA TCG CCG T-3'). Phylogenetic analysis and band pattern comparison were
done by Bionumerics software and visual inspection. RESULTS: Stool samples from
268 children and 419 cloacal swabs from chickens were analyzed. Prevalence of
thermophilic Campylobacters in children was 19% with higher isolation frequency
(p = 0.046) in males (23.5%) than females (13.8%). Campylobacter jejuni (78.4%)
was more isolated (p = 0.000) than C. coli (19.6%) and 2% were unidentified
isolates. In chickens, the prevalence was 42.5% with higher isolation rate (p =
0.000) of C. jejuni (87%) than C. coli (13%). Campylobacters were more frequently
recovered (p = 0.000) from indigenous/ local chickens (75.0%) followed by
cockerels (52.2%), broilers (50.0%) and lowest in layers (22.7%). Keeping
chickens without other domestic animals concurrently (p = 0.000), chicken types
(p = 0.000) and flock size (p = 0.007) were risk factors for infection in
chickens. One hundred and fifty two (152) thermophillic Campylobacter isolates
were genotyped by RAPD-PCR of which 114 were C. jejuni (74 from chickens and 40
humans) and 38 C. coli (28 from chickens and 10 humans). Comparison of
Campylobacter isolates from children and chickens revealed high diversity with
only 6.1% of C. jejuni and 5.3% of C. coli being 100% genetically similar.
CONCLUSIONS: This study has recorded high prevalence of thermophilic
Campylobacter in children less than 5 years and chickens in Morogoro
municipality. The observed genetic similarity among few C. jejuni and C. coli
isolates from children and chicken suggests existence of cross transmission of
these pathogens between children under 5 years and chickens.
PMID- 27871252
TI - Age patterns and transmission characteristics of hand, foot and mouth disease in
China.
AB - BACKGROUND: Hand, foot and mouth disease (HFMD) has circulated in China and
caused yearly outbreak. To understand the transmission of the disease and to
assess the spatial variation in cases reported, we examined age-specific
transmission characteristics and reporting rates of HFMD for 31 provinces in
mainland China. METHODS: We first analyzed incidence spatial patterns and age
specific incidence patterns using dataset from 2008 to 2012. Transmission
characteristics were estimated based on catalytic model. Reporting rates were
estimated using a simple mass action model from "Time Series Susceptible
Infectious Recovered" (TSIR) modeling. RESULTS: We found age-specific spatial
incidence patterns: age-specific proportions of HFMD cases varied geographically
in China; larger case percentage was among children of 3-5 years old in the
northern part of China and was among children of 0-2 years old in the southern
part of China. Our analysis results revealed that: 1) reporting rates and
transmission characteristics including the average age at infection, the force of
infection and the basic reproduction number varied geographically in China; 2)
patterns of the age-specific force of infection for 30 provinces were similar to
that of childhood infections in developed countries; the age group that had the
highest infection risk was 3-5 years old in 30 provinces, and 10-14 years old in
Tibet; 3) a large difference in HFMD transmission existed between northwest
region and southeast region; 4) transmission characteristics determined incidence
patterns: the higher the disease transmission in a province, the earlier the
annual seasonality started and the more case percentage was among children 0-2
years old and less among 3-5 years old. CONCLUSION: Because HFMD has higher
transmission than most childhood infections reported, high effective vaccine
coverage is needed to substantially reduce HFMD incidence. Control measures
before the vaccine implementation should focus on 2-6 years old children in 30
provinces and 10-14 years old children in Tibet.
PMID- 27871253
TI - Impact of residual urine volume decline on the survival of chronic hemodialysis
patients in Kinshasa.
AB - BACKGROUND: Despite the multiple benefits of maintaining residual urine volume
(RUV) in hemodialysis (HD), there is limited data from Sub-Saharan Africa. The
aim of this study was to assess the impact of RUV decline on the survival of HD
patients. METHODS: In a retrospective cohort study, 250 consecutive chronic HD
patients (mean age 52.5 years; 68.8% male, median HD duration 6 months) from two
hospitals in the city of Kinshasa were studied, between January 2007 and July
2013. The primary outcome was lost RUV. Preserved or lost RUV was defined as
decline RUV < 25 (median decline) or >= 25 ml/day/month, respectively. The second
endpoint was survival (time-to death). Survival curves were built using the
Kaplan-Meier methods. We used Log-rank test to compare survival curves.
Predictors of mortality were assessed by Cox proportional hazards regression
models. RESULTS: The cumulative incidence of patients with RUV decline was 52,
4%. The median (IQR) decline in RUV was 25 (20.8-33.3) ml/day/month in the
population studied, 56.7 (43.3-116.7) in patients deceased versus 12.9 (8.3-16.7)
in survivor patients (p < 0.001). Overall mortality was 78 per 1000 patient years
(17 per 1000 in preserved vs 61 per 1000 lost RUV). Forty six patients (18.4%)
died from withdrawal of HD due to financial constraints. The Median survival was
17 months in the whole group while, a significant difference was shown between
lost (10 months, n = 119) vs preserved RUV group (30 months, n = 131; p = 0001).
Multivariate Cox proportional hazards models showed that, decreased RUV (adjusted
HR 5.35, 95% CI [2.73-10.51], p < 0.001), financial status (aHR 2.23, [1.11
4.46], p = 0.024), hypervolemia (a HR 2.00, [1.17-3.40], p = 0.011), lacking ACEI
(aHR 2.48, [1.40-4.40], p = 0.002) or beta blocker use (aHR 4.04, [1.42-11.54], p
= 0.009), central venous catheter (aHR 6.26, [1.71-22.95], p = 0.006), serum
albumin (aHR 0.93, [0.89-0.96], p < 0.001) and hemoglobin (aHR 0.73, [0.63-0.84],
p < 0.001) had emerged as the independent predictors of all-cause mortality.
CONCLUSION: More than half of HD patients in this cohort study experienced fast
RUV decline which contributed substantially to increase mortality, highlighting
the need for its prevention and management.
PMID- 27871255
TI - Root resorption during orthodontic treatment with self-ligating or conventional
brackets: a systematic review and meta-analysis.
AB - BACKGROUND: The aim of this study was to compare the external apical root
resorption (EARR) in patients receiving fixed orthodontic treatment with self
ligating or conventional brackets. METHODS: Studies comparing the EARR between
orthodontic patients using self-ligating or conventional brackets were identified
through electronic search in databases including CENTRAL, PubMed, EMBASE, China
National Knowledge Infrastructure (CNKI) and SIGLE, and manual search in relevant
journals and reference lists of the included studies until Apr 2016. The
extraction of data and risk of bias evaluation were conducted by two
investigators independently. The original outcome underwent statistical pooling
by using Review Manager 5. RESULTS: Seven studies were included in the systematic
review, out of which, five studies were statistically pooled in meta-analysis.
The value of EARR of maxillary central incisors in the self-ligating bracket
group was significantly lower than that in the conventional bracket group (SMD
0.31; 95% CI: -0.60--0.01). No significant differences in other incisors were
observed between self-ligating and conventional brackets. CONCLUSIONS: Current
evidences suggest self-ligating brackets do not outperform conventional brackets
in reducing the EARR in maxillary lateral incisors, mandible central incisors and
mandible lateral incisors. However, self-ligating brackets appear to have an
advantage in protecting maxillary central incisor from EARR, which still needs to
be confirmed by more high-quality studies.
PMID- 27871254
TI - Familial aggregation of albuminuria and arterial hypertension in an Aboriginal
Australian community and the contribution of variants in ACE and TP53.
AB - BACKGROUND: Aboriginal Australians are at high risk of cardiovascular, metabolic
and renal diseases, resulting in a marked reduction in life expectancy when
compared to the rest of the Australian population. This is partly due to
recognized environmental and lifestyle risk factors, but a contribution of
genetic susceptibility is also likely. METHODS: Using results from a
comprehensive survey of one community (N = 1350 examined individuals), we have
tested for familial aggregation of plasma glucose, arterial blood pressure,
albuminuria (measured as urinary albumin to creatinine ratio, UACR) and estimated
glomerular filtration rate (eGFR), and quantified the contribution of variation
at four candidate genes (ACE; TP53; ENOS3; MTHFR). RESULTS: In the subsample of
357 individuals with complete genotype and phenotype data we showed that both
UACR (h2 = 64%) and blood pressure (sBP h2 = 29%, dBP, h2 = 11%) were
significantly heritable. The ACE insertion-deletion (P = 0.0009) and TP53 codon72
polymorphisms (P = 0.003) together contributed approximately 15% of the total
heritability of UACR, with an effect of ACE genotype on BP also clearly evident.
CONCLUSIONS: While the effects of the ACE insertion-deletion on risk of renal
disease (especially in the setting of diabetes) are well recognized, this is only
the second study to implicate p53 genotype as a risk factor for albuminuria - the
other being an earlier study we performed in a different Aboriginal community
(McDonald et al., J Am Soc Nephrol 13: 677-83, 2002). We conclude that there are
significant genetic contributions to the high prevalence of chronic diseases
observed in this population.
PMID- 27871256
TI - Domestic violence among antenatal attendees in a Kathmandu hospital and its
associated factors: a cross-sectional study.
AB - BACKGROUND: Domestic violence during pregnancy is a public health problem which
violates human rights and causes an adverse effect on both maternal and fetal
health. The objectives of the study were to assess the prevalence of domestic
violence among the pregnant women attending the antenatal clinic, to explore the
associated factors, and to identify the perpetrators of domestic violence.
METHODS: A descriptive cross-sectional study was conducted among 404 pregnant
women in their third trimester of pregnancy. Convenient sampling was used to
select the study population. Data collection tools consisted of questionnaires on
socio-demographic characteristics of the woman and her spouse, social support,
and the woman's attitude towards domestic violence, along with her experiences of
psychological, physical, and sexual violence. Domestic violence was assessed
using a questionnaire adapted from a World Health Organization multi-country
study on women's health and life experiences. Relationships between domestic
violence and the various factors were determined by bivariate analysis using a
chi-square test. Binary logistic regression with 95% confidence interval and
adjusted odds ratio were then applied to assess the factors independently
associated with domestic violence. RESULTS: More than one-quarter (27.2%) of the
pregnant women had experienced some form of violence. The most common form of
violence was sexual violence (17.3%), followed by psychological violence (16.6%)
and physical violence (3.2%). Husbands within the age group 25-34 years (AOR =
0.38), women married for 2-5 years (AOR = 0.42) and who had one or two children
(AOR = 0.32) were negatively associated with domestic violence. Whereas the
presence of husband's controlling behavior (AOR = 1.88) and experience of
violence before the current pregnancy (AOR = 24.55) increased the odds of
experiencing violence during pregnancy. The husband was the major perpetrator in
all type of violence. CONCLUSIONS: Domestic violence is common among pregnant
women attending an antenatal clinic. It indicates a need for routine screening
during antenatal visits to identify women experiencing violence and thus provide
support services, thereby preventing them from adverse health consequences.
PMID- 27871257
TI - Pregnancy related anxiety and general anxious or depressed mood and the choice
for birth setting: a secondary data-analysis of the DELIVER study.
AB - BACKGROUND: In several developed countries women with a low risk of complications
during pregnancy and childbirth can make choices regarding place of birth. In the
Netherlands, these women receive midwife-led care and can choose between a home
or hospital birth. The declining rate of midwife-led home births alongside the
recent debate on safety of home births in the Netherlands, however, suggest an
association of choice of birth place with psychological factors related to safety
and risk perception. In this study associations of pregnancy related anxiety and
general anxious or depressed mood with (changes in) planned place of birth were
explored in low risk women in midwife-led care until the start of labour.
METHODS: Data (n = 2854 low risk women in midwife-led care at the onset of
labour) were selected from the prospective multicenter DELIVER study. Women
completed the Pregnancy Related Anxiety Questionnaire-Revised (PRAQ-R) to assess
pregnancy related anxiety and the EuroQol-6D (EQ-6D) for an anxious and/or
depressed mood. RESULTS: A high PRAQ-R score was associated with planned hospital
birth in nulliparous (aOR 1.92; 95% CI 1.32-2.81) and parous women (aOR 2.08; 95%
CI 1.55-2.80). An anxious or depressed mood was associated with planned hospital
birth (aOR 1.58; 95% CI 1.20-2.08) and with being undecided (aOR 1.99; 95% CI
1.23-2.99) in parous women only. The majority of women did not change their
planned place of birth. Changing from an initially planned home birth to a
hospital birth later in pregnancy was, however, associated with becoming anxious
or depressed after 35 weeks gestation in nulliparous women (aOR 4.17; 95% CI 1.35
12.89) and with pregnancy related anxiety at 20 weeks gestation in parous women
(aOR 3.91; 95% CI 1.32-11.61). CONCLUSION: Low risk women who planned hospital
birth (or who were undecided) more often reported pregnancy related anxiety or an
anxious or depressed mood. Women who changed from home to hospital birth during
pregnancy more often reported pregnancy related anxiety or an anxious or
depressed mood in late pregnancy. Anxiety should be adequately addressed in the
process of informed decision-making regarding planned place of birth in low risk
women.
PMID- 27871258
TI - Temporal profile of body temperature in acute ischemic stroke: relation to
infarct size and outcome.
AB - BACKGROUND: High body temperatures after ischemic stroke have been associated
with larger infarct size, but the temporal profile of this relation is unknown.
We assess the relation between temporal profile of body temperature and infarct
size and functional outcome in patients with acute ischemic stroke. METHODS: In
419 patients with acute ischemic stroke we assessed the relation between body
temperature on admission and during the first 3 days with both infarct size and
functional outcome. Infarct size was measured in milliliters on CT or MRI after 3
days. Poor functional outcome was defined as a modified Rankin Scale score >=3 at
3 months. RESULTS: Body temperature on admission was not associated with infarct
size or poor outcome in adjusted analyses. By contrast, each additional 1.0
degrees C in body temperature on day 1 was associated with 0.31 ml larger infarct
size (95% confidence interval (CI) 0.04-0.59), on day 2 with 1.13 ml larger
infarct size(95% CI, 0.83-1.43), and on day 3 with 0.80 ml larger infarct size
(95% CI, 0.48-1.12), in adjusted linear regression analyses. Higher peak body
temperatures on days two and three were also associated with poor outcome
(adjusted relative risks per additional 1.0 degrees C in body temperature, 1.52
(95% CI, 1.17-1.99) and 1.47 (95% CI, 1.22-1.77), respectively). CONCLUSIONS:
Higher peak body temperatures during the first days after ischemic stroke, rather
than on admission, are associated with larger infarct size and poor functional
outcome. This suggests that prevention of high temperatures may improve outcome
if continued for at least 3 days.
PMID- 27871260
TI - Are early first trimester weights valid proxies for preconception weight?
AB - BACKGROUND: An accurate estimate of preconception weight is necessary for
providing a gestational weight gain range based on the Institute of Medicine's
guidelines; however, an accurate and proximal preconception weight is not
available for most women. We examined the validity of first trimester weights for
estimating preconception body mass index category. METHODS: Under identical
measurement conditions, preconception weight and two first trimester weights
(i.e., 4-10 and 12 weeks gestation) were obtained (n = 43). RESULTS: The 4-10
week and the 12 week weight correctly classified 95 and 91% women, respectively.
Mean weight changes were relatively small overall (M = 0.74 +/- 1.99 kg at 4-10
weeks and M = 1.02 +/- 2.46 at 12 weeks). There was a significant difference in
mean weight gain by body mass index category at 4-10 weeks (-0.09 +/- 1.86 kg for
normal weight participants vs. 1.61 + 1.76 kg for overweight/obese participants,
p = 0.01), but not at 12 weeks (0.53 +/- 2.29 kg for normal weight participants
vs. 1.54 +/- 2.58 kg for overweight/obese participants). CONCLUSIONS: Assigning
gestational weight gain guidelines based on an early first trimester weight
resulted in 5-9% of women being misclassified depending on the gestational week
the weight was obtained. Thus, most women are correctly classified based on a
first trimester weight, particularly an early first trimester weight, although it
is possible that modeling strategies could be developed to further improve
estimates of preconception body mass index category. TRIAL REGISTRATION:
Clinicaltrials.gov # NCT01131117 , registered May 25, 2010.
PMID- 27871259
TI - Prevalence and determinants of diabetes among older adults in Ghana.
AB - BACKGROUND: Diabetes is one of the leading non-communicable diseases in Africa,
contributing to the increasing disease burden among the old adults. Thus, the aim
of this study was to determine the prevalence and determinants of diabetes among
adults aged 50 years and above in Ghana. METHODS: A cross sectional study based
on data collected from Study of Ageing and Adult Health (SAGE) Wave 1 from 2007
to 2008. Data was collected from 5565 respondents of whom 4135 were aged 50+
years identified using a multistage stratified clusters design. Bivariate and
hierarchical multivariable logistic regression models were used to examine the
association of the determinants and diabetes. RESULTS: The weighted prevalence of
diabetes among the adults aged 50 years and above in Ghana was 3.95% (95%
Confidence Interval: 3.35-4.55) with the prevalence being insignificantly higher
in females than males (2.16%, 95% CI: 1.69-2.76 vs. 1.73%, 95% CI: 1.28-2.33).
Low level of physical activity (Adjusted Odds Ratio [AOR] 2.11, 95% CI: 1.21
3.69) and obesity (AOR 4.81, 95% CI: 1.92-12.0) were associated with increased
odds of diabetes among women while old age (AOR 2.58, 95% CI: 1.29-5.18) and
university (AOR 12.8, 95% CI: 4.20-39.1), secondary (AOR 3.61, 95% CI: 1.38-9.47)
and primary education (AOR 2.71, 95% CI: 1.02-7.19) were associated with
increased the odds of diabetes among men. CONCLUSION: The prevalence of diabetes
among old adults shows a similar trend with that of the general population.
However, the prevalence may have been underestimated due to self-reporting and a
high rate of undiagnosed diabetes. In addition, the determinants of diabetes
among older adults are a clear indication of the need for diabetes prevention
programme targeting the young people and that are gender specific to reduce the
burden of diabetes at old age. Physical activity and nutrition should be
emphasised in any prevention strategy.
PMID- 27871261
TI - Quantitative characterization of T-cell repertoire and biomarkers in kidney
transplant rejection.
AB - BACKGROUND: T-cell-mediated rejection (TCMR) remains a major cause of kidney
allograft failure. The characterization of T-cell repertoire in different
immunological disorders has emerged recently as a novel tool with significant
implications. We herein sought to characterize T-cell repertoire using next
generation sequencing to diagnose TCMR. METHODS: In this prospective study, we
analyzed samples from 50 kidney transplant recipients. We collected blood and
kidney transplant biopsy samples at sequential time points before and post
transplant. We used next generation sequencing to characterize T-cell receptor
(TCR) repertoire by using illumina miSeq on cDNA synthesized from RNA extracted
from six patients' samples. We also measured RNA expression levels of FOXP3, CD8,
CD4, granzyme and perforin in blood samples from all 50 patients. RESULTS: Seven
patients developed TCMR during the first three months of the study. Out of six
patients who had complete sets of blood and biopsy samples two had TCMR. We found
an expansion of the TCR repertoire in blood at time of rejection when compared to
that at pre-transplant or one-month post transplant. Patients with TCMR (n = 7)
had significantly higher RNA expression levels of FOXP3, Perforin, Granzyme, CD4
and CD8 in blood samples than those with no TCMR (n = 43) (P = 0.02, P = 0.003, P
= 0.002, P = 0.017, and P = 0.01, respectively). CONCLUSIONS: Our study provides
a potential utilization of TCR clone kinetics analysis in the diagnosis of TCMR.
This approach may allow for the identification of the expanded T-cell clones
associated with the rejection and lead to potential noninvasive diagnosis and
targeted therapies of TCMR.
PMID- 27871262
TI - Determinants of seasonal influenza vaccination in pregnant women in Valencia,
Spain.
AB - BACKGROUND: In most countries the coverage of seasonal influenza vaccination in
pregnant women is low. We investigated the acceptance, reasons for rejection and
professional involvement related to vaccine information in pregnant women in
Valencia, Spain. METHODS: Observational retrospective study in 200 pregnant
women, 100 vaccinated and 100 unvaccinated, were interviewed during the 2014/2015
vaccination campaign. Electronic medical records, immunization registry and
telephone interviews were used to determine reasons for vaccination and
immunization rejection. RESULTS: 40.5% of pregnant women in the health department
were vaccinated. The midwife was identified as source of information for 89% of
women. The vaccine was rejected due to low perceptions of risk of influenza
infection (23%), lack of information (19%), considering the vaccine as
superfluous (16%), close proximity of delivery date (13%) and fear of side
effects (12%). CONCLUSION: Pregnant women in Spain declined to be vaccinated due
to under-estimation of the risk of contracting or being harmed by influenza, and
lack of information. Interventions aiming to optimize vaccination coverage should
include information addressing the safety and effectiveness of the current
vaccine together with improved professional training and motivation.
PMID- 27871263
TI - Perceived needs and health-related quality of life in people with schizophrenia
and metabolic syndrome: a "real-world" study.
AB - BACKGROUND: The complexity of schizophrenia lies in the combination of
psychiatric, somatic and social needs requiring care. The aim of the study was to
compare perceived needs between groups with absence/presence of metabolic
syndrome (MetS) and to analyze the relationship between needs, health-related
quality of life (HRQoL) and MetS in people with schizophrenia or schizoaffective
disorder. METHODS: A "real-world" cross-sectional study was set up with a
comprehensive framework including the following, needs for care (Camberwell
Assessment of Need Interview [CAN]), HRQoL (Euro Qol-5D Questionnaire),
sociodemographic data, lifestyle habits, psychopathology (Positive And Negative
Syndrome Scale [PANSS]), global functioning (Global Assessment of Functioning
Scale [GAF]), anthropometric measurements and blood test results were assessed
for an outpatient sample (n = 60). RESULTS: The mean number of needs (given by
CAN) was identified for both groups. Patients with MetS rated a higher number of
needs compared to the group without this condition. Mobility problems (given by
EQ-5D) were negatively associated with the number of total and unmet needs. For
participants with MetS, HRQoL was related to the number of needs and unmet needs.
For people with MetS, positive symptomatology score (given by PANSS) was related
to the number of needs and met needs and general symptomatology was associated
with total, met and unmet needs. For individuals without MetS, the global
functioning score (given by GAF) was significantly inversely related with total,
met and unmet needs. CONCLUSIONS: Needs and HRQoL, as well as general
symptomatology, were related only in patients with MetS. This has implications
for treatment planning at the individual and organizational levels. An analysis
of both physical and mental needs could provide a starting point for the
extension of facilities in the health care system in order to reach the goal of
improving quality of life.
PMID- 27871264
TI - STI tests and proportion of positive tests in female sex workers attending local
public health departments in Germany in 2010/11.
AB - BACKGROUND: In Germany, local public health departments (LPHD) are required to
offer low-threshold access to confidential counselling and testing for sexually
transmitted infections (STI) for sex workers. We collected data from LPHD in
Germany to estimate the number of performed STI tests and the proportion of
positive STI tests among attending female sex workers (FSW) in order to formulate
recommendations for improving STI testing and care for FSW in Germany. METHODS:
We recruited LPHD across Germany to collect aggregated data on attending FSW
between January 2010 and March 2011. Baseline characteristics, the number of
attending FSW, STI tests (HIV, Chlamydia trachomatis, Neisseria gonorrhoea,
syphilis and Trichomonas vaginalis) and the number of positive results were
provided by participating LPHD. We described the number of STI tests per FSW
visit and the proportion of positive test results, including interquartile range
(IQR). We tested whether baseline characteristics of LPHD were associated with
the proportion of positive test results. RESULTS: Overall, 28 LPHD from 14 of the
16 federal states reported 9284 FSW visits over the study period, with a median
of 188 FSW visits (IQR 45-440) per LPHD. Overall, a median of 77.1% (IQR 60.7
88.0) of visiting FSW received a test for Neisseria gonorrhoea, followed by HIV
(66.0%, IQR 47.9-86.8), Chlamydia trachomatis (65.4%, IQR 50.7-83.6) and syphilis
(61.6, IQR 48.6-78.6). In total, 22,914 STI tests were performed. The proportion
of positive tests was 3.1% (IQR 1.3-4.8), with the highest proportion of positive
tests for Chlamydia trachomatis (6.8%, IQR 2.5-10.4), followed by Neisseria
gonorrhoea (3.2%, IQR 0.0-5.3), Trichomonas vaginalis (3.0%, IQR 0.0-15.4),
syphilis (1.1%, IQR 0.0-1.3) and HIV (0.2%, IQR 0.0-0.4). The proportion of
positive tests varied between 0 and 13.9% between LPHD, with a higher variation
of proportion of positive tests in LPHD with a smaller number of reported STI
tests. CONCLUSIONS: Participating LPHD varied in terms of performed STI tests and
FSW visits. The proportion of positive STI tests was low, but varied between
LPHD. This variation likely reflects different testing strategies. Existing
testing guidelines should be used by all LPHD to ensure high quality care for
FSW.
PMID- 27871265
TI - The association between different opioid doses and the survival of advanced
cancer patients receiving palliative care.
AB - BACKGROUND: Concerns that opioids may hasten death can be a cause of the
physicians' reluctance to prescribe opioids, leading to inadequate symptom
palliation. Our aim was to find if there was an association between different
opioid doses and the survival of the cancer patients that participated in our
palliative care program. METHODS: A retrospective study was conducted at
Ramathibodi Hospital, Bangkok between January 2013 and December 2015. All of the
cancer patients that were referred to palliative care teams by their primary
physicians were included in the study. The study data included the patients'
demographics, disease status, comorbidities, functional status, type of services,
cancer treatments, date of consultation, and the date of the patient's death or
last follow-up. The information concerning opioid use was collected by reviewing
the medical records and this was converted to an oral morphine equivalent (OME),
following a standard ratio. The time-varying covariate in the Cox regression
analysis was applied in order to determine the association between different
doses of opioids and patient survival. RESULTS: A total of 317 cancer patients
were included in the study. The median (IQR) of the OME among our patients was
6.43 mg/day (0.53, 27.36). The univariate Cox regression analysis did not show
any association between different opioid doses (OME <= 30 mg/day and > 30 mg/day)
and the patients' survival (p = 0.52). The PPS levels (p < 0.01), palliative care
clinic visits (HR 0.32, 95%CI 0.24-0.43), home visits (HR 0.75, 95%CI 0.57-0.99),
chemotherapy (HR 0.32, 95%CI 0.22-0.46), and radiotherapy (HR 0.53, 95%CI 0.36
0.78) were identified as factors that increased the probability of survival.
CONCLUSIONS: Our study has demonstrated that different opioid doses in advanced
cancer patients are not associated with shortened survival period.
PMID- 27871266
TI - Antioxidant, antidiarrheal, hypoglycemic and thrombolytic activities of organic
and aqueous extracts of Hopea odorata leaves and in silico PASS prediction of its
isolated compounds.
AB - BACKGROUND: Hopea Odorata, locally known as Telsur (Bangladesh), has some
traditional uses as folk medicine. This study aims to investigate the
antioxidant, antidiarrheal, hypoglycemic and thrombolytic activities of H.
odorata leaf extracts as new therapeutic prospects predicting the activity of
some of the isolated compounds of this plant. METHODS: Leaves of Hopea odorata
was extracted with pure methanol (MEHO), ethanol (EEHO) and water (AEHO). The
extract was tested for antioxidant activity by using reducing power and H2O2
scavenging assay. Antidiarrheal effects were assayed by three standard methods of
bioassay: Castor oil-induced diarrhea, Castor oil induced enteropooling and
gastrointestinal transit test. Hypoglycemic effect was determined by
normoglycemic model of mice. Thrombolytic activity was evaluated by clot lyses
test for human and mice blood. In silico PASS prediction was applied for
phytoconstituents namely Balanocarpol, Hopeaphenol and Ampelopsin H isolated from
this plant. RESULT: Among the all extracts, MEHO exhibited strong antioxidant
activity in both reducing power and H2O2 scavenging assay. Phenol content of MEHO
was 297.22 +/- 0.78 mg/g and flavonol content was 91.53 +/- 1.82 mg/g. All the
experiment of extracts at dose of 200 and 400 mg/kg and the standard drug
loperamide (5 mg/kg) showed significant (p < 0.001) inhibition against castor oil
induced diarrhea and castor oil induced enteropooling in mice. There were also
significant (p < 0.01) reduction in gastrointestinal motility in the charcoal
meal test. Leaf extract showed no significant (P < 0.01) decrease of blood
glucose compared to Glibenclamide in normoglycemic mice. Using an in vitro
thrombolytic model, MEHO showed the highest and significant clot lysis of human
and mice blood compared to Streptokinase. PASS predicted the wide range of
antioxidant, free radical scavenger, Nitric oxide scavenger, cardioprotectant,
hepatoprotectant, thrombolytic, fibrinolytic, antibacterial, antifungal,
anticarcinogenic, anthelmintic and anti-inflammatory activity of examined
phytoconstituents. CONCLUSION: These findings suggest that the plant may be a
potential source of new antidiarrheal, thrombolytic and antioxidative agents but
it is found to have no antidiabetic capability. PASS prediction matched with
present study for the extracts. Further study needs to identify the PASS
predicted biological actions of the phytoconstituents.
PMID- 27871267
TI - Sedentary lifestyle and its associated factors among adolescents from public and
private schools of a Brazilian state capital.
AB - BACKGROUND: Adolescence is a transition stage between childhood and adulthood and
is an important phase for the acquisition of future lifestyles, including the
practice of physical activity (PA). The prevalence of sedentary lifestyle in
adolescents is often high, creating the need for studies addressing the practice
of PA and its associated factors for a better understanding of the phenomenon and
possible interventions that would encourage positive changes. METHODS: Cross
sectional study of a representative sample of students aged 14-18 years enrolled
in both public and private schools of a large Brazilian city to determine the
level of physical activity (PA) and its associated factors. Sedentary lifestyle
was measured by applying the International Physical Activity Questionnaire. The
independent variables were gender, age, race, tobacco use and alcohol consumption
in the past 30 days, socioeconomic status, body mass index, waist circumference
and blood pressure. The crude prevalence ratio was used as a measure of
association and was estimated from a Poisson regression. RESULTS: The sample
consisted of 862 adolescents with a mean age of 15.4 +/- 1.1 years. Females were
predominant (52.8%), and the age between 14 and 15 years was the most frequent
(52.2%). The majority of the group reported themselves as Caucasians (51.2%),
belonging to socioeconomic class C (52.5%) and were attending to public schools
(69.1%). The prevalence of sedentary lifestyle was 66.8% (95% confidence interval
[CI]: 63.5-69.9), where values of 65.4% and 69.9% were observed among students
from public and private schools, respectively (p = 0.196). Sedentary lifestyle
was more frequent in females (78.0% vs 54.3%; p < 0.001). The factor directly
associated with sedentary lifestyle was female gender both in public and private
schools and the only independent variable related to sedentarism was also female
gender. CONCLUSION: The prevalence of sedentary lifestyle was extremely high in
the population of adolescents studied both in public and private schools. Female
sex was directly associated with sedentary lifestyle.
PMID- 27871268
TI - Metformin and dietary advice to improve insulin sensitivity and promote
gestational restriction of weight among pregnant women who are overweight or
obese: the GRoW Randomised Trial.
AB - BACKGROUND: Obesity is a significant global health problem, with approximately
50% of women entering pregnancy having a body mass index greater than or equal to
25 kg/m2. Obesity during pregnancy is associated with a well-recognised increased
risk of adverse health outcomes both for the woman and her infant. Currently
available data from large scale randomised trials and systematic reviews
highlight only modest effects of antenatal dietary and lifestyle interventions in
limiting gestational weight gain, with little impact on clinically relevant
pregnancy outcomes. Further information evaluating alternative strategies is
required. The aims of this randomised controlled trial are to assess whether the
use of metformin as an adjunct therapy to dietary and lifestyle advice for
overweight and obese women during pregnancy is effective in improving maternal,
fetal and infant health outcomes. METHODS: Design: Multicentre randomised,
controlled trial. INCLUSION CRITERIA: Women with a singleton, live gestation
between 10+0-20+0 weeks who are obese or overweight (defined as body mass index
greater than or equal to 25 kg/m2), at the first antenatal visit. Trial Entry &
Randomisation: Eligible, consenting women will be randomised between 10+0 and
20+0 weeks gestation using an online computer randomisation system, and
randomisation schedule prepared by non-clinical research staff with balanced
variable blocks. Stratification will be according to maternal BMI at trial entry,
parity, and centre where planned to give birth. Treatment Schedules: Women
randomised to the Metformin Group will receive a supply of 500 mg oral metformin
tablets. Women randomised to the Placebo Group will receive a supply of identical
appearing and tasting placebo tablets. Women will be instructed to commence
taking one tablet daily for a period of one week, increasing to a maximum of two
tablets twice daily over four weeks and then continuing until birth. Women,
clinicians, researchers and outcome assessors will be blinded to the allocated
treatment group. All women will receive three face-to-face sessions (two with a
research dietitian and one with a trained research assistant), and three
telephone calls over the course of their pregnancy, in which they will be
provided with dietary and lifestyle advice, and encouraged to make change
utilising a SMART goals approach. Primary Study Outcome: infant birth weight
>4000 grams. SAMPLE SIZE: 524 women to detect a difference from 15.5% to 7.35%
reduction in infants with birth weight >4000 grams (p = 0.05, 80% power, two
tailed). DISCUSSION: This is a protocol for a randomised trial. The findings will
contribute to the development of evidence based clinical practice guidelines.
TRIAL REGISTRATION: Australian and New Zealand Clinical Trials Registry
ACTRN12612001277831 , prospectively registered 10th of December, 2012.
PMID- 27871269
TI - Institutionalizing postpartum intrauterine device (IUD) services in Sri Lanka,
Tanzania, and Nepal: study protocol for a cluster-randomized stepped-wedge trial.
AB - BACKGROUND: During the year following the birth of a child, 40% of women are
estimated to have an unmet need for contraception. The copper IUD provides safe,
effective, convenient, and long-term contraceptive protection that does not
interfere with breastfeeding during the postpartum period. Postpartum IUD (PPIUD)
insertion should be performed by a trained provider in the early postpartum
period to reduce expulsion rates and complications, but these services are not
widely available. The International Federation of Obstetricians and Gynecologists
(FIGO) will implement an intervention that aims to institutionalize PPIUD
training as a regular part of the OB/GYN training program and to integrate it as
part of the standard practice at the time of delivery in intervention hospitals.
METHODS: This trial uses a cluster-randomized stepped wedge design to assess the
causal effect of the FIGO intervention on the uptake and continued use of PPIUD
and of the effect on subsequent pregnancy and birth. This trial also seeks to
measure institutionalization of PPIUD services in study hospitals and diffusion
of these services to other providers and health facilities. This study will also
include a nested mixed-methods performance evaluation to describe intervention
implementation. DISCUSSION: This study will provide critical evidence on the
causal effects of hospital-based PPIUD provision on contraceptive choices and
reproductive health outcomes, as well as on the feasibility, acceptability and
longer run institutional impacts in three low- and middle-income countries. TRIAL
REGISTRATION: Trial registered on March 11, 2016 with ClinicalTrials.gov,
NCT02718222 .
PMID- 27871270
TI - Might radiation therapy in addition to chemotherapy improve overall survival of
patients with non-oligometastatic Stage IV non-small cell lung cancer?: Secondary
analysis of two prospective studies.
AB - BACKGROUND: The role of radiation therapy in addition to chemotherapy has not
been well established in non-oligometastatic Stage IV non-small cell lung cancer
(NSCLC). We aimed to investigate overall survival (OS) of non-oligometastatic
Stage IV NSCLC treated with chemotherapy with concurrent radiation to the primary
tumor. METHODS: Eligible patients were screened from two prospective studies.
Oligometastatic and non-oligometastatic NSCLC were defined as having < 5 and >=5
metastatic lesions, respectively. Prognostic factors for OS were identified by
using univariate and multivariate analysis. Landmark analysis and propensity
score matching (PSM) were each performed to further adjust for confounding.
RESULTS: A total of 274 patients were identified as the study cohort: 183 had non
oligometastatic disease. For all 274 patients, those who received a radiation
dose >=63 Gy to the primary tumor and had oligometastatic disease had better OS
(P < 0.001 and P = 0.017, respectively). When patients were subdivided into those
with oligometastatic or non-oligometastatic disease, a radiation dose >= 63 Gy
remained a significant prognostic factor for better OS. For non-oligometastatic
patients, multivariate analysis showed that receiving >=63 Gy radiation, having a
GTV <146 cm3, having response to chemotherapy, and having stable or increased
post-treatment KPS independently predicted better OS (P = 0.018, P = 0.014, P =
0.014, and P = 0.001). After PSM in non-oligometastatic patients, a higher
radiation dose (>=63 Gy) remained to be correlated with better OS. By landmark
analysis, aggressive radiation (>=63 Gy) remained to be correlated with better OS
in Pre-PSM cohort (P = 0.005) and Post-PSM cohort (P = 0.004). CONCLUSIONS:
Radiation dose, primary tumor volume, response to chemotherapy and KPS after
treatment are associated with OS in patients with non-oligometastatic disease; on
basis of effective system chemotherapy, aggressive thoracic radiotherapy may
prolong OS.
PMID- 27871271
TI - Assessing the acceptability and feasibility of encounter decision aids for early
stage breast cancer targeted at underserved patients.
AB - BACKGROUND: Women of low socioeconomic status (SES) diagnosed with early stage
breast cancer are less likely to be involved in treatment decisions. They tend to
report higher decisional regret and poorer communication. Evidence suggests that
well-designed encounter decision aids (DAs) could improve outcomes and
potentially reduce healthcare disparities. Our goal was to evaluate the
acceptability and feasibility of encounter decision aids (Option Grid, Comic
Option Grid, and Picture Option Grid) adapted for a low-SES and low-literacy
population. METHODS: We used a multi-phase, mixed-methods approach. In phase 1,
we conducted a focus group with rural community stakeholders. In phase 2, we
developed and administered a web-based questionnaire with patients of low and
high SES. In phase 3, we interviewed patients of low SES and relevant healthcare
professionals. RESULTS: Data from phase 1 (n = 5) highlighted the importance of
addressing treatment costs for patients. Data from phase 2 (n = 268) and phase 3
(n = 15) indicated that using both visual displays and numbers are helpful for
understanding statistical information. Data from all three phases suggested that
using plain language and simple images (Picture Option Grid) was most acceptable
and feasible. The Comic Option Grid was deemed least acceptable. CONCLUSION:
Option Grid and Picture Option Grid appeared acceptable and feasible in
facilitating patient involvement and improving perceived understanding among
patients of high and low SES. Picture Option Grid was considered most acceptable,
accessible and feasible in the clinic visit. However, given the small sample
sizes used, those findings need to be interpreted with caution. Further research
is needed to determine the impact of pictorial and text-based encounter decision
aids in underserved patients and across socioeconomic strata.
PMID- 27871273
TI - The impact of body vigilance on help-seeking for cancer 'alarm' symptoms: a
community-based survey.
AB - BACKGROUND: The act of detecting bodily changes is a pre-requisite for subsequent
responses to symptoms, such as seeking medical help. This is the first study to
explore associations between self-reported body vigilance and help-seeking in a
community sample currently experiencing cancer 'alarm' symptoms. METHODS: Using a
cross-sectional study design, a 'health survey' was mailed through primary care
practices to 4913 UK adults (age >=50 years, no cancer diagnosis), asking about
symptom experiences and medical help-seeking over the previous three months. Body
vigilance, cancer worry and current illness were assessed with a small number of
self-report items derived from existing measures. RESULTS: The response rate was
42% (N = 2042). Almost half the respondents (936/2042; 46%) experienced at least
one cancer alarm symptom. Results from logistic regression analysis revealed that
paying more attention to bodily changes was significantly associated with help
seeking for cancer symptoms (OR = 1.44; 1.06-1.97), after controlling for socio
demographics, current illness and cancer worry. Being more sensitive to bodily
changes was not significantly associated with help-seeking. CONCLUSIONS:
Respondents who paid attention to their bodily changes were more likely to seek
help for their symptoms. Although the use of a cross-sectional study design and
the limited assessment of key variables preclude any firm conclusions,
encouraging people to be body vigilant may contribute towards earlier cancer
diagnosis. More needs to be understood about the impact this might have on cancer
related anxiety.
PMID- 27871272
TI - Association of tryptophan hydroxylase-2 polymorphisms with oppositional defiant
disorder in a Chinese Han population.
AB - BACKGROUND: Oppositional defiant disorder (ODD) is a behavioral disorder of
school-age population. It is well known that 5-HT dysfunction is correlated with
impulsivity, which is one of the common characteristics of ODD. The enzyme
tryptophan hydroxylase-2 (TPH-2) synthesizes 5-HT in serotonergic neurons of the
midbrain raphe. The purposes of this study were to investigate the potential
association of TPH-2 polymorphisms with susceptibility to ODD in a Han Chinese
school population. METHODS: Four polymorphisms (rs4570625, rs11178997, rs1386494
and rs7305115) of the TPH-2 gene were analyzed by using polymerase chain reaction
and DNA microarray hybridization in a case-control study of 276 Han Chinese
individuals (124 ODD and 152 controls). RESULTS: In single marker analyses,there
was a significant difference in the genotype (chi 2 = 4.163, P = 0.041) and
allele frequency (chi 2 = 3.930, P = 0.047) of rs1386494 between ODD and control
groups. Haplotype analyses revealed higher frequencies of haplotypes TA
(rs4570625-rs11178997), TAG (rs4570625-rs11178997-rs1386494), TAA (rs4570625
rs11178997-rs7305115) and TAGA (rs4570625-rs11178997-rs1386494-rs7305115), but
lower frequencies of haplotypes GA (rs4570625-rs11178997) and GAG (rs4570625
rs11178997-rs1386494) in ODD compared to control groups. CONCLUSIONS: These
findings suggest the role of these TPH-2 gene variants in susceptibility to ODD.
Some haplotypes might be the risk factors for Chinese Han children with ODD,
while others might be preventable factors.
PMID- 27871275
TI - Rationale and design of SuPPoRT: a multi-centre randomised controlled trial to
compare three treatments: cervical cerclage, cervical pessary and vaginal
progesterone, for the prevention of preterm birth in women who develop a short
cervix.
AB - BACKGROUND: Clinically, once a woman has been identified as being at risk of
spontaneous preterm birth (sPTB) due to a short cervical length, a decision
regarding prophylactic treatment must be made. Three interventions have the
potential to improve outcomes: cervical cerclage (stitch), vaginal progesterone
and cervical pessary. Each has been shown to have similar benefit in reduction of
sPTB, but there have been no randomised control trials (RCTs) to compare them.
METHODS: This open label multi-centre UK RCT trial, will evaluate whether the
three interventions are equally efficacious to prevent premature birth in women
who develop a short cervix (<25 mm on transvaginal ultrasound). Participants will
be asymptomatic and between 14+0 and 23+6 weeks' gestation in singleton
pregnancies. Eligible women will be randomised to cervical cerclage, Arabin
pessary or vaginal progesterone (200 mg once daily) (n = 170 women per group).
The obstetric endpoints are premature birth rate <37 weeks' of gestation
(primary), 34 weeks and 30 weeks (secondary outcomes) and short-term neonatal
outcomes (a composite of death and major morbidity). It will also explore whether
intervention success can be predicted by pre-intervention biomarker status.
DISCUSSION: Preterm birth is the leading cause of perinatal morbidity and
mortality and a short cervix is a useful way of identifying those most at risk.
However, best management of these women has presented a clinical conundrum for
decades. Given the promise offered by cerclage, Arabin pessary and vaginal
progesterone for prevention of preterm birth in individual trials, direct
comparison of these prophylactic interventions is now essential to establish
whether one treatment is superior. If, as we hypothesise, the three interventions
are equally efficacious, this study will empower women to make a choice of
treatments based on personal preference and quality of life issues also explored
by the study. Our exploratory analysis into whether the response to intervention
is related to the pre-intervention biomarker status further our understanding of
the pathophysiology of spontaneous preterm birth and help focus future research
questions. TRIAL REGISTRATION: EudraCT Number: 2015-000456-15 . Registered 11th
March 2015.
PMID- 27871274
TI - Chemotherapy curable malignancies and cancer stem cells: a biological review and
hypothesis.
AB - BACKGROUND: Cytotoxic chemotherapy brings routine cures to only a small select
group of metastatic malignancies comprising gestational trophoblast tumours, germ
cell tumours, acute leukemia, Hodgkin's disease, high grade lymphomas and some of
the rare childhood malignancies. We have previously postulated that the extreme
sensitivity to chemotherapy for these malignancies is linked to the on-going high
levels of apoptotic sensitivity that is naturally linked with the unique genetic
events of nuclear fusion, meiosis, VDJ recombination, somatic hypermutation, and
gastrulation that have occurred within the cells of origin of these malignancies.
In this review we will examine the cancer stem cell/cancer cell relationship of
each of the chemotherapy curable malignancies and how this relationship impacts
on the resultant biology and pro-apoptotic sensitivity of the varying cancer cell
types. DISCUSSION: In contrast to the common epithelial cancers, in each of the
chemotherapy curable malignancies there are no conventional hierarchical cancer
stem cells. However cells with cancer stem like qualities can arise
stochastically from within the general tumour cell population. These stochastic
stem cells acquire a degree of resistance to DNA damaging agents but also retain
much of the key characteristics of the cancer cells from which they develop. We
would argue that the balance between the acquired resistance of the stochastic
cancer stem cell and the inherent chemotherapy sensitivity of parent tumour cell
determines the overall chemotherapy curability of each diagnosis. The cancer stem
cells in the chemotherapy curable malignancies appear to have two key biological
differences from those of the more common chemotherapy incurable malignancies.
The first difference is that the conventional hierarchical pattern of cancer stem
cells is absent in each of the chemotherapy curable malignancies. The other key
difference, we suggest, is that the stochastic stem cells in the chemotherapy
curable malignancies take on a significant aspect of the biological
characteristics of their parent cancer cells. This action includes for the
chemotherapy curable malignancies the heightened pro-apoptotic sensitivity linked
to their respective associated unique genetic events. For the chemotherapy
curable malignancies the combination of the relationship of their cancer stem
cells combined with the extreme inherent sensitivity to induction of apoptosis
from DNA damaging agents plays a key role in determining their overall curability
with chemotherapy.
PMID- 27871276
TI - The future of global health education: training for equity in global health.
AB - BACKGROUND: Among academic institutions in the United States, interest in global
health has grown substantially: by the number of students seeking global health
opportunities at all stages of training, and by the increase in institutional
partnerships and newly established centers, institutes, and initiatives to house
global health programs at undergraduate, public health and medical schools.
Witnessing this remarkable growth should compel health educators to question
whether the training and guidance that we provide to students today is
appropriate, and whether it will be applicable in the next decade and beyond.
Given that "global health" did not exist as an academic discipline in the United
States 20 years ago, what can we expect it will look like 20 years from now and
how can we prepare for that future? DISCUSSION: Most clinicians and trainees
today recognize the importance of true partnership and capacity building in both
directions for successful international collaborations. The challenge is in the
execution of these practices. There are projects around the world where this is
occurring and equitable partnerships have been established. Based on our
experience and observations of the current landscape of academic global health,
we share a perspective on principles of engagement, highlighting instances where
partnerships have thrived, and examples of where we, as a global community, have
fallen short. CONCLUSIONS: As the world moves beyond the charity model of global
health (and its colonial roots), it is evident that the issue underlying ethical
global health practice is partnership and the pursuit of health equity. Thus,
achieving equity in global health education and practice ought to be central to
our mission as educators and advisors when preparing trainees for careers in this
field. Seeking to eliminate health inequities wherever they are ingrained will
reveal the injustices around the globe and in our own cities and towns.
PMID- 27871277
TI - Pulmonary endothelial activation caused by extracellular histones contributes to
neutrophil activation in acute respiratory distress syndrome.
AB - BACKGROUND: During the acute respiratory distress syndrome (ARDS), neutrophils
play a central role in the pathogenesis, and their activation requires
interaction with the endothelium. Extracellular histones have been recognized as
pivotal inflammatory mediators. This study was to investigate the role of
pulmonary endothelial activation during the extracellular histone-induced
inflammatory response in ARDS. METHODS: ARDS was induced in male C57BL/6 mice by
intravenous injection with lipopolysaccharide (LPS) or exogenous histones.
Concurrent with LPS administration, anti-histone H4 antibody (anti-H4) or non
specific IgG was administered to study the role of extracellular histones. The
circulating von Willebrand factor (vWF) and soluble thrombomodulin (sTM) were
measured with ELISA kits at the preset time points. Myeloperoxidase (MPO)
activity in lung tissue was measured with a MPO detection kit. The translocation
of P-selectin and neutrophil infiltration were measured by immunohistochemical
detection. For in vitro studies, histone H4 in the supernatant of mouse lung
vascular endothelial cells (MLVECs) was measured by Western blot. The binding of
extracellular histones with endothelial membrane was examined by confocal laser
microscopy. Endothelial P-selectin translocation was measured by cell surface
ELISA. Adhesion of neutrophils to MLVECs was assessed with a color video digital
camera. RESULTS: The results showed that during LPS-induced ARDS extracellular
histones caused endothelial and neutrophil activation, as seen by P-selectin
translocation, release of vWF, an increase of circulating sTM, lung neutrophil
infiltration and increased MPO activity. Extracellular histones directly bound
and activated MLVECs in a dose-dependent manner. On the contrary, the direct
stimulatory effect of exogenous histones on neutrophils was very limited, as
measured by neutrophil adhesion and MPO activity. With the contribution of
activated endothelium, extracellular histones could effectively activating
neutrophils. Both inhibiting the endothelial activation with an anti-toll like
receptor (TLR) antibody and inhibiting the interaction of the endothelium with
neutrophil using an anti-P-selectin antibody decreased the degree of neutrophil
activation. CONCLUSIONS: Extracellular histones are pro-inflammatory mediators in
LPS-induced ARDS in mice. In addition to direct action to neutrophils,
extracellular histones promote neutrophil adhesion and subsequent activation by
first activating the pulmonary endothelium via TLR signaling. Thus, endothelial
activation is important for extracellular histone-induced inflammatory injury.
PMID- 27871278
TI - The prognostic significance of human epidermal growth factor receptor family
protein expression in operable pancreatic cancer : HER1-4 protein expression and
prognosis in pancreatic cancer.
AB - BACKGROUND: Prognostic factors aid in the stratification and treatment of cancer.
This study evaluated the prognostic significance of human epidermal growth factor
receptor (HER) family members (HER1-4) expression in patients with operable
pancreatic cancer. METHODS: The expression of individual HER proteins in patient
tissue specimens was detected by immunohistochemistry staining. Patient follow-up
time was between 1.0 and 78.1 months. RESULTS: Positive expression of HER1, HER2,
HER3 and HER4 was detected in 41.4, 60.0, 24.3 and 65.7% of cases, respectively.
Kaplan-Meier analysis revealed that HER3 positive expression was associated with
decreased median survival time (12.0 vs. 25.6 months for HER3 positive and
negative groups, respectively; P = 0.013). Cox's regression confirmed that
positive HER3 expression was an independent predictor of poor survival (RR =
3.684, P = 0.001). In contrast, HER4 negative patients had a significantly
decreased median survival time when compared with HER4 positive patients (11.4
vs. 25.6 months, respectively; P = 0.027). However, HER4 was not an independent
predictor of survival. No significant association between HER1 or HER2 expression
and survival was observed (P = 0.626 & P = 0.859, respectively). CONCLUSIONS:
HER3 is an independent prognostic marker for patients with operable pancreatic
cancer. HER4 may also be of potential prognostic value in this disease and
deserves further attention.
PMID- 27871279
TI - Biodegradation of lignin and nicotine with white rot fungi for the
delignification and detoxification of tobacco stalk.
AB - BACKGROUND: Tobacco stalk is one kind of abundant crop residues in China. The
high lignification of tobacco stalk increases its reusing cost and the existing
of nicotine will cause serious pollution. The biodegradation of lignocellulosic
biomass has been demonstrated to be an environmental and economical approach for
the utilization of plant stalk. Meanwhile, many nicotine-degrading microorganisms
were found in nature. However, microorganisms which could degraded both nicotine
and lignin haven't been reported. Therefore, it's imperative to find some
suitable microorganisms to break down lignin and simultaneously remove nicotine
in tobacco stalk. RESULTS: The nicotine in tobacco stalk could be degraded
effectively by Trametes versicolor, Trametes hirsute and Phanerochaete
chrysosporium. The nicotine content in tobacco stalk was lowered to below 500
mg/kg (a safe concentration to environment) after 10 days of fermentation with
Phanerochaete chrysosporium and Trametes versicolor, and 15 days with Trametes
hirsute. The degradation rate of lignin in the fermented tobacco stalk was 37.70,
51.56 and 53.75% with Trametes versicolor, Trametes hirsute and Phanerochaete
chrysosporium, respectively. Meanwhile, 24.28% hemicellulose was degraded by
Phanerochaete chrysosporium and 28.19% cellulose was removed by Trametes hirsute.
Through the enzyme activity analysis, the main and highest ligninolytic enzymes
produced by Phanerochaete chrysosporium, Trametes hirsute and Trametes versicolor
were lignin peroxidase (88.62 U . L-1), manganese peroxidase (100.95 U . L-1) and
laccase (745.65 U . L-1). Meanwhile, relatively high and stable cellulase
activity was also detected during the fermentation with Phanerochaete
chrysosporium, and the highest endoglucanase, exoglucanase and filter paper
enzyme activities were 0.38 U . mL-1, 0.45 U . mL-1 and 0.35U . mL-1,
respectively. Moreover, the products in the fermentation of tobacco stalk with P.
chrysosporium were identified with GC-MS, besides the chemicals produced in the
degradation of lignin and nicotine, some small molecular valuable chemicals and
fatty acid were also detected. CONCLUSIONS: Our study developed a new method for
the degradation and detoxification of tobacco stalk by fermentation with white
rot fungi Phanerochaete chrysosporium and Trametes hirsute. The different
oxidative enzymes and chemical products detected during the degradation indicated
a possible pathway for the utilization of tobacco stalk.
PMID- 27871280
TI - Comparing conVEntional RadioTherapy with stereotactIC body radiotherapy in
patients with spinAL metastases: study protocol for an randomized controlled
trial following the cohort multiple randomized controlled trial design.
AB - BACKGROUND: Standard radiotherapy is the treatment of first choice in patients
with symptomatic spinal metastases, but is only moderately effective.
Stereotactic body radiation therapy is increasingly used to treat spinal
metastases, without randomized evidence of superiority over standard
radiotherapy. The VERTICAL study aims to quantify the effect of stereotactic
radiation therapy in patients with metastatic spinal disease. METHODS/DESIGN:
This study follows the 'cohort multiple Randomized Controlled Trial' design. The
VERTICAL study is conducted within the PRESENT cohort. In PRESENT, all patients
with bone metastases referred for radiation therapy are enrolled. For each
patient, clinical and patient-reported outcomes are captured at baseline and at
regular intervals during follow-up. In addition, patients give informed consent
to be offered experimental interventions. Within PRESENT, 110 patients are
identified as a sub cohort of eligible patients (i.e. patients with unirradiated
painful, mechanically stable spinal metastases who are able to undergo
stereotactic radiation therapy). After a protocol amendment, also patients with
non-spinal bony metastases are eligible. From the sub cohort, a random selection
of patients is offered stereotactic radiation therapy (n = 55), which patients
may accept or refuse. Only patients accepting stereotactic radiation therapy sign
informed consent for the VERTICAL trial. Non-selected patients (n = 55) receive
standard radiotherapy, and are not aware of them serving as controls. Primary
endpoint is pain response after three months. Data will be analyzed by intention
to treat, complemented by instrumental variable analysis in case of substantial
refusal of the stereotactic radiation therapy in the intervention arm.
DISCUSSION: This study is designed to quantify the treatment response after
(stereotactic) radiation therapy in patients with symptomatic spinal metastases.
This is the first randomized study in palliative care following the cohort
multiple Randomized Controlled Trial design. This design addresses common
difficulties associated with classic pragmatic randomized controlled trials, such
as disappointment bias in patients allocated to the control arm, slow
recruitment, and poor generalizability. TRIAL REGISTRATION: The Netherlands
Trials Register number NL49316.041.14. ClinicalTrials.gov registration number
NCT02364115 . Date of trial registration February 1, 2015.
PMID- 27871282
TI - A mother's choice: a qualitative study of mothers' health seeking behaviour for
their children with acute diarrhoea.
AB - BACKGROUND: Diarrhoea presents a considerable health risk to young children and
is one of the leading causes of infant mortality. Although proven cost-effective
interventions exist, South Africa is yet to reach the Sustainable Development
Goals set for the elimination of preventable under-five mortality and water-borne
diseases. The rural study area in the Eastern Cape of South Africa continues to
have a parallel health system comprising traditional and modern healthcare
services. It is in this setting that this study aimed to qualitatively examine
the beliefs surrounding and perceived quality of healthcare accessed for
children's acute diarrhoea. METHODS: Purposive sampling was used to select
participants for nine focus-group-discussions with mothers of children less than
5 years old and 11 key-informant-interviews with community members and
traditional and modern practitioners. The focus-group-discussions and interviews
were held to explore the reasons why mothers seek certain types of healthcare for
children with diarrhoea. Data was analysed using manual thematic coding methods.
RESULTS: It was found that seeking healthcare from traditional practitioners is
deeply ingrained in the culture of the society. People's beliefs about the
causative agents of diarrhoea are at the heart of seeking care from traditional
practitioners, often in order to treat supposed supernatural causes. A
combination of care-types is acceptable to the community, but not necessarily to
modern practitioners, who are concerned about the inclusion of unknown
ingredients and harmful substances in some traditional medicines, which could be
toxic to children. These factors highlight the complexity of regulating
traditional medicine. CONCLUSION: South African traditional practitioners can be
seen as a valuable human resource, especially as they are culturally accepted in
their communities. However due to the variability of practices amongst
traditional practitioners and some reluctance on the part of modern practitioners
regulation and integration may prove complex.
PMID- 27871281
TI - Physical multimorbidity and psychosis: comprehensive cross sectional analysis
including 242,952 people across 48 low- and middle-income countries.
AB - BACKGROUND: In people with psychosis, physical comorbidities, including
cardiovascular and metabolic diseases, are highly prevalent and leading
contributors to the premature mortality encountered. However, little is known
about physical health multimorbidity in this population or in people with
subclinical psychosis and in low- and middle-income countries (LMICs). This study
explores physical health multimorbidity patterns among people with psychosis or
subclinical psychosis. METHODS: Overall, data from 242,952 individuals from 48
LMICs, recruited via the World Health Survey, were included in this cross
sectional study. Participants were subdivided into those (1) with a lifetime
diagnosis of psychosis ("psychosis"); (2) with more than one psychotic symptom in
the past 12 months, but no lifetime diagnosis of psychosis ("subclinical
psychosis"); and (3) without psychotic symptoms in the past 12 months or a
lifetime diagnosis of psychosis ("controls"). Nine operationalized somatic
disorders were examined: arthritis, angina pectoris, asthma, diabetes, chronic
back pain, visual impairment, hearing problems, edentulism, and tuberculosis. The
association between psychosis and multimorbidity was assessed by multivariable
logistic regression analysis. RESULTS: The prevalence of multimorbidity (i.e.,
two or more physical health conditions) was: controls = 11.4% (95% CI, 11.0
11.8%); subclinical psychosis = 21.8% (95% CI, 20.6-23.0%), and psychosis = 36.0%
(95% CI, 32.1-40.2%) (P < 0.0001). After adjustment for age, sex, education,
country-wise wealth, and country, subclinical psychosis and psychosis were
associated with 2.20 (95% CI, 2.02-2.39) and 4.05 (95% CI, 3.25-5.04) times
higher odds for multimorbidity. Moreover, multimorbidity was increased in
subclinical and established psychosis in all age ranges (18-44, 45-64, >= 65
years). However, multimorbidity was most evident in younger age groups, with
people aged 18-44 years with psychosis at greatest odds of physical health
multimorbidity (OR = 4.68; 95% CI, 3.46-6.32). CONCLUSIONS: This large
multinational study demonstrates that physical health multimorbidity is increased
across the psychosis-spectrum. Most notably, the association between
multimorbidity and psychosis was stronger among younger adults, thus adding
further impetus to the calls for the early intervention efforts to prevent the
burden of physical health comorbidity at later stages. Urgent public health
interventions are necessary not only for those with a psychosis diagnosis, but
also for subclinical psychosis to address this considerable public health
problem.
PMID- 27871283
TI - Improving the quality of primary care by allocating performance-based targets, in
a diverse insured population.
AB - BACKGROUND: Primary Care Health organizations, operating under universal coverage
and a regulated package of benefits, compete mainly over quality of care.
Monitoring, primary care clinical performance, has been repeatedly proven
effective in improving the quality of care. In 2004, Maccabi Healthcare Services
(MHS), the second largest Israeli HMO, launched its Performance Measurement
System (PMS) based on clinical quality indicators. A unique module was built in
the PMS to adjust for case mix while tailoring targets to the local units. This
article presents the concept and formulas developed to adjust targets to the
units' current performance, and analyze change in clinical indicators over a six
year period, between sub-population groups. METHODS: Six process and intermediate
outcome indicators, representing screening for breast and colorectal cancer and
care for patients with diabetes and cardiovascular disease, were selected and
analyzed for change over time (2003-2009) in overall performance, as well as the
difference between the lowest and the highest socio-economic ranks (SERs) and
Arab and non-Arab members. RESULTS: MHS demonstrated a significant improvement in
the selected indicators over the years. Performance of members from low SERs and
Arabs improved to a greater extent, as compared to members from high ranks and
non-Arabs, respectively. CONCLUSION: The performance measurement system, with its
module for tailoring of units' targets, served as a managerial vehicle for
bridging existing gaps by allocating more resources to lower performing units.
This concept was proven effective in improving performance while reducing
disparities between diverse population groups.
PMID- 27871284
TI - Radiographic results after plaster cast fixation for 10 days versus 1 month in
reduced distal radius fractures: a prospective randomised study.
AB - BACKGROUND: The aim of this study was to examine whether reduced distal radius
fractures can be treated with early mobilisation without affecting the
radiographic results. METHODS: In a prospective randomised study, 109 patients
(mean age 65.8 (range 50-92)) with moderately displaced distal radius fractures
were treated with closed reduction and plaster cast fixation for about 10 days
(range 8-13 days) followed by randomisation to one of two groups: early
mobilisation (n = 54, active group) or continued plaster cast fixation for
another 3 weeks (n = 55, control group). RESULTS: For three patients in the
active group (6%), treatment proved unsuccessful because of severe displacement
of the fracture (n = 2) or perceived instability (n = 1). From 10 days to 1
month, i.e. the only period when the treatment differed between the two groups,
the active group displaced significantly more in dorsal angulation (4.5 degrees ,
p < 0.001), radial angulation (2.0 degrees , p < 0.001) and axial compression
(0.5 mm, p = 0.01) compared with the control group. However, during the entire
study period (i.e. from admission to 12 months), the active group displaced
significantly more than the controls only in radial angulation (3.2 degrees , p =
0.002) and axial compression (0.7 mm, p = 0.02). CONCLUSIONS: Early mobilisation
10 days after reduction of moderately displaced distal radius fractures resulted
in both an increased number of treatment failures and increased displacement in
radial angulation and axial compression as compared with the control group.
Mobilisation 10 days after reduction cannot be recommended for the routine
treatment of reduced distal radius fractures. TRIAL REGISTRATION:
ClinicalTrail.gov, NCT02798614 . Retrospectively registered 16 June 2016.
PMID- 27871285
TI - Next-generation sequencing in thyroid cancer.
AB - Next-generation sequencing (NGS) in thyroid cancer allows for simultaneous high
throughput sequencing analysis of variable genetic alterations and provides a
comprehensive understanding of tumor biology. In thyroid cancer, NGS offers
diagnostic improvements for fine needle aspiration (FNA) cytology of thyroid with
indeterminate features. It also contributes to patient management, providing risk
stratification of patients based on the risk of malignancy. Furthermore, NGS has
been adopted in cancer research. It is used in molecular tumor classification,
and molecular prediction of recurrence and metastasis in papillary thyroid
carcinoma. This review covers previous NGS analyses in variable types of thyroid
cancer, where samples including FNA cytology, fresh frozen tissue, and formalin
fixed, paraffin-embedded tissues were used. This review also focuses on the
clinical and research implications of using NGS to study and treat thyroid
cancer.
PMID- 27871286
TI - Maxillary calcifying epithelial odontogenic tumor with sinus and buccal vestibule
extension: a case report and immunohistochemical study.
AB - BACKGROUND: Calcifying epithelial odontogenic tumor (CEOT) is a rare benign
neoplasia, locally aggressive, that tends to invade bone and adjacent soft
tissues. This case report describes the thirteenth known case of CEOT with
maxillary sinus extension and the second one that also involves the buccal
vestibule mucosa with peculiar histopathological and immunohistochemical data.
CASE PRESENTATION: Here we report the case of a 45-year-old female with a CEOT
diagnosed and treated at the Oral & Maxillofacial Surgery Department, County
Clinical Emergency Hospital of Craiova, Romania. The clinical and imaging
investigation revealed an intraosseous tumor developed from the left posterior
maxilla with maxillary sinus and buccal vestibule mucosa extension.
Histopathology found an epithelium-rich CEOT variant, but with scattered S100
positive clear cells, focal small rounded cementum-like deposits and areas with
some degree of nuclear pleomorphism. The immunohistochemical investigations
emphasised its local aggressiveness behavior with involvement of multiple
molecular mechanisms that underlie tumor invasiveness. A subtotal maxillectomy
was performed followed by defect reconstruction. CONCLUSIONS: We discuss the
relevant clinicopathological features of an aggressive rare case of CEOT with
maxillary sinus extension and buccal vestibule mucosa involvement. The
immunohistochemical study suggests its utility in attempting to assess the degree
of local tumor aggressiveness and thus in adopting the most efficient therapeutic
attitude.
PMID- 27871287
TI - Perspectives on death and dying: a study of resident comfort with End-of-life
care.
AB - BACKGROUND: Despite the benefits to early palliative care in the treatment of
terminal illness, barriers to timely hospice referrals exist. Physicians who are
more comfortable having end-of-life (EOL) conversations are more likely to refer
to hospice. However, very little is known about what factors influence comfort
with EOL care. METHODS: An anonymous survey was sent to all the residents and
fellows at a single institution. Self-reported education, experience and comfort
with EOL care was assessed. Using multivariate logistic regression analysis,
variables that influenced comfort with EOL conversations were analyzed. RESULTS:
Most residents (88.1%) reported little to no classroom training on EOL care
during residency. EOL conversations during residency were frequent (50.6%
reported > 10) and mostly unsupervised (61.9%). In contrast, EOL conversations
during medical school were infrequent (3.7% reported >10) and mostly supervised
(78.6%). Most (54.3%) reported little to no classroom training on EOL care during
medical school. Physicians that reported receiving education on EOL conversations
during residency and those who had frequent EOL conversations during residency
had significantly higher comfort levels having EOL conversations (p = 0.017 and p
= 0.003, respectively). Likewise, residents that felt adequately prepared to have
EOL conversations when graduating from medical school were more likely to feel
comfortable (p = 0.030). CONCLUSIONS: Most residents had inadequate education in
EOL conversation skills during medical school and residency. Despite the lack of
training, EOL conversations during residency are common and often unsupervised.
Those who reported more classroom training during residency on EOL skills had
greater comfort with EOL conversations. Training programs should provide
palliative care education to all physicians during residency and fellowship,
especially for those specialties that are most likely to encounter patients with
advanced terminal disease.
PMID- 27871288
TI - Tissue specific expression of human fatty acid oxidation enzyme genes in late
pregnancy.
AB - BACKGROUND: Abnormal fatty acid oxidation (FAO) is associated with maternal and
fetal complications during pregnancy. The contribution of maternal and fetal
tissues to FAO capacity during late pregnancy is important to understand the
pathophysiology of pregnancy-associated complications. The aim of this study was
to determine the expression levels of mitochondrial FAO enzymes in maternal and
fetal tissues during late normal pregnancy. METHODS: We have measured by Real
time PCR the levels of long- and medium -chain acyl-CoA dehydrogenase (LCHAD and
MCAD), two acyl-CoA dehydrogenases that catalyze the initial step in the
mitochondrial FAO spiral. RESULTS: LCHAD and MCAD were expressed in maternal
skeletal muscle, subcutaneous adipose tissue, placenta, and maternal and fetal
blood cells. LCHAD gene expression was four- to 16-fold higher than MCAD gene
expression in placenta, adipose tissue and skeletal muscle. In contrast, MCAD
gene expression was ~5-fold higher in fetal blood than maternal blood (p = 0.02),
whereas LCHAD gene expression was similar between fetal blood and maternal blood
(p =0.91). CONCLUSIONS: LCHAD and MCAD are differentially expressed in maternal
and fetal tissues during normal late pregnancy, which may represent a metabolic
adaptation in response to physiological maternal dyslipidemia during late
pregnancy.
PMID- 27871289
TI - Inhibition of STAT3- and MAPK-dependent PGE2 synthesis ameliorates phagocytosis
of fibrillar beta-amyloid peptide (1-42) via EP2 receptor in EMF-stimulated N9
microglial cells.
AB - BACKGROUND: Prostaglandin E2 (PGE2)-involved neuroinflammatory processes are
prevalent in several neurological conditions and diseases. Amyloid burden is
correlated with the activation of E-prostanoid (EP) 2 receptors by PGE2 in
Alzheimer's disease. We previously demonstrated that electromagnetic field (EMF)
exposure can induce pro-inflammatory responses and the depression of phagocytosis
in microglial cells, but the signaling pathways involved in phagocytosis of
fibrillar beta-amyloid (fAbeta) in microglial cells exposed to EMF are poorly
understood. Given the important role of PGE2 in neural physiopathological
processes, we investigated the PGE2-related signaling mechanism in the
immunomodulatory phagocytosis of EMF-stimulated N9 microglial cells (N9 cells).
METHODS: N9 cells were exposed to EMF with or without pretreatment with the
selective inhibitors of cyclooxygenase-2 (COX-2), Janus kinase 2 (JAK2), signal
transducer and activator of transcription 3 (STAT3), and mitogen-activated
protein kinases (MAPKs) and antagonists of PG receptors EP1-4. The production of
endogenous PGE2 was quantified by enzyme immunoassays. The phagocytic ability of
N9 cells was evaluated based on the fluorescence intensity of the engulfed
fluorescent-labeled fibrillar beta-amyloid peptide (1-42) (fAbeta42) measured
using a flow cytometer and a fluorescence microscope. The effects of
pharmacological agents on EMF-activated microglia were investigated based on the
expressions of JAK2, STAT3, p38/ERK/JNK MAPKs, COX-2, microsomal prostaglandin E
synthase-1 (mPGES-1), and EP2 using real-time PCR and/or western blotting.
RESULTS: EMF exposure significantly increased the production of PGE2 and
decreased the phagocytosis of fluorescent-labeled fAbeta42 by N9 cells. The
selective inhibitors of COX-2, JAK2, STAT3, and MAPKs clearly depressed PGE2
release and ameliorated microglial phagocytosis after EMF exposure.
Pharmacological agents suppressed the phosphorylation of JAK2-STAT3 and MAPKs,
leading to the amelioration of the phagocytic ability of EMF-stimulated N9 cells.
Antagonist studies of EP1-4 receptors showed that EMF depressed the phagocytosis
of fAbeta42 through the PGE2 system, which is linked to EP2 receptors.
CONCLUSIONS: This study indicates that EMF exposure could induce phagocytic
depression via JAK2-STAT3- and MAPK-dependent PGE2-EP2 receptor signaling
pathways in microglia. Therefore, pharmacological inhibition of PGE2 synthesis
and EP2 receptors may be a potential therapeutic strategy to combat the
neurobiological deterioration that follows EMF exposure.
PMID- 27871290
TI - Heterozygous connexin 50 mutation affects metabolic syndrome attributes in
spontaneously hypertensive rat.
AB - BACKGROUND: Several members of connexin family of transmembrane proteins were
previously implicated in distinct metabolic conditions. In this study we aimed to
determine the effects of complete and heterozygous form of connexin50 gene (Gja8)
mutation L7Q on metabolic profile and oxidative stress parameters in
spontaneously hypertensive inbred rat strain (SHR). METHODS: Adult, standard chow
fed male rats of SHR, heterozygous SHR-Dca+/- and SHR-Dca-/- coisogenic strains
were used. At the age of 4 months, dexamethasone (2.6 MUg/ml) was administered in
the drinking water for three days. The lipidemic profile (cholesterol and
triacylglycerol concentration in 20 lipoprotein fractions, chylomicron, VLDL, LDL
and HDL particle sizes) together with 33 cytokines and hormones in serum and
several oxidative stress parameters in plasma, liver, kidney and heart were
assessed. RESULTS: SHR and SHR-Dca-/- rats had similar concentrations of
triacylglycerols and cholesterol in all major lipoprotein fractions. The
heterozygotes reached significantly highest levels of total (SHR-Dca+/-: 51.3 +/-
7.2 vs. SHR: 34.5 +/- 2.4 and SHR-Dca-/-: 34.4 +/- 2.5 mg/dl, p = 0.026),
chylomicron and VLDL triacylglycerols. The heterozygotes showed significantly
lowest values of HDL cholesterol (40.9 +/- 2.3 mg/dl) compared both to SHR (51.8
+/- 2.2 mg/dl) and SHR-Dca-/- (48.6 +/- 2.7 mg/dl). Total and LDL cholesterol in
SHR-Dca+/- was lower compared to SHR. Glucose tolerance was improved and insulin
concentrations were lowest in SHR-Dca-/- (1.11 +/- 0.20 pg/ml) in comparison with
both SHR (2.32 +/- 0.49 pg/ml) and SHR-Dca+/- (3.04 +/- 0.21 pg/ml). The
heterozygous rats showed profile suggestive of increased oxidative stress as well
as highest serum concentrations of several pro-inflammatory cytokines including
interleukins 6, 12, 17, 18 and tumor necrosis factor alpha. CONCLUSIONS: Our
results demonstrate that connexin50 mutation in heterozygous state affects
significantly the lipid profile and the oxidative stress parameters in the
spontaneously hypertensive rat strain.
PMID- 27871291
TI - "A rising tide lifts all boats": establishing a multidisciplinary genomic tumor
board for breast cancer patients with advanced disease.
AB - BACKGROUND: Research suggests that multidisciplinary genomic tumor boards (MGTB)
can inform cancer patient care, though little is known about factors influencing
how MGTBs interpret genomic test results, make recommendations, and perceive the
utility of this approach. This study's objective was to observe, describe, and
assess the establishment of the Breast Multidisciplinary Genomic Tumor Board, the
first MGTB focused on interpreting genomic test results for breast cancer
patients with advanced disease. METHODS: We conducted a qualitative case study
involving participant observation at monthly MGTB meetings from October 2013
through November 2014 and interviews with 12 MGTB members. We analyzed social
dynamics and interactions within the MGTB regarding interpretation of genomic
findings and participants' views on effectiveness of the MGTB in using genomics
to inform patient care. RESULTS: Twenty-two physicians, physician-scientists,
basic scientists, bioethicists, and allied care professionals comprised the MGTB.
The MGTB reviewed FoundationOneTM results for 40 metastatic breast cancer
patients. Based on findings, the board mostly recommended referring patients to
clinical trials (34) and medical genetics (15), and Food and Drug Administration
approved (FDA) breast cancer therapies (13). Though multidisciplinary,
recommendations were driven by medical oncologists. Interviewees described
providing more precise care recommendations and professional development as
advantages and the limited actionability of genomic test results as a challenge
for the MGTB. CONCLUSIONS: Findings suggest both feasibility and desirability of
pooling professional expertise in genomically-guided breast cancer care and
challenges to institutionalizing a Breast MGTB, specifically in promoting
interdisciplinary contributions and managing limited actionability of genomic
test results for patients with advanced disease.
PMID- 27871292
TI - Ability of ELISAs to detect antibodies against porcine respiratory and
reproductive syndrome virus in serum of pigs after inactivated vaccination and
subsequent challenge.
AB - BACKGROUND: In this study, six enzyme-linked immunosorbent assays (ELISA),
intended for routine porcine reproductive and respiratory syndrome virus (PRRSV)
herd monitoring, are tested for their ability to detect PRRSV specific antibodies
in the serum of pigs after vaccination with an inactivated PRRSV type 1 vaccine
and subsequent infection with a highly pathogenic (HP) PRRSV field strain. For
this reason, ten piglets (group V) from a PRRSV negative herd were vaccinated
twice at the age of 2 and 4 weeks with an inactivated PRRSV vaccine. Ten
additional piglets (group N) from the same herd remained unvaccinated. Three
weeks after second vaccination, each of the piglets received an intradermal
application of an HP PRRSV field strain. Serum samples were taken before first
vaccination as well as before and 3, 7, 10 and 14 days after HP PRRSV
application. All serum samples were tested for PRRSV RNA by reverse transcriptase
quantitative polymerase chain reaction (RT-qPCR) as well as for PRRSV antibodies
with all six study ELISAs. RESULTS: At the beginning of the study (before
vaccination), all of the piglets were PRRSV antibody negative with all study
ELISAs. They also tested negative for PRRSV RNA measured by RT-qPCR. From day 3
after HP PRRSV application until the end of the study, a viremia was detected by
RT-qPCR in all of the piglets. On day 0 (day of HP PRRSV application), nine out
of ten piglets of the pre-vaccinated group tested PRRSV antibody positive with
one of the tested ELISAs, although with lower S/P values than after infection. On
day 10 after HP PRRSV application, all study ELISAs except one had significantly
higher S/P or OD values, respectively more positive samples, in group V than in
group N. CONCLUSIONS: Only one of the tested ELISAs was able to detect reliably
PRRSV antibodies in pigs vaccinated with an inactivated PRRSV vaccine. With most
of the tested ELISAs, higher S/P values respectively more positive samples after
PRRSV infection were seen in the pre-vaccinated group than in the non-vaccinated.
PMID- 27871293
TI - Genetic and epigenetic alterations in the GNAS locus and clinical consequences in
Pseudohypoparathyroidism: Italian common healthcare pathways adoption.
AB - BACKGROUND: Genetic and epigenetic alterations in the GNAS locus are responsible
for the Gsalpha protein dysfunctions causing Pseudohypoparathyroidism (PHP) type
Ia/c and Ib, respectively. For these heterogeneous diseases characterized by
multiple hormone resistances and Albright's Hereditary Osteodystrophy (AHO) the
current classification results inadequate because of the clinical overlap between
molecular subtypes and a standard clinical approach is still missing. In the
present paper several members of the Study Group Endocrine diseases due to
altered function of Gsalpha protein of the Italian Society of Pediatric
Endocrinology and Diabetology (ISPED) have reviewed and updated the clinical
molecular data of the largest case series of (epi)/genetically characterized
AHO/PHP patients; they then produced a common healthcare pathway for patients
with these disorders. METHODS: The molecular analysis of the GNAS gene and locus
identified the causal alteration in 74 subjects (46 genetic and 28 epigenetic
mutations). The clinical data at the diagnosis and their evolution during up to
15 years follow-up were collected using two different cards. RESULTS: In patients
with genetic mutations the growth impairment worsen during the time, while
obesity prevalence decreases; subcutaneous ossifications seem specific for this
group. Brachydactyly has been detected in half of the subjects with epigenetic
alterations, in which the disease overts later in life, often with symptomatic
hypocalcaemia, and also early TSH and GHRH resistances have been recorded.
CONCLUSIONS: A dedicated healthcare pathway addressing all these aspects in a
systematic way would improve the clinical management, allowing an earlier
recognition of some PHP features, the optimization of their medical treatment and
a better clinical-oriented molecular analysis. Furthermore, standardized follow
up data would provide new insight into less known aspects.
PMID- 27871294
TI - Newly designed retentive posts of mandibular reconstruction plate in oral cancer
patients based on preliminary FEM study.
AB - BACKGROUND: The reconstruction of a large mandibular defect poses a challenging
issue in oral cancer ablation surgery. One popular option for mandibular
continuity reconstruction after tumor resection involves the use of a
reconstruction plate (R-plate), which maintains space and contour without bone
harvesting. An R-plate, however, cannot provide final functional loading
rehabilitation with implants or dentures. METHODS: We suggest a new method of
functional mandibular reconstruction using retentive posts and an upper
prosthesis. The finite element method (FEM) was used to optimize the design.
Surgery was needed to adapt the retentive posts. Prosthodontic procedures were
required for the upper prosthesis. RESULTS: Eight patients were treated with
retentive posts and prostheses. All patients underwent wide resections of the
mandible, and reconstruction with an R-plate and microvascular soft tissue
transfer. We adapted the retentive posts on an R-plate and fabricated the upper
prostheses with a flexible denture or a fixed resin prosthesis. Finally, the
patients had functional rehabilitation, which restored proper mastication.
CONCLUSIONS: The retentive posts of the R-plate and upper prosthesis allow
functional dental rehabilitation without the need for a bone graft. Virtual
simulation using FEM will help to design and optimize the retentive posts. Two or
three regular size posts are suitable for the quadrant jaw. This first
preliminary step will allow improved patient-specific retentive post designs in
the near future.
PMID- 27871296
TI - Work hours and turnover intention among hospital physicians in Taiwan: does
income matter?
AB - BACKGROUND: Physician shortage has become an urgent and critical challenge to
many countries. According to the workforce dynamic model, long work hours may be
one major pressure point to the attrition of physicians. Financial incentive is a
common tool to human power retention. Therefore, this large-scale physician study
investigated how pay satisfaction may influence the relationship between work
hours and hospital physician's turnover intention. METHODS: Data were obtained
from a nationwide survey of full-time hospital staff members working at 100
hospitals in Taiwan. The analysis sample comprised 2423 full-time physicians.
Dependent variable was degree of the physicians' turnover intention to leave the
current hospital. The pay satisfaction was assessed by physicians themselves. We
employed ordinal logistic regression models to analyze the association between
the number of work hours and turnover intention. To consider the cluster effect
of hospitals, we used the "gllamm" command in the statistical software package
Stata Version 12.1. RESULTS: The results show that 351 (14.5%) of surveyed
physicians reported strong intention to leave current hospital. The average work
hours per week among hospital physicians was 59.8 h. As expected, work hours
exhibited an independent relationship with turnover intention. More importantly,
pay satisfaction could not effectively moderate the positive relationship between
work hours and intentions to leave current hospital. CONCLUSIONS: The findings
show that overtime work is prevalent among hospital physicians in Taiwan. Both
the Taiwanese government and hospitals must take action to address the emerging
problem of physician high turnover rate. Furthermore, hospitals should not
consider relying solely on financial incentives to solve the problem. This study
encouraged tackling work hour problem, which would lead to the possibility of
solving high turnover intention among hospital physicians in Taiwan.
PMID- 27871295
TI - Anaplasma phagocytophilum in sheep and goats in central and southeastern China.
AB - BACKGROUND: Anaplasma phagocytophilum is wide spread throughout the world and
impacts both human and animal health. Several distinct ecological clusters and
ecotypes of the agent have been established on the basis of various genetic loci.
However, information on the genetic variability of A. phagocytophilum isolates in
China represents a gap in knowledge. The objective of this study was to determine
the prevalence and genetic characterization of A. phagocytophilum in small
ruminants in central and southeastern China. METHODS: The presence of A.
phagocytophilum was determined in 421 blood samples collected from small
ruminants by PCR. Positive samples were genetically characterized based on 16S
rRNA and groEL genes. Statistical analyses were conducted to identify ecotypes of
A. phagocytophilum strains, to assess their host range and zoonotic potential.
RESULTS: Out of 421 sampled small ruminants, 106 (25.2%) were positive for A.
phagocytophilum. The positive rate was higher in sheep (35.1%, 40/114) than in
goats (26.4%, 66/307) (P < 0.05). Sequence analyses revealed that the isolates
identified in this study were placed on two separate clades, indicating that two
16S rRNA variants of A. phagocytophilum were circulating in small ruminants in
China. However, analysis using obtained groEL sequences in this study formed one
cluster, which was separate from other known ecotypes reported in Europe. In
addition, a novel Anaplasma sp. was identified and closely related to an isolate
previously reported in Hyalomma asiaticum, which clustered independently from all
recognized Anaplasma species. CONCLUSIONS: A molecular survey of A.
phagocytophilum was conducted in sheep and goats from ten provinces in central
and southeastern China. Two 16S rRNA variants and a new ecotype of A.
phagocytophilum were identified in small ruminants in China. Moreover, a
potential novel Anaplasma species was reported in goats. Our findings provide
additional information on the complexity of A. phagocytophilum in terms of
genetic diversity in China.
PMID- 27871297
TI - AQP5-1364A/C polymorphism and the AQP5 expression influence sepsis survival and
immune cell migration: a prospective laboratory and patient study.
AB - BACKGROUND: The C-allele of the aquaporin (AQP5) -1364A/C polymorphism is
associated with decreased AQP5 expression but increased 30-day survival in
patients with severe sepsis. AQP5 expression might affect survival via an impact
on cell migration. Consequently, we tested the hypothesis that (1) Aqp5 knockout
(KO) compared to wild type (WT) mice show an increased survival following
lipopolysaccharide (LPS) administration, and that (2) AQP5 expression and the
AQP5 -1364A/C polymorphism alters immune cell migration. METHODS: We investigated
Aqp5-KO and wild type mice after intraperitoneal injection of either E.coli
lipopolysaccharide (LPS, serotype O127:B8, 20 mg/kg) or saline. Furthermore,
neutrophils of volunteers with the AA-AQP5 or AC/CC-AQP5- genotype were incubated
with 10-8 M Chemotactic peptide (fMLP) and their migration was assessed by a
filter migration assay. Additionally, AQP5 expression after fMLP incubation was
analyzed by RT-PCR and Western blot. Moreover, migration of AQP5 overexpressing
Jurkat cells was studied after SDF-1alpha-stimulation. We used exact Wilcoxon
Mann-Whitney tests; exact Wilcoxon signed-rank tests and the Kaplan-Meier
estimator for statistical analysis. RESULTS: Fifty-six percent of Aqp5-KO but
only 22% of WT mice survived following LPS-injection. WT mice showed increased
neutrophil migration into peritoneum and lung compared to Aqp5-KO mice. Target
oriented migration of neutrophils was seen after 0.5 h in AA-genotype cells but
only after 1.5 h in AC/CC-genotype cells, with a threefold lower migrating cell
count. AQP5 overexpressing Jurkat cells showed a 2.4 times stronger migration
compared to native Jurkat cells. CONCLUSION: The AQP5 genotype may influence
survival following LPS by altering neutrophil cell migration. Trial registration
DRKS00010437. Retrospectively registered 26 April 2016.
PMID- 27871298
TI - Socioeconomic variation in incidence of primary and secondary major
cardiovascular disease events: an Australian population-based prospective cohort
study.
AB - BACKGROUND: Cardiovascular disease (CVD) disproportionately affects disadvantaged
people, but reliable quantitative evidence on socioeconomic variation in CVD
incidence in Australia is lacking. This study aimed to quantify socioeconomic
variation in rates of primary and secondary CVD events in mid-age and older
Australians. METHODS: Baseline data (2006-2009) from the 45 and Up Study, an
Australian cohort involving 267,153 men and women aged >= 45, were linked to
hospital and death data (to December 2013). Outcomes comprised first event -
death or hospital admission - for major CVD combined, as well as myocardial
infarction and stroke, in those with and without prior CVD (secondary and primary
events, respectively). Cox regression estimated hazard ratios (HRs) for each
outcome in relation to education (and income and area-level disadvantage),
separately by age group (45-64, 65-79, and >= 80 years), adjusting for age and
sex, and additional sociodemographic factors. RESULTS: There were 18,207 primary
major CVD events over 1,144,845 years of follow-up (15.9/1000 person-years), and
20,048 secondary events over 260,357 years (77.0/1000 person-years). For both
primary and secondary events, incidence increased with decreasing education, with
the absolute difference between education groups largest for secondary events.
Age-sex adjusted hazard ratios were highest in the 45-64 years group: for major
CVDs, HR (no qualifications vs university degree) = 1.62 (95% CI: 1.49-1.77) for
primary events, and HR = 1.49 (1.34-1.65) for secondary events; myocardial
infarction HR = 2.31 (1.87-2.85) and HR = 2.57 (1.90-3.47) respectively; stroke
HR = 1.48 (1.16-1.87) and HR = 1.97 (1.42-2.74) respectively. Similar but
attenuated results were seen in older age groups, and with income. For area-level
disadvantage, CVD gradients were weak and non-significant in older people (> 64
years). CONCLUSIONS: Individual-level data are important for quantifying
socioeconomic variation in CVD incidence, which is shown to be substantial among
both those with and without prior CVD. Findings reinforce the opportunity for,
and importance of, primary and secondary prevention and treatment in reducing
socioeconomic variation in CVD and consequently the overall burden of CVD
morbidity and mortality in Australia.
PMID- 27871299
TI - Implementation and acceptability of strategies instituted for engaging men in
family planning services in Kibaha district, Tanzania.
AB - BACKGROUND: Men as the main decision makers in most of African families have an
important role to play towards acceptance of family planning methods. This study
sought to identify strategies used to engage men in family planning services and
determine the extent to which men in Kibaha district in Tanzania accept these
interventions. METHODS: We conducted a cross sectional study using both
quantitative and qualitative techniques. We used a questionnaire to interview a
random sample of 365 of currently married or cohabiting men who had at least one
child under the age of five years. We further conducted in-depth interviews with
health workers involved in delivering reproductive health services as well as
community dispensers of family planning commodities. Descriptive analysis was
used to determine the extent to which men were engaged in family planning
services. The data from the indepth interviews were analysed manually according
to the predetermined themes, guided by the grounded theory to identify the
existing strategies used to encourage male involvement in family planning
services. RESULTS: According to the key informants, strategies that are used to
encourage men to engage in family planning services include invitations through
their spouses, either verbally or by using partner notification cards,
incorporating family planning messages during monthly meetings and community
outreach reproductive health programs. Of 365 men responding to the
questionnaire, only 31 (8.4%) said they were invited to accompany their spouses
to family planning clinics. Among them, 71% (22/31) visited family planning
clinics. A third (32%) of the respondents had heard of community health meetings
and only 20.7% of them attended these meetings. More than a third (12/34) of men
who attended these meeting asserted that family planning messages targeting men
featured in the agenda and subsequently half of them visited health facilities
for family planning services. CONCLUSIONS: Existing strategies such as
invitations to clinics and community sensitization have shown to encourage men to
engage in family planning services. However, these interventions reach few men
and hence there is a need to rolling them up to improve uptake of family planning
services.
PMID- 27871300
TI - PI3 kinase pathway regulated miRNome in glioblastoma: identification of miR-326
as a tumour suppressor miRNA.
AB - BACKGROUND: Glioblastomas (GBM) continue to remain one of the most dreaded
tumours that are highly infiltrative in nature and easily preclude comprehensive
surgical resection. GBMs pose an intricate etiology as they are being associated
with a plethora of genetic and epigenetic lesions. Misregulation of the PI3
kinase pathway is one of the most familiar events in GBM. While the PI3 kinase
signalling regulated pathways and genes have been comprehensively studied, its
impact on the miRNome is yet to be explored. The objective of this study was to
elucidate the PI3 kinase pathway regulated miRNAs in GBM. METHODS: miRNA
expression profiling was conducted to monitor the differentially regulated miRNAs
upon PI3 kinase pathway abrogation. qRT-PCR was used to measure the abundance of
miR-326 and its host gene encoded transcript. Proliferation assay, colony
suppression assay and wound healing assay were carried out in pre-miR transfected
cells to investigate its role in malignant transformation. Potential targets of
miR-326 were identified by transcriptome analysis of miR-326 overexpressing cells
by whole RNA sequencing and selected targets were validated. Several publically
available data sets were used for various investigations described above.
RESULTS: We identified several miRNA that were regulated by PI3 kinase pathway.
miR-326, a GBM downregulated miRNA, was validated as one of the miRNAs whose
expression was alleviated upon abrogation of the PI3 kinase pathway.
Overexpression of miR-326 resulted in reduced proliferation, colony suppression
and hindered the migration capacity of glioma cells. Arrestin, Beta 1 (ARRB1),
the host gene of miR-326, was also downregulated in GBM and interestingly, the
expression of ARRB1 was also alleviated upon inhibition of the PI3 kinase
pathway, indicating similar regulation pattern. More importantly, miR-326
exhibited a significant positive correlation with ARRB1 in terms of its
expression. Transcriptome analysis upon miR-326 overexpression coupled with
integrative bioinformatics approach identified several putative targets of miR
326. Selected targets were validated and interestingly found to be upregulated in
GBM. CONCLUSIONS: Taken together, our study uncovered the PI3 kinase regulated
miRNome in GBM. miR-326, a PI3 kinase pathway inhibited miRNA, was demonstrated
as a tumour suppressor miRNA in GBM.
PMID- 27871301
TI - An innovative and collaborative partnership between patients with rare disease
and industry-supported registries: the Global aHUS Registry.
AB - BACKGROUND: Patients are becoming increasingly involved in research which can
promote innovation through novel ideas, support patient-centred actions, and
facilitate drug development. For rare diseases, registries that collect data from
patients can increase knowledge of the disease's natural history, evaluate
clinical therapies, monitor drug safety, and measure quality of care. The active
participation of patients is expected to optimise rare-disease management and
improve patient outcomes. However, few reports address the type and frequency of
interactions involving patients, and what research input patient groups have.
Here, we describe a collaboration between an international group of patient
organisations advocating for patients with atypical haemolytic uraemic syndrome
(aHUS), the aHUS Alliance, and an international aHUS patient registry
(ClinicalTrials.gov NCT01522183). RESULTS: The aHUS Registry Scientific Advisory
Board (SAB) invited the aHUS Alliance to submit research ideas important to
patients with aHUS. This resulted in 24 research suggestions from patients and
patient organisations being presented to the SAB. The proposals were classified
under seven categories, the most popular of which were understanding factors that
cause disease manifestations and learning more about the clinical and
psychological/social impact of living with the disease. Subsequently, aHUS
Alliance members voted for up to five research priorities. The top priority was:
"What are the outcomes of a transplant without eculizumab and what non-kidney
damage is likely in patients with aHUS?". This led directly to the initiation of
an ongoing analysis of the data collected in the Registry on patients with kidney
transplants. CONCLUSION: This collaboration resulted in several topics proposed
by the aHUS Alliance being selected as priority activities for the aHUS Registry,
with one new analysis already underway. A clear pathway was established for
engagement between a patient advocacy group and an international research
network. This should ensure the development of a long-term partnership which
clearly benefits both groups.
PMID- 27871302
TI - Glycopyrrolate in comparison to hyoscine hydrobromide and placebo in the
treatment of hypersalivation induced by clozapine (GOTHIC1): study protocol for a
randomised controlled feasibility study.
AB - BACKGROUND: Clozapine is the only medication licensed for the treatment of
resistant schizophrenia in the UK. Although efficacious, a common and unpopular
side effect of clozapine treatment is clozapine-induced hypersalivation (CIH),
which can contribute to non-adherence. The standard treatment for CIH in the UK
is hyoscine hydrobromide but this may aggravate cognitive deficits in patients
with schizophrenia while glycopyrrolate may be an effective alternative with a
more tolerable side effect profile. There is currently no convincing evidence for
hyoscine, or any other medication, as an effective treatment for CIH.
METHODS/DESIGN: This is a multicentre randomised, double-blind, placebo
controlled feasibility study of glycopyrronium bromide (glycopyrrolate) and
hyoscine hydrobromide (hyoscine) in patients with clozapine-induced
hypersalivation. We aim to recruit 42 patients who have been prescribed clozapine
and are experiencing hypersalivation, and randomise them to one of three study
arms (either hyoscine, glycopyrrolate or placebo). The primary outcome measures
will be the participant recruitment and attrition rates, and the secondary
outcome will be the metrics of the daytime hypersalivation measure. After a 1
week washout period (discontinuing CIH medication, if any), there will be a 4
week treatment period where participants will be titrated up to the maximum
tolerated dose of hyoscine, glycopyrrolate or placebo. Measurements of daytime
salivation, nocturnal salivation, cognition and side effects will be taken during
home visits in week 2 and week 5. Information on salivation and side effects will
also be taken through telephone calls in week 3 and week 4. To gather information
on the experience of study participants, exit interviews will also be requested
with all participants who drop out of the study and a sample of participants who
complete the study. DISCUSSION: There is currently no convincing evidence for
hyoscine, or any other medication, as an effective treatment for CIH. There is
promising evidence that glycopyrrolate may be more successful in the treatment of
CIH causing fewer cognitive side effects. We propose to conduct a randomised
placebo-controlled feasibility study of glycopyrrolate and hyoscine in the
treatment of clozapine-induced hypersalivation to inform the design of a future
efficacy trial. TRIAL REGISTRATION: Clinicaltrials.gov NCT02613494 , 23 November
2015.
PMID- 27871303
TI - Geographically-weighted regression of knowledge and behaviour determinants to
anti-malarial recommending and dispensing practice among medicine retailers in
western Kenya: capacitating targeted interventions.
AB - BACKGROUND: Most patients with malaria seek treatment first in retail drug shops.
Myriad studies have examined retailer behaviours and characteristics to
understand the determinants to these behaviours. Geospatial methods are helpful
in discovering if geographic location plays a role in the relationship between
determinants and outcomes. This study aimed to discover if spatial
autocorrelation exists in the relationship between determinants and retailer
behaviours, and to provide specific geographic locations and target behaviours
for tailoring future interventions. METHODS: Retailer behaviours and
characteristics captured from a survey deployed to medicine retailers in the
Webuye Demographic and Health Surveillance Site were analysed using geographic
weighted regression to create prediction models for three separate outcomes:
recommending the first-line anti-malarial therapy to adults, recommending the
first-line anti-malarial therapy to children, and selling that therapy more than
other anti-malarials. The estimated regression coefficients for each determinant,
as well as the pseudo R2 values for each final model, were then mapped to assess
spatial variability and local areas of best model fit. RESULTS: The relationships
explored were found to be non-stationary, indicating that spatial heterogeneity
exist in the data. The association between having a pharmacy-related health
training and recommending the first-line anti-malarial treatment to adults was
strongest around the peri-urban centre: comparing those with training in pharmacy
to those without training (OR = 5.75, p = 0.021). The association between knowing
the first-line anti-malarial and recommending it to children was strongest in the
north of the study area compared to those who did not know the MOH-recommended
anti-malarial (OR = 2.34, p = 0.070). This is also the area with the strongest
association between attending a malaria workshop and selling the MOH-recommended
anti-malarial more than other anti-malarials, compared to retailers who did not
attend a workshop (OR = 2.38, p = 0.055). CONCLUSION: Evidence suggests that
spatial heterogeneity exists in these data, indicating that the relationship
between determinants and behaviours varies across space. This is valuable
information for intervention design, allowing efforts to focus on those factors
that have the strongest relationship with their targeted behaviour within that
geographic space, increasing programme efficiency and cost-effectiveness.
PMID- 27871305
TI - Response to a trial on reversal of Death by Neurologic Criteria.
PMID- 27871304
TI - Respiratory viral infections and host responses; insights from genomics.
AB - Respiratory viral infections are a leading cause of disease and mortality. The
severity of these illnesses can vary markedly from mild or asymptomatic upper
airway infections to severe wheezing, bronchiolitis or pneumonia. In this
article, we review the viral sensing pathways and organizing principles that
govern the innate immune response to infection. Then, we reconstruct the
molecular networks that differentiate symptomatic from asymptomatic respiratory
viral infections, and identify the underlying molecular drivers of these
networks. Finally, we discuss unique aspects of the biology and pathogenesis of
infections with respiratory syncytial virus, rhinovirus and influenza, drawing on
insights from genomics.
PMID- 27871307
TI - Current models of care for disorders of sex development - results from an
International survey of specialist centres.
AB - BACKGROUND: To explore the current models of practice in centres delivering
specialist care for children with disorders of sex development (DSD), an
international survey of 124 clinicians, identified through DSDnet and the I-DSD
Registry, was performed in the last quarter of 2014. RESULTS: A total of 78 (63
%) clinicians, in 75 centres, from 38 countries responded to the survey. A formal
national network for managing DSD was reported to exist in 12 (32 %) countries.
The paediatric specialists routinely involved in the initial evaluation of a
newborn included: endocrinologist (99 %), surgeon/urologist (95 %), radiologist
(93 %), neonatologist (91 %), clinical geneticist (81 %) and clinical
psychologist (69 %). A team consisting of paediatric specialists in
endocrinology, surgery/urology, clinical psychology, and nursing was only
possible in 31 (41 %) centres. Of the 75 centres, 26 (35 %) kept only a local DSD
registry and 40 (53 %) shared their data in a multicentre DSD registry.
Attendance in local, national and international DSD-related educational programs
was reported by 69, 78 and 84 % clinicians, respectively. Participation in
audits/quality improvement exercises in DSD care was reported by 14 (19 %)
centres. In addition to complex biochemistry and molecular genetic
investigations, 40 clinicians (51 %) also had access to next generation
sequencing. A genetic test was reported to be more preferable than biochemical
tests for diagnosing 5-alpha reductase deficiency and 17-beta hydroxysteroid
dehydrogenase 3 deficiency by 50 and 55 % clinicians, respectively. CONCLUSION:
DSD centres report a high level of interaction at an international level, have
access to specialist staff and are increasingly relying on molecular genetics for
routine diagnostics. The quality of care provided by these centres locally
requires further exploration.
PMID- 27871306
TI - Akirin2 is essential for the formation of the cerebral cortex.
AB - BACKGROUND: The proper spatial and temporal regulation of dorsal telencephalic
progenitor behavior is a prerequisite for the formation of the highly-organized,
six-layered cerebral cortex. Premature differentiation of cells, disruption of
cell cycle timing, excessive apoptosis, and/or incorrect neuronal migration
signals can have devastating effects, resulting in a number of neurodevelopmental
disorders involving microcephaly and/or lissencephaly. Though genes encoding many
key players in cortical development have been identified, our understanding
remains incomplete. We show that the gene encoding Akirin2, a small nuclear
protein, is expressed in the embryonic telencephalon. Converging evidence
indicates that Akirin2 acts as a bridge between transcription factors (including
Twist and NF-kappaB proteins) and the BAF (SWI/SNF) chromatin remodeling
machinery to regulate patterns of gene expression. Constitutive knockout of
Akirin2 is early embryonic lethal in mice, while restricted loss in B cells led
to disrupted proliferation and cell survival. METHODS: We generated cortex
restricted Akirin2 knockouts by crossing mice harboring a floxed Akirin2 allele
with the Emx1-Cre transgenic line and assessed the resulting embryos using in
situ hybridization, EdU labeling, and immunohistochemistry. RESULTS: The vast
majority of Akirin2 mutants do not survive past birth, and exhibit extreme
microcephaly, with little dorsal telencephalic tissue and no recognizable cortex.
This is primarily due to massive cell death of early cortical progenitors, which
begins at embryonic day (E)10, shortly after Emx1-Cre is active. Immunostaining
and cell cycle analysis using EdU labeling indicate that Akirin2-null progenitors
fail to proliferate normally, produce fewer neurons, and undergo extensive
apoptosis. All of the neurons that are generated in Akirin2 mutants also undergo
apoptosis by E12. In situ hybridization for Wnt3a and Wnt-responsive genes
suggest defective formation and/or function of the cortical hem in Akirin2 null
mice. Furthermore, the apical ventricular surface becomes disrupted, and Sox2
positive progenitors are found to "spill" into the lateral ventricle.
CONCLUSIONS: Our data demonstrate a previously-unsuspected role for Akirin2 in
early cortical development and, given its known nuclear roles, suggest that it
may act to regulate gene expression patterns critical for early progenitor cell
behavior and cortical neuron production.
PMID- 27871308
TI - Recruiting to Clinical Trials on the Telephone - a randomized controlled trial.
AB - BACKGROUND: Informed consent is an essential element of clinical research.
Obtaining consent, however, may be challenging. The use of the telephone for
giving information and obtaining consent may be practical but little formal
research has been done. METHODS: We examined the use of the telephone for the
purpose of informing expectant mothers about The Danish Calmette Study; a
randomized clinical trial assessing neonatal Bacille Calmette-Guerin vaccination.
Expectant mothers received an invitation letter with a Participant Information
Sheet about The Danish Calmette Study, the present trial, and a Consent Form. Two
to 4 weeks later we contacted the mothers to discuss potential participation in
the present trial. At this initial telephone contact, and after consent from the
mothers, we randomized expectant mothers to receive the verbal information about
The Danish Calmette Study by telephone, or at a face-to-face consultation. The
primary outcome was a communication score, consisting of comprehension of
information about The Danish Calmette Study and satisfaction with the information
process. The outcome was measured using a questionnaire 2 days after the
information was provided, and 2.5 months after the birth of the child. RESULTS:
The communication score obtained 2 days after information was given was
significantly reduced in the telephone group, effect size -0.74 (95% confidence
interval (CI), -1.11 to -0.36). The effect sizes of the subscores were -0.87 (95%
CI, -1.25 to -0.49) for satisfaction and -0.22 (95% CI, -0.58 to 0.14) for
comprehension. The effect sizes were slightly reduced when assessed 2.5 months
after the birth. CONCLUSION: The communication score was reduced in the telephone
group. This was due to a reduction in satisfaction, while no difference in the
comprehension could be found in comparison to the control group. This may be
ethically acceptable as both groups had high satisfaction scores. TRIAL
REGISTRATION: ClinicalTrials.gov, registered on 5 October 2015 with trial
registration number NCT02570061 .
PMID- 27871309
TI - Draft genome of the living fossil Ginkgo biloba.
AB - BACKGROUND: Ginkgo biloba L. (Ginkgoaceae) is one of the most distinctive plants.
It possesses a suite of fascinating characteristics including a large genome,
outstanding resistance/tolerance to abiotic and biotic stresses, and dioecious
reproduction, making it an ideal model species for biological studies. However,
the lack of a high-quality genome sequence has been an impediment to our
understanding of its biology and evolution. FINDINGS: The 10.61 Gb genome
sequence containing 41,840 annotated genes was assembled in the present study.
Repetitive sequences account for 76.58% of the assembled sequence, and long
terminal repeat retrotransposons (LTR-RTs) are particularly prevalent. The
diversity and abundance of LTR-RTs is due to their gradual accumulation and a
remarkable amplification between 16 and 24 million years ago, and they contribute
to the long introns and large genome. Whole genome duplication (WGD) may have
occurred twice, with an ancient WGD consistent with that shown to occur in other
seed plants, and a more recent event specific to ginkgo. Abundant gene clusters
from tandem duplication were also evident, and enrichment of expanded gene
families indicates a remarkable array of chemical and antibacterial defense
pathways. CONCLUSIONS: The ginkgo genome consists mainly of LTR-RTs resulting
from ancient gradual accumulation and two WGD events. The multiple defense
mechanisms underlying the characteristic resilience of ginkgo are fostered by a
remarkable enrichment in ancient duplicated and ginkgo-specific gene clusters.
The present study sheds light on sequencing large genomes, and opens an avenue
for further genetic and evolutionary research.
PMID- 27871311
TI - Erratum to: JMJD2A contributes to breast cancer progression through
transcriptional repression of the tumor suppressor ARHI.
PMID- 27871312
TI - Increased frequency of porcine epidemic diarrhea virus shedding and lesions in
suckling pigs compared to nursery pigs and protective immunity in nursery pigs
after homologous re-challenge.
AB - Porcine epidemic diarrhea virus (PEDV) causes enteric disease in pigs and spreads
rapidly after entering naive pig populations. The objectives were to (1) compare
the disease course following inoculation with PEDV isolate US/Colorado/2013 in
naive 10 day and 8 week-old pigs, and (2) contrast the naive response to
homologous challenge in 8 week-old pigs. Pigs were randomly assigned into group 1
(n = 40, no PEDV exposure), group 2 (n = 43, PEDV inoculation at 10 days of age)
and group 3 (n = 48, PEDV inoculation at 8 weeks of age). Thirty-three group 2
pigs received a homologous challenge at 8 weeks of age. Following primary or
secondary inoculation, 3-10 pigs were euthanized at days post-inoculation (dpi)
1, 2, 3, 7 or 14. Clinical signs were more pronounced in 10 day-old pigs compared
to 8 week-old pigs at dpi 2 and 3, a higher number of 10 day-old pigs shed PEDV
RNA in feces compared to 8 week-old pigs. Typical severe atrophic enteritis of
PEDV infection was observed at dpi 3 in both age groups, and at dpi 4 and 14
fecal shedding patterns were also similar. While both age groups had
seroconverted to PEDV by dpi 14, IgG levels were higher in 8 week-old pigs. PEDV
IgA antibodies were detected in feces of approximately 50% of the pigs at dpi 44.
In homologous challenged pigs, no clinical signs or lesions were found, and PEDV
fecal shedding was restricted to less than 10% of the pigs indicating the
existence of homologous protection 44 days after initial PEDV exposure.
PMID- 27871310
TI - Transcriptome analysis reveals manifold mechanisms of cyst development in ADPKD.
AB - BACKGROUND: Autosomal dominant polycystic kidney disease (ADPKD) causes
progressive loss of renal function in adults as a consequence of the accumulation
of cysts. ADPKD is the most common genetic cause of end-stage renal disease.
Mutations in polycystin-1 occur in 87% of cases of ADPKD and mutations in
polycystin-2 are found in 12% of ADPKD patients. The complexity of ADPKD has
hampered efforts to identify the mechanisms underlying its pathogenesis. No
current FDA (Federal Drug Administration)-approved therapies ameliorate ADPKD
progression. RESULTS: We used the de Almeida laboratory's sensitive new
transcriptogram method for whole-genome gene expression data analysis to analyze
microarray data from cell lines developed from cell isolates of normal kidney and
of both non-cystic nephrons and cysts from the kidney of a patient with ADPKD. We
compared results obtained using standard Ingenuity Volcano plot analysis, Gene
Set Enrichment Analysis (GSEA) and transcriptogram analysis. Transcriptogram
analysis confirmed the findings of Ingenuity, GSEA, and published analysis of
ADPKD kidney data and also identified multiple new expression changes in KEGG
(Kyoto Encyclopedia of Genes and Genomes) pathways related to cell growth, cell
death, genetic information processing, nucleotide metabolism, signal
transduction, immune response, response to stimulus, cellular processes, ion
homeostasis and transport and cofactors, vitamins, amino acids, energy,
carbohydrates, drugs, lipids, and glycans. Transcriptogram analysis also provides
significance metrics which allow us to prioritize further study of these
pathways. CONCLUSIONS: Transcriptogram analysis identifies novel pathways altered
in ADPKD, providing new avenues to identify both ADPKD's mechanisms of
pathogenesis and pharmaceutical targets to ameliorate the progression of the
disease.
PMID- 27871313
TI - Conservation of immune gene signatures in solid tumors and prognostic
implications.
AB - BACKGROUND: Tumor-infiltrating leukocytes can either limit cancer growth or
facilitate its spread. Diagnostic strategies that comprehensively assess the
functional complexity of tumor immune infiltrates could have wide-reaching
clinical value. In previous work we identified distinct immune gene signatures in
breast tumors that reflect the relative abundance of infiltrating immune cells
and exhibited significant associations with patient outcomes. Here we
hypothesized that immune gene signatures agnostic to tumor type can be identified
by de novo discovery of gene clusters enriched for immunological functions and
possessing internal correlation structure conserved across solid tumors from
different anatomic sites. METHODS: We assembled microarray expression datasets
encompassing 5,295 tumors of the breast, colon, lung, ovarian and prostate.
Unsupervised clustering methods were used to determine number and composition of
gene clusters within each dataset. Immune-enriched gene clusters (signatures)
identified by gene ontology enrichment were analyzed for internal correlation
structure and conservation across tumors then compared against expression
profiles of: 1) flow-sorted leukocytes from peripheral blood and 2) >300 cancer
cell lines from solid and hematologic cancers. Cox regression analysis was used
to identify signatures with significant associations with clinical outcome.
RESULTS: We identified nine distinct immune-enriched gene signatures conserved
across all five tumor types. The signatures differentiated specific leukocyte
lineages with moderate discernment overall, and naturally organized into six
discrete groups indicative of admixed lineages. Moreover, seven of the signatures
exhibit minimal and uncorrelated expression in cancer cell lines, suggesting that
these signatures derive predominantly from infiltrating immune cells. All nine
immune signatures achieved statistically significant associations with patient
prognosis (p<0.05) in one or more tumor types with greatest significance observed
in breast and skin cancers. Several signatures indicative of myeloid lineages
exhibited poor outcome associations that were most apparent in brain and colon
cancers. CONCLUSIONS: These findings suggest that tumor infiltrating immune cells
can be differentiated by immune-specific gene expression patterns that quantify
the relative abundance of multiple immune infiltrates across a range of solid
tumor types. That these markers of immune involvement are significantly
associated with patient prognosis in diverse cancers suggests their clinical
utility as pan-cancer markers of tumor behavior and immune responsiveness.
PMID- 27871314
TI - A mixed-methods systematic review protocol to examine the use of physical
restraint with critically ill adults and strategies for minimizing their use.
AB - BACKGROUND: Critically ill patients frequently experience severe agitation
placing them at risk of harm. Physical restraint is common in intensive care
units (ICUs) for clinician concerns about safety. However, physical restraint may
not prevent medical device removal and has been associated with negative physical
and psychological consequences. While professional society guidelines,
legislation, and accreditation standards recommend physical restraint
minimization, guidelines for critically ill patients are over a decade old, with
recommendations that are non-specific. Our systematic review will synthesize
evidence on physical restraint in critically ill adults with the primary
objective of identifying effective minimization strategies. METHODS: Two authors
will independently search from inception to July 2016 the following: Ovid
MEDLINE, CINAHL, Embase, Web of Science, Cochrane Library, PROSPERO, Joanna
Briggs Institute, grey literature, professional society websites, and the
International Clinical Trials Registry Platform. We will include quantitative and
qualitative study designs, clinical practice guidelines, policy documents, and
professional society recommendations relevant to physical restraint of critically
ill adults. Authors will independently perform data extraction in duplicate and
complete risk of bias and quality assessment using recommended tools. We will
assess evidence quality for quantitative studies using the Grading of
Recommendations Assessment, Development and Evaluation (GRADE) approach and for
qualitative studies using the Confidence in the Evidence from Reviews of
Qualitative Research (CERQual) guidelines. Outcomes of interest include (1)
efficacy/effectiveness of physical restraint minimization strategies; (2) adverse
events (unintentional device removal, psychological impact, physical injury) and
associated benefits including harm prevention; (3) ICU outcomes (ventilation
duration, length of stay, and mortality); (4) prevalence, incidence, patterns of
use including patient and treatment characteristics and chemical restraint; (5)
barriers and facilitators to minimization; (6) patient, family, and healthcare
professional perspectives; (7) professional society-endorsed recommendations; and
(8) evidence gaps and research priorities. DISCUSSION: We will use our systematic
review findings to produce updated guidelines on physical restraint use for
critically ill adults and to develop a professional society-endorsed position
statement. This will foster patient and clinician safety by providing clinicians,
administrators, and policy makers with a tool to promote minimal and safe use of
physical restraint for critically ill adults. SYSTEMATIC REVIEW REGISTRATION:
PROSPERO CRD42015027860.
PMID- 27871315
TI - Management of uterine rupture: a case report and review of the literature.
AB - BACKGROUND: Maternal morbidity and mortality has been a major World Health
Organization concern over the years, especially in sub-Saharan Africa. This paper
reports uterine rupture with severe hypovolemic shock managed at the Douala
General Hospital, Cameroon. Early clinical diagnosis is paramount to maternal
survival. CASE PRESENTATION: Mrs. MM aged 25 years, G3P2012, of the Bamileke
tribe in Cameroon was admitted to our Department in hypovolemic shock BP = 70/40
mmHg, pulse 120 beats per minute, with altered consciousness (Glasgow Coma Scale
= 13). She has a history of missed abortion at 19 weeks gestation and an attempt
to evacuate the uterus with misoprostol that led to uterine rupture. She
underwent a total abdominal hysterectomy and blood transfusion. Her post
operative stay in hospital was uneventful. CONCLUSION: Uterine rupture is a
complication that can be eliminated under conditions of best obstetric practice.
To attain this objective, use of misoprostol in primary health facilities should
be stopped or proper management of the medication instituted. The survival of
patients after uterine rupture depends on the time interval between rupture and
intervention, and the availability of blood products for transfusion.
PMID- 27871317
TI - Heterotopic ossification following anti-NMDA receptor encephalitis: a case
report.
AB - BACKGROUND: Heterotopic ossification (HO) is defined as the formation of true
bone tissue in non-osseous tissues. HO may occur under several conditions such as
soft tissue injury, central nervous system injury and many other diseases like
arthopathies, and vasculopathies. The underlying mechanisms of HO are not well
elucidated. Anti-NMDA receptor encephalitis is a newly recognized autoimmune
mediated disease which is predominant in young female patients with ovarian
teratomas. Encephalitis complicated with HO has rarely been reported. CASE
PRESENTATION: Here we report a case of anti-NMDA receptor encephalitis with
severe muscle ossifications. A 15 years old female patient presented with fever,
changed mental status of confusion, rigidity of the arms and legs, and oral
facial dyskinesias. Diagnosis of anti-NMDA receptor encephalitis was confirmed by
detection of anti-NMDA receptor antibodies both in serum and CSF. Due to the
severity of the disease, 3-weeks' intensive care and mechanical ventilation were
administrated for the patient. Image of pelvic CT and MRI of the patient showed
dynamic changing process of HO. The muscles showed edema and scattered
inflammation at the very beginning, and then gradually formed mature bone tissue.
CONCLUSIONS: Anti-NMDA receptor encephalitis often presents with severe
neurologic symptoms and requires long time intensive care and mechanical
ventilation, which makes the patient easily complicate with HO. More studies are
required to elucidate the mechanisms of HO and more attention should be paid to
patients with encephalitis who might develop severe muscle ossifications
requiring early interventions.
PMID- 27871316
TI - Heterogeneous antigenic properties of the porcine reproductive and respiratory
syndrome virus nucleocapsid.
AB - Porcine reproductive and respiratory syndrome virus (PRRSV) is an arterivirus
responsible for a widespread contagious disease of domestic pigs with high
economic impact. Switzerland is one of the rare PRRSV-free countries in Europe,
although sporadic outbreaks have occurred in the past. The PRRSV isolate IVI-1173
from the short outbreak in Switzerland in 2012 was entirely sequenced, and a
functional full-length cDNA clone was constructed. Genetic and antigenic
characterization of IVI-1173 revealed the importance of amino acid 90 of the
nucleocapsid protein N as part of a conformational epitope. IVI-1173 was not
detected by SDOW17, a monoclonal antibody against N widely used to detect PRRSV
infected cells. Substitution of alanine at position 90 of N [N(A90)] with a
threonine [N(T90)] restored reactivity of vIVI1173-N(T90) to SDOW17 completely.
The relevance of this amino acid for the conformational SDOW17 epitope of PRRSV N
was further confirmed by the opposite substitution in a functional cDNA clone of
the genotype 2 isolate RVB-581. Finally, N proteins from ten genotype 1 strains
differing from threonine at position 90 were analysed for reactivity with SDOW17.
N(A90) totally disrupted or severely affected the epitope in 7 out of 8 strains
tested. Based on these findings, 225 genotype 1 strains were screened for the
prevalence of N(A90). N(A90) is rare in classical subtype 1 and in subtype 3
strains, but is frequent in Russian subtype 1 (70%) and in subtype 2 (45%)
isolates. In conclusion, this study highlights the variable antigenic properties
of N among genotype 1 PRRSV strains.
PMID- 27871318
TI - Reference curves of birth weight, length, and head circumference for gestational
ages in Yogyakarta, Indonesia.
AB - BACKGROUND: The birth weight reference curve to estimate the newborns at risk in
need of assessment and monitoring has been established. The previous reference
curves from Indonesia, approximately 8 years ago, were based on the data
collected from teaching hospitals only with limited gestational ages. The aims of
the study were to update the reference curves for birth weight, supine length and
head circumference for Indonesia, and to compare birth weight curves of boys and
girls, first child and later children, and the ones in the previous studies.
METHODS: Data were extracted from the Maternal-Perinatal database between 1998
2007. Only live singletons with recorded gestational ages of 26 to 42 weeks and
the exact time of admission to the neonatal facilities delivered or referred
within 24 h of age to Sardjito Hospital, five district hospitals and five health
centers in Yogyakarta Special Territory were included. Newborns with severely ill
conditions, congenital anomaly and chromosomal abnormality were excluded.
Smoothening of the curves was accomplished using a third-order polynomial
equation. RESULTS: Our study included 54,599 singleton live births. Growth curves
were constructed for boys (53.3%) and girls (46.7%) for birth weight, supine
length, and head circumference. At term, mean birth weight for each gestational
age of boys was significantly higher than that of girls. While mean birth weight
for each gestational age of first-born-children, on the other hand was
significantly lower than that of later-born-children. The mean birth weight was
lower than that of Lubchenco's study. Compared with the previous Indonesian study
by Alisyahbana, no differences were observed for the aterm infants, but lower
mean birth weight was observed in preterm infants. CONCLUSIONS: Updated neonatal
reference curves for birth weight, supine length and head circumference are
important to classify high risk newborns in specific area and to identify
newborns requiring attention.
PMID- 27871319
TI - A phase I dose-escalation study of PEP02 (irinotecan liposome injection) in
combination with 5-fluorouracil and leucovorin in advanced solid tumors.
AB - BACKGROUND: PEP02 (also known as MM-398, nal-IRI) is a novel nanoparticle
formulation of irinotecan encapsulated in liposomes. The aims of this study were
to investigate the dose-limiting toxicity (DLT), maximum tolerated dose (MTD) and
pharmacokinetics (PK) of PEP02 in combination with 5-FU and LV, in patients with
advanced refractory solid tumors. METHODS: Patients were enrolled in cohorts to
receive PEP02 from 60 to 120 mg/m2 (dose expressed as the irinotecan
hydrochloride trihydrate salt) as a 90-min intravenous infusion on day 1,
followed by 24 h infusion of 5-FU 2,000 mg/m2 and LV 200 mg/m2 on days 1 and 8,
every 3 weeks. RESULTS: A total of 16 patients were assigned to four dose levels,
60 (three patients), 80 (six patients), 100 (five patients) and 120 mg/m2 (two
patients). DLT was observed in four patients, two at the 100 mg/m2 dose level
(one had grade III infection with hypotension and grade III hemorrhage; the other
had grade III diarrhea and grade IV neutropenia), and two at the 120 mg/m2 dose
level (one had grade III diarrhea and grade IV neutropenia; the other had grade
III diarrhea). The MTD of PEP02 was determined as 80 mg/m2. The most common
treatment-related adverse events were nausea (81%), diarrhea (75%) and vomiting
(69%). Among the six patients who received the MTD, one patient exhibited partial
response, four patients had stable disease and one showed progressive disease.
Pharmacokinetic data showed that PEP02 had a lower peak plasma concentration,
longer half-life, and increased area under the plasma concentration-time curve
from zero to time t of SN-38 than irinotecan at similar dose level. CONCLUSIONS:
The MTD of PEP02 on day 1 in combination with 24-h infusion of 5-FU and LV on
days 1 and 8, every 3 weeks was 80 mg/m2, which will be the recommended dose for
future studies. TRIAL REGISTRATION: The trial was retrospectively registered (
NCT02884128 ) with date of registration: August 12, 2016.
PMID- 27871320
TI - Assessment of the dimensionality of the Wijma delivery expectancy/experience
questionnaire using factor analysis and Rasch analysis.
AB - BACKGROUND: Fear of childbirth has negative consequences for a woman's physical
and emotional wellbeing. The most commonly used measurement tool for childbirth
fear is the Wijma Delivery Expectancy Questionnaire (WDEQ-A). Although originally
conceptualized as unidimensional, subsequent investigations have suggested it is
multidimensional. This study aimed to undertake a detailed psychometric
assessment of the WDEQ-A; exploring the dimensionality and identifying possible
subscales that may have clinical and research utility. METHODS: WDEQ-A was
administered to a sample of 1410 Australian women in mid-pregnancy. The
dimensionality of WDEQ-A was explored using exploratory (EFA) and confirmatory
factor analysis (CFA), and Rasch analysis. RESULTS: EFA identified a four factor
solution. CFA failed to support the unidimensional structure of the original WDEQ
A, but confirmed the four factor solution identified by EFA. Rasch analysis was
used to refine the four subscales (Negative emotions: five items; Lack of
positive emotions: five items; Social isolation: four items; Moment of birth:
three items). Each WDEQ-A Revised subscale showed good fit to the Rasch model and
adequate internal consistency reliability. The correlation between Negative
emotions and Lack of positive emotions was strong, however Moment of birth and
Social isolation showed much lower intercorrelations, suggesting they should not
be added to create a total score. CONCLUSION: This study supports the findings of
other investigations that suggest the WDEQ-A is multidimensional and should not
be used in its original form. The WDEQ-A Revised may provide researchers with a
more refined, psychometrically sound tool to explore the differential impact of
aspects of childbirth fear.
PMID- 27871321
TI - Biochemical characterization and synergism of cellulolytic enzyme system from
Chaetomium globosum on rice straw saccharification.
AB - BACKGROUND: Efficient hydrolysis of lignocellulosic materials to sugars for
conversion to biofuels and chemicals is a key step in biorefinery. Designing an
active saccharifying enzyme system with synergy among their components is
considered a promising approach. RESULTS: In this study, a lignocellulose
degrading enzyme system of Chaetomium globosum BCC5776 (CG-Cel) was characterized
for its activity and proteomic profiles, and synergism with accessory enzymes.
The highest cellulase productivity of 0.40 FPU/mL was found for CG-Cel under the
optimized submerged fermentation conditions on 1% (w/v) EPFB (empty palm fruit
bunch), 2% microcrystalline cellulose (Avicel(r)) and 1% soybean meal (SBM) at 30
degrees C, pH 5.8 for 6 d. CG-Cel worked optimally at 50-60 degrees C in an
acidic pH range. Proteomics analysis by LC/MS/MS revealed a complex enzyme system
composed of core cellulases and accessory hydrolytic/non-hydrolytic enzymes
attacking plant biopolymers. A synergistic enzyme system comprising the CG-Cel, a
beta-glucosidase (Novozyme(r) 188) and a hemicellulase Accellerase(r) XY was
optimized on saccharification of alkaline-pretreated rice straw by a mixture
design approach. Applying a full cubic model, the optimal ratio of ternary enzyme
mixture containing CG-Cel: Novozyme(r) 188: Accellerase(r) XY of 44.4:20.6:35.0
showed synergistic enhancement on reducing sugar yield with a glucose releasing
efficiency of 256.4 mg/FPU, equivalent to a 2.9 times compared with that from CG
Cel alone. CONCLUSIONS: The work showed an approach for developing an active
synergistic enzyme system based on the newly characterized C. globosum for
lignocellulose saccharification and modification in bio-industries.
PMID- 27871322
TI - Causes of maternal and child mortality among Cambodian sex workers and their
children: a cross sectional study.
AB - BACKGROUND: To reach global and national goals for maternal and child mortality,
countries must identify vulnerable populations, which includes sex workers and
their children. The objective of this study was to identify and describe maternal
deaths of female sex workers in Cambodia and causes of death among their
children. METHODS: A convenience sample of female sex workers were recruited by
local NGOs that provide support to sex workers. We modified the maternal
mortality section of the 2010 Cambodia Demographic and Health Survey and
collected reports of all deaths of female sex workers. For each death we ask the
'sisterhood' methodology questions to identify maternal deaths. For child deaths
we asked each mother who reported the death of a child about the cause of death.
We also asked all participants about the cause of deaths of children of other
female sex workers. RESULTS: We interviewed 271 female sex workers in the four
largest Cambodian cities between May and September 2013. Participants reported 32
deaths of other female sex workers that met criteria for maternal death. The most
common reported causes of maternal deaths were abortion (n = 13;40%) and HIV (n =
5;16%). Participants report deaths of 8 of their children and 50 deaths of
children of other female sex workers. HIV was the reported cause of death for 13
(36%) children under age five. CONCLUSION: This is the first report of maternal
deaths of sex workers in Cambodia or any other country. This modification of the
sisterhood methodology has not been validated and did not allow us to calculate
maternal mortality rates so the results are not generalizable, however these
deaths may represent unrecognized maternal deaths in Cambodia. The results also
indicate that children of sex workers in Cambodia are at risk of HIV and may not
be accessing treatment. These issues require additional studies but in the
meantime we must assure that sex workers in Cambodia and their children have
access to quality health services.
PMID- 27871323
TI - Spine surgery outcome in patients who sought compensation after a motor vehicle
accident: a retrospective cohort study.
AB - BACKGROUND: Back and neck pain are common after road traffic injury and are
treated by spine surgery in some cases. This study aimed to describe the outcomes
of spine surgery in people who made an insurance claim after road traffic
accidents without an associated spinal fracture or dislocation. METHODS: This
study was a retrospective cohort based on insurers' data of Compulsory Third
Party (CTP) claims. File audit and data extraction were undertaken using a study
specific proforma. Primary outcomes were ongoing pain and symptoms,
complications, return to work and pre-injury duties, and ongoing treatment 2
years following spine surgery. Secondary outcomes were health care costs based on
data provided by the insurers. RESULTS: After screening 766 files, 90 cases were
included (female: 48; mean age: 46 years). Among the subjects who were working
prior the injury, the rate of return to work was 37% and return to pre-injury
duties was 23% 2 years following the surgery. The average number of appointments
with health care professionals in the 1 year after surgery was 21, compared to 10
for the 1 year prior to surgery (p = 0.03). At 2 years following the initial
surgery, 21% of claimants had undergone revision spine surgery; 68% reported
ongoing back pain and 41% had ongoing radicular symptoms. The difference between
costs 1 year before and after surgery (excluding surgical costs) was
statistically significant (p = 0.04). Fusions surgery was associated with higher
total costs than decompression alone. After adjusting for surgery type, lumbar
surgery was associated with higher costs in the 1 year after surgery and total
surgical costs compared to cervical surgery. CONCLUSIONS: The majority of
claimants continued having clinical symptoms, continued using health care and did
not return to work despite undertaking spine surgery.
PMID- 27871324
TI - Factors affecting the delivery of community pharmacist-led medication reviews:
evidence from the MedsCheck annual service in Ontario.
AB - BACKGROUND: Medication reviews have become part of pharmacy practice across
developed countries. This study aimed to identify factors affecting the
likelihood of eligible Ontario seniors receiving a pharmacy-led medication review
called MedsCheck annual (MCA). METHODS: We designed a cohort study using a random
sample of pharmacy claims for MCA-eligible Ontario seniors using linked
administrative data from April 2012 to March 2013. Guided by a conceptual
framework, we constructed a generalized-estimating-equations model to estimate
the effect of patient, pharmacy and community factors on the likelihood of
receiving MCA. RESULTS: Of the 2,878,958 eligible claim-dates, 65,605 included an
MCA. Compared to eligible individuals who did not receive an MCA, recipients were
more likely to have a prior MCA (OR = 3.03), receive a new medication on the
claim-date (OR = 1.78), be hypertensive (OR = 1.18) or have a recent
hospitalization (OR = 1.07). MCA recipients had fewer medications (e.g., OR =
0.44 for >=12 medications versus 0-4 medications), and were less likely to
receive an MCA in a rural (OR = 0.74) or high-volume pharmacy (OR = 0.65).
CONCLUSIONS: The most important determinant of receiving an MCA was having had a
prior MCA. Overall, MCA recipients were healthier, younger, urban-dwelling, and
taking fewer medications than non-recipients. Policies regarding current and
future medication review programs may need to evolve to ensure that those at
greatest need receive timely and comprehensive medication reviews.
PMID- 27871325
TI - Impact of placental Plasmodium falciparum malaria infection on the Cameroonian
maternal and neonate's plasma levels of some cytokines known to regulate T cells
differentiation and function.
AB - BACKGROUND: The impact of placental malaria (PM) infection on the expression
profile of some cytokines known to regulate T cell differentiation and function
and their influence on birth weight remain unclear. Moreover, there are no
reports showing the relationship between PM and IL-27 or IL-28A. This study
therefore sought to investigate whether placental P. falciparum infection alters
the expression profile of the cytokines IL-28A, IL-27, IL-17E and IL-6 in mothers
and their new born. METHODS: In a cross-sectional study conducted between 2013
and 2015 in Yaounde, Cameroon, peripheral, placental and cord blood samples were
collected from 108 women at delivery. Parasitaemia was determined microscopically
and haemoglobin levels determined using a Coulter counter. Plasma levels of
cytokines (IL-28A, IL-27, IL-17E and IL-6) were measured by Luminex magnetic
screening assay. RESULTS: Malaria parasite density in placenta impression smear
associated negatively with maternal haemoglobin level (P < 0.0001) and baby birth
weight (P = 0.016). While IL-17E, IL-27 and IL-28A levels were significantly
higher in placental and cord plasma than in peripheral (P < 0.0001, < 0.001 and P
= 0.026, respectively), an opposite relationship was observed with IL-6 (P =
0.0018). Multivariate analysis confirmed results of univariate analysis where the
presence of malaria parasites or pigments in placenta tissue impression smears
correlated with decrease levels of maternal IL-17E, IL-27 and IL-28A and neonate
levels of IL-28A and IL-17E (0.0001 <= P <= 0.02). Placental and peripheral
parasitaemias also correlated positively with peripheral plasma levels of IL-6
(rs = 0.18, P = 0.05 and rs = 0.17, P = 0.07, respectively). In addition, high
maternal haemoglobin level associated with increasing levels of IL-17E, IL-27 and
IL-28A in peripheral plasma (0.002 <= P <= 0.018) and high placental and cord
plasma levels of these cytokines associated with increasing birth weight (0.0001
<= P <= 0.0027). CONCLUSIONS: Placental malaria downregulates maternal plasma
levels of IL-17E, IL-27 and IL-28A and neonates' plasma levels of IL-17E and IL
28A cytokines, which could help for parasite clearance and increase child birth
weight. The study is expected to provide leads that should help identify
potential biomarkers for improved birth weight and therapeutic interventions.
PMID- 27871327
TI - Ebola, Zika and the International Health Regulations - implications for Port
Health Preparedness.
AB - BACKGROUND: The outbreak of Ebola Virus Disease in West Africa in 2014-2015 was
unprecedented in terms of its scale and consequence. This, together with the
emergence of Zika virus as a Public Health Emergency of International Concern in
2016, has again highlighted the potential for disease to spread across
international borders and provided an impetus for countries to review their Port
Health preparedness. This report reviews the legislative framework and actions
taken under this framework in advancing and improving Port Health preparedness in
Ireland, in response to the declaration of the Public Health Emergency of
International Concern for Ebola Virus Disease in August 2014. FINDINGS:
Infectious disease Shipping and Aircraft Regulations were brought into force in
Ireland in 2008 and 2009, respectively. Preparatory actions taken under these and
the International Health Regulations necessitated significant levels of cross
disciplinary working with other organisations, both within and beyond traditional
healthcare settings. Information packs on Ebola Virus Disease were prepared and
distributed to airports, airlines, port authorities and shipping agents, and
practical exercises were held at relevant sites. Agreements were put in place for
contact tracing of passenger and crew on affected conveyances and protocols were
established for the management of Medical Declarations of Health from ships
coming from West Africa. CONCLUSIONS: The outbreak of Ebola Virus Disease in West
Africa resulted in significant strengthening of Ireland's Port Health
preparedness, while also highlighting the extent to which preparedness requires
ongoing and sustained commitment from all stakeholders, both nationally and
internationally, in ensuring that countries are ready when the next threat
presents at their borders.
PMID- 27871326
TI - Tryptophan hydroxylase 1 and 5-HT7 receptor preferentially expressed in triple
negative breast cancer promote cancer progression through autocrine serotonin
signaling.
AB - BACKGROUND: Triple-negative breast cancer (TNBC) has a high risk of relapse and
there are few chemotherapy options. Although 5-hydroxytryptamine (5-HT,
serotonin) signaling pathways have been suggested as potential targets for anti
cancer drug development, the mechanism responsible for the action of 5-HT in TNBC
remains unknown. METHODS: Quantitative real-time polymerase chain reaction (qRT
PCR) and Western blotting were used to measure mRNA and protein levels,
respectively. Cell proliferation was measured using CellTiter 96 Aqueous One
Solution. siRNA transfection was used to assess involvement of genes in cancer
invasion, which were identified by Matrigel transwell invasion assay. Levels of 5
HT and vascular endothelial growth factor (VEGF) were measured using ELISA kits.
Chick chorioallantoic membrane (CAM) assay and mouse tumor model were used to
investigate the in vivo effects of SB269970, a 5-HT7 receptor antagonist, and BJ
1113, a novel synthetic compound. RESULTS: TNBC cell lines (MDA-MB-231, HCC-1395,
and Hs578T) expressed higher levels of tryptophan hydroxylase 1 (TPH1) than
hormone-responsive breast cancer cell lines (MCF-7 and T47D). In MDA-MB-231
cells, 5-HT promoted invasion and proliferation via 5-HT7 receptor, and
interestingly, the stimulatory effect of 5-HT on MDA-MB-231 cell invasion was
stronger than its effect on proliferation. Likewise, downstream signaling
pathways of 5-HT7 differed during invasion and proliferation, that is, Galpha
activated cAMP and Gbetagamma-activated kinase signaling during invasion, and
Gbetagamma-activated PI3K/Akt signaling during proliferation. Also, 5-HT
increased the protein expressions of TPH1 and VEGF in MDA-MB-231 cells. These
results provide insight of the stimulatory effect of 5-HT on breast cancer
progression; 5-HT was found to act more strongly during the first stage of
metastasis (during invasion and migration) than during the later proliferative
phase after local invasion. Interestingly, these actions of 5-HT were inhibited
by BJ-1113, a 6-amino-2,4,5-trimethylpyridin-3-ol analog. BJ-1113 blocked
intracellular signaling pathways initiated by 5-HT7 receptor activation, and
exhibited anti-proliferative and anti-invasive activities against MDA-MB-231
cells. Furthermore, the inhibitory effect of BJ-1113 against MDA-MB-231 tumor
growth was greater than that of SB269970, a 5-HT7 receptor antagonist.
CONCLUSIONS: 5-HT7 receptor which mediates 5-HT-induced cancer progression is a
potential therapeutic target in TNBC, and BJ-1113 offers a novel scaffold for the
development of anti-cancer agents against TNBC.
PMID- 27871328
TI - Membrane bound modified form of clade B Env, JRCSF is suitable for immunogen
design as it is efficiently cleaved and displays all the broadly neutralizing
epitopes including V2 and C2 domain-dependent conformational epitopes.
AB - BACKGROUND: Antigenicity of HIV-1 envelope proteins (Envs) of both lab-adapted
and primary isolates expressed on the cell surface rarely match with in vitro
neutralization of viruses, pseudo-typed with corresponding Envs. Often, both
neutralizing and non-neutralizing antibodies bind to Envs expressed on the cell
membrane. This could be due to the lack of efficient cleavage of Env expressed on
the cell surface. Naturally occurring, efficiently cleaved Envs with appropriate
antigenic properties are relatively rare. Given viral diversity it is essential
to increase the pool of candidate Envs suitable for immunogen design. Previously,
it has been reported that JRFL Env is the only clade B Env, which is efficiently
cleaved on the cell surface and retains desirable antigenic properties. JRCSF is
a clade B Env isolated from the same patient as JRFL. JRCSF Env has not been
explored aggressively for designing immunogen as the binding characteristics of
JRCSF Env to broadly neutralizing antibodies on the cell surface and its cleavage
status are unknown. RESULTS: Although JRCSF preferentially binds to most of the
other gp120-directed neutralizing antibodies and cleavage dependent antibody,
PGT151 efficiently, it binds poorly to CD4-binding-site-directed (CD4-bs
directed) neutralizing antibodies on cell surface. Membrane bound form of
modified JRCSF Env containing the N197D mutation binds to CD4-bs-directed
neutralizing antibodies better than JRFL, without debilitating its ability to
bind quaternary epitope-directed neutralizing antibodies or exposing the CD4i
antibody epitopes. In comparison to JRFL (E168K), JRCSF Env binds more
efficiently to PG9/PGT145 class of V1/V2-directed conformational antibodies.
Biochemical, cell surface staining and gp120 shedding experiments suggest that
JRCSF is efficiently cleaved on the cell surface. CONCLUSIONS: Binding of JRCSF
Env expressed on cell surface to the various HIV-1 Env-directed antibodies has
not been reported earlier. Here, for the first time, we report that compared to
JRFL, JRCSF displays epitopes for a larger number of broadly neutralizing
antibodies and is also efficiently cleaved when expressed on the cell surface.
Thus, considering the diversity of viral Envs and the discovery of conformation
dependent glycan-directed antibodies in HIV-1 infected individuals, an innately
cleaved JRCSF Env as present on the viral membrane and displaying those distinct
epitopes may be an important candidate for immunogen design.
PMID- 27871329
TI - High expression of EPB41L5, an integral component of the Arf6-driven mesenchymal
program, correlates with poor prognosis of squamous cell carcinoma of the tongue.
AB - BACKGROUND: Squamous cell carcinoma of the tongue (tongue SCC) is a major subtype
of head and neck squamous cell carcinoma (HNSCC), which is an intractable cancer
under current therapeutics. ARF6 and its effector AMAP1 are often overexpressed
in different types of cancers, such as breast cancer and renal cancer, and in
these cancers, AMAP1 binds to EPB41L5 to promote invasion, metastasis, and drug
resistance. EPB41L5 is a mesenchymal-specific protein, normally induced during
epithelial-mesenchymal transition (EMT) to promote focal adhesion dynamics.
Similarly to breast cancer and renal cancer, the acquisition of mesenchymal
phenotypes is the key process that drives the malignancy of HNSCC. We previously
showed that the overexpression of AMAP1 in tongue SCC is statistically correlated
with the poor outcome of patients. In this study, we examined whether tongue SCC
also expresses EPB41L5 at high levels. RESULTS: Immunohistochemical staining of
clinical specimens of tongue SCC demonstrated that high expression levels of
EPB41L5 statistically correlate with poor disease-free survival and poor overall
survival rates of patients. The tongue SCC cell line SCC-9, which overexpress
Arf6 and AMAP1, also expressed EPB41L5 at high levels to promote invasiveness,
whereas the weakly invasive SCC-25 cells did not express EPB41L5 at notable
levels. Among the different EMT-associated transcriptional factors, ZEB1 was
previously found to be most crucial in inducing EPB41L5 in breast cancer and
renal cancer. In contrast, expression levels of ZEB1 did not correlate with the
expression levels of EPB41L5 in tongue SCC, whereas KLF8 and FOXO3 levels showed
positive correlations with EPB41L5 levels. Moreover, silencing of EPB41L5 only
marginally improved the drug resistance of SCC-9 cells, even when coupled with
ionizing radiation. CONCLUSION: Our results indicate that activation of the
cancer mesenchymal program in tongue SCC, which leads to EPB41L5 expression,
closely correlates with the poor prognosis of patients. However, ZEB1 was not the
major inducer of EPB41L5 in tongue SCC, unlike in breast cancer and renal cancer.
Thus, processes that trigger the mesenchymal program of tongue SCC, which drives
their malignancies, seem to be substantially different from those of other
cancers.
PMID- 27871330
TI - Age is not a determinant factor in susceptibility of broilers to H5N2 clade
2.3.4.4 high pathogenicity avian influenza virus.
AB - In 2014-2015, the US experienced an unprecedented outbreak of H5 clade 2.3.4.4
highly pathogenic avian influenza (HPAI) virus. The H5N2 HPAI virus outbreak in
the Midwest in 2015 affected commercial turkey and layer farms, but not broiler
farms. To assess any potential genetic resistance of broilers and/or age-related
effects, we investigated the pathogenesis and transmission of
A/turkey/Minnesota/12582/2015 (H5N2) (Tk/MN/15) virus in commercial 5-week-old
broilers, 8-week-old broilers, and >30-week-old broiler breeders. The mean bird
lethal dose (BLD50) was 5.0 log10 mean egg infectious dose (EID50) for all age
groups. The mean death time (MDT) was statistically not different among the three
age groups, ranging between 3.2 and 4.8 days. All broilers that became infected
shed high levels of virus with transmission to contacts and demonstrated severe
pathology. Mortality and virus shedding results indicated that age is not a
determinant factor in susceptibility of broilers to H5N2 clade 2.3.4.4 HPAI
virus. Previously, the Tk/MN/15 virus had a BLD50 of 3.6 log10 EID50 and MDT of 2
days in White Leghorn chickens and a BLD50 of 5.0 log10 EID50 and MDT of 5.9 days
in turkeys, suggesting that the broiler breed is less susceptible to Midwestern
H5N2 virus than the layer breed but similarly susceptible to turkeys. Therefore,
genetic resistance of broilers to infection may have accounted only partially for
the lack of affected broiler farms in the Midwestern outbreaks, with other
contributing factors such as fewer outside to on farm exposure to contacts, type
of production management system or enhanced biosecurity.
PMID- 27871331
TI - Association of DARS gene polymorphisms with the risk of isolated ventricular
septal defects in the Chinese Han population.
AB - BACKGROUND: Ventricular septal defects (VSD) are the most common subtype of
congenital heart defects (CHD) and are estimated to account for 20 to 30% of all
cases of CHD. The etiology of isolated VSD remains poorly understood. Eight core
aminoacyl-tRNA synthetases (ARSs) (EPRS, MARS, QARS, RARS, IARS, LARS, KARS, and
DARS) combine with three nonenzymatic components to form a complex known as the
multisynthetase complex (MSC). Four single nucleotide polymorphisms (SNPs) in
EPRS have been reported to be associated with risks of CHD in Chinese
populations. METHODS: In this study, we hypothesize that SNPs of the DARS gene
might influence susceptibility to sporadic isolated VSD. Therefore, we conducted
a case-control study of 841 patients with isolated VSD and 2953 non-CHD controls
from the Chinese Han population to evaluate how 4 potentially functional SNPs
within the DARS gene were associated with the risk of VSD. RESULTS: We observed
that the risk of VSD was significantly associated with rs2164331 [G/A; odds ratio
(OR) = 0.78, 95% confidence interval (CI) = 0.69-0.91; P = 3.17 * 10-3],
rs6738266 [G/A; OR = 1.17, 95% CI = 1.05-1.29, P = 1.83 * 10-3], and rs309143
[G/A; OR = 1.09, 95% CI = 1.01-1.17; P = 3.12 * 10-2]. Additionally, compared
with individuals with 0-2 risk alleles, individuals carrying 3, 4, and 5 or more
risk alleles had 1.01-, 1.22- and 1.46-fold greater risks of VSD, respectively.
These findings revealed a significant dose-response effect for VSD risk among
individuals carrying different numbers of risk alleles (Ptrend = 6.37 * 10-4).
CONCLUSIONS: These findings indicate that genetic variants of the DARS gene may
influence individual susceptibility to isolated VSD in the Chinese Han
population.
PMID- 27871332
TI - The prevalence of novel psychoactive substances (NPS) use in non-clinical
populations: a systematic review protocol.
AB - BACKGROUND: Novel psychoactive substances (NPS) are new narcotic or psychotropic
drugs that are not controlled by the United Nations drug convention that may pose
a serious public health threat due to their wide availability for purchase on the
internet and in so called "head shops." Yet, the extent of their global use
remains largely unknown. The aim of this study is to conduct a systematic review
of the prevalence of NPS use in non-clinical populations. METHODS: This is a
systematic review of observational studies. Embase, MEDLINE, PubMed, Cumulative
Index to Nursing and Allied Health (CINAHL), Cochrane Library, Lilacs, Scopus,
Global Health, PsychINFO, Web of Science, and the World Health Organization (WHO)
regional databases will be searched for eligible prevalence studies published
between 2010 and 2016. Data from cross-sectional studies that report the
prevalence of NPS use (one or more types) in participants (of any age) from
censuses or probabilistic or convenience samples will be included. Data will be
extracted from eligible publications, using a data extraction tool developed for
this study. Visual and statistical approaches will be adopted instead of
traditional meta-analytic approaches. DISCUSSION: This review will describe the
distributions of various types of prevalence estimates of NPS use and explore the
impact of different population groups and study-related and tempo-geographical
variables on characteristics of these distributions over the period of 2010 to
2016. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42016037020.
PMID- 27871333
TI - Building a competent health manager at district level: a grounded theory study
from Eastern Uganda.
AB - BACKGROUND: Health systems in low-income countries are often characterized by
poor health outcomes. While many reasons have been advanced to explain the
persistently poor outcomes, management of the system has been found to play a key
role. According to a WHO framework, the management of health systems is central
to its ability to deliver needed health services. In this study, we examined how
district managers in a rural setting in Uganda perceived existing approaches to
strengthening management so as to provide a pragmatic and synergistic model for
improving management capacity building. METHODS: Twenty-two interviews were
conducted with district level administrative and political managers, district
level health managers and health facility managers to understand their
perceptions and definitions of management and capacity building. Kathy Charmaz's
constructive approach to grounded theory informed the data analysis process.
RESULTS: An interative, dynamic and complex model with three sub-process of
building a competent health manager was developed. A competent manager was
understood as one who knew his/her roles, was well informed and was empowered to
execute management functions. Professionalizing health managers which was viewed
as the foundation, the use of engaging learning approaches as the inside contents
and having a supportive work environment the frame of the model were the sub
processes involved in the model. The sub-processes were interconnected although
the respondents agreed that having a supportive work environment was more time
and effort intensive relative to the other two sub-processes. CONCLUSIONS: The
model developed in our study makes four central contributions to enhance the WHO
framework and the existing literature. First, it emphasizes management capacity
building as an iterative, dynamic and complex process rather than a set of
characteristics of competent managers. Second, our model suggests the need for
professionalization of health managers at different levels of the health system.
Third, our model underscores the benefits that could be accrued from the use of
engaging learning approaches through prolonged and sustained processes that act
in synergy. Lastly, our model postulates that different resource investments and
a varied range of stakeholders could be required at each of the sub-processes.
PMID- 27871335
TI - Aldehyde dehydrogenase expression in Metaphire posthuma as a bioindicator to
monitor heavy metal pollution in soil.
AB - BACKGROUND: Soil contamination and associated pollution plays a detrimental role
in soil flora and fauna. Soil is processed and remodeled by subterranean
earthworms, accordingly are referred to as soil chemical engineers. These worms,
besides processing carbon and nitrogen, serve as minors for processing metals. In
heavy metal contaminated soils, they accumulate heavy metals, which in turn cause
altered gene expression, including aldehyde dehydrogenase (ALDH) enzymes. This
study explores the possibility of ALDH expression in earthworms as a novel
biomarker for the heavy metal contamination of soil. RESULTS: Earthworms cultured
in contaminated soils accumulated significantly higher levels of Pb and Cd.
Similarly, significantly higher levels of ALDH enzyme activities were observed in
earthworms cultured in soils contaminated with Pb and Cd. The ALDH activity was
found to be highest in worms cultured in 5 ppm heavy metal contaminated soils.
Although, ALDH activities decreased as the heavy metal concentration in soil
increased, they were significantly higher when compared to control worms cultured
in uncontaminated soils. The accumulation of heavy metal in earthworms measured
after 28 days decreased as the heavy metal concentration in soil increased.
CONCLUSIONS: Levels of ALDH expression correlated with total Pb and Cd
concentration in the earthworm tissue. This study showed that the ALDH activity
in earthworms could potentially be used as a biomarker to show heavy metal
pollution in soil.
PMID- 27871337
TI - The great spruce bark beetle (Dendroctonus micans Kug.) (Coleoptera: Scolytidae)
in Lithuania: occurrence, phenology, morphology and communities of associated
fungi.
AB - We studied the occurrence, morphology and phenology of Dendroctonus micans in
Lithuania and the fungi associated with the beetle at different developmental
stages. The occurrence of D. micans was assessed in 19 seed orchards (at least 40
years old) of Picea abies (L. Karst.) situated in different parts of the country.
Bark beetle phenology was studied in two sites: a seed orchard of P. abies and a
plantation of Picea pungens (Engelm.). D. micans morphology was assessed under
the dissection microscope using individuals at different developmental stages
that were sampled during phenology observations. Communities of fungi associated
with D. micans were studied using both fungal culturing methods and direct high
throughput sequencing from D. micans. Results showed that the incidence D. micans
was relatively rare and D. micans was mainly detected in central and eastern
Lithuania. The life cycle included the following stages: adult, egg, I-V
developmental stage larvae and pupa. However, development of D. micans was
quicker and its nests larger under the bark of P. pungens than of P. abies,
indicating the effect of the host species. Fungal culturing and direct high
throughput sequencing revealed that D. micans associated fungi communities were
species rich and dominated by yeasts from a class Saccharomycetes. In total, 319
fungal taxa were sequenced, among which Peterozyma toletana (37.5% of all fungal
sequences), Yamadazyma scolyti (30.0%) and Kuraishia capsulate (17.7%) were the
most common. Plant pathogens and blue stain fungi were also detected suggesting
their potentially negative effects to both tree health and timber quality.
PMID- 27871338
TI - Mathematical subtleties and scientific knowledge: Francis Bacon and mathematics,
at the crossing of two traditions.
AB - This article engages the much-debated role of mathematics in Bacon's philosophy
and inductive method at large. The many references to mathematics in Bacon's
works are considered in the context of the humanist reform of the curriculum
studiorum and, in particular, through a comparison with the kinds of natural and
intellectual subtlety as they are defined by many sixteenth-century authors,
including Cardano, Scaliger and Montaigne. Additionally, this article gives a
nuanced background to the 'subtlety' commonly thought to have been eschewed by
Bacon and by Bacon's self-proclaimed followers in the Royal Society of London.
The aim of this article is ultimately to demonstrate that Bacon did not reject
the use of mathematics in natural philosophy altogether. Instead, he hoped that
following the Great Instauration a kind of non-abstract mathematics could be
founded: a kind of mathematics which was to serve natural philosophy by enabling
men to grasp the intrinsic subtlety of nature. Rather than mathematizing nature,
it was mathematics that needed to be 'naturalized'.
PMID- 27871336
TI - ZFAS1: a long noncoding RNA associated with ribosomes in breast cancer cells.
AB - BACKGROUND: Most of the eukaryotic genome is transcribed, yielding a complex
network of transcripts including thousands of lncRNAs that generally lack protein
coding potential. However, only a small percentage of these molecules has been
functionally characterised, and discoveries of specific functions demonstrate
layers of complexity. A large percentage of lncRNAs is located in the cytoplasm,
associated with ribosomes but the function of the majority of these transcripts
is unclear. The current study analyses putative mechanisms of action of the
lncRNA species member ZFAS1 that was initially discovered by microarray analysis
of murine tissues undergoing mammary gland development. As developmental genes
are often deregulated in cancer, here we have studied its function in breast
cancer cell lines. RESULTS: Using human breast cancer cell lines, ZFAS1 was found
to be expressed in all cell lines tested, albeit at different levels of
abundance. Following subcellular fractionation, human ZFAS1 was found in both
nucleus and cytoplasm (as is the mouse orthologue) in an isoform-independent
manner. Sucrose gradients based on velocity sedimentation were utilised to
separate the different components of total cell lysate, and surprisingly ZFAS1
was primarily co-localised with light polysomes. Further investigation into
ribosome association through subunit dissociation studies showed that ZFAS1 was
predominantly associated with the 40S small ribosomal subunit. The expression
levels of ZFAS1 and of mRNAs encoding several ribosomal proteins that have roles
in ribosome assembly, production and maturation were tightly correlated. ZFAS1
knockdown significantly reduced RPS6 phosphorylation. CONCLUSION: A large number
of lncRNAs associate with ribosomes but the function of the majority of these
lncRNAs has not been elucidated. The association of the lncRNA ZFAS1 with a
subpopulation of ribosomes and the correlation with expression of mRNAs for
ribosomal proteins suggest a ribosome-interacting mechanism pertaining to their
assembly or biosynthetic activity. ZFAS1 may represent a new class of lncRNAs
which associates with ribosomes to regulate their function. REVIEWERS: This
article was reviewed by Christine Vande Velde, Nicola Aceto and Haruhiko Siomi.
PMID- 27871334
TI - Exploiting members of the BAHD acyltransferase family to synthesize multiple
hydroxycinnamate and benzoate conjugates in yeast.
AB - BACKGROUND: BAHD acyltransferases, named after the first four biochemically
characterized enzymes of the group, are plant-specific enzymes that catalyze the
transfer of coenzyme A-activated donors onto various acceptor molecules. They are
responsible for the synthesis in plants of a myriad of secondary metabolites,
some of which are beneficial for humans either as therapeutics or as specialty
chemicals such as flavors and fragrances. The production of pharmaceutical,
nutraceutical and commodity chemicals using engineered microbes is an
alternative, green route to energy-intensive chemical syntheses that consume
petroleum-based precursors. However, identification of appropriate enzymes and
validation of their functional expression in heterologous hosts is a prerequisite
for the design and implementation of metabolic pathways in microbes for the
synthesis of such target chemicals. RESULTS: For the synthesis of valuable
metabolites in the yeast Saccharomyces cerevisiae, we selected BAHD
acyltransferases based on their preferred donor and acceptor substrates. In
particular, BAHDs that use hydroxycinnamoyl-CoAs and/or benzoyl-CoA as donors
were targeted because a large number of molecules beneficial to humans belong to
this family of hydroxycinnamate and benzoate conjugates. The selected BAHD coding
sequences were synthesized and cloned individually on a vector containing the
Arabidopsis gene At4CL5, which encodes a promiscuous 4-coumarate:CoA ligase
active on hydroxycinnamates and benzoates. The various S. cerevisiae strains
obtained for co-expression of At4CL5 with the different BAHDs effectively
produced a wide array of valuable hydroxycinnamate and benzoate conjugates upon
addition of adequate combinations of donors and acceptor molecules. In
particular, we report here for the first time the production in yeast of
rosmarinic acid and its derivatives, quinate hydroxycinnamate esters such as
chlorogenic acid, and glycerol hydroxycinnamate esters. Similarly, we achieved
for the first time the microbial production of polyamine hydroxycinnamate amides;
monolignol, malate and fatty alcohol hydroxycinnamate esters; tropane alkaloids;
and benzoate/caffeate alcohol esters. In some instances, the additional
expression of Flavobacterium johnsoniae tyrosine ammonia-lyase (FjTAL) allowed
the synthesis of p-coumarate conjugates and eliminated the need to supplement the
culture media with 4-hydroxycinnamate. CONCLUSION: We demonstrate in this study
the effectiveness of expressing members of the plant BAHD acyltransferase family
in yeast for the synthesis of numerous valuable hydroxycinnamate and benzoate
conjugates.
PMID- 27871339
TI - Methodological considerations for research on ethnopolitical violence.
AB - The methodological and epistemological challenges that research on ethnopolitical
violence faces are examined. This research area is fundamentally important for
political reasons and for understanding, as well as subsequent interventions to
ameliorate, youths' responses to ethnopolitical violence. Advances in methods are
reviewed that can overcome the obstacles placed by the various challenges. These
issues are discussed in the context of the articles that comprise this Special
Section.
PMID- 27871340
TI - Afit: a bioinformatic tool for measuring aphid fitness and invasiveness.
AB - A careful measure of fitness represents a crucial target in crop pest management
and becomes fundamental considering extremely prolific insects. In the present
paper, we describe a standardized rearing protocol and a bioinformatics tool to
calculate aphid fitness indices and invasiveness starting from life table data.
We tested the protocol and the bioinformatic tool using six Myzus persicae
(Sulzer) asexual lineages in order to investigate if karyotype rearrangements and
ecotype could influence their reproductive performances. The tool showed that
different karyotypes do not influence adaptive success and put in evidence a
marked invasive potential of the M. persicae lineage 64. The presence of a
similar fitness rate of 33H and 7GK asexual lineages (both possessing intra
individual karyotype variations) in respect to the asexual lineage 1 (with a
standard karyotype) represents an important demonstration of the potentiality of
holocentric chromosomes to reduce the effects of chromosome rearrangements.
PMID- 27871341
TI - Functional analysis of a NF-kappaB transcription factor in the immune defense of
Oriental fruit fly, Bactrocera dorsalis Hendel (Diptera: Tephritidae).
AB - Although some novel antimicrobial peptides (AMP) have been successfully isolated
from Bactrocera dorsalis Hendel, the mechanisms underlying the induction of these
peptides are still elusive. The homolog of NF-kappaB transcription factor Relish,
designated as BdRelish, was cloned from B. dorsalis. The full length cDNA of
BdRelish is 3954 bp with an open reading frame that encodes 1013 amino acids.
Similar to Drosophila Relish and the mammalian p100, it is a compound protein
containing a conserved Rel homology domain, an IPT (Ig-like, plexins,
transcription factors) domain and an IkappaB-like domain (four ankyrin repeats),
the nuclear localization signal RKRRR is also detected at the residues 449-453,
suggesting that it has homology to Relish and it is a member of the Rel family of
transcription activator proteins. Reverse transcription quantitative polymerase
chain reaction analysis reveals that BdRelish mRNAs are detected in different
quantities from various tissues and the highest transcription level of BdRelish
is determined in fat body. The injection challenge of Escherichia coli and
Staphylococcus aureas significantly upregulated the expression of BdRelish. The
injection of BdRelish dsRNA markedly reduced the expression of BdRelish and
decreased the transcription magnitude of antimicrobial peptides. Individuals
injected BdRelish dsRNA died at a significantly faster rate compared with the
control groups. Therefore, BdRelish is vital for the transcription of AMPs to
attack the invading bacteria.
PMID- 27871342
TI - Campbell de Morgan Spot, Better Known As a Cherry Angioma.
PMID- 27871343
TI - Proximal Nail Fold Hematoma Due to Pulse Oximeter.
PMID- 27871344
TI - Hair and Aging.
AB - Hair is the ultimate personal beauty tool of self-expression. It is more
malleable than skin and it is more personal than clothing; however, hair does not
remain constant with age. Hair is arguably in peak condition at about 30 years of
age. With time, there is a gradual change in many aspects of hair: hair diameter
is reduced, hair density is decreased, androgenic alopecia may develop, and
pigmentation may be diminished, producing a significant psychological impact.
These age-related changes can be exacerbated by blast drying, heat straightening,
perming, and coloring. In this review, the changes in hair fiber and array
(collection of fiber) properties that occur during aging and the impact on
styling and potential interventions that consumers undergo to circumvent these
issues are discussed.
PMID- 27871345
TI - Terra Firma-Forme Dermatosis in Singaporean Patients: The "Alcohol Wipe" Sign.
AB - The term terra firma-forme dermatosis arises from the Latin phrase terra firma,
meaning dry land (dirt), thus implying dirt-like dermatosis. The authors
highlight five cases of patients with terra firma-forme dermatosis presenting to
our dermatology center between 2012 and 2013. All patients presented to the
dermatologist for persistent reticulated brown patches on the skin. These
patients ranged in age from 6 to 22 years. All patients had tried various
cleansing soaps and agents but were unable to remove the patches. The condition
was cosmetically unacceptable to the patients and parents. Clinically, these
patients had reticulated brown patches. Rubbing 70% isopropyl alcohol wipes on
the affected areas demonstrated clearance of the brown pigmented patches in all
cases. The diagnosis of terra firma-forme dermatosis (TFFD) was confirmed by
forceful rubbing with a gauze pad immersed in 70% isopropyl alcohol or ethyl
alcohol. Patients should be reassured about the benign nature of TFFD and
educated about the cleaning procedure. Recognition of this condition can assist
physicians in making a diagnosis and therapy with a simple alcohol wipe,
preventing further unnecessary tests for patients.
PMID- 27871346
TI - Woronoff Ring: A Novel Manifestation of Molluscum Contagiosum.
AB - Woronoff ring has been mostly discussed as a phenomenon in psoriasis, especially
during therapy. It has also been reported in a few other conditions unrelated to
psoriasis; however, the association of Woronoff ring has not been reported in
immunocompetent, healthy, and untreated patients with molluscum contagiosum who
have no apparent systemic illness. The authors report a case series of Woronoff
ring seen in untreated immunocompetent patients with molluscum contagiosum
involving different age groups.
PMID- 27871347
TI - Dermatomyositis-Part 2: Diagnosis, Association With Malignancy, and Treatment.
AB - In this second part of the series on dermatomyositis, the diagnosis and its
criteria with review of autoantibodies, capillaroscopy, imaging exams,
histopathology, muscle biopsy, electroneuromyography, and muscular enzymes will
be assessed. The association with malignancy, since it is described as
paraneoplasic, will be discussed. The different therapeutic options for this
disease will also be reported, including for dystrophic calcification, a rare and
late complication of dermatomyositis.
PMID- 27871349
TI - Alopecia Areata-Part III: Prognosis and Treatment.
AB - The prognosis of alopecia areata is better in cases with single and small
lesions, and the variability of the extension of the disease is one of the
criteria for the choice of treatment modality. Several medications have been
described in the literature for the treatment of alopecia areata, including
corticosteroids, minoxidil, and diphencyprone. The authors review treatments for
alopecia areata.
PMID- 27871350
TI - Early Description of Diet-Induced Blistering Skin Diseases in Medieval Persia:
Avicenna's Point of View.
AB - Pemphigus is an autoimmune blistering skin disease that is strongly associated
with different environmental factors. Among these, nutritional factors are
considered to trigger pemphigus; however, their role may be underestimated.
Investigated more recently in conventional medicine, this causative bond between
dietary factors and blistering skin diseases was mentioned by Persian scholars
such as Avicenna a thousand years ago. Avicenna, a well-known Persian physician
and philosopher, who could be considered a pioneer in dermatology, discussed skin
diseases in a chapter in The Canon of Medicine. He accounted for some nutritional
triggers for skin blisters (mentioned as "hot swellings"), such as onion, garlic,
leek, pepper, and wine. His precise description of causative factors based on
principles of traditional Persian medicine (TPM) is appreciable and might well
lead us to find more efficient ways for the prevention and treatment of
blistering skin diseases.
PMID- 27871351
TI - The Skin: A Time Capsule.
PMID- 27871352
TI - What Is New in the Management of Alopecia Areata.
PMID- 27871354
TI - Trench Foot.
PMID- 27871353
TI - Becoming Wiser About the Wiesner Nevus.
PMID- 27871355
TI - Desquamative Dermatitis Caused by Erlotinib: An Underreported Cutaneous Adverse
Event.
PMID- 27871356
TI - A Pigmented Subcutaneous Nodule on the Scalp.
AB - A 52-year-old man presented to his primary doctor with a slow-growing cystic
lesion on his occipital scalp. His primary care doctor diagnosed the lesion as a
pilar cyst and recommended observation because the lesion was asymptomatic at
that time. The patient had no significant medical or surgical history. There was
no family history of skin cancer or other malignancies.
PMID- 27871357
TI - Contact Urticaria With Paraphenylene Diamine, Rare or Underreported?
AB - A 45-year old woman presented with a 2-day history of intensely itchy,
erythematous wheals over her scalp and around her eyes, ears, and front of the
chest. She had applied hair color and immediately developed hives on the scalp,
face, and chest with rhinitis and redness in the eyes. The lesions recovered
within a half hour of taking a cetirizine 10-mg tablet. She gave a similar
history around three times in the past 6 months. She used a different brand of
hair color every time but all were associated with the same symptoms. In the
first and second episodes, the itchy wheals were localized to the scalp, but in
the present episode it was most severe with eye and nasal mucosal involvement and
lesions spreading to involve the face, ears, and chest. There was no oozing or
eczematization present. All episodes responded well to oral cetrizine.
PMID- 27871358
TI - Unna Nevus: Early Presentation in a 10-Year-Old Girl.
AB - A 10-year-old girl presented with three asymptomatic raised lesions over the
dorsal aspect of her left index finger present for the past 7 years. On
examination, there were three skin-colored nodules overlying the middle and
distal phalanx of her left index finger, without any attachment to the underlying
structures (Figure 1) The nodule over the distal phalanx was the largest with a
cobblestone-like surface. All the nodules were firm to touch. Cutaneous, mucosa,
hair, nails, and systemic examinations were within normal limits. The two smaller
nodules were excised under local anesthesia and sent for histopathologic
examination. Histologic study from one of the representative lesion showed type B
nevus cells (lymphocytoid), located in the expanded papillary dermis (Figures 2
and 3).
PMID- 27871360
TI - Consequences.
PMID- 27871359
TI - Focal Epithelial Hyperplasia in Adult Patients With HIV Infection: Clearance With
Topical Imiquimod.
AB - Case 1 A 41-year-old man with human immunodeficiency virus (HIV) 1 diagnosed 16
years prior to his consultation was referred for an 8-month history of multiple
painless lumps in his mouth. He had A2 status (CD4 cell count of 273 cells/mm3
and viral load of 43,000 copies/L) and was taking treatment with
lamivudine/zidovudine (combivir) and efavirenz. Physical examination showed
multiple small mucosal-colored and lobulated papulonodules located in the palate
and lower gingiva and a whitish verrucous plaque on the lower labial mucosa
(Figure 1a). The lesions were diagnosed clinically as focal epithelial
hyperplasia (FEH) and further confirmed by classical histopathological findings
(Figure 1b). He had previously received unspecified treatment; thus, topical 5%
imiquimod cream was initiated every night. Mild erosion and ulceration developed
in the upper labial mucosa, which were managed with lubrication (petrolatum
ointment). After 2 weeks, all of the small lesions disappeared and the largest
plaque resolved 1 week later (Figure 1c). A small residual mass in the area of
biopsy, suggesting a scar, remained on the lower lip. The area was removed
surgically and corresponded to fibrosis histologically, with no evidence of human
papillomavirus (HPV) infection. CD4 cell count (694 cells/mm3) and viral load
(<40 copies/L) did not show remarkable changes after imiquimod administration. No
serious side effects were observed and the patient has remained free of disease
after 1 year of follow-up.
PMID- 27871363
TI - Special Issue: Respiratory Neuroplasticity.
PMID- 27871361
TI - Recreating the synthesis of starch granules in yeast.
AB - Starch, as the major nutritional component of our staple crops and a feedstock
for industry, is a vital plant product. It is composed of glucose polymers that
form massive semi-crystalline granules. Its precise structure and composition
determine its functionality and thus applications; however, there is no versatile
model system allowing the relationships between the biosynthetic apparatus,
glucan structure and properties to be explored. Here, we expressed the core
Arabidopsis starch-biosynthesis pathway in Saccharomyces cerevisiae purged of its
endogenous glycogen-metabolic enzymes. Systematic variation of the set of
biosynthetic enzymes illustrated how each affects glucan structure and
solubility. Expression of the complete set resulted in dense, insoluble granules
with a starch-like semi-crystalline organization, demonstrating that this system
indeed simulates starch biosynthesis. Thus, the yeast system has the potential to
accelerate starch research and help create a holistic understanding of starch
granule biosynthesis, providing a basis for the targeted biotechnological
improvement of crops.
PMID- 27871364
TI - Corrigendum to "CD147 induces up-regulation of vascular endothelial growth factor
in U937-derived foam cells through PI3K/AKT pathway" [Arch. Biochem. Biophys. 609
(2016) 31-38].
PMID- 27871362
TI - Actin is an evolutionarily-conserved damage-associated molecular pattern that
signals tissue injury in Drosophila melanogaster.
AB - Damage-associated molecular patterns (DAMPs) are molecules released by dead cells
that trigger sterile inflammation and, in vertebrates, adaptive immunity. Actin
is a DAMP detected in mammals by the receptor, DNGR-1, expressed by dendritic
cells (DCs). DNGR-1 is phosphorylated by Src-family kinases and recruits the
tyrosine kinase Syk to promote DC cross-presentation of dead cell-associated
antigens. Here we report that actin is also a DAMP in invertebrates that lack DCs
and adaptive immunity. Administration of actin to Drosophila melanogaster
triggers a response characterised by selective induction of STAT target genes in
the fat body through the cytokine Upd3 and its JAK/STAT-coupled receptor,
Domeless. Notably, this response requires signalling via Shark, the Drosophila
orthologue of Syk, and Src42A, a Drosophila Src-family kinase, and is dependent
on Nox activity. Thus, extracellular actin detection via a Src-family kinase
dependent cascade is an ancient means of detecting cell injury that precedes the
evolution of adaptive immunity.
PMID- 27871366
TI - Metalloprotease SPRTN/DVC1 Orchestrates Replication-Coupled DNA-Protein Crosslink
Repair.
AB - The cytotoxicity of DNA-protein crosslinks (DPCs) is largely ascribed to their
ability to block the progression of DNA replication. DPCs frequently occur in
cells, either as a consequence of metabolism or exogenous agents, but the
mechanism of DPC repair is not completely understood. Here, we characterize SPRTN
as a specialized DNA-dependent and DNA replication-coupled metalloprotease for
DPC repair. SPRTN cleaves various DNA binding substrates during S-phase
progression and thus protects proliferative cells from DPC toxicity. Ruijs-Aalfs
syndrome (RJALS) patient cells with monogenic and biallelic mutations in SPRTN
are hypersensitive to DPC-inducing agents due to a defect in DNA replication fork
progression and the inability to eliminate DPCs. We propose that SPRTN protease
represents a specialized DNA replication-coupled DPC repair pathway essential for
DNA replication progression and genome stability. Defective SPRTN-dependent
clearance of DPCs is the molecular mechanism underlying RJALS, and DPCs are
contributing to accelerated aging and cancer.
PMID- 27871367
TI - Conformational Control of Cascade Interference and Priming Activities in CRISPR
Immunity.
AB - During type I-E CRISPR-Cas immunity, the Cascade surveillance complex utilizes
CRISPR-derived RNAs to target complementary invasive DNA for destruction. When
invader mutation blocks this interference activity, Cascade instead triggers
rapid primed adaptation against the invader. The molecular basis for this dual
Cascade activity is poorly understood. Here we show that the conformation of the
Cse1 subunit controls Cascade activity. Using FRET, we find that Cse1 exists in a
dynamic equilibrium between "open" and "closed" conformations, and the extent to
which the open conformation is favored directly correlates with the attenuation
of interference and relative increase in priming activity upon target mutation.
Additionally, the Cse1 L1 motif modulates Cascade activity by stabilizing the
closed conformation. L1 mutations promote the open conformation and switch immune
response from interference to priming. Our results demonstrate that Cascade
conformation controls the functional outcome of target recognition, enabling
tunable CRISPR immune response to combat invader evolution.
PMID- 27871365
TI - Mechanism and Regulation of DNA-Protein Crosslink Repair by the DNA-Dependent
Metalloprotease SPRTN.
AB - Covalent DNA-protein crosslinks (DPCs) are toxic DNA lesions that interfere with
essential chromatin transactions, such as replication and transcription. Little
was known about DPC-specific repair mechanisms until the recent identification of
a DPC-processing protease in yeast. The existence of a DPC protease in higher
eukaryotes is inferred from data in Xenopus laevis egg extracts, but its identity
remains elusive. Here we identify the metalloprotease SPRTN as the DPC protease
acting in metazoans. Loss of SPRTN results in failure to repair DPCs and
hypersensitivity to DPC-inducing agents. SPRTN accomplishes DPC processing
through a unique DNA-induced protease activity, which is controlled by several
sophisticated regulatory mechanisms. Cellular, biochemical, and structural
studies define a DNA switch triggering its protease activity, a ubiquitin switch
controlling SPRTN chromatin accessibility, and regulatory autocatalytic cleavage.
Our data also provide a molecular explanation on how SPRTN deficiency causes the
premature aging and cancer predisposition disorder Ruijs-Aalfs syndrome.
PMID- 27871368
TI - Microbial bioelectrosynthesis of hydrogen: Current challenges and scale-up.
AB - Sustainable energy supplies are needed to supplement and eventually replace
fossil fuels. Molecular hydrogen H2 is a clean burning, high-energy fuel that is
also used as reducing gas in industrial processes. H2 is mainly synthesized by
steam reforming of natural gas, a non-renewable fuel. There are biosynthetic
strategies for H2 production; however, they are associated with poor yield and
have high cost. The application of an electrochemical driving force in a
microbial electrolysis cell (MEC) improves the yield of biological reactions. The
performance of the MEC is influenced by experimental parameters such as the
electrode material, reactor design, microbial consortia and the substrate. In
this review, factors that affect the performance of MECs are discussed and
critically analysed. The potential for scale-up of H2 bioelectrosynthesis is also
discussed.
PMID- 27871369
TI - CO2 reduction and organic compounds production by photosynthetic bacteria with
surface displayed carbonic anhydrase and inducible expression of
phosphoenolpyruvate carboxylase.
AB - In Rhodobacter sphaeroides, carbonic anhydrase (CA; EC 4.2.1.1) is a zinc
containing metalloenzyme that catalyzes the reversible hydration of CO2 to HCO3-
while phosphoenolpyruvate carboxylase (PEPC; 4.1.1.31), an enzyme involved in the
carbon metabolism that catalyzed the fixation of CO2 to PEP, is a key factor for
biological fixation of CO2 and enhances the production of organic compounds. In
this study, the recombinant R. sphaeroides with highly-expressed CA was developed
based on a surface displayed system of CA (pJY-OmpCA) on the outer membrane of R.
sphaeroides using outer membrane protein (Omp) in R. sphaeroides, Finally, two
more different recombinant R. sphaeroides were developed, which transformed with
a two-vector system harboring cytosolic expressed CA (pJY-OmpCA-CA)or PEPC (pJY
OMPCA-PEPC) in R. sphaeroides with surface displayed CA on the outer membrane. In
case of recombinant R. sphaeroides with the pJY-OmpCA-PEPC, it has shown the
highest CO2 reduction efficiency and the production of several organic compounds
(carotenoids, polyhydroxybutyrate, malic acid, succinic acid). It means that the
surface displayed CA on the R. sphaeroides would accelerate the CO2-bicabonate
conversion on the bacterial outer membrane. Moreover, inducible over-expression
of PEPC with surface-displayed CA was successfully used to facilitate a rapider
CO2 reduction and quicker production of organic compounds.
PMID- 27871370
TI - Enhanced detection of RNA by MMLV reverse transcriptase coupled with thermostable
DNA polymerase and DNA/RNA helicase.
AB - Detection of mRNA is a valuable method for monitoring the specific gene
expression. In this study, we devised a novel cDNA synthesis method using three
enzymes, the genetically engineered thermostable variant of reverse transcriptase
(RT), MM4 (E286R/E302K/L435R/D524A) from Moloney murine leukemia virus (MMLV),
the genetically engineered variant of family A DNA polymerase with RT activity,
K4polL329A from thermophilic Thermotoga petrophila K4, and the DNA/RNA helicase
Tk-EshA from a hyperthermophilic archaeon Thermococcus kodakarensis. By
optimizing assay conditions for three enzymes using Taguchi's method, 100 to 1000
fold higher sensitivity was achieved for cDNA synthesis than conventional assay
condition using only RT. Our results suggest that DNA polymerase with RT activity
and DNA/RNA helicase are useful to increase the sensitivity of cDNA synthesis.
PMID- 27871372
TI - A Novel highly thermostable branched-chain amino acid aminotransferase from the
crenarchaeon Vulcanisaeta moutnovskia.
AB - A new fold-type IV branched-chain amino acid aminotransferase VMUT0738 from the
hyperthermophilic Crenarchaeon Vulcanisaeta moutnovskia was successfully
expressed in Escherichia coli. Purified VMUT0738 showed activity toward numerous
aliphatic and aromatic l-amino acids and 2-oxo acids at optimal pH 8.0.
Distinguishing features of the VMUT0738 compared with typical BCAT are the
absence of activity toward acidic substrates, high activity toward basic ones,
and low but detectable activity toward the (R)-enantiomer of alpha
methylbenzylamine (0.0076U/mg) The activity of VMUT0738 increases with a rise in
the temperature from 60 degrees C to 90 degrees C. VMUT0738 showed high
thermostability (after 24h incubation at 70 degrees C the enzyme lost only 27% of
the initial activity) and the resistance to organic solvents. The sequence
alignment revealed two motifs (V/I)xLDxR and PFG(K/H)YL characteristic of BCATs
from species of the related genera Vulcanisaeta, Pyrobaculum and Thermoproteus
that might be responsible for the unique substrate recognition profile of the
enzyme.
PMID- 27871371
TI - Effect of C-terminal domain truncation of Thermus thermophilus trehalose synthase
on its substrate specificity.
AB - The C-terminal domain of the three-domain-comprising trehalose synthase from
Thermus thermophilus was truncated in order to study the effect on the enzyme's
activity and substrate specificity. Compared with the wild-type (WT) enzyme, the
two truncated enzymes (DM1 and DM2) showed lower maltose- and trehalose
converting activities and a different transglycosylation reaction mechanism. In
the mutants, the glucose moiety cleaved from the maltose substrate was released
from the enzyme and intercepted by external glucose oxidase, preventing the
production of trehalose. The WT enzyme, however, retained the glucose in the
active site to effectively produce trehalose. In addition, DM1 synthesized much
higher amounts of mannose-containing disaccharide trehalose analog (Man-TA) than
did the WT and DM2. The results suggest that the C-terminal domain in the WT
enzyme is important for retaining the glucose moiety within the active site. The
mutant enzymes could be used to produce Man-TA, a postulated inhibitor of gut
disaccharidases.
PMID- 27871373
TI - Design and production of antibodies for the detection of Streptococcus uberis.
AB - Streptococcus uberis (S. uberis) is an important environmental pathogen causing
mastitis in dairy cattle. Mastitis or mammary gland infection is the most common
disease in milking cows and cause significant economic burden to farmers due to
the reduction of the amount of milk and its quality. The development of rapid
analytical methods for the determination of mastitis-causing pathogens in milk is
of utmost importance for the early identification of the causes of mastitis and
the beginning of timely treatment of cows. Combining in silico bioinformatic
analysis and solid phase peptide synthesis using Fmoc chemistry, we have made two
different peptides to mimic the adhesion protein of S. uberis, which is promoting
the attachment of bacteria to epithelial cells. After purification with RP-HPLC,
the peptides were conjugated with a larger carrier protein (KLH) and used for
immunization of rabbits to produce specific antibodies. The separation of anti-S.
uberis antibodies from rabbit blood antisera was carried out with affinity
chromatography, using the synthetic peptides as affinity ligands. The purified
antibodies showed high affinity and specificity towards S. uberis and were used
for rapid bio-recognition and identification of S. uberis with an
immunobiosensing system.
PMID- 27871374
TI - Catalytic efficiency and thermostability improvement of Suc2 invertase through
rational site-directed mutagenesis.
AB - Engineering of invertases has come to attention because of increasing demand for
possible applications of invertases in various industrial processes. Due to the
known physicochemical properties, invertases from micro-organisms such as
Saccharomyces cerevisiae carrying SUC2 gene are considered as primary models. To
improve thermostability and catalytic efficiency of SUC2 invertase (SInv), six
influential residues with Relative Solvent Accessibility<5% were selected through
multiple-sequence alignments, molecular modelling, structural and computational
analyses. Consequently, SInv and 5 mutants including three mutants with single
point substitution [Mut1=P152V, Mut2=S85V and Mut3=K153F)], one mutant with two
points [Mut4=S305V-N463V] and one mutant with three points [Mut5=S85V-K153F
T271V] were developed via site-directed mutagenesis and produced using Pichia
pastoris as the host. Physicochemical studies on these enzymes indicated that the
selected amino acids which were located in the active site region mainly
influenced catalytic efficiency. The best improvement belonged to Mut1 (54%
increase in Kcat/Km) and Mut3 exhibited the worst effect (90% increase in Km).
These results suggest that Pro152 and Lys153 play key role in preparation of the
right substrate lodging in the active site of SInv. The best thermostability
improvement (16%) was observed for Mut4 in which two hydrophilic residues located
on the loops, far from the active site, were replaced by Valines. These results
suggest that tactful simultaneous substitution of influential hydrophilic
residues in both active site region and peripheral loops with hydrophobic amino
acids could result in more thermostable invertases with enhanced catalytic
efficiency.
PMID- 27871375
TI - A novel, simple screening method for investigating the properties of lignin
oxidative activity.
AB - Enzymatic lignin degradation represents a key challenge for integrated
biorefineries. Notwithstanding the rich content in aromatic compounds, lignin's
complex structure has hampered identification of an effective and cost-efficient
enzymatic procedure to transform it into less complex product families.
Advancements in enzymatically modifying or degrading lignin require a simple and
reliable analytical method to quickly screen diverse lignin samples by employing
different enzymes and conditions. Here, we report on a novel, rapid, and economic
colorimetric assay for lignin oxidation based on the reaction of 2,4
dinitrophenylhydrazine with the carbonyl groups generated by enzymatic oxidation.
The assay was validated on monomeric and dimeric lignin model compounds by
comparison with HPLC analysis. The colorimetric method was used to investigate
the activity of ten laccases and eight peroxidases on three technical lignins
under different experimental conditions (e.g., by altering pH and mediator used).
The colorimetric method was also coupled to a size-exclusion chromatographic
separation of the lignin sample obtained after the enzymatic treatment in order
to verify whether the enzymatic treatment resulted in lignin depolymerization,
too. On the basis of this novel procedure, appropriate enzymatic treatments can
now be identified to generate valuable lignin product streams.
PMID- 27871376
TI - Optimization of oligomeric enzyme activity in ionic liquids using Rhodotorula
glutinis yeast phenylalanine ammonia lyase.
AB - Phenylalanine ammonia lyase (E.C.4.3.1.24, PAL) activity of Rhodotorula glutinis
yeast has been demonstrated in four commonly used ionic liquids. PAL forward
reaction was carried out in 1-butyl-3-methylimidazolium methyl sulfate
([BMIM][MeSO4]), 1-butyl-3-methylimidazolium tetrafluoroborate ([BMIM][BF4]), 1
butyl-3-methylimidazolium hexafluorophosphate ([BMIM][PF6]) and 1-butyl-3
methylimidazolium lactate ([BMIM][lactate]). Our experiments have revealed that
PAL is catalytically active in ionic liquids and the enzyme activity in
([BMIM][PF6]) is comparable to that obtained in aqueous buffer medium. Different
conditions were optimized for maximal PAL forward activity including time of
incubation (30.0min)L-phenylalanine substrate concentration (30.0mM), nature of
buffer (50.0mM Tris-HCl), pH (9.0), temperature (37 degrees C), and speed of
agitation (100 rev min-1). Under these optimized conditions, about 83% conversion
of substrate to product was obtained for the PAL forward reaction that was
determined using UV spectroscopy at 290nm. PAL reverse reaction in ([BMIM][PF6])
was determined spectrophotometrically at 520nm; and about 59% substrate
conversion was obtained. This data provides further knowledge in enzyme
biocatalysis in non-aqueous media, and may be of importance when studying the
function of other oligomeric/multimeric proteins and enzymes in ionic liquids.
PMID- 27871377
TI - Enhancement of methanol resistance of Yarrowia lipolytica lipase 2 using beta
cyclodextrin as an additive: Insights from experiments and molecular dynamics
simulation.
AB - The methanol resistance of lipase is a critical parameter in enzymatic biodiesel
production. In the present work, the methanol resistance of Yarrowia lipolytica
Lipase 2 (YLLIP2) was significantly improved using beta-cyclodextrin (beta-CD) as
an additive. According to the results, YLLIP2 with beta-CD exhibited
approximately 7000U/mg specific activity in 30wt% methanol for 60min compared
with no activity without beta-CD under the same conditions. Molecular dynamics
(MD) simulation results indicated that the beta-CD molecules weakened the
conformational change of YLLIP2 and maintained a semi-open state of the lid by
overcoming the interference caused by methanol molecules. Furthermore, the beta
CD molecule could directly stabilize "pathway" regions (e.g., Asp61-Asp67) and
indirectly stabilize "pathway" regions (e.g., Gly44-Phe50) by forming hydrogen
bonds with "pathway" regions and nearby "pathway" regions, respectively. The
regions stabilized by the beta-CD molecule then prevented the closure of active
pockets, thus retaining the enzymatic activity of YLLIP2 with beta-CD in methanol
solvent.
PMID- 27871378
TI - Deciphering the factors defining the pH-dependence of a commercial glycoside
hydrolase family 8 enzyme.
AB - A prerequisite to the use of any enzyme in any industrial process is an
understanding of its activity and stability under process conditions. Glycoside
hydrolase family 8 enzymes include many important biotechnological biocatalysts
yet little is known of the performance of these with respect to pH. A better
understanding of this parameter and its relationship to structure and function in
these enzymes will allow for an improved use of these in industry as well as an
enhanced ability in their engineering and optimisation for a particular
application. An in-depth analysis of the pH induced changes in activity,
irreversible inactivation, conformation, stability and solubility of a commercial
glycoside hydrolase family 8 xylanase was carried out with the aim of identifying
the factors determining the pH dependence of this enzyme. Our study showed that
different phenomena play different roles at the various pHs examined. Both
reversible and irreversible processes are involved at acidic pHs, with the
irreversible processes dominating and being due to protein aggregation and
precipitation. At basic pHs, loss of activity is principally due to reversible
processes, possibly deprotonation of an essential catalytic residue, but at
higher pHs, near the pI of the protein, precipitation again dominates while
structure unfolding was discerned at the higher pHs investigated. Such insights
demonstrate the complexity of factors involved in the pH dependence of proteins
and advances our knowledge on design principles and concepts for engineering
proteins. Our results highlight the major role of protein precipitation in
activity and stability losses at both low and high pHs but it is proposed that
different strategies be used in tailoring the enzyme to overcome this in each
case. Indeed the detailed understanding obtained here will allow for a more
focused, informed and hence successful tailoring of glycoside hydrolase family 8
proteins for a specific pH and process application.
PMID- 27871379
TI - Decolourisation of Acid orange 7 in a microbial fuel cell with a laccase-based
biocathode: Influence of mitigating pH changes in the cathode chamber.
AB - Biocathodes may be a suitable replacement of platinum in microbial fuel cells
(MFCs) if the cost of MFCs is to be reduced. However, the use of enzymes as bio
cathodes is fraught with loss of activity as time progresses. A possible cause of
this loss in activity might be pH increase in the cathode as pH gradients in MFCs
are well known. This pH increase is however, accompanied by simultaneous increase
in salinity; therefore salinity may be a confounding variable. This study
investigated various ways of mitigating pH changes in the cathode of MFCs and
their effect on laccase activity and decolourisation of a model azo dye Acid
orange 7 in the anode chamber. Experiments were run with catholyte pH
automatically controlled via feedback control or by using acetate buffers (pH
4.5) of various strength (100mM and 200mM), with CMI7000 as the cation exchange
membrane. A comparison was also made between use of CMI7000 and Nafion 117 as the
transport properties of cations for both membranes (hence their potential effects
on pH changes in the cathode) are different. Results show that using Nafion 117
membrane limits salinity and pH changes in the cathode (100mM acetate buffer as
catholyte) leading to prolonged laccase activity and faster AO7 decolourisation
compared to using CMI7000 as a membrane; similarly automatic pH control in the
cathode chamber was found to be better than using 200mM acetate buffer. It is
suggested that while pH control in the cathode chamber is important, it does not
guarantee sustained laccase activity; as salinity increases affect the activity
and it could be mitigated using a cation selective membrane.
PMID- 27871380
TI - An improved amperometric L-lactate biosensor based on covalent immobilization of
microbial lactate oxidase onto carboxylated multiwalled carbon nanotubes/copper
nanoparticles/polyaniline modified pencil graphite electrode.
AB - An improved amperometric l-lactate biosensor was constructed based on covalent
immobilization of lactate oxidase (LOx) from Pediococcus species onto
carboxylated multiwalled carbon nanotubes (cMWCNT)/copper nanoparticles
(CuNPs)/polyaniline (PANI) hybrid film electrodeposited on the surface of a
pencil graphite electrode (PGE). The enzyme electrode was characterized by cyclic
voltammetry (CV), scanning electron microscopy (SEM), Fourier transform infrared
(FTIR) spectroscopy and electrochemical impedance spectroscopy (EIS), while CuNPs
synthesized by chemical reduction method, were characterized by transmission
electron microscopy (TEM), UV spectrascopy and X-ray diffraction (XRD). The
biosensor showed maximum response within 5s at pH 8.0 in 0.05M sodium phosphate
buffer and 37 degrees C, when operated at 20mVs-1. The biosensor had a detection
limit of 0.25MUM with a wide working range between 1MUM-2500MUM. The biosensor
was employed for measurement of l-lactic acid level in plasma of apparently
healthy and diseased persons. Analytical recovery of added lactic acid in plasma
was 95.5%. Within- and between-batch coefficients of variations were 6.24% and
4.19% respectively. There was a good correlation (R2=0.97) between plasma lactate
values as measured by standard enzymatic spectrophotometric method and the
present biosensor. The working enzyme electrode was used 180 times over a period
of 140 days, when stored at 4 degrees C.
PMID- 27871381
TI - Investigation of sulfonamides inhibition of carbonic anhydrase enzyme using
multiphotometric and electrochemical techniques.
AB - Two approaches for sulfonamides (SA's) determination, based on carbonic anhydrase
enzyme inhibition have been investigated in this work. In the first method, nine
different SA's have been screened using simultaneous multiphotometric measurement
in multiwell plates. The sulfanilamide (SAD) showed significant inhibition
compared to other sulfonamides. The carbonic anhydrase (CA) kinetic interactions
reveal noncompetitive binding of SAD. Interferences from other inhibitors with
enzyme were studied and the results showed very good selectivity toward SAD. In
the second approach, an electrochemical enzyme inhibition biosensor, based on CA
entrapped in a carbon paste electrode using carbon black nanoparticles and solid
paraffin, was successfully applied to SAD measurements. Results from the
quantitative analysis of SAD are discussed in terms of detection limit, linear
range and sensitivity using multiphotometric and biosensor-based methods The
biosensor developed was successfully applied to the determination of SAD at
submicromolar levels and it is recommended for application for in situ analysis.
PMID- 27871382
TI - Relevance of substrates and products on the desorption of lipases physically
adsorbed on hydrophobic supports.
AB - Lipase B from Candida antarctica (CALB) has been physically immobilized on octyl
agarose via interfacial activation. The incubation of the enzyme in 80% ethanol
at pH 5 and 25 degrees C has not significant effect on enzyme activity. Moreover,
the hydrolysis of 100mM tributyrin catalyzed by this biocatalyst exhibited a
quite linear reaction course. However, a new cycle of tributyrin hydrolysis
showed a drastic drop in the activity. SDS-PAGE gels of the supernatant and the
biocatalyst showed a significant enzyme desorption after the reaction. Similar
results could be appreciated using triacetin or sunflower oil, while using 300mM
methyl phenyl acetate, butyl butyrate or ethyl butyrate most enzyme molecules
remained immobilized. The results show that the detergent properties of some
reaction products increase the enzyme release from the hydrophobic support, and
this problem increased if the concentration of the reactants increased. Using
500mM tributyrin, even in fully aqueous medium, some enzyme desorption from the
support may be observed. Thus, the results show a limitation of this kind of
biocatalysts that should be considered in the selection of an industrial lipase
biocatalyst.
PMID- 27871384
TI - Protein-based inverse opals: A novel support for enzyme immobilization.
AB - In this study, protein-based inverse opals were prepared for the first time by
using the colloidal crystal templating method. The preparation process involved
three steps including filling the templates with protein molecules, crosslinking,
and template removal. The obtained inverse opals were used to immobilize
Penicillin G acylase (PGA) because of its intrinsic biocompatible property. The
immobilization process was optimized and the properties of the immobilized PGA
(PGA@IO) were investigated. PGA@IO exhibited improved thermal and pH stability
compared with its free counterpart. After reusing nine times, it retained 70% of
the initial activity. Besides, the PGA@IO retained high activity during the
hydrolysis reactions in continuous catalysis in packed-bed reactor (PBR) after 15
days.
PMID- 27871383
TI - Allosteric properties of Geobacillus maltogenic amylase.
AB - Maltogenic amylases (MAases, EC 3.2.1.133) have been gotten much attention due to
their various applications in industry and commercial processes. MAases belong to
subfamily 20 of glycoside hydrolase family 13 (NPase or CDases subfamily) and
they have important differences with other members of the family. This enzyme
consists of two subunits which form two active sites in the dimer form by binding
of the central domain of each subunit to the N domain of the next one (domain
swapping dimeric structure). Allosterism is a possible way of regulating
enzymatic activity and no evidence has been found regarding to the cooperativity
and correlation between MAases subunits, therefore in this study the allosteric
behavior of MAases from a native strain (Geobacillus sp. Gh6) was investigated.
Unlike other members of alpha-amylase family, MAases showed positive
cooperativity between their subunits and the enzyme exhibited sigmoidal nature
towards all three cyclodextrin (CD) substrates with a Hill constant (nH) value
equal to 2, 1.6 and 1.1 for alpha-CD, beta-CD and gamma-CD, respectively. On
further analysis, the effect of glucose and maltose as MAases allosteric
effectors in the presence of beta-CD substrate showed that these two effectors
had a biphasic effect; while they stimulated the enzyme activity at low
concentrations (with a decrease in Hill constant), these metabolites acted as
allosteric inhibitors at higher concentrations. Due to the key role of MAases in
carbohydrate metabolization, an efficient regulating system for this enzyme is
required. In this experiment, for the first time the allosteric properties of
MAases were observed and investigated.
PMID- 27871385
TI - Surface charge modification increases firefly luciferase rigidity without
alteration in bioluminescence spectra.
AB - Protein engineering can provide useful approaches for loop anchoring and mutation
of surface-exposed loop residues to Arg for the design of thermostable proteins.
In this context and due to the high proportion of surface loops, some of the
solvent-exposed residues in the Lampyris turkestanicus luciferase were mutated to
Arg. Using the red-emitter mutant luciferase (E354R/Arg356), the single (-Q35R,
I182R, -I232R and -L300R), double (-Q35R/I232R) and triple (-Q35R/I232R/I182R)
mutant luciferases were introduced. The relative remaining activity of -I232R,
double and triple mutants increased significantly compared to the wild-type at 40
degrees C. The optimal temperature of these mutants increased up to 40 degrees C
which were 15 degrees C more than wild-type luciferase. It is anticipated that
these mutations increased the local interactions that finally improved the
thermostability and optimum temperature of luciferase. It should be noted that
Arg substitution at amino acid positions 35, 182 and 232 had no effect on the
bioluminescence emission spectra. Furthermore, these mutations have not
significantly changed the specific activities of firefly luciferases. Finally,
with the use of the homology modeling and molecular docking, the effects of these
substitutions were evaluated. In conclusion, this study provides beneficial
insights on how the thermal stability of luciferase can be improved by protein
engineering for biological applications.
PMID- 27871386
TI - Super RLuc8: A novel engineered Renilla luciferase with a red-shifted spectrum
and stable light emission.
AB - Renilla luciferase is a bioluminescent enzyme which is broadly used as a reporter
protein in molecular biosensors. In this study, a novel luciferase with desired
light emission wavelength and thermostability is reported. The results indicated
that the new luciferase, namely super RLuc8, had a red-shifted spectrum and
showed stable light emission. Super RLuc8 showed a 10-fold (p-value=0.0084)
increase in the thermostability at 37 degrees C after 20min incubation, in
comparison to the native enzyme. The optimum temperature of the mutant increased
from 30 to 37 degrees C. Molecular dynamics simulation analysis indicated that
the increased thermostability was most probably caused by a better structural
compactness and more local rigidity in the regions out of the emitter site.
PMID- 27871387
TI - Mediator-free interaction of glucose oxidase, as model enzyme for immobilization,
with Al-doped and undoped ZnO thin films laser-deposited on polycarbonate
supports.
AB - Al doped and undoped ZnO thin films were deposited by pulsed-laser deposition on
polycarbonate sheets. The films were characterized by optical transmission, Hall
effect measurement, XRD and SEM. Optical transmission and surface reflectometry
studies showed good transparency with thicknesses ~100nm and surface roughness of
10nm. Hall effect measurements showed that the sheet carrier concentration was
1.44*1015cm-2 for AZO and -6*1014cm-2 for ZnO. The films were then modified by
drop-casting glucose oxidase (GOx) without the use of any mediators. Higher
protein concentration was observed on ZnO as compared to AZO with higher specific
activity for ZnO (0.042Umg-1) compared to AZO (0.032Umg-1), and was in agreement
with cyclic voltemmetry (CV). X-ray photoelectron spectroscopy (XPS) suggested
that the protein was bound by dipole interactions between AZO lattice oxygen and
the amino group of the enzyme. Chronoamperometry showed sensitivity of 5.5MUAmM
1cm-2 towards glucose for GOx/AZO and 2.2MUAmM-1cm-2 for GOx/ZnO. The limit of
detection (LoD) was 167MUM of glucose for GOx/AZO, as compared to 360MUM for
GOx/ZnO. The linearity was 0.28-28mM for GOx/AZO whereas it was 0.6-28mM for
GOx/ZnO with a response time of 10s. Possibly due to higher enzyme loading, the
decrease of impedance in presence of glucose was larger for GOx/ZnO as compared
to GOx/AZO in electrochemical impedance spectroscopy (EIS). Analyses with
clinical blood serum samples showed that the systems had good reproducibility and
accuracy. The characteristics of novel ZnO and AZO thin films with GOx as a model
enzyme, should prove useful for the future fabrication of inexpensive, highly
sensitive, disposable electrochemical biosensors for high throughput diagnostics.
PMID- 27871388
TI - The family 22 carbohydrate-binding module of bifunctional xylanase/beta-glucanase
Xyn10E from Paenibacillus curdlanolyticus B-6 has an important role in
lignocellulose degradation.
AB - A newly isolated endo-beta-1,4-xylanase (Xyn10E) from Paenibacillus
curdlanolyticus B-6 has a modular structure consisting of a family 22
carbohydrate-binding module (CBM), a glycoside hydrolase (GH) family 10 catalytic
domain, two fibronectin type III (Fn3) domains, and a family 3 CBM at the C
terminus. Intact Xyn10E (rXyn10E), CBM22-deleted Xyn10E (X-CBM3), CBM3-deleted
Xyn10E (X-CBM22), and GH10 catalytic domain only (X-GH10) were expressed in
Escherichia coli. rXyn10E showed bifunctional degradation activity toward xylan
and beta-glucan and also degraded microcrystalline cellulose. Although X-CBM3 and
X-GH10 had drastically reduced xylanase and beta-glucanase activities, X-CBM22
mostly retained these activities. Similar Km values were obtained for rXyn10E and
X-CBM3, but kcat and kcat/Km values for X-CBM3 and X-GH10 were lower than those
for rXyn10E, suggesting that CBM22 of Xyn10E may contribute to catalytic
efficiency. In binding assays, X-CBM3 was still able to bind to beta-glucan,
soluble xylan, insoluble xylan, and cellulose through GH10 and CBM3. These
results indicate that CBM22 has an important role not only in binding to xylan
and beta-glucan but also in feeding both polysaccharides into the neighboring
GH10 catalytic domain. rXyn10E showed remarkable synergism with rXyn11A, a major
xylanase subunit of P. curdlanolyticus B-6, in the degradation of untreated corn
stover and sugarcane bagasse; however, the combination of X-CBM3 and rXyn11A was
not synergistic. These results indicate that Xyn10E and Xyn11A act
synergistically on lignocellulosic biomass, and CBM22 is essential for efficient
degradation of lignocellulosic materials.
PMID- 27871389
TI - Activity control of autodisplayed proteins on the same outer membrane layer of E.
coli by using Z-domain/streptavidin/and lipase/foldase systems.
AB - The autodisplay technology has been applied for expression of a desired protein
on the outer membrane (OM) of Escherichia coli. In this work, the OM fractions of
E. coli with two autodisplayed proteins were separately prepared and mixed to
demonstrate the feasibility of control over the ratio of two autodisplayed
proteins. As the first model, Z-domain and streptavidin were autodisplayed, and
their activities were tested by means of the combined OM layer in a 96-well
microplate and a surface plasmon resonance (SPR) biosensor. As the second model,
lipase and foldase were autodisplayed which required an interaction between two
proteins to obtain the activity of lipase. The OM fractions of E. coli with an
autodisplayed lipase and foldase were separately prepared and mixed to
demonstrate the feasibility of control over the ratio of two autodisplayed
proteins when the interaction of two proteins is required within the same OM
layer for the activity of the lipase.
PMID- 27871391
TI - Trying to Understand Nonarteritic Anterior Ischemic Optic Neuropathy through Big
Data.
PMID- 27871390
TI - Eco-friendly intracellular biosynthesis of CdS quantum dots without changing
Escherichia coli's antibiotic resistance.
AB - In the paper, a green and efficient biosynthetical technique was reported for
preparing cadmium sulfide (CdS) quantum dots, in which Escherichia coli (E. coli)
was chosen as a biomatrix. Fluorescence emission spectra and fluorescent
microscopic photographs revealed that as-produced CdS quantum dots had an optimum
fluorescence emission peak located at 470nm and emitted a blue-green fluorescence
under ultraviolet excitation. After extracted from bacterial cells and located
the nanocrystals' foci in vivo, the CdS quantum dots showed a uniform size
distribution by transmission electron microscope. Through the systematical
investigation of the biosynthetic conditions, including culture medium
replacement, input time point of cadmium source, working concentrations of raw
inorganic ions, and co-cultured time spans of bacteria and metal ions in the bio
manufacture, the results revealed that CdS quantum dots with the strongest
fluorescence emission were successfully prepared when E. coli cells were in
stationary phase, with the replacement of culture medium and following the
incubation with 1.0*10-3mol/L cadmium source for 2 days. Results of antimicrobial
susceptibility testing indicated that the sensitivities to eight types of
antibiotics of E. coli were barely changed before and after CdS quantum dots were
prepared in the mild temperature environment, though a slight fall of antibiotic
resistance could be observed, suggesting hinted the proposed technique of
producing quantum dots is a promising environmentally low-risk protocol.
PMID- 27871392
TI - Carol Shields, MD.
PMID- 27871393
TI - Neglected Choroidal Melanoma Tracking Along Optic Nerve to Brain.
PMID- 27871394
TI - Atypical Presentation of IgG4-Related Disease of the Eyelid.
PMID- 27871395
TI - Bilateral Scleritis and Retinal Vasculitis in Microscopic Polyangiitis.
PMID- 27871396
TI - Vitreous Invasion: Neovascular Frond in Proliferative Diabetic Retinopathy.
PMID- 27871398
TI - Corrigendum.
PMID- 27871397
TI - Corrigendum.
PMID- 27871399
TI - Reply.
PMID- 27871400
TI - Re: Jabbarvand et al.: Endophthalmitis occurring after cataract surgery outcomes
of more than 480 000 cataract surgeries, epidemiologic features, and risk factors
(Ophthalmology 2016;123:295-301).
PMID- 27871401
TI - Re: Haripriya et al.: Efficacy of intracameral moxifloxacin endophthalmitis
prophylaxis at Aravind Eye Hospital (Ophthalmology 2016;123:302-308).
PMID- 27871402
TI - Reply.
PMID- 27871403
TI - Re: Whitman et al.: Treatment of presbyopia in emmetropes using a shape-changing
corneal inlay: one-year clinical outcomes (Ophthalmology 2016;123:466-75).
PMID- 27871404
TI - Reply.
PMID- 27871405
TI - Re: Slidsborg et al.: Neonatal risk factors for treatment-demanding retinopathy
of prematurity: a Danish national study (Ophthalmology 2016;123:796-803).
PMID- 27871406
TI - Reply.
PMID- 27871407
TI - The Role of Orthobiologics in Fracture Healing and Arthrodesis.
AB - Nonunion after tibial shaft fracture and hindfoot arthrodesis remains a major
problem. Known risk factors include advanced age, immunosuppression, smoking, and
diabetes. Several factors must be considered in the fracture healing process.
This review evaluates the efficacy of orthobiologics in improving union rates
after fracture or arthrodesis. Use of compounds have shown increased cellular
proliferation experimentally. Percutaneous autologous bone marrow has shown
increased cellular proliferation. Matrix supplementation has shown significant
improvements in bone healing. Several studies have highlighted the importance of
adequate graft fill over graft type. Patients at increased risk for nonunion
would benefit most from these adjuvant therapies.
PMID- 27871408
TI - The Biology of Bone and Ligament Healing.
AB - This review describes the normal healing process for bone, ligaments, and
tendons, including primary and secondary healing as well as bone-to-bone fusion.
It depicts the important mediators and cell types involved in the inflammatory,
reparative, and remodeling stages of each healing process. It also describes the
main challenges for clinicians when trying to repair bone, ligaments, and tendons
with a specific emphasis on Charcot neuropathy, fifth metatarsal fractures,
arthrodesis, and tendon sheath and adhesions. Current treatment options and
research areas are also reviewed.
PMID- 27871410
TI - Vancouver Experience of Recombinant Human Platelet-Derived Growth Factor.
AB - Joint arthrodesis utilizing autogenous bone graft remains the gold standard of
treatment in fusion procedures of the foot and ankle. Graft harvest, however, has
been associated with increased morbidity to patients as well as increased costs.
With this in mind, multiple clinical studies have evaluated the efficacy of
recombinant human platelet-derived growth factor (rh-PDGF-BB) with beta
tricalcium phosphate (B-TCP) to augment in foot and ankle arthrodesis with
favorable results. These factors have led to the increased use of rh-PDGF-BB with
B-TCP in Vancouver with good clinical results.
PMID- 27871409
TI - The Efficacy of Platelet-Derived Growth Factor as a Bone-Stimulating Agent.
AB - Nonunion remains the most impactful complication following ankle and hindfoot
arthrodesis. Historically, surgeons have relied on autologous bone graft (ABG) to
combat nonunion risk. Although effective, ABG remains limited in quantity, varies
in quality, and can be associated with harvest site pain and morbidity. Use of
alternative bone-stimulating agents, however, avoids harvesting an autograft, and
provides a more predictable dose-response efficacy. This article highlights
findings from basic science, animal, and human clinical research that led to the
approval of Augment Bone Graft. We present an adaptation of the surgical
techniques described for investigators participating in the pivotal trial.
PMID- 27871411
TI - Injectable Recombinant Human Platelet-derived Growth Factor in Collagen Carrier
for Hindfoot Fusion.
AB - Arthrodesis of the hindfoot is a common procedure for degenerative joint disease
and/or severe deformity. Nonunion is a common complication from this procedure,
causing an increased burden to the patient and health care system, often
resulting in the need for revision surgery. Recombinant human platelet-derived
growth factor (rhPDGF) has been shown to be a safe and effective tool to enhance
arthrodesis rates in hindfoot surgery while avoiding the potential morbidity of
bone grafting. This article provides a review of the role of rhPDGF in hindfoot
fusions, and the surgical technique for performing an rhPDGF enhanced double
arthrodesis through a medial approach.
PMID- 27871412
TI - Role of Recombinant Human Bone Morphogenetic Protein-2 on Hindfoot Arthrodesis.
AB - Despite advances in understanding bone healing physiology and surgical
techniques, delayed union and nonunion still occur after the treatment of
hindfoot arthrodesis. There is increasing appeal of bone morphogenetic proteins
(BMPs) owing to the innate osteoinductive abilities of BMPs. Effective treatment
with BMPs has been shown in animal studies. Human clinical studies have also
shown success. The only study investigating the use of recombinant human BMP
(rhBMP)-2 in hindfoot arthrodesis found a significant increase in fusion rate.
Treatment cost effective. Complications from their use remain low. rhBMP-2 is a
safe and effective bone-healing adjunct in hindfoot arthrodesis surgery.
PMID- 27871413
TI - B2A Polypeptide in Foot and Ankle Fusion.
AB - Foot and ankle fusion procedures often incorporate autogenous bone graft to help
achieve bony union. Pain and morbidity associated with graft harvest have
resulted in decreased autograft use as alternative bone graft substitutes have
become available. Recently B2A peptide-coated ceramic granules have been
developed and investigated. B2A, a bioactive synthetic multi-domain peptide
acting on bone morphogenetic protein receptors of osteoblast precursor cells,
amplifies the cell response to bone morphogenetic proteins. Use of B2A-granule
has the additional benefit of eliminating autogenous bone graft donor site
morbidity. The surgical technique of subtalar arthrodesis incorporating B2A
granule is described.
PMID- 27871414
TI - Orthobiologics in the Foot and Ankle.
AB - Many allogeneic biologic materials, by themselves or in combination with cells or
cell products, may be transformative in healing or regeneration of
musculoskeletal bone and soft tissues. By reconfiguring the size, shape, and
methods of tissue preparation to improve deliverability and storage, unique
iterations of traditional tissue scaffolds have emerged. These new iterations,
combined with new cell technologies, have shaped an exciting platform of
regenerative products that are effective and provide a bridge to newer and better
methods of providing care for orthopedic foot and ankle patients.
PMID- 27871415
TI - Autologous Bone Graft in Foot and Ankle Surgery.
AB - Bone graft is a common adjunct procedure in orthopedic surgery used for fusions,
fracture repair, and the reconstruction of skeletal defects in the foot and
ankle. Autologous graft, or autograft, involves the transport of bone from a
donor site to another location in the same patient. It is considered by many to
be the gold standard of bone grafting, as it is provides all biologic factors
required for functional graft. Further, autograft is 100% histocompatible with no
risk of disease transmission.
PMID- 27871416
TI - Bone Marrow Aspirate Concentrate for Bone Healing in Foot and Ankle Surgery.
AB - Autologous bone marrow aspirate concentrate (BMAC) has become a popular
orthobiologic to augment bone healing. The potential benefit comes from
osteoprogenitor cells and growth factors that can lead to new bone formation in
the setting of foot and ankle arthrodesis procedures. BMAC has an excellent
safety record and has demonstrated efficacy in animal models of bone healing.
Although scant, the literature on the use of BMAC in foot and ankle surgery does
demonstrate promise for this orthobiologic adjuvant.
PMID- 27871417
TI - Large BM Intra-Articular Allograft.
AB - Posttraumatic tibiotalar arthritis is a challenging problem in young, active
patients. Many of these patients do not want to pursue ankle arthrodesis after
they fail conservative treatment measures, as they wish to preserve joint motion.
Osteochondral shell allograft arthroplasty has been described as a reasonable
alternative for treating these patients. The procedure itself is technically
demanding; however, with improvements in surgical technique and adequate
preoperative patient counseling, it provides improvement in ankle function and
has good outcomes for most patients at long-term follow-up.
PMID- 27871419
TI - The Use of Allostem in Subtalar Fusions.
AB - Subtalar arthrodesis is the mainstay for treatment of a variety of hindfoot
problems associated with arthritis, however with an unpredictable if not high
rate of nonunion. This article covers the use of adipose-derived stem cells as an
adjunct or alternative to autologous or other bone grafting materials. Promising
development and encouraging data exist with respect to the use of adipose-derived
mesenchymal stem cells for bone arthrodesis, which is discussed in more detail in
the article.
PMID- 27871418
TI - Efficacy of a Cellular Allogeneic Bone Graft in Foot and Ankle Arthrodesis
Procedures.
AB - A cellular allogeneic bone graft can be used in patients at high risk for
nonunion after arthrodesis surgery. This study explores the utility and efficacy
of MAP3 in foot and ankle arthrodesis procedures. Map3 is a cellular allogeneic
bone graft that contains osteogenic, osteoconductive, osteoinductive, and
angiogenic properties. A total of 23 mostly high-risk patients were included in
this study. The overall fusion rate was 83%. Univariate analysis demonstrated
diabetic patients remain at risk of recurrent nonunion (P<.001) despite
supplementation with MAP3. These data demonstrate successful fusion in high-risk
patients when MAP3 is used.
PMID- 27871420
TI - Platelet-Rich Plasma and Concentrated Bone Marrow Aspirate in Surgical Treatment
for Osteochondral Lesions of the Talus.
AB - Platelet-rich plasma (PRP) and concentrated bone marrow aspirate (CBMA) have the
potential to improve the quality of cartilage repair in osteochondral lesions of
the talus (OLT). In this review, we describe the basic science and clinical
evidence that has been published on the topic of PRP and CBMA on 2 commonly used
surgical techniques for the treatment of OLT: bone marrow stimulation and
osteochondral autograft transfer.
PMID- 27871421
TI - Mesenchymal Stem Cell-Bearing Sutures for Tendon Repair and Healing in the Foot
and Ankle.
AB - Improving the quality and strength of soft tissue repairs remains an important
area of orthopedic research and innovation. The need for immobilization and early
motion is an important balance essential for the successful treatment of tendon
repair and reconstruction. Mesenchymal stem cell (MSC)-bearing sutures represent
an emerging biological augmentation to traditional suture repair. Two previous
large studies using stem cell sutures in rat Achilles tendon models have
demonstrated early increased biomechanical strength and significantly increased
ultimate failure strength. The MSCs seem to remain locally at the repair site and
enhance the histologic repair quality of the tendon collagen.
PMID- 27871422
TI - The Science Behind Wear Testing for Great Toe Implants for Hallux Rigidus.
AB - Various methods of repairing damaged articular cartilage surfaces have been
proposed and a variety of implant materials have been tried in an attempt to
decrease pain and improve function after cartilage repair. The hydrogel made of
polyvinyl alcohol and saline is a unique material used as an implant in the great
toe for advanced stage arthritis.
PMID- 27871424
TI - Orthobiologic in Foot Ankle.
PMID- 27871423
TI - The Science Behind Surgical Innovations of the Forefoot.
AB - One of the areas of foot and ankle surgery that has had particular attention over
the last 5 years has been forefoot surgery. Common procedures include correction
of the lessor metatarsophalangeal joints and hammertoe deformities, specifically
metatarsal shortening osteotomies and proximal interphalangeal joint fusions. The
goals of these surgeries are to improve patient function and allow patients to
fit into shoes more comfortably in metatarsal shortening and hammertoe.
PMID- 27871425
TI - [Severe asthma, a priority in respiratory health].
PMID- 27871426
TI - [LiSSa: An alternative in French to browse health scientific literature ?]
PMID- 27871427
TI - Increased admission and fasting glucose are associated with unfavorable short
term outcome after intra-arterial treatment of ischemic stroke in the MR CLEAN
pretrial cohort.
AB - BACKGROUND: Limited data are available on the impact of fasting glucose on
outcome after intra-arterial treatment (IAT). We studied whether hyperglycemia on
admission and impaired fasting glucose (IFG) are associated with unfavorable
outcome after IAT in acute ischemic stroke. METHODS: Patients were derived from
the pretrial registry of the MR CLEAN-trial. Hyperglycemia on admission was
defined as glucose>7.8mmol/L, IFG as fasting glucose>5.5mmol/L in the first week
of admission. Primary effect measure was the adjusted common odds ratio (acOR)
for a shift in the direction of worse outcome on the modified Rankin Scale at
discharge, estimated with ordinal logistic regression, adjusted for common
prognostic factors. RESULTS: Of the 335 patients in which glucose on admission
was available, 86 (26%) were hyperglycemic, 148 of the 240 patients with
available fasting glucose levels (62%) had IFG. Median admission glucose was
6.8mmol/L (IQR 6-8). Increased admission glucose (acOR 1.2, 95%CI 1.1-1.3),
hyperglycemia on admission (acOR 2.6, 95%CI 1.5-4.6) and IFG (acOR 2.8, 95%CI 1.4
5.6) were associated with worse functional outcome at discharge. CONCLUSION:
Increased glucose on admission and IFG in the first week after stroke onset are
associated with unfavorable short-term outcome after IAT of acute ischemic
stroke.
PMID- 27871428
TI - Mortality of head injuries in Sub-Saharan African countries: The case of the
university teaching hospitals of Cameroon.
AB - BACKGROUND: Reliable data on severe head injury mortality is rarely reported in
Sub-Saharan African countries and in Cameroon in particular. It was for this
reason that for the first time ever a prospective study was carried out during a
one year period in the university hospitals and some selected regional and
district hospitals in Cameroon. MATERIALS AND METHODS: All the patients admitted
for head injury in the emergency units of the selected hospitals were enrolled
and followed up over a period of one month. RESULTS: A total of 2835 consecutive
patients were included with a sex ratio M/F=3.7/1. One hundred and seventy nine
(179) patients lost to follow up were not included. The mortality rate was 77% in
the severe head injury group, 16% in the moderate head injury group and 1% in the
mild head injury group. In the group of severely injured patients, the mortality
rates were very high in the academic hospitals (Laquintinie Hospital of Douala,
General Hospital of Douala, Yaounde Central Hospital, and Yaounde University
Hospital; 83%, 83%, 81%, and 73% respectively) and in the Regional Hospital of
Garoua (84%). CONCLUSION: Mortality rates associated with head injury remain very
high in Cameroon, and this is likely true in many countries across Sub-Saharan
Africa. The figures approach the mortality expected in the natural history of the
disease. Strategic plans should be taken at the local and national levels as in
the case of maternal mortality and HIV infections.
PMID- 27871429
TI - Novel SACS mutations associated with intellectual disability, epilepsy and
widespread supratentorial abnormalities.
AB - We describe eight subjects from two consanguineous families segregating with
autosomal recessive childhood onset spastic ataxia, peripheral neuropathy and
intellectual disability. The degree of intellectual disability varied from mild
to severe and all four affected individuals in one family developed aggressive
behavior and epilepsy. Using exome sequencing, we identified two novel truncating
mutations (c.2656C>T (p.Gln886*)) and (c.4756_4760delAATCA (p.Asn1586Tyrfs*3)) in
the SACS gene responsible for autosomal recessive spastic ataxia of Charlevoix
Saguenay (ARSACS). MRI revealed typical cerebellar and pontine changes associated
with ARSACS as well as multiple supratentorial changes in both families as likely
contributing factors to the cognitive symptoms. Intellectual disability and
behavioral abnormalities have been reported in some cases of ARSACS but are not a
part of the characteristic triad of symptoms that includes cerebellar ataxia,
spasticity and peripheral neuropathy. Our combined findings bring further
knowledge to the phenotypic spectrum, neurodegenerative changes and genetic
variability associated with the SACS gene of clinical and diagnostic importance.
PMID- 27871430
TI - HTLV-1 associated myelopathy/tropical spastic paraparesis (HAM/TSP): A
comparative study to identify factors that influence disease progression.
AB - OBJECTIVE: HTLV-1-associated myelopathy/tropical spastic paraparesis (HAM/TSP)
can progress slowly or rapidly even though a set of symptoms such as spastic
paraparesis with pathological reflexes and sweating loss of the lower extremities
are commonly observed in patients. Although most of the patients are thought to
be infected to HTLV-1 from their mothers by breast feeding, symptoms of HAM/TSP
typically manifest in patients later in life (50-60years old in age) and also
with a higher prevalence of women to men at a ratio of approximately 3:1.
Probability of developing HAM/TSP and how fast an individual's disease may
progress from the time of diagnosis could be multifactorial. METHODS: We reviewed
the records of 150 patients with HAM/TSP admitted to Kagoshima University
Hospital between 2002 and 2014. Laboratory data of cerebrospinal fluid and serum
and the clinical measurements including age, age of disease onset, progression
rate, duration of illness, initial symptoms, Osame's Motor Disability Score were
evaluated. Rapid disease progression of the disease was defined by deterioration
of motor disability by >3 grades within 2years. RESULTS: Of 150 HAM/TSP patients
in our cohort, 114 cases (76%) were females. Patients presenting with rapid
disease progression are approximately 15years older at the age of onset than
those with a protracted disease course, and have increased number of cell, and
elevated levels of protein as well as anti-HTLV-1 antibody titer in the CSF,
suggesting a more active inflammatory process. There is no significant difference
in the average values of clinical and laboratory parameters between the sexes.
Furthermore, there is no apparent correlation between rate of disease progression
and gender. CONCLUSIONS: Our results suggest that age and virus mediated
inflammation are correlated with disease phenotypes while additional factors such
as host or HTLV-1 genetics and gender may influence disease susceptibility.
PMID- 27871431
TI - Neuropsychological impairment in prodromal Parkinson's disease.
PMID- 27871432
TI - A novel mutation in PGAP2 gene causes developmental delay, intellectual
disability, epilepsy and microcephaly in consanguineous Saudi family.
AB - PGAP2 (Post-GPI Attachment to Proteins 2) gene is involved in lipid remodeling
steps of Glycosylphosphatidylinositol (GPI)-anchor maturation. At the surface of
the cell this gene is required for proper expression of GPI-anchored proteins.
Hyperphosphatasia with mental retardation syndrome-3 is an autosomal recessive
disorder usually characterized by severe mental retardation. Mutations in the
PGAP2 gene cause hyperphosphatasia mental retardation syndrome-3. We have
identified a large consanguineous family from Saudi origin segregating
developmental delay, intellectual disability, epilepsy and microcephaly. Whole
exome sequencing with 100* coverage was performed on two affected siblings of the
family. Data analysis in the patient revealed a novel missense mutation c.191C>T
in PGAP2 gene resulting in Alanine to Valine substitution (Ala64Val). The
mutation was reconfirmed and validated by subsequent Sanger sequencing method.
The mutation was ruled out in 100 unrelated healthy controls. We suggest that
this pathogenic mutation disrupts the proper function of the gene proteins
resulting in the disease state.
PMID- 27871433
TI - Regional variation in acute stroke care organisation.
AB - BACKGROUND: Few studies have assessed regional variation in the organisation of
stroke services, particularly health care resourcing, presence of protocols and
discharge planning. Our aim was to compare stroke care organisation within middle
(MIC) and high-income country (HIC) hospitals participating in the Head Position
in Stroke Trial (HeadPoST). METHODS: HeadPoST is an on-going international
multicenter crossover cluster-randomized trial of 'sitting-up' versus 'lying
flat' head positioning in acute stroke. As part of the start-up phase, one stroke
care organisation questionnaire was completed at each hospital. The World Bank
gross national income per capita criteria were used for classification. RESULTS:
94 hospitals from 9 countries completed the questionnaire, 51 corresponding to
MIC and 43 to HIC. Most participating hospitals had a dedicated stroke care
unit/ward, with access to diagnostic services and expert stroke physicians, and
offering intravenous thrombolysis. There was no difference for the presence of a
dedicated multidisciplinary stroke team, although greater access to a broad
spectrum of rehabilitation therapists in HIC compared to MIC hospitals was
observed. Significantly more patients arrived within a 4-h window of symptoms
onset in HIC hospitals (41 vs. 13%; P<0.001), and a significantly higher
proportion of acute ischemic stroke patients received intravenous thrombolysis
(10 vs. 5%; P=0.002) compared to MIC hospitals. CONCLUSIONS: Although all
hospitals provided advanced care for people with stroke, differences were found
in stroke care organisation and treatment. Future multilevel analyses aims to
determine the influence of specific organisational factors on patient outcomes.
PMID- 27871434
TI - Neural correlates of anxiety symptoms in mild Parkinson's disease: A prospective
longitudinal voxel-based morphometry study.
AB - BACKGROUND: Anxiety is prevalent in patients with Parkinson's disease (PD) and
may affect patients' quality of life. Yet, little is known about the neural basis
of anxiety in PD, and none have used a longitudinal design. METHODS: 73 patients
with mild PD were recruited and followed up for 18months. A whole-brain analysis
was first used to identify brain regions associated with anxiety symptoms,
followed by a regional analysis focusing on a priori hypothesised regions at
baseline. A multivariate generalized estimating equations analysis was then
conducted to determine the longitudinal association between grey matter (GM)
volumetric changes of these significant regions and changes of anxiety symptoms.
RESULTS: At baseline, anxiety symptom severity was associated with decreased GM
volumes in the bilateral precuneus and anterior cingulate cortex (ACC). Over
18months, increased severity of anxiety symptoms was associated with decreased GM
volume in the left precuneus and ACC, independent of age, gender, education,
depressive symptom severity or use of psychiatric medication. CONCLUSIONS: These
results mainly implicate the precuneus and ACC in the pathogenesis of anxiety in
PD. We speculate that these structural changes could reflect the disrupted
default mode network due to PD pathology, contributing to spontaneous anxiety
related self-focused thoughts.
PMID- 27871435
TI - Resting-state functional connectivity of subthalamic nucleus in different
Parkinson's disease phenotypes.
AB - Previous studies showed that the subthalamic nucleus (STN) plays a crucial role
in Parkinson's disease (PD) pathophysiology. During rest, PD phenotypes exhibit
different STN functional connectivity. STN functional connectivity was examined
in 31 PD patients [12 tremor-dominant (TD) and 19 posture instability gait
difficulty (PIGD)] and 22 healthy controls (HC). Compared with controls and PIGD
patients, the TD patients exhibited higher functional connectivity between the
bilateral STN and the left cerebellar anterior lobe. Compared with the TD and HC
groups, in the PIGD subgroup functional connectivity was lower between the left
putamen and the STN, as well as between the pons and the STN. In the PIGD
subgroup, functional connectivity was greater between the STN and bilateral
occipital lobe, which positively correlated with PIGD scores in PD patients.
Additionally, STN-cerebellum connectivity positively correlated with the tremor
score, and STN-putamen connectivity negatively correlated with the PIGD score in
PD patients. PD subtypes with distinguished STN functional connectivity might
explain the various pathophysiological mechanisms in tremor and gait disorders.
Increased coupling between the STN and cerebellum might underlie the neural
substrate of PD tremors. Lower functional connectivity between the STN and
putamen might underpin PD gait and posture disturbances, while higher functional
connectivity between the STN and visual cortex might play a compensatory role.
PMID- 27871436
TI - Editor's update and selected articles from the Journal of the Neurological
Sciences.
PMID- 27871437
TI - Efficacy of intravenous lidocaine and magnesium in intractable trigeminal
neuralgia: A preliminary report.
PMID- 27871439
TI - Corrigendum to "Mortality of advanced Parkinson's disease patients treated with
deep brain stimulation surgery" [J. Neurol. Sci. 369, 230-235].
PMID- 27871438
TI - Chewing-induced blepharospasm in Parkinson's disease.
PMID- 27871440
TI - Investigation and clinical applications of muscle strength change in
cerebrospinal fluid tap test in cases of idiopathic normal pressure
hydrocephalus: A retrospective study.
AB - The cerebrospinal fluid tap test (CSFTT) is widely used to diagnose idiopathic
normal pressure hydrocephalus (iNPH) and predict the therapeutic effectiveness of
shunting. However, the ability to walk cannot be quantified for patients who are
unable to walk. Therefore, we examined whether the iNPH diagnostic aid is
possible using dynamometry, even for patients who are unable to walk. In this
study, 45 patients underwent grip strength assessment, quadriceps strength
assessment, 10-m walk test, and 3-m Timed Up and Go test before and after CSFTT.
Our investigation of physical functions indicated that the CSFTT-positive group
demonstrated significant improvements in grip and bilateral quadriceps muscle
strength. The results of the receiver operating characteristic analysis indicated
that leg muscle strength measurement reliability was high and that the area under
the curve was 0.754-0.811. Our investigation of the clinically effective cutoff
point for the rate of change indicated that it was 13.6% for right quadriceps
muscle strength and 15.3% for left quadriceps muscle strength. Comparing CSFTT
results in cases of iNPH with the observed rate of change in muscle strength can
aid in the diagnosis of iNPH.
PMID- 27871442
TI - Alternating deep brain stimulation frequencies in Parkinson's disease.
PMID- 27871441
TI - Daytime sleepiness and nighttime sleep quality across the full spectrum of
cognitive presentations in essential tremor.
AB - There is increasing evidence that essential tremor (ET) is a complex and
heterogeneous disorder with nonmotor features including cognitive deficits and
sleep problems. We are unaware of a study that has examined sleep deficits in ET
across the full spectrum of cognitive presentations. Cross-sectional (baseline)
data on self-reported nighttime sleep dysfunction and excessive daytime
sleepiness were collected using the Pittsburgh Sleep Quality Index (PSQI) and the
Epworth Sleepiness Scale (ESS) in 96 ET cases enrolled in a prospective study.
Cases underwent a comprehensive neuropsychological assessment, and were
classified as ET with normal cognition (ET-NC), ET with mild cognitive impairment
(ET-MCI), and ET with dementia (ET-D). PSQI scores did not significantly differ
across the three ET cognitive groups (p=0.22). ESS scores were highest (more
daytime sleepiness) in the ET-MCI group, followed by the ET-D and ET-NC groups,
respectively (p=0.016). We examined sleep dysfunction across the cognitive
spectrum in ET. We demonstrate for the first time that excessive daytime
sleepiness is greater in ET-MCI than ET-NC. Unpredicted low ESS scores in the
dementia group raises two possibilities: a self-report bias related to cognitive
impairment and/or the possibility that currently undefined pathological
heterogeneity in ET may map onto multiple presentations of non-motor deficits.
PMID- 27871443
TI - Infantile-onset ascending spastic paraplegia phenotype associated with SPAST
mutation.
PMID- 27871444
TI - Polyneuropathy in levodopa-treated Parkinson's patients.
AB - Recently published studies show that the prevalence of polyneuropathy (PNP) is
higher in patients with Parkinson's disease (PD) than in age-matched controls.
Its pathogenesis, however is a matter of controversy. The major hypothesis is the
toxicity of high concentrations of homocysteine (Hcy) possibly related to
levodopa (LD) therapy. The aim of the present study was to determine the
prevalence of PNP, independent of other etiologies, and to determine the
relationship to demographic and clinical factors in LD-treated Parkinson's
patients. A total of 102 patients (51 patients with PD and 51 sex- and age
matched healthy controls) were enrolled in the study. The presence of any risk
factors for PNP, ascertained from the history and laboratory tests, was an
exclusion criterion. The Toronto Clinical Scoring System (TCSS) was used for
clinical assessment of PNP. The objective assessment was based on
electroneurography (ENG) studies in which motor nerves (peroneal and tibial
nerves) as well as sensory nerves (sural and superficial peroneal nerves) were
bilaterally examined. The severity of the disease was determined using the UPDRS
scale (Unified Parkinson's Disease Rating Scale) and the Hoehn-Yahr (H-Y) scale.
In the PD group, the clinical and neurophysiological indicators of PNP,
manifested as a symmetrical and predominantly sensory axonal neuropathy, were
more frequent then in the control group and observed in 43.1% vs. 13.7% and 15.7%
vs. 2% of subjects respectively. The presence of PNP correlated with age and the
severity of PD. Patients with PD and PNP had a higher level of Hcy as compared to
PD patients without PNP, however the difference was not statistically
significant. The frequency of PNP in PD patients is higher than in controls. The
characteristics and discrepancy between the number of patients with clinical and
ENG detected PNP may suggest the small fiber neuropathy (SFN) as the dominant
form of neuropathy in PD patients.
PMID- 27871445
TI - Neuro-Sweet disease: A diagnostic challenge.
PMID- 27871446
TI - Hemichorea improvement following endarterectomy for internal carotid artery
stenosis.
PMID- 27871447
TI - Novel compound heterozygous mutations in a child with Ataxia-Telangiectasia
showing unrelated cerebellar disorders.
AB - We report the case of a 6-year-old female patient with Ataxia Telangiectasia, an
extremely rare condition, who developed in addition a left cerebellar astrocytoma
and a right cerebellar infarction, considered as two independent events. Children
with AT have an increased risk of developing cancer, but only few cases of glioma
are reported and, at our knowledge, no other case of unrelated cerebellar glioma
and cerebellar infarction in with the same AT patient have been described. The
molecular analysis of ATM (Ataxia Telangiectasia Mutated) gene showed that the
patient is compound heterozygote for two previously unreported mutations:
c.3291delC (p.Phe1097fs) at exon 25 and c.8198A>C (p.Gln2733Pro) at exon 58. The
role of the identified ATM gene mutations in the pathogenesis of Ataxia
Telangiectasia and the coexisting cerebellar disorders is discussed.
PMID- 27871448
TI - Diagnostic and prognostic value of serum vitronectin levels in human glioma.
AB - OBJECTIVE: Vitronectin is an extracellular matrix protein, the synthesis of which
by glioma cells correlates with tumor grade. The current study was designed to
investigate the relationship between serum vitronectin levels and
clinicopathological characteristics, diagnosis and prognosis in glioma patients.
METHODS: In a prospective observatory study, a total of 98 glioma patients, 98
healthy controls, 98 other non-glioma brain tumors, and 98 other non-tumor
neurological diseases were recruited. Following univariate analyses, multivariate
analyses were performed to explore the associations of serum vitronectin levels
with survival and clinicopathological parameters. Receiver operating
characteristic curve analysis was done to assess its diagnostic and prognostic
predictive value. RESULTS: Serum vitronectin levels were significantly elevated
in glioma patients as compared with other groups. High Wealth Health Organization
grade was independently associated with high vitronectin levels. Serum
vitronectin levels could significantly distinguish glioma patients from other
groups and discriminate high-grade glioma from low-grade glioma. Vitronectin
levels markedly predicted 5-year progression and 5-year mortality. Moreover,
serum vitronectin was identified as an independent predictor for 5-year overall
survival and 5-year progression-free survival as well as 5-year mortality and 5
year progression. CONCLUSION: Serum vitronectin may be a promising diagnostic and
prognostic biomarker that can be detected in the peripheral blood of patients
with glioma.
PMID- 27871450
TI - Chronic inflammatory demyelinating polyneuropathy associated with Rickettsia
conorii: First case report.
PMID- 27871449
TI - Botulinum toxin as early intervention for spasticity after stroke or non
progressive brain lesion: A meta-analysis.
AB - Spasticity is a functionally limiting disorder that commonly occurs following
stroke or severe brain injury, and may lead to disability and pain. In tandem
with neurorehabilitation, botulinum toxin type A (BoNT-A) is the recommended
first-line treatment for spasticity and, to date, the majority of trials have
reported BoNT-A use in patients >6months after ictus. The present meta-analysis
aimed to evaluate the effects of early BoNT-A injection for post-stroke
spasticity on improvements in hypertonicity, disability, function and associated
pain. A literature search yielded six studies reporting the effects of BoNT-A
treatment within 3months post-stroke; three in the upper limb and three in the
lower limb. All six studies permitted concomitant rehabilitation. Reduction in
hypertonicity was compared in all six studies and revealed a significant
treatment effect (P=0.0002) on the most affected joints between weeks 4 and 12
following injection. However, no significant effects of treatment were observed
for improvement in disability at week 4 or improvement in function at weeks 4 and
20-24. A trend towards reduction in spasticity-related pain at week 4 following
BoNT-A treatment (P=0.13) was also observed. These results demonstrate the
beneficial effects of BoNT-A treatment on improving hypertonicity within 3months
post-stroke and emphasise the importance of concomitant neurorehabilitation
therapy.
PMID- 27871451
TI - Aneurysm rebleeding after poor-grade aneurysmal subarachnoid hemorrhage:
Predictors and impact on clinical outcomes.
AB - BACKGROUND: Aneurysm rebleeding is a major cause of morbidity and mortality after
aneurysmal subarachnoid hemorrhage (aSAH) and more often occurs in patients with
poor-grade aSAH. Limited data on predictors of rebleeding in these patients are
available. OBJECTIVE: To investigate predictors of aneurysm rebleeding after poor
grade aSAH and the association of rebleeding with clinical outcomes. METHODS: A
multicenter poor-grade aneurysm study was a prospective and observational
registry of consecutive patients who presented with poor-grade aSAH defined as a
World Federation of Neurosurgical Societies (WFNS) grade of IV or V. Rebleeding
was defined as a new hemorrhage on computed tomography scan. Clinical outcomes
were assessed with modified Rankin score. Multivariate logistic regression
analyses were used to determine independent predictors of rebleeding and
association between the rebleeding and clinical outcomes at 12months. RESULTS: Of
the 297 patients included in this study, 30 (10.1%) patients experienced
rebleeding. Most rebleeding occurred within 24h after ictus. 22 (73.3%) patients
died at discharge. Aneurysm rebleeding was independently associated with poor
outcome (odds ratio [OR] 36.37, p<0.001) and associated with mortality (OR 25.03,
p<0.001) at 12months. The multivariate analysis showed that a lower Fisher grade
(OR 0.49, 95% CI 0.31-0.77; p=0.002), ruptured anterior cerebral artery aneurysms
(OR 4.26, 95% CI 1.07-16.90; p=0.039), external ventricular drainage (OR 4.62,
95% CI 1.46-14.59; p=0.009) were independently associated with aneurysm
rebleeding. CONCLUSIONS: The outcome of aneurysm rebleeding remains very poor. A
lower Fisher grade, ruptured anterior cerebral artery aneurysms, external
ventricular drainage were associated with increased risk of rebleeding.
PMID- 27871452
TI - Galectin-3 is not an outcome biomarker in ischemic stroke. Letter concerning the
study 'Role of galectin-3 in plasma as a predictive biomarker of outcome after
acute intracerebral hemorrhage.
PMID- 27871453
TI - Chasing dizzy chimera: Diagnosis of combined peripheral and central
vestibulopathy.
AB - Diagnosis of combined peripheral and central vestibulopathy remains a challenge
since the findings from peripheral vestibular involvements may overshadow those
from central vestibular disorders or vice versa. The aim of this study was to
enhance detection of these intriguing disorders by characterizing the clinical
features and underlying etiologies. We had recruited 55 patients with combined
peripheral and central vestibulopathy at the Dizziness Clinic of Seoul National
University Bundang Hospital from 2003 to 2013. Peripheral vestibular involvement
was determined by decreased caloric responses in either ear, and central
vestibulopathy was diagnosed with obvious central vestibular signs or the lesions
documented on MRIs to involve the central vestibular structures. Combined
peripheral and central vestibulopathy could be classified into four types
according to the patterns of vestibular presentation. Infarctions were the most
common cause of acute unilateral cases while cerebellopontine angle tumors were
mostly found in chronic unilateral ones. Wernicke encephalopathy and degenerative
disorders were common in acute and chronic bilateral disorders. Twenty five
(45.5%) patients showed only vestibular findings with or without auditory
involvements, but association with gaze-evoked nystagmus, impaired smooth pursuit
or central types of head shaking nystagmus indicated a central vestibular
involvement in most of them (23/25, 92.0%). Given the requirements for urgent
treatments and potentially grave prognosis of combined vestibulopathy, central
signs should be sought even in patients with clinical or laboratory features of
peripheral vestibulopathy. Scrutinized bedside evaluation, however, secured the
diagnosis in almost all the patients with combined vestibulopathy.
PMID- 27871454
TI - Body mass index and interferon-beta efficacy (and safety) in multiple sclerosis.
PMID- 27871455
TI - Episodic ataxia and SCA6 within the same family due to the D302N CACNA1A gene
mutation.
AB - Several dominant mutations of CACNA1A gene were associated with at least three
different allelic disorders: spino-cerebellar ataxia type 6 (SCA6), episodic
ataxia type 2 (EA2), and familial hemiplegic migraine-1 (FHM1). It is generally
thought that loss-of-function mutations are associated with EA2, gain-of-function
missense mutations with FHM1, and abnormal CAG expansions with SCA6. But,
overlapping features, atypical symptoms and co-occurrence of distinct phenotypes
within the same family were reported. We describe a four generation family
showing different phenotypes ranging from EA2 to SCA6 and carrying the p.D302N
CACNA1A gene mutation. In our family the phenotypes maintained separate and
gender differences corresponding to different phenotypes were observed.
PMID- 27871456
TI - A prognostic factor in focal hand dystonia: typist's cramp cases and literature
review.
AB - The prognosis of focal hand dystonia (FHD) remains unclear. We retrospectively
studied six patients with typist's cramp in our hospitals, and five cases in the
PubMed database. All of them were right-handed. We compared clinical features
between simple (dystonia in only one specific task), and dystonic/progressive
groups (dystonia in several and/or new tasks). The initially affected right hand
ratio was significantly higher in dystonic/progressive groups than in simple
group (p=0.015). Initially affected hand may be a predictor for the progression,
implying that the progression may be associated with the amount of daily routine
hand movements.
PMID- 27871457
TI - Minocycline is effective in intracerebral hemorrhage by inhibition of apoptosis
and autophagy.
AB - BACKGROUND: Intracerebral hemorrhage is the least treatable type of stroke and
affects millions of people worldwide. Treatment for ICH varies from medicine to
surgery, but the rate of mortality and mobility still remains high. Minocycline
is a tetracycline antibiotic increasingly recognized for its neuroprotective
potential. In earlier studies, we demonstrated that many secondary injuries
caused by ICH could be significantly reduced by injection of minocycline in rat
models. The following research investigates the role of minocycline in reducing
brain injury. METHODS: Twenty-four rats were administered 100MUl autologous
arterial blood injections into the right basal ganglia, treated with minocycline
or vehicle and euthanized on the 1st, 3rd, and 7th day. Immunohistochemistry,
TUNEL, and western blot analysis were performed to analyze the effects of
minocycline on apoptosis and autophagy. RESULTS: After the injection of
minocycline, TUNEL-positive cells were remarkably reduced on days 1, 3 and 7;
Beclin-1, LC3BII/I, caspase-3/8 were all suppressed after treatment. The
relationship between Cathepsin D and minocycline remained unknown. CONCLUSIONS:
Our studies suggest the potential medicinal value of minocycline, through both
anti-autophagy and anti-apoptosis pathways.
PMID- 27871459
TI - Morphology, histochemistry and glycosylation of the placenta and associated
tissues in the European hedgehog (Erinaceus europaeus).
AB - INTRODUCTION: There are few descriptions of the placenta and associated tissues
of the European hedgehog (Erinaceus europaeus) and here we present findings on a
near-term pregnant specimen. METHODS: Tissues were examined grossly and then
formalin fixed and wax-embedded for histology and immunocytochemistry
(cytokeratin) and resin embedded for lectin histochemistry. RESULTS: Each of four
well-developed and near term hoglets displayed a discoid, haemochorial placenta
with typical labyrinth and spongy zones. In addition there was a paraplacenta
incorporating Reichert's membrane and a largely detached yolk sac. The
trophoblast of the placenta contained diverse populations of granule which
expressed most classes of glycan. Intercellular membranes were also glycosylated
and this tended to be heavier in the labyrinth zone. Fetal capillary endothelium
had glycosylated apical surfaces expressing sialic acid and various other
glycans. Glycogen was present in large cells situated between the spongy zone and
the endometrium. Trophoblast cells in the placental disc and under Reichert's
membrane, as well as yolk sac endoderm and mesothelium, were cytokeratin
positive. Reichert's membrane was heavily glycosylated. Yolk sac inner and outer
endoderm expressed similar glycans except for N-acetylgalactosamine residues in
endodermal acini. DISCUSSION: New features of near-term hedgehog placenta and
associated tissues are presented, including their glycosylation, and novel yolk
sac acinar structures are described. The trophoblast of the placental disc showed
significant differences from that underlying Reichert's membrane while the glycan
composition of the membrane itself showed some similarity to that of rat thereby
implying a degree of biochemical conservation of this structure.
PMID- 27871458
TI - Eligibility for mechanical thrombectomy in acute ischemic stroke: A phase IV
multi-center screening log registry.
AB - No eligibility screening logs were kept in recent mechanical thrombectomy (MT)
RCTs establishing safety and efficacy of endovascular reperfusion therapies for
acute ischemic stroke (AIS). We sought to evaluate the potential eligibility for
MT among consecutive AIS patients in a prospective international multicenter
study. We prospectively evaluated consecutive AIS patients admitted in four
tertiary-care stroke centers during a twelve-month period. Potential eligibility
for MT was evaluated using inclusion criteria from MR CLEAN & REVASCAT. Our study
population consisted of 1464 AIS patients (mean age 67+/-14years, 56% men, median
admission NIHSS-score: 5, IQR: 3-10). A total of 123 (8%, 95% CI: 7%-10%) and 82
(6%, 95% CI: 5%-7%) patients fulfilled the inclusion criteria for MR
CLEAN&REVASCAT respectively. No evidence of heterogeneity (p>0.100) was found in
the eligibility for MT across the participating centers. Absence of proximal
intracranial occlusion (69%) and hospital arrival outside the eligible time
window (38% for MR CLEAN & 35% for REVASCAT) were the two most common reasons for
ineligibility for MT. Our everyday clinical practice experience suggests that
approximately one out of thirteen to seventeen consecutive AIS may be eligible
for MT if inclusion criteria for MR CLEAN and REVASCAT are strictly adhered to.
PMID- 27871460
TI - Placental histological lesions in fetal and neonatal alloimmune thrombocytopenia:
A retrospective cohort study of 21 cases.
AB - BACKGROUND: Alloimmunization against human platelet antigens (HPAs) can occur
prenatally and induce fetal/neonatal alloimmune thrombocytopenia (FNAIT). The aim
of this study was to identify placental histological features associated with
platelet alloimmunization and their clinical significance. METHODS: This study
examined 21 placentas from FNAIT-affected pregnancies and 42 age-matched control
cases, all collected from pathology departments in the Rhone-Alpes region.
Clinical and laboratory findings were collected for each FNAIT case. Two
pathologists reviewed the placental slides of each FNAIT and control case.
Histological features, with special emphasis on chronic inflammatory lesions,
were evaluated. Differences between the two groups were calculated with odds
ratios (ORs) and assessed with Wald's chi-square. RESULTS: FNAIT was associated
with a significantly higher frequency of chronic chorioamnionitis (CC) (OR 14,
95%CI 1.7-113.8), basal chronic villitis (BCV) (OR 17, 95%CI 2-145.6) and chronic
intervillositis (CIV). Chronic villitis (CV) (OR 3.7, 95%CI 0.9-15.2) and chronic
deciduitis (CD) (OR 4.7, 95%CI 0.79-28.2) were also more frequent in the FNAIT
than the control group, but these differences were not statistically significant.
CONCLUSIONS: FNAIT is significantly associated with CC, BCV, and CIV. This
chronic inflammatory reaction is preferentially localized on the maternofetal
interface. Anti-HPA alloimmunization may trigger an immunological conflict
similar to graft-versus-host disease.
PMID- 27871461
TI - Nuclear factor of activated T-cells (NFAT) regulates soluble fms-like tyrosine
kinase-1 secretion (sFlt-1) from human placenta.
AB - INTRODUCTION: Preeclampsia is a serious complication affecting 5-8% of
pregnancies. Central to its pathogenesis is placental hypoxia and inflammation
which leads to secretion of soluble fms-like tyrosine kinase 1 (sFlt-1). sFlt-1
causes widespread endothelial dysfunction. The molecular mechanisms regulating
sFlt-1 production remain poorly understood. Recently, a binding site for the
nuclear factor activated T cells (NFAT) transcription factor has been found on
fms-like tyrosine kinase 1 (FLT-1) promoter. METHODS: We assessed whether
inhibiting NFAT impacts FLT-1, sFlt-1 and cytokine expression, as well as sFlt-1
secretion in primary cytotrophoblasts, placental explants and human umbilical
vein endothelial cells (HUVECs). We investigated whether NFAT is regulated by
hypoxia in primary cytotrophoblasts. We characterised the expression of NFAT1-4
in preterm preeclamptic compared to gestationally matched placentas. RESULTS:
Inhibiting NFAT reduced FLT-1 and sFlt-1 splice variant e15a transcription,
concordant with reduced total sFlt-1 and sFlt-1 e15a secretion from primary human
cytotrophoblasts. This effect appeared tissue specific as inhibiting NFAT did not
change sFlt-1 secretion from endothelial cells. Inhibiting NFAT also reduced
transcription of inflammatory cytokines IL-1beta and IL-10 in primary
cytotrophoblasts. NFAT1 and NFAT3 mRNA expression were significantly increased
under hypoxia (1% O2). Inhibiting NFAT under hypoxia significantly reduced FLT-1
and sFlt-1 e15a transcription, but did not reduce sFlt-1 secretion. NFAT mRNA and
protein localisation was not different in preeclamptic compared to gestationally
matched placenta. DISCUSSION: NFAT positively regulates placental FLT-1 and sFlt
1 e15a, secretion of sFlt-1 and inflammatory cytokine expression. It may be
involved in the pathophysiology of preeclampsia.
PMID- 27871463
TI - Pattern of adhesive molecules expression in placenta of non-complicated ART
pregnancies.
AB - OBJECTIVE: To investigate changes occurring in the morphometric parameters of
chorionic villi and their vessels as well as in adhesive molecules expression in
placenta of ART pregnancies. METHODS: Case-control study including a total of 52
placentas of non-complicated pregnancies of women delivered by spontaneous
conception (SC) (n = 26) compared with those of ART (n = 26). Histological and
morphometric assessment of fetal chorionic villi as well as the expression of
various adhesive molecules (ICAM-1, VCAM-1 and PECAM-1) were performed in fetal
plasma and placenta. RESULTS: Although we did not observe any obvious changes in
the histological structure of placenta of ART pregnancies, it showed a
significant (p < 0.05) decrease in the syncytiotrophoblast cytoplasmic area
accompanied with a significant increase (p < 0.05) in the vessel area and
syncytiotrophoblast nuclear area without remarkable change in the total villous
area or total syncytiotrophoblast % area. In addition, almost all levels of the
assayed adhesive molecules were significantly increased (p < 0.05) in placenta as
well as in fetal plasma of ART pregnancies compared with SC. CONCLUSION: We
suggested in the current study that the altered adhesive molecules expression
accompanying the increased vessel area and decreased syncytial cytoplasm area may
indicate a subclinical endothelial stress in placenta of non-complicated ART
pregnancies.
PMID- 27871462
TI - Placental expression of DNA methyltransferase 1 (DNMT1): Gender-specific relation
with human placental growth.
AB - AIMS: Placental physiology and morphology is critically regulated by DNA
methylation. As such, placental global DNA methylation and transcript abundance
of placental DNA methyltransferases (DNMT1 and DNMT3A) may relate to placental
and fetal growth in human pregnancies. We aimed to test correlations of human
fetoplacental parameters and birth weight with the placental expression of DNA
methyltransferases (DNMT1 and DNMT3A) and placental global methylation. SUBJECTS
AND METHODS: Placentae (n = 109) were collected from small- (SGA) and appropriate
(AGA) for gestational age full-term singleton pregnancies (n = 56 SGA and 53
AGA). Placentae and neonates were weighed at birth. Realtime quantitative PCR was
performed to assess placental transcript abundance of DNMT1, DNMT3A and DNTMT3B
normalized to a panel of reference genes. LINE-1 methylation was measured using a
quantitative MethyLight assay in a subset of samples (n = 68). Associations of
placental transcript abundances of DNMT1, DNMT3A and DNMT3B and of LINE-1
methylation levels with maternal, placental and neonatal parameters were tested.
RESULTS: Placental DNMT1 transcript abundance associated positively with
placental weight (beta = 10.21, P = 0.013). This association was specific to the
AGA births (beta = 12.77, P = 0.022) and was absent in the SGA births.
Association of DNMT1 expression with placental weight and birth weight within the
AGA births was specific to the female gender (Birth weight: beta = 83.61, P =
0.043; Placental weight: beta = 23.92, P = 0.025). Placental DNMT1 transcript
levels were not different according to SGA status or gender. Placental DNMT3A
transcript levels and LINE-1 methylation levels did not show any associations
with maternal, placental and neonatal parameters. CONCLUSIONS: Placental DNMT1
expression was found to be associated positively with placental weight and birth
weight, specifically in the female AGA births. Thus, we hypothesize that
placental DNMT1 participates in fetoplacental growth in a fetal gender-specific
manner.
PMID- 27871464
TI - Downregulation of miR-29a/b/c in placenta accreta inhibits apoptosis of
implantation site intermediate trophoblast cells by targeting MCL1.
AB - OBJECTIVE: Placenta accreta is defined as abnormal adhesion of placental villi to
the uterine myometrium. Although this condition has become more common as a
result of the increasing rate of cesarean sections, the underlying causative
mechanism(s) remain elusive. Because microRNA-29a/b/c (miR-29a/b/c) have been
shown to play important roles in placental development, this study evaluated the
roles of these microRNAs in placenta accreta. METHODS: Expression of miR-29a/b/c
and myeloid cell leukemia-1 (MCL1) were quantified in patient tissues and
HTR8/SVneo trophoblast cells using the real-time quantitative polymerase chain
reaction. Western blotting was used to analyze expression of the MCL1 protein in
HTR8/SVneo trophoblast cells with altered expression of miR-29a/b/c. To determine
their role in apoptosis, miR-29a/b/c were overexpressed in HTR-8/SVneo cells, and
levels of apoptosis were analyzed by flow cytometry. Luciferase activity assays
were used to determine whether MCL1 is a target gene of miR-29a/b/c. RESULTS:
Expression of miR-29a/b/c was significantly lower in creta sites compared to
noncreta sites (p = 0.018, 0.041, and 0.022, respectively), but expression of
MCL1 was upregulated in creta sites (p = 0.039). MCL1 expression was
significantly downregulated in HTR-8/SVneo cells overexpressing miR-29a/b/c (p =
0.002, 0.008, and 0.013, respectively). Luciferase activity assays revealed that
miR-29a/b/c directly target the 3' untranslated region of MCL1 in 293T cells.
Over-expression of miR-29a/b/c induced apoptosis in the HTR-8/SVneo trophoblast
cell line. Moreover, histopathological evaluation revealed that the number of
implantation site intermediate trophoblast (ISIT) cells was increased in creta
sites and that these cells were positive for MCL1. CONCLUSIONS: Our results
demonstrate that in placenta accreta, miR-29a/b/c inhibits apoptosis of ISIT
cells by targeting MCL1. These findings provide new insights into the
pathogenesis of placenta accreta.
PMID- 27871465
TI - Identification of genes for normalization of real-time RT-PCR data in placental
tissues from intrahepatic cholestasis of pregnancy.
AB - The selection of suitable reference genes is crucial for proper interpretation of
RT-qPCR data. To date, a systematic screening for reference genes in placental
tissues from intrahepatic cholestasis of pregnancy (ICP) is missing. Eighteen
candidate reference genes were investigated to determine their applicability by
descriptive statistics and published algorithms. HPRT1, YWHAZ and PUM1 were found
to be the most stable genes and could be used for normalization in gene
expression study of placentas from ICP. The combination of HPRT1 and YWHAZ is
sufficient and the use of all three genes should be recommended for an improved
reliability of normalization.
PMID- 27871466
TI - Autophagy protects against oxidized low density lipoprotein-mediated inflammation
associated with preeclampsia.
AB - INTRODUCTION: Inflammatory responses play an important role in the pathogenesis
of preeclampsia. Recently, the anti-inflammatory role played by autophagy has
drawn increasing attention. Our aim was to investigate variations in autophagy in
preeclampsia and protection against oxidized low-density lipoprotein (oxLDL)
mediated inflammation by autophagy. METHODS: We used immunohistochemistry,
immunofluorescence, quantitative real-time PCR, and western blotting to analyze
the expression of autophagy proteins (beclin-1 and LC3II/LC3I) in preeclampsia
placentas and in JEG-3 cells treated with oxLDL and rapamycin. RESULTS: We found
a decreased level of autophagy proteins in preeclampsia placentas, and oxLDL did
not induce autophagy in JEG-3 cells. Furthermore, when cells were pretreated with
rapamycin, autophagy was activated and expression of inflammatory factors (tumor
necrosis factor-alpha and interleukin-6) induced by oxLDL was downregulated.
CONCLUSION: We conclude that impaired autophagy in preeclampsia has potential to
decrease trophoblast protection from oxidative and inflammatory stress, thereby
contributing to the pathogenesis of preeclampsia.
PMID- 27871467
TI - The Effect of Diabetes and Hypertension on the Placental Permeation of the
Hydrophilic Drug, Ranitidine.
AB - INTRODUCTION: Ranitidine is a hydrophilic weak base and an H2-receptor antagonist
which is commonly used for gastroesophageal reflux, including during pregnancy.
It has limited placental permeation and can be used as a pre-anesthetic antacid
to prevent aspiration of acidic stomach contents. Recent studies suggest that
diabetes and hypertension may influence placental permeation of hydrophilic
drugs. Thus, this study aimed to investigate the influence of diabetes and
hypertension on ranitidine's placental permeation in pregnant women. METHODS:
Forty one pregnant women all scheduled for elective cesarean section entered the
study: healthy control (n = 15), with hypertension (n = 16) and with gestational
diabetes (n = 10). All women received 50 mg of ranitidine intravenously. Three
samples of maternal plasma (after ranitidine application, at delivery and after
delivery), and two umbilical cord samples (arterial and venous blood) were
collected and analyzed for ranitidine concentrations. Maternal pharmacokinetic
parameter were calculated as well as feto:maternal and umbilical cord arterial to
venous concentration ratios. RESULTS: Ranitidine maternal and umbilical cord
(arterial and venous) concentrations were similar in all three groups and there
were no difference between feto:maternal ratios nor volume of distribution,
clearance and half life between the groups. DISCUSSION: Fetal concentrations are
dependent on maternal concentrations in healthy and hypertensive women but not in
diabetic women. Hypertension and diabetes did not affect fetal handling of
ranitidine. Though hypertension and diabetes did not influence ranitidine
placental permeation, it appears they altered time needed to achieve unity
between maternal and fetal plasma.
PMID- 27871469
TI - Placental telomere length decline with gestational age differs by sex and TERT,
DNMT1, and DNMT3A DNA methylation.
AB - INTRODUCTION: Telomere length (TL) has been suggested to be influenced by
inherited genetic and epigenetic variation, hormonal effects, oxidative stress
and age. However, the dynamics of TL during in utero development have not been
well explored. This study investigates the relationship between placental TL and
sex, gestational age (GA), and DNA methylation (DNAm). Placental TL is further
evaluated in pregnancies complicated by preeclampsia (PE) and intrauterine growth
restriction (IUGR), conditions hypothesized to lead to decreased placental TL due
to increased oxidative stress. METHODS: Average TL in 21 early-onset PE (EOPE),
18 late-onset PE (LOPE), 9 IUGR, 59 viable and 33 non-viable control placentas
were measured by qPCR. Of these, 13 control, 20 EOPE, 17 LOPE, and 8 IUGR samples
were also run on the Illumina 450K array. ANOVA was used to compare TL between
controls and EOPE, LOPE, and IUGR. Linear regression correcting for GA and sex,
assessed the association between TL and DNAm in biologically-relevant genes
(TERC, TERT, DNMT1, DNMT3a, DNMT3b), and array-wide. RESULTS: Male sex and
increasing GA were associated with shorter placental TL. Correcting for these
factors, no significant difference in TL was observed between EOPE, LOPE, and
IUGR placentas compared to controls. Targeted analysis revealed TL was associated
with DNAm at TERT, DNMT1, and DNMT3a. An array-wide approach found no additional
sites associated with TL. CONCLUSION: Variability in placental TL is associated
with alterations in DNAm at TERT, DNMT1, and DNMT3a. Placental TL is not strongly
influenced by EOPE, LOPE, or IUGR.
PMID- 27871468
TI - Increased levels of soluble corin in pre-eclampsia and fetal growth restriction.
AB - Atrial natriuretic peptide is biologically activated by the atrial natriuretic
peptide-converting enzyme, corin, and has an important role in regulating blood
pressure. We detected elevated serum corin levels in women with pre-eclampsia.
Interestingly, the serum corin levels were also found to be elevated in
pregnancies with a related disorder, unexplained fetal growth restriction (FGR)
without hypertension, suggesting that this phenomenon is not simply a response to
maternal hypertension. CORIN mRNA levels were not elevated in placentas from pre
eclampsia or unexplained FGR cases. Likewise, similar signal intensities were
found for corin in placental syncytiotrophoblast cells by immunostaining. In
contrast, corin signals were higher in maternal decidua cells from pre-eclampsia
and unexplained FGR cases. These data suggest that corin may be upregulated in
maternal decidua in response to an etiologic pathway that is common to pre
eclampsia and FGR.
PMID- 27871470
TI - The function of miR-519d in cell migration, invasion, and proliferation suggests
a role in early placentation.
AB - The processes of proliferation, migration, and invasion of extravillous
trophoblasts are critical for placental implantation and early development, and
directly influence pregnancy outcome. Dysregulation of these processes has been
associated with placental dysfunction, implicated in clinical conditions such as
preeclampsia and placental accreta. Among diverse microRNA (miRNA) species that
are expressed in placental trophoblasts, members of the chromosome 19 miRNA
cluster (C19MC) stand out in their nearly exclusive expression in the placenta.
Recent research on the function of C19MC miRNAs in normal cell physiology and
during tumorigenesis identified one C19MC member, miR-519d, as a regulator of
cell migration, invasion, and interaction with the extracellular matrix. In this
review, we focus on the function of miR-519d in placental trophoblasts, where miR
519d regulates cell migration and invasion, and its aberrant expression is
associated with preeclampsia. In cancer, the function of miR-519d as an oncomiR
or a tumor-suppressor is dependent upon the tumor type. Further research on the
biological function and regulation of miR-519d may illuminate previously unknown
mechanisms that control cell migration and invasion.
PMID- 27871471
TI - Endocan expression is increased in the placenta from obese women with gestational
diabetes mellitus.
AB - INTRODUCTION: Endocan, a member of the proteoglycan family, is involved in a wide
range of diseases including obesity and diabetes. The aim of this study was to
determine the effect of (i) maternal obesity and gestational diabetes mellitus
(GDM) on placental endocan expression; and (ii) endocan knockdown on markers of
inflammation. METHODS: Endocan mRNA and protein expression was determined in
human placenta from (i) lean and obese and normal glucose tolerant (NGT) pregnant
women (n = 10 patients per group); and (ii) women with GDM and BMI-matched NGT
women (n = 40 patients per group). Primary villous trophoblast cells and HUVECs
were used to assess the effect of endocan siRNA knockdown on pro-inflammatory
cytokines. RESULTS: There was no effect of maternal obesity on placental endocan
expression. Further, endocan expression was not different between lean NGT and
BMI-matched women with GDM. However, endocan mRNA and protein expression was
significantly higher in placenta from obese women with GDM when compared to BMI
matched NGT women. Knockdown of endocan in villous trophoblast cells and HUVECs
had no effect on infection- or inflammation-induced expression and secretion of
IL-6, IL-8 and MCP-1. DISCUSSION: Endocan expression is increased in the human
placenta from obese women with GDM, and in response to pro-inflammatory stimuli.
Loss-of-function studies in villous trophoblast cells and HUVECs revealed that
endocan is not directly involved in the genesis or in the expression of pro
inflammatory cytokines induced by LPS or IL-1beta. Further studies are required
to elucidate the functional consequences of increased placental endocan
expression in obese GDM pregnancies.
PMID- 27871472
TI - An immunohistochemical study of placental syncytiotrophoblasts in neonatal
hemochromatosis.
AB - INTRODUCTION: Neonatal hemochromatosis (NH) is a rare neonatal disorder that
results in liver cirrhosis with hemosiderin deposition in the liver and other
organs, similarly to hereditary hemochromatosis. Excess iron is transferred from
the mother to fetus through the placenta in NH. We examined the expression of
iron metabolism-related substances in placental syncytiotrophoblasts (STB) by
immunostaining to clarify how the transfer of iron through STB increases in NH.
METHODS: Immunostaining was performed using formalin-fixed, paraffin-embedded
sections of placentae from three NH cases, four gestational age-matched controls,
and, depending on the antibody examined, five to seven full-term controls. The
reactivity of immunostaining was assessed by averages of scores assigned by 3
researchers. RESULTS: On the microvillar surface of STB, the reactions of the
antibodies against transferrin receptor 1 (TFR1), transferrin, ferritin,
hepcidin, ferroportin, divalent metal transporter-1 (DMT1), hephaestin, and HFE
were stronger in NH than in controls. In the cytoplasm, the reactions of
antibodies against TFR1, transferrin, ferritin, hepcidin, DMT1, hephaestin, HFE,
and ZIP 14 were stronger in NH than in gestational age-matched controls. Among
these reactions, those of anti-TFR1 antibody on the surface of STB in NH was
especially marked. DISCUSSION: In the placenta of NH, increases in expressions of
TFR1, transferrin, and ferritin of which those of TFR1 were especially marked,
reflect increased iron influx from the mother to fetus. The hepcidin observed on
the surface and in the cytoplasm of STB of NH is suggested to be from the mother,
possibly to compensate for the decreased fetal liver-derived hepcidin.
PMID- 27871473
TI - Placental histology in spontaneous and indicated preterm birth: A case control
study.
AB - INTRODUCTION: Placental pathology is an important contributor in preterm birth,
both spontaneous and indicated. The aim of this study was to describe and compare
placental histological features of spontaneous preterm birth versus indicated
preterm birth. METHODS: A case control study was performed at the University
Medical Center Utrecht. Women with spontaneous or indicated preterm birth (17-37
weeks of gestation) delivered in 2009 were included. Women with a pregnancy
complicated by congenital and/or chromosomal abnormalities were excluded.
Placentas were systematically examined by an expert pathologist blinded for
pregnancy outcome, except for gestational age. Placental histological
abnormalities were classified into infectious inflammatory lesions and maternal
vascular malperfusion lesions and compared between spontaneous and indicated
preterm birth. Analysis was stratified for immature (17-23+6 weeks), extremely
(24-27+6 weeks), very (28-31+6 weeks) and moderate/late (32-36+6 weeks) preterm
birth. RESULTS: We included 233 women, 121 women with spontaneous preterm birth
and 112 women with indicated preterm birth. Among women with spontaneous
extremely preterm birth, higher rates of severe chorioamnionitis were found
(56.0% vs. 0%). Furthermore, a shift from infectious-inflammatory lesions to
maternal vascular malperfusion lesions was seen after 28 weeks; in women with
spontaneous very and moderate/late preterm birth, maternal vascular malperfusion
lesions were the main finding (46.8% and 47.7% respectively). In women with
indicated preterm birth, maternal vascular malperfusion lesions were most often
contributing through all gestational age categories. CONCLUSION: Maternal
vascular malperfusion lesions are most frequent in both spontaneous and indicated
very and moderate/late preterm birth. In spontaneous extreme preterm birth
chorioamnionitis is the main finding.
PMID- 27871474
TI - The relationship between maternal placental growth factor levels and intrapartum
fetal compromise.
AB - INTRODUCTION: Whilst some cases of intrapartum fetal compromise are the result of
unpredictable catastrophic events, the majority arise from an unrecognised
reduction in feto-placental reserve in otherwise healthy pregnancies. There is
currently no reliable technique prior to labour that identifies the at-risk
fetus. We aimed to investigate the relationship between maternal levels of serum
placental growth factor (PlGF) and intrapartum fetal compromise in term
pregnancies prior to labour. Secondary outcomes were caesarean delivery for
intrapartum fetal compromise and adverse neonatal outcomes. METHODS: A blinded,
prospective, cross sectional study set at Mater Mother's Hospital, Brisbane,
Australia. Maternal PlGF concentration was assessed fortnightly from 36 weeks
until delivery in 378 low-risk pregnant women. Antenatal and intrapartum care was
managed according to local protocols and guidelines, and intrapartum and neonatal
outcomes were recorded. RESULTS: Pregnancies that developed intrapartum fetal
compromise had lower PlGF than those that did not. PlGF concentration was also
lower amongst pregnancies that developed intrapartum fetal heart rate
abnormalities, were delivered with abnormal cord gases or Apgar <=7 at 5 min.
Additionally, PlGF levels were lower in pregnancies with an adverse composite
neonatal outcome. DISCUSSION: Lower maternal PlGF concentration is associated
with intrapartum fetal compromise and poorer condition of the newborn. Maternal
PlGF levels may be useful as a component of a risk stratification tool for
intrapartum fetal compromise in apparently 'low risk' term pregnancies prior to
labour.
PMID- 27871475
TI - Villus packing density and lacunarity: Markers of placental efficiency?
AB - We evaluate, in routine H&E histology slides, villus quantity in a given area
(villous packing density, VPD) and the pattern or "gappiness" of villous
distribution (lacunarity), and test for correlations with a proxy for
fetoplacental metabolic rate, beta calculated as (ln (placental weight)/ln
(birthweight)) from Kleiber's law [1]. Three ~4.3 mm2 images each were obtained
from 88 term placentas. Ranges of VPD and lacunarity were each correlated with
beta (r = 0.31, p = 0.003, r = 0.23, p = 0.03 and respectively). The relationship
between beta and within-placenta variation in VPD and lacunarity highlights the
need to study not merely the mean but the variance of villous geometries and
spatial distributions.
PMID- 27871476
TI - Steroid sulfatase is increased in the placentas and whole blood of women with
early-onset preeclampsia.
AB - INTRODUCTION: Preeclampsia is a serious complication of pregnancy affecting 5% of
pregnancies. Our team identified 137 genes highly expressed in placenta relative
to other human tissues. Here, we have explored a role for steroid sulfatase (STS)
in preeclampsia by characterising STS expression and the functional effects of
STS on primary placental trophoblasts. METHODS: Characterisation of STS was
performed on preterm preeclamptic and gestation-matched normotensive preterm
controls who delivered at <34 weeks gestation. We characterised placental and
maternal whole blood STS mRNA and placental protein expression via qRT-PCR,
immunohistochemistry and Western Blot. To assess whether STS is involved in sFlt1
secretion and syncytialisation, we administered siRNA to silence STS in primary
trophoblasts before measuring sFlt1 and hCG secretion and E-Cadherin expression.
RESULTS: A custom array containing 45 placental specific genes identified 10
genes significantly altered in the placentas of preeclamptic patients relative to
normotensive gestation-matched controls. Of these genes, qRT-PCR and western blot
on a larger cohort confirmed that the expression of STS was significantly
elevated in preeclamptic placentas (n = 44) relative to gestation matched
controls (n = 26). Given placental RNA leaks in to the maternal circulation, we
also assessed STS mRNA expression in the whole blood of patients with
preeclampsia and found it was significantly increased relative to normotensive
controls. siRNA knockdown of STS in primary trophoblast resulted in a modest but
significant reduction in sFlt1 secretion, but had no affect on hCG secretion or E
Cadherin protein expression. DISCUSSION: STS is increased in preeclamptic
placentas and maternal whole blood. Our data suggests that STS may affect sFlt1
secretion by regulating sFlt1-i13 transcription, and not via alterations in
syncytialisation.
PMID- 27871477
TI - Hypermethylation of AKT2 gene is associated with neural-tube defects in fetus.
AB - INTRODUCTION: Neural-tube defects (NTDs) are common birth defects of complex
etiology. Although many studies have confirmed a genetic component, the exact
mechanism between DNA methylation and NTDs remains unclear. METHODS: In this
work, we investigated the alteration of methylation from placental tissues
obtained from 152 normal infants or with NTDs in 130 children with neural-tube
defects. Genome-wide changes in DNA methylation were measured using the NimbleGen
microarray. The expression levels of 12 genes were also determined, and two genes
(AKT2 and CDC25C) showed low expression in NTDs by quantitative real-time PCR
analysis. Then, the methyhlated region of AKT2 promoter sequences were confirmed
by massARRAY. RESULTS: A total of 150 differentially methylated regions (81 low
methylated regions and 69 high methylated regions) were selected by microarray.
The expression levels of AKT2 and CDC25C showed lower expression in NTDs. And the
percentage of methyhlated region of AKT2 promoter were increased in NTDs.
CONCLUSIONS: DNA mythelation was one of the possible epigenetic variations
correlated with the occurrence of NTDs, and AKT2 may be a candidate gene for
NTDs.
PMID- 27871478
TI - Transendothelial migration of human umbilical mesenchymal stem cells across
uterine endothelial monolayers: Junctional dynamics and putative mechanisms.
AB - INTRODUCTION: During pregnancy, fetal stem cells can transfer to the maternal
circulation and participate in tissue repair. How they transmigrate across
maternal endothelial barriers and whether they can subsequently influence
maternal endothelial integrity is not known. METHODS: Mesenchymal stem cells (WJ
MSC) were isolated from Wharton's jelly and their interactions with human uterine
microvascular endothelial cell (HUtMEC) monolayers, junctional occupancy and
expression/phosphorylation of vascular endothelial (VE)- cadherin and vascular
endothelial growth factor (VEGF-A) secretion was studied over 48h by real time,
confocal microscopy, immunoblotting and ELISA. RESULTS: WJ-MSC displayed
exploratory behaviour with interrogation of paracellular openings and spreading
into the resultant increased gaps followed by closing of the endothelium over the
WJ-MSC. 62% of added cells crossed within 22h to sub-endothelial niches. There
was a concomitant loss of junctional VE-cadherin in HUtMEC followed by a full
return and increased VE-cadherin expression after 22h. During early hours, VE
cadherin showed a transient phosphorylation at Tyrosine (Tyr)-685 when VEGF-A
secretion were high. From 16 to 22h, there was increased de-phosphorylation of
Tyr-731. Anti-VEGF-A blocked Tyr-685 phosphorylation but not the decrease in P
Tyr731; this partially inhibited WJ-MSC transmigration. DISCUSSION: Fetal WJ-MSC
can traverse uterine endothelial monolayers by mediating a non-destructive
paracellular pathway. They can promote junctional stability of uterine
endothelium from the sub-endothelial niche. Mechanistically, WJ-MSC induces VEGF
dependent phosphorylation events linked with paracellular permeability and VEGF
independent de-phosphorylation events associated with leukocyte extravasation.
Our data also allows consideration of a possible role of fetal MSC in mature
functioning of the uterine vasculature needed for optimal utero-placental
perfusion.
PMID- 27871479
TI - Comparison of Matrigel and Matriderm as a carrier for human amnion-derived
mesenchymal stem cells in wound healing.
AB - Amnion-derived mesenchymal stem cells (AMSC) are a promising tool in regenerative
medicine. Here we evaluated the utility of Matrigel and Matriderm as carrier for
the topical application of AMSC to mice skin wounds. In both application forms,
AMSC promoted neovascularization of the wound area. Matrigel proved as excellent
matrix for AMSC and immigrating mouse cells, but the solid Matriderm enabled a
more adequate positioning of AMSC into the wound. Although AMSC did not attach to
Matriderm, they reliably induced wound reduction. Thus, a combined administration
of AMSC/Matriderm could be beneficial to potentiate the encouraging effects on
wound healing.
PMID- 27871480
TI - Release of Growth Factors into Root Canal by Irrigations in Regenerative
Endodontics.
AB - INTRODUCTION: The aim of this study was to investigate the release of growth
factors into root canal space after the irrigation procedure of regenerative
endodontic procedure. METHODS: Sixty standardized root segments were prepared
from extracted single-root teeth. Nail varnish was applied to all surfaces except
the root canal surface. Root segments were irrigated with 1.5% NaOCl + 17% EDTA,
2.5% NaOCl + 17% EDTA, 17% EDTA, or deionized water. The profile of growth
factors that were released after irrigation was studied by growth factor array.
Enzyme-linked immunosorbent assay was used to validate the release of
transforming growth factor (TGF)-beta1 and basic fibroblast growth factor (bFGF)
at 4 hours, 1 day, and 3 days after irrigation. The final concentrations were
calculated on the basis of the root canal volume measured by cone-beam computed
tomography. Dental pulp stem cell migration on growth factors released from root
segments was measured by using Transwell assay. RESULTS: Total of 11 of 41 growth
factors were detected by growth factors array. Enzyme-linked immunosorbent assay
showed that TGF-beta1 was released in all irrigation groups. Compared with the
group with 17% EDTA (6.92 +/- 4.49 ng/mL), the groups with 1.5% NaOCl + 17% EDTA
and 2.5% NaOCl + 17% EDTA had significantly higher release of TGF-beta1 (69.04 +/
30.41 ng/mL and 59.26 +/- 3.37 ng/mL, respectively), with a peak release at day
1. The release of bFGF was detected at a low level in all groups (0 ng/mL to 0.43
+/- 0.22 ng/mL). Migration assay showed the growth factors released from root
segments induced dental pulp stem cell migration. CONCLUSIONS: The root segment
model in present study simulated clinical scenario and indicated that the current
irrigation protocol released a significant amount of TGF-beta1 but not bFGF. The
growth factors released into root canal space induced dental pulp stem cell
migration.
PMID- 27871481
TI - Impacts of Contracted Endodontic Cavities on Instrumentation Efficacy and
Biomechanical Responses in Maxillary Molars.
AB - INTRODUCTION: Recently, we reported that in mandibular molars contracted
endodontic cavities (CECs) improved fracture strength compared with traditional
endodontic cavities (TECs) but compromised instrumentation efficacy in distal
canals. This study assessed the impacts of CECs on instrumentation efficacy and
axial strain responses in maxillary molars. METHODS: Eighteen extracted intact
maxillary molars were imaged with micro-computed tomographic imaging (12-MUm
voxel), assigned to CEC or TEC groups (n = 9/group), and accessed accordingly.
Canals were instrumented (V-Taper2H; SSWhite Dental, Lakewood, NJ) with 2.5%
sodium hypochlorite irrigation, reimaged, and the proportion of the modified
canal wall determined. Cavities were restored with bonded composite resin (TPH
Spectra-LV; Dentsply International, York, PA). Another 28 similar molars (n =
14/group) with linear strain gauges (Showa Measuring Instruments, Tokyo, Japan)
attached to mesiobuccal and palatal roots were subjected to load cycles (50-150
N) in the Instron Universal Testing machine (Instron, Canton, MA), and the axial
microstrain was recorded before access and after restoration. These 28 molars and
additional 11 intact molars (control) were cyclically fatigued (1 million cycles,
5-50 N, 15 Hz) and subsequently loaded to failure. Data were analyzed by the
Wilcoxon rank sum and Kruskal-Wallis tests (alpha = 0.05). RESULTS: The overall
mean proportion of the modified canal wall did not differ significantly between
CECs (49.7% +/- 12.0%) and TECs (44.7% +/- 9.0%). Relative changes in axial
microstrain responses to load varied in both groups. The mean load at failure for
CECs (1703 +/- 558 N) did not differ significantly from TECs (1384 +/- 377 N) and
was significantly lower (P < .005) for both groups compared with intact molars
(2457 +/- 941 N). CONCLUSIONS: In maxillary molars tested in vitro, CECs did not
impact instrumentation efficacy and biomechanical responses compared with TECs.
PMID- 27871482
TI - Push-out Bond Strength of Fiber Posts to Intraradicular Dentin Using Multimode
Adhesive System.
AB - INTRODUCTION: Because there is little information about bond strength of fiber
posts cemented with a universal adhesive system (UAS) with different resin
cements, the aim of this study was to compare the effect of different bonding
strategies in the application of UASs on push-out bond strength of fiber posts to
intraradicular dentin. METHODS: Seventy-two single-rooted teeth were randomly
divided into 6 groups: self-adhesive resin cement (SAC), dual-cure resin cement
(DCC), UAS in the etch-and-rinse (E&R) mode and SAC (E&R + SAC), UAS in the self
etch (SE) mode and SAC (SE + SAC), UAS in the E&R mode and DCC (E&R + DCC), and
UAS in the SE mode and DCC (SE + DCC). The push-out test was conducted at a
crosshead speed of 0.5 mm/min. Data were analyzed with 2-way analysis of variance
(P < .05). RESULTS: Bond strength was significantly influenced by the adhesive
strategies (P < .001). However, post space region did not have a significant
effect on bond strength (P > .05). CONCLUSIONS: ClearfilSA Luting SAC (Kuraray
Noritake Dental Inc, New York, NY) cannot be used alone for fiber post adhesion;
it needs an adhesive. Universal adhesive in the SE mode is suggested. When UAS is
used for luting fiber posts, the type of cement does not have any effect on bond
strength.
PMID- 27871483
TI - Vertical Load Induced with Twisted File Adaptive System during Canal Shaping.
AB - INTRODUCTION: To evaluate the vertical load induced with the Twisted File
Adaptive (TFA; SybronEndo, Orange, CA) system during canal shaping of extracted
teeth by comparing it with the Twisted File (TF, SybronEndo), ProTaper Next (PTN;
Dentsply Maillefer, Ballaigues, Switzerland), and ProTaper Universal (PTU,
Dentsply Maillefer) systems. METHODS: Fifty-two root canals were shaped using the
TFA, TF, PTN, or PTU systems (n = 13 for each system). They were shaped gently
according to the manufacturers' instructions. During canal shaping, vertical
loads were recorded and shown in 2 directions, apically and coronally directed
loads. The vertical peak loads of 3 instrumentation stages were used for
comparison. The effects of rotary systems on the mean positive and negative peak
loads were analyzed statistically using the Kruskal-Wallis and Mann-Whitney tests
at a confidence level of 95%. RESULTS: The overall pattern of the instantaneous
loads appeared to increase with the use of successive instruments within the
system. During canal shaping in all groups, the apically and coronally directed
peak loads ranged from 0.84-7.55 N and 2.16-2.79 N, respectively. There were
significant differences in both peak loads among the tested systems at each
instrumentation stage. TFA had the lowest apically directed peak loads. In terms
of coronally directed peak loads, the TFA and TF had a significantly lower amount
of loads developed with their instruments than PTN and PTU. CONCLUSIONS: The
choice of instrument system had an influence on the loads developed during canal
shaping. TFA instruments were associated favorably with the lowest values of peak
loads followed by TF, PTN, and PTU.
PMID- 27871484
TI - Identification of a Nuclear Exosome Decay Pathway for Processed Transcripts.
AB - The RNA exosome is fundamental for the degradation of RNA in eukaryotic nuclei.
Substrate targeting is facilitated by its co-factor Mtr4p/hMTR4, which links to
RNA-binding protein adaptors. One example is the trimeric human nuclear exosome
targeting (NEXT) complex, which is composed of hMTR4, the Zn-finger protein
ZCCHC8, and the RNA-binding factor RBM7. NEXT primarily targets early and
unprocessed transcripts, which demands a rationale for how the nuclear exosome
recognizes processed RNAs. Here, we describe the poly(A) tail exosome targeting
(PAXT) connection, which comprises the ZFC3H1 Zn-knuckle protein as a central
link between hMTR4 and the nuclear poly(A)-binding protein PABPN1. Individual
depletion of ZFC3H1 and PABPN1 results in the accumulation of common transcripts
that are generally both longer and more extensively polyadenylated than NEXT
substrates. Importantly, ZFC3H1/PABPN1 and ZCCHC8/RBM7 contact hMTR4 in a
mutually exclusive manner, revealing that the exosome targets nuclear transcripts
of different maturation status by substituting its hMTR4-associating adaptors.
PMID- 27871485
TI - Unusual Processing Generates SPA LncRNAs that Sequester Multiple RNA Binding
Proteins.
AB - We identify a type of polycistronic transcript-derived long noncoding RNAs
(lncRNAs) that are 5' small nucleolar RNA (snoRNA) capped and 3' polyadenylated
(SPAs). SPA processing is associated with nascent mRNA 3' processing and kinetic
competition between XRN2 trimming and Pol II elongation. Following
cleavage/polyadenylation of its upstream gene, the downstream uncapped pre-SPA is
trimmed by XRN2 until this exonuclease reaches the co-transcriptionally assembled
snoRNP. This snoRNP complex prevents further degradation, generates a snoRNA 5'
end, and allows continuous Pol II elongation. The imprinted 15q11-q13 encodes two
SPAs that are deleted in Prader-Willi syndrome (PWS) patients. These lncRNAs form
a nuclear accumulation that is enriched in RNA binding proteins (RBPs) including
TDP43, RBFOX2, and hnRNP M. Generation of a human PWS cellular model by depleting
these lncRNAs results in altered patterns of RBPs binding and alternative
splicing. Together, these results expand the diversity of lncRNAs and provide
additional insights into PWS pathogenesis.
PMID- 27871487
TI - A joyful and glorious journey.
PMID- 27871486
TI - Impact of MicroRNA Levels, Target-Site Complementarity, and Cooperativity on
Competing Endogenous RNA-Regulated Gene Expression.
AB - Expression changes of competing endogenous RNAs (ceRNAs) have been proposed to
influence microRNA (miRNA) activity and thereby regulate other transcripts
containing miRNA-binding sites. Here, we find that although miRNA levels define
the extent of repression, they have little effect on the magnitude of the ceRNA
expression change required to observe derepression. Canonical 6-nt sites, which
typically mediate modest repression, can nonetheless compete for miRNA binding,
with potency ~20% of that observed for canonical 8-nt sites. In aggregate, low
affinity/background sites also contribute to competition. Sites with extensive
additional complementarity can appear as more potent, but only because they
induce miRNA degradation. Cooperative binding of proximal sites for the same or
different miRNAs does increase potency. These results provide quantitative
insights into the stoichiometric relationship between miRNAs and target
abundance, target-site spacing, and affinity requirements for ceRNA-mediated gene
regulation, and the unusual circumstances in which ceRNA-mediated gene regulation
might be observed.
PMID- 27871488
TI - Thoracic endovascular aneurysm repair for uncomplicated type B dissection.
PMID- 27871489
TI - Invited commentary.
PMID- 27871490
TI - Predictive models for mortality after ruptured aortic aneurysm repair do not
predict futility and are not useful for clinical decision making.
AB - OBJECTIVE: The clinical decision-making utility of scoring algorithms for
predicting mortality after ruptured abdominal aortic aneurysms (rAAAs) remains
unknown. We sought to determine the clinical utility of the algorithms compared
with our clinical decision making and outcomes for management of rAAA during a 10
year period. METHODS: Patients admitted with a diagnosis rAAA at a large
university hospital were identified from 2005 to 2014. The Glasgow Aneurysm
Score, Hardman Index, Vancouver Score, Edinburgh Ruptured Aneurysm Score,
University of Washington Ruptured Aneurysm Score, Vascular Study Group of New
England rAAA Risk Score, and the Artificial Neural Network Score were analyzed
for accuracy in predicting mortality. Among patients quantified into the highest
risk group (predicted mortality >80%-85%), we compared the predicted with the
actual outcome to determine how well these scores predicted futility. RESULTS:
The cohort comprised 64 patients. Of those, 24 (38%) underwent open repair, 36
(56%) underwent endovascular repair, and 4 (6%) received only comfort care.
Overall mortality was 30% (open repair, 26%; endovascular repair, 24%; no repair,
100%). As assessed by the scoring systems, 5% to 35% of patients were categorized
as high-mortality risk. Intersystem agreement was poor, with kappa values ranging
from 0.06 to 0.79. Actual mortality was lower than the predicted mortality (50%
70% vs 78%-100%) for all scoring systems, with each scoring system overestimating
mortality by 10% to 50%. Mortality rates for patients not designated into the
high-risk cohort were dramatically lower, ranging from 7% to 29%. Futility,
defined as 100% mortality, was predicted in five of 63 patients with the Hardman
Index and in two of 63 of the University of Washington score. Of these, surgery
was not offered to one of five and one of two patients, respectively. If one of
these two models were used to withhold operative intervention, the mortality of
these patients would have been 100%. The actual mortality for these patients was
60% and 50%, respectively. CONCLUSIONS: Clinical algorithms for predicting
mortality after rAAA were not useful for predicting futility. Most patients with
rAAA were not classified in the highest-risk group by the clinical decision
models. Among patients identified as highest risk, predicted mortality was
overestimated compared with actual mortality. The data from this study support
the limited value to surgeons of the currently published algorithms.
PMID- 27871491
TI - Invited commentary.
PMID- 27871492
TI - The natural history of type II endoleaks after endovascular aneurysm repair for
ruptured abdominal aortic aneurysm.
AB - OBJECTIVE: The natural history of type II endoleak (T2EL) after endovascular
aneurysm repair (EVAR) for ruptured abdominal aortic aneurysm (rAAA) remains
elusive; as such, treatment guidelines are ill defined. The purpose of this study
was to better delineate the natural history of T2EL after EVAR for rAAA in an
effort to determine the need for reintervention and optimal surveillance.
METHODS: A retrospective record review was conducted of all patients undergoing
EVAR for rAAA in two large tertiary care academic vascular centers. Patient
demographics, comorbidities, anatomic variables, and operative details were
analyzed. Primary outcomes included the presence of T2EL, reintervention, delayed
rupture, and aneurysm-related death. RESULTS: EVAR was used to treat rAAA in 56
patients between 2000 and 2013. Mean follow-up of this cohort was 634 days.
Completion arteriogram demonstrated T2ELs in 12 patients (21%), and an additional
four T2ELs (7%) were found by postoperative computed tomography angiogram that
were not identified on the completion angiogram. Body mass index was the only
statistically significant variable associated with the development of T2EL (P =
.03). Preoperative warfarin use, aortic thrombus burden, and device type did not
correlate with T2EL development. Iliolumbar vessels supplied 75% (n = 12) of
T2ELs. Of the 14 patients with T2ELs who underwent serial imaging
postoperatively, six (43%) sealed spontaneously. Five patients (36%) underwent
reintervention for T2EL by way of coil embolization-four in which treatment was
initiated by attending preference. One patient was treated for ongoing anemia in
the immediate postoperative period. There was no sac expansion, delayed rupture,
or graft explantation. CONCLUSIONS: T2ELs after EVAR for rAAA are common and
appear to be associated with a benign natural history if left untreated. Although
many will spontaneously seal early in the postoperative period, those that remain
patent do not appear to increase the risk for sac expansion or delayed rupture or
affect patient survival. As such, a conservative approach to treatment of T2ELs
in rAAA may be warranted.
PMID- 27871493
TI - Diabetes does not worsen outcomes following infrageniculate bypass or
endovascular intervention for patients with critical limb ischemia.
AB - OBJECTIVE: Both open surgery and endovascular peripheral interventions have been
shown to effectively improve outcomes in patients with peripheral arterial
disease, but minimal data exist comparing outcomes performed at and below the
knee among patients with diabetes (DM) specifically. The purpose of this study is
to compare outcomes following open bypass (lower extremity bypass [LEB]) and
peripheral vascular intervention (PVI) at and below the knee in patients with DM
vs patients without DM (non-DM) with critical limb ischemia. METHODS: Data from
the 2008-2014 Vascular Quality Initiative (VQI) were analyzed. All patients
undergoing LEB or PVI at or below the knee for rest pain or tissue loss were
included. One-year primary patency, major amputation, and mortality were compared
for patients with DM vs patients without DM stratified by treatment approach.
RESULTS: Overall, 2566 patients were included, including 500 patients (19%)
undergoing LEB (DM = 355 vs non-DM = 145) and 2066 patients (81%) undergoing PVI
(DM = 1463 vs non-DM = 603). Patients with DM were more frequently black (18% vs
14%), had more comorbidities, and more frequently underwent revascularization for
tissue loss (85% vs 58%) compared with patients without DM (all, P < .001).
Within the LEB group, there were no significant differences in 1-year primary
patency (74% vs 71%; P =.52), major amputation (16% vs 12%; P = .39), or
mortality (10% vs 6%; P =.16) between DM vs non-DM patients. There were also no
significant differences in 1-year primary patency (81% vs 79%; P = .36), major
amputation (14% vs 11%; P =.09) or mortality (6% vs 7%; P =.30) among patients
with DM vs patients without DM undergoing PVI. Multivariable analysis adjusting
for baseline differences between groups demonstrated a nonsignificant trend
toward better primary patency in the DM group following both LEB (hazard ratio,
1.55; 95% confidence interval, 1.00-2.42; P = .05) and PVI (hazard ratio, 1.23;
95% confidence interval, 0.97-1.56; P = .09). There were no significant
differences in 1-year major amputation or mortality comparing patients with DM vs
patients without DM for either LEB or PVI after risk adjustment (all, P >= .16).
CONCLUSIONS: Critical limb ischemia resulting from arterial occlusive disease at
or below the knee can be treated successfully with either open surgical bypass or
endovascular interventions in both DM and non-DM patients. Aggressive attempts at
limb salvage among patients with critical limb ischemia should be pursued
regardless of DM status.
PMID- 27871495
TI - Discussion.
PMID- 27871494
TI - Current surgical management of carotid body tumors.
AB - BACKGROUND: Carotid body tumors (CBTs) are rare. Management guidelines may
include genetic testing for succinate dehydrogenase (SDH) mutations. We performed
an institutional review of the surgical management of CBT. METHODS: A
retrospective analysis (1994-2015) of CBT excisions at our institution was
performed. Data obtained included demographics, genetic testing (if performed),
intraoperative details, postoperative morbidity, and long-term outcomes. Data
from the first CBT excision were included in patients with bilateral tumors.
Genetic testing was routinely offered in patients with a family history of CBT or
multiple paragangliomas. RESULTS: A total of 183 CBTs (124 female [67.7%]) were
excised. A neck mass was present in 106 patients (57.9%), 24 patients (12.1%)
presented with tenderness or neck pain, and 3 (1.6%) presented with cranial nerve
dysfunction. Computed tomography (57.9%) or magnetic resonance imaging (51.3%)
were the most commonly used imaging modalities. Preoperative angiography was
performed in 73 patients (39.8%), and 62 of them (84.5%) underwent embolization
or internal carotid balloon occlusion testing, or both. Mean tumor diameter was
3.2 cm (range, 0.6-7.2 cm). There were 71 (38.8%), 75 (41%), and 37 (20.2%)
Shamblin type 1, 2, and 3 tumors, respectively. Average operating time was 224
minutes (range, 52-696 minutes). Average blood loss was 143.9 mL (range, 10-2000
mL). Arterial reconstruction with an interposition graft was required in 10, and
patch angioplasty was performed in four. Cranial nerve injury was permanent in 10
(5.5%), and the rate of stroke was 1% (n = 2). A total of 382 lymph nodes were
excised, and all were benign. There were no deaths <=30 days. Only one patient
presented with malignant disease 2 years after CBT excision, and this patient did
not undergo genetic testing. Thirty-four (18.6%) had a family history of CBT. SDH
testing was performed in 18 patients, and 17 tested positive. Positive genetic
testing had a correlation with earlier age at operation (P < .0001). Mean age at
diagnosis of patients with SDH mutations was 38.0 years, and patients without
known SDH mutations presented at a mean age of 50.3 years. In patients with SDH
mutations, tumor diameter, operating time, blood loss, and distribution of
Shamblin type 1, 2, and 3 lesions were not significantly different compared with
the control group. CONCLUSIONS: CBT can be treated with minimal morbidity and
mortality; however, the subgroup of patients with positive SDH mutations may
represent a variant group of younger patients. Vascular surgeons should be aware
of genetic testing to identify patients and family members who should undergo
additional preoperative testing and monitoring for other paragangliomas.
Concomitant lymph node dissection does not appear to add value in absence of
clinic suspicion for malignancy.
PMID- 27871496
TI - The presentation and management of aneurysms of the pancreaticoduodenal arcade.
AB - OBJECTIVE: Gastroduodenal artery aneurysms (GDAAs) and pancreaticoduodenal artery
aneurysms (PDAAs) are uncommon lesions associated, however, with a significant
risk of rupture. This study describes the clinical presentation, associated
imaging findings, and operative strategies for these aneurysms. METHODS: The
records of all patients with GDAAs or PDAAs identified through an institutional
database by axial imaging between 1994 and 2014 were retrospectively reviewed.
Data on presenting symptoms, comorbid conditions, imaging findings, and outcomes
after operative intervention were collected and examined. RESULTS: We identified
11 GDAAs and 25 PDAAs in 35 patients. Mean size of the GDAAs was 31.1 mm (range,
10-60 mm) and mean size of the PDAAs was 19.1 mm (range, 10-48 mm). At
presentation, 13 aneurysms (36%) were symptomatic and seven aneurysms (19.4%)
were ruptured. Median size of ruptured aneurysms was 20 mm (range, 10-60 mm). On
axial imaging, 24 aneurysms (67%) were associated with a severe stenosis or
occlusion of the celiac axis origin, and 11 aneurysms (31%) were thought to be
associated with compression of the celiac axis in the setting of median arcuate
ligament syndrome. Twenty-four aneurysms (67%) underwent repair. Of these
aneurysms, 18 (75%) were successfully managed with primary endovascular repair
(coil embolization with or without celiac stent), whereas endovascular therapy
failed in two (8%) and required open repair. Four aneurysms (17%) were treated
with primary open repair. Overall 30-day morbidity and mortality after aneurysm
repair were 29% and 4%, respectively. CONCLUSIONS: GDAAs and PDAAs are uncommon
lesions that are often associated with a celiac axis stenosis/occlusion leading
to altered hemodynamics in the pancreaticoduodenal arcade. These aneurysms are
prone to rupture regardless of size, and intervention is accordingly recommended
for all aneurysms upon recognition. Despite the concordant celiac axis
obstruction and concern for maintenance of hepatic circulation, endovascular
repair of these aneurysms is generally successful and should be considered as the
initial operative approach.
PMID- 27871497
TI - Possible consequences of regionally based bundled payments for diabetic
amputations for safety net hospitals in Texas.
AB - OBJECTIVE: Ongoing health reform in the United States encourages quality-based
reimbursement methods such as bundled payments for surgery. The effect of such
changes on high-risk procedures is unknown, especially at safety net hospitals.
This study quantified the burden of diabetes-related amputation and the potential
financial effect of bundled payments at safety net hospitals in Texas. METHODS:
We performed a cross-sectional analysis of diabetic amputation burden and charges
using publically available data from Centers for Medicare and Medicaid and the
Texas Department of Health from 2008 to 2012. Using hospital referral region
(HRR)-level analysis, we categorized the proportion of safety net hospitals
within each region as very low (0%-9%), low (10%-20%), average (20%-33%), and
high (>33%) and compared amputation rates across regions using nonparametric
tests of trend. We then used charge data to create reimbursement rates based on
HRR to estimate financial losses. RESULTS: We identified 51 adult hospitals as
safety nets in Texas. Regions varied in the proportion of safety net hospitals
from 0% in Victoria to 65% in Harlingen. Among beneficiaries aged >65, amputation
rates correlated to the proportion of safety net hospitals in each region; for
example, patients in the lowest quartile of safety net had a yearly rate of 300
amputations per 100,000 beneficiaries, whereas those in the highest quartile had
a yearly rate of 472 per 100,000 (P = .007). Charges for diabetic amputation
related admissions varied almost 200-fold, from $5000 to $1.4 million. Using
reimbursement based on HRR to estimate a bundled payment, we noted net losses
would be higher at safety net vs nonsafety net hospitals ($180 million vs $163
million), representing a per-hospital loss of $1.6 million at safety nets vs
$700,000 at nonsafety nets (P < .001). CONCLUSIONS: Regions with a high
proportion of safety net hospitals perform almost half of the diabetic
amputations in Texas. Changes to traditional payment models should account for
the disproportionate burden of high-risk procedures performed by these hospitals.
PMID- 27871498
TI - Invited commentary.
PMID- 27871499
TI - Invited commentary.
PMID- 27871500
TI - Intrathoracic origin of the left renal artery.
PMID- 27871501
TI - Late discovery of a rare anomaly of the right aortic arch and an isolated left
subclavian artery.
PMID- 27871502
TI - Screening for abdominal aortic aneurysm in asymptomatic adults.
AB - BACKGROUND: This report was produced for the Canadian Task Force on Preventive
Health Care to provide guidelines on screening for abdominal aortic aneurysm
(AAA) with ultrasound scan. PURPOSE: The aim of this systematic review is to
examine the evidence on benefits and harms of AAA screening. SEARCH STRATEGY:
This systematic review considered studies from the most recent United States
Preventive Services Task Force review on AAA screening and passed through the
screening process with citations identified in our search up to April 2015
(PROSPERO Registration #CRD42015019047). RESULTS: For benefits of one-time AAA
screening in men compared with controls, pooled analyses from four randomized
controlled trials with moderate quality evidence showed significant reductions in
AAA-related mortality and AAA rupture rate up to 13 to 15 years of follow-up with
42% reduction (risk ratio [RR], 0.58; 95% confidence interval [CI], 0.39-0.88;
number needed to screen = 212) and 38% reduction (RR, 0.62; 95% CI, 0.45-0.86;
number needed to screen = 200), respectively. The effect of on all-cause
mortality was marginally significant for longer follow-up. The Chichester trial
examined the benefits of one-time AAA screening in women and found no significant
differences between screening and control arms for up to 10 years of follow-up
(RR, 0.88; 95% CI, 0.72-1.07). For consequences of one-time AAA screening in men
compared with controls, there was a significant increase in the total number of
AAA-related procedures over a follow-up of 13 to 15 years (2.16 times more
likely) compared with controls. For harms of one-time AAA screening, no
significant differences were observed in 30-day postoperative mortality for
elective and emergency operations with compared control groups. Evidence from the
Multicenter Aneurysm Screening Study trial using 13-year follow-up data showed
that one-time AAA screening with ultrasound scan was potentially associated with
an overdiagnosis of 45% (95% CI, 42%-47%) among screen-detected men. CONCLUSIONS:
Population-based screening for AAA with ultrasound scan in asymptomatic men aged
65 years and older showed statistically significant reductions in AAA-related
mortality and rupture and, hence, avoids unnecessary AAA-related deaths. The
current evidence showed no benefit of one-time AAA screening in woman. Limited
evidence is available on the benefits of repeat AAA screening and targeted
screening approaches based on risk factors for AAA. Future research should
explore the differential benefits of AAA screening based on risk factors that
increase risk for developing AAA.
PMID- 27871503
TI - Statin use in patients with peripheral arterial disease.
AB - BACKGROUND: Statins are recommended for use in patients with peripheral arterial
disease (PAD) to reduce cardiovascular events and mortality. However, much of the
data regarding benefits of statins stem from the cardiovascular literature. Here,
we review the literature regarding statin use specifically in patients with PAD
regarding its effects on cardiovascular events and mortality, limb-related
outcomes, statin use after endovascular interventions, statin dosing, and
concerns about statins. METHODS: We performed a literature review using PubMed
for literature after the year 2000. Search terms included "statins," "peripheral
arterial disease," "peripheral vascular disease," "lipid-lowering medication,"
and "cardiovascular disease." RESULTS: There is good evidence of statins lowering
cardiovascular events and cardiovascular-related mortality in patients with PAD.
Though revascularization rates were reduced with statins, amputation rates and
amputation-free survival did not improve. Small randomized controlled trials show
that patients taking statins can slightly improve pain-free walking distance or
pain-free walking time, although the extent of the effect on quality of life is
unclear. Statin use for patients undergoing endovascular interventions is
recommended because of the reduction of postoperative cardiovascular events. Not
enough data exist to support local effects of systemic statin therapy, such as
prevention of restenosis. For statin dosing, there is little increased benefit to
intense therapy compared with the adverse effects, whereas moderate-dose therapy
has significant benefits with very few adverse effects. Adverse effects of
moderate-dose statin therapy are rare and mild and are greatly outweighed by the
cardiovascular benefits. CONCLUSIONS: There is strong evidence to support use of
statins in patients with PAD to reduce cardiovascular events and mortality. Use
in patients undergoing open and endovascular interventions is also recommended.
Statin use may reduce the need for revascularization, but reductions in
amputation have not been shown. Moderate-dose statin therapy is safe, and the
minor risks are greatly outweighed by benefits.
PMID- 27871504
TI - Efficacy and safety of sugammadex compared to neostigmine for reversal of
neuromuscular blockade: a meta-analysis of randomized controlled trials.
AB - BACKGROUND AND OBJECTIVE: Sugammadex has been introduced for reversal of
rocuronium (or vecuronium)-induced neuromuscular blockade (NMB). Although its
efficacy has been established, data are conflicting whether it is safer than
neostigmine traditionally used for reversing NMB. DESIGN: Meta-analysis of data
about effectiveness and safety of sugammadex compared to neostigmine for
reversing NMB in adults was performed using the PRISMA methodology. SETTING:
University medical hospital. METHODS: A comprehensive search was conducted using
PubMed, Web of Science, and Cochrane Library electronic databases to identify
English-language randomized controlled trials. Two reviewers independently
selected the trials; extracted data on reversal times, incomplete reversals of
NMB, and adverse events (AEs); and assessed the trials' methodological quality
and evidence level. Only AEs that were related to study drug by a blinded safety
assessor were considered for meta-analysis. PATIENTS: A total of 1384 patients
from 13 articles were included in this meta-analysis. MAIN RESULTS: Compared to
neostigmine, sugammadex was faster in reversing NMB (P<.0001) and more likely to
be associated with higher train-of-four ratio values at extubation (mean
difference, 0.18; 95% confidence interval [CI], 0.14-0.22; P<.0001) and lower
risk of postoperative residual curarization after extubation (odds ratio [OR],
0.05; 95% CI, 0.01-0.43; P=.0068). Compared to neostigmine, sugammadex was
associated with a significantly lower likelihood of global AEs (OR, 0.47; 95% CI,
0.34-0.66; P<.0001), respiratory AEs (OR, 0.36; 95% CI, 0.14-0.95; P=.0386),
cardiovascular AEs (OR, 0.23; 95% CI, 0.08-0.61; P=.0036), and postoperative
weakness (OR, 0.45; 95% CI, 0.21-0.97; P=.0409). Sugammadex and neostigmine were
associated with a similar likelihood of postoperative nausea and vomiting (OR,
1.23; 95% CI, 0.70-2.15; P=.4719), pain (OR, 1.06; 95% CI, 0.15-7.36; P=.9559),
neurologic AEs (OR, 1.47; 95% CI, 0.52-4.17; P=.4699), general AEs (OR, 0.75; 95%
CI, 0.47-1.21; P=.2448), and changes in laboratory tests' values (OR, 0.57; 95%
CI, 0.18-1.78; P=.3368). CONCLUSIONS: Results from this meta-analysis suggest
that sugammadex is superior to neostigmine, as it reverses NMB faster and more
reliably, with a lower risk of AEs.
PMID- 27871505
TI - Operating room discharge after deep neuromuscular block reversed with sugammadex
compared with shallow block reversed with neostigmine: a randomized controlled
trial.
AB - OBJECTIVE: To determine if reversing a deep or moderate block with sugammadex,
compared with a shallow block reversed with neostigmine, reduces the time to
operating room discharge after surgery and the time spent in the postanesthesia
care unit. DESIGN: A randomized controlled trial. SETTING: Monocentric study
performed from February 2011 until May 2012. PATIENTS: One hundred consenting
women with American Society of Anesthesiologists grade I or II were randomized
into 2 groups. INTERVENTION: Laparoscopic hysterectomy was performed under
desflurane general anesthesia. For the neostigmine (N) group, 0.45 mg . kg-1
rocuronium was followed by spontaneous recovery. A 5-mg rescue bolus was
administered only if surgical evaluation was unacceptable. At the end of surgery,
50 MUg . kg-1 neostigmine with glycopyrrolate was administered. For the
sugammadex (S) group, a higher intubating rocuronium dose (0.6 mg . kg-1) was
followed by 5-mg boluses each time the train-of-four count exceeded 2. Sugammadex
(2-4 mg . kg-1) was administered to reverse the block. All patients were
extubated after obtaining a train-of-four ratio of 0.9. MEASUREMENTS: The
duration between the end of surgery and operating room discharge and the time
spent in the postanesthesia care unit. MAIN RESULTS: The time till operating room
discharge was shorter and more predictable in group S (9.15+/-4.28 minutes vs
13.87+/-11.43 minutes in group N; P=.005). The maximal duration in group S was 22
minutes, compared with 72 minutes in group N. The time spent in the
postanesthesia care unit was not significantly different (group S: 47.75+/-31.77
minutes and group N: 53.43+/-40.57 minutes; P=.543). CONCLUSION: Maintaining a
deep neuromuscular block during laparoscopic hysterectomy reversed at the end of
the procedure with sugammadex enabled a faster and more predictable time till
operating room discharge than did the classical combination of a shallower block
reversed with neostigmine.
PMID- 27871506
TI - Elective cholecystectomy performed on patient with variegate porphyria-Propofol
based total intravenous anesthesia with target-controlled infusion.
AB - Porphyria is caused by disorders of enzymes that synthetize porphyrins. Both
elective and emergency surgical procedures on patient suffering from porphyria
may provoke acute symptoms. These patients require special anesthetic management
since some of commonly used anesthetic agents may also induce acute manifestation
of porphyria. We present the case of 53-year-old woman previously diagnosed with
porphyria who underwent elective laparoscopic cholecystectomy. Propofol-based
total intravenous anesthesia with target-controlled infusion was used. Such
conduct proved to be safe regarding clinical symptoms, although biochemical
markers were slightly elevated after procedure. Propofol seems to be the safest
hypnotic drug to use in porphyria; however, special care should be taken is such
cases.
PMID- 27871507
TI - Use of GABAergic sedatives after subarachnoid hemorrhage is associated with worse
outcome-preliminary findings.
AB - STUDY OBJECTIVE: Recent experimental evidence identified GABAergic sedation as a
possible cause for deprived neuroregeneration and poor outcome after acute brain
injury. Patients with aneurysmal subarachnoid hemorrhage are often sedated, and
GABAergic sedation, such as midazolam and propofol, is commonly used. DESIGN:
Retrospective cohort study based on a prospectively established database.
SETTING: Single-center neurointensive care unit. PATIENTS: Twenty-nine patients
after subarachnoid hemorrhage. INTERVENTION: Noninterventional study.
MEASUREMENTS: The relationship between mean GABAergic sedative dose during the
acute phase and outcome after 6 months according to the Glasgow Outcome Scale,
and initial Glasgow Coma Scale was investigated. MAIN RESULTS: Use of GABAergic
sedatives was negatively correlated with Glasgow Outcome Scale (r2=0.267;
P=.008). Administration of sedatives was independent of the initial Glasgow Coma
Scale. GABAergic sedatives flunitrazepam, midazolam, and propofol were used
differently during the first 10 days after ictus. CONCLUSION: Administration of
GABAergic sedation was associated with an unfavorable outcome after 6 months. To
avoid bias (mainly through the indication to use sedation), additional
experimental and comparative clinical investigation of, for example, non
GABAergic sedation, and clinical protocols of no sedation is necessary.
PMID- 27871508
TI - Perineural catheter infection: a systematic review of the literature.
AB - Perineural catheter infection is a rare but potentially dramatic complication of
continuous peripheral nerve block. Different risk factors have been identified
and the incidence of infection is increased in trauma victims, intensive care
unit patients, immunodeficient individuals, and diabetic patients. Also,
postoperative hyperglycemia, the absence of antibiotic prophylaxis, and catheter
lasting more than 48 hours seem to be associated with a greater risk of
infection. Skin disinfection and a strict aseptic technique during catheter
placement are fundamental. The use of micropore filters, antiseptic dressings,
catheter tunneling, and aseptic preparation of the infused drug has all been
hypothesized to reduce infection rate, but the existing evidence is conflicting.
Infection is a rare complication of continuous peripheral nerve blocks. Severe
and even fatal cases have been reported, even if morbidity is generally very low.
The identification of high risk patients and adoption of preventive measures
might reduce the incidence of this complication.
PMID- 27871509
TI - A cross-sectional study of preoperative medication adherence and early
postoperative recovery.
AB - STUDY OBJECTIVE: To quantify the impact of preoperative medication adherence on
recovery length of stays and complication rates. DESIGN: Cross-sectional
analytical study. SETTING: Postanesthetic care unit (PACU) of a single-center
tertiary hospital. PATIENTS: Surgical patients admitted for surgery at our
institution over a 4-month period. INTERVENTION: A data collection tool was used
to collect demographic data, length of stay in recovery, prespecified conditions
likely to impact on PACU recovery (chronic pain, insulin-dependent diabetes,
epilepsy, hypertension, on a methadone program, and Parkinson disease),
medication compliance, and complications in PACU. MEASUREMENTS: Differences among
categorical variables were assessed for significance using the chi2 test;
continuous parametric data were compared using a time to survival analysis via
Kaplan-Meier estimates and Cox proportional hazard regressions to account for
possible confounders. MAIN RESULTS: Preoperative medication compliance for the
prespecified conditions was 65.1%. Patients with a preoperative condition spent
more time in the PACU compared to patients without a preoperative condition. Time
in PACU was not significantly longer for patients who took their medications
compared to those who failed to take their medications. Patients with multiple
prespecified conditions were more likely to incur a postoperative complication
compared to patients without a prespecified condition. Patients with preoperative
hypertension and insulin-dependent diabetes incurred higher complication rates in
PACU for medication nonadherence compared to medication adherence. CONCLUSION:
This study taken together with accruing evidence suggests that preoperative
medication omission remains an ongoing issue that can influence complication
rates in the PACU. Patients with preoperative conditions stay longer in PACU, and
medication omission was associated with higher rates of certain postoperative
complications in PACU. This identifies patients likely to require more
interventions and greater recovery resources. Further research into the impact of
medication omission on recovery parameters after discharge from the PACU is
warranted.
PMID- 27871510
TI - Anticholinergic premedication to prevent bradycardia in combined spinal
anesthesia and dexmedetomidine sedation: a randomized, double-blind, placebo
controlled study.
AB - OBJECTIVE: When dexmedetomidine is used in patients undergoing spinal anesthesia,
high incidence of bradycardia in response to parasympathetic activation is
reported. Therefore, we aimed to evaluate the effectiveness of atropine
premedication for preventing the incidence of bradycardia and the hemodynamic
effect on patients undergoing spinal anesthesia with sedation by dexmedetomidine.
DESIGN: Randomized, double-blind, placebo-controlled study. SETTING: Operating
room. PATIENTS: One hundred fourteen patients (age range, 2-65 years; American
Society of Anesthesiology class I-II) participated in this study, willing to be
sedated and to undergo spinal anesthesia. INTERVENTION: The patients were divided
into 2 groups: group A and group C. After performing spinal anesthesia,
dexmedetomidine was infused at a loading dose of 0.6 MUg/kg for 10 minutes,
followed by an infusion at 0.25 MUg/(kg h). Simultaneously with the loading dose
of dexmedetomidine, patients in group A received an intravenous bolus of 0.5 mg
atropine, whereas patients in group C received an intravenous normal saline
bolus. MEASUREMENT: Data on administration of atropine and ephedrine were
collected. Hemodynamic data including heart rate, systolic blood pressure,
diastolic blood pressure (DBP), and mean blood pressure (MBP) were also recorded.
MAIN RESULTS: The incidence of bradycardia requiring atropine treatment was
significantly higher in group C than group A (P=.035). However, the incidence of
hypotension needing ephedrine treatment showed no significant difference between
the 2 groups (P=.7). Systolic blood pressure and heart rate showed no significant
differences between the 2 groups (P=.138 and .464, respectively). However, group
A showed significant increases in DBP and MBP, and group C did not (P=.014 and
.008, respectively). CONCLUSION: Prophylactic atropine reduces the incidence of
bradycardia in patients undergoing spinal anesthesia with dexmedetomidine
sedation. However, DBP and MBP showed significant increases in patients when
prophylactic atropine was administrated. Therefore, atropine premedication should
be administered cautiously.
PMID- 27871511
TI - Zika virus: review and obstetric anesthetic clinical considerations.
AB - STUDY OBJECTIVES: To review the clinical and basic science literature regarding
Zika viral illness and highlight relevant findings for obstetric
anesthesiologists. This review provides a global review of Zika viral illness,
transmission patterns, pathophysiology of disease, and anesthetic management of
the parturient with Zika viral illness and associated comorbidities. DESIGN:
Systematic review. SETTING: Large academic hospital. SUBJECTS: None.
INTERVENTIONS: None. MEASUREMENTS: None. MAIN RESULTS: None. CONCLUSION: With the
rapid spread of Zika virus and expected increase of spread in the summer of 2016,
this review provides anesthesiologists with current recommendations, physiologic
alterations, and anesthetic considerations in regard to the parturient with Zika
viral illness and associated diseases.
PMID- 27871512
TI - Case report: management of differential diagnosis and treatment of severe
anaphylaxis in the setting of spinal anesthesia.
AB - The purpose of this case report is to educate fellow anesthesiologists of a
complicated differential diagnosis for sudden cardiovascular collapse after
spinal anesthesia. We report a case where anaphylaxis occurred while under spinal
anesthesia and resulted in difficult resuscitation. A 58-year-old woman
undergoing bilateral knee replacements under spinal anesthesia experienced sudden
seizure and cardiovascular collapse from acute anaphylactic shock while
administering a cephalosporin. Local anesthetic toxicity, high spinal, and
anaphylaxis were considered due to overlapping of symptoms. Successful
resuscitation required prolonged advanced cardiac life support with substantially
larger doses of epinephrine. Anaphylactic shock under spinal anesthesia is an
acute and life-threatening complication, worsened by the spinal-induced
sympathectomy, and aggressive resuscitation is warranted. Despite the presence of
overlapping symptoms of differential diagnoses, rapid identification of the cause
of cardiovascular collapse is crucial given that resuscitation treatment
modalities may conflict. Timing of antibiotic administration should be adjusted
for spinal anesthesia cases to allow time to detect possible anaphylaxis.
PMID- 27871513
TI - Disagreement between fourth generation FloTrac and LiDCOrapid measurements of
cardiac output and stroke volume variation during laparoscopic colectomy.
AB - STUDY OBJECTIVE: To determine the agreement between cardiac output (CO) and
stroke volume variation (SVV) measured simultaneously by the fourth generation
FloTrac/Vigileo system and LiDCOrapid system during pneumoperitoneum in patients
undergoing laparoscopic colectomy. DESIGN: Retrospective observational study.
SETTINGS: Operating room in a general hospital. PATIENTS: Ten patients (American
Society of Anesthesiologist 1 or 2) without preoperative anemia. INTERVENTIONS: A
22-gauge catheter was inserted in the radial artery after induction of
anesthesia. The arterial line was split to monitor CO and SVV simultaneously with
the LiDCOrapid and fourth generation FloTrac/Vigileo systems. All data were
downloaded from each system after surgery and simultaneous paired COFloTrac,
COLiDCO and SVVFloTrac, SVVLiDCO values estimated every 1 minute during the
pneumoperitoneum were analyzed. MEASUREMENTS: To assess the agreement after
carbon dioxide insufflation, a scatter 4-quadrant plot was generated using paired
DeltaCO values (changes in COFloTrac and COLiDCO just before pneumoperitoneum and
3 minutes after the induction of pneumoperitoneum). For data in which SVVFloTrac
was >9% but <16% and cardiac index measured by FloTrac/Vigileo was <2.5 L/min per
m2 during stable pneumoperitoneum (the period from 5 minutes after Trendelenburg
position until discontinuation of pneumoperitoneum), simultaneously measured
paired SVVFloTrac and SVVLiDCO were plotted every 1 minute using the Bland-Altman
method. MAIN RESULTS: A concordance ratio for changes in CO after the induction
of pneumoperitoneum was 83% in 4-quadrant plot. During stable pneumoperitoneum,
702 paired SVVFloTrac and SVVLiDCO matched the criteria. These data sets were
plotted by the Bland-Altman method and the bias and 95% limit of agreement of SVV
were 2.01 and -2.63% to 6.65%, respectively, with 38% percentage error. The
regression equation was SVVLiDCO = 0.98 * SVVFloTrac- 1.73 with Pearson
correlation coefficient of 0.55. CONCLUSIONS: Our study showed disagreement
between the 2 methods and the hemodynamic parameters measured by one of the two
devices should be interpreted with caution before therapeutic interventions.
PMID- 27871514
TI - Cost impact of unexpected disposition after orthopedic ambulatory surgery
associated with category of anesthesia provider.
AB - STUDY OBJECTIVE: To provide estimates of the costs and health outcomes
implications of the excess risk of unexpected disposition for nurse anesthetist
(NA) procedures. DESIGN: A projection model was used to apply estimates of costs
and health outcomes associated with the excess risk of unexpected disposition for
NAs reported in a recent study. SETTING: Ambulatory and inpatient surgery.
PATIENTS: Base-case model parameters were based on estimates taken from peer
reviewed publications when available, or from other sources including data for
all hospital stays in the United States in 2013 from the Healthcare Cost and
Utilization Project Web site. The impact of parameter uncertainty was assessed
using 1-way and 2-way sensitivity analyses. INTERVENTIONS: Not applicable.
MEASUREMENTS: Major complication rates, relative risks of complications,
anesthesia costs, costs of complications, and cost-effectiveness ratios. MAIN
RESULTS: In the base-case model, there were on average 2.3 fewer unexpected
dispositions for physician anesthesiologists compared with NAs. Overall,
anesthesia-related costs (including the cost of managing unexpected dispositions)
were estimated to be about $31 higher per procedure for physician
anesthesiologists compared with NAs. Alternative model scenarios in the
sensitivity analysis produced estimates of smaller additional costs associated
with physician anesthesia administration, to the point of cost savings in some
scenarios. CONCLUSIONS: Provision of anesthesia for ambulatory knee and shoulder
procedures by physician anesthesiologists results in better health outcomes, at a
reasonable additional cost, compared with procedures with NA-administered
anesthesia, at least when using updated cost-effectiveness willingness-to-pay
benchmarks.
PMID- 27871516
TI - Pediatric patients on ketogenic diet undergoing general anesthesia-a medical
record review.
AB - STUDY OBJECTIVE: To identify guidelines for anesthesia management and determine
whether general anesthesia is safe for pediatric patients on ketogenic diet (KD).
DESIGN: Retrospective medical record review. SETTING: Postoperative recovery
area. PATIENTS: All pediatric patients who underwent general anesthesia while on
KD between 2009 and 2014 were reviewed. We identified 24 patients who underwent a
total of 33 procedures. All children were on KD due to intractable epilepsy. The
age of patients ranged from 1 to 15 years. INTERVENTION: General anesthesia for
the scheduled procedures. MEASUREMENTS: Patients' demographics, seizure history,
type of procedure; perioperative blood chemistry, medications including the
anesthesia administered, and postoperative complications. MAIN RESULTS: Twenty
four patients underwent a total of 33 procedures. The duration of KD treatment at
the time of general anesthesia ranged from 4 days to 8 years. Among the 33
procedures, 3 patients had complications that could be attributable to KD and
general anesthesia. A 9-year-old patient experienced increased seizures on
postoperative day 0. An 8-year-old patient with hydropcephalus developed
metabolic acidosis on postoperative day 1, and a 7-year-old patient's procedure
was complicated by respiratory distress and increased seizure activity in the
postanesthesia care unit. CONCLUSION: This study showed that it is relatively
safe for children on KD to undergo general anesthesia. The 3 complications
attributable to general anesthesia were mild, and the increased seizure
frequencies in 2 patients returned back to baseline in 24 hours. Although normal
saline is considered more beneficial than lactated Ringer's solution in patients
on KD, normal saline should also be administered carefully because of the risk of
exacerbating patients' metabolic acidosis. One should be aware of the potential
change of the ketogenic status due to drugs given intraoperatively.
PMID- 27871515
TI - Perioperative antiplatelet therapy and cardiovascular outcomes in patients
undergoing joint and spine surgery.
AB - STUDY OBJECTIVE: Perioperative thrombotic complications after orthopedic surgery
are associated with significant morbidity and mortality. The use of aspirin to
reduce perioperative cardiovascular complications in certain high-risk cohorts
remains controversial. Few studies have addressed aspirin use, bleeding, and
cardiovascular outcomes among high-risk patients undergoing joint and spine
surgery. DESIGN/SETTING/PATIENTS: We performed a retrospective comparison of
adults undergoing knee, hip, or spine surgery at a tertiary care center during 2
periods between November 2008 and December 2009 (reference period) and between
April 2013 and December 2013 (contemporary period). MEASUREMENTS: Patient
demographics, comorbidities, management, and outcomes were ascertained using
hospital datasets. MAIN RESULTS: A total of 5690 participants underwent 3075
joint and spine surgeries in the reference period and 2791 surgeries in the
contemporary period. Mean age was 61+/-13 years, and 59% were female. In the
overall population, incidence of myocardial injury (3.1% vs 5.8%, P<.0001),
hemorrhage (0.2% vs 0.8%, P=.0009), and red blood cell transfusion (17.2% vs
24.8%, P<.001) were lower in the contemporary period. Among 614 participants with
a preoperative diagnosis of coronary artery disease (CAD), in-hospital aspirin
use was significantly higher in the contemporary period (66% vs 30.7%, P<.0001);
numerically, fewer participants developed myocardial injury (13.5% vs 19.3%,
P=.05), had hemorrhage (0.3% vs 2.1%, P=.0009), and had red blood cell
transfusion (37.2% vs 44.2%, P<.001) in the contemporary vs reference period.
CONCLUSIONS: In a large tertiary care center, the incidence of perioperative
bleeding and cardiovascular events decreased over time. In participants with CAD,
perioperative aspirin use increased and appears to be safe.
PMID- 27871517
TI - Guidelines for preoperative investigations for elective surgery at Queen
Elizabeth Hospital: effects on practices, outcomes, and costs.
AB - STUDY OBJECTIVE: We endeavor to assess the impact of introduction of guidelines
for preoperative investigations (PIs) on anesthetic practices and costs and
compare their efficacy to current practices. DESIGN: A prospective study.
SETTING: Queen Elizabeth Hospital, Barbados. PATIENTS: Participants comprised all
patients undergoing general, epidural, spinal, and regional anesthesia, with the
exception of emergency cases or instances where an anesthesiologist was not
required. INTERVENTION: Introduction of formal guidelines for preoperative
investigations. MEASUREMENTS: The patterns of preoperative testing were assessed
by audit, and this assessment was repeated postintervention. PI guidelines
developed were presented to all surgical departments. MAIN RESULTS: For younger
patients (<60 years), the mean number of tests decreased from 3.42+/-1.8 in the
preguideline group to 2.89+/-1.98 in the postguideline group (P=.042). The total
number of chest x-rays decreased by 14.8% (P=.012) and full blood counts by 7.6%
(P=.036). The implementation of PI guidelines led to overall savings of US $7589
per 1000 patients, which is equivalent to (US $40,745.50 per annum). The most
notable savings were due to decreased number of chest x-rays. PIs were performed
routinely even in the absence of clinical indications. CONCLUSION: Our findings
indicate that introduction of guidelines has reduced the level of preanesthetic
investigations to some extent; nevertheless, further change is desirable. In
addition, costs to the institution were decreased with no compromise to patient
safety.
PMID- 27871518
TI - Continuous ambulatory adductor canal catheters for patients undergoing knee
arthroplasty surgery.
AB - STUDY OBJECTIVE: To determine after knee arthroplasty surgery the feasibility of
discharging patients home on postoperative day 1 with continuous adductor canal
blocks. DESIGN: Retrospective case series. SETTING: Outpatient setting after
hospital discharge. PATIENTS: Patients undergoing knee arthroplasty surgery from
October 2013 to August 2014. INTERVENTIONS: All patients received continuous
adductor canal catheters for postoperative analgesia and were discharged to home
on postoperative day 1. Continuous catheters were intended to remain intact in
the ambulatory setting through postoperative day 3. MEASUREMENTS: Data obtained
included demographic information, duration of hospital stay, resting and active
pain scores, opioid utilization, opioid-induced adverse effects, complications
relating to the perineural catheter, and hospital readmissions. MAIN RESULTS:
Sixty-nine of 582 patients (11.9%) were discharged to home on postoperative day
1. The median numerical pain score after discharge with a continuous adductor
canal block was <=2 at rest and <=4 with activity. After block discontinuation on
postoperative day 4, median pain scores were the same. No patients reported any
unintentional catheter dislodgements, falls, or dysesthesias. There were no
readmissions of any patient in this cohort within 90 days of surgery.
CONCLUSIONS: Ambulatory adductor canal catheters are a feasible analgesic
modality after knee arthroplasty surgery as pain scores remained low and adverse
events were minimal.
PMID- 27871519
TI - Intrapleural placement of a thoracic epidural catheter in a patient with spinal
stenosis.
AB - Due to the close proximity of the thoracic epidural space and parietal pleura,
pleural puncture with intrapleural catheter placement is a potential complication
of thoracic epidural anesthesia. The authors present a case of an obese patient
with a history of spinal stenosis that underwent thoracotomy. Repeated failed
attempts at epidural anesthesia were complicated by intrapleural placement of the
catheter. The patient subsequently developed clinical signs of pneumothorax and
required urgent thoracostomy.
PMID- 27871520
TI - Cisatracurium- and rocuronium-associated residual neuromuscular dysfunction under
intraoperative neuromuscular monitoring and postoperative neostigmine reversal: a
single-blind randomized trial.
AB - BACKGROUND: Postoperative residual neuromuscular blockade (RNMB) is a common
complication in the postanesthesia care unit (PACU), but also one of the most
controversial issues. Many studies and trials demonstrated that some methods and
techniques can reduce the incidence and the extent of the phenomenon. STUDY
OBJECTIVE: To determine the incidence of RNMB in the PACU at standardized times
after extubation with the implementation of a protocol of careful neuromuscular
blockade management. DESIGN: Randomized, single-blinded controlled clinical
trial. SETTING: Operating room and PACU. PATIENTS: A total of 120 patients of
either sex with American Society of Anesthesiologists grades 1, 2, and 3, aged 18
to 80 years were scheduled to undergo elective abdominal surgical procedures
lasting for at least 60 minutes. INTERVENTIONS: Patients were randomized to
receive either cisatracurium (n=60) or rocuronium (n=60) at the time of
intubation and during surgery. Every patient received quantitative neuromuscular
monitoring during general anesthesia. On completion of surgery, patients were
given neostigmine 0.05 mg kg-1. Patients were extubated at a train-of-four (TOF)
ratio>=0.9. MEASUREMENTS: TOF measurements were performed 15, 30, and 60 minutes
after extubation. Tolerability of neuromuscular monitoring was evaluated with a
scale from 1 to 10 (with 1 meaning no discomfort at all and 10 meaning maximal
discomfort or pain). RESULTS: Six, 11, and 14 patients (5.0%, 9.2%, and 11.7%)
exhibited a TOF ratio <0.9 at 15, 30, and 60 minutes after extubation,
respectively. No statistically significant difference in the postoperative RNMB
between cisatracurium and rocuronium was found. The median tolerability score for
neuromuscular monitoring was 3. CONCLUSION: Careful conduction, monitoring, and
subsequent reversal of neuromuscular block may allow for obtaining considerably
low incidence of residual neuromuscular block. However, our trial shows that some
mid- and long-term cases of TOF ratios <0.9 can still occur, possibly
jeopardizing the patients' postoperative recovery.
PMID- 27871521
TI - Functional outcome and cost-effectiveness of outpatient vs inpatient care for
complex hind-foot and ankle surgery. A retrospective cohort study.
AB - STUDY OBJECTIVE: To compare the postoperative functional outcome and the total
cost associated with outpatient vs inpatient care following complex hind-foot and
ankle surgery. DESIGN: Retrospective, cohort study. SETTING: Tertiary care
center. PATIENTS: Forty patients, American Society of Anesthesiologists 1-3, of
either sex undergoing elective complex hind-foot and ankle surgery (fusion,
osteotomy, or multiple ligament repair). INTERVENTIONS: Both inpatients and
outpatients received a continuous perineural infusion of local anesthetic for 48
hours at the core of a multimodal analgesic regimen. Patients were
retrospectively identified, and an outpatient cohort was matched to an inpatient
cohort in a 1:1 ratio for age, sex, baseline functional score, and type of
surgery. MEASUREMENTS: The primary outcome was functional outcome upon discharge
of the surgical program as measured by the Lower Extremity Functional Score.
Secondary outcomes were the incidence of surgical or anesthetic complications and
the total perioperative cost of care. RESULTS: Patients in both cohorts had
similar functional outcome on discharge of the surgical program. Analgesia was
effective in both groups, and no complications were reported. The cost of care
for outpatients was 54% lower than that for inpatients. CONCLUSION: This
retrospective study suggests that outpatient care including an ambulatory
perineural infusion of local anesthetic may be a cost-effective alternative to
inpatient care after complex foot and ankle surgery.
PMID- 27871522
TI - Epidural catheter blockage caused by a blood clot: is it time to change our
practice?
PMID- 27871523
TI - Intraoperative mandibular nerve block with peripheral nerve stimulator for
temporomandibular joint ankylosis.
AB - We describe the use of peripheral nerve stimulator for mandibular nerve block
intraoperatively in a 4.5year old child with complete temporomandibular joint
ankyloses. The block was not possible preoperatively, therefore, it was
administered after release of ankyloses. The use of peripheral nerve stimulator
increased the chances of a successful block. No intraoperative analgesics and
muscle relaxants were required. Postoperative pain relief was excellent.
Peripheral nerve stimulator is an easy way of for accurate needle tip placement
for mandibular nerve block in patients with distorted anatomy.
PMID- 27871524
TI - Predictors of desaturation during patient transport to the postoperative
anesthesia care unit: an observational study.
AB - BACKGROUND: The transport of postoperative patients to the postoperative
anesthesia care unit (PACU) is a high-risk period for hypoxemia. The aim of this
study was to determine risk factors for hypoxemia during transfers to the PACU.
METHODS: An observational, prospective, monocentric, and noninterventional study
was conducted in the University Hospital of Toulouse in 2015 during a 5-week
period. All patients who were transferred to PACU were included. Twenty-eight
variables related to patient, surgery, and anesthesia were recorded. Hypoxemia
during transfer was defined as Spo2 <90%. RESULTS: Five hundred five patients
were included. The incidence of hypoxemia during transfer was 13%. After logistic
regression analysis, 3 risk factors for desaturation were identified: sedation
score >2, Spo2 <96% before exiting the operating room (OR), and body mass index
>30 kg/m2. The 72% of patients were transferred without oxygen. Most of the
hypoxemia appears in these patients. CONCLUSION: The development of hypoxemia
during transfer from the OR to the PACU was greater in patients who were obese,
were more sedated, or had lower oxygen saturations upon leaving the OR. The rates
of hypoxemia were greater among patients in whom supplemental oxygen was not
administered. Supplemental oxygen should be considered in higher risk patients.
PMID- 27871525
TI - A retrospective study of open thoracotomies versus thoracoscopic surgeries for
persistent postthoracotomy pain.
AB - OBJECTIVE: Persistent thoracotomy pain syndrome (PTPS) is a recognized
complication and is considered to be less after video-assisted thoracoscopic
surgery (VATS) compared with open thoracic surgery (OTS). The primary objective
was to compare the incidence of PTPS at 6 months. Secondary objectives were to
compare the incidence of neuropathic pain between VATS and OTS and to report
perioperative factors associated with the development of PTPS. METHODS: This
historical cohort study involved patient contact by a questionnaire regarding the
presence of PTPS and its type. Patient, surgical, and analgesia factors were
collected from health records, acute pain, and thoracic surgery databases. The
data were analyzed using a multivariable logistic regression analysis, with
results reported as adjusted odds ratio (OR) (95% confidence interval; P value).
RESULTS: Of 308 patients, 130 returned their questionnaire, and 106 responses
were analyzed. The incidence of PTPS was 35% and 54% with VATS and OTS
respectively, with an adjusted OR, 0.33 (95% confidence interval, 0.13-0.86), P=
.024. The percentage of neuropathic pain was 18% and 48%, with VATS and OTS
respectively, with an adjusted OR, 0.18 (0.04-0.85), P= .031. The diagnosis of
cancer and previous chronic pain history were observed to be significantly
associated with PTPS. CONCLUSIONS: Our study indicates that PTPS is significantly
more common and has a higher chance of being neuropathic with OTS. Despite being
relatively less traumatic, VATS still carries a significant potential for PTPS. A
diagnosis of cancer and history of previous pain are highly predictive of its
development.
PMID- 27871527
TI - Two lost airways and one unexpected problem: undiagnosed tracheal stenosis in a
morbidly obese patient.
AB - Anesthetic care of the morbidly obese is complex due to anatomic and physiologic
alterations. Airway management in particular can be challenging. High body mass
index is predictive of difficult ventilation and possibly difficult intubation.
Other airway anomalies, such as tracheal stenosis, add to the complexity of
airway management. Tracheal stenosis, a form of central airway obstruction, may
be challenging to diagnose, especially in the obese. Comorbidities can mask the
diagnosis and routine imaging may fail to identify the pathology. We present the
case of a morbidly obese patient with 2 failed intubations due to difficult
anatomy compounded with undiagnosed tracheal stenosis.
PMID- 27871528
TI - Optimal site for the rectus sheath block.
PMID- 27871526
TI - Risk factors for failed reactivation of a labor epidural for postpartum tubal
ligation: a prospective, observational study.
AB - STUDY OBJECTIVE: To determine specific risk factors that increase the failure
rate of labor epidurals reactivated for use as a surgical block for postpartum
tubal ligation. DESIGN: Prospective, observational study. SETTING: Labor and
delivery suite and operating rooms at the Women and Infants Center. PATIENTS: One
hundred patients undergoing postpartum tubal ligation with an existing labor
epidural that is documented to be within 2 cm of initial placement. MEASUREMENTS:
Body mass index, patient satisfaction with her epidural during labor and
delivery, time from delivery to reactivation for tubal ligation, depth to loss of
resistance, and the need for top-ups during labor were recorded preoperatively.
Failure to reactivate was recorded and defined as any patient that (1) did not
achieve a T6 level to pinprick, (2) had perceived pain (pain score >3) that
required administration of an intravenous opioid or local anesthetic
infiltration, or (3) required conversion to general anesthesia. MAIN RESULTS: The
overall success rate of reactivation was 78%. Significant risk factors for
failure to reactivate were (1) poor patient satisfaction (P = .016), (2)
increased time from delivery to reactivation (P = .044), and (3) the need for top
ups during labor and delivery (P = .032). CONCLUSION: Poor satisfaction score of
the epidural during labor and delivery, increasing time from delivery to epidural
reactivation for tubal ligation, and the need for top-ups during labor and
delivery increase the incidence of reactivation failure. No correlation was found
with body mass index or loss of resistance and failure to reactivate.
PMID- 27871529
TI - Unpredictable interference of new transcranial motor-evoked potential monitor
against the implanted pacemaker.
AB - Recently, NuVasive NV-M5 nerve monitoring system, a new transcranial motor-evoked
potential (TcMEP) monitor, has been introduced with the spread of flank-approach
spinal operations such as extreme lateral interbody fusion, to prevent nerve
damage. Conventional TcMEP monitors use changes in MEP wave patterns, such as
amplitude and/or latency, whereas the NV-M5 nerve monitor system first measures
the MEP baseline waveform from the transcranial-evoked potential then measures
the electric current necessary to obtain the standard of the previous baseline
wave pattern at subsequent monitoring times. The NV-M5 monitor determines nerve
damage according to the increase in necessary electric current threshold. The NV
M5 monitor also uses a local electrical stimulation mode to monitor the safety of
setting screws into the lumbar vertebrae. In this way, various electrical
stimulations with various durations and frequencies are used, and electrical
noise may result in unpredictable interference with cardiac pacemakers. We
performed anesthetic management of extreme lateral interbody fusion surgery using
the NV-M5 in a patient with an implanted pacemaker, during which TcMEP
stimulation caused interference with the implanted pacemaker.
PMID- 27871530
TI - Rate vs rhythm control for postoperative atrial fibrillation. A jewel for an
endless question?
PMID- 27871531
TI - Klippel-Trenaunay syndrome: a rare entity with anesthesia concerns.
PMID- 27871532
TI - Continuous quadratus lumborum block analgesia for total hip arthroplasty
revision.
PMID- 27871533
TI - Atypical dicrotic notch in arterial blood pressure waveform morphology.
PMID- 27871534
TI - Laparoscopic cholecystectomy in an adult moyamoya disease case.
PMID- 27871535
TI - Lingual Tonsil Hypertrophy: rescuing the airway with videolaryngoscopy.
AB - Lingual tonsils are lymphatic tissues located at the base of the tongue that may
hypertrophy causing difficulty and sometimes inability to ventilate or intubate
during anesthesia. Routine airway assessment fails to diagnose lingual tonsil
hypertrophy. There is limited experience with use of videolaryngoscopy in cases
of lingual tonsil hypertrophy. We present a case of difficult airway due to
unanticipated lingual tonsil hypertrophy successfully managed by atypical video
laryngoscope positioning.
PMID- 27871536
TI - Novel methods of local anesthetic delivery in the perioperative and postoperative
setting-potential for fibrin hydrogel delivery.
AB - The benefits of high-quality postoperative analgesia are well documented and
include earlier mobilization, fewer respiratory and cardiovascular complications,
and shorter hospital stay. Local anesthesia-based acute pain regimens are at
worst equal to and at best superior to opiate-based regimens from the perspective
of analgesia. A multimodal approach limiting opioids by combining with local
anesthetics has additional beneficial effect on outcomes such as nausea and
vomiting, pruritus, gastrointestinal function, respiratory complications, and
neutrophil function. Wound catheters providing continuous infiltration of local
anesthetics offer a rational approach to effective perioperative analgesia, but
their use is limited by a short duration of action. There is an identified need
for further methods to optimize longer-acting delivery of these agents. This
article reviews current and evolving longer-acting techniques and their
limitations with particular focus on the potential advantages of a fibrin
hydrogel-based system.
PMID- 27871537
TI - $1.8 Million and counting: how volatile agent education has decreased our
spending $1000 per day.
AB - STUDY OBJECTIVE: Volatile anesthetic agents comprise a substantial portion of
every hospital's pharmacy budget. Challenged with an initiative to lower
anesthetic drug expenditures, we developed an education-based intervention
focused on reducing volatile anesthetic costs while preserving access to all
available volatile anesthetics. When postintervention evaluation demonstrated a
dramatic year-over-year reduction in volatile agent acquisition costs, we
undertook a retrospective analysis of volatile anesthetic purchasing data using
time series analysis to determine the impact of our educational initiative.
DESIGN/SETTING: We obtained detailed volatile anesthetic purchasing data from the
Central Supply of Wake Forest Baptist Health from 2007 to 2014 and integrated
these data with the time course of our educational intervention. PATIENTS:
Aggregate volatile anesthetic purchasing data were analyzed for 7 consecutive
fiscal years. INTERVENTION: The educational initiative emphasized tissue
partition coefficients of volatile anesthetics in adipose tissue and muscle and
their impact on case management. MEASUREMENTS: We used an interrupted time series
analysis of monthly cost per unit data using autoregressive integrated moving
average modeling, with the monthly cost per unit being the amount spent per
bottle of anesthetic agent per month. MAIN RESULTS: The cost per unit decreased
significantly after the intervention (t=-6.73, P<.001). The autoregressive
integrated moving average model predicted that the average cost per unit
decreased $48 after the intervention, with 95% confidence interval of $34 to $62.
As evident from the data, the purchasing of desflurane and sevoflurane decreased,
whereas that of isoflurane increased. CONCLUSIONS: An educational initiative
focused solely on the selection of volatile anesthetic agent per case
significantly reduced volatile anesthetic expense at a tertiary medical center.
This approach appears promising for application in other hospitals in the rapidly
evolving, value-added health care environment. We were able to accomplish this
with instruction on tissue partition coefficients and each agent's individual
cost per MAC-hour delivered.
PMID- 27871538
TI - Does dexmedetomidine cause less airway collapse than propofol when used for deep
sedation?
AB - STUDY OBJECTIVE: The risk of airway collapse in patients undergoing deep sedation
is a major concern. In this study, we compared the airway patency of deep
sedation provided by propofol with the airway patency of deep sedation provided
by dexmedetomidine in magnetic resonance imaging (MRI) procedures. This
comparison was done using MRI static and dynamic images and comparing these
images to baseline after sevoflurane induction. DESIGN: After institutional
review board approval, children who were scheduled for MRI procedures were given
an inhalation induction, had intravenous access established, and were randomized
to receive either dexmedetomidine 1-MUg/kg load followed by 1-MUg/(kg h) infusion
or propofol infusion at 300 MUg/(kg min) reduced to 250-MUg/(kg min) infusion. MR
images were then obtained. Airway patency and collapse were assessed at the level
of the posterior midtongue in the axial and sagittal planes. The degree of airway
collapse was assessed by determining the percent change in the airway caliber
from its minimum to maximum value. After conclusion of the MRI procedure, the
study patients were immediately observed by a blinded observer to determine their
level of sedation according to the Ramsey sedation scale. SETTING: MRI scanner at
Women and Children's Hospital of Buffalo. PATIENTS: Forty children between the
ages of 3 and 7 years. INTERVENTION: Comparison of the utilization of propofol
against dexmedetomidine infusions for deep sedation to determine the degree of
airway collapse. MEASUREMENTS: Magnetic resonance images were then obtained using
a 1.5-T GE Excite 12.0 scanner. Airway patency and collapse were assessed at the
level of the posterior midtongue in the axial and sagittal planes. The degree of
airway collapse was assessed by determining the percent change in the airway
caliber from its minimum to maximum value. After conclusion of the MRI procedure,
the study patients were immediately observed by a blinded observer to determine
their level of sedation according to the Ramsey sedation scale. MAIN RESULTS: Our
study demonstrated no difference in airway collapse between dexmedetomidine-based
deep sedation and propofol-based deep sedation following sevoflurane induction.
CONCLUSION: In deep sedation, which is commonly associated with a loss of airway
tone, it may not matter which of these intravenous study agents are used.
Intravenous sedation with propofol or dexmedetomidine appears to produce the same
effect on the pediatric airway.
PMID- 27871539
TI - Liberal or restrictive fluid management during elective surgery: a systematic
review and meta-analysis.
AB - This article reviews if a restrictive fluid management policy reduces the
complication rate if compared to liberal fluid management policy during elective
surgery. The PubMed database was explored by 2 independent researchers. We used
the following search terms: "Blood transfusion (MESH); transfusion need; fluid
therapy (MESH); permissive hypotension; fluid management; resuscitation;
restrictive fluid management; liberal fluid management; elective surgery; damage
control resuscitation; surgical procedures, operative (MESH); wounds (MESH);
injuries (MESH); surgery; trauma patients." A secondary search in the Medline,
EMBASE, Web of Science, and Cochrane library revealed no additional results. We
selected randomized controlled trials performed during elective surgeries.
Patients were randomly assigned to a restrictive fluid management policy or to a
liberal fluid management policy during elective surgery. The patient
characteristics and the type of surgery varied. All but 3 studies reported
American Society of Anaesthesiologists groups 1 to 3 as the inclusion criterion.
The primary outcome of interest is total number of patients with a complication
and the complication rate. Secondary outcome measures are infection rate,
transfusion need, postoperative rebleeding, hospital stay, and renal function. In
total, 1397 patients were analyzed (693 restrictive protocol, 704 liberal
protocol). Meta-analysis showed that in the restrictive group as compared with
the liberal group, fewer patients experienced a complication (relative risk [RR],
0.65; 95% confidence interval [CI], 0.55-0.78). The total complication rate (RR,
0.57; 95% CI, 0.52-0.64), risk of infection (RR, 0.62; 95% CI, 0.48-0.79), and
transfusion rate (RR, 0.81; 95% CI, 0.66-0.99) were also lower. The postoperative
rebleeding did not differ in both groups: RR, 0.76 (95% CI, 0.28-2.06). We
conclude that compared with a liberal fluid policy, a restrictive fluid policy in
elective surgery results in a 35% reduction in patients with a complication and
should be advised as the preferred fluid management policy.
PMID- 27871540
TI - Comparison of the effects of Truview PCDTM video laryngoscopy and Macintosh blade
direct laryngoscopy in geriatric patients.
AB - STUDY OBJECTIVE: To compare the effects of Truview PCDTM video laryngoscopy (TVL)
and Macintosh blade direct laryngoscopy (MDL) on hemodynamic responses observed
during laryngoscopy and orotracheal intubation conditions in geriatric patients.
DESIGN: Randomized prospective study. SETTING: Operating room. PATIENTS: One
hundred patients in the risk group American Society of Anesthesiologists I to III
aged 65 years and older underwent elective surgery under general anesthesia.
INTERVENTIONS: This prospective study was performed between January 2014 and
February 2015 after institutional ethics committee approval. Patients were
randomly allocated to 2 groups, namely, TVL and MDL. MEASUREMENTS: Hemodynamic
parameters, modified Cormack-Lehane grade, intubation period, and preoperative
examination (age, sex, American Society of Anesthesiologists, modified Mallampati
test score, and thyromental and sternomental distances) of patients were
evaluated. MAIN RESULTS: There were no statistically significant differences in
hemodynamic responses (heart rates and mean arterial pressure) between the 2
groups (P>.05). The median intubation period in the TVL group was significantly
higher than observed in the MDL group (t=4.594; P<.05). The laryngoscopy views in
TVL group were better than the views in MDL group. The Cormack-Lehane grade in
the TVL group was lower when compared to the MDL group. CONCLUSION: The TVL
system does not provide significant hemodynamic response sparing or shorten
orotracheal intubation times when compared to MDL in geriatric patients.
PMID- 27871541
TI - Transapical transcatheter aortic valve implantation performed with a retrolaminar
block.
PMID- 27871542
TI - Severe respiratory depression and bradycardia before induction of anesthesia and
onset of Takotsubo cardiomyopathy after cardiopulmonary resuscitation.
AB - A 69-year-old woman undergoing treatment for hypertension and epilepsy was
scheduled to undergo cataract surgery. All preoperative examination results were
within normal limits. Despite being tense, she walked to the operating room.
Approximately 2 minutes after an intravenous line was established by an
anesthesia resident, severe hypoxia and bradycardia developed, and she lost
consciousness. Cardiopulmonary resuscitation was initiated immediately, and after
1 minute, she regained consciousness, and her breathing and circulation
recovered. After admission to the intensive care unit, emergency coronary
angiography was performed. The blood flow in all the coronary arteries was
normal. However, a decrease in the apical left ventricular wall motion and an
increase in the basal wall motion were observed. Based on these findings,
Takotsubo cardiomyopathy was diagnosed. The wall motion gradually improved and
the patient was discharged from the hospital on postoperative day 15. The
respiratory depression and bradycardia were thought to be due to an inadvertent
bolus of remifentanil. We surmised that the patient had received a slight amount
of retained medication when the anesthesia resident established the intravenous
line, which caused severe respiratory depression. It is important to note that
adverse effects such as severe respiratory depression and bradycardia can be
caused by even small doses of remifentanil.
PMID- 27871543
TI - Soft palate trauma induced during GlideScope intubation.
AB - The GlideScope (GVL; Saturn Biomedical Systems, Burnaby, British Columbia,
Canada) is a rigid indirect video laryngoscope device that facilitates exposure
of the larynx for placement of the endotracheal tube. This blade also reduces the
requirement for anterior displacement of the tongue. A unique feature is the
acutely angled blade which provides an improved glottic view. Herein, we present
2 instances of soft palate trauma, a rare oropharyngeal complication linked to
GlideScope use. In the first case, the GlideScope was used for pedagogical
purposes for intubation in a knee surgery. In the second case, GlideScope was
used to secure the airway of an obese patient with a Mallampati class 3 airway
and, therefore, presumed difficult intubation. Use of the GlideScope requires
less lifting force (approximately 0.5-1.5 kg) than direct laryngoscopy to achieve
visualization of the glottis, so less traction is applied to soft tissue.
However, meticulous care must be taken even by experienced operators to ensure
correct use to decrease the incidence of oropharyngeal injuries, especially in
patients with difficult airways. Increased vigilance makes the GlideScope an
invaluable tool despite its potential complications.
PMID- 27871544
TI - Comparison of anesthetic management and outcomes of robot-assisted vs pure
laparoscopic radical prostatectomy.
AB - STUDY OBJECTIVE: Limited data are available regarding the anesthetic management
and outcome of patients undergoing pure laparoscopic radical prostatectomy (LRP)
and robotic-assisted LRP (RALP). Therefore, our primary objective was to compare
the anesthetic management between these 2 groups. Our secondary objective was to
determine the incidence of adverse outcomes associated with RALP, which requires
an extreme Trendelenburg position. DESIGN: A retrospective observational study.
SETTING: University teaching hospital. PATIENTS: A total of 223 men, consisting
of 97 LRP patients and 126 RALP patients, treated during a 3-year period (January
2010-December 2012) were retrospectively studied. INTERVENTIONS: None.
MEASUREMENTS: Information on patient demographics, type of anesthesia,
anesthetic/pneumoperitoneum/surgical times, intraoperative fluids and blood
products, estimated blood loss, intraoperative and postoperative opioid use,
postoperative analgesic consumption, length of stay in the postanesthesia care
unit, postoperative complications, and hospital stays was collected and compared.
MAIN RESULTS: The estimated blood loss was higher in LRP patients than in RALP
patients (median, 550 mL vs 200 mL; P < .001). Likewise, 24% of the LRP patients
received intraoperative transfusions compared with 0.79% of the RALP patients (P
< .001). The RALP patients had a longer anesthesia time (median, 276 vs 259
minutes; P = .032) and a greater intraoperative use of opioids (P < .001). The
incidence of complications was similar in both groups with the exception of
postoperative nausea and vomiting, which were observed more frequently among the
RALP patients than among the LRP patients (33% vs 16%; P = .007). CONCLUSIONS:
This is the first report to compare the anesthetic management of RALP vs LRP.
Anesthesiologists can expect RALP surgery to be associated with less blood loss
and a need for fewer blood products than traditional LRP surgery. The anesthetic
outcome of RALP was generally satisfactory except for a high incidence of
postoperative nausea and vomiting.
PMID- 27871545
TI - Fiberoptic-guided intubation after insertion of the i-gel airway device in
spontaneously breathing patients with difficult airway predicted: a prospective
observational study.
AB - STUDY OBJECTIVE: To assess the viability of performing fiberoptic-guided
orotracheal intubation through the i-gel airway device previously inserted in
spontaneously breathing patients with predicted difficult airway to achieve a
patent airway. DESIGN: Prospective observational study. SETTING: Operating room
in a tertiary care hospital. PATIENTS: Eighty-five adult patients with at least 3
difficult airway predictors or difficult airway management history were included.
INTERVENTIONS: The i-gel device was inserted in spontaneous ventilation under
oropharyngeal local anesthesia and sedation. After checking the adequate
ventilation through the i-gel with capnography curve, general anesthesia was
induced to introduce the endotracheal tube guided by fiberoptic bronchoscope.
MEASUREMENTS: We recorded the i-gel insertion time (tgel), intubation time
(tint), and O2 saturation in pulse oximetry in different moments: basal (t0),
after 3 minutes of preoxygenation with a face mask at 100% fraction of inspired
O2 (t1), after i-gel mask insertion (t2), and after intubation (t3). Adverse
events during the procedure were also recorded, and patient discomfort was
questioned. MAIN RESULTS: All patients were successfully intubated. O2 saturation
in pulse oximetry values were (mean+/-SD): 96.9+/-1.22 (t0), 99.0+/-0.85 (t1),
96.2+/-2.37 (t2), and 96.0+/-2.54 (t3). tgel and tint were 38.0+/-7.76 seconds
and 36.5+/-5.55 seconds (mean+/-SD), respectively. No serious adverse events were
recorded, and no patient suffered airway damage. Visual analogue scale for
patient discomfort was 2 (interquartile range, 1-3). CONCLUSIONS: i-gel insertion
in spontaneously breathing patients avoids the "cannot ventilate" scenario. The
subsequent fiberoptic-guided intubation through the i-gel is a safe and effective
technique. More studies might be necessary to confirm the results presented, but
we consider that the technique described is an adequate alternative to classic
orotracheal intubation with fiberoptic bronchoscope in spontaneous ventilation
for certain patients with predicted difficult airway.
PMID- 27871546
TI - The clinical utility of the Fluid Intravenous Alert monitor.
PMID- 27871547
TI - Adductor canal block for knee surgical procedures: review article.
AB - Adductor canal block (ACB) has recently emerged as an alternative to femoral
nerve block for pain control after various knee procedures especially knee
arthroplasty. In this review article, we will review the anatomy of adductor
canal, sonoanatomy, and ultrasound-guided approach for ACB as well as review
current evidence regarding the indications of the ACB.
PMID- 27871548
TI - Sevoflurane attenuates platelets activation of patients undergoing lung cancer
surgery and suppresses platelets-induced invasion of lung cancer cells.
AB - STUDY OBJECTIVE: Platelets play a pivotal role in metastasis of tumor cells. The
aim of this study is to explore the effects of sevoflurane and isoflurane on
platelets activation of patients undergoing lung cancer surgery, and the effects
of sevoflurane and isoflurane on platelets-induced invasion of lung cancer cells.
DESIGN: Prospective and randomized study, and in vitro experiment. SETTING:
University-affiliated teaching hospital and laboratory. PATIENTS: Forty-six
patients scheduled for lung cancer radical surgery. INTERVENTIONS: Patients were
randomized to two groups of 23 patients each and were received sevoflurane (group
SEV) or isoflurane (group ISO) during surgery, respectively. In vitro, lung
cancer cells were treated with platelets in the presence or absence anesthetics.
MEASUREMENTS: Platelets activation were determined by detecting
glycoproteinIIb/IIIa (GPIIb/IIIa), CD62P, and platelets aggregation rate (PAR)
pre-, intra-, and postoperatively. Invasion ability of lung cancer cells were
evaluated by Transwell assay. RESULTS: The levels of GPIIb/IIIa, CD62P, and PAR
were reduced markedly in group SEV during perioperative period compared with
group ISO. In vitro, activated platelets contributed profoundly to the invasive
ability of lung cancer cells. Sevoflurane, but not isoflurane, inhibited
platelets-induced invasion of lung cancer cells. Furthermore, sevoflurane
suppressed the platelets activity in vitro. CONCLUSION: Sevoflurane attenuates
platelets activation of patients undergoing lung cancer surgery. In vitro,
sevoflurane suppresses platelets-induced invasion of lung cancer cells via
decreasing platelets activity.
PMID- 27871549
TI - A multicenter study of the analgesic effects of epidural chloroprocaine after
lower limb orthopedic surgery.
AB - STUDY OBJECTIVE: To investigate the effects and optimal concentration of
chloroprocaine for epidural analgesia after lower limb orthopedic surgery.
DESIGN: Prospective, randomized, observational, multicenter clinical study.
SETTING: Operating room, postoperative recovery area, university hospital.
PATIENTS: One hundred and twenty patients from 4 hospitals were enrolled and
randomized into 5 groups after lower limb orthopedic surgery under epidural
anesthesia with lidocaine. INTERVENTIONS: Epidural chloroprocaine mixed with
0.4MUg/mL fentanyl was administered via a patient-controlled analgesia pump at
the concentration of 0.6%, 0.8%, 1.0%, 1.2%, or 1.4% after the surgery.
MEASUREMENTS: Systolic blood pressure, heart rate, visual analog score at rest
and during activity, as well as the Bromage score at 0 minute, 30 minutes, 1
hour, 2 hours, 4 hours, 8 hours, 24 hours, and 48 hours after the surgery were
recorded and compared. Use of morphine and incidence of adverse effects were also
recorded. MAIN RESULTS: Patients given 1.2% chloroprocaine showed the lowest
visual analog score compared with other groups. There was no significant
difference in the Bromage score among 5 groups. The Bromage score returned to 0
in 89.7% of the patients 48 hours after surgery. No difference in postoperative
morphine usage, blood pressure, or heart rate was found among 5 groups.
CONCLUSIONS: Epidural 1.2% chloroprocaine with 0.4MUg/mL fentanyl could generate
proper analgesic effects with little influence on mobility in patients undergoing
lower limb orthopedic surgery. In addition, it could generate a good sense and
movement separation, facilitating the early functional training.
PMID- 27871550
TI - Carbetocin for prevention of postcesarean hemorrhage in women with severe
preeclampsia: a before-after cohort comparison with oxytocin.
AB - STUDY OBJECTIVE: The aim of the study was to compare the incidence of the use of
additional uterotonics before and after the change of carbetocin to oxytocin for
the prevention of postpartum hemorrhage after cesarean delivery in women with
severe preeclampsia. DESIGN: This was an observational retrospective before-and
after study. SETTING: Operating room, postoperative recovery area. PATIENTS:
Sixty women with severe preeclampsia undergoing cesarean delivery under spinal
anesthesia; American Society of Anesthesiologists 3. INTERVENTIONS: Observational
study. MEASUREMENTS: Blood pressure, heart rate, and biological data (hemoglobin,
platelets, haptoglobin, prothrombin time index, activated partial thromboplastin
time ratio, blood uric acid, aspartate aminotransferase, alanine
aminotransferase, serum urea, serum creatinine, and albumin). MAIN RESULTS: The
incidence of additional uterotonic administration in the carbetocin and oxytocin
groups was 15% and 10%, respectively (P=.70). CONCLUSIONS: As carbetocin appears
to be as effective and safe as oxytocin in preeclamptic women, its advantages
make it a good uterotonic option in this particular setting.
PMID- 27871551
TI - Exposure to anesthetic gases among operating room personnel and risk of
genotoxicity: A systematic review of the human biomonitoring studies.
AB - BACKGROUND AND OBJECTIVE: Anesthetic gases have been used for a long time.
Adverse effects of anesthetic gases to occupationally exposed people have been
well documented in the literature. Due to low solubility, these gases are rapidly
eliminated from the human body. Nevertheless, neurotoxic, immunosuppressive,
hepatotoxic and reproductive toxicological effects have been shown in many of the
scientific works. However, there is no detailed systematic bio-monitoring review
about genotoxicity risk among occupationally exposed people. We herein performed
systematic review based on relevant studies. METHODS: This work reviews the
published literature about the genotoxic effects of anesthetic gases among
operating room personnel published between 1989 and September 2015. We performed
a computerized search of articles on Pubmed, Scopus, Web of Science, and Google
Scholar. RESULTS: Analyzed works have shown us that chromosomal aberration,
sister chromatid exchanges, micronucleus and comet assays were the most
frequently used genotoxicity end-points. In almost all data, occupational
exposure to anesthetic gases has been associated with statistically significant
increase in genotoxic damage among operating room personnel. CONCLUSION: Health
care workers are exposed to wide variety of agents including biological, physical
and chemical factors. Among them anesthetic gases seems to be deserve special
attentions since their genotoxic, mutagenic activities. In addition, chronic
exposure to all anesthetic gases instead of alone induces cumulative genotoxic
effects.
PMID- 27871552
TI - Preoperative depressed mood and perioperative heart rate variability in patients
with hepatic cancer.
AB - STUDY OBJECTIVE: How perioperative heart rate variability (HRV) indices differ
according to the anxiety or depressed mood of patients scheduled to undergo a
major surgical procedure for cancer. DESIGN: Prospective observational study.
SETTING: Operating room. PATIENTS: Forty-one male patients between 40 and 70
years of age with hepatocellular carcinoma were included in the final analysis.
INTERVENTIONS: HRV was measured on the day before surgery (T1), impending
anesthesia (T2), and after anesthetic induction (T3). Preoperative anxiety and
depressed mood of all patients were evaluated using the State-Trait Anxiety
Inventory and Self-Rating Depression Scale (SDS). MEASUREMENTS AND RESULTS: HRV
was significantly different among T1, T2, and T3. At T2, high frequency (HF)
(normalized units of HF [nuHF]) was decreased and low frequency (LF) (normalized
units of LF) and LF/HF were increased compared with those at T1 and T3. In the
subgroup analysis between high and low SDS groups, high SDS group showed
significantly decreased nuHF (P = .035), increased nuLF (P = .039), and increased
LF/HF (P = .020) compared to low SDS group at T1. However, these values at T2 and
T3 were not different between 2 groups. In analysis within the groups, low SDS
group showed significant differences in nuHF, nuLF, and LF/HF among T1, T2, and
T3 (P < .05, respectively), but no changes in these values were observed in high
SDS group among the 3 different time points. CONCLUSIONS: HRV decreased
significantly immediately before anesthesia and recovered to baseline with
anesthetic induction. Preoperative, more depressed patients showed increased
sympathetic tone at baseline and blunted response to impending anesthesia on the
HRV measurements.
PMID- 27871553
TI - Fascia iliaca block associated only with deep sedation in high-risk patients,
taking P2Y12 receptor inhibitors, for intramedullary femoral fixation in
intertrochanteric hip fracture: a series of 3 cases.
AB - OBJECTIVE: We present a series of 3 cases in which the impact in outcome was,
first of all, related to the capacity to offer early and safer treatment to some
hip fracture high-risk patients using a fascia iliaca block (FIB; ropivacaine
0,5% 20 cc and mepivacaine 1,3% 15 cc, given 30 minutes before incision)
associated only with deep sedation, contributing to better practice and outcome.
CASE REPORTS: All elderly patients were American Society of Anesthesiologists IV
patients, under P2Y12 receptor inhibitors, suffering from an intertrochanteric
fracture, and purposed for intramedullary femoral fixation (IMF). All patients
have been managed successfully through a deep sedation using a low-dose infusion
of propofol and bolus of fentanyl without face mask ventilation, supraglottic
device placement, or endotracheal intubation after an FIB. Bispectral index was
always greater than 75, and no CO2 retention or respiratory depression was
present. No signs of pain or hemodynamic instability were observed. CONCLUSIONS:
In these cases, surgery would be postponed if the choice was neuroaxial
anesthesia, particularly because of P2Y12 receptor inhibitors' effect. FIB
puncture site is distal to the fracture and incision site, but proximal local
anesthetic migration through the interfascial planes allows for constant block of
femoral nerve and lateral cutaneous of femur nerve and, less constantly, block of
obturator. FIB may reduce the risk of perineural hematoma associated with several
injections in nerve vicinity of different lumbar plexus branches. Frequently,
indications for extramedullary or IMF are overlapping, but IMF is associated with
less blood loss and may be managed using a low anesthetic depth if an FIB is
done, increasing safety. This way, these less invasive surgical techniques
combined with an adjusted anesthetic technique may have a crucial role in high
risk patients, particularly if taking P2Y12 receptor inhibitors. In these cases
of IMF, surgical manipulation of sciatic and/or inferior subcostal nerves
territories was managed with systemic analgesia and deep sedation.
PMID- 27871554
TI - Evaluation of pH on removed tracheal tubes after general anesthesia: a
prospective observational study.
AB - STUDY OBJECTIVE: Aspiration pneumonia is a complication of tracheal intubation
and mechanical ventilation. We hypothesized that tracheal tubes removed after
anesthesia that have an acidic pH may reflect latent regurgitation of gastric
fluid. DESIGN: A prospective observational study. SETTING: Operating room.
PATIENTS: Tracheal tubes removed from 200 patients (age range, 20-85 years) who
had undergone general anesthesia with tracheal intubation and gastric tube
placement were examined. MEASUREMENTS: To detect regurgitated gastric fluid on
the tubes, we measured pH at 5 different points along the tubes and in the water
in which the removed tracheal tubes were immersed. MAIN RESULTS: Of the 200
removed tracheal tubes, 5 had an acidic pH. The tubes were used in patients who
were in the prone (1 patients) or head-down (4 patients) position while under
anesthesia. The incidence of acidic pH was significantly higher in patients who
were in the prone (1/32) or head-down (4/56) position, compared with those in the
supine (0/92) or lateral (0/20) position (P<.05). CONCLUSION: Acidic pH was found
on about 2.5% of removed tracheal tubes. These tubes were used in patients who
were in the prone or head-down position during general anesthesia, although they
did not exhibit significant aspiration symptom. Anesthesiologists should be aware
of the inherent risk of gastric fluid regurgitation when their patients undergo
general anesthesia in these positions.
PMID- 27871555
TI - Anesthetic implications for patients with Segawa syndrome.
AB - STUDY OBJECTIVE: To characterize the perioperative course of patients with Segawa
syndrome undergoing anesthetic management. DESIGN: Retrospective observational
case study. SETTING: Large tertiary medical center. PATIENTS: Patients with
Segawa syndrome who underwent procedures requiring anesthetic management at our
institution from January 1, 2004, through July 31, 2015. MEASUREMENTS: The health
records of patients with Segawa syndrome. MAIN RESULTS: Twelve patients with
Segawa syndrome underwent 25 procedures requiring anesthetic management,
including 20 operations with general anesthesia. Succinylcholine was administered
in 6 cases and nondepolarizing neuromuscular blockers in 5 cases, all without
adverse effects. Perioperative complications were unrelated to anesthetic
management or underlying neurologic condition. In 16 operations, the patients
were receiving levodopa therapy at the time of the procedure. CONCLUSIONS: In
this cohort, patients with Segawa syndrome tolerated the anesthetic management,
including neuromuscular blocker administration. Although these patients are
frequently receiving levodopa therapy, no associated complications were noted.
PMID- 27871556
TI - An analysis of green discoloration of urine caused by propofol infusion.
AB - BACKGROUND: Propofol is a short-acting, intravenous sedative-hypnotic agent that
is widely used for the induction and maintenance of general anesthesia and
sedation. An uncommon adverse effect of propofol is green discoloration of the
urine, which has been reported not only under general anesthesia but also with
sedation. Although it is assumed that the phenolic derivatives of propofol can
cause green discoloration of the urine, the actual origin remains unknown. The
aim of this report was to identify the origin of the green discoloration of the
urine using liquid chromatography-mass spectrometry (LC-MS). CLINICAL FEATURES:
The patient, a 51-year-old man, was scheduled for his oral surgery under general
anesthesia using propofol. Postoperatively, the color of his urine was observed
to be green. We compared and analyzed both the green urine and the normal urine
using LC-MS. CONCLUSION: We experienced a case of a patient with green
discoloration of the urine after general anesthesia using propofol. Although LC
MS analysis showed 2 unique peaks in the green urine at 490 and 590 nm, obvious
causes were not revealed.
PMID- 27871557
TI - Effect of adjunctive dexmedetomidine on postoperative intravenous opioid
administration in patients undergoing thyroidectomy in an ambulatory setting.
AB - STUDY OBJECTIVE: Two of the most feared complications for patients undergoing
thyroid surgery are pain and postoperative nausea and vomiting. Thyroidectomy is
considered high risk for postoperative nausea and vomiting, and recent studies
have looked at adjuncts to treat pain, limit narcotic use, "fast-track" the
surgical process, and enhance recovery without compromising the patient's safety.
One such perioperative medication of interest is dexmedetomidine (Dex), a
centrally acting alpha-2 agonist that has been associated with reducing pain and
postoperative opioid consumption. Our aim was to examine the effectiveness of Dex
as an adjunctive intraoperative medication to reduce postoperative narcotic
requirements in patients undergoing outpatient thyroid surgery. DESIGN, SETTING,
PATIENTS AND INTERVENTION: After obtaining approval from the Institutional Review
Board at The University of Texas MD Anderson Cancer Center, we searched the
electronic medical record for the period October 2013 to March 2015 to identify
patients who had undergone thyroid surgery in the ambulatory setting under
general anesthesia. MEASUREMENTS AND MAIN RESULTS: A total of 71 patients
underwent thyroidectomy or thyroid lobectomy in the outpatient setting. Of the
patients receiving adjunctive Dex, a lower proportion (50%, n=9) received
postoperative intravenous opioids when compared with control patients (79%, n=42)
(P=.017). One patient (5%) in the Dex group required rescue postoperative
antiemetics as compared to 11 (21%) patients in the control group (P=.273).
CONCLUSIONS: Our data suggest that intraoperative use of Dex reduced narcotic
administration in the postoperative period among study population patients
undergoing thyroidectomy.
PMID- 27871558
TI - COMBIPECS, the single-injection technique of pectoral nerve blocks 1 and 2: a
case series.
AB - Ultrasound-guided Pecs block can provide perioperative analgesia for breast
surgery. A single-injection technique (COMBIPECS) combines both Pecs 1 and Pecs 2
blocks in a single needle pass. This technique saves time and is equally
effective as the modified Pecs block which uses 2 needle passes. We present a
case series of 21 patients who received the COMBIPECS block as a part of
multimodal analgesia for breast cancer surgery. The block was administered before
the surgery after induction of general anesthesia. The patients needed minimal
intraoperative analgesics and remained pain free in the postoperative period up
to 24 hours after surgery.
PMID- 27871559
TI - Quality of recovery from anesthesia of patients undergoing balanced or total
intravenous general anesthesia. Prospective randomized clinical trial.
AB - STUDY OBJECTIVES: The aim of the present study was to assess the quality of
recovery from anesthesia of patients subjected to otorhinolaryngological (ORL)
surgery under balanced or total intravenous general anesthesia by means of
Quality of Recovery-40 (QoR-40) questionnaire. DESIGN: Prospective randomized
clinical trial. SETTING: The setting is at an operating room, a postoperative
recovery area, and a hospital ward. PATIENTS: One-hundred thirty American Society
of Anesthesiologists physical status I or II patients scheduled to undergo
general anesthesia for ORL interventions under remifentanil, in combination with
sevoflurane (balanced technique) or propofol (total intravenous anesthesia).
MEASUREMENTS: Occurrence of nausea, vomiting, body temperature less than 36
degrees C, and length of stay in the postanesthesia care unit were recorded. The
QoR-40 was administered by an investigator blind to group allocation 24 hours
after surgery. The quality of recovery, as assessed by the score on the QoR-40,
was compared between the groups. MAIN RESULTS: There is no difference regarding
the QoR-40 score among intravenous and inhalation anesthesia groups (190.5 vs
189.5, respectively; P=.33). Similarly, among the 5 dimensions of the QoR-40, the
scores were comparable between the groups. Incidence of hypothermia (P=.58),
nauseas or vomits (P=.39), and length of surgery (P=.16) were similar among
groups. The evaluation of pain intensity (P=.80) and dose of morphine use in the
postanesthesia care unit (P=.4) was also comparable between groups. CONCLUSIONS:
The quality of recovery from anesthesia assessed based on the patients'
perception did not differ between the ones subjected to either inhalation or
intravenous general anesthesia for ORL surgery based on QoR-40 questionnaire
assessment.
PMID- 27871560
TI - Anesthesiology residents' perception of educational environment: comparison
between different years of training.
AB - STUDY OBJECTIVES: To assess whether the year of residency in anesthesiology is
associated with residents' overall perceptions of the learning environment and to
evaluate the association between year of residency and each of the five domains
of the Dundee Ready Education Environment Measure (DREEM) survey. DESIGN: Cross
sectional study. SETTING: Residency program of anesthesiology at the Cleveland
Clinic. SUBJECTS AND INTERVENTION: Residents of anesthesiology at the Cleveland
Clinic were invited to complete the DREEM questionnaire and a demographic survey.
MEASUREMENTS: We assessed whether year of residency was associated with the
overall standardized DREEM questionnaire score using linear regression. We also
assessed the associations between year of residency and each of the 5 DREEM
questionnaire domains using separate linear regression models. RESULTS: Of the 60
residents invited to complete the DREEM questionnaire, 49 (82%) completed it.
There was no association between year of residency and overall DREEM score (P =
.21), students' perception of teaching (P = .38), students' perceptions of
teachers (P = .28), students' academic self-perceptions (P = .03), students'
perceptions of atmosphere (P = .65), or students' social self-perceptions (P =
.08). The primary outcome was assessed using a significance criterion of 0.05,
whereas each secondary outcome was assessed using a significance criterion of
0.01 for secondary analyses (ie, 0.05/5, Bonferroni). CONCLUSION: Resident's
perception of the learning environment in the anesthesiology residency program of
the Cleveland Clinic is on the positive side, as measured by the DREEM
questionnaire. We found no association between the year of training and the DREEM
questionnaire overall score. Furthermore, we found no association between year of
residency and any of the subscores for the 5 DREEM domains. There is room for
improvement, especially in the area of self-perception.
PMID- 27871561
TI - Perioperative fluid therapy: defining a clinical algorithm between insufficient
and excessive.
AB - In the perioperative scenario, adequate fluid and volume therapy is a challenging
task. Despite improved knowledge on the physiology of the vascular barrier
function and its respective pathophysiologic disturbances during the
perioperative process, clear-cut therapeutic principles are difficult to
implement. Neglecting the physiologic basis of the vascular barrier and the
cardiovascular system, numerous studies proclaiming different approaches to fluid
and volume therapy do not provide a rationale, as various surgical and patient
risk groups, and different fluid regimens combined with varying hemodynamic
measures and variable algorithms led to conflicting results. This review refers
to the physiologic basis and answers questions inseparably conjoined to a
rational approach to perioperative fluid and volume therapy: Why does fluid get
lost from the vasculature perioperatively? Whereto does it get lost? Based on
current findings and rationale considerations, which fluid replacement algorithm
could be implemented into clinical routine?
PMID- 27871562
TI - Anesthetizing a child for a large compressive mediastinal mass with distraction
techniques and music therapies as the sole agents.
AB - Anesthetic management of the child with an anterior mediastinal mass is
challenging. The surgical/procedural goal typically is to obtain a definitive
tissue diagnosis to guide treatment; the safest approach to anesthesia is often
one that alters cardiorespiratory physiology the least. In severe cases, this may
translate to little or no systemic sedatives/analgesics. Distraction techniques,
designed to shift attention away from procedure-related pain (such as counting,
listening to music, non-procedure-related talk), may be of great benefit,
allowing for avoidance of pharmaceuticals. In this report, we present an approach
in children where the anesthetic risk is deemed excessive.
PMID- 27871563
TI - Fascia iliaca block vs intravenous fentanyl as an analgesic technique before
positioning for spinal anesthesia in patients undergoing surgery for femur
fractures-a randomized trial.
AB - STUDY OBJECTIVE: Pain arising from femur fractures is of severe nature. Surgery
for fixation of femoral fractures may be done under spinal anesthesia. We
conducted this study to compare the analgesic efficacy of fascia iliaca
compartment block (FICB) and intravenous fentanyl (IVF) before positioning for
spinal anesthesia. DESIGN: Randomized controlled trial. SETTING: Operating room.
PATIENTS AND INTERVENTIONS: Sixty patients aged 25 to 75 years, with American
Society of Anesthesiologists status I to III, undergoing surgery for femur
fracture were chosen for the study and randomized into 2 groups. Patients in
group FICB received the block with 30 mL of 0.375% ropivacaine 15 minutes before
the subarachnoid block. Patients in group IVF received intravenous fentanyl at
0.5 MUg/kg body weight repeated up to a maximum of 3 doses. Spinal was
administered using 12 to 15 mg of 0.5% hyperbaric bupivacaine with glucose 80
mg/mL in patients of both groups. MEASUREMENTS: Preprocedural and postprocedural
parameters such as visual analog scale (VAS) scores, sitting angle, quality of
positioning, and time to perform the spinal were recorded. Patients were also
assessed in the first 24 hours for analgesic requests. MAIN RESULTS:
Preprocedural VAS scores were similar in both groups. The "VAS after" was 24.72+/
15.70 mm in group FICB vs 61.22+/-18.18 mm in group IVF (P=.01). The drop in VAS
scores was significantly more in the FICB group. Sitting angle improved
significantly in the FICB group. (56.17 degrees +/-16.54 degrees vs 21.38
degrees +/-23.90 degrees ; P=.01). Patients in group FICB also needed less time
for spinal and had better quality of positioning. Postoperative analgesic
requirement was lesser in group FICB. CONCLUSION: Fascia iliaca block offers
superior analgesia compared to IVF in patients with femur fracture before
positioning for spinal anesthesia.
PMID- 27871564
TI - Effect of remifentanil and fentanyl on postoperative cognitive function and
cytokines level in elderly patients undergoing major abdominal surgery.
AB - PURPOSE: Postoperative cognitive dysfunction is a frequent complication occurring
in geriatric patients. Type of anesthesia and the patient's inflammatory response
may contribute to postoperative cognitive dysfunction (POCD). In this prospective
randomized double-blinded controlled study we hypothesized that intraoperative
remifentanil may reduce immediate and early POCD compared to fentanyl and
evaluated if there is a correlation between cognitive status and postoperative
inflammatory cytokines level. METHODS: Six hundred twenty-two patients older than
60 years undergoing major abdominal surgery were randomly assigned to two groups
and treated with different opioids during surgery: continuous infusion of
remifentanil or fentanyl boluses. Twenty-five patients per group were randomly
selected for the quantitative determination of serum interleukin (IL)-1beta, IL
6, and IL-10 to return to the ward and to the seventh postoperative day. RESULTS:
Cognitive status and its correlation with cytokines levels were assessed. The
groups were comparable regarding to POCD incidence; however, IL-6 levels were
lower the seventh day after surgery for remifentanil group (P= .04). No
correlation was found between POCD and cytokine levels. CONCLUSIONS: The use of
remifentanil does not reduce POCD.
PMID- 27871565
TI - Postoperative analgesic efficacy of fascia iliaca block versus periarticular
injection for total knee arthroplasty.
AB - STUDY OBJECTIVE: This study evaluated the postoperative analgesic efficacies of
fascia iliaca block and periarticular drug injection techniques after TKA (total
knee arthroplasty) surgeries. DESIGN: Prospective, randomized clinical trial.
SETTING: University Teaching and Research Center. PATIENTS: Seventy-one American
Society of Anesthesiologists (ASA) I-III patients between 48 and 70 years of age
who underwent total knee arthroplasty were randomized. INTERVENTIONS: Tenoxicam
(20 mg) was administered intramuscularly to both groups of patients 30 minutes
before surgery. Patients were randomized into two groups to receive fascia iliaca
block before the induction of anesthesia (Group FI) or periarticular drug
injection during the surgery (Group PI). All surgeries were performed under
general anesthesia using standard techniques. Postoperative analgesia was
provided with patient-controlled intravenous morphine. MEASUREMENTS: Total
morphine consumption was the primary outcome measure and was recorded
postoperatively at 1, 2, 6, 12 and 24 hours. Pain levels at rest and on movement
(knee flexion) were evaluated using the Visual Analogue Scale (VAS) and recorded
at the same time points. Patients' demographics, rescue analgesic demands, side
effects, hemodynamics, and satisfaction scores were also recorded. MAIN RESULTS:
The groups had similar VAS scores both at rest and on movement (P>.05). However,
the amount of cumulative morphine and use at each follow-up period was higher in
Group PI (P<.0001). The groups did not differ significantly in rescue analgesic
use or side effects, such as nausea/vomiting, hemodynamic variables, and patient
satisfaction scores (P>.05). CONCLUSIONS: Fascia iliaca block may be used as an
alternative method to periarticular injection, and it effectively reduces the
amount of morphine used to relieve post-TKA pain.
PMID- 27871566
TI - Known difficult airway in a patient with pheochromocytoma: a case report.
AB - : The manipulation of an airway is always a critical moment in the anesthetic
management of patients with pheochromocytoma due to the high incidence of
undesirable hemodynamic events in relation with the stimulus represented by the
laryngoscopy. A known difficult airway in which it is necessary to carry out an
orotracheal intubation while preserving spontaneous ventilation subjects the
patient to a stressful situation. The objective is to obtain an acceptable level
of comfort and sedation avoiding respiratory depression (Anesthesiol Clin
2015;33:233-40). In this case, we describe the management of a known difficult
airway in a patient with a pheochromocytoma and a personal history of arterial
hypertension and chronic obstructive pulmonary disease who underwent orotracheal
intubation with spontaneous ventilation with Airtraq and with dexmedetomidine as
the only sedative agent. CONCLUSION: Dexmedetomidine is a useful drug for
sedation during orotracheal intubation with spontaneous ventilation in a patient
with pheochromocytoma and a chronic respiratory pathology (Chin Med J (Engl)
2015;128:3143-3148, J Clin Anesth 2007; 19:370-373).
PMID- 27871567
TI - Intraoperative medications associated with hemodynamically significant
anaphylaxis.
AB - STUDY OBJECTIVE: To facilitate the identification of drugs and patient factors
associated with hemodynamically significant anaphylaxis. DESIGN: Using an
existing database containing complete perioperative records, instances of
hemodynamically significant anaphylaxis were identified using a physiologic and
treatment-based screening algorithm. All cases were manually reviewed by 2
clinicians, with a third adjudicating disagreements, and confirmed cases were
matched 3:1 with control cases. Intraoperative medications given in instances of
hemodynamically significant anaphylaxis and patient risk factors were compared
with control cases. SETTING: University of Michigan Hospital, a large, tertiary
care hospital. PATIENTS: All adult patients undergoing surgery between January 1,
2004, and January 5, 2015. INTERVENTIONS: None. MEASUREMENTS: Incidence of
hemodynamically significant anaphylaxis during anesthesia. Patient risk factors
and intraoperative medications associated with hemodynamically significant
anaphylaxis. MAIN RESULTS: Hemodynamically significant anaphylaxis occurred in 55
of 461 986 cases (1 in 8400). Hemodynamically significant anaphylaxis occurred in
52 patients, with 1 patient experiencing 3 instances and another patient 2
instances. Only 1 drug was associated with an increased risk of hemodynamically
significant anaphylaxis: protamine (odds ratio, 11.78; 95% confidence interval,
1.40-99.26; P=.0233). No category of drugs was associated with increased risk. Of
patient risk factors, only personal history of anaphylaxis was associated with an
increased risk (odds ratio, 77.1; 95% confidence interval, 10.46-567.69;
P=<.0001). Postoperative follow-up and evaluation of patients were low at our
institution. A serum tryptase level was sent in only 49% of cases, and 41% of
levels were positive, an overall positive rate of 20% of cases. Following
instances of hemodynamically significant anaphylaxis, only 29% of patients were
seen and evaluated by an allergist at our institution. CONCLUSIONS:
Hemodynamically significant anaphylaxis is a rare complication of anesthesia,
with an incidence consistent with the existing literature. Contrary to most
existing literature, only protamine was associated with increased risk. A
personal history of anaphylaxis appears to best predict risk of hemodynamically
significant anaphylaxis.
PMID- 27871568
TI - Discrepancy between electroencephalography and hemodynamics in a patient with
Cockayne syndrome during general anesthesia.
AB - Cockayne syndrome is a kind of progeria with autosomal chromosome recessiveness
described first by Cockayne in 1936. Patients with this syndrome were
characterized by retarded growth, cerebral atrophy, and mental retardation. We
experienced an anesthetic management of a patient with Cockayne syndrome, who
underwent dental treatment twice. The primary concern was discrepancy between
electroencephalography and hemodynamics. The values of bispectral index showed a
sharp fall to 1 digit and suppression ratio more than 40, while hemodynamics was
stable during induction of anesthesia with sevoflurane 8%. We should pay
attention to anesthetic depth in the central nervous system in patients with
Cockayne syndrome. Titration of anesthetics should be performed by the
information from electroencephalography.
PMID- 27871569
TI - Near miss in a patient with undiagnosed Brugada syndrome: a case report and
literature review.
AB - Brugada syndrome is a rare genetic disorder mostly affecting young subjects
without any underlying heart disease. Here we are describing 1 patient presented
for gastric bypass surgery who had near cardiac arrest under general anesthesia
for unknown reason. Postoperative investigation of this case revealed the
diagnosis of Brugada syndrome.
PMID- 27871570
TI - Peroperative cardiogenic shock suggesting acute coronary syndrome as initial
manifestation of Lyme carditis.
AB - Carditis can complicate Lyme disease in an estimated <5% of cases, and
cardiogenic shock and severe cardiac arrhythmias are described with
electrocardiographic abnormalities that could be suggestive of coronary
manifestations. We report a case of severe persistent biventricular heart failure
complicated by cardiac arrhythmias as initial manifestation of a Lyme disease
developing peroperatively electrocardiographic abnormalities suggesting acute
transmural myocardial infarction.
PMID- 27871572
TI - The effects of sevoflurane and desflurane on the hemodynamics and respiratory
functions in laparoscopic sleeve gastrectomy.
AB - STUDY OBJECTIVES: Sleeve gastrectomy has been one of the most commonly performed
bariatric surgery methods. The study aimed to compare the effects of 2 most
commonly used inhalation anesthetics, sevoflurane and desflurane, on the
peroperative hemodynamic alterations and postoperative respiratory functions in
morbidly obese patients undergoing sleeve gastrectomy. DESIGN: Nonrandomized
cohort. SETTINGS: Operating room, postoperative period. PATIENTS: Eighty-four
morbidly obese patients with a body mass index greater than 40 kg/m2 who had
scheduled to undergo sleeve gastrectomy operation were prospectively included in
the study. INTERVENTIONS: Patients were divided into 2 groups. The maintenance of
inhalation anesthesia was performed by sevoflurane in 1 group (sevoflurane group)
and desflurane (desflurane group) in the other group. MEASUREMENTS: Demographic
features, peroperative hemodynamic alterations, and the results of preoperative
and postoperative 24th hour respiratory function tests were recorded. RESULTS:
There was not any statistically significant difference between groups regarding
age, sex, body mass index, anesthesia time, peroperative mean arterial pressure,
arterial oxygen saturation, end-tidal carbon dioxide, and preoperative or
postoperative forced expiratory volume 1/forced vital capacity ratios.
CONCLUSION: We determined that both desflurane and sevoflurane provide similar
intraoperative hemodynamic and early postoperative respiratory functions in
morbidly obese patients in laparoscopic sleeve gastrectomy. Both agents can be
regarded as alternatives for inhalation anesthetics in maintenance of anesthesia.
PMID- 27871571
TI - Spine tumor resection among patients who refuse blood product transfusion: a
retrospective case series.
AB - STUDY OBJECTIVE: To describe the perioperative blood conservation strategies and
postoperative outcomes in patients who undergo complex spinal surgery for tumor
resection and who also refuse blood product transfusion. DESIGN: A retrospective
case series. SETTING: A single-center, tertiary care and academic teaching
hospital in Canada. PATIENTS: All adult patients undergoing elective major spine
tumor resection and refusing blood product transfusion who were referred to our
institutional Blood Utilization Program between June 1, 2004, and May 9, 2014.
MEASUREMENTS: Data on the use of iron, erythropoietin, preoperative autologous
blood donation, acute normovolemic hemodilution, antifibrinolytic therapy, cell
salvage, intraoperative hypotension, and active warming techniques were
collected. Data on perioperative hemoglobin nadir, adverse outcomes, and hospital
length of stay were also collected. MAIN RESULTS: Four patients who refused blood
transfusion (self-identified as Jehovah's Witnesses) underwent non-emergent
complex spine surgery for recurrent chondrosarcoma, meningioma, metastatic
adenocarcinoma, and metastatic malignant melanoma. All patients received 1 or
more perioperative blood conservation strategy including preoperative iron and/or
erythropoietin, intraoperative antifibrinolytic therapy, and cell salvage. No
patients experienced severe perioperative anemia (average hemoglobin nadir, 124
g/L) or anemia-related postoperative complications. CONCLUSIONS: Patients who
decline blood product transfusion can successfully undergo major spine tumor
resection. Careful patient selection and timely referral for perioperative
optimization such that the risk of severe anemia is minimized are important for
success.
PMID- 27871573
TI - The preoperative use of field tests of exercise tolerance to predict
postoperative outcome in intra-abdominal surgery: a systematic review.
AB - STUDY OBJECTIVE: To assess the ability of field tests of exercise tolerance, such
as the 6-minute walk test (6MWT) and incremental shuttle walk test (ISWT), to
predict postoperative outcome following intra-abdominal surgery. DESIGN: A
systematic review. SETTING: A hospital-affiliated university. MEASUREMENTS: The
following databases were searched: AMED, CINAHL, EMBASE, PEDro, PubMed/MEDLINE,
and The Cochrane Library. Six full-text articles were included. Data extraction
included author, population demographics, surgery type, postoperative outcome
measure, and field test results. The risk of bias was performed using the Quality
in Prognosis Studies tool. RESULTS: Surgical procedures reviewed were colorectal
(n=3), upper gastrointestinal (n=1), and intra-abdominal surgery (n=2). Field
tests of exercise tolerance showed little ability to predict postoperative
mortality; however, the overall rate of mortality was low. Patients achieving
lower distances on the ISWT tended to have longer hospital stays and an increased
risk of overall complications. The 6MWT does not appear able to predict
postoperative cardiac or pulmonary complications; however, it may be suitable to
predict general complications. CONCLUSIONS: Field tests may be able to predict
postoperative outcome; however, further validation is needed. The ISWT appears to
be the superior field test. The 6MWT and stair climb test require further
validation to assess their predictive ability.
PMID- 27871574
TI - Cerebral oxygenation in the beach chair position for shoulder surgery in regional
anesthesia: impact on cerebral blood flow and neurobehavioral outcome.
AB - STUDY OBJECTIVE: Beach chair position is considered a potential risk factor for
central neurological events particularly if combined with low blood pressure. The
aim of this study was to assess the impact of regional anesthesia on cerebral
blood flow and neurobehavioral outcome. DESIGN: This is a prospective, assessor
blinded observational study evaluating patients in the beach chair position
undergoing shoulder surgery under regional anesthesia. SETTING: University
hospital operating room. PATIENTS: Forty patients with American Society of
Anesthesiologists classes I-II physical status scheduled for elective shoulder
surgery. INTERVENTIONS: Cerebral saturation and blood flow of the middle cerebral
artery were measured prior to anesthesia and continued after beach chair
positioning until discharge to the postanesthesia care unit. The anesthesiologist
was blinded for these values. Controlled hypotension with systolic blood
pressure<=100mm Hg was maintained during surgery. MEASUREMENTS: Neurobehavioral
tests and values of regional cerebral saturation, bispectral index, the mean
maximal blood flow of the middle cerebral artery, and invasive blood pressure
were measured prior to regional anesthesia, and measurements were repeated after
placement of the patient on the beach chair position and every 20 minutes
thereafter until discharge to postanesthesia care unit. The neurobehavioral tests
were repeated the day after surgery. MAIN RESULTS: The incidence of cerebral
desaturation events was 5%. All patients had a significant blood pressure drop 5
minutes after beach chair positioning, measured at the heart as well as the
acoustic meatus levels, when compared with baseline values (P<.05). There was no
decrease in either the regional cerebral saturation (P=.136) or the maximal blood
flow of the middle cerebral artery (P=.212) at the same time points. Some
neurocognitive tests showed an impairment 24 hours after surgery (P<.001 for 2 of
3 tests). CONCLUSIONS: Beach chair position in patients undergoing regional
anesthesia for shoulder surgery had no major impact on cerebral blood flow and
cerebral oxygenation. However, some impact on neurobehavioral outcome 24 hours
after surgery was observed.
PMID- 27871575
TI - Dose requirements of alfentanil to eliminate autonomic responses during rapid
sequence induction with thiopental 4 mg/kg and rocuronium 0.6 mg/kg.
AB - STUDY OBJECTIVE: Opioids are integral part of anesthesia induction, but
information on optimal dosing is limited. We aimed to determine doses of
alfentanil needed to eliminate increases in 5 autonomic response variables
(plasma concentrations of epinephrine, norepinephrine and vasopressin, arterial
blood pressure [ABP], and heart rate) during rapid-sequence induction of
anesthesia with thiopental 4 mg/kg and rocuronium 0.6 mg/kg. DESIGN: Prospective,
randomized, observer-blinded, interventional clinical study. SETTING: Large
academic institution. PATIENTS: Eighty-four healthy patients, aged 18 to 55
years, received 1 of 7 assessor-blinded doses of alfentanil (0, 10, 20, 30, 40,
50, and 60 MUg/kg) together with thiopental 4 mg/kg and rocuronium 0.6 mg/kg,
administered in rapid succession (15 seconds). Laryngoscopy was initiated 40
seconds after rocuronium, and tracheal intubation was concluded within 15 seconds
thereafter. MEASUREMENTS: An indwelling radial artery catheter was used for
hemodynamic monitoring and blood sampling. Relationships between alfentanil dose
and response variables were tested with linear regression, and the influence of
covariates (sex, body weight, and age) was determined. Alfentanil dose needed to
prevent increases in ABP >10% above baseline with 95% probability was estimated
with logistic regression. MAIN RESULTS: Significant relationships were determined
between alfentanil dose and response variables. Clinically interesting influence
of covariates was not found. Alfentanil 55 MUg/kg was needed to prevent increases
in ABP postintubation >10% above baseline with 95% probability. One individual
needed a bolus of vasopressor postintubation. CONCLUSIONS: Optimal control of
autonomic responses during rapid-sequence induction was achieved with clinically
relevant doses of alfentanil in healthy patients anesthetized with thiopental 4
mg/kg and rocuronium 0.6 mg/kg.
PMID- 27871576
TI - Influence of high-dose intraoperative remifentanil with intravenous ibuprofen on
postoperative morphine consumption in patients undergoing
pancreaticoduodenectomy: a randomized trial.
AB - STUDY OBJECTIVE: High-dose remifentanil during surgery paradoxically increases
postoperative pain intensity and morphine consumption. Cyclooxygenase inhibitors
decrease prostaglandin synthesis, thereby antagonizing N-methyl-d-aspartate
receptor activation, and may reduce hyperalgesia. This study was performed to
evaluate whether postoperative morphine consumption increased following
intraoperative continuous remifentanil infusion and whether this could be
prevented by intravenous ibuprofen pretreatment. DESIGN: A randomized controlled
study. SETTING: Single university hospital, study period from September 2014 to
March 2015. PATIENTS: One hundred and twenty patients undergoing
pancreaticoduodenectomy. INTERVENTIONS: After induction of anesthesia, patients
received remifentanil target-controlled infusion (effect site concentration of 4
ng/mL or 1 ng/mL) with or without intravenous ibuprofen (800 mg). MEASUREMENTS:
Postoperative cumulative total morphine consumption and pain intensity were
assessed. MAIN RESULTS: Intraoperative remifentanil use in patients receiving
high-dose remifentanil was more than 3-fold higher than that in patients
receiving low-dose remifentanil (2666.8 +/- 858.4 vs 872.0 +/- 233.3 MUg,
respectively; P< .001). However, cumulative total morphine consumption at
postoperative 1, 3, 6, 12, 24, and 48 hours did not differ among the groups.
There were no differences among the groups in the self-administered analgesic
dose by the patients using a controlled analgesia device, number of self
administration attempts, numerical rating scale for pain, or analgesic side
effects. CONCLUSIONS: We found no influence on postoperative pain after high-dose
remifentanil in patients undergoing pancreaticoduodenectomy. Addition of
intravenous ibuprofen did not reduce postoperative morphine consumption or pain
intensity.
PMID- 27871577
TI - Lack of electrical interference between spinal cord stimulators and other
implanted electrical pulse devices.
AB - Spinal cord stimulators (SCSs) have been used for decades to treat chronic pain.
SCSs are often used to treat patients with chronic back pain caused by failed
back surgery syndrome, patients with complex regional pain syndrome, or
individuals who are not candidates for surgery. SCSs are a relative
contraindication in patients with another electrical pulse device. We present 3
patients who received SCS despite having another implant in place. There was no
interaction between devices. The first case was a patient with failed back
surgery syndrome who had an implantable cardiac defibrillator and an SCS implant.
The second case was a nonsurgical candidate with a pacemaker who received an SCS
to help with lower back pain due to an occupational injury. The third case was a
patient with complex regional pain syndrome and a bladder stimulator with a
previous SCS who received a revision to help manage the pain. In all these
patients, pain scores significantly improved after the trial.
PMID- 27871578
TI - Children with heart disease: Risk stratification for non-cardiac surgery.
AB - STUDY OBJECTIVE: Children with congenital or acquired heart disease have an
increased risk of anesthesia related morbidity and mortality. The child's
anesthetic risk is related to the severity of their underlying cardiac disease,
associated comorbidities, and surgical procedure. The goal of this project was to
determine the ease of use of a preoperative risk stratification tool for
assigning pediatric cardiac staff and to determine the relative frequency that
children with low, moderate, and high risk cardiac disease present for non
cardiac surgery at a tertiary pediatric hospital. DESIGN: A risk-stratification
tool was prospectively applied to children with congenital heart disease who
presented for non-cardiac surgery. SETTING: Perioperative. PATIENTS: We
identified a subset of 100 children with congenital heart disease out of 2200
children who required general anesthesia for surgical or radiological procedures
over a 6 week period. INTERVENTIONS: A risk stratification tool was utilized to
place the patient into low, moderate, or high risk categories to help predict
anticipated anesthetic risk. Each grouping specified assignment of staff caring
for the patient, clarified preoperative expectations for cardiac assessment, and
determined if patient care could be performed at our freestanding ambulatory
surgical center. MEASUREMENTS: Electronic perioperative records were reviewed to
obtain demographic information, the underlying heart disease, prior cardiac
surgery, associated conditions, anesthetic management, complications, and
provider type. MAIN RESULTS: Approximately 4.5% of children presented with
cardiac disease over a 6 week period. In 100 consecutive children with cardiac
disease, 23 of the children were classified as low risk, 66 patients were
classified as moderate risk, and 11 of the patients were classified as high risk.
Pediatric cardiac anesthesiologists provided care to all high risk patients.
There were no serious adverse events. CONCLUSIONS: We found this risk
stratification method an effective method to differentiate children into low,
moderate, and high risk categories for anesthesia planning and management.
PMID- 27871579
TI - Undiagnosed pulmonary sequestration results in an unexplained hemorrhagic shock
in thoracoscopic pulmonary lobectomy.
AB - We report the first case of pulmonary sequestration which was not detected in the
preoperative evaluation, resulting in a life-threatening hemorrhagic shock
rapidly during the procedure of thoracoscopic pulmonary lobectomy. The
anesthesiologists could not figure out the reason for the hemorrhagic shock in
the surgery until an emergent laparotomy was performed. The aim of presenting
this clinical case is to highlight the vigilance for undiagnosed pulmonary
sequestration which lacks any specific clinical feature but has the potential to
become an anesthetic disaster.
PMID- 27871581
TI - Erratum to "Efficacy of palonosetron in postoperative nausea and vomiting (PONV)
a meta-analysis" [J Clin Anesth 2016:34(459-482)].
PMID- 27871580
TI - C1-esterase inhibitor for short-term prophylaxis in a patient with hereditary
angioedema with normal C1 inhibitor function.
AB - Hereditary angioedema with normal C1-esterase inhibitor (HAE-nC1INH)
perioperative is a rare condition which could have potential disastrous
ramifications for the anesthesiologist in the perioperative period. However,
there is limited evidence and/or guidelines on the optimal way to manage these
patients. We present the case of a patient with HAE-nC1INH who was successfully
managed in the perioperative period with plasma derived C1-esterase inhibitor
(pdC1INH). A 29-year-old woman with a diagnosis of HAE-nC1INH presented to the
preoperative consultation in preparation for an upcoming total thyroidectomy. She
had a 14-year history of ongoing lip and facial edema sometimes necessitating
emergency department visitation. Close consultation with her immunologist,
transfusion medicine specialists, and anesthesia care providers allowed for a
preoperative plan to provide the patient adequate prophylaxis. Both pdC1INH and
tranexamic acid were given preoperatively. The patient underwent surgery with no
complications. A multidisciplinary team of clinical immunologists, transfusion
medicine specialists, and anesthesiologists facilitated the successful
perioperative management of a patient with HAE-nC1INH; pdC1INH may a suitable
prophylactic perioperative therapy for this rare patient population.
PMID- 27871582
TI - Glucagon-induced hypertensive emergency: a case report.
AB - Glucagon is well acknowledged as a sphincter of Oddi relaxant for both diagnostic
and therapeutic uses in choledocholithiasis, and an empiric treatment for beta
blocker overdose. Although it has been implicated in inducing cardiovascular
crises in patients with asymptomatic pheochromocytoma, adverse effects in other
patient populations have not been characterized. This case report describes a
patient with hypertension controlled on beta blockers who, after glucagon
administration during an intraoperative cholangiography, experienced hypertensive
emergency despite adequate pain control. Nitroglycerin acted as a key agent to
decrease the patient's blood pressure as well as a secondary relaxant of the
sphincter of Oddi. The patient had no radiographic evidence of pheochromocytoma.
As out-of-operating room and intraoperative uses of glucagon continue to
increase, perioperative physicians should be aware of its potential hemodynamic
effects even in healthy populations.
PMID- 27871583
TI - Sugammadex given for rocuronium-induced neuromuscular blockade in infants: a
retrospective study.
AB - STUDY OBJECTIVE: To evaluate the efficacy and safety of sugammadex in reversing
profound neuromuscular block induced by rocuronium in infant patients. DESIGN:
Retrospective observational study. SETTING: University teaching hospital.
PATIENTS: Twenty-six infants (2-12 months of age; 3-11 kg) with an American
Society of Anesthesiologists classification I, II, or III who were scheduled to
undergo neurosurgical procedures were included in the study. INTERVENTIONS:
Anesthesia was induced with 5 mg/kg thiopental, 1 MUg/kg fentanyl and 0.6 mg/kg
rocuronium. Sevoflurane was administered to all patients after intubation.
METHODS: The neuromuscular block was monitored with acceleromyography using train
of-four (TOF) stimuli. Patients received additional doses of rocuronium to
maintain a deep block during surgery. If profound neuromuscular block (TOF, 0)
persisted at the end of the surgery, 3mg/kg sugammadex was administered.
MEASUREMENTS: The demographic data, surgeries, and anesthetic agents were
recorded. The time from sugammadex administration to recovery of neuromuscular
function (TOF ratio, >0.9) and complications during and after extubation were
also recorded. MAIN RESULTS: Twenty-six infants who had a deep neuromuscular
block (TOF, 0) at the end of surgery received 3 mg/kg sugammadex. The mean
recovery time of the T4/T1 ratio of 0.9 was 112 seconds. No clinical evidence of
recurarization or residual curarization was observed. CONCLUSIONS: The efficacy
and safety of sugammadex were confirmed in infant surgical patients for reversal
of deep neuromuscular block induced by rocuronium.
PMID- 27871584
TI - A comparison of 3 ventilation strategies in children younger than 1 year using a
Proseal laryngeal mask airway: a randomized controlled trial.
AB - STUDY OBJECTIVE: To determine quantitative differences in several routinely
measured ventilation parameters using a standardized anesthetic technique and 3
different ventilation modalities in patients younger than 1 year with a ProSeal
laryngeal mask airway (PLMA). DESIGN: Randomized prospective study. SETTING:
Tertiary care pediatric hospital. PATIENTS: Thirty-nine American Society
Anesthesiologists classifications 1 to 2, pediatric patients younger than 1 year.
INTERVENTIONS: Three different ventilation strategies (spontaneous ventilation
[SV], pressure support ventilation [PSV], and pressure-controlled ventilation
[PCV]) were randomly applied to patients who underwent a standardized mask
induction with sevoflurane/oxygen and propofol 2 mg/kg and fentanyl 2 MUg/kg
administered intravenously followed by PLMA insertion. Patients were maintained
on sevoflurane and N2O. MEASUREMENTS: We measured the differences in end-tidal
CO2 (etco2), tidal volume (TV), and respiratory rate (RR) over time between SV,
PSV, and PCV. These data were recorded at 5-minute intervals. MAIN RESULTS: etco2
(mm Hg) was not significantly higher in the SV vs PSV (P=2.11) and SV vs PCV
(P=.24). TV (mL/kg) was significantly lower in SV vs PSV (P<.005) and SV vs PCV
(P<.005). RR was not significantly higher in SV vs PSV (P=.43), but was
significantly higher in SV vs PCV (P<.005). Three patients in the SV group and 1
patient in the PSV group failed to initiate SV and required PCV and were thus
excluded from analysis. CONCLUSIONS: All 3 modes of ventilation using a PLMA were
safe in children younger than 1 year. Although we did not observe a statistically
significant increase in etco2, differences in TV and RR, and the small but
significant incidence of apnea may make PSV or PCV more optimal ventilation
strategies in children younger than 1 year when using a PLMA.
PMID- 27871586
TI - Comparative effectiveness of epsilon-aminocaproic acid and tranexamic acid on
postoperative bleeding following cardiac surgery during a national medication
shortage.
AB - STUDY OBJECTIVE: The aim of this study was to compare the effectiveness of
epsilon-aminocaproic acid (epsilonACA) and tranexamic acid (TXA) in contemporary
clinical practice during a national medication shortage. DESIGN: A retrospective
cohort study. SETTING: The study was performed in all consecutive cardiac surgery
patients (n=128) admitted to the cardiac-surgical intensive care unit after
surgery at a single academic center immediately before and during a national
medication shortage. MEASUREMENTS: Demographic, clinical, and outcomes data were
compared by descriptive statistics using chi2 and t test. Surgical drainage and
transfusions were compared by multivariate linear regression for patients
receiving epsilonACA before the shortage and TXA during the shortage. MAIN
RESULTS: In multivariate analysis, no statistical difference was found for
surgical drain output (OR 1.10, CI 0.97-1.26, P=.460) or red blood cell
transfusion requirement (OR 1.79, CI 0.79-2.73, P=.176). Patients receiving
epsilonACA were more likely to receive rescue hemostatic medications (OR 1.62, CI
1.02-2.55, P=.041). CONCLUSIONS: Substitution of epsilonACA with TXA during a
national medication shortage produced equivalent postoperative bleeding and red
cell transfusions, although patients receiving epsilonACA were more likely to
require supplemental hemostatic agents.
PMID- 27871585
TI - Optimal epidural analgesia for patients diagnosed as having gynecologic cancer
undergoing interstitial brachytherapy.
AB - STUDY OBJECTIVE: To determine the optimal epidural analgesia for patients
receiving interstitial brachytherapy (ISBT) for gynecologic cancers. DESIGN:
Retrospective analysis. SETTING: Operating room and hospital ward. PATIENTS:
Seventy-three patients diagnosed as having gynecologic cancer and undergoing
ISBT. INTERVENTIONS: Twelve patients received ropivacaine alone, 14 patients
received ropivacaine with fentanyl, and 45 patients received ropivacaine with
hydromorphone by epidural infusion. MEASUREMENTS: Numeric Rating Scale pain
scores, amounts of nonnarcotic and narcotic pain medications used in intravenous
morphine equivalents (IVMEs), and amount of antiemetic or antipruritic
medications used. MAIN RESULTS: Patients receiving ropivacaine alone had higher
pain scores the morning of day 2 (4.2 vs 1.71 vs 0.6, P=.001), the afternoon of
day 2 (4.9 vs 2.5 vs 1.7, P=.005), and the night of day 2 (2.4 vs 2.0 vs 0.6,
P<.001). Patients receiving opioids in their epidural had lower pain scores on
the night of placement (P=.050), the morning of day 2 (P<.001), the afternoon of
day 2 (P=.002), and the night of day 2 (P<.001). Patients receiving ropivacaine
alone used more oral narcotics than did those receiving ropivacaine with fentanyl
or ropivacaine with hydromorphone on day 3 (5.9 vs 3.8 vs 2.8mg IVME) and
received more intravenous opioids day 1 (5.8 vs 0.0 vs 0.7mg IVME, P=.004) and
day 2 (20.6 vs 4.8 vs 1.0mg IVME, P=.042). There were no differences in
antiemetic or diphenhydramine usage at any time point. No epidural complications
occurred. CONCLUSIONS: For patients receiving ISBT for gynecologic cancer,
epidural analgesia provides safe and effective pain control. Combined modality
epidural analgesia improves pain control and lessens oral and intravenous opioid
requirements without increased risk of adverse effects compared with epidural
analgesia with local anesthetic alone.
PMID- 27871587
TI - Peripheral nerve blocks in the management of postoperative pain: challenges and
opportunities.
AB - Peripheral nerve blocks (PNBs) are increasingly used as a component of multimodal
analgesia and may be administered as a single injection (sPNB) or continuous
infusion via a perineural catheter (cPNB). We undertook a qualitative review
focusing on sPNB and cPNB with regard to benefits, risks, and opportunities for
optimizing patient care. Meta-analyses of randomized controlled trials have shown
superior pain control and reductions in opioid consumption in patients receiving
PNB compared with those receiving intravenous opioids in a variety of upper and
lower extremity surgical procedures. cPNB has also been associated with a
reduction in time to discharge readiness compared with sPNB. Risks of PNB,
regardless of technique or block location, include vascular puncture and
bleeding, nerve damage, and local anesthetic systemic toxicity. Site-specific
complications include quadriceps weakness in patients receiving femoral nerve
block, and pleural puncture or neuraxial blockade in patients receiving
interscalene block. The major limitation of sPNB is the short (12-24 hours)
duration of action. cPNB may be complicated by catheter obstruction, migration,
and leakage of local anesthetic as well as accidental removal of catheters.
Potential infectious complications of catheters, although rare, include local
inflammation and infection. Other considerations for ambulatory cPNB include
appropriate patient selection, education, and need for 24/7 availability of a
health care provider to address any complications. The ideal PNB technique would
have a duration of action that is sufficiently long to address the most intense
period of postsurgical pain; should be associated with minimal risk of infection,
neurologic complications, bleeding, and local anesthetic systemic toxicity; and
should be easy to perform, convenient for patients, and easy to manage in the
postoperative period.
PMID- 27871588
TI - Novel resuscitation devices facilitate complete neurologic recovery after
prolonged cardiac arrest in postanesthesia care unit.
AB - Cardiac arrest in the perioperative period is associated with significant
morbidity and mortality. Novel resuscitation devices may afford patients improved
survival and limit neurologic injury. We report a case of cardiac arrest in the
postanesthesia care unit that required an extensive period of cardiopulmonary
resuscitation assisted by the ResQPOD impedance threshold device to optimize
coronary perfusion and a LUCAS chest compression system to maintain optimal
cardiopulmonary resuscitation while transporting the patient to the cardiac
catheterization laboratory. Furthermore, after stabilization for an occluded left
anterior descending artery with stent placement, an institutional hypothermia
protocol was initiated using Thermogard XP Temperature Management system for 24
hours.
PMID- 27871589
TI - Trainee experience and success of urgent airway management.
AB - BACKGROUND: There are limited data regarding emergent, non-operating room,
intubations performed by all levels of anesthesia residents. This study was a
large retrospective review of all non-operating room emergent intubations
performed at a single tertiary medical center. The study evaluated the rate of
difficult intubations by level of resident training, compared success rates for
direct versus video laryngoscopy and evaluated the rate and success of rescue
video laryngoscopy following failed direct laryngoscopy. METHODS: All emergent
non-operating room intubations at a tertiary university medical center from July
1, 2009, to August 1, 2012, were reviewed and all study data were collected from
the medical records. Intubations were classified as being initiated with either
direct or video methods. The total number of attempts required and the rate of
success were compared by resident year and intubation type. RESULTS: Out of a
total of 788 emergent intubations, 741 were performed by anesthesia residents.
The higher level anesthesia residents (CA-2 and CA-3) had a statistically
significant decrease in the number of attempts needed when compared to CA-1
residents. Rate of success did not vary by resident training year, but success
rates were higher for cases initiated with video laryngoscopy. Among direct
initiated cases, 8% failed initial direct laryngoscopy and were then successfully
intubated with rescue video laryngoscopy. CONCLUSIONS: During emergent, non
operating room intubations, senior level residents used fewer attempts at
intubations with direct laryngoscopy. Successful intubation was improved by
beginning residents when video laryngoscopy was utilized. Complications were not
affected by the presence of the attending anesthesiologist.
PMID- 27871590
TI - Does nitrous oxide labor analgesia influence the pattern of neuraxial analgesia
usage? An impact study at an academic medical center.
AB - STUDY OBJECTIVE: To compare the rate of epidural use before and after the
implementation of nitrous oxide (N2O). DESIGN: Data were obtained from a nursing
database of N2O usage and our obstetric anesthesia database. We compared 8 months
before and 8 months after the introduction of N2O. It was available 24 h/d, 7
d/wk, consistent with neuraxial analgesia availability. Epidural utilization
before and after introduction of N2O was compared using chi2 analysis. SETTING:
Labor and delivery floor. MAIN RESULTS: Total number of births over the study
period was 8539: 4315 pre-N2O and 4224 post-N2O. The rate of epidural usage was
77% pre-N2O and 74% after N2O (P= not significant, chi2). A total of 762 patients
used N2O. Monthly analysis showed no change in pattern of neuraxial analgesia use
in post-N2O period compared with the pre-N2O period. CONCLUSION: The introduction
of N2O for labor analgesia was not associated with any change in our rate of
labor epidural utilization. Under the conditions of our study, these results
suggest that N2O does not discourage neuraxial use for labor pain relief.
PMID- 27871591
TI - Continuous intra-articular local anesthetic drug instillation versus
discontinuous sciatic nerve block after total knee arthroplasty.
AB - STUDY OBJECTIVE: Sciatic nerve block (SNB) is commonly used as adjunct to
femoralis nerve block (FNB) to achieve high-quality pain relief after total knee
arthroplasty (TKA). However, this combination is associated with considerable
muscle weakness, foot drop and surgically related nerve injuries may be masked.
The purpose of this study was to assess whether low risk continuous intra
articular anesthetic drug instillation is an adequate alternative to SNB when
adding to FNB after TKA. DESIGN: Retrospective investigational follow-up study.
SETTING: University teaching hospital. Interdisciplinary postoperative anesthetic
and orthopedic survey. PATIENTS: For this investigational analysis, 34 of 50
consecutive patients were available. INTERVENTIONS: All patients underwent
primary unilateral TKA. Group A (18 patients) received a continuous intra
articular 0.33% ropivacaine (5 mL/h) instillation for the first 48 h
postoperatively. In Group B (16 patients) a discontinuous SNB was used. Both
groups were treated with a continuous FNB. MEASUREMENTS: Main endpoints were mean
and maximum postoperative pain intensity levels for both anterior and posterior
knee side, amount of postoperative administered opioid drugs, differences in
functional outcome or hospital stay and rate of postoperative complications. MAIN
RESULTS: Group A showed higher pain intensity levels for the posterior knee side
(P<=.042). Merely on the second postoperative day there were no differences
within either study group. No differences were found regarding anterior knee
pain. Group A showed a significant higher postoperative piritramid consumption
(P<=.007). Length of hospital stay or postoperative functional outcome was not
significant different. Postoperative complications were not related to anesthesia
techniques. CONCLUSIONS: SNB technique resulted in superior pain relief in
comparison to continuous intra-articular local anesthetic drug instillation as
adjunct to continuous FNB after TKA.
PMID- 27871593
TI - Atropine and glycopyrrolate do not support bacterial growth-safety and economic
considerations.
AB - STUDY OBJECTIVE: Evaluation of bacterial growth in atropine and glycopyrrolate.
DESIGN: Laboratory investigation. SUBJECTS AND MEASUREMENTS: Standard
microbiological methods were used to evaluate the impact of atropine and
glycopyrrolate on the growth of Acinetobacter baumannii, Pseudomonas aeruginosa,
Staphylococcus aureus, and Escherichia coli. Bacterial count was checked at 0, 1,
2, 3, 4, 6, and 24 hours. MAIN RESULTS: Atropine or glycopyrrolate did not
support the growth of the above bacteria at any examined time at room
temperature. Glycopyrrolate killed all of the examined strains (P < .05), whereas
in atropine, only the clinical isolates of Staphylococcus and Acinetobacter were
killed (P < .05). CONCLUSIONS: Drawing up atropine or glycopyrrolate at the
beginning of the operating list and use within 24 hours if needed are a safe
practice and do not pose infection hazard. We can also reduce hospital costs if
we do not throw away these unused syringes following each case.
PMID- 27871592
TI - Stability of suxamethonium in pharmaceutical solution for injection by validated
stability-indicating chromatographic method.
AB - STUDY OBJECTIVE: To assess the stability of pharmaceutical suxamethonium
(succinylcholine) solution for injection by validated stability-indicating
chromatographic method in vials stored at room temperature. METHODS: The
chromatographic assay was achieved by using a detector wavelength set at 218 nm,
a C18 column, and an isocratic mobile phase (100% of water) at a flow rate of 0.6
mL/min for 5 minutes. The method was validated according to the International
Conference on Harmonization guidelines with respect to the stability-indicating
capacity of the method including linearity, limits of detection and quantitation,
precision, accuracy, system suitability, robustness, and forced degradations.
RESULTS: Linearity was achieved in the concentration range of 5 to 40 mg/mL with
a correlation coefficient higher than 0.999. The limits of detection and
quantification were 0.8 and 0.9 mg/mL, respectively. The percentage relative
standard deviation for intraday (1.3-1.7) and interday (0.1-2.0) precision was
found to be less than 2.1%. Accuracy was assessed by the recovery test of
suxamethonium from solution for injection (99.5%-101.2%). CONCLUSION: Storage of
suxamethonium solution for injection vials at ambient temperature (22 degrees C
26 degrees C) for 17 days demonstrated that at least 95% of original
suxamethonium concentration remained stable.
PMID- 27871594
TI - Obstetric team simulation program challenges.
AB - OBJECTIVE: To describe the challenges associated with the development and
assessment of an obstetric emergency team simulation program. DESIGN: The goal
was to develop a hybrid, in-situ and high fidelity obstetric emergency team
simulation program that incorporated weekly simulation sessions on the labor and
delivery unit, and quarterly, education protected sessions in the simulation
center. All simulation sessions were video-recorded and reviewed. SETTING: Labor
and delivery unit and simulation center. PARTICIPANTS: Medical staff covering
labor and delivery, anesthesiology and obstetric residents and obstetric nurses.
MEASUREMENTS: Assessments included an on-line knowledge multiple-choice
questionnaire about the simulation scenarios. This was completed prior to the
initial in-situ simulation session and repeated 3 months later, the Clinical
Teamwork Scale with inter-rater reliability, participant confidence surveys and
subjective participant satisfaction. A web-based curriculum comprising modules on
communication skills, team challenges, and team obstetric emergency scenarios was
also developed. MAIN RESULTS: Over 4 months, only 6 labor and delivery unit in
situ sessions out of a possible 14 sessions were carried out. Four high-fidelity
sessions were performed in 2 quarterly education protected meetings in the
simulation center. Information technology difficulties led to the completion of
only 18 pre/post web-based multiple-choice questionnaires. These test results
showed no significant improvement in raw score performance from pre-test to post
test (P=.27). During Clinical Teamwork Scale live and video assessment, trained
raters and program faculty were in agreement only 31% and 28% of the time,
respectively (Kendall's W=.31, P<.001 and W=.28, P<.001). Participant confidence
surveys overall revealed confidence significantly increased (P<.05), from pre
scenario briefing to after post-scenario debriefing. CONCLUSION: Program feedback
indicates a high level of participant satisfaction and improved confidence yet
further program refinement is required.
PMID- 27871595
TI - A comparison of King Vision video laryngoscopy and direct laryngoscopy as
performed by residents: a randomized controlled trial.
AB - STUDY OBJECTIVE: For more than 40 years, direct laryngoscopy (DL) has been used
to assure the airway during endotracheal intubation. The King Vision video
laryngoscope is one of the latest devices introduced for endotracheal intubation.
We hypothesize that, relative to direct laryngoscopy, it improves the intubation
success rate with fewer intubation attempts and no difference in intubation time
or complications. DESIGN: This randomized controlled clinical trial included.
SETTING: The operating room and postanesthesia care unit of an academic hospital.
PATIENTS: Eighty-eight patients with American Society of Anesthesiologists status
I to II and aged >=18 years who were scheduled for elective surgery under general
anesthesia and had no predictors of difficult airway. Patients were randomized
(44 per group) to undergo intubation using either DL or King Vision video
laryngoscopy (KVVL) performed by first year residents in anesthesia and intensive
care. MEASUREMENTS: During endotracheal intubation by residents, measurements
were success rate, number of attempts, time to intubation, visualization of the
glottis, and presence of complications. MAIN RESULTS: Both groups had a 100%
success rate. A greater frequency of grade 1 laryngoscopy was reported with KVVL
(86.4%) relative to DL (59.1%) (P < .05). There were no differences in time to
intubation or the number of attempts between the groups (P = .75 and P = .91,
respectively). Complications after intubation were low and included oral trauma,
esophageal intubation, and sore throat. CONCLUSIONS: The use of KVVL by residents
with less than 1 year of training (considered nonexperts) significantly improves
visualization of the glottis in patients without predictors of difficult airway.
The incidence of complications was too low to draw conclusions.
PMID- 27871596
TI - Confirmation of optimal guidewire length for central venous catheter placement
using transesophageal echocardiography.
AB - STUDY OBJECTIVE: Several authors have reported rare, but severe, complications
associated with the length of the intravascular guidewire during central venous
catheter placement, as the wire tip can cause cardiac arrhythmia or perforation
or become trapped within the vessel. Although one report investigated the optimal
guidewire length using fluoroscopy, few reports have precisely measured guidewire
position using transesophageal echocardiography (TEE). Here, we investigated the
appropriate intravascular length of a guidewire for right internal jugular vein
approach using TEE during cardiac surgery. DESIGN: A prospective observational
study. SETTING: Operating room. PATIENTS: Fifty-two patients undergoing elective
cardiac surgery. MEASUREMENTS: The intravascular guidewire distance from the
insertion site to the superior vena cava-right atrium (SVC-RA) junction was
measured by TEE. Demographic factors (height, weight, age, etc) were recorded.
RESULTS: The mean distance from the access site to the SVC-RA junction was 17.8+/
1.3 cm (maximum/minimum =20.0/15.0 cm). There was a greater correlation with
height than with weight or age. CONCLUSION: We confirmed the wire tips at all
cases by ultrasonography. The distance using TEE was similar to that by
fluoroscopy, but TEE was more precise. Guidewire length was weakly correlated to
height. About 15 cm as minimum length should be considered the limit for
guidewire length in an adult, in consideration of height, to ensure patient
safety during central catheter placement for right internal jugular vein
approach.
PMID- 27871597
TI - Variability in anesthesiologists' approach to the preoperative management of
asthmatic children.
AB - OBJECTIVE: No consensus guidelines exist for the preoperative treatment of
asthmatic children referred for elective surgery. We investigated the attitude of
pediatric anesthesiologists to this issue. DESIGN: A questionnaire survey was
conducted. SETTING: National survey. SUBJECTS: Certified Israeli pediatric
anesthesiologists from all 24 general hospitals in Israel. MEASUREMENT: Twenty
one questions regarding the approach to preoperative management of asthmatic
children including 6 case scenarios with a variety of clinical situations and
treatments of asthmatic children. The results were compared with the attitude of
pediatric pulmonologists recently published using a similar methodology. MAIN
RESULTS: Forty-four pediatric anesthesiologists from all 24 general hospitals in
Israel responded. Twenty-five percent of pediatric anesthesiologists answered
that, in addition to pediatric anesthesiologists, the primary pediatrician should
be consulted, and 70% believed that a pediatric pulmonologists should also be
consulted. Overall, results showed a wide variability between responders
especially for preschool children and unstable school-aged asthmatic children for
both disciplines. The variability referred to the use of any treatment,
bronchodilators, inhaled corticosteroids and their combination, addition of
systemic corticosteroids, and the length of preoperative treatment. Compared with
pediatric pulmonologists, a better within-discipline agreement was observed by
the pediatric anesthesiologists for stable school-aged asthmatic children with a
lower inclination to augment preoperative treatment (P< .001). No difference was
observed for the preschool children with asthma and for the unstable school-aged
asthmatic child. CONCLUSIONS: A wide variability exists in pediatric
anesthesiologists' approach to the preoperative management of asthmatic children
for most common case scenarios. This is probably explained by the heterogeneity
of asthma, the type of surgery, the lack of guidelines, and the paucity of data.
Similarities as well as differences exist between pediatric anesthesiologists and
pulmonologists. Further studies and implementation of consensus guidelines are
needed.
PMID- 27871599
TI - Acute, unanticipated, and prolonged superior vena cava occlusion during
pneumonectomy.
AB - Acute, unanticipated superior vena cava (SVC) occlusion during thoracic surgery
can have profound hemodynamic consequences and lead to devastating neurologic
injury. We describe the successful anesthetic management of a pneumonectomy
complicated by prolonged intraoperative SVC occlusion lasting a total of 290
minutes. To our knowledge, this represents the longest reported SVC occlusion
time with no subsequent neurologic sequelae. Based on our favorable outcome and a
review of the relevant literature, we offer a discussion of strategies for
anesthetic management.
PMID- 27871598
TI - Effect of endovenous lidocaine on analgesia and serum cytokines: double-blinded
and randomized trial.
AB - STUDY OBJECTIVE: This trial aimed to compare postoperative analgesia, opioid
consumption, duration of ileus and hospital stay, and cytokine levels in patients
undergoing laparoscopic cholecystectomies who received intravenous lidocaine in
comparison with a control group. DESIGN: Prospective, longitudinal, double-blind,
and randomized study. SETTING: Operating room and postoperative recovery area.
PATIENTS: Forty-four American Society of Anesthesiologists I and II patients
older than 17 years, undergoing laparoscopic cholecystectomy, under general
anesthesia. INTERVENTIONS: The first group received intravenous lidocaine during
the procedure until 1 hour postoperatively, whereas the second group received
saline. Both groups received dipyrone and morphine patient-controlled analgesia.
MEASUREMENTS: Pain was assessed by Visual Numeric Scale at rest and when coughing
at different times after the end of the surgery. Blood samples were taken at the
end of procedure and 24 hours later. The total morphine patient-controlled
analgesia demand, the time for the first flatus, and the length of hospital stay
were also recorded. MAIN RESULTS: Groups were similar in relation to sex (P= .2),
age (P= .5), weight (P= .08), and length of surgery (P= .6). No differences were
observed regarding the intensity of postoperative pain between the groups, either
at rest (P= .76) or when coughing (P= .31), in morphine consumption (P= .9), and
in the duration of ileus (P= .5) or length of hospital stay (P= .9). The
inflammatory markers interleukin (IL)-1 (P= .02), IL-6 (P< .01), interferon-gamma
(P< .01), and tumor necrosis factor alpha (P< .01) showed significant reduction
in the lidocaine group against the placebo group, except IL-10 (P= .01), that,
because of its anti-inflammatory effects, increased its concentration.
CONCLUSIONS: Intravenous lidocaine was not able to reduce postoperative pain,
opioid consumption, and duration of ileus or length of hospital stay. However,
its anti-inflammatory effect was noticeable.
PMID- 27871600
TI - Comparison of a new visual stylet (Discopo)-guided laryngeal mask airway
placement vs conventional blind technique: a prospective randomized study.
AB - STUDY OBJECTIVE: To compare the ease of laryngeal mask airway (LMA) insertion and
fiberoptic view of LMA after placement using the Discopo visual stylet-guided
insertion and conventional blind technique. DESIGN: Prospective, randomized
controlled study. SETTING: Operating room in a university hospital. PATIENTS: One
hundred adult patients scheduled for elective surgery under LMA general
anesthesia were enrolled. INTERVENTIONS: Patients were randomly allocated to 2
groups: GLMA group using a visual stylet-guided technique (n=50) and BLMA group
using standard blind technique (n=50). Correct placement of the LMA was confirmed
using clinical test along with fiberoptic assessment. MEASUREMENTS: Unblinded
data were collected about the insertion time, the first attempt success rate, the
LMA position adjustment rate, fiberoptic view of LMA anatomical position,
hemodynamic responses, and the adverse insertion responses (bucking,
breathholding, and laryngospasm). Blinded data were recorded about postoperative
airway morbidity (visible blood staining on LMA at removal, sore throat, and
hoarseness). MAIN RESULTS: Insertion was more frequently successful at the first
attempt in GLMA than that in BLMA group (100% vs 92%; P=.041). The time taken for
establishing effective airway was shorter in GLMA than that in BLMA (54.8 vs 62.9
seconds; P=.001). The patients in BLMA group required more readjustment and
reinsertion than those in GLMA group (38% vs 0%; P=.000). The fiberoptic view was
significantly better in GLMA group (P<.001). No difference between the 2 groups
existed regarding hemodynamic stress responses, incidences of adverse insertion
responses, and postoperative airway morbidity. CONCLUSIONS: By direct visualizing
the whole process of LMA insertion, the Discopo visual stylet increases the
success rate and accuracy rate of LMA placement without increasing hemodynamic
stress response or incidences of adverse events.
PMID- 27871601
TI - Effects of low-dose propofol vs ketamine on emergence cough in children
undergoing flexible bronchoscopy with sevoflurane-remifentanil anesthesia: a
randomized, double-blind, placebo-controlled trial.
AB - STUDY OBJECTIVE: To determine the effects of low-dose ketamine and propofol on
cough during emergence and the recovery period when administered at emergence in
children undergoing fiberoptic bronchoscopy for bronchoalveolar lavage (FOBL)
with sevoflurane-remifentanil anesthesia. DESIGN: Randomized, double-blind,
placebo-controlled trial. SETTING: Operating room, postoperative recovery area.
PATIENTS: Sixty-eight children aged 1 to 8 years old undergoing elective
diagnostic FOBL. INTERVENTIONS: After discontinuation of anesthetics at the end
of FOBL, patients were randomly divided into 3 groups: in group K, children were
administered 0.5 mg/kg of ketamine; in group P, 0.5 mg/kg of propofol; and in
group C, 0.1 mL/kg of normal saline. MEASUREMENTS: Anesthesia time, procedure
time, emergence time, and recovery time were recorded. Coughing and delirium
scores were recorded as the patient fully emerged from anesthesia (time 0) and 5,
10, 15, and 20 minutes later. MAIN RESULTS: The percentage of children with
moderate or severe cough during emergence was similar in all groups. Mean
delirium scores at emergence (T0) were significantly lower in group K than those
in group P and in group C (P = .0001 and P = .02). Mean delirium score at 5
minutes in group K (6 [5-10]) was significantly lower than that of group C (P =
.02) and similar to that of group P. The recovery time of group K was
significantly longer than that of group C and group P (P = .01 and P = .03,
respectively). CONCLUSIONS: Ketamine or propofol given at the end of sevoflurane
remifentanil general anesthesia in children undergoing FOBL did not decrease
cough more than normal saline during the emergence period. Ketamine and propofol,
compared to normal saline, had a beneficial effect on decreasing the incidence of
emergence delirium. Ketamine lengthened recovery time.
PMID- 27871602
TI - Hepatotoxicity due to red bush tea consumption: a case report.
AB - Many conventional drugs used today, including isoniazid, dapsone, and
acetaminophen, are well recognized culprits of hepatotoxicity. With increasing
use of complementary and alternative medical therapies, several herbal medicines,
such as Ma-Huang, kava, and chaparral leaf, have been implicated as hepatotoxins.
Hepatotoxicity may be the most frequent adverse reaction to these herbal remedies
when taken in excessive quantities. A myriad of liver dysfunctions may occur
including transient liver enzyme abnormalities due to acute and chronic
hepatitis. These herbal products are often overlooked as the causal etiologic
agent during the evaluation of a patient with elevated liver function tests. We
describe a case of hepatotoxicity due to ingestion of red bush tea diagnosed
during preoperative assessment of a patient scheduled for laparoscopic
appendectomy. Elevated liver enzymes and thrombocytopenia detected in the
patient's laboratory work up confounded the initial diagnosis of acute
appendicitis and additional investigations were required to rule out
cholecystitis and other causes of hepatitis. Open appendectomy was done
uneventfully under spinal anesthesia without any further deterioration of hepatic
function.
PMID- 27871604
TI - Enzyme spheres as novel tracing tags coupled with target-induced DNAzyme assembly
for ultrasensitive electrochemical microRNA assay.
AB - In this work, an ultrasensitive electrochemical microRNA detection strategy was
developed based on porous palladium-modified horseradish peroxidase sphere
(Pd@HRP) and target-induced assembly of DNAzyme. A highly loaded HRP sphere was
prepared by covalent layer-by-layer assembly with CaCO3 as sacrificial template
for the first time, and was further modified with porous Pd. Notably, Pd@HRP
composite showed a good redox activity of HRP and electrocatalytic activity
toward H2O2. The utilization of Pd@HRP as electrochemical signal indicator and
enhancer to fabricate biosensor could avoid the need for additional redox
mediator and amplify the detection sensitivity. Moreover, target recycling
amplification was achieved by Pb2+-induced cleavage of ternary "Y" structure,
circumventing the use of labile nuclease. Subsequent DNA concatamer synthesized
through rolling circle amplification (RCA) reaction with cleaved hairpin probe as
primer, hybridized with plentiful Pd@HRP-DNA probes, which led to the increased
loading of redox-active and electrocatalytic Pd@HRP for sensitivity improvement.
So the proposed electrochemical biosensor detected miRNA-24 down to 0.2 fM (S/N =
3) with a wide linear range from 3 fM to 1 nM. With bifunctional Pd@HRP tag,
DNAzyme-aided target recycle and programmable junction probe, this strategy
possessed the advantages of high efficiency, high sensitivity, low cost and
versatility, and thus held great promise for other low-abundance nucleic acids
determination.
PMID- 27871603
TI - Prophylactic phenylephrine infusion for the prevention of hypotension after
spinal anesthesia in the elderly: a randomized controlled clinical trial.
AB - STUDY OBJECTIVE: Hypotension frequently occurs during spinal anesthesia (SA),
especially in the elderly. Phenylephrine is effective to prevent SA-induced
hypotension during cesarean delivery. The objective of this study was to evaluate
the efficacy and safety of prophylactic infusion of phenylephrine after SA for
orthopedic surgery in the elderly. DESIGN: This prospective, randomized, double
blind, and placebo-controlled study included 54 patients older than 60 years
undergoing elective lower limb surgery under SA (injection of 10 mg of isobaric
bupivacaine with 5 MUg of sufentanyl). INTERVENTION: Patients were randomized to
group P (100-MUg/mL solution of phenylephrine solution at 1 mL/min after
placement of SA) or the control group C (0.9% isotonic sodium chloride solution).
The flow of the infusion was stopped if the mean arterial blood pressure (MAP)
was higher than the baseline MAP and maintained or restarted at 1 mL/min if MAP
was equal to or lower than the baseline MAP. Heart rate and MAP were collected
throughout the case. MEASUREMENTS: Hypotension was defined by a 20% decrease and
hypertension as a 20% increase from baseline MAP. Bradycardia was defined as a
heart rate lower than 50 beats per minute. MAIN RESULTS: Twenty-eight patients
were randomized to group P and 26 patients to group C. MAP was higher in group P
than in group C (92 +/- 2 vs 82 +/- 2 mm Hg, mean +/- SD, P< .001). The number of
hypotensive episodes per patient was higher in group C compared with group P (9
[0-39] vs 1 [0-10], median [extremes], P< .01), but the number of hypotensive
patients was similar between groups (19 [73%] vs 20 [71%], P= 1). The time to
onset of the first hypotension was shorter in group C (3 [1-13] vs 15 [1-95]
minutes, P= .004). The proportion of patients without hypotension (cumulative
survival) was better in group P (P= .04). The number of hypertensive episodes per
patient and the number of bradycardic episodes per patient were similar between
groups (P= not significant). CONCLUSION: Prophylactic phenylephrine infusion is
an effective method of reducing SA-induced hypotension in the elderly. Compared
with a control group, it delays the time to onset of hypotension and decreases
the number of hypotensive episodes per patient. More data are needed to evaluate
clinical outcomes of such a strategy.
PMID- 27871605
TI - Neutral hydrophilic coatings for capillary electrophoresis prepared by controlled
radical polymerization.
AB - In the present study, porous silica particles as well as impervious fused-silica
wafers and capillary tubes were modified with hydrophilic polymers (hydroxylated
polyacrylamides and polyacrylates), using a surface-confined grafting procedure
based on atom transfer radical polymerization (ATRP) which was also surface
initiated from alpha-bromoisobutyryl groups. Initiator immobilization was
achieved by hydrosilylation of allyl alcohol on hydride silica followed by
esterification of the resulting propanol-bonded surface with alpha
bromoisobutyryl bromide. Elemental analysis, IR and NMR spectroscopies on silica
micro-particles, atomic force microscopy, ellipsometry and profilometry on fused
silica wafers, as well as CE on fused-silica tubes were used to characterize the
chemically modified silica substrate at different stages. We studied the effect
of monomer concentration as well as cross-linker on the ability of the polymer
film to reduce electroosmosis and to prevent protein adsorption (i. e., its non
fouling capabilities) and found that the former was rather insensitive to both
parameters. Surface deactivation towards adsorption was somewhat more susceptible
to monomer concentration and appeared also to be favored by a low concentration
of the cross-linker. The results show that hydrophilic polyacrylamide and
polyacrylate coatings of controlled thickness can be prepared by ATRP under very
mild polymerization conditions (aqueous solvent, room temperature and short
reaction times) and that the coated capillary tubes exhibit high efficiencies for
protein separations (0.3-0.6 million theoretical plates per meter) as well as
long-term hydrolytic stability under the inherently harsh conditions of capillary
isoelectric focusing. Additionally, there was no adsorption of lysozyme on the
coated surface as indicated by a complete recovery of the basic enzyme.
Furthermore, since polymerization is confined to the inner capillary surface,
simple precautions (e.g., solution filtration) during the surface modification
process are sufficient to prevent capillary clogging.
PMID- 27871606
TI - A novel algorithm for spectral interval combination optimization.
AB - In this study, a new wavelength interval selection algorithm named as interval
combination optimization (ICO) was proposed under the framework of model
population analysis (MPA). In this method, the full spectra are divided into a
fixed number of equal-width intervals firstly. Then the optimal interval
combination is searched iteratively under the guide of MPA in a soft shrinkage
manner, among which weighted bootstrap sampling (WBS) is employed as random
sampling method. Finally, local search is conducted to optimize the widths of
selected intervals. Three NIR datasets were used to validate the performance of
ICO algorithm. Results show that ICO can select fewer wavelengths with better
prediction performance when compared with other four wavelength selection
methods, including VISSA, VISSA-iPLS, iVISSA and GA-iPLS. In addition, the
computational intensity of ICO is also economical, benefit from fewer tune
parameters and faster convergence speed.
PMID- 27871608
TI - Three-step drug extraction from a single sub-millimeter segment of hair and nail
to determine the exact day of drug intake.
AB - Hair and nails are often used to prove drug intake over several months. However,
it is impossible to determine the day of drug intake by conventional segmental
analysis of bulk samples. To improve this segmental analysis, we prepared
accurate 0.4-mm hair and 0.2-mm nail segments, which correspond to their
respective growth rates of 1-2 days, using a tissue slicer. The aim of this study
was to develop an efficient method to extract drugs from a single sub-millimeter
segment of hair and nail. Hair and nails were collected from a subject who was
administered a single dose of chlorpheniramine. Four drug extraction methods
based on different principles such as sonication, microwaves, micropulverization,
and alkaline dissolution were compared. Short-duration sonication followed by
long-duration soaking served the aim. Drug extraction from a sub-millimeter
segment was performed in three steps as follows: a segment was first washed,
followed by sonication for 10 min soaking in the extraction solution for 24 h.
The drug concentrations in the three extracts from each segment were quantified
using high performance liquid chromatography-tandem mass spectrometry. Each
concentration was displayed on a single hair strand and a single nail block so
that the first, second, and third extracts corresponded to components on the
surface, in the outer layer, and within the sample, respectively. The
distribution of chlorpheniramine in a hair successfully reflected the intake
history. This method can be used in the future to measure the detailed
distribution of drugs in a single hair and nail.
PMID- 27871607
TI - Highly sensitive determination of atropine using cobalt oxide nanostructures:
Influence of functional groups on the signal sensitivity.
AB - This study describes sensitive determination of atropine using glassy carbon
electrodes (GCE) modified with Co3O4 nanostructures. The as-synthesised
nanostructures were grown using cysteine (CYS), glutathione (GSH) and histidine
(HYS) as effective templates under hydrothermal action. The obtained morphologies
revealed interesting structural features, including both cavity-based and flower
shaped structures. The as-synthesised morphologies were noted to actively
participate in electro-catalysis of atropine (AT) drug where GSH-assisted
structures exhibited the best signal response in terms of current density and
over-potential value. The study also discusses the influence of functional groups
on the signal sensitivity of atropine electro-oxidation. The functionalisation
was carried with the amino acids originally used as effective templates for the
growth of Co3O4 nanostructures. The highest increment was obtained when GSH was
used as the surface functionalising agent. The GSH-functionalised Co3O4-modified
electrode was utilised for the electro-chemical sensing of AT in a concentration
range of 0.01-0.46 MUM. The developed sensor exhibited excellent working
linearity (R2 = 0.999) and signal sensitivity up to 0.001 MUM of AT. The noted
high sensitivity of the sensor is associated with the synergy of superb surface
architectures and favourable interaction facilitating the electron transfer
kinetics for the electro-catalytic oxidation of AT. Significantly, the developed
sensor demonstrated excellent working capability when used for AT detection in
human urine samples with strong anti-interference potential against common co
existing species, such as glucose, fructose, cysteine, uric acid, dopamine and
ascorbic acid.
PMID- 27871609
TI - Doping of three-dimensional porous carbon nanotube-graphene-ionic liquid
composite into polyaniline for the headspace solid-phase microextraction and gas
chromatography determination of alcohols.
AB - In this work, ionic liquid (IL, i.e. 1-hydroxyethyl-3-methylimidazolium
tetrafluoroborate), carboxyl multiwall carbon nanotubes (MWCNTs) and reduced
graphene oxide (rGO) were used to prepare three-dimensional porous material
(MWCNTs-rGO-IL) by one-step self-assembly, then it was co-electrodeposited with
polyaniline (PANI) on stainless steel wires by cyclic voltammetry. The resulting
coating (PANI-MWCNTs-rGO-IL) was characterized by using FT-IR and scanning
electron microscopy etc, and it showed porous structure and had high thermal
stability. Furthermore, it was found to be very suitable for the headspace solid
phase microextraction of alcohols (i.e. octanol, nonanol, geraniol, decanol,
undecanol and dodecanol). By coupling with gas chromatography, wide linear ranges
and low limits of detection (i.e. 2.2-28.3 ng L-1) were obtained for the
alcohols. The coating also presented good repeatability and reproducibility; the
relative standard deviations for intra-fiber and fiber-to-fiber were less than
5.6% (n = 5) and 7.0% (n = 5) respectively. In addition, the proposed method was
successfully applied to the determination of alcohols in tea drinks, and the
recoveries for standards added were 85.6-114%.
PMID- 27871610
TI - Solid-phase extraction-stepwise elution (SPE-SE) procedure for isolation of
dissolved organic matter prior to ESI-FT-ICR-MS analysis.
AB - Characterization of dissolved organic matter (DOM) at the molecular level will
greatly improve our understanding of its bio-geochemical role in controlling the
fate of contaminants in the environment, and Fourier transform ion cyclotron
resonance mass spectrometry (FT-ICR-MS) is the most powerful analytical technique
for this purpose. Before FT-ICR-MS analysis, isolation, desalination and
concentration of DOM are necessary, and solid-phase extraction (SPE) is the most
widely applied pretreatment procedure. However, some molecular information is
lost using conventional SPE methods. Here, we propose a novel strategy of SPE
enrichment using stepwise elution (SPE-SE). Compounds in DOM were divided into
three fractions by this SPE-SE procedure according to their polarity and
ionization efficiency. The diversity of DOM molecules identified by ESI-FT-ICR-MS
using SPE-SE exceeded those using conventional SPE methods by more than 50%. This
method is feasible and has the potential to be used as a pretreatment strategy
for complex DOM matrixes prior to ESI-FT-ICR-MS analysis, especially for those
rich in nitrogenous molecules, carbohydrates, lipids and/or aromatic compounds.
PMID- 27871611
TI - Toward a suitable structural analysis of gene delivery carrier based on
polycationic carbohydrates by electron transfer dissociation tandem mass
spectrometry.
AB - Polycationic carbohydrates represent an attractive class of biomolecules for
several applications and particularly as non viral gene delivery vectors. In this
case, the establishment of structure-biological activity relationship requires
sensitive and accurate characterization tools to both control and achieve fine
structural deciphering. Electrospray-tandem mass spectrometry (ESI-MS/MS) appears
as a suitable approach to address these questions. In the study herein, we have
investigated the usefulness of electron transfer dissociation (ETD) to get
structural data about five polycationic carbohydrates demonstrated as promising
gene delivery agents. A particular attention was paid to determine the influence
of charge states as well as both fluoranthene reaction time and supplementary
activation (SA) on production of charge reduced species, fragmentation yield,
varying from 2 to 62%, as well as to obtain the most higher both diversity and
intensity of fragments, according to charge states and targeted compounds. ETD
fragmentation appeared to be mainly directed toward pending group rather than
carbohydrate cyclic scaffold leading to a partial sequencing for building blocks
when amino groups are close to carbohydrate core, but allowing to complete
structural deciphering of some of them, such as those including
dithioureidocysteaminyl group which was not possible with CID only. Such findings
clearly highlight the potential to help the rational choice of the suitable
analytical conditions, according to the nature of the gene delivery molecules
exhibiting polycationic features. Moreover, our ETD-MS/MS approach open the way
to a fine sequencing/identification of grafted groups carried on various sets of
oligo-/polysaccharides in various fields such as glycobiology or nanomaterials,
even with unknown or questionable extraction, synthesis or modification steps.
PMID- 27871612
TI - An ultra-sensitive and colorimetric sensor for copper and iron based on
glutathione-functionalized gold nanoclusters.
AB - Here, we report an ultra-sensitive and colorimetric sensor for the detection of
Fe3+ or Cu2+ successively using glutathione-functionalized Au nanoclusters (GSH
AuNCs). For GSH-AuNCs can catalytically oxidize peroxidase substrates, such as 3,
3', 5, 5'-tetramethylbenzidine (TMB), colored products are formed in the presence
of H2O2. While upon the addition of Fe3+ or Cu2+ into the GSH-AuNCs-TMB-H2O2
system, diverse color and absorbance of the system was obtained due to the self
oxidation of Fe3+ and the inhibition of peroxidase-like activity of GSH-AuNCs.
With the introduction of ethylene diamine tetraacetic acid (EDTA) or ammonium
fluoride (NH4F) to GSH-AuNCs-TMB-H2O2+Cu2+ system or GSH-AuNCs-TMB-H2O2+Fe3+
system respectively, a restoration of color and absorbance of system was
realized. On the basis of above phenomenon, a colorimetric and quantitative
approach for detecting Fe3+ and Cu2+ was developed with detection limit of 1.25 *
10-9 M and 1.25 * 10-10 M respectively. Moreover, the concentration of Fe3+ and
Cu2+ in human serums was also accurate quantified by this method. So this design
strategy realized the simple and simultaneous detection of Fe3+ and Cu2+,
suggesting significant potential in clinical diagnosis.
PMID- 27871613
TI - Revisiting catechol derivatives as robust chromogenic hydrogen donors working in
alkaline media for peroxidase mimetics.
AB - Colloidal noble metal-based nanoparticles are able to catalyze oxidation of
chromogenic substrates by H2O2, similarly to peroxidases, even in basic media.
However, lack of robust chromogens, which work in high pH impedes their real
applications. Herein we demonstrate the applicability of selected catechol
derivatives: bromopyrogallol red (BPR) and pyrogallol (PG) as chromogenic
substrates for peroxidase-like activity assays, which are capable of working over
wide range of pH, covering also basic values. Hyperbranched polyglycidol
stabilized gold nanoparticles (HBPG@AuNPs) were used as model enzyme mimetics.
Efficiency of several methods of improving stability of substrates in alkaline
media by means of selective suppression of their autoxidation by molecular oxygen
was evaluated. In a framework of presented studies the impact of borate anion,
applied as complexing agent for PG and BPR, on their stability and reactivity
towards oxidation mediated by catalytic AuNPs was investigated. The key role of
high concentration of hydrogen peroxide in elimination of non-catalytic oxidation
of PG and improvement of optical properties of BPR in alkaline media containing
borate was underlined. Described methods of peroxidase-like activity
characterization with the use of BPR and PG can become universal tools for
characterization of nanozymes, which gain various applications, among others,
they are used as catalytic labels in bioassays and biosensors.
PMID- 27871614
TI - Direct lead isotope analysis in Hg-rich sulfides by LA-MC-ICP-MS with a gas
exchange device and matrix-matched calibration.
AB - In situ Pb isotope data of sulfide samples measured by LA-MC-ICP-MS provide
valuable geochemical information for studies of the origin and evolution of ore
deposits. However, the severe isobaric interference of 204Hg on 204Pb and the
lack of matrix-matched sulfide reference materials limit the precision of Pb
isotopic analyses for Hg-rich sulfides. In this study, we observe that Hg forms
vapor and can be completely removed from sample aerosol particles produced by
laser ablation using a gas exchange device. Additionally, this device does not
influence the signal intensities of Pb isotopes. The within-run precision, the
external reproducibility and the analytical accuracy are significantly improved
for the Hg-rich sulfide samples using this mercury-vapor-removing device. Matrix
effects are observed when using silicate glass reference materials as the
external standards to assess the relationship of mass fractionation factors
between Tl and Pb in sulfide samples, resulting in a maximum deviation of ~0.20%
for 20xPb/204Pb. Matrix-matched reference materials are therefore required for
the highly precise and accurate Pb isotope analyses of sulfide samples. We
investigated two sulfide samples, MASS-1 (the Unites States Geological Survey
reference materials) and Sph-HYLM (a natural sphalerite), as potential
candidates. Repeated analyses of the two proposed sulfide reference materials by
LA-MC-ICP-MS yield good external reproducibility of <0.04% (RSD, k = 2) for
20xPb/206Pb and <0.06% (RSD, k = 2) for 20xPb/204Pb with the exception of
20xPb/204Pb in MASS-1, which provided an external reproducibility of 0.24% (RSD,
k = 2). Because the concentration of Pb in MASS-1 (76 MUg g-1) is ~5.2 times
lower than that in Sph-HYLM (394 +/- 264 MUg g-1). The in situ analytical results
of MASS-1 and Sph-HYLM are consistent with the values obtained by solution MC-ICP
MS, demonstrating the reliability and robustness of our analytical protocol.
PMID- 27871615
TI - A homogeneous assay for highly sensitive detection of CaMV35S promoter in
transgenic soybean by forster resonance energy transfer between nitrogen-doped
graphene quantum dots and Ag nanoparticles.
AB - In this work, a novel homogeneous assay for DNA quantitative analysis based on
forster resonance energy transfer (FRET) was developed for cauliflwer mosaic
virus 35s (CaMV35S) promoter of transgenic soybean detection. The homogenous FRET
of fluorescence signal was fabricated by DNA hybridization with probe modified
nitrogen-doped graphene quantum dots (NGQDs) and silver nanoparticles (AgNPs),
which acted the donor-acceptor pairs for the first time. The highly efficient
FRET and unique properties of the NGQDs made the proposed FRET system as a
functionalized detection platform for labelling of DNA. Upon the recognition of
specific target DNA (tDNA), the FRET between NGQDs and AgNPs was triggered to
produce fluorescence quenching, which could be used for tDNA detection. The
fabricated homogeneous FRET assay displayed a wide linear range of 0.1-500.0 nM
and a low limit of detection 0.03 nM for the detection of CaMV35S (S/N = 3). This
proposed biosensor revealed high specificity to detect tDNA, with acceptable
intra-assay precision and excellent stability. This method was successfully
applied to identify the real sample of 0.5% containing transgenic soybean, which
achieved the most of national law regulations. This assay was further validated
by polymerase chain reaction as the genetically modified organisms, suggesting
that the proposed FRET system is a feasible tool for the further daily
genetically modified organism detection.
PMID- 27871616
TI - A sensitive fluorescence biosensor for alkaline phosphatase activity based on the
Cu(II)-dependent DNAzyme.
AB - Alkaline phosphatase (ALP) plays an important role in phosphate metabolism
processes; deviation from its normal level may indicate different kinds of
diseases, so it is highly necessary to develop some simple and sensitive methods
to monitor the ALP level. In this study, a simple, high selective, and sensitive
fluorescent biosensor has been proposed for ALP activity determination. The
Cu(II)-dependent DNAzyme (Cu-Enzyme) are divided into two parts: Cu-Enzyme 1 and
Cu-Enzyme 2, and labelled with alkyne and azido groups, respectively. The Cu
substrate (Cu-Sub) is labelled with a FAM fluorophore (6-carboxyfluorescein) at
the 3'-end and an additional quencher (BHQ1) at the 5'-end. The 5'-end of Cu
Enzyme 1 is labelled with BHQ1 as well. The hybridization of the Cu-Enzyme 1 and
Cu-Enzyme 2 with Cu-Sub strand results in the low background fluorescence signal
because the fluorescence from FAM is quenched. The addition of ALP can hydrolyze
AA-P into AA, which can reduce Cu(II) into Cu(I) and in turn catalyze the
cycloaddition of Cu-Enzyme 1 and Cu-Enzyme 2 to form a modified Cu-Enzyme. Then
the modified Cu-Enzyme catalyzes the cleavage of the Cu-Sub strands into two
pieces. One piece containing FAM fluorophore can easily diffuse into solution and
give off a strong fluorescence signal. The enhanced fluorescent intensity has a
linear relationship with the ALP concentration in the range of 0.36-54.55 U L-1
with the detection limit of 0.14 U L-1 (S/N = 3). The proposed biosensor has been
successfully applied to detect ALP in serum samples with satisfied results.
PMID- 27871618
TI - Coexistence of Sutton and Meyerson nevi.
PMID- 27871617
TI - Management of cannabis-induced periodontitis via resective surgical therapy: A
clinical report.
AB - BACKGROUND AND OVERVIEW: There is a lack of clinical research on the potential
effect of cannabis use on the periodontium as well as its effect on treatment
outcomes. The aim of this case report is to illustrate the clinical presentation
of periodontal disease in a young woman who was a chronic cannabis user, as well
as successful treatment involving motivating the patient to quit cannabis use and
undergo nonsurgical and surgical therapy. CASE DESCRIPTION: A 23-year-old woman
sought care at the dental clinic for periodontal treatment. During a review of
her medical history, the patient reported using cannabis frequently during a 3
year period, which coincided with the occurrence of gingival inflammation. She
used cannabis in the form of cigarettes that were placed at the mandibular
anterior region of her mouth for prolonged periods. Localized prominent papillary
and marginal gingival enlargement of the anterior mandible were present. The
mandibular anterior teeth showed localized severe chronic periodontitis. The
clinicians informed the patient about the potentially detrimental consequences of
continued cannabis use; she was encouraged to quit, which she did. The clinicians
performed nonsurgical therapy (scaling and root planing) and osseous surgery. The
treatment outcome was evaluated over 6 months; improved radiographic and clinical
results were observed throughout the follow-up period. CONCLUSIONS AND PRACTICAL
IMPLICATIONS: Substantial availability and usage of cannabis, specifically among
young adults, requires dentists to be vigilant about clinical indications of
cannabis use and to provide appropriate treatments. Behavioral modification,
nonsurgical therapy, and surgical therapy offer the potential for successful
management of cannabis-related periodontitis.
PMID- 27871619
TI - Doppler Ultrasound of Aneurysmal Dermatofibroma.
PMID- 27871620
TI - Clinicopathologic Variants of Mycosis Fungoides.
AB - Mycosis fungoides (MF) is the most common primary cutaneous T-cell lymphoma. The
clinical course of the disease is typically characterized by progression from a
nonspecific phase of erythematous macules to the appearance of plaques and
ultimately, in some patients, tumors. However, numerous clinical and
histopathologic variants of MF with specific therapeutic and prognostic
implications have been described in recent decades. Clarification of the
differential diagnosis can be frustrated by the wide range of clinical
manifestations and histopathologic patterns of cutaneous infiltration,
particularly in the early phases of the disease. In this paper, we review the
main clinical, histopathologic, and immunohistochemical characteristics of the
variants of MF described in the literature in order to facilitate early diagnosis
of the disease.
PMID- 27871621
TI - Reply.
PMID- 27871622
TI - Unilateral BEST1-Associated Retinopathy.
PMID- 27871624
TI - Re: Disorders of the lymphatic system of the abdomen.
PMID- 27871623
TI - Modeling the N400 ERP component as transient semantic over-activation within a
neural network model of word comprehension.
AB - The study of the N400 event-related brain potential has provided fundamental
insights into the nature of real-time comprehension processes, and its amplitude
is modulated by a wide variety of stimulus and context factors. It is generally
thought to reflect the difficulty of semantic access, but formulating a precise
characterization of this process has proved difficult. Laszlo and colleagues
(Laszlo & Plaut, 2012; Laszlo & Armstrong, 2014) used physiologically constrained
neural networks to model the N400 as transient over-activation within semantic
representations, arising as a consequence of the distribution of excitation and
inhibition within and between cortical areas. The current work extends this
approach to successfully model effects on both N400 amplitudes and behavior of
word frequency, semantic richness, repetition, semantic and associative priming,
and orthographic neighborhood size. The account is argued to be preferable to one
based on "implicit semantic prediction error" (Rabovsky & McRae, 2014) for a
number of reasons, the most fundamental of which is that the current model
actually produces N400-like waveforms in its real-time activation dynamics.
PMID- 27871625
TI - 3D modeling of phytoplankton seasonal variation and nutrient budget in a southern
Mediterranean Lagoon.
AB - A 3D coupled physical-biogeochemical model is developed and applied to Bizerte
Lagoon (Tunisia), in order to understand and quantitatively assess its
hydrobiological functioning and nutrients budget. The biogeochemical module
accounts for nitrogen and phosphorus and includes the water column and upper
sediment layer. The simulations showed that water circulation and the seasonal
patterns of nutrients, phytoplankton and dissolved oxygen were satisfactorily
reproduced. Model results indicate that water circulation in the lagoon is driven
mainly by tide and wind. Plankton primary production is co-limited by phosphorus
and nitrogen, and is highest in the inner part of the lagoon, due to the combined
effects of high water residence time and high nutrient inputs from the boundary.
However, a sensitivity analysis highlights the importance of exchanges with the
Mediterranean Sea in maintaining a high level of productivity. Intensive use of
fertilizers in the catchment area has a significant effect on phytoplankton
biomass increase.
PMID- 27871626
TI - Photolysis of 2,4,6-trinitrotoluene in seawater and estuary water: Impact of pH,
temperature, salinity, and dissolved organic matter.
AB - The influence of salinity, pH, temperature, and dissolved organic matter on the
photolysis rate of 2,4,6-trinitrotoluene (TNT) in marine, estuary, and laboratory
prepared waters was studied using a Suntest CPS+(r) solar simulator equipped with
optical filters. TNT degradation rates were determined using HPLC analysis, and
products were identified using LC/MS. Minimal or no TNT photolysis occurred under
a 395-nm long pass filter, but under a 295-nm filter, first-order TNT degradation
rate constants and apparent quantum yields increased with increasing salinity in
both natural and artificial seawater. TNT rate constants increased slightly with
increasing temperature (10 to 32 degrees C) but did not change significantly with
pH (6.4 to 8.1). The addition of dissolved organic matter (up to 5mg/L) to
ultrapure water, artificial seawater, and natural seawater increased the TNT
photolysis rate constant. Products formed by TNT photolysis in natural seawater
were determined to be 2,4,6-trinitrobenzaldehyde, 1,3,5-trinitrobenzene, 2,4,6
trinitrobenzoic acid, and 2-amino-4,6-dinitrobenzoic acid.
PMID- 27871628
TI - Updated evidence for left main coronary artery disease: Practice versus the
consensus.
PMID- 27871627
TI - Executive Leadership and Physician Well-being: Nine Organizational Strategies to
Promote Engagement and Reduce Burnout.
AB - These are challenging times for health care executives. The health care field is
experiencing unprecedented changes that threaten the survival of many health care
organizations. To successfully navigate these challenges, health care executives
need committed and productive physicians working in collaboration with
organization leaders. Unfortunately, national studies suggest that at least 50%
of US physicians are experiencing professional burnout, indicating that most
executives face this challenge with a disillusioned physician workforce. Burnout
is a syndrome characterized by exhaustion, cynicism, and reduced effectiveness.
Physician burnout has been shown to influence quality of care, patient safety,
physician turnover, and patient satisfaction. Although burnout is a system issue,
most institutions operate under the erroneous framework that burnout and
professional satisfaction are solely the responsibility of the individual
physician. Engagement is the positive antithesis of burnout and is characterized
by vigor, dedication, and absorption in work. There is a strong business case for
organizations to invest in efforts to reduce physician burnout and promote
engagement. Herein, we summarize 9 organizational strategies to promote physician
engagement and describe how we have operationalized some of these approaches at
Mayo Clinic. Our experience demonstrates that deliberate, sustained, and
comprehensive efforts by the organization to reduce burnout and promote
engagement can make a difference. Many effective interventions are relatively
inexpensive, and small investments can have a large impact. Leadership and
sustained attention from the highest level of the organization are the keys to
making progress.
PMID- 27871629
TI - Radiography nomography: Utility of a calculator in rational preoperative decision
making for subsolid pulmonary nodules.
PMID- 27871630
TI - Thoracic surgery education in Canada.
PMID- 27871631
TI - [Benefit of general anesthesia monitored by bispectral index compared with
monitoring guided only by clinical parameters. Systematic review and meta
analysis].
AB - BACKGROUND: The bispectral index parameter is used to guide the titration of
general anesthesia; however, many studies have shown conflicting results
regarding the benefits of bispectral index monitoring. The objective of this
systematic review with meta-analysis is to evaluate the clinical impact of
monitoring with the bispectral index parameter. METHODS: The search for evidence
in scientific information sources was conducted during December 2013 to January
2015, the following primary databases: Medline/PubMed, LILACS, Cochrane, CINAHL,
Ovid, SCOPUS and TESES. The criteria for inclusion in the study were randomized
controlled trials, comparing general anesthesia monitored, with bispectral index
parameter with anesthesia guided solely by clinical parameters, and patients aged
over 18 years. The criteria for exclusion were studies involving anesthesia or
sedation for diagnostic procedures, and intraoperative wake-up test for surgery
of the spine. RESULTS: The use of monitoring with the bispectral index has shown
benefits reducing time to extubation, orientation in time and place, and
discharge from both the operating room and post anesthetic care unit. The risk of
nausea and vomiting after surgery was reduced by 12% in patients monitored with
bispectral index. Occurred a reduction of 3% in the risk of cognitive impairment
postoperatively at 3 months postoperatively and 6% reduction in the risk of
postoperative delirium in patients monitored with bispectral index. Furthermore,
the risk of intraoperative memory has been reduced by 1%. CONCLUSION: Clinically,
anesthesia monitoring with the BIS can be justified because it allows advantages
from reducing the recovery time after waking, mainly by reducing the
administration of general anesthetics as well as the risk of adverse events.
PMID- 27871632
TI - Here/In This Issue and There/Abstract Thinking: The Inflamed Brain.
PMID- 27871633
TI - Parental Mental Health: Addressing the Unmet Needs of Caregivers for Children
With Autism Spectrum Disorders.
PMID- 27871634
TI - Heritability of a General Psychopathology Factor in the Population: Potential
Implications for Classification and Treatment.
PMID- 27871635
TI - Challenges in Characterizing Complex Psychopathology.
PMID- 27871636
TI - Correlates of Overweight and Obesity Among Adolescents With Bipolar Disorder in
the National Comorbidity Survey-Adolescent Supplement (NCS-A).
AB - OBJECTIVE: Despite substantial evidence on the prevalence and correlates of
overweight and obesity (OW/OB) in adults with bipolar disorder (BD), little is
known about this topic in adolescents with BD. METHOD: The method consisted of
the National Comorbidity Survey-Adolescent Supplement, a face-to-face survey of
mental disorders from 2001 through 2004, using a modified version of the fully
structured World Health Organization Composite International Diagnostic
Interview. Participants were adolescents 13 to 17 years of age, with bipolar
disorder I or II (n = 295), major depressive disorder (n = 1,112), or controls
with neither mood disorder (n = 8,716). Analyses examined for group differences
in the prevalence of OW/OB and for correlates of OW/OB in the group with BD.
RESULTS: There were no significant differences in weight categories across
groups. OW and OB in adolescents with BD were associated with significantly
higher lifetime rates of suicide attempt (odds ratio 3.02, 95% CI 1.11-8.24),
physical or sexual abuse (2.82, 1.20-6.60), binge eating or bulimia (2.66, 1.13
6.26), and conduct disorder (2.60, 1.10-6.13) in covariate-adjusted analyses. OW
and OB also were significantly associated with seeing a professional for
depression, being hospitalized overnight for depression, and receiving general
medical treatment. CONCLUSION: The similar prevalence of OW/OB in adolescents
with and without BD suggests that this potent association in adults likely
comprises a consequence of BD or its correlates. In contrast, the strong
association of OW/OB with proxies for depression severity, including suicide
attempts and hospitalization, is already evident even in this young, nonclinical
sample. Studies are warranted to determine whether early intervention of OW/OB in
BD might optimize physical and mental health.
PMID- 27871637
TI - Comparing Brain Morphometry Across Multiple Childhood Psychiatric Disorders.
AB - OBJECTIVE: In both children and adults, psychiatric illness is associated with
structural brain alterations, particularly in the prefrontal cortex (PFC).
However, most studies compare gray matter volume (GMV) in healthy volunteers
(HVs) to one psychiatric group. We compared GMV among youth with anxiety
disorders, bipolar disorder (BD), disruptive mood dysregulation disorder (DMDD),
attention-deficit/hyperactivity disorder (ADHD), and HVs. METHOD: 3-Tesla T1
weighted magnetic resonance imaging scans were acquired in 184 youths (39
anxious, 20 BD, 52 DMDD, 20 ADHD, and 53 HV). Voxel-based morphometry analyses
were conducted. One-way analysis of variance tested GMV differences with whole
brain familywise error (p < .05) correction; secondary, exploratory whole-brain
analyses used a threshold of p < .001, >=200 voxels. Given recent frameworks
advocating dimensional approaches in psychopathology research, we also tested GMV
associations with continuous anxiety, irritability, and inattention symptoms.
RESULTS: Specificity emerged in the left dorsolateral PFC (dlPFC), which differed
among youth with BD, anxiety, and HVs; GMV was increased in youth with anxiety,
but decreased in BD, relative to HVs. Secondary analyses revealed BD-specific GMV
decreases in the right lateral PFC, right dlPFC, and dorsomedial PFC, and also
anxiety-specific GMV increases in the left dlPFC, right ventrolateral PFC,
frontal pole, and right parahippocampal gyrus/lingual gyrus. Both BD and DMDD
showed decreased GMV relative to HVs in the right dlPFC/superior frontal gyrus.
GMV was not associated with dimensional measures of anxiety, irritability, or
ADHD symptoms. CONCLUSION: Both disorder-specific and shared GMV differences
manifest in pediatric psychopathology. Some differences were specific to anxiety
disorders, others specific to BD, and others shared between BD and DMDD. Further
developmental research might map commonalities and differences of structure and
function in diverse pediatric psychopathologies.
PMID- 27871639
TI - International Comparisons of the Youth Self-Report Dysregulation Profile: Latent
Class Analyses in 34 Societies.
AB - OBJECTIVE: We used latent class analysis (LCA) to examine the prevalence and
characteristics of the Dysregulation Profile (DP) based on data from the Youth
Self-Report (YSR). The DP comprises elevated scores on the Anxious/Depressed,
Attention Problems, and Aggressive Behavior syndromes and thus reflects
significant problems in self-regulation of affect, attention, and behavior.
METHOD: We examined YSR data for 38,070 adolescents (48.1% male) in 34 societies.
Participants ranged in age from 11 to 16 years. Researchers in 31 societies used
translations of the YSR (not in Jamaica, Australia, or the United States).
RESULTS: The various statistical indices for good LCA model fit (entropy,
bootstrapped parametric likelihood ratio test, adjusted Bayesian Information
Criterion, and probability of correct assignment) were not always consistent but
generally supported a DP class in every society. However, prevalence of the DP
ranged from 1% to 26% and the T score syndrome profile for the DP class in many
societies featured elevations on all scales. In every society, the DP class had
significantly higher scores than the pooled non-DP classes on all 3 DP syndromes,
with large d values. CONCLUSION: Because model fit, the number of classes, and
the prevalence of the DP class varied across societies, and because the DP "3
peak" profile was relatively uncommon, results for the DP based on adolescents'
ratings in 34 societies must be considered as mixed.
PMID- 27871638
TI - Single Nucleotide Polymorphism Heritability of a General Psychopathology Factor
in Children.
AB - OBJECTIVE: Co-occurrence of mental disorders is commonly observed, but the
etiology underlying this observation is poorly understood. Studies in adolescents
and adults have identified a general psychopathology factor associated with a
high risk for different psychiatric disorders. We defined a multi-informant
general psychopathology factor in school-aged children and estimated its single
nucleotide polymorphism (SNP) heritability. The goal was to test the hypothesis
that child behavioral and emotional problems are under the influence of highly
pleiotropic common autosomal genetic variants that nonspecifically increase the
risk for different dimensions of psychopathology. METHOD: Children from the
Generation R cohort were repeatedly assessed between ages 6 to 8 years. Child
behavior problems were reported by parents, teachers, and children. Confirmatory
factor analysis estimated a general psychopathology factor across informants
using various psychiatric problem scales. Validation of the general
psychopathology factor was based on IQ and temperamental measures. Genome-wide
complex trait analysis (GCTA) was used to estimate the SNP heritability (N =
2,115). RESULTS: The general psychopathology factor was associated with lower IQ,
higher negative affectivity, and lower effortful control, but not with surgency.
Importantly, the general psychopathology factor showed a significant SNP
heritability of 38% (SE = 0.16, p = .008). CONCLUSION: Common autosomal SNPs are
pleiotropically associated with internalizing, externalizing, and other child
behavior problems, and underlie a general psychopathology factor in childhood.
PMID- 27871640
TI - Multidimensional Influences on Autism Symptom Measures: Implications for Use in
Etiological Research.
AB - OBJECTIVE: Growing awareness that symptoms of autism spectrum disorder (ASD)
transcend multiple diagnostic categories, and major advances in the
identification of genetic syndromes associated with ASD, have led to widespread
use of ASD symptom measures in etiologic studies of neurodevelopmental disorders.
Insufficient consideration of potentially confounding factors such as cognitive
ability or behavior problems can have important negative consequences in
interpretation of findings, including erroneous estimation of associations
between ASD and etiologic factors. METHOD: Participants were 388 children 2 to 13
years old with diagnoses of ASD or another neurodevelopmental disorder without
ASD. Receiver operating characteristics methods were used to assess the influence
of IQ and emotional and behavioral problems on the discriminative ability of 3
widely used ASD symptom measures: the Social Responsiveness Scale (SRS), the
Autism Diagnostic Interview-Revised (ADI-R), and the Autism Diagnostic
Observation Schedule (ADOS). RESULTS: IQ influenced the discriminative thresholds
of the SRS and ADI-R, and emotional and behavioral problems affected the
discriminative thresholds of the SRS, ADI-R, and ADOS. This resulted in low
specificity of ASD cutoffs on the SRS and ADI-R for children with intellectual
disability without ASD (27-42%) and low specificity across all 3 instruments for
children without ASD with increased emotional and behavioral problems (36-59%).
Adjustment for these characteristics resulted in improved discriminative ability
for all of the ASD measures. CONCLUSION: The findings indicate that scores on ASD
symptom measures reflect far more than ASD symptoms. Valid interpretation of
scores on these measures requires steps to account for the influences of IQ and
emotional and behavioral problems.
PMID- 27871641
TI - Longitudinal Course of Bipolar Disorder in Youth With High-Functioning Autism
Spectrum Disorder.
AB - OBJECTIVE: To provide the first longitudinal characterization of mood and
psychosocial functioning in youth with comorbid bipolar (BD) and autism spectrum
(ASD) disorders. METHOD: The Course and Outcome of Bipolar Youth study followed
368 youth (aged 7-17 years) with DSM-IV bipolar I (BP-I), BP-II, or Not Otherwise
Specified (NOS) for, on average, 9 years using the Longitudinal Interval Follow
up Evaluation. This subgroup analysis compared youth with and without ASD on
clinical presentation, percentage of time with mood symptomatology, and
psychosocial functioning. RESULTS: Thirty youth (~8%) met DSM-IV criteria for
Asperger's disorder or pervasive developmental disorder-NOS (referred to here as
ASD). Lifetime worst episode severity was similar in both groups, but youth with
both BD and ASD (BD+ASD) had elevated rates of comorbid attention
deficit/hyperactivity and obsessive-compulsive disorders, were younger at intake,
and had an earlier onset of mood symptoms. Over time, in both groups, the
proportion of predominantly euthymic youth increased, and episode recurrence
decreased. Compared to youth with BD, the clinical presentation of youth with
BD+ASD more frequently involved distractibility, racing thoughts, depressed mood,
social withdrawal, and low reactivity of negative mood states. ASD-related
symptomatic differences were generally strongest early and decreased over time.
Youth with BD+ASD had significantly greater impairment in friendships throughout
follow-up. CONCLUSION: Youth with BD+ASD exhibit typical BD mood symptoms but
with earlier onset, mixed symptom presentation, and additive functional
impairments. Significant amelioration of clinical symptoms occurred over time,
suggesting that early recognition and treatment of mood disorders in youth with
ASD may improve clinical outcomes.
PMID- 27871642
TI - Reduced Hemispheric Asymmetry of White Matter Microstructure in Autism Spectrum
Disorder.
AB - OBJECTIVE: Many past studies have suggested atypical functional and anatomical
hemispheric asymmetries in autism spectrum disorder (ASD). However, almost all of
these have examined only language-related asymmetries. Here, we conduct a
comprehensive investigation of microstructural asymmetries across a large number
of fiber tracts in ASD. METHOD: We used diffusion tensor imaging for a
comprehensive investigation of anatomical white matter asymmetries across the
entire white matter skeleton, using tract-based spatial statistics in 41 children
and adolescents with ASD and a matched group of 44 typically developing (TD)
participants. RESULTS: We found significant asymmetries in the TD group, being
rightward for fractional anisotropy and leftward for mean diffusivity (with
concordant asymmetries for radial and axial diffusivity). These asymmetries were
significantly reduced in the group with ASD: in whole brain analysis for
fractional anisotropy, and in a region where several major association and
projection tracts travel in close proximity within occipital white matter for
mean diffusivity, axial diffusivity, and radial diffusivity. No correlations
between global white matter asymmetry and age or socio-communicative abilities
were detected. CONCLUSION: Our findings in TD children and adolescents can be
interpreted as reflecting different processing modes (more integrative in the
right and more specialized in the left hemisphere). These asymmetries and the
"division of labor" between hemispheres implied by them appear to be diminished
in autism spectrum disorder.
PMID- 27871644
TI - Neurofeedback in Attention-Deficit/Hyperactivity Disorder: Evaluation
Difficulties.
PMID- 27871643
TI - Neural Correlates of Reward Processing in Depressed and Healthy Preschool-Age
Children.
AB - OBJECTIVE: Adults and adolescents with major depressive disorder (MDD) show a
blunted neural response to rewards. Depression has been validated in children as
young as age 3; however, it remains unclear whether blunted response to reward is
also a core feature of preschool-onset depression. If so, this would provide
further validation for the continuity of the neural correlates of depression
across the life span and would identify a potential target for treatment in young
children. METHOD: Fifty-three 4- to 7-year-old children with depression and 25
psychiatrically healthy 4- to 7-year-old children completed a simple guessing
task in which points could be won or lost on each trial while event-related
potentials (ERPs) were recorded. Psychiatric diagnosis was established using a
preschool version of the Kiddie Schedule for Affective Disorders and Depression.
RESULTS: Young children with depression showed a reduced differentiation between
response to gains and losses, and this finding was driven by a blunted response
to reward (i.e., the reward positivity [RewP]). These findings held even when
controlling for co-occurring attention-deficit/hyperactivity disorder,
oppositional defiant disorder, and generalized anxiety disorder. The RewP did not
vary as a function of depression severity within the group with depression.
CONCLUSION: Similar to adults and adolescents with depression, preschoolers with
depression display reductions in responsivity to rewards as indexed by the RewP.
These findings provide further evidence for continuity in the neural mechanisms
associated with depression across the lifespan, and point to altered reward
sensitivity as an early-emerging potential target for intervention in preschool
onset depression. Clinical trial registration information-A Randomized Controlled
Trial of PCIT-ED for Preschool Depression; http://clinicaltrials.gov/;
NCT02076425.
PMID- 27871645
TI - Neurofeedback in Attention-Deficit/Hyperactivity Disorder: Efficacy.
PMID- 27871647
TI - One, Two, Magic.
PMID- 27871646
TI - The European ADHD Guidelines Group replies.
PMID- 27871649
TI - Invisible Allies: Thanking Our Reviewers.
PMID- 27871648
TI - White Smoke: Editor's Annual Report-Volume 55, 2016.
PMID- 27871650
TI - Transparency: Disclosure of Financial Interests.
PMID- 27871651
TI - ERK activated by Histamine H1 receptor is anti-proliferative through spatial
restriction in the cytosol.
AB - Histamine, a primary mediator of allergic responses, elicits its effects by
activating specific receptors belonging to the GPCR family in target cells.
Activation of histamine receptor can activate MAP kinases as recorded by
monitoring the phosphorylation of extracellular signal regulated kinase (ERK).
Despite this, ERK phosphorylation does not translate into pro-proliferative
changes after histamine stimulation in HeLa cells. Here we show that histamine H1
receptor activation mediates MAPK activation through PLCbeta, Src, PKCdelta and
MEK pathway, but does not lead to nuclear relocalization of phospho-ERK (pERK),
classically associated with pro-proliferative changes. Live cell imaging, FRET
and FRAP measurements along with functional analysis reveal that pERK generated
by histamine activation is physically and functionally restricted in the cytosol
and the findings report a spatial regulation of MAPK cascade activated non
canonically through GPCRs unlike its canonical activation by EGF.
PMID- 27871652
TI - Epidemiology and Diagnosis of Anterior Cruciate Ligament Injuries.
AB - Anterior cruciate ligament (ACL) injuries are increasingly common in the United
States. This may be related to the increase in high school sports participation,
particularly in female athletes. A significant proportion of these injuries are
caused by noncontact mechanisms. The incidence of these noncontact injuries may
be significantly reduced by enrolling young athletes in jump-training programs.
The diagnosis of ACL injuries involves a focused history and physical
examination, which can provide a high index of suspicion. Although radiographs
are important to rule out associated injuries, the gold standard for diagnosis of
ACL injuries is MRI, which has shown excellent accuracy.
PMID- 27871653
TI - Surgical Management and Treatment of the Anterior Cruciate
Ligament/Posterolateral Corner Injured Knee.
AB - Posterolateral knee injuries occur more commonly than in the past. These injuries
most commonly occur concurrent with cruciate ligament tears. The main stabilizers
of the posterolateral knee are the fibular collateral ligament, the popliteus
tendon, and the popliteofibular ligament. These static stabilizers function to
prevent increased varus, external rotation, and coupled posterolateral rotation
of the knee. The most important clinical tests to diagnose posterolateral knee
injuries are the varus stress test, posterolateral drawer, and dial tests. Varus
stress radiographs are key objective means to diagnose these injuries. Anatomic-
based reconstructions have been validated to restore stability and improve
outcomes.
PMID- 27871654
TI - Surgical Management and Treatment of the Anterior Cruciate Ligament-Deficient
Knee with Malalignment.
AB - Varus malalignment and an increased tibial slope can result in instability in an
anterior cruciate ligament (ACL)-deficient knee. Malalignment can also be a cause
of recurrent instability following ACL reconstruction. Varus malalignment can
contribute to loosening or failure of primary ACL reconstruction and contribute
to progressive medial compartment arthritis. High tibial osteotomies performed in
conjunction with ACL reconstruction can improve alignment, restore anterior knee
stability, and help reduce the advancement of arthritis.
PMID- 27871655
TI - Surgical Indications and Technique for Anterior Cruciate Ligament Reconstruction
Combined with Lateral Extra-articular Tenodesis or Anterolateral Ligament
Reconstruction.
AB - After anterior cruciate ligament (ACL) rupture, anteroposterior and rotational
laxity in the knee causes instability, functional symptoms, and damage to other
intra-articular structures. Surgical reconstruction aims to restore the stability
in the knee, and to improve function and ability to participate in sports. It
also protects cartilage and menisci from secondary injuries. Because of
persistent rotational instability after ACL reconstruction, combined intra
articular and extra-articular procedures are more commonly performed. In this
article, an overview of anatomy, biomechanical studies, current gold standard
procedures, techniques, and research topics are summarized.
PMID- 27871656
TI - Etiologic Factors That Lead to Failure After Primary Anterior Cruciate Ligament
Surgery.
AB - Anterior cruciate ligament (ACL) reconstruction is common in the active young
population. Failure of ACL reconstruction can be due to continued or recurrent
instability, stiffness, or patient-reported failure in the setting of seemingly
successful surgical reconstruction, such as pain that limits activity. Multiple
preoperative, intraoperative, and postoperative variables can be optimized to
give the greatest likelihood of success. Some of these include timing of surgery,
identification, and treatment of associated ligamentous, meniscal and chondral
injuries, tunnel placement, graft choice, fixation, tensioning, and postoperative
rehabilitation. This article reviews common causes of ACL reconstruction failure
concentrating on modifiable factors.
PMID- 27871657
TI - Surgical Pearls in Revision Anterior Cruciate Ligament Surgery: When Must I
Stage?
AB - Failed anterior cruciate ligament (ACL) reconstruction is a challenging clinical
entity and revision ACL reconstruction is a technically challenging procedure.
This article reviews the etiology of ACL failures, the need for appropriate
examination and imaging studies, and discusses the technical considerations to
allow for a successful revision reconstruction. Furthermore, we elaborate on the
decision-making process of when a 2-stage revision is preferred to a single-stage
revision.
PMID- 27871658
TI - Rehabilitation Principles of the Anterior Cruciate Ligament Reconstructed Knee:
Twelve Steps for Successful Progression and Return to Play.
AB - The rehabilitation process begins immediately after injury to the anterior
cruciate ligament (ACL). The goal of preoperative rehabilitation is to prepare
the patient for surgery. Current rehabilitation programs focus on strengthening
exercises and proprioceptive and neuromuscular control drills to provide a
neurologic stimulus. It is also important to address preexisting factors,
especially for the female athlete, that may predispose to future injury, such as
hip and hamstring weakness. Our goal in the rehabilitation program is to restore
full, unrestricted function and to assist the patient to return to 100% of the
preinjury level while achieving excellent long-term outcomes.
PMID- 27871660
TI - Management of the Anterior Cruciate Ligament-Injured Knee in the Skeletally
Immature Athlete.
AB - Intrasubstance tears of the anterior cruciate ligament (ACL) are being diagnosed
with increasing frequency in the skeletally immature. Management options include
nonoperative/ early surgical, or delayed surgical reconstruction.
Nonoperative/delayed reconstruction results in worse functional outcomes than
early reconstruction. Physicians are faced with a treatment dilemma; clinical and
basic science studies have demonstrated risk of limb-length discrepancy and
angular deformity with ACL reconstruction. Vertical drill tunnels decrease
physeal damage and minimize growth deformity; however, this technique results in
nonanatomic ACL graft placement. All-epiphyseal reconstruction avoids damage to
the growth plate. These techniques are biomechanically superior to extraarticular
and modified physeal-sparing procedures.
PMID- 27871659
TI - Graft Selection in Anterior Cruciate Ligament Surgery: Who gets What and Why?
AB - Anterior cruciate ligament (ACL) injuries are common and affect a young, active
patient population. Despite much research, ACL reconstruction graft choice
remains a topic of debate. Based on the best available evidence, autograft seems
to be superior to allograft for ACL reconstruction in young, active patients.
Future high-level studies are required in order to better define the role of
allograft in ACL reconstruction. As graft choice is often influenced by surgeon
preference, it is important that surgeons understand the current literature as
well as the goals of their patients.
PMID- 27871661
TI - Single-Bundle Anatomic Anterior Cruciate Ligament Reconstruction: Surgical
Technique Pearls and Pitfalls.
AB - Anterior cruciate ligament (ACL) ruptures are some of the most common sports
related injuries. Treatment of these injuries with ACL reconstruction has evolved
over the last several decades. Anatomic single-bundle ACL reconstruction offers
an accurate and reproducible method to reproduce native knee anatomy, restore
knee kinematics, and ultimately restore function and decrease long-term
degenerative effects. The importance of adequate arthroscopic visualization and a
thorough understanding of the native anatomic ACL landmarks are discussed in this
article. Furthermore, surgical technique, pearls, pitfalls, potential
complications, rehabilitation, and outcomes are reviewed.
PMID- 27871662
TI - Indications for Two-Incision (Outside-In) Anterior Cruciate Ligament
Reconstruction.
AB - Anterior cruciate ligament (ACL) reconstruction is a commonly performed
procedure. Drilling the femoral tunnel independent of the tibial tunnel has
become popular as surgeons strive to create tunnels in the anatomic locations of
the femoral and tibial attachments of the native ligament. The 2-incision
technique effectively and reproducibly accomplishes this goal. The 2-incision
technique for ACL reconstruction is a valuable tool in the skillset of the
reconstructive knee surgeon. Indications for the 2-incision surgery are reviewed
in detail. Furthermore, technical tips, complications, and outcomes are
discussed.
PMID- 27871663
TI - Surgical Management and Treatment of the Anterior Cruciate Ligament/Medial
Collateral Ligament Injured Knee.
AB - The medial collateral ligament (MCL) is the most commonly injured ligament of the
knee. The anterior cruciate ligament (ACL) is the most commonly injured ligament
in conjunction with the MCL. Most MCL injuries can be treated nonoperatively,
whereas the ACL often requires reconstruction. A good physical examination is
essential for diagnosis, whereas radiographs and MRI of the knee confirm
diagnosis and help guide treatment planning. Preoperative physical therapy should
be completed before surgical management to allow for return of knee range of
motion and an attempt at MCL healing.
PMID- 27871664
TI - Gross, Arthroscopic, and Radiographic Anatomies of the Anterior Cruciate
Ligament: Foundations for Anterior Cruciate Ligament Surgery.
AB - The anterior cruciate ligament (ACL) is one of the more studied structures in the
knee joint. It is not a tubular structure, but is much narrower in its
midsubstance and broader at its ends, producing an hourglass shape. The ACL is
composed of 2 functional bundles, the anteromedial and posterolateral bundles,
that are named for their location of insertion on the anterior surface of the
tibial plateau. Although the relative contribution in terms of total cross
sectional area of the ACL has been noted to be equal in regards to each bundle,
dynamically these bundles demonstrate different properties for knee function.
PMID- 27871665
TI - Anterior Cruciate Ligament.
PMID- 27871666
TI - Management of the Anterior Cruciate Ligament Deficient Knee.
PMID- 27871667
TI - Transport of soil-aged silver nanoparticles in unsaturated sand.
AB - Engineered nanoparticles released into soils may be coated with humic substances,
potentially modifying their surface properties. Due to their amphiphilic nature,
humic coating is expected to affect interaction of nanoparticle at the air-water
interface. In this study, we explored the roles of the air-water interface and
solid-water interface as potential sites for nanoparticle attachment and the
importance of hydrophobic interactions for nanoparticle attachment at the air
water interface. By exposing Ag nanoparticles to soil solution extracted from the
upper soil horizon of a floodplain soil, the mobility of the resulting "soil
aged" Ag nanoparticles was investigated and compared with the mobility of citrate
coated Ag nanoparticles as investigated in an earlier study. The mobility was
determined as a function of hydrologic conditions and solution chemistry using
column breakthrough curves and numerical modeling. Specifically, we compared the
mobility of both types of nanoparticles for different unsaturated flow conditions
and for pH=5 and pH=9. The soil-aged Ag NP were less mobile at pH=5 than at pH=9
due to lower electrostatic repulsion at pH=5 for both types of interfaces.
Moreover, the physical flow field at different water contents modified the impact
of chemical forces at the solid-water interface. An extended Derjaguin-Landau
Verwey-Overbeek (eDLVO) model did not provide satisfactory explanation of the
observed transport phenomena unlike for the citrate-coated case. For instance,
the eDLVO model assuming sphere-plate geometry predicts a high energy barrier
(>90 kT) for the solid-water interface, indicating that nanoparticle attachment
is less likely. Furthermore, retardation through reversible sorption at the air
water interface was probably less relevant for soil-aged nanoparticles than for
citrate-coated nanoparticles. An additional cation bridging mechanism and
straining within the flow field may have enhanced nanoparticle retention at the
solid-water interface. The results indicate that the mobility of engineered Ag
nanoparticles is sensitive to solution chemistry, especially pH and the
concentration of multivalent cations, and to the unsaturated flow conditions
influencing particle interaction at biogeochemical interfaces.
PMID- 27871668
TI - Emerging Role for Nucleus Accumbens Medium Spiny Neuron Subtypes in Depression.
AB - The ventral striatum (nucleus accumbens) and its role in mood, reward, and
motivation has been the focus of significant research. Despite this interest,
little work has addressed cell type-specific distinctions in medium spiny neurons
(MSNs), the main projection neurons in the nucleus accumbens and dorsal striatum,
and their function in relation to stress and depression. Previous work has shown
opposing roles for D1 and D2 receptor MSN subtypes in depression-like outcomes to
stress, particularly in regard to repeated neuronal stimulation and excitatory
transmission. Yet the mechanisms of action are still unknown. We discuss
potential mechanisms by which MSN subtype function promotes dichotomous
behavioral outcomes caused by differences in cellular plasticity, subcellular
signaling pathways, and genetic expression. This review aims to address our
current understanding about the role of nucleus accumbens MSN subtypes in stress
related depression behavior and speculates on how currently understood mechanisms
contribute to factors that control the activity of MSNs.
PMID- 27871669
TI - Induction and Blockade of Adolescent Cocaine-Induced Habits.
AB - BACKGROUND: Cocaine use during adolescence increases vulnerability to drug
dependence and decreases the likelihood that individuals will seek treatment as
adults. Understanding how early-life cocaine exposure influences decision-making
processes in adulthood is thus critically important. METHODS: Adolescent or adult
mice were exposed to subchronic cocaine, then behavioral sensitivity to changes
in the predictive relationship between actions and their consequences was tested.
Dendritic spines on the principal pyramidal neurons of the orbitofrontal
prefrontal cortex (oPFC) were also imaged and enumerated. To determine whether
cytoskeletal regulatory systems in the oPFC influenced decision-making
strategies, we then inhibited the activity of Abl family and Rho kinases as well
as NR2B-containing N-methyl-D-aspartate receptors. We also attempted to block the
reinstatement of cocaine seeking in cocaine self-administering mice. RESULTS:
Adult mice with a history of subchronic cocaine exposure in adolescence engaged
habit-based response strategies at the expense of goal-directed decision-making
strategies and had fewer dendritic spines in the oPFC. Inhibition of the
cytoskeletal regulatory Abl family kinases in the oPFC recapitulated these
neurobehavioral deficiencies, whereas Rho kinase inhibition corrected response
strategies. Additionally, the NR2B-selective N-methyl-D-aspartate receptor
antagonists ifenprodil and CP-101,606 blocked cocaine-induced habits; this was
dependent on Abl family signaling in the oPFC. Ifenprodil also mitigated cue
induced reinstatement of cocaine seeking in mice self-administering cocaine.
CONCLUSIONS: We suggest that adolescent cocaine exposure confers a bias toward
habit-based behavior in adulthood via long-term cellular structural modifications
in the oPFC. Treatments aimed at mitigating the durable consequences of early
life cocaine use may benefit from targeting cytoskeletal regulatory systems.
PMID- 27871672
TI - How Species Boundaries Are Determined: A Response to Alexander et al.
PMID- 27871670
TI - Convergence of Sex Differences and the Neuroimmune System in Autism Spectrum
Disorder.
AB - The male bias in autism spectrum disorder incidence is among the most extreme of
all neuropsychiatric disorders, yet the origins of the sex difference remain
obscure. Developmentally, males are exposed to high levels of testosterone and
its byproduct, estradiol. Together these steroids modify the course of brain
development by altering neurogenesis, cell death, migration, differentiation,
dendritic and axonal growth, synaptogenesis, and synaptic pruning, all of which
can be deleteriously impacted during the course of developmental neuropsychiatric
disorders. Elucidating the cellular mechanisms by which steroids modulate brain
development provides valuable insights into how these processes may go awry. An
emerging theme is the role of inflammatory signaling molecules and the innate
immune system in directing brain masculinization, the evidence for which we
review here. Evidence is also emerging that the neuroimmune system is
overactivated in individuals with autism spectrum disorder. These combined
observations lead us to propose that the natural process of brain masculinization
puts males at risk by moving them closer to a vulnerability threshold that could
more easily be breached by inflammation during critical periods of brain
development. Two brain regions are highlighted: the preoptic area and the
cerebellum. Both are developmentally regulated by the inflammatory prostaglandin
E2, but in different ways. Microglia, innate immune cells of the brain, and
astrocytes are also critical contributors to masculinization and illustrate the
importance of nonneuronal cells to the health of the developing brain.
PMID- 27871673
TI - Is It Time for Synthetic Biodiversity Conservation?
AB - Evidence indicates that, despite some critical successes, current conservation
approaches are not slowing the overall rate of biodiversity loss. The field of
synthetic biology, which is capable of altering natural genomes with extremely
precise editing, might offer the potential to resolve some intractable
conservation problems (e.g., invasive species or pathogens). However, it is our
opinion that there has been insufficient engagement by the conservation community
with practitioners of synthetic biology. We contend that rapid, large-scale
engagement of these two communities is urgently needed to avoid unintended and
deleterious ecological consequences. To this point we describe case studies where
synthetic biology is currently being applied to conservation, and we highlight
the benefits to conservation biologists from engaging with this emerging
technology.
PMID- 27871674
TI - The White-Knight Hypothesis, or Does the Environment Limit Innovations?
AB - Organisms often harbor latent traits that are byproducts of other adaptations.
Such latent traits are not themselves adaptive but can become adaptive in the
right environment. Here I discuss several examples of such traits. Their
abundance suggests that environmental change rather than new mutations might
often limit the origin of evolutionary adaptations and innovations. This is
important, because environments can change much faster than new mutations arise.
I introduce a conceptual model that distinguishes between mutation-limited and
environment-limited trait origins and suggest how experiments could help
discriminate between them. Wherever latent traits are plentiful, ecology rather
than genetics might determine how fast new adaptations originate and thus how
fast adaptive Darwinian evolution proceeds.
PMID- 27871675
TI - Glucagon-like Peptide-1 and the Central/Peripheral Nervous System: Crosstalk in
Diabetes.
AB - Glucagon-like peptide-1 (GLP-1) is released in response to meals and exerts
important roles in the maintenance of normal glucose homeostasis. GLP-1 is also
important in the regulation of neurologic and cognitive functions. These actions
are mediated via neurons in the nucleus of the solitary tract that project to
multiple regions expressing GLP-1 receptors (GLP-1Rs). Treatment with GLP-1R
agonists (GLP-1-RAs) reduces ischemia-induced hyperactivity, oxidative stress,
neuronal damage and apoptosis, cerebral infarct volume, and neurologic damage,
after cerebral ischemia, in experimental models. Ongoing human trials report a
neuroprotective effect of GLP-1-RAs in Alzheimer's and Parkinson's disease. In
this review, we discuss the role of GLP-1 and GLP-1-RAs in the nervous system
with focus on GLP-1 actions on appetite regulation, glucose homeostasis, and
neuroprotection.
PMID- 27871671
TI - Tumor control probability modeling for stereotactic body radiation therapy of
early-stage lung cancer using multiple bio-physical models.
AB - This work is to analyze pooled clinical data using different radiobiological
models and to understand the relationship between biologically effective dose
(BED) and tumor control probability (TCP) for stereotactic body radiotherapy
(SBRT) of early-stage non-small cell lung cancer (NSCLC). The clinical data of 1
, 2-, 3-, and 5-year actuarial or Kaplan-Meier TCP from 46 selected studies were
collected for SBRT of NSCLC in the literature. The TCP data were separated for
Stage T1 and T2 tumors if possible, otherwise collected for combined stages. BED
was calculated at isocenters using six radiobiological models. For each model,
the independent model parameters were determined from a fit to the TCP data using
the least chi-square (chi2) method with either one set of parameters regardless
of tumor stages or two sets for T1 and T2 tumors separately. The fits to the
clinic data yield consistent results of large alpha/beta ratios of about 20Gy for
all models investigated. The regrowth model that accounts for the tumor
repopulation and heterogeneity leads to a better fit to the data, compared to
other 5 models where the fits were indistinguishable between the models. The
models based on the fitting parameters predict that the T2 tumors require about
additional 1Gy physical dose at isocenters per fraction (?5 fractions) to achieve
the optimal TCP when compared to the T1 tumors. In conclusion, this systematic
analysis of a large set of published clinical data using different
radiobiological models shows that local TCP for SBRT of early-stage NSCLC has
strong dependence on BED with large alpha/beta ratios of about 20Gy. The six
models predict that a BED (calculated with alpha/beta of 20) of 90Gy is
sufficient to achieve TCP?95%. Among the models considered, the regrowth model
leads to a better fit to the clinical data.
PMID- 27871677
TI - IMPETUS - Interactive MultiPhysics Environment for Unified Simulations.
AB - We introduce IMPETUS - Interactive MultiPhysics Environment for Unified
Simulations, an object oriented, easy-to-use, high performance, C++ program for
three-dimensional simulations of complex physical systems that can benefit a
large variety of research areas, especially in cell mechanics. The program
implements cross-communication between locally interacting particles and
continuum models residing in the same physical space while a network facilitates
long-range particle interactions. Message Passing Interface is used for inter
processor communication for all simulations.
PMID- 27871676
TI - Streamline crossing: An essential mechanism for aerosol dispersion in the
pulmonary acinus.
AB - The dispersion of inhaled microparticles in the pulmonary acinus of the lungs is
often attributed to the complex interplay between convective mixing, due to
irreversible flows, and intrinsic particle motion (i.e. gravity and diffusion).
However, the role of each mechanism, the exact nature of such interplay between
them and their relative importance still remain unclear. To gain insight into
these dispersive mechanisms, we track liquid-suspended microparticles and extract
their effective diffusivities inside an anatomically-inspired microfluidic acinar
model. Such results are then compared to experiments and numerical simulations in
a straight channel. While alveoli of the proximal acinar generations exhibit
convective mixing characteristics that lead to irreversible particle
trajectories, this local effect is overshadowed by a more dominant dispersion
mechanism across the ductal branching network that arises from small but
significant streamline crossing due to intrinsic diffusional motion in the
presence of high velocity gradients. We anticipate that for true airborne
particles, which exhibit much higher intrinsic motion, streamline crossing would
be even more significant.
PMID- 27871678
TI - Direct numerical simulation of expiratory crackles: Relationship between airway
closure dynamics and acoustic fluctuations.
AB - This paper investigates the relationship between airway closure dynamics and
acoustic fluctuations in expiratory crackles using direct numerical simulation. A
unified mathematical model is proposed to deal with flow in an airway, elastic
deformation of the airway wall, surface tension driven motion of the liquid film
that lines the airway, and their acoustic fluctuations because of material
compressibility. Airway closure is induced by increasing the surrounding
pressure, then the source of the pressure fluctuations is measured over time. Our
results show that the airway closure occurs suddenly because of a bridge
formation of the liquid film, and high energy transfer occurs between the kinetic
energy, the surface energy of the liquid interface, and the elastic energy of the
airway wall, invoking a large acoustic fluctuation that causes the expiratory
crackles. Nonlinear behavior is observed in terms of the airway wall stiffness;
the dynamic motion of the airway closure becomes moderate and both the energy
transfer and acoustic fluctuations are dramatically reduced with an increase in
airway wall stiffness.
PMID- 27871679
TI - Discharge criteria after colon resection: Is return of bowel function necessary?
AB - BACKGROUND: This study was performed to evaluate tolerance of liquids as
discharge criteria in a perioperative enhanced recovery protocol. METHODS:
Patients undergoing elective colon resections were prospectively enrolled in a
standardized perioperative enhanced recovery process. Patients were eligible for
discharge when able to tolerate sufficient oral liquids, as determined by
clinical means, that intravenous fluids were no longer needed. RESULTS: Over an
18 month period, 94 patients were evaluated; 75 (80%) tolerated sufficient
liquids such that intravenous fluids were no longer needed by the second and all
by the third postoperative day. The average postoperative length of stay was 3.8
days. At discharge, 59 (63%) and 20 (21%) patients reported passage of flatus and
stool respectively. On 30 day follow up, 8 (8.5%) patients had been re-admitted.
CONCLUSION: These data suggest that after elective colon surgery, patients can be
discharged when able to tolerate sufficient oral liquids.
PMID- 27871680
TI - Clinical significance of circumportal pancreas, a rare congenital anomaly, in
pancreatectomy.
AB - BACKGROUND: Circumportal pancreas is a rare congenital pancreatic anomaly. The
aim of this study was to clarify the clinical characteristics of patients with
circumportal pancreases undergoing pancreatectomy. METHODS: The medical records
of 508 patients who underwent pancreatectomy were retrospectively reviewed. The
prevalence of circumportal pancreas and related anatomical variations were
assessed. Surgical procedures and postoperative outcomes were compared in
patients with and without circumportal pancreas. RESULTS: Circumportal pancreas
was observed in 9 of the 508 patients (1.7%). In all nine patients, the portal
vein was completely encircled by the pancreatic parenchyma above the level of the
splenoportal junction, and the main pancreatic duct ran dorsal to the portal
vein. The rate of variant hepatic artery did not differ significantly in patients
with and without circumportal pancreas. Pancreatic fistula developed more
frequently in patients with than without circumportal pancreas (44% vs. 14%, p =
0.03), but other clinical parameters did not differ significantly in these two
groups. CONCLUSIONS: Despite being rare, circumportal pancreas may increase the
risk of postoperative pancreatic fistula in patients undergoing pancreatectomy.
However, a prospective, large-cohort study is necessary to determine the real
incidence of relevant anatomical variations and the definitive clinical
significance of this rare anomaly.
PMID- 27871681
TI - Postoperative antibiotic use and the incidence of intra-abdominal abscess in the
setting of suppurative appendicitis: a retrospective analysis.
AB - BACKGROUND: Although guidelines exist for postoperative antibiotic use in acute
appendicitis that is perforated, gangrenous, or simple/uncomplicated, there are
less data about its use in suppurative appendicitis. Here, we targeted this
subgroup of patients to determine whether postoperative antibiotic administration
affects incidence of intra-abdominal abscess formation. METHODS: We
retrospectively examined 1,192 patients who underwent laparoscopic appendectomy
for acute appendicitis at Kaiser Permanente Fontana Hospital between August 2010
and August 2013. Suppurative appendicitis was described for 143 (12%) patients.
Fifty-two patients received postoperative antibiotics for at least 1 week on
discharge home, 91 did not. RESULTS: Of 143 patients with suppurative
appendicitis, 1 (1.9%) who received postoperative antibiotics came back with an
intra-abdominal abscess within 1 month. Of the 91 patients in the no antibiotic
group, 1 (1.1%) came back with an intra-abdominal abscess. CONCLUSIONS: The
administration of postoperative antibiotic in the setting of suppurative
appendicitis has no effect on the rate of intra-abdominal abscess formation.
Routine postoperative antibiotics may not be necessary in this patient
population, and more evidence is needed to justify its use.
PMID- 27871682
TI - Factors affecting mortality after penetrating cardiac injuries: 10-year
experience at urban level I trauma center.
AB - BACKGROUND: Despite the lethality of injuries to the heart, optimizing factors
that impact mortality for victims that do survive to reach the hospital is
critical. METHODS: From 2003 to 2012, prehospital data, injury characteristics,
and clinical patient factors were analyzed for victims with penetrating cardiac
injuries (PCIs) at an urban, level I trauma center. RESULTS: Over the 10-year
study, 80 PCI patients survived to reach the hospital. Of the 21 factors
analyzed, prehospital cardiopulmonary resuscitation (odds ratio [OR] = 30), scene
time greater than 10 minutes (OR = 58), resuscitative thoracotomy (OR = 19), and
massive left hemothorax (OR = 15) had the greatest impact on mortality. Cardiac
tamponade physiology demonstrated a "protective" effect for survivors to the
hospital (OR = .08). CONCLUSIONS: Trauma surgeons can improve mortality after PCI
by minimizing time to the operating room for early control of hemorrhage. In PCI
patients, tamponade may provide a physiologic advantage (lower mortality)
compared to exsanguination.
PMID- 27871683
TI - Perioperative support, not volume, is necessary to optimize outcomes in surgical
management of necrotizing enterocolitis.
AB - BACKGROUND: This study examines the relationship between hospital volume of
surgical cases for necrotizing enterocolitis (NEC) and patient outcomes. METHODS:
A retrospective cross-sectional review was performed using the HCUP SID for
California from 2007 to 2011. Patients with NEC who underwent surgery were
identified using ICD-9CM codes. Risk-adjusted models were constructed with mixed
effects logistic regression using patient and demographic covariates. RESULTS: 23
hospitals with 618 patients undergoing NEC-related surgical intervention were
included. Overall mortality rate was 22.5%. There were no significant differences
in the number of NICU beds (p = 0.135) or NICU intensivists (p = 0.469) between
high and low volume hospitals. Following risk adjustment, no difference in
mortality rate was observed between high and low volume hospitals respectively
(24.0% vs. 20.3%, p = 0.555). CONCLUSIONS: Our observation that neonates with NEC
treated at low-volume centers have no increased risk of mortality may be
explained by similar availability of NICU and intensivists resources across
hospitals.
PMID- 27871684
TI - The utility of 5-aminolevulinic acid-mediated photodynamic diagnosis in the
detection of intraoperative bile leakage.
AB - PURPOSE: The purpose of this retrospective study was to evaluate the utility of
the new intraoperative bile leakage test as a preventive measure of postoperative
bile leakage. METHOD: 737 patients were retrospectively analyzed with respect to
the management of intra- and post-operative bile leakage. RESULTS: Nine (8.3%) of
109 patients evaluated using conventional white light fluorescent imaging were
recognized as having intra-operative bile leakage. However, performance of 5
aminolevulinic acid (5-ALA)-mediated PDD detected bile leakage intraoperatively
not only in these 9 patients, but also in an additional 6 patients, such that
'red fluorescence' at the cut surface of the liver, was visualized in a total of
15 patients. The postoperative courses of most patients were uneventful, and
postoperative bile leakages occurred in only one (0.9%) patient. CONCLUSION: 5
ALA fluorescence imaging may be needed to prevent postoperative bile leakage in
patients at high risk for this surgical complication after hepatic resection.
PMID- 27871686
TI - Eliminating the organ transplant waiting list: The future with perfusion
decellularized organs.
PMID- 27871685
TI - Comparison of ultrasound frequency in laryngeal ultrasound for vocal cord
evaluation.
AB - BACKGROUND: Laryngeal ultrasound is a new method of vocal cord evaluation in
patients at risk for vocal cord palsy. However, the previously described
laryngeal ultrasound reportedly has a high failure rate of vocal cord
visualization in male patients. We compared 2 ultrasound frequencies in laryngeal
ultrasound to improve on the limitations of this method. METHOD: A total of 301
(55 male, 246 female) consecutive laryngeal ultrasound and direct laryngoscopy
exams were performed for patients with thyroidectomy and other neck operations.
High-frequency transducer (12-5 MHz broad band spectrum) and low-frequency
transducer (9-3 MHz broad band spectrum) were used for all laryngeal ultrasound.
Findings were independently cross-validated with direct laryngoscopy. RESULTS:
High-frequency and low-frequency laryngeal ultrasound had 88.4% and 97.7%
visualization rates, respectively. In addition, low-frequency laryngeal
ultrasound showed improved sensitivity of 97.6% and specificity of 96.5%,
compared with a sensitivity of 92.9% and specificity of 86.5% for high-frequency
laryngeal ultrasound in vocal cord evaluation. CONCLUSION: The low-frequency
laryngeal ultrasound method significantly enhances the visualization of vocal
cords, especially in patients who have diffuse thyroid cartilage calcification
interrupting laryngeal ultrasound, and therefore enhances the overall efficacy of
laryngeal ultrasound as a perioperative diagnostic tool for vocal cord palsy.
Hence, we recommend using a low-frequency transducer (about 9-3 MHz) for
laryngeal ultrasound if it is available.
PMID- 27871687
TI - Portal vein aneurysm incidentaloma.
PMID- 27871688
TI - An Unusual Cause of a Scalp Mass.
PMID- 27871689
TI - Reply.
PMID- 27871691
TI - Lucy fell from a tree and plunged 40 feet to her death.
PMID- 27871690
TI - The Relation between Breast Milk Sodium to Potassium Ratio and Maternal Report of
a Milk Supply Concern.
AB - We report that, among exclusively breastfeeding mothers at day 7 postpartum,
those with milk supply concerns were significantly more likely to exhibit
biochemical evidence of less progress toward mature lactation (elevated ratio of
breast milk sodium to potassium concentration). Furthermore, an elevated ratio of
breast milk sodium to potassium concentration was predictive of early weaning.
PMID- 27871692
TI - Authors' response.
PMID- 27871693
TI - Adverse effects of lingual and buccal orthodontic techniques.
PMID- 27871694
TI - Authors response.
PMID- 27871695
TI - Herbst appliance anchored to miniscrews with 2 types of ligation.
PMID- 27871696
TI - Do what I say and not what you do.
PMID- 27871698
TI - Your discretion, please.
PMID- 27871699
TI - Evaluation of enamel demineralization in adolescents after rapid maxillary
expansion using the quantitative light-induced fluorescence method: A single
center, randomized controlled clinical trial.
AB - INTRODUCTION: The aim of this 2-arm parallel trial was to evaluate enamel
demineralization after rapid maxillary expansion (RME) compared with an untreated
control group using quantitative light-induced fluorescence. METHODS: Thirty-six
patients who needed RME as part of their orthodontic treatment were separated
randomly into either the control group or the intervention group (RME).
Eligibility criteria included crossbite, no previous orthodontic treatment, no
systemic disease, and all permanent teeth erupted except second and third molars.
The main outcome was quantitative evaluation of demineralization, and assessment
of the vulnerability of each tooth to demineralization was the secondary outcome.
Randomization was made at the start of the study with preprepared random number
tables. Blinding was applicable for outcome assessment only. Patients in the RME
group underwent expansion with a bonded acrylic expander; patients in the control
group were untreated. Records were taken using quantitative light-induced
fluorescence Digital Biluminator (Inspektor Research Systems, Amsterdam, The
Netherlands) in pretreatment and posttreatment observation phases. The presence
and extent of lesions on the buccal surfaces of all teeth, except the second and
third molars, were assessed. The fluorescence loss, lesion area, and percentage
of fluorescence loss were determined using the system's software. The numbers of
teeth with more than a 5% change in fluorescence loss, were calculated. Data were
analyzed with Wilcoxon signed rank, Mann-Whitney U, multivariate analysis of
variance, and chi-square tests (P <0.05). Risk and odds ratios were calculated.
RESULTS: A total of 36 patients were randomized to either the RME or the control
group in a 1:1 ratio. This study was completed with 18 patients in the RME group
(8 girls, 10 boys; mean age, 14.2 +/- 1.0 years) and 18 patients in the control
group (10 girls, 8 boys; mean age: 14.1 +/- 0.8 years). All patients completed
the study, and none were lost to follow-up. The area of demineralization
decreased in the RME group (-17.50 mm2), which was a significantly greater
decrease than in the control group (0.00) (effect size, -2.63; mean difference,
87.94; 95% confidence interval, -223.75-47.86; P = 0.008). No statistically
significant difference was found for fluorescence loss. The numbers of teeth with
demineralization and remineralization were higher in the treatment group.
According to the risk ratio, the difference between groups regarding
demineralization was not significant. No harm was found except gingivitis
associated with the bonded appliance. CONCLUSIONS: RME therapy using a bonded
expander does not increase enamel demineralization. REGISTRATION: This trial was
not registered. PROTOCOL: The protocol was not published before trial
commencement.
PMID- 27871700
TI - Laypeople's perceptions of frontal smile esthetics: A systematic review.
AB - INTRODUCTION: The emphasis on dental esthetics has increased in recent years.
There are, however, differences in esthetic perceptions among professional and
lay groups. The aim of this comprehensive review was to update previous reviews
and answer the following research question: Can lay thresholds for acceptance of
smile esthetic anomalies be defined? METHODS: A systematic search in the medical
literature (PubMed, PMC, NLM, Embase, Cochrane Central Register of Controlled
Clinical trials, Web of Knowledge, Scopus, Google Scholar, and LILACs) was
performed to identify all peer-reviewed articles reporting data regarding
evaluations of laypeople's perceptions of dental esthetic factors. RESULTS: Of
the 6032 analyzed articles, 66 studies were selected for the final review
process. Among the selected articles investigated perceptions of diastema, 15
analyzed modifications in tooth size and shape, 8 considered incisor positions,
15 evaluated midline discrepancies, 16 investigated buccal corridors, 26 analyzed
gingival display and design, 3 considered lip height, and 20 investigated
miscellaneous factors. Threshold values were identified for the following
features: diastema (0-2 mm), tooth size and shape of incisor position, midline
discrepancy (0-3 mm), buccal corridors (5-16 mm), gingival exposure (1.5-4 mm),
occlusal canting (0 degrees -4 degrees ), and overbite (2-5 mm). Furthermore, few
other smile characteristics were found to be significantly associated with
perception of smile aesthetics, even though any threshold could be detected.
CONCLUSIONS: On the basis of the obtained results, threshold values for the main
features of smile and dental esthetics could be identified. Limitations of the
present study were the heterogeneity of data which made it impossible to perform
a meta-analysis, and the lack of information about sample selection and selective
outcome reporting.
PMID- 27871701
TI - Comparative evaluation of 2 skeletally anchored maxillary protraction protocols.
AB - INTRODUCTION: The aim of this study was to evaluate and compare the effects of 2
protocols of bone-anchored maxillary protraction with an untreated control group.
METHODS: Thirty growing Class III subjects with maxillary deficiency in the late
mixed or early permanent dentition were included in the study. In group 1 (n =
10), skeletally anchored facemasks were used with miniplates placed at the
zygomatic buttress. In group 2 (n = 10), the patients were treated with Class III
elastics extending from infrazygomatic miniplates in the maxilla to symphyseal
miniplates in the mandible. Group 3 (n = 10) was an untreated control group.
Pretreatment and posttreatment cephalometric radiographs were analyzed. RESULTS:
The treatment periods were 8 and 8.9 months in groups 1 and 2, respectively, and
the untreated control group was observed for 9.4 months. The maxilla moved
forward significantly in groups 1 and 2 compared with the untreated control group
(4.87 mm in group 1, 5.81 mm in group 2); overjet and maxillary incisor display
were improved without proclination or mesialization of the maxillary teeth
relative to the maxillary base. Soft tissue harmony demonstrated the great
improvement. However, group 1 showed more opening rotation of the mandible and
lingual inclination of the mandibular incisors than did group 2. CONCLUSIONS: The
2 skeletal anchorage protocols for maxillary protraction effectively resolved the
severe maxillary deficiently in growing Class III patients. However, vertical
changes and retroclination of the mandibular incisors were better controlled by
Class III elastics extending from the infrazygomatic miniplates in the maxilla to
the symphyseal miniplates in the mandible (group 2).
PMID- 27871702
TI - Comparison of direct and indirect skeletal anchorage systems combined with 2
canine retraction techniques.
AB - INTRODUCTION: We compared the effectiveness of 2 canine retraction springs and
anchorage systems (direct and indirect skeletal anchorage) in patients requiring
first premolar extractions and maximum anchorage in the maxilla. METHODS: Thirty
six patients were included (17 male, 19 female; mean age, 16.8 +/- 2.4 years). A
mini-implant-supported Nance appliance with indirect skeletal anchorage system
was used in 18 patients and a mini-implant-supported direct skeletal anchorage
system in the remaining patients. In each patient, a segmental retraction arch
with a reverse closing loop was applied to a maxillary canine, and a Ladanyi
spring (Dentaurum, Ispringen, Germany) was applied to the other canine randomly
after extraction of the maxillary first premolars. The retraction process was
continued until a Class I canine relationship was obtained. Lateral cephalometric
films and orthodontic casts taken before and after retraction in the
distalization process were used to evaluate changes during canine distalization.
The measurements were statistically evaluated using paired and independent t
tests with 95% confidence intervals. RESULTS: The reverse closing loop and the
Ladanyi spring were found to be effective in canine distalization (P <=0.001).
There were no statistically significant differences between the reverse closing
loop and the Ladanyi spring with regard to canine distalization rates (P >=0.05).
Both systems were effective in providing maximum anchorage (P >=0.05); no
statistically significant differences were detected in molar anchorage loss rates
between the 2 methods (P >=0.05). CONCLUSIONS: These 2 systems can be used during
segmental distalization of canines requiring maximum anchorage with no
significant anchorage loss.
PMID- 27871703
TI - Change in the vertical dimension of Class II Division 1 patients after use of
cervical or high-pull headgear.
AB - INTRODUCTION: The goals of this study were to compare the effects that cervical
and high-pull headgear have on the vertical dimensions in Class II Division 1
patients during phase 1 treatment and to compare these effects with untreated
predicted growth for the sample population. METHODS: Pretreatment and
posttreatment cephalometric radiographs of children who had undergone Class II
Division 1 correction with cervical (n = 22) or high-pull headgear (n = 19) were
analyzed for the measurements that describe the changes in the vertical component
of growth and mandibular position. The groups were matched for age (mean, 9 +/-
2.5 years), treatment time (mean, 14 months), malocclusion, and similar skeletal
features. The groups were compared with each other and also with an untreated
growth model. RESULTS: Treatment with cervical headgear resulted in smaller
increases in measurements that describe the vertical dimension than with high
pull headgear. Cervical headgear showed more favorable changes in mandibular
growth that were statistically significant when compared with the untreated
growth models. CONCLUSIONS: In this study, the cervical headgear showed more
control over the vertical dimension and produced more favorable changes in
mandibular position by normalizing the occlusal plane. Compared with the
untreated growth model, cervical headgear worked synergistically with growth to
produce more optimal changes in mandibular position.
PMID- 27871704
TI - Accuracy and reliability of orthodontists using cone-beam computerized tomography
for assessment of adenoid hypertrophy.
AB - INTRODUCTION: Our objectives were to evaluate the reliability of agreement
between orthodontists, with various degrees of cone-beam computed tomography
(CBCT) imaging manipulation comfort, in classifying adenoid hypertrophy through
CBCT generated images and also to determine how accurate orthodontists are
compared with the gold standard diagnosis, nasopharyngoscopy. METHODS: This was a
cross-sectional study in which a randomized list of board-certified orthodontists
evaluated different degrees of adenoid hypertrophy of a stratified sampling of 10
scans. The available pool of CBCT images was from a multidisciplinary airway
clinic in which children and adolescents had a CBCT scan and a nasopharyngoscopy
(reference standard) by an otolaryngologist (head and neck surgeon) on the same
day. The participating orthodontists used the same viewer software and computer,
and had access to a previously published visual guideline for evaluating adenoid
size. RESULTS: Fourteen orthodontists evaluated 10 CBCT reconstructions.
Interoperator reliability was excellent (intraclass correlation coefficient
[ICC], 0.941; 95% confidence interval, 0.882-0.984). However, the orthodontists'
evaluations against the reference standard demonstrated poor accuracy, (ICC mean,
0.39; ICC range, 0.0-0.74). Dichotomous data representing healthy and unhealthy
patients were analyzed individually, and the orthodontists' evaluations and the
nasopharyngoscopy results (accuracy) showed, on average, poor kappa values (mean,
0.44; range, 0.20-0.80). CONCLUSIONS: Different levels of CBCT expertise impacted
the assessment accuracy. The participating orthodontists showed excellent
consistency among themselves; however, poor agreement between their CBCT
assessments compared with nasopharyngoscopy demonstrated that this sample of
clinical orthodontists had poor diagnostic accuracy. Together, these findings
suggest that orthodontists may make consistent and systematic errors in this type
of evaluations.
PMID- 27871705
TI - Applications of cone-beam computed tomography to assess the effects of labial
crown morphologies and collum angles on torque for maxillary anterior teeth.
AB - INTRODUCTION: Currently, cone-beam computed tomography (CBCT) has been widely
used because of its capacity to evaluate the anatomic structures of the maxilla,
mandible, and teeth in 3 dimensions. However, articles about the use of CBCT to
evaluate the relationships between the morphology of individual teeth and torque
expression remain rare. In this study, we aimed to determine the influence of
labial crown morphologies and collum angles on torque for maxillary anterior
teeth using CBCT. METHODS: A total of 206 extracted maxillary anterior teeth were
selected to establish scanning models using dental wax, and they were scanned by
CBCT. Three-dimensionally reconstructed images and median sagittal sections of
the teeth were digitized and analyzed with AutoCAD software (Autodesk, San
Rafael, Calif). The angle alpha, formed by the intersection of the tangent at a
certain vertical height on the labial surface from the incisal edge with the
crown long axis, and the collum angle, were measured. RESULTS: The variations in
angle alpha at different heights from the incisal edge for the same type of tooth
were statistically significantly different (P <0.001). Moreover, the variations
between collum angles and 0 degrees for any type of maxillary anterior tooth
were statistically significant (P <0.01). CONCLUSIONS: This study suggested that
there are great differences in labial crown morphologies and collum angles for
maxillary anterior teeth between persons, indicating that the morphologies of
these teeth do play important roles in torque variations.
PMID- 27871706
TI - Retrospective study of maxillary sinus dimensions and pneumatization in adult
patients with an anterior open bite.
AB - INTRODUCTION: The aim of this study was to evaluate differences in the maxillary
sinus floor levels between adults with an anterior open bite and those without.
METHODS: This retrospective study included 30 subjects: 15 adults with an
anterior open bite (mean age, 21.5 +/- 4.3 years) and 15 control subjects with
normal occlusion (mean age, 21.7 +/- 3.1 years). Cone-beam computed tomography
and lateral cephalograms were analyzed before treatment. RESULTS: The open-bite
group exhibited a significantly greater maxillary posterior alveolar height (P
<0.05). The craniocaudal heights of the maxillary sinus in the region between the
first and second molars and between the second premolar and first molar were
significantly greater in the open bite group (40.5 and 39.0 mm, respectively)
than in the control group (36.7 and 34.7 mm, respectively; P <0.05 for both). The
basal bone heights in the regions between the first and second molars, the second
premolar and first molar, and the first and second premolars were significantly
smaller in the open-bite group than in the control group (P <0.001 for all).
CONCLUSIONS: Vertical pneumatization of the maxillary sinus floor in the region
between the first and second molars and between the second premolar and first
molar is greater in adults with an anterior open bite than in those without,
whereas basal bone height in the maxillary posterior region is lower in the open
bite patients.
PMID- 27871707
TI - Cone-beam computed tomography-synthesized cephalometric study of operated
unilateral cleft lip and palate and noncleft children with Class III skeletal
relationship.
AB - INTRODUCTION: Our objective was to compare the craniofacial hard and soft tissue
characteristics between children with operated unilateral cleft lip and palate
(UCLP) and children with noncleft lip and palate (non-CLP) with a Class III
skeletal relationship. METHODS: The study sample consisted of 30 subjects (18
boys, 12 girls; mean age, 10.21 years) affected by UCLP and 30 non-CLP subjects
(17 boys, 13 girls; mean age, 10.19 years) as the control group. All subjects
were in the mixed dentition with a Class III skeletal relationship. Cone-beam
computed tomography-synthesized cephalograms were traced and evaluated, and
craniofacial hard and soft tissue morphologies were compared between the UCLP and
non-CLP groups. RESULTS: Maxillary length and gonial angle were 2.66 mm shorter
and 3.67 degrees greater, respectively, in the UCLP group than those in the non
CLP group. The SNA and SNB angles describing the sagittal positions of the
maxilla and mandible, respectively, relative to the cranial base were
significantly smaller in the UCLP group (P <0.001 and P = 0.003, respectively).
However, the 2 groups had similar sagittal intermaxillary relationships with
similar ANB angles (P = 0.669). In the vertical dimension, the mandibular plane
angle and the growth direction vector were significantly greater in the UCLP
group (P = 0.007 and P <0.001, respectively). Lastly, the UCLP group had a more
concave soft tissue profile, manifested by a reduced facial convexity angle, as
well as an acute nasolabial angle and a more protruded lower lip. CONCLUSIONS:
Although the 2 groups had similar sagittal intermaxillary relationships, patients
in the UCLP group had more retrusive maxillary and mandibular positions relative
to the cranial base and more severe vertical discrepancies. Additionally, the
soft tissue profiles of patients affected by UCLP were more concave, and the
compensatory adaptation was less satisfactory.
PMID- 27871708
TI - Treatment-associated changes in malocclusion and oral health-related quality of
life: A 4-year cohort study.
AB - INTRODUCTION: The impact of orthodontic treatment on oral health-related quality
of life (OHRQoL) in adolescents being treated in orthodontic practices has not
yet been explored longitudinally. The aim of this cohort study was to describe
the changes in both malocclusion and OHRQoL with orthodontic treatment. METHODS:
One hundred seventy-four patients (ages, 10-17 years; 64.4% girls; 81.6%
European) underwent 2-arch, fixed-appliance treatment in a 4-year prospective
study conducted across 19 specialist orthodontic practices throughout New
Zealand. They were assessed before treatment, at debond (when 87.4% of the
baseline sample were reassessed), and at a mean 21 months postdebond (when 59.4%
of the baseline sample were reassessed). OHRQoL was measured using the Child
Perceptions Questionnaire, and the Dental Aesthetic Index was used to measure
occlusion. RESULTS: Among the 104 patients who took part in all 3 assessments,
little change in OHRQoL overall was seen at the end of treatment, despite
considerable improvement in malocclusion (with the mean Dental Aesthetic Index
score falling from 35.9 at baseline to 21.3 at debond). The mean Child
Perceptions Questionnaire 11-14 was slightly greater at debond, and this was most
notable in the functional limitations subscale. By the end of the study (21
months postdebond, on average), the decreases in Child Perceptions Questionnaire
11-14 scores were all substantial, especially in the emotional well-being and
social well-being subscales. CONCLUSIONS: Malocclusion affects orthodontic
patients' OHRQoL before treatment. A temporary increase in symptomatic impacts
seen by the debond stage appears to ameliorate with time, with the benefits of
orthodontic treatment for OHRQoL manifesting themselves some months later.
PMID- 27871709
TI - Cephalometric study of Class II Division 1 patients treated with an extended
duration, reinforced, banded Herbst appliance followed by fixed appliances.
AB - INTRODUCTION: The Herbst appliance has been used in the treatment of Class II
malocclusions with deficient mandibles. Various protocols, including different
durations of the orthopedic treatment phase and stepwise advancement of the
mandible, have been advocated for increasing the orthopedic effects. The
objective of this study was to investigate the skeletal and dental changes in
patients treated with a reinforced banded Herbst appliance for an extended
duration and fixed appliance therapy. METHODS: The study group consisted of 30
patients (16 boys, 14 girls; mean age, 12.3 +/- 2.5 years) with Class II Division
1 malocclusions who were successfully treated with the new Herbst protocol
followed by fixed appliances. Lateral cephalometric radiographs were taken before
treatment, at the completion of Herbst treatment, and after removal of fixed
appliances. The average treatment times were 1.5 +/- 0.7 years for the Herbst
treatment and 1.8 +/- 0.5 years for the fixed appliances. A control Class II
sample from the Bolton-Brush study was used to subtract growth from treatment
changes to determine the appliance effect. Data were analyzed using analysis of
variance and the Tukey-Kramer test. RESULTS: After the Herbst treatment, the
incisal relationships of all subjects had been overcorrected to end-to-end
relationships. Overjet was reduced by 7.2 mm after subtracting changes from
growth. The skeletal contribution was 2.5 mm (35%), and the dental contribution
was 4.7 mm (65%). The molar relationship was overcorrected to a more Class I
relationship by 7.5 mm. The Wits appraisal was improved by 4.2 mm. Vertically,
overbite was decreased by 3.3 mm. The maxillary and mandibular molars were
extruded by 1 mm. The occlusal plane rotated clockwise by 5 degrees with little
change in the mandibular plane angle. After the treatment with fixed appliances,
the overjet correction was maintained at 7.6 mm. The skeletal contribution was
2.9 mm (38%), and the dental contribution was 4.7 mm (62%). The molar
relationship was corrected to a Class I relationship by 5.9 mm. The Wits
appraisal was improved by 3.2 mm. Vertically, overbite was decreased by 4.2 mm.
The maxillary and mandibular molars were extruded by 0.3 and 0.8 mm,
respectively. The occlusal plane rotated clockwise by 1.2 degrees with little
change in the mandibular plane angle. CONCLUSIONS: Doubling the usual orthopedic
treatment time with the reinforced Herbst appliance followed by fixed appliance
therapy was effective in correcting Class II Division 1 malocclusions with excess
overjet and overbite. In this sample of successfully treated patients, most
changes after Herbst and fixed appliance therapy were dentoalveolar (62%).
However, the skeletal changes attained in the orthopedic phase of treatment were
maintained after fixed appliance therapy.
PMID- 27871710
TI - Microbiological assessment of aerosol generated during debond of fixed
orthodontic appliances.
AB - INTRODUCTION: The aims of this study were to describe bacterial load and
diversity of the aerosol created during enamel cleanup after the removal of fixed
orthodontic appliances and to assess the effect of a preprocedural mouth rinse.
METHODS: The study involved the sampling of ambient air adjacent to the patient's
mouth during adhesive removal using a slow-speed handpiece and a spiral fluted
tungsten carbide bur without water irrigation. Sampling was carried out during
enamel cleanup with or without a preprocedural mouth rinse of either sterile
water or chlorhexidine. Airborne particles were collected using a viable inertial
impactor simulating the human respiratory tree. The bacteria collected were
analyzed using both culture and molecular techniques. RESULTS: Bacteria produced
during debond and enamel cleanup can reach all levels of the respiratory tree.
The use of a preprocedural mouth rinse, either sterile water or chlorhexidine,
increased the numbers and diversity of the bacteria in the air. CONCLUSIONS: When
using a slow-speed handpiece and a spiral fluted tungsten carbide bur for enamel
cleanup after orthodontic treatment, the bacterial load and diversity of the
aerosol produced are lower when a preprocedural mouth rinse is not used.
PMID- 27871711
TI - Comparisons of tooth sizes, dental arch dimensions, tooth wear, and dental
crowding in Amazonian indigenous people.
AB - INRODUCTION: Our objective was to assess tooth wear, arch dimensions, tooth
sizes, and dental crowding in 4 remote indigenous villages on the Xingu River in
Brazil. These populations have similar patterns of dietary habits and practice
exclusive breast-feeding, whereas studies in human genetics show large
intertribal genetic distances and low intratribal variations. METHODS: Dental
casts of 107 subjects in the permanent dentition were evaluated. Tooth wear, arch
dimensions, mesiodistal tooth widths, and the irregularity index of the incisors
were obtained and compared using analysis of variance or the Kruskal-Wallis test
(P <0.05). RESULTS: Tooth wear and tooth size in the mandible were similar among
villages. Three groups were discriminated. The first group included the Xicrin
Kayapo and Arara-Laranjal villages, since no significant difference was found
between them. Larger tooth sizes and arch dimensions (P <0.001) were found in
both jaws in the Assurini village compared with the Xicrin-Kayapo and Arara
Laranjal villages; this resulted in similar dental crowding index values in these
groups. The Arara-Iriri village, where a high coefficient of inbreeding had been
reported, showed intermediate arch dimensions, with the mesiodistal tooth widths
similar to those in the Xicrin-Kayapo and Arara-Laranjal villages. This scenario
resulted in a group with the lowest irregularity index, close to 0. CONCLUSIONS:
These Amazonian indigenous villagers, who have been genetically studied
previously, showed large intergroup genetic variations and similar patterns of
tooth wear. Thus, we suggest from the findings in this study that the etiology of
dental crowding among the inhabitants of the Xingu River area is predominantly
associated with variations in the dimensions of dental arches, related to genetic
influences.
PMID- 27871712
TI - Treatment with Twin-block appliance followed by fixed appliance therapy in a
growing Class II patient.
AB - A girl, aged 11 years 4 months, with a skeletal Class II pattern and a severe
overjet (10 mm) was treated with a Twin-block appliance. After 9 months of
appliance therapy, the skeletal Class II was overcorrected. After 26 months of
retention, when the occlusion was stable and the growth rate was diminishing,
fixed orthodontic appliances using temporary skeletal anchorage devices were
initiated. The total active treatment time with fixed orthodontic appliances was
30 months. Posttreatment records after 18 months demonstrated excellent
stability: a functional occlusion and a pleasing facial balance. Cone-beam
computed tomography was used to visualize temporomandibular joint adaptations
after the functional and fixed orthodontic therapies.
PMID- 27871713
TI - En-masse protraction of mandibular posterior teeth into missing mandibular
lateral incisor spaces using a fixed functional appliance.
AB - Protraction of mandibular posterior teeth requiring absolute anchorage has always
been a challenge, especially when the space is located in the anterior region,
since more teeth must be protracted. Traditionally, skeletal anchorage devices
have been used for anchorage reinforcement during protraction. However, drawbacks
such as requirement of a surgical step, inability to tolerate heavy forces, and
patient willingness to undergo such surgical procedures can be limiting factors.
Additionally, the mechanics involved can sometimes create undesirable side
effects, thereby limiting their application in such situations. This report
describes the use of a fixed functional appliance as an anchorage-reinforcement
device for en-masse protraction of mandibular posterior teeth into a missing
lateral incisor space.
PMID- 27871714
TI - Surgical and orthodontic management of maxillary canine-lateral incisor
transpositions.
AB - Transposition of the maxillary canine and the lateral incisor is a complex dental
anomaly to treat. The difficulty increases if the treatment aims to correct the
transposition. These case reports describe 2 patients with transposition of the
maxillary lateral incisor and canine. The first case involves bilateral
incomplete transpositions, and the second is a complete transposition. The
radiographic appearance of the canine was similar in the 2 patients. However, the
treatments were distinct because of the 3-dimensional positions of the teeth. The
first case involved palatally placed lateral incisor roots. To prevent resorption
of the lateral incisors, the canines were moved into position buccally. In the
second case, the lateral incisor root had a buccal position, and the canine crown
was tractioned palatally. The position of the lateral incisor root was critical
when electing the correct treatment and mechanics for each patient.
PMID- 27871715
TI - Assessing agreement in measurements of orthodontic study models: Digital caliper
on plaster models vs 3-dimensional software on models scanned by structured-light
scanner.
AB - INTRODUCTION: In this study we aimed to compare measurements on plaster models
using a digital caliper, and on 3-dimensional (3D) digital models, produced using
a structured-light scanner, using 3D software. METHODS: Fifty digital models were
scanned from the same plaster models. Arch and tooth size measurements were made
by 2 operators, twice. Calibration was done on 10 sets of models and checked
using the Pearson correlation coefficient. Data were analyzed by error variances,
repeatability coefficient, repeated-measures analysis of variance, and Bland
Altman plots. RESULTS: Error variances ranged between 0.001 and 0.044 mm for the
digital caliper method, and between 0.002 and 0.054 mm for the 3D software
method. Repeated-measures analysis of variance showed small but statistically
significant differences (P <0.05) between the repeated measurements in the arch
and buccolingual planes (0.011 and 0.008 mm, respectively). There were no
statistically significant differences between methods and between operators.
Bland-Altman plots showed that the mean biases were close to zero, and the 95%
limits of agreement were within +/-0.50 mm. Repeatability coefficients for all
measurements were similar. CONCLUSIONS: Measurements made on models scanned by
the 3D structured-light scanner were in good agreement with those made on
conventional plaster models and were, therefore, clinically acceptable.
PMID- 27871716
TI - It's not the same thing.
PMID- 27871717
TI - The chi-square test.
PMID- 27871718
TI - Azanon's disease. A 19th century epidemic of neurolathyrism in Spain.
AB - The cultivation and consumption of grasspea (Lathyrus sativus) in Spain probably
dates back centuries, especially during times of famine when the neurotoxic
potential of this legume was expressed in the form of a spastic paraparesis known
as neurolathyrism. Little known outside the country, the epidemic of
neurolathyrism in the years following the Spanish Civil War (1936-1939) came to
affect more than a thousand people. In late 1872, during the Six Years
Revolutionary Term, young Alejandro San Martin Satrustegui (1847-1908), then
editor of the popular weekly El Siglo Medico, travelled to Azanon, a remote
village in the province of Guadalajara, to clarify a so-far unknown disease. We
analysed the original article published in 1873 by San Martin, as well as
communications sent by El Siglo Medico readers reporting similar cases in many
other Castilian provinces. San Martin's neurological findings in seven personally
examined cases were astonishingly accurate; he concluded the subjects'
neurological deficits resulted from injury to the lateral columns in the lower
portion of the spinal cord. Description of the clinical findings provided both by
San Martin, and by the readers of El Siglo Medico, leave no doubt as to the
diagnosis of neurolathyrism. However, none suspected the patient's staple food
was the determinant cause of the disease. San Martin proposed the eponym Azanon's
disease for lack of a better name the same year (1873) in which Cantani in Italy
introduced the term lathyrism. The epidemic of neurolathyrism that affected many
Castilian towns represents one of the best-documented in Europe during the last
third of the 19th century.
PMID- 27871719
TI - [Work satisfaction and exhaustion among psychiatrists in psychiatric emergency
services].
AB - BACKGROUND AND AIM: Psychiatric emergency services (PES) have recently occupied a
new and growing place in the landscape of mental health services. However, few
data are available on the way psychiatrists practice in the PES. Our aim was to
survey psychiatrists working in PES, focusing on their job satisfaction and the
theoretical models they use in their everyday practice. METHOD: We sent a survey
to a sample of 508 psychiatrists working in PES in France. RESULTS: Two hundred
and thirty-seven psychiatrists returned the survey, yielding a response rate of
47%. On a 0 to 10 scale, the mean level of job satisfaction was 6.7 (SD: 1.92).
Participants reported that facing a variety of clinical situations and playing a
key-coordinating role in the mental health system were the two most specific
features of emergency psychiatry. The main sources of dissatisfaction were
organizational issues and stressful clinical experiences, including violence or
hostility. Sixty-three percent (n=150) of participants reported using more than
two theoretical models in their practice, while the use of crisis models was
reported by almost 40% of them. When assessed for suggestions to improve the PES,
the majority of participants indicated that efforts should be focused on
organizational factors. CONCLUSION: While emergency psychiatry could appear as an
ungrateful practice, the majority of psychiatrists who responded to our survey
reported satisfaction with their work and highlighted its unique particularities.
Future research and collaborations are needed to identify organizational models
of PES and their better integration in mental health networks.
PMID- 27871720
TI - [Guidelines for the prescription of mood stabilizers for adolescents: A
literature review].
AB - INTRODUCTION: Adolescence is a unique phase of the human developmental process.
In adolescents, psychotropic medications may have different efficacy and
tolerance profiles compared to those at other stages of the lifespan. Mood
stabilizers are a complex pharmacological category including lithium, some
anticonvulsants, and some second generation antipsychotics. Focusing on this
class of pharmacological agents, we aim to answer the following questions: in
which indications and according to which modalities should mood stabilizers be
prescribed during adolescence? METHODS: Information was sought from the websites
of the French Haute Autorite de sante (HAS) and Agence nationale de securite du
medicament et des produits de sante (ANSM), the American Food and Drug
Administration (FDA) and the British National Institute for Health and Clinical
Excellence (NICE). Guidelines from the American Academy of Child and Adolescent
Psychiatry (AACAP) were also reviewed. Additional articles were found using
PubMed and Google Scholar. We assumed that guidelines published by a national
institute were the most relevant, second information from medical academies, then
literature reviews, and finally single studies. Practical prescription data were
also sought from the French Vidal Drug Dictionary. RESULTS: For bipolar disorder
in adolescents, lithium has been the first drug licensed in France (from the age
of 16) and in the USA (from the age of 12), with indications for acute mania and
preventive treatment. Benefits for impulsive and self-aggressive behaviour
disorders (especially relevant in case of borderline personality disorder) have
also been documented, although lithium has not been licensed in any country for
those indications. Extended-release tablets are usually used, at doses targeting
for a lithiemia between 0.8 and 1.2mEq/L 12hours after last intake. Because of a
narrow therapeutic window and potential side effects (especially nephrotoxicity),
lithium prescription requires regular blood tests and good treatment compliance.
None of the anticonvulsants has been licensed by a national drug administration
as a mood stabilizer in adolescents. However, the AACAP recommends valproate as a
first line treatment for mania, even though the NICE and the ANSM caution that
valproate should not be used by women of child bearing age. Besides its
teratogenic and endocrine side effects, valproate exposes one to the risk of
hepatic toxicity. That is why regular liver function tests should be prescribed
when valproate is chosen. According to the AACAP, carbamazepine (which is
licensed for the treatment of mania in adults) is not a first line treatment for
adolescents. Indeed, no clinical study has demonstrated its efficacy on manic
episodes in adolescents. Moreover, carbamazepine exposes one to the risk of
agranulocytosis. Lamotrigine has not been approved for adolescents, but some
studies suggest its efficacy for bipolar depression (often a treatment-resistant
phase) in this age group. Major side effects are the risk of Lyell or Stevens
Johnsons syndrome (which usually occur within the first eight weeks of
treatment). There is no need for biological tests, just clinical monitoring.
Pharmacological interactions between lamotrigine and oral contraceptives require
caution. Finally, the use of some second generation antipsychotics for bipolar
disorder in adolescents has been approved by national drug administrations. In
France, only aripiprazole is licensed for acute mania (from the age of 13). In
the USA, aripiprazole is licensed from the age of 10 for acute mania and
preventive treatment, while risperidone and quetiapine are licensed from the age
of 10 for acute mania, and olanzapine is licensed from the age of 13 for acute
mania. The AACAP recommends second generation antipsychotics as a first line
treatment for bipolar disorder. Moreover, the AACAP and the NICE recommend second
generation antipsychotics for behavioural disorders in adolescents. Recommended
doses are usually lower and titration slower than for adults. As in adults,
adverse effects are metabolic, motor and cognitive disorders. Moreover,
hyperprolactinemia, sedation and weight gain are more frequent than in adults.
DISCUSSION: Epidemiologic data for prescription of mood stabilizers in
adolescents only partially concord with recommendations from drug administrations
and scientific societies. On the one hand, there is a trend toward preferential
prescription of second generation antipsychotics, on the other hand lithium is
hardly prescribed to adolescents, less often than anticonvulsants. Thus, without
approval from any drug administration, the anticonvulsants are often preferred to
lithium (because of lithium's potential risks due to noncompliance or voluntary
poisoning) and to second generation antipsychotics (because of their tolerance
profile). Nevertheless, for prescribers it is a complex matter to compare side
effects: the frequency and intensity of adverse effects is quite variable from
one mood stabilizer to another, and such a thing as an expected value is
therefore hard to define. Regardless of the medication chosen, compliance and
therapeutic alliance are major issues. Compliance is especially low during
adolescence (less than 40% according to a study on bipolar disorder). This lack
of compliance has multiple determinants: poor acceptance or misunderstanding of
the psychiatric disorder, indirect effects of bad relationships with parents and
more generally adults, but also reckless behaviour or death wishes. Improving
therapeutic alliance appears as a major challenge for health practitioners
dealing with youth. One interesting path of research could be the therapeutic
education programs using humanistic communication techniques (addressing both
adolescents and their parents) which have already produced encouraging results.
PMID- 27871721
TI - Roles of human epididymis protein 4, carbohydrate antigen 125, inhibin B and anti
Mullerian hormone in the differential diagnosis and follow-up of ovarian
granulosa cell tumors.
AB - OBJECTIVE: Evaluation of circulating tumor markers in ovarian cancer is crucial
for optimal patient care. The goal of this study was to verify the most accurate
circulating tumor markers for the diagnosis and follow-up of adult-type granulosa
cell tumors (AGCTs). METHODS: The levels of circulating human epididymis protein
4 (HE4) and carbohydrate antigen 125 (CA125), together with AGCT markers inhibin
B and anti-Mullerian hormone (AMH), were measured in 135 samples from AGCT
patients, 37 epithelial ovarian carcinoma (EOC) patients, and 40 endometrioma
(ENDO) patients. The levels were plotted with receiver operating characteristic
(ROC) graphs, and the area under the curves (AUC) of the different markers were
calculated and compared. RESULTS: HE4 levels were significantly lower in AGCTs
than in EOCs (p<0.0001). CA125 levels were above 35IU/l in 25% of AGCT patients
and 47.5% of ENDO patients, whereas inhibin B and AMH levels were elevated only
in patients with AGCTs. In the AUC comparison analyses, inhibin B alone was
sufficient to differentiate AGCT from EOC. In differentiating AGCT from ENDO,
inhibin B and AMH performed similarly, and the combination of inhibin B and AMH
increased the accuracy compared to either marker alone (sensitivity, 100%;
specificity, 93%). Among AGCT patients, inhibin B was the best marker for
detecting the presence of AGCT. CONCLUSIONS: HE4 and CA125 levels were low in
AGCTs, and inhibin B was the most accurate circulating biomarker in
distinguishing AGCTs from EOCs and from ENDOs. Inhibin B was also the best single
marker for AGCT follow-up.
PMID- 27871722
TI - Video endoscopic inguinal lymphadenectomy (VEIL) for vulvar cancer.
PMID- 27871723
TI - Safety and efficacy of single-agent bevacizumab-containing therapy in elderly
patients with platinum-resistant recurrent ovarian cancer: Subgroup analysis of
the randomised phase III AURELIA trial.
AB - BACKGROUND: The AURELIA trial demonstrated significantly improved progression
free survival (PFS) with bevacizumab added to chemotherapy for platinum-resistant
ovarian cancer (PROC). METHODS: Patients with PROC were randomised to receive
investigator-selected single-agent chemotherapy alone or with bevacizumab. Post
hoc exploratory analyses assessed efficacy, safety and patient-reported outcomes
according to age <65 versus >=65years. RESULTS: In the 133 patients (37%) aged
>=65years, baseline hypertension was more frequent and ascites was less common
than in patients <65years. The magnitude of PFS benefit from bevacizumab was
similar in patients >=65 versus <65years (hazard ratio 0.44 [95% CI, 0.31-0.64]
versus 0.49 [95% CI, 0.37-0.64], respectively, treatment-age interaction p=0.58),
with similar improvements in response rates. Grade>=3 hypertension was more
common with bevacizumab than chemotherapy alone in both subgroups, and more
common in older than younger patients irrespective of treatment. However, there
was no excess of other adverse events of specific interest for bevacizumab,
including venous thromboembolic events, in older patients. More patients
receiving bevacizumab in the younger but not the older subgroup showed improved
gastrointestinal/abdominal symptoms. CONCLUSION: In exploratory analyses, PFS and
response rate improvement with bevacizumab were consistent in older and younger
patients. Grade>=3 hypertension was more common in elderly bevacizumab-treated
patients; careful monitoring is recommended. Overall, bevacizumab-containing
therapy was well tolerated in a selected population aged >=65years, suggesting a
favourable benefit:risk profile. However, geriatric assessments are needed to
improve selection of elderly patients potentially gaining symptom and quality of
life improvements from bevacizumab-containing therapy. CLINICAL TRIALS
REGISTRATION: ClinicalTrials.govNCT00976911.
PMID- 27871725
TI - Organic and perovskite solar cells: Working principles, materials and interfaces.
AB - In the last decades organic solar cells (OSCs) have been considered as a
promising photovoltaic technology with the potential to provide reasonable power
conversion efficiencies combined with low cost and easy processability.
Unexpectedly, Perovskite Solar Cells (PSCs) have experienced unprecedented rise
in Power Conversion Efficiency (PCE) thus emerging as a highly efficient
photovoltaic technology. OSCs and PSCs are two different kind of devices with
distinct charge generation mechanism, which however share some similarities in
the materials processing, thus standard strategies developed for OSCs are
currently being employed in PSCs. In this article, we recapitulate the main
processes in these two types of photovoltaic technologies with an emphasis on
interfacial processes and interfacial modification, spotlighting the materials
and newest approaches in the interfacial engineering. We discuss on the relevance
of well-known materials coming from the OSCs field, which are now being tested in
the PSCs field, while maintaining a focus on the importance of the material
design for highly efficient, stable and accessible solar cells.
PMID- 27871724
TI - The palliative care needs of patients with idiopathic pulmonary fibrosis: A
qualitative study of patients and family caregivers.
AB - OBJECTIVES: To explore the perceptions of palliative care (PC) needs in patients
with idiopathic pulmonary fibrosis (IPF) and their caregivers. BACKGROUND: IPF
carries a poor prognosis with most patients succumbing to their illness at a rate
comparable to aggressive cancers. No prior studies have comprehensively explored
perceptions of PC needs from those currently living with the disease, caring for
someone living with the disease, and who cared for a deceased family member.
METHODS: Thematic analysis of focus group content was obtained from thirteen
participants. RESULTS: Four themes described frustration with the diagnostic
process and education received, overwhelming symptom burden, hesitance to engage
in advance care planning, and comfort in receiving care from pulmonary specialty
center because of resources. CONCLUSIONS: Findings support that patients and
caregivers have informational needs and high symptom burden, but limited
understanding of the potential benefits of PC. Future studies are needed to
identify optimal ways to introduce early PC.
PMID- 27871726
TI - Identification and determination of chlorinated azoles in sludge using liquid
chromatography quadrupole time-of-flight and triple quadrupole mass spectrometry
platforms.
AB - Four antimycotic drugs (tioconazole, TCZ; sertaconazole, STZ; fenticonazole, FTZ
and itraconazole, ITZ) and the fungicide imazalil (IMZ) are determined in sludge
from sewage treatment plants (STPs) following a bottom-up analytical strategy.
First, sludge extracts, obtained under different sample preparation conditions,
were analyzed by liquid chromatography (LC) quadrupole time-of-flight (QTOF) mass
spectrometry (MS). A non-target search strategy, combined with the use of the
chlorine mass filter, permitted to detect several chlorinated pollutants
including the above referred azoles, which either had not been previously
reported (TCZ, STZ, FTZ and ITZ), or scarcely investigated (IMZ), in this
environmental compartment. Then, the sample preparation procedure was validated
using standards of these compounds and their sensitive and selective
determination was performed by LC-MS/MS, based on a QqQ system. Under final
working conditions, quantitative extraction yields were attained with negligible
changes in ionization efficiencies between sample extracts and standards;
therefore, the above compounds were quantified against authentic standard
solutions, with absolute recoveries in the range from 75 to 124%, achieving a
limit of quantification of 2ngg-1. Analysis of sludge from 10 municipal STPs
demonstrated the ubiquity of the identified chlorinated azoles with average
concentrations from 31ngg-1, for IMZ, to more than 200ngg-1, for ITZ.
PMID- 27871727
TI - Critical Material Attributes of Strip Films Loaded With Poorly Water-Soluble Drug
Nanoparticles: II. Impact of Polymer Molecular Weight.
AB - Recent work established polymer strip films as a robust platform for delivery of
poorly water-soluble drug particles. However, a simple means of manipulating rate
of drug release from films with minimal impact on film mechanical properties has
yet to be demonstrated. This study explores the impact of film-forming polymer
molecular weight (MW) and concentration on properties of polymer films loaded
with poorly water-soluble drug nanoparticles. Nanoparticles of griseofulvin, a
model Biopharmaceutics Classification System class II drug, were prepared in
aqueous suspension via wet stirred media milling. Aqueous solutions of 3
viscosity grades of hydroxypropyl methylcellulose (14, 21, and 88 kDa) at 3
viscosity levels (~9500, ~12,000, and ~22,000 cP) were mixed with drug
suspension, cast, and dried to produce films containing griseofulvin
nanoparticles. Few differences in film tensile strength or elongation at break
were observed between films within each viscosity level regardless of polymer MW
despite requiring up to double the time to achieve 100% drug release. This
suggests film-forming polymer MW can be used to manipulate drug release with
little impact on film mechanical properties by matching polymer solution
viscosity. In addition, changing polymer MW and concentration had no negative
impact on drug content uniformity or nanoparticle redispersibility.
PMID- 27871730
TI - Patient empowerment: What does it really mean?
PMID- 27871728
TI - The CHRNA5-A3-B4 Gene Cluster and Smoking: From Discovery to Therapeutics.
AB - Genome-wide association studies (GWASs) have identified associations between the
CHRNA5-CHRNA3-CHRNB4 gene cluster and smoking heaviness and nicotine dependence.
Studies in rodents have described the anatomical localisation and function of the
nicotinic acetylcholine receptors (nAChRs) formed by the subunits encoded by this
gene cluster. Further investigations that complemented these studies highlighted
the variability of individuals' smoking behaviours and their ability to adjust
nicotine intake. GWASs of smoking-related health outcomes have also identified
this signal in the CHRNA5-CHRNA3-CHRNB4 gene cluster. This insight underpins
approaches to strengthen causal inference in observational data. Combining
genetic and mechanistic studies of nicotine dependence and smoking heaviness may
reveal novel targets for medication development. Validated targets can inform
genetic therapeutic interventions for smoking cessation and tobacco-related
diseases.
PMID- 27871731
TI - President Trump.
PMID- 27871729
TI - An Integrative Tinnitus Model Based on Sensory Precision.
AB - Tinnitus is a common disorder that often complicates hearing loss. Its mechanisms
are incompletely understood. Current theories proposing pathophysiology from the
ear to the cortex cannot individually - or collectively - explain the range of
experimental evidence available. We propose a new framework, based on predictive
coding, in which spontaneous activity in the subcortical auditory pathway
constitutes a 'tinnitus precursor' which is normally ignored as imprecise
evidence against the prevailing percept of 'silence'. Extant models feature as
contributory mechanisms acting to increase either the intensity of the precursor
or its precision. If precision (i.e., postsynaptic gain) rises sufficiently then
tinnitus is perceived. Perpetuation arises through focused attention, which
further increases the precision of the precursor, and resetting of the default
prediction to expect tinnitus.
PMID- 27871732
TI - Crisis point for radiology and oncology workforces.
PMID- 27871733
TI - Measles vaccination: global progress, local challenges.
PMID- 27871734
TI - The black box warning on philanthrocapitalism.
PMID- 27871736
TI - Offline: Planetary health-gains and challenges.
PMID- 27871737
TI - West African countries focus on post-Ebola recovery plans.
PMID- 27871735
TI - Zoonotic tuberculosis in Africa: challenges and ways forward.
PMID- 27871738
TI - Profile: The Kirby Institute celebrates 30 years.
PMID- 27871739
TI - David Cooper: Australia's fighter against HIV and discrimination.
PMID- 27871740
TI - Setting up childhood obesity policies in Europe.
PMID- 27871741
TI - Pride in autistic diversity: against treatment or for inclusion?
PMID- 27871742
TI - New poliovirus vaccine schedules.
PMID- 27871743
TI - New poliovirus vaccine schedules - Authors' reply.
PMID- 27871744
TI - Editorial Comment.
PMID- 27871745
TI - The effect of dissolved oxygen concentration (DO) on oxygen diffusion and
bacterial community structure in moving bed sequencing batch reactor (MBSBR).
AB - The effect of dissolved oxygen concentration (DO) on simultaneous nitrification
and denitrification was studied in a moving bed sequencing batch reactor (MBSBR)
by microelectrode measurements and by real-time PCR. In this system, the biofilm
grew on polyurethane foam carriers used to treat municipal sewage at five DO
concentrations (1.5, 2.5, 3.5, 4.5 and 5.5 mg/L). The results indicated that the
MBSBR exhibited good removal of chemical oxygen demand (92.43%) and nitrogen
(83.73%) when DO concentration was 2.5 mg/L. Increasing the oxygen concentration
in the reactor was inhibitory to denitrification. Microelectrode measurements
showed that the thickness of oxygen penetration increased from 1.2 to 2.6 mm when
the DO concentration (from 1.5 mg/L to 5.5 mg/L) in the system increased. Oxygen
diffusion was not significantly limited by the boundary layer surrounding the
carrier and had the largest slope when DO concentration was 2.5 mg/L. The real
time PCR analysis indicated that the amount of the ammonia-oxidizing bacteria and
nitrite-oxidizing bacteria increased slowly as DO concentration increased. The
proportions of ammonia-oxidizing bacteria and nitrite-oxidizing bacteria, as a
percentage of the total bacteria, were low with average values of 0.063% and
0.67%, respectively. When the DO concentration was 2.5 mg/L, oxygen diffusion was
optimal and ensured the optimal bacterial community structure and activity; under
these conditions, the MBSBR was efficient for total inorganic nitrogen removal.
Changing the DO concentration could alter the aerobic zone and the bacterial
community structure in the biofilm, directly influencing the simultaneous
nitrification and denitrification activity in MBSBRs.
PMID- 27871746
TI - A practical model for sunlight disinfection of a subtropical maturation pond.
AB - Maturation ponds are a type of waste stabilisation pond (WSP) designed to reduce
carbon, nutrients and pathogens in the final stages of a WSP wastewater treatment
system. In this study, a one-dimensional plug-flow pond model is proposed to
predict temperature and E. coli concentration distributions and overall pond
disinfection performance. The model accounts for the effects of vertical mixing
and ultraviolet light-dependent die-off rate kinetics. Measurements of radiation,
wind-speed, humidity and air temperature are recorded for model inputs and good
agreement with measured vertical temperature distributions and outlet E. coli
concentrations is found in an operational, subtropical maturation pond.
Measurements and the model both show a diurnal pattern of stratification during
daylight hours and natural convective mixing at night on days corresponding to
low wind speeds, strong heat input from solar radiation and clear night skies. In
the evenings, the thermal stratification is shown to collapse due to surface
energy loss via longwave radiation which triggers top-down natural convective
mixing. The disinfection model is found to be sensitive to the choice of die-off
kinetics. The diurnal mixing pattern is found to play a vital role in the
disinfection process by ensuring that pathogens are regularly transported to the
near-surface layer where ultraviolet light penetration is effective. The model
proposed in this paper offers clear advantages to pond designers by including
geographical specific, time-varying boundary conditions and accounting for the
important physical aspects of vertical mixing and sunlight inactivation
processes, yet is computationally straightforward.
PMID- 27871747
TI - Impact of external carbon dose on the removal of micropollutants using methanol
and ethanol in post-denitrifying Moving Bed Biofilm Reactors.
AB - Addition of external carbon sources to post-denitrification systems is frequently
used in wastewater treatment plants to enhance nitrate removal. However, little
is known about the fate of micropollutants in post-denitrification systems and
the influence of external carbon dosing on their removal. In this study, we
assessed the effects of two different types and availability of commonly used
carbon sources -methanol and ethanol- on the removal of micropollutants in
biofilm systems. Two laboratory-scale moving bed biofilm reactors (MBBRs),
containing AnoxKaldnes K1 carriers with acclimated biofilm from full-scale
systems, were operated in continuous-flow using wastewater dosed with methanol
and ethanol, respectively. Batch experiments with 22 spiked pharmaceuticals were
performed to assess removal kinetics. Acetyl-sulfadiazine, atenolol, citalopram,
propranolol and trimethoprim were easily biotransformed in both MBBRs
(biotransformations rate constants kbio between 1.2 and 12.9 L gbiomass-1 d-1),
13 compounds were moderately biotransformed (rate constants between 0.2 and 2 L
gbiomass-1 d-1) and 4 compounds were recalcitrant. The methanol-dosed MBBR showed
higher kbio (e.g., 1.5-2.5-fold) than in the ethanol-dosed MBBR for 9 out of the
22 studied compounds, equal kbio for 10 compounds, while 3 compounds (i.e.,
targeted sulfonamides) were biotransformed faster in the ethanol-dosed MBBR.
While biotransformation of most of the targeted compounds followed first-order
kinetics, removal of venlafaxine, carbamazepine, sulfamethoxazole and
sulfamethizole could be described with a cometabolic model. Analyses of the
microbial composition in the biofilms using 16S rRNA amplicon sequencing revealed
that the methanol-dosed MBBR contained higher microbial richness than the one
dosed with ethanol, suggesting that improved biotransformation of targeted
compounds could be associated with higher microbial richness. During continuous
flow operation, at conditions representative of full-scale denitrification
systems (hydraulic residence time = 2 h), the removal efficiencies of
micropollutants were below 35% in both MBBRs, with the exception of atenolol and
trimethoprim (>80%). Overall, this study demonstrated that MBBRs used for post
denitrification could be optimized to enhance the biotransformation of a number
of micropollutants by accounting for optimal carbon sources and extended
residence time.
PMID- 27871748
TI - Mass balance analyses of nutrients on California dairies to evaluate data quality
for regulatory review.
AB - Effective regulations may help reduce nitrate contamination of groundwater from
agriculture. Dairy farmers in California must maintain a ratio below 1.4 of total
nitrogen (N) applied to total N-removed (N-Ratio) on cropland receiving manure
application. In annual reports to the regulatory agency, farmers detail nutrients
applied to cropland, removed in harvests, and exported off farm. Data were
extracted from all available annual reports for 62 dairies from 2011, 2012, and
2013. Excretions of N, phosphorus (P), and potassium (K) were calculated using
reported herd demographics and standard excretion equations from the American
Society of Agricultural and Biological Engineers. Calculated nutrient excretion
values were compared to the reported values of manure nutrients applied to
cropland and exported off farm. Reported N-Ratios were compared to mass balance
simulations exploring variable crop yields and alfalfa management. In the
nutrient excretion balance, the distribution of the percent of N and P recovered
in manures applied or exported peaked at 24% (median=31%) and 26% (median=53%) of
excreted, respectively. The distribution of recovered K was fairly uniform from
0% to 300% (median=146%) of excreted K. In N-ratio simulations, 62% and 66% of
all reported N-ratios were lower than their respective simulated N-ratio,
assuming alfalfa crops received no N fertilization and minimal fertilization (26%
of N-removed in harvest) respectively. When simulated crop yields were normally
(sd=0.25) or Student's t distributed (df=154) around expected crop yields, 28%
and 57% of all reported ratios fell within the 95% confidence interval of the
simulations, respectively. Low and erratic recovery rates of excreted P and K
existed. Additionally, reported N-Ratios were generally lower and more varied
than necessary for farmers to maintain crop yields while complying with
regulations. Greater understanding of low recovery rates is needed before data
are used to assess the impact of regulations.
PMID- 27871749
TI - The effects of metallic engineered nanoparticles upon plant systems: An analytic
examination of scientific evidence.
AB - Recent evidence for the effects of metallic engineered nanoparticles (ENPs) on
plants and plant systems was examined together with its implications for other
constituents of the Society-Environment-Economy (SEE) system. In this study, we
were particularly interested to determine whether or not metallic ENPs have both
stimulatory and inhibitory effects upon plant performance. An emphasis was made
to analyze the scientific evidence on investigations examining both types of
effects in the same studies. Analysis of evidence demonstrated that metallic ENPs
have both stimulatory and inhibitory effects mostly in well-controlled
environments and soilless media. Nano zero-valent iron (nZVI) and Cu ENPs have
potential for use as micronutrients for plant systems, keeping in mind the proper
formulation at the right dose for each type of ENP. The concentration levels for
the stimulatory effects of Cu ENPs are lower than for those for nZVI. Newer
findings showed that extremely smaller concentrations of Au ENPs (smaller than
those for nZVI and Cu ENPs) induce positive effects for plant growth, which is
attributed to effects on secondary metabolites. Ag ENPs have demonstrated their
usage as antimicrobial/pesticidal agents for plant protection; however,
precautions should be taken to avoid higher concentrations not only for plant
systems, but also, other constituents in the SEE. Further research is warranted
to investigate the stimulatory and inhibitory effects of metallic ENPs in soil
media in order to broaden the horizon of sustainable agriculture production in
terms of higher and safer yields so as to meet the food requirements of human
population.
PMID- 27871750
TI - Biogeochemical and hydrological drivers of the dynamics of Vibrio species in two
Patagonian estuaries.
AB - The ecology of the most relevant Vibrio species for human health and their
relation to water quality and biogeochemistry were studied in two estuaries in
Argentinian Patagonia. Vibrio cholerae and Vibrio parahaemolyticus were reported
in >29% of cases at the Rio Colorado and Rio Negro estuaries. Neither the
pandemic serogroups of Vibrio cholerae O1, Vibrio cholerae O139 nor the cholera
toxin gene were detected in this study. However, several strains of V. cholerae
(not O1 or O139) are able to cause human disease or acquire pathogenic genes by
horizontal transfer. Vibrio vulnificus was detected only in three instances in
the microplankton fraction of the Rio Negro estuary. The higher salinity in the
Rio Colorado estuary and in marine stations at both estuaries favours an
abundance of culturable Vibrio. The extreme peaks for ammonium, heterotrophic
bacteria and faecal coliforms in the Rio Negro estuary supported a marked impact
on sewage discharge. Generally, the more pathogenic strains of Vibrio have a
faecal origin. Salinity, pH, ammonium, chlorophyll a, silicate and
carbon/nitrogen ratio of suspended organic particulates were the primary factors
explaining the distribution of culturable bacteria after distance-based linear
models. Several effects of dissolved organic carbon on bacterial distribution are
inferred. Global change is expected to increase the trophic state and the
salinisation of Patagonian estuaries. Consequently, the distribution and
abundance of Vibrio species is projected to increase under future changing
baselines. Adaptation strategies should contribute to sustaining good water
quality to buffer climate- and anthropogenic- driven impacts.
PMID- 27871751
TI - Regional assessment of concentrations and sources of pharmaceutically active
compounds, pesticides, nitrate, and E. coli in post-glacial aquifer environments
(Canada).
AB - There is growing concern worldwide about the exposure of groundwater resources to
pharmaceutically active compounds (PhACs) and agricultural contaminants, such as
pesticides, nitrate, and Escherichia coli. For regions with a low population
density and an abundance of water, regional contamination assessments are not
carried out systematically due to the typically low concentrations and high costs
of analyses. The objectives of this study were to evaluate regional-scale
contaminant distributions in untreated groundwater in a rural region of Quebec
(Canada). The geological and hydrogeological settings of this region are typical
of post-glacial regions around the world, where groundwater flow can be complex
due to heterogeneous geological conditions. A new spatially distributed
Anthropogenic Footprint Index (AFI), based on land use data, was developed to
assess surface pollution risks. The Hydrogeochemical Vulnerability Index (HVI)
was computed to estimate aquifer vulnerability. Nine wells had detectable
concentrations of one to four of the 13 tested PhACs, with a maximum
concentration of 116ng.L-1 for benzafibrate. A total of 34 of the 47 tested
pesticides were detected in concentrations equal to or greater than the detection
limit, with a maximum total pesticide concentration of 692ng.L-1. Nitrate
concentrations exceeded 1mg.L-1 N-NO3 in 15.3% of the wells, and the Canadian
drinking water standard was exceeded in one well. Overall, 13.5% of the samples
had detectable E. coli. Including regional-scale sources of pollutants to the
assessment of aquifer vulnerability with the AFI did not lead to the
identification of contaminated wells, due to the short groundwater flow paths
between recharge and the sampled wells. Given the occurrence of contaminants, the
public health concerns stemming from these new data on regional-scale PhAC and
pesticide concentrations, and the local flow conditions observed in post-glacial
terrains, there is a clear need to investigate the sources and behaviours of
local-scale pollutants.
PMID- 27871752
TI - Public acceptance of management methods under different human-wildlife conflict
scenarios.
AB - Wildlife management seeks to minimise public controversy for successful
application of wildlife control methods. Human dimensions research in wildlife
seeks a better understanding of public preferences for effective human-wildlife
conflict resolution. In face to face interviews, 630 adults in Greece were asked
to rate on a 5-point Likert-like scale their acceptance of 3 management methods,
i.e., do nothing, non-lethal control, and lethal control, in the context of 5
human-wildlife conflict scenarios: 1) corvids damage crops; 2) starlings damage
crops; 3) starlings foul urban structures; 4) coypus damage crops; and 5) coypus
transfer disease. Univariate GLMs determined occupation, hunting membership and
their interaction as the stronger predictors of public acceptance, generating 4
stakeholder groups: the general public, farmers, hunters, and farmers-hunters.
Differences in acceptance and consensus among stakeholder groups were assessed
using the Potential for Conflict Index2 (PCI2). All 4 stakeholder groups agreed
that doing nothing was unacceptable and non-lethal control acceptable in all 5
scenarios, with generally high consensus within and between groups. The lethal
control method was more controversial and became increasingly more acceptable as
the severity of scenarios was increased and between non-native and native
species. Lethal control was unacceptable for the general public in all scenarios.
Farmers accepted lethal methods in the corvids and starlings scenarios, were
neutral in the coypus damage crops scenario, whereas they accepted lethal control
when coypus transfer disease. Hunters' opinion was neutral in the corvids,
starlings and coypus damage crops and starlings foul urban structures scenarios,
but they accepted lethal methods in the coypus transfer disease scenario. Farmers
hunters considered lethal control acceptable in all 5 scenarios. Implications
from this study could be used for designing a socio-ecological approach which
incorporates wildlife management with public interests. The studied species have
a wide distribution, therefore present findings might also prove useful
elsewhere.
PMID- 27871753
TI - Role of three different plants on simultaneous salt and nutrient reduction from
saline synthetic wastewater in lab-scale constructed wetlands.
AB - Constructed Wetlands (CWs) can be a valuable technology to treat high salinity
wastewaters but it is not known their potential for removal of both nutrients and
salt, and the type of plants to use. This study evaluated the effect of three
plants on salt reduction and simultaneous nutrient removal in CWs microcosms with
expanded clay and in hydroponic conditions. Initial values of the synthetic
wastewater tested were EC=15dSm-1, SAR=151; NH4+-N=24mgL-1; PO43--P=30mgL-1 and
NO3--N=34mgL-1. With expanded clay CW removal efficiency for NH4+-N was 21, 88
and 85%, while for NO3--N, it was 4, 56 and 68% for Spartina maritima, Juncus
maritimus and Arundo donax, respectively. PO43--P was adsorbed completely in the
expanded clay. However, in hydroponic system, removal efficiencies for NH4+-N
were 53 and 50%, while PO43--P removal was 89 and -14% for Spartina maritima and
Juncus maritimus, respectively. Nutrient removal in planted microcosms was
statistically higher than unplanted controls for NH4+-N and PO43--P. However,
salt removal was apparent in the hydroponic system only after 23days of HRT,
despite clear salt excretion visible in both Spartina maritima and Juncus
maritimus. This study demonstrates the potential of two halophytic plants for
saline wastewater treatment. However, salt removal in such a scenario could not
be well documented and might prove to be impractical in future work.
PMID- 27871754
TI - Farmland-atmosphere feedbacks amplify decreases in diffuse nitrogen pollution in
a freeze-thaw agricultural area under climate warming conditions.
AB - Although climate warming and agricultural land use changes are two of the primary
instigators of increased diffuse pollution, they are usually considered
separately or additively. This likely lead to poor decisions regarding climate
adaptation. Climate warming and farmland responses have synergistic consequences
for diffuse nitrogen pollution, which are hypothesized to present different
spatio-temporal patterns. In this study, we propose a modeling framework to
simulate the synergistic impacts of climate warming and warming-induced farmland
shifts on diffuse pollution. Active accumulated temperature response for
latitudinal and altitudinal directions was predicted based on a simple agro
climate model under different temperature increments (?T0 is from 0.8 degrees C
to 1.4 degrees C at an interval of 0.2 degrees C). Spatial distributions of
dryland shift to paddy land were determined by considering accumulated
temperature. Different temperature increments and crop distributions were
inserted into Soil and Water Assessment Tool model, which quantified the spatio
temporal changes of nitrogen. Warming led to a decrease of the annual total
nitrogen loading (2.6%-14.2%) in the low latitudes compared with baseline, which
was larger than the decrease (0.8%-6.2%) in the high latitudes. The synergistic
impacts amplified the decrease of the loading in the low and high latitudes at
the sub-basin scale. Warming led to a decrease of the loading at a rate of
0.35kg/ha/ degrees C, which was lower than the synergistic impacts (3.67kg/ha/
degrees C) at the watershed level. However, warming led to the slight increase of
the annual averaged NO3 (LAT) (0.16kg/ha/ degrees C), which was amplified by the
synergistic impacts (0.22kg/ha/ degrees C). Expansion of paddy fields led to a
decrease in the monthly total nitrogen loading throughout the year, but amplified
an increase in the loading in August and September. The decreased response in
spatio-temporal nitrogen patterns is substantially amplified by farmland
atmosphere feedbacks associated with farmland shifts in response to warming.
PMID- 27871755
TI - Multicontamination phenomena occur more often than expected in Mediterranean
coastal watercourses: Study case of the Tet River (France).
AB - Contaminants found in watercourses are not only the result of anthropogenic
activities but also depend on river's seasonal hydrodynamics. This is
particularly true in Mediterranean climate regions where long dry periods are
interrupted by strong rainfalls. Storm events remobilize particles from soils and
sediments and, as a consequence, the load of particulate matter in rivers can be
quite considerable, severely affecting water quality. Nevertheless, an absence of
fieldwork studies exists concerning the simultaneous dynamics of mixtures of
pollutants in river waters, particularly during strong rainfalls and floods. Our
study assessed the concentrations of six families of pollutants, including
pesticides, at these events, and compared them to those observed at drought
sampling periods. We have used as model a typical Mediterranean coastal river
from Southeast France, the Tet River, whose hydrodynamics and major elements
fluxes have been fairly investigated. As expected, our results show that chemical
mixtures due to human activities occur and that they are particularly relevant
during storm events. But the results of our study argue that exceptional
multicontamination phenomena actually happen more often than expected because
they are linked to recurrent sudden intense rainfall events in the Mediterranean.
In particular, combined sewer overflows are responsible for this major issue in
urbanized areas, whereas runoff and leaching will be the most important sources
of pollutant mixtures occurring at flood flow peak. After an overview of the
sources responsible for chronic multiple stressors events in regions under a
Mediterranean climate regime worldwide, we revisit best management measures to
reduce risks from the presence of chemical mixtures in the environment.
PMID- 27871756
TI - Response of wastewater biofilm to CuO nanoparticle exposure in terms of
extracellular polymeric substances and microbial community structure.
AB - The growing production and application of CuO nanoparticles increase the chance
that these particles will be released into wastewater treatment plants (WWTPs)
and interact with microorganisms. However, the toxicity response mechanism of
biofilm to NP exposure may be different from that of activated sludge due to the
denser and stronger microbial aggregate structure of biofilm. Thus, in this
study, the response to CuO NPs of wastewater biofilm collected from a rotating
biological contactor was investigated. Short-term exposure (24h) to CuO NPs led
to a great loss in cell viability, and SEM-EDS images revealed that the nano-CuO
aggregates were not transformed to Cu-S species in the biofilm samples. In
response, more extracellular polymeric substance (EPS) (especially loosely bound
EPS) was produced in wastewater biofilm exposed to CuO NPs, with a higher content
of protein compared to polysaccharides. The shifts of fluorescence intensity and
peak locations in 3D-EEM fluorescence spectra indicated chemical changes of the
EPS components. FT-IR analysis revealed that exposure to nano-CuO had more
distinct effects on the functional groups of proteins and polysaccharides in LB
EPS. Illumina sequencing of 16S rRNA gene amplicons revealed that CuO NPs
enhanced bacterial diversity. The bacterial community structure significantly
shifted, with a significantly increased abundance of Comamonas, a slight increase
in Zoogloea, and a notable decrease in Flavobacterium. The shifts of these
dominant genera may be associated with altered EPS production, which might result
in microbial community function fluctuations. In conclusion, exposure to high
concentrations of CuO NPs has the potential to shape wastewater biofilm bacterial
community structure.
PMID- 27871757
TI - An approach to the environmental prioritisation of volatile methylsiloxanes in
several matrices.
AB - Siloxane-based compounds are widely used in personal care, pharmaceutical and
household products as well as in industrial applications. Among the wide variety
of these chemicals, special attention has been given to volatile methylsiloxanes
(VMSs). These compounds have been extensively detected in several environmental
compartments, as they are not effectively removed from wastewater and may migrate
through different matrices and being lipophilic, bioaccumulate and biomagnify in
living organisms. In this work, a prioritisation methodology for several VMSs in
different environmental matrices was applied, estimating a hazard quotient by
combining exposure evaluation through measured or predicted environmental
concentrations (MEC or PEC) and effects using ecotoxicity data to establish no
effect concentrations (PNEC). VMSs show quite different hazard potentials in the
environment: for linear VMSs it is not considerable, while for cyclic VMSs the
hazard is disperse. D4 and D5 may have adverse effects in water, as well as D5
and D6 in sediments. This first multi-matrix approach for the prioritisation of
VMSs sets the ground for more accurate studies in the future, provided that more
field-based data are reported.
PMID- 27871758
TI - Rapid steroid withdrawal in kidney transplantation: living in HARMONY?
PMID- 27871761
TI - Depression and Liver Transplant Survival.
AB - BACKGROUND: Patients who underwent liver transplantation and experienced clinical
depression have heretofore evinced lower survival rates when compared to
nondepressed counterparts. OBJECTIVE: To investigate the hypothesis that
transplant patients who seek and obtain medical treatment for depression would
circumvent the prior reduced survival findings. METHODS: A total of 765 patients
with liver transplants were scrutinized for complications following
transplantation. Further, 104 patients experienced posttransplant depression as
manifested by diagnosis and treatment by medical personnel. Survival analyses
were conducted comparing hazard and survival curves for these selected
individuals and the remainder of transplant patients. RESULTS: Contrary to prior
data and consistent with the aforementioned hypothesis, median survival
durations, survival curves, and hazard functions (controlling for age and
prolonged posttransplant survival for the depressed patients were better.
CONCLUSION: The improved survival for the depressed patients may simply be
related to an amelioration of depressed symptoms via antidepressant medications.
However, this interpretation would only be congruent with reduced hazard, not
elevated survival, beyond the norm (median) for other transplant participants.
Assuming the reliability and generalization of our findings, perhaps a reasonable
and compelling interpretation is that combined with the effectiveness of
antidepressant medications, the seeking and receiving treatment for depression is
a type of proxy measure of a more global pattern of adherence to recommended
posttransplant medical regimens.
PMID- 27871759
TI - Rabbit-ATG or basiliximab induction for rapid steroid withdrawal after renal
transplantation (Harmony): an open-label, multicentre, randomised controlled
trial.
AB - BACKGROUND: Standard practice for immunosuppressive therapy after renal
transplantation is quadruple therapy using antibody induction, low-dose
tacrolimus, mycophenolate mofetil, and corticosteroids. Long-term steroid intake
significantly increases cardiovascular risk factors with negative effects on the
outcome, especially post-transplantation diabetes associated with morbidity and
mortality. In this trial, we examined the efficacy and safety parameters of rapid
steroid withdrawal after induction therapy with either rabbit antithymocyte
globulin (rabbit ATG) or basiliximab in immunologically low-risk patients during
the first year after kidney transplantation. METHODS: In this open-label,
multicentre, randomised controlled trial, we randomly assigned renal transplant
recipients in a 1:1:1 ratio to receive either basiliximab induction with low-dose
tacrolimus, mycophenolate mofetil, and steroid maintenance therapy (arm A), rapid
corticosteroid withdrawal on day 8 (arm B), or rapid corticosteroid withdrawal on
day 8 after rabbit ATG (arm C). The study was done in 21 centres across Germany.
Only participants aged between 18 and 75 years with a low immunological risk who
were scheduled to receive a single-organ renal transplant from either a living
donor or a deceased donor were considered for enrolment. Patients receiving a
second renal transplant were eligible, provided that the first allograft was not
lost due to acute rejection within the first year after transplantation. Donor
and recipient had to be ABO compatible. Grafts with pre-transplant existing donor
specific human leukocyte antigen (HLA) antibodies were not eligible and the
recipients had to have a panel-reactive antibody concentration of 30% or less.
Pregnant women and nursing mothers were excluded from the study. The primary
endpoint was the incidence of biopsy-proven acute rejection (BPAR) at 12 months.
All analyses were done by intention-to-treat. This trial is registered with
ClinicalTrials.gov, number NCT00724022. FINDINGS: Between Aug 7, 2008, and Nov
30, 2013, 615 patients were randomly assigned to arm A (206), arm B (189), and
arm C (192). BPAR rates were not reduced by rabbit ATG (9.9%) compared with
either treatment arm A (11.2%) or B (10.6%; A versus C: p=0.75, B versus C
p=0.87). As a secondary endpoint, rapid steroid withdrawal reduced post
transplantation diabetes in arm B to 24% and in arm C to 23% compared with 39% in
control arm A (A versus B and C: p=0.0004). Patient survival (94.7% in arm A,
97.4% in arm B, and 96.9% in arm C) and censored graft survival (96.1% in arm A,
96.8% in arm B, and 95.8% in arm C) after 12 months were excellent and equivalent
in all arms. Safety parameters such as infections or the incidence of post
transplantation malignancies did not differ between the study arms.
INTERPRETATION: Rabbit ATG did not show superiority over basiliximab induction
for the prevention of BPAR after rapid steroid withdrawal within 1 year after
renal transplantation. Nevertheless, rapid steroid withdrawal after induction
therapy for patients with a low immunological risk profile can be achieved
without loss of efficacy and is advantageous in regard to post-transplantation
diabetes incidence. FUNDING: Investigator Initiated Trial; financial support by
Astellas Pharma GmbH, Sanofi, and Roche Pharma AG.
PMID- 27871760
TI - Hepatitis C Treatment: Clinical Issues for Psychiatrists in the Post-Interferon
Era.
AB - BACKGROUND: Hepatitis C virus (HCV) is a widespread and costly cause of morbidity
and mortality globally and in the United States. The treatment of HCV has been
revolutionized with the recent development of direct-acting antiviral
medications. These new treatments are substantially better tolerated and more
efficacious than previously used interferon-based therapies. Despite these
innovations, sustained virologic response has remained low, hovering at
approximately 9% of all infected persons. The reasons for this failure include
lack of screening, low rates of linkage to care, cost of direct-acting
antivirals, and barriers in access to care for patients. Psychiatrists work with
patients at a disproportionately high risk for HCV infection yet many do not
currently assume an active role in the HCV epidemic. OBJECTIVE: The purpose of
this clinical review is to familiarize psychiatrists with currently available
hepatitis C treatments and discuss the remaining treatment challenges, including
the high cost of treatment. METHODS: The authors used information from the
Centers for Disease Control and Food and Drug Administration on the most current
estimates of HCV epidemiology, risk factors, and approved interferon-free
treatments. PUBMED was searched for examples of HCV treatment in community
psychiatry settings. CONCLUSIONS: The mental health community is well-positioned
to take on a more active role in HCV treatment and engage patients' trust in the
treatment process. However, many barriers still exist. We encourage psychiatrists
to assume a collaborative role in caring for psychiatric patients infected with
HCV.
PMID- 27871762
TI - Clinical and Histopathologic Ocular Findings in Disseminated Mycobacterium
chimaera Infection after Cardiothoracic Surgery.
AB - PURPOSE: To investigate and characterize clinical and histopathologic ocular
findings in patients with disseminated infection with Mycobacterium chimaera, a
slow-growing nontuberculous mycobacterium (NTM), subsequent to cardiothoracic
surgery. DESIGN: Observational case series. PARTICIPANTS: Five white patients (10
eyes). METHODS: Analysis of clinical ocular findings, including visual acuity,
slit-lamp biomicroscopy, spectral-domain optical coherence tomography (SD OCT),
fundus autofluorescence (FAF), and fluorescein angiography/indocyanine green
(ICG) angiography findings, of patients with a disseminated M. chimaera
infection. Biomicroscopic and multimodal imaging findings were compared with the
histopathology of 1 patient. MAIN OUTCOME MEASURES: Clinical and histopathologic
ocular findings of M. chimaera. RESULTS: The mean age of the 5 male patients,
diagnosed with endocarditis or aortic graft infection, was 57.8 years. Clinical
ocular findings included anterior and intermediate uveitis, optic disc swelling,
and white-yellowish choroidal lesions. Multifocal choroidal lesions were observed
bilaterally in all patients and were hyperfluorescent on fluorescein angiography,
hypofluorescent on ICG angiography, and correlated with choroidal lesions on SD
OCT. The extent of choroidal lesions varied from few in 2 patients to widespread
miliary lesions in 3 patients leading to localized choroidal thickening with
elevation of the overlying retinal layers. Spectral-domain optical coherence
tomography through regressing lesions revealed altered outer retinal layers and
choroidal hypertransmission. The ocular findings were correlated with the course
of the systemic disease. Patients with few choroidal lesions had a favorable
outcome, whereas all patients with widespread chorioretinitis died of systemic
complications of M. chimaera infection despite long-term targeted antimicrobial
therapy. Ocular tissue was obtained from 1 patient at autopsy. Necropsy of 2 eyes
of 1 patient revealed prominent granulomatous lymphohistiocytic choroiditis with
giant cells. CONCLUSIONS: M. chimaera infection subsequent to cardiothoracic
surgery is a novel entity that has been recently described. It involves multiple
organ systems and can cause life-threatening disseminated disease. The ocular
manifestations documented using multimodal imaging allow us to use the eye as a
window to the systemic infection.
PMID- 27871764
TI - The rs4285184 polymorphism of the MGAT1 gene as a risk factor for obesity in the
Mexican population.
AB - BACKGROUND AND OBJECTIVE: Obesity is a factor that contributes to the morbidity
of certain diseases and to worldwide mortality. MGAT1 is a glycosyltransferase
involved in the synthesis of protein-bound and lipid-bound oligosaccharides and
its polymorphisms are possibly involved in the etiology of obesity. We
investigated the association of the rs4285184 polymorphism of the MGAT1 gene with
obesity in adults in the State of Colima, Mexico. METHODS: A case-control study
was conducted that included 244 subjects. All of them were grouped according to
their percentage of body fat, determined through bioelectrical impedance, and
they were genotyped for the rs4285184 polymorphism of the MGAT1 gene through PCR
RFLP. The results were analyzed for their association with the percentage of body
fat. RESULTS: The G allele had a frequency of 49.19 and 38.75% for the cases and
controls, respectively (P=.020) (OR 1.53; 95% CI 1.068-2.193). The frequency of
the A/G+G/G genotype was 75% in the obese patients, which was significantly
higher compared with the 57.5% of the control group (P=.004) (OR 2.217; 95% CI
1.287-3.821). CONCLUSIONS: The presence of the rs4285184 polymorphism of the
MGAT1 gene increased the risk for developing body fat associated with obesity in
the Mexican population.
PMID- 27871763
TI - Diplopia in Medically and Surgically Treated Patients with Glaucoma.
AB - PURPOSE: To report the prevalence, type, and cause of diplopia in medically and
surgically treated patients with glaucoma. DESIGN: Cohort study. PARTICIPANTS: A
total of 195 adult patients with glaucoma treated in a glaucoma referral
practice. METHODS: A total of 195 adult patients with glaucoma who had undergone
surgical or medical management were prospectively enrolled. Forty-seven patients
had undergone glaucoma drainage device (GDD) surgery (Baerveldt 350, Baerveldt
250 [Abbott Medical Optics, Abbott Park, IL], or Ahmed FP7 [New World Medical
Inc, Rancho Cucamonga, CA]), 61 patients had undergone trabeculectomy, and 87
patients were medically treated. All patients completed the Diplopia
Questionnaire to assess diplopia. We defined the presence of diplopia as
"sometimes," "often," or "always" in distance straight ahead or reading positions
on the Diplopia Questionnaire. A chart review was performed jointly by a
strabismus specialist and a glaucoma subspecialist to characterize the type and
cause of the diplopia. MAIN OUTCOME MEASURES: Frequency, type, and cause of
diplopia. RESULTS: Diplopia was reported in 41 of 195 medically and surgically
treated patients (21%) with glaucoma. Binocular diplopia due to the glaucoma
procedure was present in 11 of 47 patients (23%) after GDD (95% confidence
interval, 12-38), which was significantly greater than in patients after
trabeculectomy (2/61 [3%]; 95% confidence interval, 0.4-11; P = 0.002). The most
common type of strabismus associated with binocular diplopia due to glaucoma
surgery was hypertropia (10/11 GDD cases, 2/2 trabeculectomy cases). Monocular
diplopia was found in a similar proportion of medically treated, post
trabeculectomy, and post-GDD cases (4/87 [5%], 4/61 [7%], and 2/47 [4%],
respectively). Binocular diplopia not due to surgery was found in similar
proportions of GDD, trabeculectomy, and medically treated cases (3/47 [6%], 5/61
[8%], and 10/87 [11%], respectively). CONCLUSIONS: Diplopia may be under
recognized in medically and surgically treated patients with glaucoma, and
standardization of ascertaining patient symptoms using the Diplopia Questionnaire
may be useful in these patients. Diplopia was more commonly seen after GDD than
trabeculectomy, typically a noncomitant restrictive hypertropia. The prevalence
of monocular diplopia and binocular diplopia unrelated to glaucoma surgery was
similar among medical and surgical groups. It is important to counsel patients on
the higher occurrence of diplopia associated with GDD surgery.
PMID- 27871765
TI - Evaluation of cardiac function in a group of small for gestational age school-age
children treated with growth hormone.
AB - INTRODUCTION AND OBJECTIVES: Small for gestational age (SGA) patients have an
increased risk of developing a cardiovascular pathology, as well as a metabolic
syndrome. Our objective is to evaluate the cardiac morphology and function of SGA
children treated with growth hormone (GH), identifying changes that could
potentially have long-term consequences. METHODS: We selected 23 SGA school-age
patients and 23 healthy children. We measured their weight, height, blood
pressure and heart rate. Using transthoracic echocardiography, we evaluated
cardiac chamber size, ascending and abdominal aortic diameter as well as the
systolic and diastolic function of both ventricles. RESULTS: SGA children have a
higher systolic and diastolic blood pressure (P<.05) without significant changes
in their heart rate. They also have a thicker interventricular septum (SGA Z
score 1.57 vs. 0.89; P=.026) and a worse right ventricular systolic function,
with a lower TAPSE (SGA Z-score -0.98 vs. 0.95; P=.000), as well as a lower blood
flow rate in the pulmonary artery (SGA 0.85m/s vs. 0.97m/s; P=.045). No
significant difference was observed in the patients' left ventricular function.
SGA patients' ascending aortic diameter was greater (SGA Z-score -1.09 vs. -1.93;
P=.026), whereas the systolic abdominal aortic diameter was smaller (SGA Z-score
0.89 vs. -0.19; P=.015). CONCLUSIONS: We found functional and morphological
cardiac changes in SGA school-age patients treated with GH. It is important to
follow-up this patient group in order to determine if these changes contribute to
an increased cardiac morbidity in adulthood.
PMID- 27871766
TI - Burning mouth syndrome and associated factors: A case-control retrospective
study.
AB - BACKGROUND AND OBJECTIVE: Burning mouth syndrome (BMS) can be defined as burning
pain or dysesthesia on the tongue and/or other sites of the oral mucosa without a
causative identifiable lesion. The discomfort is usually of daily recurrence,
with a higher incidence among people aged 50 to 60 years, affecting mostly the
female sex and diminishing their quality of life. The aim of this study was to
evaluate the association between several pathogenic factors and burning mouth
syndrome. PATIENTS AND METHODS: 736 medical records of patients diagnosed of
burning mouth syndrome and 132 medical records for the control group were studied
retrospectively. The study time span was from January 1990 to December 2014. The
protocol included: sex, age, type of oral discomfort and location, among other
factors. RESULTS: Analysis of the association between pathogenic factors and BMS
diagnosis revealed that only 3 factors showed a statistically significant
association: triggers (P=.003), parafunctional habits (P=.006), and oral hygiene
(P=.012). There were neither statistically significant differences in BMS
incidence between sex groups (P=.408) nor association of BMS with the pathogenic
factors of substance abuse (P=.915), systemic pathology (P=.685), and dietary
habits (P=.904). CONCLUSIONS: Parafunctional habits like bruxism and abnormal
movements of tongue and lips can explain the BMS main symptomatology.
Psychological aspects and systemic factors should be always considered. As a
multifactorial disorder, the treatment of BMS should be executed in a holistic
way.
PMID- 27871767
TI - Nordic walking for cardiovascular prevention in patients with ischaemic heart
disease or metabolic syndrome.
AB - BACKGROUND AND OBJECTIVE: The incidence of atherosclerotic diseases has increased
in Europe due in part to the population's sedentary lifestyle. Physical activity
is useful for cardiovascular prevention. Nordic walking (NW) mobilizes a great
number of muscular groups and is very popular in northern Europe. There is no
data available on its impact in the healthcare system of the Mediterranean area.
We propose the implementation of a NW program to promote physical activity and
control cardiovascular risk factors (CVRF), as well as to improve quality of life
and the adherence to medical treatment in patients with a chronic ischemic heart
disease or metabolic syndrome. METHODS: We selected patients with uncontrolled
CVRFs. These patients performed 2 weekly sessions of NW over the course of one
year. Baseline data extracted from the patients' medical history, quality of life
questionnaires and on adherence to treatment was compared with the results
obtained at the end of the program. RESULTS: A reduction in the rate of CVRFs
from 4.78 to 3 was observed, with an evident trend towards the improvement of the
patients' quality of life and a better adherence to the treatment. CONCLUSIONS:
The implementation of a NW program is feasible in the public healthcare system
and can aid in the management of CVRFs.
PMID- 27871768
TI - Red cell pyruvate kinase deficiency in Spain: A study of 15 cases.
AB - BACKGROUND AND OBJECTIVE: Pyruvate kinase deficiency (PKD) is a rare, inherited
disease causing chronic hemolysis and anemia of varying intensity. The genetic
heterogeneity of PKD is high and, to this day, over 240 different mutations have
been identified. PATIENTS AND METHODS: 15 unrelated patients affected by PKD have
been studied. PKLR gene sequencing was performed by SANGER, including the
determination of promoter regions, exonic, intronic flanking and 3'UTR. RESULTS:
Patients were classified into 3 groups based on the intensity of their clinical
symptoms: I) severe and very severe (8 patients); II) moderate (2 patients), and
III) mild (5 patients). Six out of the 18 alleles found were new mutations which
had not been described previously, with the PKLR c.721G>T mutation being the most
prevalent (26.67%), followed by the PKLR c.1456C>T mutation (13.33%).
CONCLUSIONS: In Spain, the genetic heterogeneity of PKLR is still high but
differs from that observed in the previous study carried out in 1998. Total PKLR
gene sequencing is necessary for the characterization of all patients with PKD
and for genetic counseling.
PMID- 27871769
TI - Effect of mechanical vibration on transcutaneous oxygen levels in the feet of
type 2 diabetes mellitus patients.
AB - BACKGROUND AND OBJECTIVE: Foot conditions in patients with diabetes mellitus (DM)
are major causes of morbidity and disability. Whole body vibration may promote
blood circulation in the lower limbs, hence facilitating perfusion and promoting
the supply of nutrients and oxygen to comprised tissues. Transcutaneous oxygen
levels (TcPO2)>40mmHg in cases of diabetic foot syndrome are associated with a
good prognosis in the resolution of ulcers. The objective of this study was to
determine whether whole body vibration favors some parameters of interest related
to complications associated with the diabetic foot syndrome. PATIENTS AND
METHODS: Fifty-four patients with DM were included in a 12-week exercise program
based on whole body vibration. Glycemic control was determined on the basis of
the patients' levels of glycated hemoglobin (HbA1c); sensitivity and TcPO2 levels
of each foot were also recorded. Assessments were performed prior to initiating
the whole body vibration program and at the end of it. RESULTS: No significant
changes were observed in the patients' HbA1c (P=.442) levels or sensitivity
(P=.07). A significant 7mmHg increase (P<.0001; effect size: d=0.53) was observed
in the concentration of TcPO2. CONCLUSIONS: Whole body vibration may increase
TcPO2 levels with useful implications for the prevention or management of
complications associated with restricted blood perfusion in the diabetic foot
syndrome.
PMID- 27871770
TI - PTSD in those who care for the injured.
AB - BACKGROUND: Post Traumatic Stress Disorder (PTSD) has become a focus for the care
of trauma victims, but the incidence of PTSD in those who care for injured
patients has not been well studied. Our hypothesis was that a significant
proportion of health care providers involved with trauma care are at risk of
developing PTSD. METHODS: A system-wide survey was applied using a modified
version of the Primary Care PTSD Screen [PC-PTSD], a validated PTSD screening
tool currently being used by the VA to screen veterans for PTSD. Pre-hospital and
in-hospital care providers including paramedics, nurses, trauma surgeons,
emergency medicine physicians, and residents were invited to participate in the
survey. The survey questionnaire was anonymously and voluntarily performed online
using the Qualtrix system. Providers screened positive if they affirmatively
answered any three or more of the four screening questions and negative if they
answered less than three questions with a positive answer. Respondents were
grouped by age, gender, region, and profession. RESULTS: 546 providers answered
all of the survey questions. The screening was positive in 180 (33%) and negative
in 366 (67%) of the responders. There were no differences observed in screen
positivity for gender, region, or age. Pre-hospital providers were significantly
more likely to screen positive for PTSD compared to the in-hospital providers
(42% vs. 21%, P<0.001). Only 55% of respondents had ever received any information
or education about PTSD and only 13% of respondents ever sought treatment for
PTSD. CONCLUSION: The results of this survey are alarming, with high proportions
of healthcare workers at risk for PTSD across all professional groups. PTSD is a
vastly underreported entity in those who care for the injured and could
potentially represent a major problem for both pre-hospital and in-hospital
providers. A larger, national study is warranted to verify these regional
results.
PMID- 27871771
TI - Characteristics of erythrocyte-derived microvesicles and its relation with
atherosclerosis.
AB - Microvesicles are formed under many circumstances, especially in atheromatous
plaques. Erythrocyte-derived microvesicles (ErMVs) have been proved to promote
atherosclerosis by promoting hypercoagulation, mediating inflammation and
inducing cell adhesion. Several clinical studies have reported potential roles of
ErMVs in cardiovascular disease diagnosis, but the current understanding of ErMVs
remains insufficient. In this paper, we will review current research on the
formation and degradation of ErMVs and the possible effects of ErMVs in
atherosclerosis, discuss potential clinical applications in cardiovascular
disease, and hope to raise awareness of the relation with atherosclerosis.
PMID- 27871772
TI - Identifying play characteristics of pre-school children with developmental
coordination disorder via parental questionnaires.
AB - : Motor coordination deficits that characterize children with Developmental
Coordination Disorder (DCD) affect their quality of participation. The aim of the
current study was to identify play characteristics of young children with DCD,
compared to those of children with typical development in three dimensions:
activity and participation, environmental factors and children's impairments.
METHOD: Sixty-four children, aged four to six years, participated. Thirty were
diagnosed as having DCD; the remaining 34 children were age, gender and
socioeconomic level matched controls with typical development. The children were
evaluated by the M-ABC. In addition, their parents completed a demographic
questionnaire, the Children's Activity Scale for Parents (CHAS-P), the Children's
Leisure Assessment Scale for preschoolers (CLASS-Pre), and My Child's Play
Questionnaire (MCP). RESULTS: Children with DCD performed significantly poorer in
each of the four play activity and participation domains: variety, frequency,
sociability, and preference (CLASS-Pre). Furthermore, their environmental
characteristics were significantly different (MCP). They displayed significantly
inferior performance (impairments) in interpersonal interaction and executive
functioning during play, in comparison to controls (MCP). Moreover, the
children's motor and executive control as reflected in their daily function as
well as their activities of daily living (ADL) performance level, contributed to
the prediction of their global play participation. DISCUSSION: The results
indicate that the use of both the CLASS-Pre and the MCP questionnaires enables
the identification of unique play characteristics of pre-school children with DCD
via parents' reports. A better insight into these characteristics may contribute
to theoretical knowledge and clinical practice to improve the children's daily
participation.
PMID- 27871774
TI - Bias to Basis.
PMID- 27871773
TI - Generally Regarded As Safe.
PMID- 27871775
TI - Recombinant proteins of helminths with immunoregulatory properties and their
possible therapeutic use.
AB - The inverse relationship between helminth infections and the development of
immune-mediated diseases is a cornerstone of the hygiene hypothesis and studies
were carried out to elucidate the mechanisms by which helminth-derived molecules
can suppress immunological disorders. These studies have fostered the idea that
parasitic worms may be used as a promising therapeutic alternative for prevention
and treatment of immune-mediated diseases. We discuss the current approaches for
identification of helminth proteins with potential immunoregulatory properties,
including the strategies based on high-throughput technologies. We also explore
the methodological approaches and expression systems used for production of the
recombinant forms of more than 20 helminth immunomodulatory proteins, besides
their performances when evaluated as immunotherapeutic molecules to treat
different immune-mediated conditions, including asthma and inflammatory bowel
diseases. Finally, we discuss the perspectives of using these parasite-derived
recombinant molecules as tools for future immunotherapy and immunoprophylaxis of
human inflammatory diseases.
PMID- 27871776
TI - Life cycle of Spinose ear tick, Otobius megnini (Acari: Argasidae) infesting the
race horses in Nuwara Eliya, Sri Lanka.
AB - Otobius megnini infests mainly domesticated and wild animals and occasionally
humans. It has been identified as an agent of human and horse otoacariasis in Sri
Lanka. The number of nymphal stages in the life cycle of O. megnini varies from
one to three in different geographic regions. The present study determined the
life cycle of O. megnini infesting the racehorses in Nuwara Eliya. A tick colony
was initiated from fully engorged nymphs collected from horses and maintained at
10 degrees C, 22 degrees C and 28 degrees C. Immature stages were reared on New
Zealand rabbits. Only the larvae weighing more than 0.9mg (84.9%) moulted to
nymphs with a pre-moulting period of 5.6(+/-1.7) days. None of the larvae
maintained at 10+/-1 degrees C moulted. Larval survival was significantly higher
at 28+/-1 degrees C (44.2+/-4.3days) than at 22+/-1 degrees C (35.6+/-9days). At
28+/-1 degrees C, majority of nymphs (95.6%) weighing over 10mg moulted into
adults within 12.5(+/-3.1) days. Nymphs kept at 10+/-1 degrees C underwent
diapause for 81.1(+/-72.0) days. Larger females (>13mg; 93.0%) laid eggs for of
44.6(+/-17.8) days with a mean pre-oviposition period of 10.3(+/-5.1) days.
Females survived longer (369.8+/-128.3days) than males (210.4+/-54.1days;
Student's t-test; t=5.9, p=0.001). Some females laid eggs without mating. Otobius
megnini successfully completed the life cycle within 123days and has only one
nymphal instar similar to the Neotropical population. Currently, O. megnini
infestation is a serious problem to horses in the Nuwara Eliya racecourse and may
pose a risk of spreading to dairy farms close by owing to its dynamic nature of
the life cycle.
PMID- 27871777
TI - Towards Precision Medicine in the Clinic: From Biomarker Discovery to Novel
Therapeutics.
AB - Precision medicine continues to be the benchmark to which we strive in cancer
research. Seeking out actionable aberrations that can be selectively targeted by
drug compounds promises to optimize treatment efficacy and minimize toxicity.
Utilizing these different targeted agents in combination or in sequence may
further delay resistance to treatments and prolong antitumor responses.
Remarkable progress in the field of immunotherapy adds another layer of
complexity to the management of cancer patients. Corresponding advances in
companion biomarker development, novel methods of serial tumor assessments, and
innovative trial designs act synergistically to further precision medicine.
Ongoing hurdles such as clonal evolution, intra- and intertumor heterogeneity,
and varied mechanisms of drug resistance continue to be challenges to overcome.
Large-scale data-sharing and collaborative networks using next-generation
sequencing (NGS) platforms promise to take us further into the cancer 'ome' than
ever before, with the goal of achieving successful precision medicine.
PMID- 27871778
TI - [Traumatic submacular hemorrhage treated by intravitreal rt-PA injection and core
vitrectomy in an 18-year-old man].
PMID- 27871779
TI - Intraocular pressure measurement after DSAEK by iCare, Goldmann applanation and
dynamic contour tonometry: A comparative study.
AB - PURPOSE: Corneal thickness inevitably increases following Descemet's stripping
automated endothelial keratoplasty (DSAEK), owing to the addition of a donor
graft. The current study compares different devices in assessing post-DSAEK
intraocular pressure (IOP). METHODS: We compared IOP values measured by the
Goldmann tonometry (GAT), iCare rebound tonometry (iCare) and Pascal dynamic
contour tonometry (PDCT) in eyes following DSAEK. Agreement between measurements
was calculated with correlation analysis and Bland-Altman plots. Effects of
keratometry, central, thickness (CCT), endothelial cell density (ECD) and axial
length on IOP measurements were assessed with Pearson's correlation. RESULTS:
Twenty eyes of 20 patients (mean age 74.3+/-14.4, 14 females) post-DSAEK were
included in this study. There was a high concordance between the IOP readings
obtained by the three devices: a strong and significant correlation was found
between GAT and PDCT (r=0.94, P<0.001) GAT and iCare (r=0.86, P<0.001) and iCare
with PDCT (r=0.81, P<0.001). However, the iCare measurements were significantly
and consistently lower than that obtained with GAT (DeltaIOP=1.68+/-2.0, P=0.002,
95% CI: 0.7-2.6) and with PDCT (DeltaIOP=1.61+/-2.5, P=0.01, 95% CI: 0.4-2.8).
CCT, ECD, CCT, AXL, corneal curvature or astigmatism did not influence IOP
measurement by any instrument. CONCLUSIONS: IOP measurement with three different
techniques (applanation, rebound and dynamic contour) showed good correlations,
despite an increased corneal thickness following DSAEK. However, the iCare, which
is based on a rebound tonometry showed significant lower IOP then the two other
methods. This should be taken into account when evaluating patients post DSAEK.
PMID- 27871780
TI - Type 2 Diabetes, Hypoglycemia, and Basal Insulins: Ongoing Challenges.
AB - Hypoglycemia in people with insulin-treated type 2 diabetes can be a limiting
factor for management and a barrier to optimizing glycemic control. Even mild
episodes of hypoglycemia can affect an individual's quality of life, and fear of
hypoglycemia can lead to underinsulinization. This article explores the
prevalence and consequences of hypoglycemia in people with type 2 diabetes with a
focus on those who use basal insulins, offering strategies for prevention and
management. It also discusses the benefits and challenges associated with new
basal insulins, and their potential role in reducing hypoglycemia risk.
PMID- 27871781
TI - Crocin inhibits RANKL-induced osteoclast formation and bone resorption by
suppressing NF-kappaB signaling pathway activation.
AB - Crocin is a dietary compound with antioxidant and anti-inflammatory properties,
but its effects on bone resorption have not been well characterized. Here we
address this issue by examining the direct effects of crocin on osteoclast cells
in vitro. Osteoclastogenesis was induced by RANKL (receptor activator of NF
kappaB ligand) in mouse bone marrow-derived macrophages in the absence or
presence of crocin at various concentrations. Further, the bone resorption
activity of mature osteoclast treated with crocin was assessed by pit assay.
Without altering cell viability, crocin was shown to inhibit the differentiation
and function of osteoclast cells in a dose-dependent manner. Mechanistically,
RANKL-induced NF-kappaB and NFATc1 activation, the critical signaling pathways
for osteoclast differentiation and function, were both repressed by crocin in
bone marrow-derived macrophages. Thus, crocin suppresses osteoclastogenesis
through direct inhibition of intracellular molecular pathways, which may
contribute to future development of anti-bone resorption treatment.
PMID- 27871782
TI - Association of high HLA-E expression during acute cellular rejection and numbers
of HLA class I leader peptide mismatches with reduced renal allograft survival.
AB - Non-classical Human Leukocyte Antigen (HLA)-E preferentially presents leader
peptides derived from classical HLA-class I molecules. HLA-E can trigger opposed
immune responses by interacting with inhibitory NKG2A or by activating NKG2C
receptors on NK and T-cells. We studied the impact of HLA-E on renal allograft
survival during acute cellular rejection. HLA-E expression was up-regulated in
acute cellular rejection (ACR) biopsies (n=12) compared to biopsies from 13 renal
allografts with no rejection-signs. HLA-E up-regulation was correlated with
numbers of HLA-class I leader peptide mismatches (p=0.04). CD8+ and CD56+
infiltrating cells correlated with HLA-E expression (p<0.0001 and p=0.0009,
respectively). Activating NKG2C receptor dominated on effector cells in biopsies
and peripheral blood during ACR potentially allowing HLA-E-mediated immune
activation. Moreover, HLA-E expression correlated with deterioration in renal
allograft function (p<0.008) and reduced allograft survival (p=0.002). Our
findings provide evidence that during renal allograft rejection HLA-E along with
high numbers of mismatched HLA-class I leader peptides might represent additional
targets for immune-activating responses.
PMID- 27871783
TI - Human Dental Pulp Stem Cells Suppress Alloantigen-induced Immunity by Stimulating
T Cells to Release Transforming Growth Factor Beta.
AB - INTRODUCTION: Human dental pulp stem cells (hDPSCs) are ideal candidates for
regenerating damaged dental tissue. To examine the possibility that hDPSCs may be
used to regenerate pulp, we tested their in vitro effects on acute allogeneic
immune responses. METHODS: A peripheral blood mononuclear cell (PBMC)
proliferation assay and immunoglobulin (Ig) production assay were performed to
evaluate the immunosuppressive properties of hDPSCs. RESULTS: The mixed
lymphocyte reaction was suppressed by incubation with hDPSCs. Transforming growth
factor beta (TGF-beta) was the major soluble factor responsible for inhibiting
the allogeneic proliferation of PBMCs. The production of IgM and IgG by
allogeneic activation of responder B lymphocytes was also completely abrogated by
TGF-beta released from hDPSCs via interferon gamma in response to activation of
the responder T lymphocytes. CONCLUSIONS: hDPSCs inhibit acute allogeneic immune
responses by their release of TGF-beta as a result of allogeneic stimulation of T
lymphocytes. This study provides an insight into the potential clinical use of
hDPSCs for allogeneic transplantation.
PMID- 27871784
TI - Reply to the letter to editor "Impact of epicardial adipose tissue volume
quantified by non-contrast electrocardiogram-gated computed tomography on
ergonovine-induced epicardial coronary artery spasm".
PMID- 27871785
TI - Hyperuricemia is an independent competing risk factor for atrial fibrillation.
AB - BACKGROUNDS: The relationship between serum uric acid (SUA) and atrial
fibrillation (AF) remains unclear because many parameters and diseases influence
AF. This study was conducted to clarify the role of hyperuricemia as an
independent competing risk factor for AF in an apparently healthy general
population. METHODS: We retrospectively analyzed the medical records of 90,143
Japanese subjects who underwent annual regular health check-up in St. Luke's
International Hospital, Tokyo, between January 2004 and June 2010. Of those
subjects, 291 (0.32%) were identified as having AF by 12 leads
electrocardiography. First, we analyzed 90,117 subjects to clarify the
independent competing risk factors for AF and obtained odds ratios (ORs) by
logistic regression analysis. Second, we excluded 40,825 subjects with
hypertension, diabetes mellitus, dyslipidemia, chronic kidney disease, and
current medication for hyperuricemia and/or gout, and we analyzed 49,292
subjects. RESULTS: First, AF groups were significantly higher SUA level (OR:
1.35; 95% confidence interval (CI), 1.22-1.50) than non-AF group. OR of
hyperuricemia (>7.0mg/dL of SUA) for AF was 2.75 (95% CI, 2.10-3.60). Second,
after multiple adjustments, higher SUA level (OR: 1.53; 95% CI, 1.21-1.92) was a
significantly independent competing risk factor for AF, as well as older age,
male sex, higher body mass index, lower FEV1/FVC, and higher hemoglobin. OR of
hyperuricemia for AF was 3.19 (95% CI, 1.81-5.62). CONCLUSIONS: Hyperuricemia is
an independent competing risk factor for AF. Further prospective intervention
studies are needed to prove whether lowering SUA level might be important for
preventing AF or not.
PMID- 27871786
TI - Ischemic brain infarcts and intracranial haemorrhages following Russell's viper
(Daboia russelii) bite in Sri Lanka.
AB - Snakebite is an important medical problem in Sri Lanka. Of the deadly venomous
snakes, Russell's viper (Daboia russelii) is widely distributed and responsible
for most of the fatalities of snakebite in the country. It is not uncommon to see
atypical manifestations in Russell's viper bite. We report the events of
intracranial haemorrhages and multiple ischemic brain infarcts following
Russell's viper (Daboia russelii) bite of a previously healthy 43-years-old male
who succumbed to envenoming.
PMID- 27871788
TI - Do monkeys have a theory of mind? How to answer the question?
AB - Since Premack and Woodruf (1978), the study of mindreading abilities in
nonhumans, especially primates, has been thoroughly investigated. But attempts to
understand the evolution of this aspect of human intelligence have mainly focused
on comparisons between apes and human infants, while relatively little is known
about the abilities of monkeys. This lack of data on monkeys seems mainly due to
the hypothesis of a cognitive "gap" between apes and monkeys. However, in recent
years monkeys have been featuring more prominently in the landscape of social
cognition research, and some of these systematic studies appear promising. This
paper reviews i) current knowledge about monkeys' socio-cognitive abilities,
especially regarding gaze processing, attention and intention reading, and
perspective-taking, ii) alternative hypotheses regarding the underlying
mechanisms of such complex behaviors, and iii) potential new perspectives and
future directions for studying ToM in monkeys.
PMID- 27871787
TI - Selfish brain and selfish immune system interplay: A theoretical framework for
metabolic comorbidities of mood disorders.
AB - According to the "selfish brain" theory, the brain regulates its own energy
supply influencing the peripheral metabolism and food intake according to its
needs. The immune system has been likewise "selfish" due to independent energy
consumption; and it may compete with the brain (another high energy-consumer) for
glucose. In mood disorders, stress in mood episodes or physiological stress
activate homeostasis mechanisms from the brain and the immune system to solve the
imbalance. The interaction between the selfish brain and the selfish immune
system may explain various conditions of medical impairment in mood disorders,
such as Metabolic Syndrome (MetS), obesity, type 2 diabetes mellitus (T2DM) and
immune dysregulation. The objective of this study is to comprehensively review
the literature regarding the competition between the brain and the immune system
for energy substrate. Targeting the energetic regulation of the brain and the
immune system and their cross-talk open alternative treatments and a different
approach in the study of general medical comorbidities in mood disorders,
although more investigation is needed.
PMID- 27871789
TI - Effect of dry heating and ionic gum on the physicochemical and release properties
of starch from Dioscorea.
AB - To meet the ever increasing industrial demand for excipients with desirable
properties, modified starch is regarded as an alternative to it. With this in
mind, the present study focuses on the modification of starches of Dioscorea from
Jharkhand (India) using dry heat treatment with and without ionic gum. Modified
starches were prepared using sodium alginate (1% w/w). Native and modified
starches were subjected to heat treatment at 130 degrees C for 2h and 4h. The
effect of heating and ionic gum on the properties of Dioscorea starch was
investigated. The amylose content, water holding capacity, micromeritic
properties, swelling power, solubility and morphology of starches were evaluated.
Dry heat treatment of starches without gum showed an increment in water-holding
capacity after two-hours heating, but no such increment was found after four
hours heating. Oil binding capacity of starches modified with gum varied from 62%
to 78%. Strongest effect of heat treatment occurred on the morphology of starches
and thereby modified starches showed distorted surface morphology. Amylose
content (21.09-21.89%) found to be decreased with the addition of gum which lead
to decrease in paste clarity. Starches heated with gum at high-temperature
resulted in restrict swelling and slight increase in solubility. Micromeritic
properties of the modified starches showed the good flow properties. Further, the
modified starches were investigated for in-vitro release studies and that the
thermally modified derivatives can be a good prospect in slow release
formulations.
PMID- 27871791
TI - WhmD promotes the assembly of Mycobacterium smegmatis FtsZ: A possible role of
WhmD in bacterial cell division.
AB - WhmD is considered to have a role in the septation and division of Mycobacterium
smegmatis cells. Since FtsZ is the central protein of the septum, we determined
the effect of WhmD on the assembly of Mycobacterium smegmatis FtsZ (MsFtsZ) in
vitro. WhmD increased both the rate and extent of the assembly of MsFtsZ in
vitro. WhmD also increased the amount of polymerized MsFtsZ as evident from a
sedimentation assay. Further, the assembly promoting activity of WhmD occurred in
the presence of GTP. MsFtsZ polymerized to form thin filaments in the absence of
WhmD while MsFtsZ formed thick filaments in the presence of WhmD suggesting that
WhmD enhanced the bundling of MsFtsZ filaments. Interestingly, WhmD neither
suppressed the dilution-induced disassembly of FtsZ filaments nor significantly
altered the GTPase activity of FtsZ. Using size exclusion chromatography,
circular dichroism and fluorescence spectroscopy, WhmD was found to bind to
MsFtsZ in vitro. The results showed that WhmD can promote the assembly of FtsZ
and indicated that WhmD may play a role in the division of M. smegmatis cells by
assisting the polymerization of FtsZ.
PMID- 27871790
TI - Antioxidant and anti-hyperlipidemic effects of mycelia zinc polysaccharides by
Pleurotus eryngii var. tuoliensis.
AB - The aims of this work were designed to investigate the hepatoprotective and
antioxidant effects of acidic- and alkali-extractable mycelia zinc
polysaccharides (AcMZPS, AlMZPS) from Pleurotus eryngii var. tuoliensis on high
fat-high-cholesterol emulsion-induced hyperlipidemic mice. The in vivo
experiments demonstrated that both AcMZPS and AlMZPS had potential
hepatoprotective effects by significantly decreasing the levels of LDL-C, VLDL-C,
TC, TG, ALT, AST, ALP, MDA and LPO, and remarkably increasing the HDL-C, SOD, GSH
Px, and CAT in serum lipid/liver homogenate, respectively. In addition, four
polysaccharide fractions of AcMZPS-1, AcMZPS-2, AlMZPS-1, and AlMZPS-2, purified
from AcMZPS and AlMZPS using DEAE chromatography, respectively, were subjected to
monosaccharide composition analysis and valuated for the in vitro antioxidant
activity. The results obtained in present study suggested that AcMZPS, AlMZPS and
their purified fractions could be used as functional foods and natural drugs in
preventing the hyperlipidemia and non-alcoholic fatty liver.
PMID- 27871792
TI - Dual inhibition of BACE1 and Abeta aggregation by beta-ecdysone: Application of a
phytoecdysteroid scaffold in Alzheimer's disease therapeutics.
AB - Current medications for the complex neurological disorder, Alzheimer's disease
(AD), can neither stop disease progression nor revert back disease pathogenesis.
The present study demonstrates the applicability of a phytoecdysteroid, beta
ecdysone, as a multi-potent agent in AD therapeutics. beta-ecdysone strongly
binds to the active site cavity of BACE1 with calculated dissociation constant of
1.75+/-0.1MUM. Steady-state and time-resolved fluorescence spectroscopy reveal
that binding of beta-ecdysone induces conformational transition of the protein
from open to closed form thereby blocking substrate binding. Even 500nM of the
compound completely blocks the enzyme activity. Furthermore, beta-ecdysone
strongly inhibits Abeta aggregation, evident from ANS and ThT binding assay. Co
incubation of equimolar peptide and beta-ecdysone completely inhibits Abeta
fibril formation which is further complemented by the AFM study. Low systemic
toxicity of beta-ecdysone further extends the applicability of the compound as
functional food and dietary supplement for disease management.
PMID- 27871793
TI - Combining in silico and in vitro approaches to evaluate the acetylcholinesterase
inhibitory profile of some commercially available flavonoids in the management of
Alzheimer's disease.
AB - The current objective of the study is to identify inhibitory affinity potential
of the certain commercially available flavonoids, against crystal structure of
acetylcholinesterase (AChE) enzyme using in silico and in vitro studies. The
inhibitory profiles of the compounds have been compared with standard AChE
inhibitor donepezil. In the docking studies, conformational site analysis and
docking parameters like binding energy, inhibition constant and intermolecular
energy were determined using AutoDock 4.2. Docking studies conducted with
diosmin, silibinin, scopoletin, taxifolin and tricetin exhibited tight binding
forces prevailing with the enzyme than between donepezil. Based on the in silico
studies, compounds were selected for the in vitro AChE inhibitory assay. In vitro
results showed that all the selected flavonoids displayed excellent concentration
dependant inhibition of AChE. Scopoletin was found to be the most potent and
specific inhibitor of the enzyme with IC50 values of 10.18+/-0.68MUM. Scopoletin
showed several strong hydrogen bonds to several important amino acid residues
against target enzyme. A number of hydrophobic interactions could also explain
the potency of the compounds to inhibit AChE. These molecular docking and in
vitro analyses could lead to the further development of potent
acetylcholinesterase inhibitors for the treatment of Alzheimer's disease.
PMID- 27871794
TI - Accessory subunit NUYM (NDUFS4) is required for stability of the electron input
module and activity of mitochondrial complex I.
AB - Mitochondrial complex I is an intricate 1MDa membrane protein complex with a
central role in aerobic energy metabolism. The minimal form of complex I consists
of fourteen central subunits that are conserved from bacteria to man. In
addition, eukaryotic complex I comprises some 30 accessory subunits of largely
unknown function. The gene for the accessory NDUFS4 subunit of human complex I is
a hot spot for fatal pathogenic mutations in humans. We have deleted the gene for
the orthologous NUYM subunit in the aerobic yeast Yarrowia lipolytica, an
established model system to study eukaryotic complex I and complex I linked
diseases. We observed assembly of complex I which lacked only subunit NUYM and
retained weak interaction with assembly factor N7BML (human NDUFAF2). Absence of
NUYM caused distortion of iron sulfur clusters of the electron input domain
leading to decreased complex I activity and increased release of reactive oxygen
species. We conclude that NUYM has an important stabilizing function for the
electron input module of complex I and is essential for proper complex I
function.
PMID- 27871795
TI - Reaction of S. cerevisiae mitochondria with ligands: Kinetics of CO and O2
binding to flavohemoglobin and cytochrome c oxidase.
AB - Kinetic methods used to investigate electron and proton transfer within
cytochrome c oxidase (CytcO) are often based on the use of light to dissociate
small ligands, such as CO, thereby initiating the reaction. Studies of intact
mitochondria using these methods require identification of proteins that may bind
CO and determination of the ligand-binding kinetics. In the present study we have
investigated the kinetics of CO-ligand binding to S. cerevisiae mitochondria and
cellular extracts. The data indicate that CO binds to two proteins, CytcO and a
(yeast) flavohemoglobin (yHb). The latter has been shown previously to reside in
both the cell cytosol and the mitochondrial matrix. Here, we found that yHb
resides also in the intermembrane space and binds CO in its reduced state. As
observed previously, we found that the yHb population in the mitochondrial matrix
binds CO, but only after removal of the inner membrane. The mitochondrial yHb (in
both the intermembrane space and the matrix) recombines with CO with tau?270ms,
which is significantly slower than observed with the cytosolic yHb (main
component tau?1.3ms). The data indicate that the yHb populations in the different
cell compartments differ in structure.
PMID- 27871797
TI - Direct PCR - A rapid method for multiplexed detection of different serotypes of
Salmonella in enriched pork meat samples.
AB - Salmonellosis, an infectious disease caused by Salmonella spp., is one of the
most common foodborne diseases. Isolation and identification of Salmonella by
conventional bacterial culture method is time consuming. In response to the
demand for rapid on line or at site detection of pathogens, in this study, we
developed a multiplex Direct PCR method for rapid detection of different
Salmonella serotypes directly from pork meat samples without any DNA purification
steps. An inhibitor-resistant Phusion Pfu DNA polymerase was used to overcome PCR
inhibition. Four pairs of primers including a pair of newly designed primers
targeting Salmonella spp. at subtype level were incorporated in the multiplex
Direct PCR. To maximize the efficiency of the Direct PCR, the ratio between
sample and dilution buffer was optimized. The sensitivity and specificity of the
multiplex Direct PCR were tested using naturally contaminated pork meat samples
for detecting and subtyping of Salmonella spp. Conventional bacterial culture
methods were used as reference to evaluate the performance of the multiplex
Direct PCR. Relative accuracy, sensitivity and specificity of 98.8%; 97.6% and
100%, respectively, were achieved by the method. Application of the multiplex
Direct PCR to detect Salmonella in pork meat at slaughter reduces the time of
detection from 5 to 6 days by conventional bacterial culture and serotyping
methods to 14 h (including 12 h enrichment time). Furthermore, the method poses a
possibility of miniaturization and integration into a point-of-need Lab-on-a-chip
system for rapid online pathogen detection.
PMID- 27871796
TI - Diversity of bat astroviruses in Lao PDR and Cambodia.
AB - Astroviruses are known to infect humans and a wide range of animal species, and
can cause gastroenteritis in their hosts. Recent studies have reported
astroviruses in bats in Europe and in several locations in China. We sampled 1876
bats from 17 genera at 45 sites from 14 and 13 provinces in Cambodia and Lao PDR
respectively, and tested them for astroviruses. Our study revealed a high
diversity of astroviruses among various Yangochiroptera and Yinpterochiroptera
bats. Evidence for varying degrees of host restriction for astroviruses in bats
was found. Furthermore, additional Pteropodid hosts were detected. The
astroviruses formed distinct phylogenetic clusters within the genus
Mamastrovirus, most closely related to other known bat astroviruses. The
astrovirus sequences were found to be highly saturated indicating that
phylogenetic relationships should be interpreted carefully. An astrovirus
clustering in a group with other viruses from diverse hosts, including from
ungulates and porcupines, was found in a Rousettus bat. These findings suggest
that diverse astroviruses can be found in many species of mammals, including
bats.
PMID- 27871798
TI - The association of air pollution and greenness with mortality and life expectancy
in Spain: A small-area study.
AB - BACKGROUND: Air pollution exposure has been associated with an increase in
mortality rates, but few studies have focused on life expectancy, and most
studies had restricted spatial coverage. A limited body of evidence is also
suggestive for a beneficial association between residential exposure to greenness
and mortality, but the evidence for such an association with life expectancy is
still very scarce. OBJECTIVE: To investigate the association of exposure to air
pollution and greenness with mortality and life expectancy in Spain. METHODS:
Mortality data from 2148 small areas (average population of 20,750 inhabitants,
and median population of 7672 inhabitants) covering Spain for years 2009-2013
were obtained. Average annual levels of PM10, PM2.5, NO2 and O3 were derived from
an air quality forecasting system at 4*4km resolution. The normalized difference
vegetation index (NDVI) was used to assess greenness in each small area. Air
pollution and greenness were linked to standardized mortality rates (SMRs) using
Poisson regression and to life expectancy using linear regression. The models
were adjusted for socioeconomic status and lung cancer mortality rates (as a
proxy for smoking), and accounted for spatial autocorrelation. RESULTS: The
increase of 5MUg/m3 in PM10, NO2 and O3 or of 2MUg/m3 in PM2.5 concentration
resulted in a loss of life in years of 0.90 (95% credibility interval CI: 0.83,
0.98), 0.13 (95% CI: 0.09, 0.17), 0.20years (95% CI: 0.16, 0.24) and 0.64 (0.59,
0.70), respectively. Similar associations were found in the SMR analysis, with
stronger associations for PM2.5 and PM10, which were associated with an increased
mortality risk of 3.7% (95% CI: 3.5%, 4.0%) and 5.7% (95% CI: 5.4%, 6.1%). For
greenness, a protective effect on mortality and longer life expectancy was only
found in areas with lower socioeconomic status. CONCLUSIONS: Air pollution
concentrations were associated to important reductions in life expectancy. The
reduction of air pollution should be a priority for public health.
PMID- 27871799
TI - Molecular mechanisms of PFOA-induced toxicity in animals and humans: Implications
for health risks.
AB - As an emerging persistent organic pollutant (POP), perfluorooctanoate (PFOA) is
one of the most abundant perfluorinated compounds (PFCs) in the environment. This
review summarized the molecular mechanisms and signaling pathways of PFOA-induced
toxicity in animals and humans as well as their implications for health risks in
humans. Traditional PFOA-induced signal pathways such as peroxisome proliferating
receptor alpha (PPARalpha), constitutive androstane receptor (CAR), farnesoid X
receptor (FXR), and pregnane-X receptor (PXR) may not be important for PFOA
induced health effects on humans. Instead, pathways including p53/mitochondrial
pathway, nuclear lipid hyperaccumulation, phosphatidylinositol 3-kinase
serine/threonine protein kinase (PI3K-AKT), and tumor necrosis factor
alpha/nuclear factor kappaB (TNF-alpha/NF-kappaB) may play an important role for
PFOA-induced health risks in humans. Both in vivo and in vitro studies are needed
to better understand the PFOA-induced toxicity mechanisms as well as the
associated health risk in humans.
PMID- 27871800
TI - Zymosan-induced immune challenge modifies the stress response of hypoxic air
breathing fish (Anabas testudineus Bloch): Evidence for reversed patterns of
cortisol and thyroid hormone interaction, differential ion transporter functions
and non-specific immune response.
AB - Fishes have evolved physiological mechanisms to exhibit stress response, where
hormonal signals interact with an array of ion transporters and regulate
homeostasis. As major ion transport regulators in fish, cortisol and thyroid
hormones have been shown to interact and fine-tune the stress response. Likewise,
in fishes many interactions have been identified between stress and immune
components, but the physiological basis of such interaction has not yet
delineated particularly in air-breathing fish. We, therefore, investigated the
responses of thyroid hormones and cortisol, ion transporter functions and non
specific immune response of an obligate air-breathing fish Anabas testudineus
Bloch to zymosan treatment or hypoxia stress or both, to understand how immune
challenge modifies the pattern of stress response in this fish. Induction of
experimental peritonitis in these fish by zymosan treatment (200ngg-1) for 24h
produced rise in respiratory burst and lysozomal activities in head kidney
phagocytes. In contrast, hypoxia stress for 30min in immune-challenged fish
reversed these non-specific responses of head kidney phagocytes. The decline in
plasma cortisol in zymosan-treated fish and its further suppression by hypoxia
stress indicate that immune challenge suppresses the cortisol-driven stress
response of this fish. Likewise, the decline in plasma T3 and T4 after zymosan
treatment and the rise in plasma T4 after hypoxia stress in immune-challenged
fish indicate a critical role for thyroid hormone in immune-stress response due
to its differential sensitivity to both immune and stress challenges. Further,
analysis of the activity pattern of ion-dependent ATPases viz. Na+/K+-ATPase,
H+/K+-ATPase and Na+/NH4+-ATPase indicates a functional interaction of ion
transport system with the immune response as evident in its differential and
spatial modifications after hypoxia stress in immune-challenged fish. The immune
challenge that produced differential pattern of mRNA expression of Na+/K+-ATPase
alpha-subunit isoforms; nkaalpha1a, nkaalpha1b and nkaalpha1c and the shift in
nkaalpha1a and nkaalpha1b isoforms expression after hypoxia stress in immune
challenged fish, presents transcriptomic evidence for a modified Na+/K+ ion
transporter system in these fish. Collectively, our data thus provide evidence
for an interactive immune-stress response in an air-breathing fish, where the
patterns of cortisol-thyroid hormone interaction, the ion transporter functions
and the non-specific immune responses are reversed by hypoxia stress in immune
challenged fish.
PMID- 27871803
TI - Abdominal drainage versus no abdominal drainage for laparoscopic cholecystectomy:
A systematic review with meta-analysis and trial sequential analysis.
AB - The aim is to assess the benefits and harms of routine abdominal drainage in
laparoscopic cholecystectomy. We searched the Cochrane Central Register of
Controlled Trials (CENTRAL) in The Cochrane Library, MEDLINE, EMBASE, and Science
Citation Index Expanded until August 2016. We included all randomised clinical
trials comparing drainage versus no drainage after laparoscopic cholecystectomy
irrespective of language and publication status. We used standard methodological
procedures in accordance with the PRISMA guidelines. A total of 2398 participants
were randomised to drain (1197 participants) versus 'no drain' (1201
participants) in 16 trials included in this article. Pain 24 h after surgery was
less severe in the no drain group (MD1.31; 95% CI, 0.96 to 1.65; p < 0.00001).
Abdominal drainage prolonged operative time (MD 5.77 min; 95% CI 4.98 min-6.57
min; p < 0.00001) but not the length of hospital stay (MD 0.21 days; 95% CI -0.00
days to 0.42 days; p = 0.05). No significant difference was present with respect
to the intra-abdominal fluid, wound infection, nausea or vomit, mortality after
operation. There is no significant advantage of drain placement after
laparoscopic cholecystectomy. Further well designed randomized clinical trials
should be carefully re-considered.
PMID- 27871801
TI - Lipid profiling of polarized human monocyte-derived macrophages.
AB - The highly orchestrated transcriptional and metabolic reprogramming during
activation drastically transforms the main functions and physiology of human
macrophages across the polarization spectrum. Lipids, for example, can modify
protein function by acting remotely as signaling molecules but also locally by
altering the physical properties of cellular membranes. These changes play key
roles in the functions of highly plastic immune cells due to their involvement in
inflammation, immune responses, phagocytosis and wound healing processes. We
report an analysis of major membrane lipids of distinct phenotypes of resting
(M0), classically activated (M1), alternatively activated (M2a) and deactivated
(M2c) human monocyte derived macrophages from different donors. Samples were
subjected to supercritical fluid chromatography-ion mobility-mass spectrometry
analysis, which allowed separations based on lipid class, facilitating the
profiling of their fatty acid composition. Different levels of arachidonic acid
mobilization as well as other fatty acid changes were observed for different
lipid classes in the distinct polarization phenotypes, suggesting the activation
of highly orchestrated and specific enzymatic processes in the biosynthesis of
lipid signaling molecules and cell membrane remodeling. Thromboxane A2 production
appeared to be a specific marker of M1 polarization. These alterations to the
global composition of lipid bi-layer membranes in the cell provide a potential
methodology for the definition and determination of cellular and tissue
activation states.
PMID- 27871802
TI - Probiotic normalization of Candida albicans in schizophrenia: A randomized,
placebo-controlled, longitudinal pilot study.
AB - The molecules and pathways of the gut-brain axis represent new targets for
developing methods to diagnose and treat psychiatric disorders. Manipulation of
the gut microbiome with probiotics may be a therapeutic strategy with the
potential to relieve gastrointestinal (GI) comorbidities and improve psychiatric
symptoms. Candida albicans and Saccharomyces cerevisiae, commensal yeast species,
can be imbalanced in the unhealthy human microbiome, and these fungal exposures
were previously found elevated in schizophrenia. In a longitudinal, double-blind,
placebo-controlled, pilot investigation of 56 outpatients with schizophrenia, we
examined the impact of probiotic treatment on yeast antibody levels, and the
relationship between treatment and antibody levels on bowel discomfort and
psychiatric symptoms. We found that probiotic treatment significantly reduced C.
albicans antibodies over the 14-week study period in males, but not in females.
Antibody levels of S. cerevisiae were not altered in either treatment group. The
highest levels of bowel discomfort over time occurred in C. albicans-seropositive
males receiving the placebo. We observed trends towards improvement in positive
psychiatric symptoms in males treated with probiotics who were seronegative for
C. albicans. Results from this pilot study hint at an association of C. albicans
seropositivity with worse positive psychiatric symptoms, which was confirmed in a
larger cohort of 384 males with schizophrenia. In conclusion, the administration
of probiotics may help normalize C. albicans antibody levels and C. albicans
associated gut discomfort in many male individuals. Studies with larger sample
sizes are warranted to address the role of probiotics in correcting C. albicans
associated psychiatric symptoms.
PMID- 27871805
TI - The survival rate and larynx preservation in elderly cancer patients who received
surgical operation: A retrospective cohort study.
AB - BACKGROUND: To investigate the efficiency of surgical operation for patients over
70 years with hypopharyngeal cancer. MATERIALS AND METHODS: A retrospective
analysis of the medical records from 68 patients over 70 years-old with
hypopharyngeal cancer who underwent different therapeutic regimen between 2000
and 2009 was conducted. 36 of 68 patients underwent larynx preservation. All
patients were treated with surgical operation and adjuvant radiotherapy. A Kaplan
Meier method was employed to calculate the survival rate. RESULTS: Overall 3 year
and 5 year-survival rates were 48.6% and 29.4% respectively. For patients who
underwent larynx preservation, 5 year-survival rate was 30.5%, which was
decreased compared to 3 year-survival rate (46.9%) However, 3 year- and 5 year
survival rates in patients without larynx preservation were 46.9% and 28.1%
respectively, suggesting that no statistically significant difference of survival
rates was found between patients with or without larynx preservation (P > 0.05).
A majority of patients who receive larynx preservation exhibited normal breathing
and eating abilities and could present an intelligible speech. 16 of 36 patients
who preserved larynx and 14 of 32 patients who underwent laryngectomy showed
postoperative complication. No significant difference was observed in these two
treatments, indicating larynx preservation is not a factor for inducing
complication. CONCLUSION: This study provides evidence that the quality of life
for elderly patients with hypopharyngeal cancer can be improved by optimizing the
therapeutic regimen based on the physical condition of each patient.
PMID- 27871807
TI - Renal stones editorial.
PMID- 27871804
TI - Additional surgical resection after endoscopic mucosal dissection for early
gastric cancer: A medium-sized hospital's experience.
AB - PURPOSE: In Japan, the majority of early gastric cancers (EGCs) are now treated
with endoscopic submucosal dissection (ESD). Patients with non-curative lesions
treated by ESD are advised to undergo additional surgical resection (ASR) based
on guidelines from the Japan Gastroenterological Endoscopy Society (JGES) and
Japanese Gastric Cancer Association (JGCA). However, many studies have
demonstrated that residual cancer and lymph node metastasis are only rarely found
in ASR specimens. Here we retrospectively analyzed the conditions that could
enable the avoidance of unnecessary ASR. METHODS: The ESD data for 114 absolute
indication lesions and 26 lesions of expanded indication lesions were analyzed.
The indications and the curability were evaluated according to the JGES/JGCA
guidelines. RESULTS: The rates of non-curative resection and ASR were
significantly higher in the expanded indication group compared to the absolute
indication group (26.9% and 19.2% vs. 7.9% and 0.9%, respectively). ASR was
performed for six patients. Three of their ARS specimens contained neither
residual cancer nor lymph node metastasis, and the pathological findings of the
preceding ESD specimens deviated slightly from the curative criteria defined by
the guidelines. The conditions of the lesions that did not meet the curative
criteria were as follows: (1) sm1 invasion of undifferentiated-type lesion <10 mm
dia., (2) 21-25 mm dia. mucosal undifferentiated-type lesion, or (3) peacemeal
resection with a horizontal margin positive for the mucosal differentiated-type.
CONCLUSIONS: These data suggest that a close follow-up without ASR might be
appropriate for patients in the above-mentioned three categories after non
curative ESD for EGC.
PMID- 27871806
TI - Does vertebral augmentation lead to an increasing incidence of adjacent vertebral
failure? A systematic review and meta-analysis.
AB - BACKGROUND: The aim of the present study was to evaluate whether vertebral
augmentation technology increases the occurrence of adjacent vertebral fractures
in patients with osteoporotic vertebral compression fractures (OVCFs). MATERIALS
AND METHODS: Databases, including MEDLINE, EMBASE and Cochrane library, were
retrieved via PRISMA covering 1987 to 2015. The number of patients who suffered
from adjacent secondary vertebral fractures was calculated. A meta-analysis,
using indexes of odds ratios (OR) and 95% confidence intervals (95% CI), was
conducted with STATA software. Subgroup investigations were conducted according
to the operation methods and the duration of observation. Sensitivity analysis
and publication bias were also evaluated. RESULTS: Ten randomized controlled
trials (RCTs) met our inclusion criteria. Our results indicated there was no
statistically significant difference in the occurrence rate of adjacent vertebral
fractures between manipulation of vertebral augmentation and non-surgical
treatment (OR = 0.89, 95% CI = 0.58-1.37). Neither subgroup investigations based
on selection of operation nor duration of follow-up time showed marked
differences. A sensitivity analysis did not identify specific trails seriously
deflected. No obvious publication bias was identified. CONCLUSION: Despite
various limitations in the present study, our data demonstrated that using
vertebral augmentation was not related to increasing incidence of subsequent
adjacent vertebral fractures.
PMID- 27871808
TI - New mechanism of lipotoxicity in diabetic cardiomyopathy: Deficiency of
Endogenous H2S Production and ER stress.
AB - OBJECTIVE: To investigate the roles and mechanisms of endogenous hydrogen sulfide
(H2S) and endoplasmic reticulum (ER) stress in the development of diabetic
cardiomyopathy (DCM). METHODS: Blood of DCM patients included in the study were
collected. The model of DCM rats was established using streptozotocin (STZ)
injection. Cardiac lipotoxicity in vitro models were established using 500MUM
palmitic acid (PA) treatment for 24h in AC16 cardiomyocytes. Endogenous H2S
production in plasma, culture supernatant and heart was measured by sulphur ion
selective electrode assay. Cell viability was tested by using the cell counting
kit-8 (CCK-8) kit. Glucose regulated protein (GRP78), CCAAT/enhancer binding
protein homologous transcription factor (C/EBP) homologous protein (CHOP),
caspase-3 and caspase-12 expressions were measured using western blot analysis.
Lipid droplet was evaluated by Oil Red O staining. Apoptosis in hearts of DCM
rats was analyzed using terminal deoxynucleotidyl transferase dUTP nick end
labeling (TUNEL) staining. RESULTS: H2S levels in serum of DCM patients and DCM
rats were significant lower, H2S contents and cystathionine-gamma-lyase (CSE)
expression in heart tissues of DCM rats were also markedly lower. H2S levels in
supernatants of PA-treated AC16 cardiac cells were decreased. Cardiac
lipotoxicity demonstrated by increase in TUNEL positive cells and lipid deposit
in vivo and in vitro accompanied by a decrease of H2S levels. Pretreatment AC16
cells with 100MUmol/L of NaHS (a donor of H2S) could suppress the PA-induced
myocardial injury similar to the effects of 4-phenylbutyric acid (4-PBA, an
endoplasmic reticulum (ER) stress inhibitor), leading to an increase in cell
viability and preventing lipid deposit. Meanwhile, administration diabetic rats
with NaHS or 4-PBA alleviated cardiac lipotoxicity, as evidenced by decrease in
TUNEL positive cells, cleaved caspase-3 expression and lipid accumulation.
CONCLUSION: Deficiency of endogenous H2S was involved in lipotoxicity-induced
myocardial injury. Exogenous H2S attenuates PA-induced myocardial injury though
inhibition of ER stress.
PMID- 27871809
TI - Biomarkers for discrimination between latent tuberculosis infection and active
tuberculosis disease.
AB - OBJECTIVE: We aimed to determine whether combinations of multiplex cytokine
responses could differentiate Mycobacterium tuberculosis (Mtb) infection states.
METHODS: Mtb-specific antigen-induced and unstimulated cytokines were measured by
Luminex assay in supernatants of QuantiFERON(r) Gold In-Tube assay (QFT) in 48
active pulmonary TB patients (TB), 15 latent TB infection subjects (LTBI), and 13
healthy controls (HCs). RESULTS: Among the 29 cytokines, eight Mtb antigen
specific biomarkers (GM-CSF, IFN-gamma, IL-1RA, IL-2, IL-3, IL-13, IP-10, and MIP
1beta) in the Mtb-infected group were significantly different from those of the
HCs. Five Mtb-specific biomarkers (EGF, GM-CSF, IL-5, IL-10, and VEGF), two
unstimulated biomarkers (TNF-alpha[Nil] and VEGF[Nil]), and one Mtb-specific
biomarker ratio (IL-2/IFN-gamma) showed significant differences between active TB
and LTBI. Three unstimulated biomarkers (IL-8[Nil], IL-13[Nil], and VEGF[Nil])
and 5 Mtb-specific biomarkers (IFN-gamma, IL-2, IL-3, IP-10, and VEGF) were
significantly different between active TB and non-active TB groups. Combinations
of three cytokine biomarkers resulted in the accurate prediction of 92.1-93.7% of
Mtb-infected cases and 92.3-100% of HCs, respectively. Moreover, combinations of
five biomarkers accurately predicted 90.9-100% of active TB cases and 80-100% of
LTBI subjects, respectively. In discriminating between active TB and non-active
TB regardless of QFT results, combinations of six biomarkers predicted 79.2-95.8%
of active TB cases and 67.9-89.3% of non-active TB subjects. CONCLUSIONS: Taken
together, our data suggest that combinations of whole blood Mtb antigen-dependent
cytokines could serve as biomarkers to determine TB disease states. Especially,
VEGF is highlighted as a key biomarker for reflecting active TB, irrespective of
stimulation.
PMID- 27871810
TI - A Maize Gene Regulatory Network for Phenolic Metabolism.
AB - The translation of the genotype into phenotype, represented for example by the
expression of genes encoding enzymes required for the biosynthesis of
phytochemicals that are important for interaction of plants with the environment,
is largely carried out by transcription factors (TFs) that recognize specific cis
regulatory elements in the genes that they control. TFs and their target genes
are organized in gene regulatory networks (GRNs), and thus uncovering GRN
architecture presents an important biological challenge necessary to explain gene
regulation. Linking TFs to the genes they control, central to understanding GRNs,
can be carried out using gene- or TF-centered approaches. In this study, we
employed a gene-centered approach utilizing the yeast one-hybrid assay to
generate a network of protein-DNA interactions that participate in the
transcriptional control of genes involved in the biosynthesis of maize phenolic
compounds including general phenylpropanoids, lignins, and flavonoids. We
identified 1100 protein-DNA interactions involving 54 phenolic gene promoters and
568 TFs. A set of 11 TFs recognized 10 or more promoters, suggesting a role in
coordinating pathway gene expression. The integration of the gene-centered
network with information derived from TF-centered approaches provides a
foundation for a phenolics GRN characterized by interlaced feed-forward loops
that link developmental regulators with biosynthetic genes.
PMID- 27871811
TI - Crystal Structure of the Arabidopsis thaliana L1L/NF-YC3 Histone-fold Dimer
Reveals Specificities of the LEC1 Family of NF-Y Subunits in Plants.
PMID- 27871813
TI - Gut microbiota in Drosophila melanogaster interacts with Wolbachia but does not
contribute to Wolbachia-mediated antiviral protection.
AB - Animals experience near constant infection with microorganisms. A significant
proportion of these microbiota reside in the alimentary tract. There is a growing
appreciation for the roles gut microbiota play in host biology. The gut
microbiota of insects, for example, have been shown to help the host overcome
pathogen infection either through direct competition or indirectly by stimulating
host immunity. These defenses may also be supplemented by coinfecting maternally
inherited microbes such as Wolbachia. The presence of Wolbachia in a host can
delay and/or reduce death caused by RNA viruses. Whether the gut microbiota of
the host interacts with Wolbachia, or vice versa, the precise role of Wolbachia
in antiviral protection is not known. In this study, we used 16S rDNA sequencing
to characterise changes in gut microbiota composition in Drosophila melanogaster
associated with Wolbachia infection and antibiotic treatment. We subsequently
tested whether changes in gut composition via antibiotic treatment altered
Wolbachia-mediated antiviral properties. We found that both antibiotics and
Wolbachia significantly reduced the biodiversity of the gut microbiota without
changing the total microbial load. We also showed that changing the gut
microbiota composition with antibiotic treatment enhanced Wolbachia density but
did not confer greater antiviral protection against Drosophila C virus to the
host. We concluded there are significant interactions between Wolbachia and gut
microbiota, but changing gut microbiota composition is not likely to be a means
through which Wolbachia conveys antiviral protection to its host.
PMID- 27871814
TI - Dr. Alois Huger - Laying the foundations for an integrated insect pathology.
AB - Dr. Rer. Nat. Alois M. Huger had a long and distinguished career at the Institut
fur biologische Schadlingsbekampfung (Institute for Biological Pest Control)
Darmstadt, Germany, where he became one of the world's leading insect
pathologists. He applied his experience and understanding of insect tissues and
specialist skills in microscopy to diagnosis and elucidation of the pathology of
insect diseases. During his career he discovered the Oryctes nudivirus and
Bacillus thuringiensis subsp. tenebrionis, and was a member of teams unravelling
amber disease in scarab beetles and the role of bacteria in parthenogenesis of
parasitoids. He researched and described the life cycles of microsporidian and
rickettsial pathogens of insects and was the first to describe the ultrastructure
of a microsporidian spore. Dr. Huger carried out diagnosis of diseased insects
over a period of 55years and has left us with many publications and a database
containing thousands of records for ongoing investigation. Working with multiple
pathogens in different systems, Dr. Huger obtained an overview second to none in
the complexities of insect/pathogen relationships and has been at the forefront
of making these discoveries benefit humanity. He is a worthy recipient of the
Founders' Lecture Honoree Award, the highest honour of the Society for
Invertebrate Pathology.
PMID- 27871812
TI - Effects of Roux-en-Y gastric bypass and sleeve gastrectomy on bone mineral
density and marrow adipose tissue.
AB - Bariatric surgery is associated with bone loss but skeletal consequences may
differ between Roux-en-Y gastric bypass (RYGB) and sleeve gastrectomy (SG), the
two most commonly performed bariatric procedures. Furthermore, severe weight loss
is associated with high marrow adipose tissue (MAT); however, MAT is also
increased in visceral adiposity. The purpose of our study was to determine the
effects of RYGB and SG on BMD and MAT. We hypothesized that both bariatric
procedures would lead to a decrease in BMD and MAT. We studied 21 adults with
morbid obesity (mean BMI 44.1+/-5.1kg/m2) prior to and 12months after RYGB (n=11)
and SG (n=10). All subjects underwent DXA and QCT of the lumbar spine and hip to
assess aBMD and vBMD. Visceral (VAT) and subcutaneous (SAT) adipose tissue was
quantified at L1-L2. MAT of the lumbar spine and femur was assessed by 1H-MR
spectroscopy. Calcitropic hormones and bone turnover markers were determined. At
12months after surgery, mean weight and abdominal fat loss was similar between
the RYGB and SG groups. Mean serum calcium, 25(OH)-vitamin D, and PTH levels were
unchanged after surgery and within the normal range in both groups. Bone turnover
markers P1NP and CTX increased within both groups and P1NP increased to a greater
extent in the RYGB group (p=0.03). There were significant declines from baseline
in spine aBMD and vBMD within the RYGB and SG groups, although the changes were
not significantly different between groups (p=0.3). Total hip and femoral neck
aBMD by DXA decreased to a greater extent in the RYGB than the SG group (p<0.04)
although the change in femoral vBMD by QCT was not significantly different
between groups (p>0.2). MAT content of the lumbar spine and femoral diaphysis did
not change from baseline in the RYGB group but increased after SG (p=0.03).
Within the SG group, 12-month change in weight and VAT were positively associated
with 12-month change in MAT (p<0.04), suggesting that subjects with less weight
and VAT loss had higher MAT. In conclusion, RYGB and SG are associated with
declines in lumbar spine BMD, however, the changes are not significantly
different between the groups. RYGB may be associated with greater decline of aBMD
at the total hip and femoral neck compared to SG. MAT content increased after SG
and this was associated with lower weight and VAT loss.
PMID- 27871815
TI - Identification and genomic characterization of a novel rat bocavirus from brown
rats in China.
AB - Despite recent discoveries of novel animal bocaparvoviruses, current
understandings on the diversity and evolution of bocaparvoviruses are still
limited. We report the identification and genome characterization of a novel
bocaparvovirus, rat bocaparvovirus (RBoV), in brown rats (Rattus norvegicus) in
China. RBoV was detected in 11.5%, 2.4%, 16.2% and 0.3% of alimentary,
respiratory, spleen and kidney samples respectively, of 636 brown rats by PCR,
but not in samples of other rodent species, suggesting that brown rats are the
primary reservoir of RBoV. Six RBoV genomes sequenced from three brown rats
revealed the presence of three ORFs, characteristic of bocaparvoviruses.
Phylogenetic analysis showed that RBoV was distantly related to other
bocaparvoviruses, forming a distinct cluster within the genus, with <=55.5%
nucleotide identities to the genome of ungulate bocaparvovirus 3, supporting its
classification as a novel bocaparvovirus species. RBoV possessed a putative
second exon encoding the C-terminal region of NS1 and conserved RNA splicing
signals, similar to human bocaparvoviruses and canine bocaparvovirus. In contrast
to human, feline and canine bocaparvoviruses which demonstrates inter/intra-host
viral diversity, partial VP1/VP2 sequences of 49 RBoV strains demonstrated little
inter-host genetic diversity, suggesting a single genetic group. Although the
pathogenicity of RBoV remains to be determined, its presence in different host
tissues suggests wide tissue tropism. RBoV represents the first bocaparvovirus in
rodents with genome sequenced, which extends our knowledge on the host range of
bocaparvoviruses. Further studies are required to better understand the
epidemiology, genetic diversity and pathogenicity of bocaparvoviruses in
different rodent populations.
PMID- 27871816
TI - Experimental transmission of rabbit haemorrhagic disease virus (RHDV) from rabbit
to wild mice (Mus spretus and Apodemus sylvaticus) under laboratory conditions.
AB - Rabbit haemorrhagic disease (RHD) is a highly lethal and contagious viral disease
that produces haemorrhagic lesions in liver and lungs of domestic and wild
rabbits (Oryctolagus cuniculus). This study investigates the transmission of RHDV
from infected rabbits to mice, based on the detection of viral RNA. Sixteen wild
mice (Mus spretus, n=12 and Apodemus sylvaticus, n=4) were put in contact with
nine rabbits inoculated with RHDV. No mice died following exposure to RHDV
infected rabbits or developed macroscopic haemorrhagic lesions. On the fourth day
of contact, RHDV was detected by RT-PCR in the faeces of three of the four mice
killed and in the livers of two of them. Three days after contact period with the
inoculated rabbits (7th day of the experiment), RHDV was detected by RT-PCR in
100% (n=4) of the faeces and 50% (n=2) of the livers of euthanized animals. Ten
days after contact period (14th day of the experiment), RHDV was not detected in
the faeces or liver from any of the mice euthanized. However, 64days after
contact period, RHDV was detected in the faeces of one mouse (1 of 4). We
demonstrate cross-species transmission of RHDV-RNA from rabbit to rodent and the
capability of RHDV-RNA to persist in mice for at least 10days after contact, and
potentially up to two months, although viral replication within the rodent and/or
infectivity was not evaluated in the present study.
PMID- 27871817
TI - Two known and one new species of Proctoeces from Australian teleosts: Variable
host-specificity for closely related species identified through multi-locus
molecular data.
AB - Species of Proctoeces Odhner, 1911 (Trematoda: Fellodistomidae) have been
reported from a wide range of marine animals globally. Members of the genus tend
to lack strongly distinguishing morphological features for diagnosis, making
identification difficult and the true number of species in the genus contentious.
Combined morphological and molecular analyses were used to characterise three
species of Proctoeces from Moreton Bay and the southern Great Barrier Reef. Data
for two ribosomal regions and one mitochondrial region were generated for
specimens collected from Australia. Three unique 18S-genotypes were identified
which corresponded to subtle, but reliable, morphological differences. Two
species of Proctoeces were identified from fishes of Moreton Bay, Proctoeces
insolitus (Nicoll, 1915) Yamaguti, 1953 and P. major Yamaguti, 1934, and a third,
P. choerodoni n. sp. from off Heron Island on the southern Great Barrier Reef.
Phylogenetic analyses of partial 18S and partial 28S rDNA indicated that these
three species differ from the four species reported outside of Australia for
which sequence data are available. Phylogenetically, Proctoeces proved to be a
reliable concept, with all species of Proctoeces that have been characterised
genetically forming a well-supported clade in all analyses. Dramatically
different patterns of host-specificity were identified for each of the three
Australian species; P. insolitus apparently infects a single species of fish, P.
choerodoni n. sp. infects multiple species of a single genus of fish, and P.
major infects multiple species of two teleost orders.
PMID- 27871818
TI - Oxidatively generated base modifications in DNA: Not only carcinogenic risk
factor but also regulatory mark?
AB - The generation of DNA modifications in cells is in most cases accidental and
associated with detrimental consequences such as increased mutation rates and an
elevated risk of malignant transformation. Accordingly, repair enzymes involved
in the removal of the modifications have primarily a protective function. Among
the well-established exceptions of this rule are 5-methylcytosine and uracil,
which are generated in DNA enzymatically under controlled conditions and fulfill
important regulatory functions in DNA as epigenetic marks and in antibody
diversification, respectively. More recently, considerable evidence has been
obtained that also 8-oxo-7,8-dihydroguanine (8-oxoG), a frequent pro-mutagenic
DNA modification generated by endogenous or exogenous reactive oxygen species
(ROS), has distinct roles in the regulation of both transcription and signal
transduction. Thus, the activation of transcription by the estrogen receptor, NF
kappaB, MYC and other transcription factors was shown to depend on the presence
of 8-oxoG in the promoter regions and its recognition by the DNA repair
glycosylase OGG1. The lysine-specific histone demethylase LSD1, which produces
H2O2 as a by-product, was indentified as a local generator of 8-oxoG in some of
these cases. In addition, a complex of OGG1 with the excised free substrate base
was demonstrated to act as a guanine nucleotide exchange factor (GEF) for small
GTPases such as Ras, Rac and Rho, thus stimulating signal transduction. The
various findings and intriguing novel mechanisms suggested will be described and
compared in this review.
PMID- 27871819
TI - C7 sagittal vertical axis is the determinant of the C5-C7 angle in cervical
sagittal alignment.
AB - BACKGROUND CONTEXT: Previous studies have indicated that the T1 slope correlates
with cervical lordosis. In contrast, the specific impact of the C7 sagittal
vertical axis (C7SVA) on cervical lordosis remains unknown. PURPOSE: This study
aimed to investigate the specific role of C7SVA in cervical lordosis. STUDY
DESIGN/SETTING: This was a retrospective radiographic study. PATIENT SAMPLE:
Forty-eight consecutive patients who underwent lateral standing radiography of
the entire spine were retrospectively reviewed. OUTCOME MEASURES: Radiographic
parameters included occipito (Oc)-C7, Oc-C2, C2-C7, C2-C4, and C5-C7 angles; T1
slope; C7SVA; T1 pelvic angle (TPA); pelvic incidence; pelvic tilt; and sacral
slope. METHODS: The radiographs of 96 consecutive patients who underwent lateral
standing radiography of the entire spine in June 2015 in our hospital were
retrospectively reviewed. Patients having cervical deformities, having undergone
cervical fusion, and under 18 years of age were excluded. A total of 48 Asian
patients (14 men and 34 women; mean age, 54.6 years) were eligible. Pathologies
included scoliosis, myelopathy, thoracolumbar deformity, and spondylosis.
Spearman rank correlation coefficients were used to examine correlations between
the parameters. The relationship between C5-C7 lordosis and the radiographic
parameters was calculated using the forward stepwise multivariate regression
analysis. The authors do not have financial associations relevant to this
article. RESULTS: C7SVA correlated with the Oc-C7 (r=0.42) and C2-C7 (r=0.50)
angles. However, the correlation coefficient was smaller than that between the T1
slope and Oc-C7 (r=0.83) or C2-C7 (r=0.76) angles. When the C2-C7 angle was
divided into C2-C4 and C5-C7 angles, C7SVA correlated with the C5-C7 (r=0.63)
angle but not with the C2-C4 angle. The correlation coefficient between the C5-C7
angle and C7SVA was higher than that between the C5-C7 angle and T1 slope
(r=0.53) or the C5-C7 angle and TPA (r=0.60). Using radiographic parameters and
age, multiple regression analysis revealed that only C7SVA affected the C5-C7
angle. CONCLUSIONS: C7SVA was the only radiographic parameter that affected the
C5-C7 angle. Both T1 slope and C7SVA are key to the shape of the cervical
sagittal alignment. The results of this study can be a starting point to improve
our understanding of cervical sagittal alignment.
PMID- 27871820
TI - Enhanced bone formation in sheep vertebral bodies after minimally invasive
treatment with a novel, PLGA fiber-reinforced brushite cement.
AB - BACKGROUND CONTEXT: Injectable, brushite-forming calcium phosphate cements (CPC)
show potential for bone replacement, but they exhibit low mechanical strength.
This study tested a CPC reinforced with poly(l-lactide-co-glycolide) acid (PLGA)
fibers in a minimally invasive, sheep lumbar vertebroplasty model. PURPOSE: The
study aimed to test the in vivo biocompatibility and osteogenic potential of a
PLGA fiber-reinforced, brushite-forming CPC in a sheep large animal model. STUDY
DESIGN/SETTING: This is a prospective experimental animal study. METHODS: Bone
defects (diameter: 5 mm) were placed in aged, osteopenic female sheep, and left
empty (L2) or injected with pure CPC (L3) or PLGA fiber-reinforced CPC (L4; fiber
diameter: 25 um; length: 1 mm; 10% [wt/wt]). Three and 9 months postoperation
(n=20 each), the structural and functional CPC effects on bone regeneration were
documented ex vivo by osteodensitometry, histomorphometry, micro-computed
tomography (micro-CT), and biomechanical testing. RESULTS: Addition of PLGA
fibers enhanced CPC osteoconductivity and augmented bone formation. This was
demonstrated by (1) significantly enhanced structural (bone volume/total volume,
shown by micro-CT and histomorphometry; 3 or 9 months) and bone formation
parameters (osteoid volume and osteoid surface; 9 months); (2) numerically
enhanced bone mineral density (3 and 9 months) and biomechanical compression
strength (9 months); and (3) numerically decreased bone erosion (eroded surface;
3 and 9 months). CONCLUSIONS: The PLGA fiber-reinforced CPC is highly
biocompatible and its PLGA fiber component enhanced bone formation. Also, PLGA
fibers improve the mechanical properties of brittle CPC, with potential
applicability in load-bearing areas.
PMID- 27871821
TI - Redox changes in the brains of reproductive female rats during aging.
AB - Reproduction is a critical and demanding phase of an animal's life. In mammals,
females usually invest much more in parental care than males, and lactation is
the most energetically demanding period of a female's life. Here, we tested
whether oxidative stress is a consequence of reproduction in the brains of female
Wistar rats. We evaluated the activities of glutathione peroxidase, glutathione S
transferase, and superoxide dismutase; H2O2 consumption; protein carbonylation;
NO2 & NO3 levels; and total glutathione, as well as sex hormone levels in brain
tissue of animals at 3, 6, 12, and 24months of age. Animals were grouped
according to reproductive experience: breeders or non-breeders. Most of the
studied parameters showed a difference between non-breeders and breeders at 12
and 24months. At 24months of age, breeders showed higher superoxide dismutase
activity, H2O2 consumption, glutathione peroxidase activity, and carbonyl levels
than non-breeders. In 12-month-old non-breeders, we observed a higher level of
H2O2 consumption and higher superoxide dismutase and glutathione peroxidase
activities than breeders. By evaluating the correlation network, we found that
there were a larger number of influential nodes and positive links in breeder
animals than in non-breeders, indicating a greater number of redox changes in
breeder animals. Here, we also demonstrated that the aging process caused higher
oxidative damage and higher antioxidant defenses in the brains of breeder female
rats at 24months, suggesting that the reproduction process is costly, at least
for the female brain. This study shows that there is a strong potential for a
link between the cost of reproduction and oxidative stress.
PMID- 27871823
TI - Prediction of microRNAs involved in immune system diseases through network based
features.
AB - MicroRNAs are a class of small non-coding regulatory RNA molecules that modulate
the expression of several genes at post-transcriptional level and play a vital
role in disease pathogenesis. Recent research shows that a range of miRNAs are
involved in the regulation of immunity and its deregulation results in immune
mediated diseases such as cancer, inflammation and autoimmune diseases.
Computational discovery of these immune miRNAs using a set of specific features
is highly desirable. In the current investigation, we present a SVM based
classification system which uses a set of novel network based topological and
motif features in addition to the baseline sequential and structural features to
predict immune specific miRNAs from other non-immune miRNAs. The classifier was
trained and tested on a balanced set of equal number of positive and negative
examples to show the discriminative power of our network features. Experimental
results show that our approach achieves an accuracy of 90.2% and outperforms the
classification accuracy of 63.2% reported using the traditional miRNA sequential
and structural features. The proposed classifier was further validated with two
immune disease sub-class datasets related to multiple sclerosis microarray data
and psoriasis RNA-seq data with higher accuracy. These results indicate that our
classifier which uses network and motif features along with sequential and
structural features will lead to significant improvement in classifying immune
miRNAs and hence can be applied to identify other specific classes of miRNAs as
an extensible miRNA classification system.
PMID- 27871822
TI - Geroscience: Addressing the mismatch between its exciting research opportunities,
its economic imperative and its current funding crisis.
AB - There is at present a huge disconnect between levels of funding for basic
research on fundamental mechanisms of biological aging and, given demographic
projections, the anticipated enormous social and economic impacts of a litany of
chronic diseases for which aging is by far the major risk factor: One valuable
approach, recently instigated by Felipe Sierra & colleagues at the US National
Institute on Aging, is the development of a Geroscience Interest Group among
virtually all of the NIH institutes. A complementary approach would be to seek
major escalations of private funding. The American Federation for Aging Research,
the Paul Glenn Foundation and the Ellison Medical Foundation pioneered efforts by
the private sector to provide substantial supplements to public sources of
funding. It is time for our community to organize efforts towards the
enhancements of such crucial contributions, especially in support of the emerging
generation of young investigators, many of whom are leaving our ranks to seek
alternative employment. To do so, we must provide potential donors with strong
economic, humanitarian and scientific rationales. An initial approach to such
efforts is briefly outlined in this manuscript as a basis for wider discussions
within our community.
PMID- 27871824
TI - Cocaine self-administration, extinction training and drug-induced relapse change
metabotropic glutamate mGlu5 receptors expression: Evidence from radioligand
binding and immunohistochemistry assays.
AB - Several behavioral findings highlight the importance of glutamatergic
transmission and its metabotropic receptor type 5 (mGlu5) in the controlling of
cocaine reward and seeking behaviors. The molecular or neurochemical nature of
such interactions is not well recognized, so in the present paper we determine if
cocaine self-administration and extinction/reinstatement models with the yoked
triad control procedure alter mGlu5 receptor density in rats. [3H]MPEP was used
to evaluate mGlu5 receptors density and affinity in selected brain structures,
while immunofluorescence analysis was used to detect changes in mGlu5 receptors'
brain location. Cocaine self-administration and yoked cocaine delivery evoked a
significant elevation in mGlu5 receptors' density in the dorsal striatum, while
receptor protein expression was importantly elevated in the substantia nigra and
reduced in the nucleus accumbens shell. Cocaine administration followed by 10
extinction training sessions resulted in biphasic mGlu5 receptor density changes
in the prefrontal cortex-nucleus accumbens pathway. mGlu5 receptors' up
regulation was noted for cocaine self-administration and extinction training in
the hippocampus and in yoked cocaine controls following drug abstinence in the
dorsal striatum. A cocaine priming dose (but not a saline priming) resulted in a
significant decrease of mGlu5 receptors' density in the nucleus accumbens of rats
previously treated with the drug and in the hippocampus of rats previously self
administered cocaine. The latter decrease in mGlu5 receptors' density and protein
expression in the hippocampus was parallel to an increase in [3H]MPEP affinity
and opposite to a rise observed after single cocaine administration (ip) to drug
naive yoked saline controls. Additionally, we also observed a significant
elevation in the protein expression of the tested receptors in the limbic cortex
in both cocaine groups. The present results shown modality dependent and brain
region specific changes in mGlu5 receptors' localization and membrane specific
binding.
PMID- 27871825
TI - Re: Kim et al.: Are Urologists Performing Semi-rigid Ureteroscopic Lithotripsy
Safe From Radiation Exposure? A Guidance to Reduce the Radiation Dose (Urology
2016;95:54-59).
PMID- 27871826
TI - Eroded Tape: A Case of an Early Vesicoscopy Rather Than Laser Melting.
AB - OBJECTIVE: To report our experience on vesicoscopic excision of eroded foreign
material in the bladder. MATERIALS AND METHODS: The use of xenografts in female
urology is becoming more prevalent and so are their complications. Erosion of
foreign material into the bladder often goes unrecognized for a long time and
patients are troubled by irritative urinary symptoms, recurrent infections, and
stone formation. The treatment of such erosions is traditionally reported through
the transurethral route using laser or electrocautery to cut the foreign
material. Such methods have a high rate of incomplete material removal and as a
result a high recurrence rate. Leaving a urothelial defect results in prolonged
time to symptom resolution. Between 2012 and 2015, 5 patients with eroded tapes
were referred for tertiary care to King's College Hospital and Ygia Polyclinic;
all patients had undergone a variety of endoscopic, vaginal, and/or open attempts
for mesh removal that failed. We offered vesicoscopic excision of the eroded
portion of the tape. RESULTS: We here report 5 cases with tape erosions referred
to our team that were treated with vesicoscopic excision of the material and
primary closure of the urothelial defect. The foreign material was completely
removed in all cases and there is no recurrence at a median follow-up of 30
months. CONCLUSION: Vesicoscopic excision of bladder-eroded foreign material is
feasible and efficient. We recommend this technique to be considered as a primary
approach to tapes eroding into the bladder.
PMID- 27871827
TI - Asymptomatic Infection With Mycoplasma hominis Negatively Affects Semen
Parameters and Leads to Male Infertility as Confirmed by Improved Semen
Parameters After Antibiotic Treatment.
AB - OBJECTIVE: To elucidate the association between asymptomatic infections caused by
Mycoplasma hominis and male infertility and to evaluate the role of antibiotic
therapy in the treatment of this failure. MATERIALS AND METHODS: A total of 165
infertile men having abnormal semen parameters (study group) as well as 165
healthy fertile men (control group) were included in this study. Semen samples
were taken from all participants and, after analyzing for semen parameters, real
time polymerase chain reaction, microbial culture, and reactive oxygen species
(ROS) as well as total antioxidant capacity (TAC) assays were performed. Infected
individuals of the study group were treated with antibiotic. One month after the
treatment completion, second semen samples were taken and all the tests mentioned
were performed. The data were analyzed using SPSS statistical software, version
22.0. RESULTS: The frequency of M. hominis was significantly higher in the
infertile men compared with the fertile ones (14.5% vs 3.6%, P = .001). The mean
cycle threshold (Ct) value was lower in infected infertile men than in infected
fertile men (P < .001). All semen parameters, except volume, pH, and viscosity,
were improved (P < .05), most of which reached their normal range; leukocytes in
seminal fluid were eliminated (P = .04); the level of TAC elevated (P < .001);
and the ROS level as well as the ROS-to-TAC ratio reduced after antibiotic
treatment (P = .02). Moreover, wives of 14 infected infertile men (58.3%) became
pregnant 4 months after the treatment completion. CONCLUSION: Our data suggest
that asymptomatic infection caused by M. hominis is correlated with male
infertility and antibiotic therapy can improve the semen quality and fairly treat
the male infertility.
PMID- 27871828
TI - Pain Associated With Urethral Catheterization Is Reduced in Males by Simultaneous
Voiding Maneuver.
AB - OBJECTIVE: To assess whether urethral pain during male catheterization can be
reduced if the patient is instructed to void during the insertion of the
catheter. METHODS: Ninety-six males (age 66 +/- 13) who were referred for
multichannel urodynamic study were prospectively randomized to 2 groups according
to the catheter insertion technique: (1) patients who were instructed to void
during catheterization, and (2) patients who had no guidance prior or during
catheterization. Exclusion criteria were the following: use of analgesics within
the previous 24 hours, active urinary tract infection, indwelling urethral
catheter, pre-existing urethral pain, and known urethral stricture or inability
to cooperate with pain assessment due to mental disorders. A 0 to 10 visual
analog pain scale was filled in different time points: prior and immediately
after instillation of the lubricant gel into the urethra, immediately after the
insertion of the catheter, and 15 minutes after the test. RESULTS: Study groups
did not differ in terms of demographic, clinical, and urodynamic parameters. The
median visual analog pain scale during catheterization was 2 (interquartile range
1-3) and 4 (interquartile range 3-5) in groups 1 and 2, respectively (P < .001).
There were no differences in the reported scores in the other checkpoints.
CONCLUSION: Pain level during urethral cauterization in males can be
significantly reduced when the patient is instructed to void during the insertion
of the catheter into the urethra.
PMID- 27871829
TI - The Role of Ipsilateral and Contralateral Transrectal Ultrasound-guided
Systematic Prostate Biopsy in Men With Unilateral Magnetic Resonance Imaging
Lesion Undergoing Magnetic Resonance Imaging-ultrasound Fusion-targeted Prostate
Biopsy.
AB - OBJECTIVE: To determine how ipsilateral (ipsi) and contralateral (contra)
systematic biopsies (SB) impact detection of clinically significant vs
insignificant prostate cancer (PCa) in men with unilateral magnetic resonance
imaging (MRI) lesion undergoing MRI-ultrasound fusion-targeted biopsy (MRF-TB).
MATERIALS AND METHODS: A total of 211 cases with 1 unilateral MRI lesion were
subjected to SB and MRF-TB. Biopsy tissue cores from the MRF-TB, ipsi-SB, and
contra-SB were analyzed separately. RESULTS: A direct relationship was observed
between MRI suspicion score and (1) detection of any cancer, (2) Gleason 6 PCa,
and (3) Gleason >6 PCa. MRF-TB alone, MRF-TB + ipsi-SB, and MRF-TB + contra-SB
detected 64.1%, 89.1%, and 76.1% of all PCa, respectively; 53.5%, 81.4%, and
69.8% of Gleason 6 PCa, respectively; and 73.5%, 96.0%, and 81.6% of Gleason >6
PCa, respectively. MRF-TB + ipsi-SB detected 96% of clinically significant PCa
and avoided detection of 18.6% of clinically insignificant PCa. MRF-TB + contra
SB detected 81.6% of clinically significant PCa and avoided detection of 30.2% of
clinically insignificant PCa. CONCLUSION: Our study suggests that ipsi-SB should
be added to MRF-TB, as detection of clinically significant PCa increases with
only a modest increase in clinically insignificant PCa detection. Contra-SB in
this setting may be deferred because it primarily detects clinically
insignificant PCa.
PMID- 27871830
TI - Characterization and antiviral activity of a newly identified defensin-like
peptide, HEdefensin, in the hard tick Haemaphysalis longicornis.
AB - Tick defensins are antimicrobial peptides that play a major role in the innate
immunity of ticks by providing a direct antimicrobial defense. In this study, we
identified and characterized a defensin-like encoding gene, HEdefensin, from the
expressed sequence tags (EST) database of hemolymph from the hard tick
Haemaphysalis longicornis. Expression of the gene in whole adult ticks and in
different organs was upregulated during blood feeding, though not after Langat
virus (LGTV) challenge. A synthetic HEdefensin peptide demonstrated significant
virucidal activity against LGTV but not against an adenovirus in co-incubation
virucidal assays. Moreover, the RNAi-mediated gene silencing of HEdefensin did
not significantly affect the virus titer as compared to the control group. The
data reported here have established the in vitro virucidal activity of the
peptide against LGTV. However, its role in the innate antiviral immunity of H.
longicornis remains to be explored, and further studies are needed to fully
evaluate the potential biological activities of the peptide against bacteria,
fungi or parasites.
PMID- 27871831
TI - Bovine milk RNases modulate pro-inflammatory responses induced by nucleic acids
in cultured immune and epithelial cells.
AB - Activation of innate immune receptors by exogenous substances is crucial for the
detection of microbial pathogens and a subsequent inflammatory response. The
inflammatory response to microbial lipopolysaccharide via Toll-like receptor 4
(TLR4) is facilitated by soluble accessory proteins, but the role of such
proteins in the activation of other pathogen recognition receptors for microbial
nucleic acid is not well understood. Here we demonstrate that RNase4 and RNase5
purified from bovine milk bind to Salmonella typhimurium DNA and stimulate pro
inflammatory responses induced by nucleic acid mimetics and S. typhimurium DNA in
an established mouse macrophage cell culture model, RAW264.7, as well as in
primary bovine mammary epithelial cells. RNase4 and 5 also modulated pro
inflammatory signalling in response to nucleic acids in bovine peripheral blood
mononuclear cells, although producing a distinct response. These results support
a role for RNase4 and RNase5 in mediating inflammatory signals in both immune and
epithelial cells, involving mechanisms that are cell-type specific.
PMID- 27871832
TI - Genome-wide miRNA screening reveals miR-310 family members negatively regulate
the immune response in Drosophila melanogaster via co-targeting Drosomycin.
AB - Although innate immunity mediated by Toll signaling has been extensively studied
in Drosophila melanogaster, the role of miRNAs in regulating the Toll-mediated
immune response remains largely unknown. In this study, following Gram-positive
bacterial challenge, we identified 93 differentially expressed miRNAs via genome
wide miRNA screening. These miRNAs were regarded as immune response related
(IRR). Eight miRNAs were confirmed to be involved in the Toll-mediated immune
response upon Gram-positive bacterial infection through genetic screening of 41
UAS-miRNA lines covering 60 miRNAs of the 93 IRR miRNAs. Interestingly, four out
of these eight miRNAs, miR-310, miR-311, miR-312 and miR-313, are clustered
miRNAs and belong to the miR-310 family. These miR-310 family members were shown
to target and regulate the expression of Drosomycin, an antimicrobial peptide
produced by Toll signaling. Taken together, our study implies important
regulatory roles of miRNAs in the Toll-mediated innate immune response of
Drosophila upon Gram-positive bacterial infection.
PMID- 27871833
TI - Oral hesperidin-Amorphization and improved dissolution properties by controlled
loading onto porous silica.
AB - The oral bioavailability of poorly soluble drugs can be improved by amorphization
generated by loading into the pores of mesoporous particles (pore size 2-50nm).
The main mechanisms are increased kinetic saturation solubility and dissolution
velocity due to the amorphous drug state and the nano-size of the drug
(=increased dissolution pressure). In this study, the maximum achievable drug
loading compared to the theoretical drug loading, and the effect of drug loading
degree on the dissolution properties (solubility, dissolution velocity) were
investigated. Hesperidin was used as the model active (having also practical
relevance for e.g. nutraceutical products), loading was performed onto
AEROPERL(r) 300 Pharma. Degree of successful drug loading could be easily
followed by simple light microscopy (=useful tool for formulation optimization),
and was in agreement with scanning electron microscopy. Amorphous versus
crystalline state was followed by X-ray diffraction and differential scanning
calorimetry. Loadings prepared were 28.6wt.%, 54.5wt.% and 60.0wt.%, the maximum
theoretical loading was 72.5wt.%. Obviously the maximum drug loading is not
achievable, the 54.5wt.% drug loading was the practical maximum with already some
minor crystalline hesperidin on the surface. Interestingly, the maximum kinetic
saturation solubility was obtained for the 54.5wt.% drug loading (941.74MUg/ml in
pH 6.8 PBS), versus 408.80MUg/ml for the 60.0wt.% drug loading (=overloaded
system). The raw drug powder had a thermodynamic solubility of only 18.40MUg/ml.
The fastest in vitro release was obtained with the 28.6wt.% loaded system,
followed by the 54.5wt.% and 60.0wt.% loadings. The dissolution properties
(solubility, dissolution velocity) can obviously be influenced by a "controlled
loading". This is a simple, cost-effective technological alternative to
modulating this property by chemical modification of silica, requiring a new
costly regulatory approval of these chemically modified materials.
PMID- 27871834
TI - pH-Sensitive PEGylated liposomes for delivery of an acidic dinitrobenzamide
mustard prodrug: Pathways of internalization, cellular trafficking and
cytotoxicity to cancer cells.
AB - This paper aims to develop and evaluate a pH-sensitive PEGylated liposomal (pPSL)
system for tumor-targeted intracellular delivery of SN25860, a weakly acidic,
poorly water-soluble dinitrobenzamide mustard prodrug which is activated by the
E. coli nitroreductase nfB. pPSL and non pH-sensitive liposomes (nPSL), as
reference, were formulated by thin-film hydration; an active drug loading method
was developed with the aid of solubilizers. Cytotoxicity was evaluated in an nfsB
transfected EMT6 mouse mammary carcinoma cell line. Cellular uptake of liposomes
was evaluated by both high performance liquid chromatography and flow cytometry.
Intracellular trafficking was visualised by confocal microscopy. High drug
loading (7.0+/-0.2% w/w) was achieved after systematic optimization of drug
loading conditions. pPSL-SN25860 demonstrated a 21 and 24- fold increase in
antiproliferative potency compared to nPSL-SN25860 and free drug, respectively.
Cells treated with pPSL had a 1.6-2.5- fold increase in intracellular drug
concentration compared to nPSL. This trend was consistent with flow cytometry
results. Cells treated with chlorpromazine demonstrated reduced uptake of both
nPSL (40%) and pPSL (46%), indicating clathrin-mediated endocytosis was the major
pathway. Confocal microscopy showed that pPSL had not only undergone faster and
greater endocytosis than nPSL but was also homogeneously distributed in the
cytosol and nuclei suggesting endosome escape, in contrast to nPSL.
PMID- 27871835
TI - Development of flexible nanocarriers for siRNA delivery into tumor tissue.
AB - Various non-viral delivery systems for small interfering RNAs (siRNA) have been
developed. Such delivery systems generally exhibit tightly formed spherical
structures. While such carriers have demonstrated good transfection activity in
mono-layered cell systems, effects against solid tumors are often less apparent
and difficult to demonstrate, likely due to the rigid structures of the carriers,
which may prevent penetration to deeper regions within tumor tissue. Herein, we
developed a flexible nanocarrier (FNC) system that is able to penetrate to deeper
regions within tumor tissue. Specifically, we employed previously found flexible
polyplexes comprised of siRNA and poly-l-lysine as wick structures for the
preparation of FNCs. FNCs were constructed by coating the wick structures with
lipids using a liposomal membrane fusion method. The diameters of the resulting
FNCs were ca. 170nm, and the shapes were non-spherical. Lipid coating was
confirmed using a nuclease resistance assay. Furthermore, FNCs showed significant
RNA interference effects, comparable to Lipofectamine 2000, in a mono-layered
cell system. To accelerate tumor penetration, the FNC surface was modified with
polyethylene glycol (PEG) and the tight junction opener peptide AT1002. Surface
modified FNCs demonstrated effective penetrability into a cancer spheroid. Thus,
we developed a novel and unique tumor-penetrable siRNA FNC system.
PMID- 27871836
TI - Sentinel lymph node assessment in endometrial cancer: a systematic review and
meta-analysis.
AB - BACKGROUND: In the staging of endometrial cancer, controversy remains regarding
the role of sentinel lymph node mapping compared with other nodal assessment
strategies. OBJECTIVE: We conducted a systematic review to evaluate the
diagnostic accuracy and clinical impact of sentinel lymph node mapping in the
management of endometrial cancer. DATA SOURCES: We searched Medline, Embase, and
the Cochrane Central Registry of Controlled trials for studies published in
English before March 25, 2016 (PROSPERO CRD42016036503). STUDY ELIGIBILITY
CRITERIA: Studies were included if they contained 10 or more women with
endometrial cancer and reported on the detection rate, sensitivity, and/or impact
on treatment or survival of sentinel lymph node mapping. STUDY APPRAISAL AND
SYNTHESIS METHODS: Two authors independently reviewed abstracts and full-text
articles for inclusion and assessed study quality. The detection rate,
sensitivity, and factors associated with successful mapping (study size, body
mass index, tumor histology and grade, injection site, dye type) were synthesized
through random-effects meta-analyses and meta-regression. RESULTS: We identified
55 eligible studies, which included 4915 women. The overall detection rate of
sentinel lymph node mapping was 81% (95% confidence interval, 77-84) with a 50%
(95% confidence interval, 44-56) bilateral pelvic node detection rate and 17%
(95% confidence interval, 11-23) paraaortic detection rate. There was no
difference in detection rates by patient body mass index or tumor histology and
grade. Use of indocyanine green increased the bilateral detection rate compared
with blue dye. Additionally, cervical injection increased the bilateral sentinel
lymph node detection rate but decreased the paraaortic detection rate compared
with alternative injection techniques. Intraoperative sentinel lymph node frozen
section increased the overall and bilateral detection rates. The sensitivity of
sentinel node mapping to detect metastases was 96% (95% confidence interval, 91
98); ultrastaging did not improve sensitivity. Compared with women staged with
complete lymphadenectomy, women staged with sentinel lymph node mapping were more
likely to receive adjuvant treatment. CONCLUSION: Sentinel lymph node mapping is
feasible and accurately predicts nodal status in women with endometrial cancer.
The current data favors the use of cervical injection techniques with indocyanine
green. Sentinel lymph mapping may be considered an alternative standard of care
in the staging of women with endometrial cancer.
PMID- 27871837
TI - Modifying the risk of recurrent preterm birth: influence of trimester-specific
changes in smoking behaviors.
AB - BACKGROUND: Women with at least 1 prior occurrence of premature birth often have
demographic and medical risk factors that are not modifiable. However, smoking
cessation could be a targeted intervention in which a woman with a history of
premature birth may be able to reduce her future risk of recurrence. OBJECTIVE:
This study aims to assess how trimester-specific smoking patterns influence the
risk of recurrent premature birth. STUDY DESIGN: This was a population-based
retrospective cohort study of singleton nonanomalous live births in Ohio, 2006
2012 using vital statistics birth records. This analysis was limited to women
with at least 1 prior premature birth. Rates of birth <37 weeks were compared
among nonsmokers, women who smoked in the 3 months prior to pregnancy and quit in
the first vs quit in the second vs quit in the third trimester. Multivariate
logistic regression analyses assessed the association between smoking cessation
at various time points in pregnancy and recurrent premature birth while adjusting
for maternal race, education, Medicaid enrollment, and marital status. RESULTS:
We analyzed the outcomes of 36,432 women with a prior premature birth who
subsequently delivered at 20-42 weeks. One third of women with a prior premature
birth smoked during pregnancy. Of smokers, 16% quit early in the first trimester,
7% quit in the second, 5% quit in the third trimester, and 72% smoked throughout
pregnancy. The rate of recurrent premature birth in nonsmokers was high 28% in
this cohort. Smoking in pregnancy with cessation in the first or second trimester
was not significantly associated with an increase in recurrent premature birth
rates (first trimester, 29% adjusted odds ratio, 0.97 [95% confidence interval,
0.9-1.1], and second trimester, 31% adjusted odds ratio, 1.10 [95% confidence
interval, 0.9-1.3], respectively). However, quitting late in pregnancy (third
trimester) was associated with a high rate (43%) of delivery <37 weeks, adjusted
odds ratio, 1.81 (95% confidence interval, 1.48-2.21). Continued smoking
throughout pregnancy was also associated with an increased recurrent premature
birth (32%), adjusted odds ratio, 1.14 (95% confidence interval, 1.07-1.22),
despite adjustment for concomitant premature birth risk factors. CONCLUSION:
Smoking cessation in pregnancy and its relationship to preterm birth has been
studied extensively, and it is widely accepted that smoking in pregnancy
increases preterm birth rates. However, this study provides novel information
quantifying the risk of recurrent preterm birth and stratifies the increased risk
of recurrent preterm birth by trimester-specific smoking behavior. Although women
with even 1 prior premature birth are at an inherently high risk of recurrence,
women who stopped smoking early in the first 2 trimesters experienced similar
preterm birth rates compared with nonsmokers. However, delayed smoking cessation
or smoking throughout pregnancy significantly increased recurrent premature birth
risk. Smoking cessation is a potential modifiable risk factor for recurrent
preterm birth in high-risk pregnancies. This study highlights the importance of
early pregnancy smoking cessation in those at especially high risk, women with a
prior preterm birth.
PMID- 27871839
TI - Interactions of two structurally related anionic phospholipids cardiolipin and
phosphatidylglycerol with phospholipase A2. Langmuir monolayer studies.
AB - Anionic phospholipids cardiolipins (CL) and phosphatidylglycerols (PG) dominate
in the biomembranes of the majority of soil bacteria. CL to PG ratio differs
between the species and is also dependent on the external conditions. CL/PG ratio
is different in polluted than in unspoiled soils and it was hypothesized that it
is connected with the activity of the membranelytic enzymes from the
phospholipase A2 class (PLA2) as it was proved that persistent soil pollutants
can activate PLA2. In our studies we applied the Langmuir monolayer technique and
Brewster angle microscopy to elucidate the mechanism of the interactions of PLA2
with the model membranes formed by anionic phospholipids. It turned out that
there are significant differences between CL and PG. The monolayer of PG is
hydrolyzed readily and entirely, whereas for CL approximately 30% of the
phospholipid molecules are hydrolyzed after which the enzyme is inhibited. The
observed differences between PG and CL are strictly connected with the
hydrophobicity of the generated lysolipids: lyso-PG and lyso-CL. Lyso-PG is water
soluble and leaves the interface whereas lyso-CL is water-insoluble remains at
the interface and modifies the monolayer properties. The second hydrolysis
product - myristic acid (MA) forms crystallites of calcium myristate when
generated from PG, whereas when generated from CL it is shielded by the lysolipid
and does not interact with calcium. Therefore, on the basis of our study it can
be concluded that the increase in CL content protects the soil bacteria from PLA2
activity and from the loss of calcium homeostasis.
PMID- 27871838
TI - Chronic insulin infusion induces reversible glucose intolerance in lean rats yet
ameliorates glucose intolerance in obese rats.
AB - BACKGROUND: Although insulin resistance (IR) is a key factor in the pathogenesis
of type 2 diabetes (T2D), the precise role of insulin in the development of IR
remains unclear. Therefore, we investigated whether chronic basal insulin
infusion is causative in the development of glucose intolerance. METHODS:
Normoglycemic lean rats surgically instrumented with i.v. catheters were infused
with insulin (3mU/kg/min) or physiological saline for 6weeks. At infusion-end,
plasma insulin levels along with glucose tolerance were assessed. RESULTS: Six
weeks of insulin infusion induced glucose intolerance and impaired insulin
response in healthy rats. Interestingly, the effects of chronic insulin infusion
were completely normalized following 24h withdrawal of exogenous insulin and
plasma insulin response to glucose challenge was enhanced, suggesting improved
insulin secretory capacity. As a result of this finding, we assessed whether the
effects of insulin therapy followed by a washout could ameliorate established
glucose intolerance in obese rats. Obese rats were similarly instrumented and
infused with insulin or physiological saline for 7days followed by 24h washout.
Seven day-insulin therapy in obese rats significantly improved glucose tolerance,
which was attributed to improved insulin secretory capacity and improved insulin
signaling in liver and skeletal muscle. CONCLUSION: Moderate infusion of insulin
alone is sufficient to cause glucose intolerance and impair endogenous insulin
secretory capacity, whereas short-term, intensive insulin therapy followed by
insulin removal effectively improves glucose tolerance, insulin response and
peripheral insulin sensitivity in obese rats. GENERAL SIGNIFICANCE: New insight
into the link between insulin and glucose intolerance may optimize T2D
management.
PMID- 27871840
TI - Probing the structure of the uncoupled nicotinic acetylcholine receptor.
AB - In the absence of activating anionic lipids and cholesterol, the nicotinic
acetylcholine receptor (nAChR) from Torpedo adopts an uncoupled conformation that
does not usually gate open in response to agonist. The uncoupled conformation
binds both agonists and non-competitive channel blockers with a lower affinity
than the desensitized state, consistent with both the extracellular agonist
binding and transmembrane channel-gating domains individually adopting resting
state like conformations. To test this hypothesis, we characterized the binding
of the agonist, acetylcholine, and two fluorescent channel blockers, ethidium and
crystal violet, to resting, desensitized and uncoupled nAChRs in reconstituted
membranes. The measured Kd for acetylcholine binding to the uncoupled nAChR is
similar to that for the resting state, confirming that the agonist binding site
adopts a resting-state like conformation. Although both ethidium and crystal
violet bind to the resting and desensitized channel pores with distinct
affinities, no binding of either probe was detected to the uncoupled nAChR. Our
data suggest that the transmembrane domain of the uncoupled nAChR adopts a
conformation distinct from that of the resting and desensitized states. The lack
of binding is consistent with a more constricted channel pore, possibly along the
lines of what is observed in crystal structures of the prokaryotic homolog, ELIC.
PMID- 27871841
TI - Rubber particle proteins REF1 and SRPP1 interact differently with native lipids
extracted from Hevea brasiliensis latex.
AB - Rubber particle membranes from the Hevea latex contain predominantly two
proteins, REF1 and SRPP1 involved in poly(cis-1,4-isoprene) synthesis or rubber
quality. The repartition of both proteins on the small or large rubber particles
seems to differ, but their role in the irreversible coagulation of the rubber
particle is still unknown. In this study we highlighted the different modes of
interactions of both recombinant proteins with different classes of lipids
extracted from Hevea brasiliensis latex, and defined as phospholipids (PL),
glycolipids (GL) and neutral lipids (NL). We combined two biophysical methods,
polarization modulated-infrared reflection adsorption spectroscopy (PM-IRRAS) and
ellipsometry to elucidate their interactions with monolayers of each class of
lipids. REF1 and SRPP1 interactions with native lipids are clearly different;
SRPP1 interacts mostly in surface with PL, GL or NL, without modification of its
structure. In contrast REF1 inserts deeply in the lipid monolayers with all lipid
classes. With NL, REF1 is even able to switch from alpha-helice conformation to
beta-sheet structure, as in its aggregated form (amyloid form). Interaction
between REF1 and NL may therefore have a specific role in the irreversible
coagulation of rubber particles.
PMID- 27871842
TI - Evaluation of risk for metabolic syndrome according to the fasting insulin
concentration in Korean men.
AB - BACKGROUND: As a well-known risk factor for cardiovascular disease, metabolic
syndrome (MetS) is an important global health problem due to its high worldwide
prevalence. The objective of this study is to determine whether the fasting serum
insulin concentration influences future incidence of MetS. METHODS: A total of
14,621 Korean men without MetS participating in a medical health check-up program
were followed up from 2005 until 2010. They were divided into 4 groups according
to baseline fasting insulin concentrations. The incidence of MetS was compared
among the groups, and Cox proportional hazards model was used to determine if
MetS was associated with higher fasting insulin concentration. RESULTS: The
incidence of MetS increased according to the baseline fasting insulin
concentration (first quartile: 8.4%, second quartile: 12.3%, third quartile:
16.3%, fourth quartile: 26.5%, P<0.001). Even after adjusting for multiple
covariates, the hazard ratio (95% CI) for MetS was higher for the second (1.13
(0.93-1.37)), third (1.29 (1.06-1.56)) and fourth quartile group (1.70 (1.36
2.13)), compared to the first quartile group (P for trend <0.001). CONCLUSION:
The incidence of MetS increased proportionally to fasting insulin concentration.
Additionally, increased fasting insulin concentration was an independent risk
factor for the future development of MetS.
PMID- 27871843
TI - Considerations when using next-generation sequencing for genetic diagnosis of
long-QT syndrome in the clinical testing laboratory.
AB - BACKGROUND: Congenital long-QT syndrome (LQTS) is a potentially lethal cardiac
electrophysiologic disorder characterized by QT interval prolongation and T-wave
abnormalities. At least 13 LQTS-associated genes have been reported, but the high
cost and low throughput of conventional Sanger sequencing has hampered the multi
gene-based LQTS diagnosis in clinical laboratories. METHODS: We developed an NGS
(next-generation sequencing)-based targeted gene panel for 13 LQTS genes using
the Ion PGM platform, and a cohort of 36 LQTS patients were studied for
characterization of analytical performance specifications. RESULTS: This panel
efficiently explored 212 of all 221 coding exons in 13 LQTS-associated genes. And
for those genomic regions covered by the design of the NGS panel, the analytical
sensitivity and analytical specificity for all potentially pathogenic variants
were both 100% and showed 100% concordance with clinically validated Sanger
sequencing results in five major LQTS genes (KCNQ1, KCNH2, SCN5A, KCNE1, and
KCNE2). CONCLUSION: This is the first description of an NGS panel targeting a
multi-gene panel of 13 LQTS-associated genes. We developed and validated this
robust, high-throughput NGS test and informatics pipeline for LQTS diagnosis
suitable for the clinical testing laboratory.
PMID- 27871844
TI - An LC-MS/MS based candidate reference method for the quantification of total
gentamicin in human serum and plasma using NMR characterized calibrator material.
AB - BACKGROUND: Accurate measurement of gentamicin concentration in serum and plasma
is required for therapeutic drug monitoring to ensure appropriate treatment of
patients. In this work, we present a validated LC-MS/MS-based candidate reference
measurement procedure for total gentamicin quantification to be used for
standardization and harmonization of routine assays applied for therapeutic drug
monitoring of this compound. Total gentamicin is the sum of the concentrations of
five known congeners C1, C1a, C2, C2a and C2b. To our knowledge, there is so far
no LC-MS method for quantification of total gentamicin in human serum described
in literature. METHODS: Sample preparation was based on sample dilution with an
aqueous internal standard solution followed by protein precipitation. Stable
derivatives of gentamicin-glycine congeners were prepared by chemical synthesis
and used as internal standards. The primary calibration material used in this
assay was characterized by NMR spectroscopy and the pattern of the gentamicin
congeners was determined. The total gentamicin was reported as the sum of the
congeners which were quantified individually by LC-MS/MS. RESULTS: The method
allows the measurement of total gentamicin in human serum and plasma in the
concentration range of 0.1 to 12.0MUg/ml with an assay imprecision of <=6% CV and
an assay accuracy between 96% and 114%. LOD and LOQ for the total gentamicin were
0.04MUg/ml and 0.13MUg/ml, respectively. Comparative measurement of 128 native
patient samples using this method implemented at two laboratory sites showed an
excellent agreement. CONCLUSIONS: Validation results proved that this protocol
describes a robust and reliable method which is suggested as reference
measurement procedure for the standardization and harmonization of routine assays
for the quantification of total gentamicin.
PMID- 27871845
TI - Inter-generational effects of the in vitro maturation technique on pregnancy
outcomes, early development, and cognition of offspring in mouse model.
AB - In vitro maturation (IVM) of oocytes has been a highly successful method for
avoiding the occurrence of severe ovarian hyperstimulation syndrome in some
patients during in vitro fertilization. However, the safety of the protocol,
especially the long-term effects, is still an issue of debate. The current study
is to investigate the long-term effects of IVM on mice through two generations
and reveal its inter-generational effects as well. The data indicate that the
rates of embryo resorption and fetal death in the F1 generation were
significantly increased while the newborn survival rate in the F1 and F2
generations were significantly decreased in the IVM group. Increased body weights
in the F1 generation and mouse number per litter in the F2 generation were
observed in both the IVM and VVM groups; however, no insulin resistance was
detected. No significant differences were detected in birth defects, organ
weights, testis histology and sperm motility, estrous cycle, and cognition among
the IVM, VVM and N mice in either the F1 or F2 generations. Our results suggest
that mouse IVM can affect pregnancy outcomes throughout two generations. IVM does
not appear to influence the development and cognition of the offspring throughout
two generations.
PMID- 27871846
TI - The oxidant-antioxidant equilibrium, activities of selected lysosomal enzymes and
activity of acute phase protein in peripheral blood of 18-year-old football
players after aerobic cycle ergometer test combined with ice-water immersion or
recovery at room temperature.
AB - The goal of the study was to evaluate the effect of an aerobic exercise bout
followed by ice-water immersion or recovery at room temperature on the redox
state, activities of selected lysosomal enzymes and activity of alpha1
antitrypsin (AAT) in the blood of healthy sportsmen. Eleven amateur football
players aged 18 were randomly assigned to two similar 30-min aerobic cycle
ergometer tests followed by a recovery at room temperature (20 degrees C;
Experiment 1) or ice-water immersion (3 degrees C, 5 min; Experiment 2).
Peripheral blood was collected three times during both study experiments: before
(baseline), as well as 20 and 40 min after the recovery or immersion. The
concentrations of thiobarbituric acid reactive substances in blood plasma
(plTBARS) and erythrocytes (erTBARS) were measured. The erythrocytic activities
of superoxide dismutase (SOD), catalase (CAT) and glutathione peroxidase (GPx)
were also determined. In the blood serum, the activities of acid phosphatase
(AcP), arylsulphatase (ASA), cathepsin D (CTS D) and AAT were evaluated. The
activities of AcP, ASA, CTS D and AAT changed similarly during both experiments.
The GPx activity decreased 40 min after the exercise/recovery compared to the
baseline activity and was lower than 40 min after the exercise/immersion. The
exercise followed by the recovery or immersion had no significant effect on the
serum lysosomal and AAT activities in the studied men. The exercise/recovery
reduced the hydrogen peroxide concentration in the men's erythrocytes, however
the exercise/immersion demonstrated the opposite effect.
PMID- 27871847
TI - Fatty acids composition in erythrocyte membranes of athletes after one and after
a series of whole body cryostimulation sessions.
AB - Whole body cryotherapy (WBC) is a treatment often used by athletes as part of
biological renewal. Despite the large interest in this form therapy there is
still a lack of information on the effects of WBC on the concentration of fatty
acids in erythrocyte membranes. Our study aimed at comparing the fatty acids (FA)
composition of erythrocyte membranes of athletes after one session and after a
series of sessions of whole body cryostimulation. In our study small changes in
the level of total cholesterol (decrease) were observed 24 h after a single
session. After the twelfth session of whole body cryostimulation, the level of
saturated fatty acids (SFA), mainly palmitic acid (C16:0) and n-3 fatty acid
eicosapentaenoic (EPA, C20:5n-3) increased almost two-times fold in the red blood
cell membranes. The level of n-6 polyunsaturated fatty acids (PUFA n-6), mainly
gamma-linolenic acid (C18:3n-6) as well as trans fatty acids (elaidic acid)
decreased in the erythrocyte membranes from men after a series of session in a
cryochamber, when compared to the control sample. The n-3/n-6 FA ratio in the
erythrocyte membranes was higher after twelfth session in a cryochamber in
comparison to the control sample. The data obtained during our study will be
important for further research regarding the biochemistry of lipids in men after
sessions of whole body cryostimulation.
PMID- 27871848
TI - Sonic hedgehog promotes neurite outgrowth of cortical neurons under oxidative
stress: Involving of mitochondria and energy metabolism.
AB - Oxidative stress has been demonstrated to be involved in the etiology of several
neurobiological disorders. Sonic hedgehog (Shh), a secreted glycoprotein factor,
has been implicated in promoting several aspects of brain remodeling process.
Mitochondria may play an important role in controlling fundamental processes in
neuroplasticity. However, little evidence is available about the effect and the
potential mechanism of Shh on neurite outgrowth in primary cortical neurons under
oxidative stress. Here, we revealed that Shh treatment significantly increased
the viability of cortical neurons in a dose-dependent manner, which was damaged
by hydrogen peroxide (H2O2). Shh alleviated the apoptosis rate of H2O2-induced
neurons. Shh also increased neuritogenesis injuried by H2O2 in primary cortical
neurons. Moreover, Shh reduced the generation of reactive oxygen species (ROS),
increased the activities of SOD and and decreased the productions of MDA. In
addition, Shh protected mitochondrial functions, elevated the cellular ATP levels
and amelioratesd the impairment of mitochondrial complex II activities of
cortical neurons induced by H2O2. In conclusion, all these results suggest that
Shh acts as a prosurvival factor playing an essential role to neurite outgrowth
of cortical neuron under H2O2 -induced oxidative stress, possibly through
counteracting ROS release and preventing mitochondrial dysfunction and ATP as
well as mitochondrial complex II activities against oxidative stress.
PMID- 27871849
TI - CD44 fucosylation on mesenchymal stem cell enhances homing and macrophage
polarization in ischemic kidney injury.
AB - The lack of homing ability possibly reduces the healing potential of bone-marrow
derived mesenchymal stem cells (MSCs). Therefore, transforming native CD44 on
MSCs into a hematopoietic cell E-/L-selectin ligand (HCELL) that possesses potent
E-selectin affinity might enhance the homing and regenerative abilities of MSCs.
Through fucosyltransferase VI (FTVI) transfection, MSCs were fucosylated on N
glycans of CD44 to become HCELL positive, thus interacting with E-selectin on
injured endothelial cells. HCELL expression facilitated MSC homing in kidneys
within 24h after injury and reduced lung stasis. An in vitro adhesion assay
revealed that transfection enhanced the association between MSCs and hypoxic
endothelial cells. In mice treated with HCELL-positive MSCs, the injured kidneys
exhibited clusters of homing MSCs, whereas MSCs were rarely observed in mouse
kidneys treated with HCELL-negative MSCs. Most MSCs were initially localized at
the renal capsule, and some MSCs later migrated inward between tubules. Most
homing MSCs were in close contact with inflammatory cells without tubular
transdifferentiation. Furthermore, HCELL-positive MSCs substantially alleviated
renal injury, partly by enhancing the polarization of infiltrating macrophages.
In conclusion, engineering the glycan of CD44 on MSCs through FTVI transfection
might enhance renotropism and the regenerating ability of MSCs in ischemic kidney
injury.
PMID- 27871850
TI - ERalpha inhibited myocardin-induced differentiation in uterine fibroids.
AB - Uterine fibroids, also known as uterine leiomyomas, are a benign tumor of the
human uterus and the commonest estrogen-dependent benign tumor found in women.
Myocardin is an important transcriptional regulator in smooth and cardiac muscle
development. The role of myocardin and its relationship with ERalpha in uterine
fibroids have barely been addressed. We noticed that the expression of myocardin
was markedly reduced in human uterine fibroid tissue compared with corresponding
normal or adjacent myometrium tissue. Here we reported that myocardin induced the
transcription and expression of differentiation markers SM22alpha and alpha
smooth muscle actin (alpha-SMA) in rat primary uterine smooth muscle cells
(USMCs) and this effect was inhibited by ERalpha. Notably, we showed that,
ERalpha induced expression of proliferation markers PCNA and ki-67 in rat primary
USMCs. We also found ERalpha interacted with myocardin and formed complex to bind
to CArG box and inhibit the SM22alpha promoter activity. Furthermore, ERalpha
inhibited the transcription and expression of myocardin, and reduced the levels
of transcription and expression of downstream target SM22alpha, a SMC
differentiation marker. Our data thus provided important and novel insights into
how ERalpha and myocardin interact to control the cell differentiation and
proliferation of USMCs. Thus, it may provide potential therapeutic target for
uterine fibroids.
PMID- 27871852
TI - Some pungent arguments against the physico-chemical theories of the origin of the
genetic code and corroborating the coevolution theory.
AB - Whereas it is extremely easy to prove that "if the biosynthetic relationships
between amino acids were fundamental in the structuring of the genetic code, then
their physico-chemical properties might also be revealed in the genetic code
table"; it is, on the contrary, impossible to prove that "if the physico-chemical
properties of amino acids were fundamental in the structuring of the genetic
code, then the presence of the biosynthetic relationships between amino acids
should not be revealed in the genetic code". And, given that in the genetic code
table are mirrored both the biosynthetic relationships between amino acids and
their physico-chemical properties, all this would be a test that would falsify
the physico-chemical theories of the origin of the genetic code. That is to say,
if the physico-chemical properties of amino acids had a fundamental role in
organizing the genetic code, then we would not have duly revealed the presence -
in the genetic code - of the biosynthetic relationships between amino acids, and
on the contrary this has been observed. Therefore, this falsifies the physico
chemical theories of genetic code origin. Whereas, the coevolution theory of the
origin of the genetic code would be corroborated by this analysis, because it
would be able to give a description of evolution of the genetic code more
coherent with the indisputable empirical observations that link both the
biosynthetic relationships of amino acids and their physico-chemical properties
to the evolutionary organization of the genetic code.
PMID- 27871851
TI - Dual function of Ixr1 in transcriptional regulation and recognition of cisplatin
DNA adducts is caused by differential binding through its two HMG-boxes.
AB - Ixr1 is a transcriptional factor involved in the response to hypoxia, which is
also related to DNA repair. It binds to DNA through its two in-tandem high
mobility group box (HMG-box) domains. Each function depends on recognition of
different DNA structures, B-form DNA at specific consensus sequences for
transcriptional regulation, or distorted DNA, like cisplatin-DNA adducts, for DNA
repair. However, the contribution of the HMG-box domains in the Ixr1 protein to
the formation of different protein-DNA complexes is poorly understood. We have
biophysically and biochemically characterized these interactions with specific
DNA sequences from the promoters regulated by Ixr1, or with cisplatin-DNA
adducts. Both HMG-boxes are necessary for transcriptional regulation, and they
are not functionally interchangeable. The in-tandem arrangement of their HMG
boxes is necessary for functional folding and causes sequential cooperative
binding to specific DNA sequences, with HMG-box A showing a higher contribution
to DNA binding and bending than the HMG-box B. Binding of Ixr1 HMG boxes to
specific DNA sequences is entropy driven, whereas binding to platinated DNA is
enthalpy driven for HMG-box A and entropy driven for HMG-box B. This is the first
proof that HMG-box binding to different DNA structures is associated with
predictable thermodynamic differences. Based on our study, we present a model to
explain the dual function of Ixr1 in the regulation of gene expression and
recognition of distorted DNA structures caused by cisplatin treatment.
PMID- 27871853
TI - Nur77 downregulation triggers pulmonary artery smooth muscle cell proliferation
and migration in mice with hypoxic pulmonary hypertension via the Axin2-beta
catenin signaling pathway.
AB - Pulmonary arterial hypertension (PAH) is a life-threatening disease characterized
by remodeling of the pulmonary vasculature, including marked proliferation and
reduced apoptosis of pulmonary artery smooth muscle cells (PASMCs). Members of
the nuclear receptor 4A (NR4A) subfamily are involved in a variety of biological
events, such as cell apoptosis, proliferation, inflammation, and metabolism.
Activation of Nur77 (an orphan nuclear receptor that belongs to NR4A subfamily)
has recently been reported to be as a beneficial agent in the treatment of
cardiovascular and metabolic diseases. In the present study, we investigated the
effects of NR4A on human PASMCs function in vitro and determined the underlying
mechanisms. We found a robust expression of NR4A receptors in lung tissues of PAH
patients and hypoxic mice but a highly significant downregulation within
pulmonary arteries (PAs) as assessed by quantitative polymerase chain reaction,
immunoblotting, and immunohistochemistry. In vitro, NR4A receptors were found
significantly decreased in PASMCs derived from PAH patients. To explore the
pathological effects of decreased Nur77 in PASMCs, PASMCs were transduced with
siRNA against Nur77. The siRNA-mediated knockdown of Nur77 significantly
augmented PASMCs proliferation and migration. In contrast, Nur77 overexpression
prevented PASMCs from proliferation and migration. Mechanistically,
overexpression of Axis inhibition protein 2 (Axin2) or inhibition of beta-catenin
signaling was shown to be responsible for Nur77 knockdown-induced proliferation
of PASMCs. Following hypoxia-induced angiogenesis of the pulmonary artery in
C57BL/6 mice, small-molecule Nur77 agonists-Octaketide Cytosporone B (Csn-B) can
significantly decreased thickness of vascular wall and markedly attenuated the
development of chronic hypoxia-induced PAH in vivo. Therefore, reconstitution of
Nur77 levels represents a promising therapeutic option to prevent vascular
remodeling processes.
PMID- 27871855
TI - Histone deacetylase 1 (HDAC1) regulates retinal development through a PAX6
dependent pathway.
AB - Cell fate determination is tightly controlled by the expression of transcription
factors and gene regulatory networks. PAX6 is a transcription factor containing a
DNA-binding paired-box domain and homeobox domain that plays a key role in the
development of the eye, brain, and pancreas. Here, we showed that histone
deacetyltransferase 1 (HDAC1) is a novel binding partner of PAX6 in newborn mouse
retinas. We also showed that HDAC1 specifically binds to the paired and
transactivation domains of PAX6, and these physical interactions were required
for effective repression of PAX6 transcriptional activity during retinal
development. Furthermore, HDAC1 preferentially regulates the transcriptional
activity of PAX6 when it binds to paired-domain (P6CON and chimeric pCON/P3) PAX6
responsive elements compared to homeodomain (pP3) PAX6 responsive elements. The
repressive effect of HDAC1 on the transcriptional activity of PAX6 was reversed
by knockdown of HDAC1 or treatment with an HDAC inhibitor, TSA. Taken together,
these results show that HDAC1 binds PAX6 and that protein-protein interaction
leads to transcriptional repression of PAX6 target genes during mouse retinal
development.
PMID- 27871854
TI - Rate adaptive pacing in an intracardiac pacemaker.
AB - BACKGROUND: The Micra transcatheter pacemaker was designed to have similar
functionality to conventional transvenous VVIR pacing systems. It provides rate
adaptive pacing using a programmable 3-axis accelerometer designed to detect
patient activity in the presence of cardiac motion. OBJECTIVE: The purpose of
this study was to evaluate the system's performance during treadmill tests to
maximum exertion in a subset of patients within the Micra Transcatheter Pacing
Study. METHODS: Patients underwent treadmill testing at 3 or 6 months postimplant
with algorithm programming at physician discretion. Normalized sensor rate (SenR)
relative to the programmed upper sensor rate was modeled as a function of
normalized workload in metabolic equivalents (METS) relative to maximum METS
achieved during the test. A normalized METS and SenR were determined at the end
of each 1-minute treadmill stage. The proportionality of SenR to workload was
evaluated by comparing the slope from this relationship to the prospectively
defined tolerance margin (0.65-1.35). RESULTS: A total of 69 treadmill tests were
attempted by 42 patients at 3 and 6 months postimplant. Thirty tests from 20
patients who completed >=4 stages with an average slope of 0.86 (90% confidence
interval 0.77-0.96) confirmed proportionality to workload. On an individual test
basis, 25 of 30 point estimates (83.3%) had a normalized slope within the defined
tolerance range (range 0.46-1.08). CONCLUSION: Accelerometer-based rate adaptive
pacing was proportional to workload, thus confirming rate adaptive pacing
commensurate to workload is achievable with an entirely intracardiac pacing
system.
PMID- 27871856
TI - Will cardiac optogenetics find the way through the obscure angles of heart
physiology?
AB - Optogenetics is a technique exploded in the last 10 years, which revolutionized
several areas of biological research. The brightest side of this technology is
the use of light to modulate non-invasively, with high spatial resolution and
millisecond time scale, excitable cells genetically modified to express light
sensitive microbial ion channels (opsins). Neuroscience has first benefited from
such fascinating strategy, in intact organisms. By shining light to specific
neuronal subpopulations, optogenetics allowed unearth the mechanisms involved in
cell-to-cell communication within the context of intact organs, such as the
brain, formed by complex neuronal circuits. More recently, scientists looked at
optogenetics as a tool to answer some of the questions, remained in the dark, of
cardiovascular physiology. In this review, we focus on the application of
optogenetics in the study of the heart, a complex multicellular organ, homing
different populations of excitable cells, spatially and functionally
interconnected. Moving from the first proof-of-principle works, published in
2010, to the present time, we discuss the in vitro and in vivo applications of
optogenetics for the study of electrophysiology of the different cardiac cell
types, and for the dissection of cellular mechanisms underlying arrhythmias. We
also present how molecular biology and technology foster the evolution of cardiac
optogenetics, with the aim to further our understanding of fundamental questions
in cardiac physiology and pathology. Finally, we confer about the therapeutic
potential of such biotechnological strategy for the treatment of heart rhythm
disturbances (e.g. cardiac pacing, cardioversion).
PMID- 27871857
TI - Bmi-1 plays a critical role in the protection from acute tubular necrosis by
mobilizing renal stem/progenitor cells.
AB - The regeneration of injured tubular cell occurs primarily from intrinsic renal
stem/progenitor cells (RSCs) labeled with CD24 and CD133 after acute tubular
necrosis (ATN). Bmi-1 plays a crucial role in regulating self-renewal,
differentiation and aging of multiple adult stem cells and progenitor cells. Bmi
1 was rapidly elevated in the induction of adult kidney regeneration by renal
injury. To determine whether Bmi-1 maintained mobilization of RSCs in the
protection from ATN, glycerol-rhabdomyolysis-induced ATN were performed in wild
type (WT) and Bmi-1-deficient (Bmi-1-/-) mice. Their ATN phenotypes were
analyzed; CD24 and CD133 double positive (CD24+CD133+) cells were measured; and
the levels of serum urea nitrogen (SUN) and serum creatinine (SCr) were detected.
We found that CD24+CD133+ RSCs were mobilized in WT ATN mice with the increased
expression of Bmi-1; Bmi-1 deficiency led to increased tubular cast formation and
necrosis, elevated levels of SUN and SCr, decreased tubular proliferation, and
immobilized ratio of RSCs in ATN. These findings indicated that Bmi-1 played a
critical role in the protection from ATN by maintaining mobilization of RSCs and
would be a novel therapeutic target for preventing the progression of ATN.
PMID- 27871858
TI - Adipokine CTRP6 improves PPARgamma activation to alleviate angiotensin II-induced
hypertension and vascular endothelial dysfunction in spontaneously hypertensive
rats.
AB - Angiotensin II (AngII) is the most important component of angiotensin, which has
been regarded as a major contributor to the incidence of hypertension and
vascular endothelial dysfunction. The adipocytokine C1q/TNF-related protein 6
(CTRP6) was recently reported to have multiple protective effects on cardiac and
cardiovascular function. However, the exact role of CTRP6 in the progression of
AngII induced hypertension and vascular endothelial function remains unclear.
Here, we showed that serum CTRP6 content was significantly downregulated in SHRs,
accompanied by a marked increase in arterial systolic pressure and serum AngII,
CRP and ET-1 content. Then, pcDNA3.1-mediated CTRP6 delivery or CTRP6 siRNA was
injected into SHRs. CTRP6 overexpression caused a significant decrease in AngII
expression and AngII-mediated hypertension and vascular endothelial inflammation.
In contrast, CTRP6 knockdown had the opposite effect to CTRP6 overexpression.
Moreover, we found that CTRP6 positively regulated the activation of the ERK1/2
signaling pathway and the expression of peroxisome proliferator-activated
receptor gamma (PPARgamma), a recently proven negative regulator of AngII, in the
brain and vascular endothelium of SHRs. Finally, CTRP6 was overexpressed in
endothelial cells, and caused a significant increase in PPARgamma activation and
suppression in AngII-mediated vascular endothelial dysfunction and apoptosis. The
effect of that could be rescued by the ERK inhibitor PD98059. In contrast,
silencing CTRP6 suppressed PPARgamma activation and exacerbated AngII-mediated
vascular endothelial dysfunction and apoptosis. In conclusion, CTRP6 improves
PPARgamma activation and alleviates AngII-induced hypertension and vascular
endothelial dysfunction.
PMID- 27871859
TI - Alpha2,3-sialyltransferase III knockdown sensitized ovarian cancer cells to
cisplatin-induced apoptosis.
AB - Emerging evidence indicates that beta-galactoside-alpha2,3-sialyltransferase III
(ST3Gal3) involves in development, inflammation, neoplastic transformation, and
metastasis. However, the role of ST3Gal3 in regulating cancer chemoresistance
remains elusive. Herein, we investigated the functional effects of ST3Gal3 in
cisplatin-resistant ovarian cancer cells. We found that the levels of ST3Gal3
mRNA differed significantly among ovarian cancer cell lines. HO8910PM cells that
have high invasive and metastatic capacity express elevated ST3Gal3 mRNA and are
resistant to cisplatin, comparing to SKOV3 cells that have a lower level of
ST3Gal3 expression and are more chemosensitive to cisplatin. We found that the
expression of ST3Gal3 has reverse correlation with the dosage of cisplatin used
in both SKOV3 and HO8910PM cells, and high dose of cisplatin could down-regulate
ST3Gal3 expression. We then examined the functional effects of ST3Gal3 knockdown
in cancer cell lines using FACS analysis. The number of apoptotic cells was much
higher in cells if ST3Gal3 expression was knocked down by siRNA and/or by
treating cells with higher dosage of cisplatin in comparison to control cells.
Interestingly, in HO8910PM cells with ST3Gal3 knockdown, the levels of caspase 8
and caspase 3 proteins increased, which was more obvious in cells treated with
both ST3Gal3 knockdown and cisplatin, suggesting that ST3Gal3 knockdown
synergistically enhanced cisplatin-induced apoptosis in ovarian cancer cells.
Taken together, these results uncover an alternative mechanism of cisplatin
resistance through ST3Gal3 and open a window for effective prevention of
chemoresistance and relapse of ovarian cancer by targeting ST3Gal3.
PMID- 27871860
TI - In vitro reconstitution of breast cancer heterogeneity with multipotent cancer
stem cells using small molecules.
AB - A small fraction of tumor cells are thought to possess the potential for both
multiple-lineage differentiation and self-renewal, which underlies the cancer
stem cell hypothesis. However, the differentiation mechanisms of these cells have
not been elucidated due to a lack of appropriate culture methods. Here, we
established a culture condition for maintaining multipotent tumor cells from rat
breast tumors using 4 small molecules. Cultured tumor cells in this condition
retained their intrinsic myoepithelial features, expressing p63 and CK14 and
vimentin. In a xenograft model, the p63-expressing cells formed epithelial tumors
containing glandular, squamous and sebaceous compartments. Upon withdrawal of the
small molecules, p63 and CK14 expression was lost, with concurrent increase in
expression of mesenchymal markers. These transited cells acquired drug resistance
and invasiveness and showed massive sarcomatoid tumorigenicity. Epithelial
features could not be recovered by re-exposure to the small molecules in the
transited cells. Here, we have identified multipotent cancer cells within primary
mammary tumors and demonstrated that their plasticity is maintained by the small
molecules.
PMID- 27871861
TI - The role of steroids in the prediction of affective disorders in adult men.
AB - OBJECTIVE: Anxiety and mood disorders (AMD) are the most frequent mental
disorders in the human population. They have recently shown increasing
prevalence, and commonly disrupt personal and working lives. The aim of our study
was to analyze the spectrum of circulating steroids in order to discover
differences that could potentially be markers of affective depression or anxiety,
and identify which steroids could be a predictive component for these diseases.
METHODS: We studied the steroid metabolome including 47 analytes in 20 men with
depression (group D), 20 men with anxiety (group AN) and 30 healthy controls.
OPLS and multivariate regression models were used for statistical analysis.
RESULTS: Discrimination of group D from controls by the OPLS method was absolute,
as was group AN from controls (sensitivity=1.000 (0.839, 1.000),
specificity=1.000 (0.887, 1.000)). Relatively good predictivity was also found
for discrimination between group D from AN (sensitivity=0.850 (0.640, 0.948),
specificity=0.900 (0.699, 0.972)). CONCLUSION: Selected circulating steroids,
including those that are neuroactive and neuroprotective, can be useful tools for
discriminating between these affective diseases in adult men.
PMID- 27871863
TI - Muscular system in interna of Peltogaster paguri (Rhizocephala: Peltogastridae).
AB - Rhizocephalan parasites have a peculiar life cycle, and their adults lost almost
all traits found usually in Crustacea. Despite some data on anatomy and
ultrastructure of interna of Peltogastridae, some crucial aspects of morphology
are still unknown. For example, there is only one mentioning of myocytes found in
interna of Rhizocephalans (Sacculina carcini). So we aimed at studying the
muscular system of the interna of Peltogaster paguri using serial histological
sectioning and fluorescent staining (TRITC-labeled phalloidin) with confocal
microscopy. Within the wall of the main trunk we found striated muscular fibers.
The majority of these fibers form a unidirectional single spiral. There are
additional small fibers that connect the coils of the large spiral. The density
of muscular fibers is highest near the externa stalk, and the number of muscle
fibers decreases towards the distal part of the main trunk. We suggest that such
a muscular system could provide peristaltic movements of the main trunk and the
transport of nutrients through the interna.
PMID- 27871864
TI - Review of developmental origins of health and disease publications in
environmental epidemiology.
AB - The Developmental Origins of Health and Disease (DOHaD) scientific field
investigates the influence of early life environmental stressors on later life
health outcomes. Environmental chemical exposures are a particular focus area
within this field. Although the DOHaD hypothesis originated in the 1990s, the
data evaluating this hypothesis in environmental epidemiology has not been
comprehensively summarized. We conducted a scoping literature review to describe
the human evidence for the DOHaD hypothesis and to identify, 1) where there may
be reasonable data to draw conclusions, and 2) areas warranting further research.
Using PubMed and Web of Science we identified 425 publications through 2014 that
met our criteria for evaluating the DOHaD hypothesis in environmental
epidemiology. These publications covered 60 different chemicals. The majority of
publications focused on neurological/cognitive outcomes, followed by cancer, and
respiratory outcomes. We note areas ready for more detailed review, those
requiring more data and ideas for future directions.
PMID- 27871865
TI - Iterative reconstruction of radially-sampled 31P bSSFP data using prior
information from 1H MRI.
AB - The purpose of this study is to improve direct phosphorus (31P) MR imaging.
Therefore, 3D density-adapted radially-sampled balanced steady-state free
precession (bSSFP) sequences were developed and an iterative approach exploiting
additional anatomical information from hydrogen (1H) data was evaluated. Three
healthy volunteers were examined at B0=7T in order to obtain the spatial
distribution of the phosphocreatine (PCr) intensities in the human calf muscle
with a nominal isotropic resolution of 10mm in an acquisition time of 10min.
Three different bSSFP gradient schemes were investigated. The highest signal-to
noise ratio (SNR) was obtained for a scheme with two point-reflected density
adapted gradients. Furthermore, the conventional reconstruction based on a
gridding algorithm was compared to an iterative method using an 1H MRI constraint
in terms of a segmented binary mask, which comprises prior knowledge. The
parameters of the iterative approach were optimized and evaluated by simulations
featuring 31P MRI parameters. Thereby, partial volume effects as well as Gibbs
ringing artifacts could be reduced. In conclusion, the iterative reconstruction
of 31P bSSFP data using an 1H MRI constraint is appropriate for investigating
regions where sharp tissue boundaries occur and leads to images that represent
the real PCr distributions better than conventionally reconstructed images.
PMID- 27871866
TI - Effects of the GluN2B-NMDA receptor antagonist Ro 25-6981 on two types of
behavioral flexibility in rats.
AB - Recent evidence has implicated N-methyl-d-aspartate receptors (NMDARs) in several
aspects of learning and behavioral flexibility in rodents. Here, we examined the
effects of treatment with Ro 25-6981, a selective antagonist of NMDARs containing
GluN2B subunits, on two types of behavioral flexibility in rats, spatial reversal
learning and set-shifting (spatial vs. motor strategy). To examine spatial
reversal learning, rats were trained to swim to a hidden platform in a water maze
over four days. On the following day, the platform was moved to a new location in
the maze. Administration of Ro 25-6981 (10mg/kg) selectively impaired the early
phase of reversal learning, but all rats learned to navigate to the new platform
location over 12 trials. To examine set-shifting, independent groups of rats were
trained to either swim to a fixed location (spatial strategy) or use a motor
response (e.g., "turn left"; motor strategy) to find a hidden escape platform in
a cross-shaped water maze apparatus; after task acquisition, rats were trained on
the second, novel strategy (set-shift) following treatment with either Ro 25-6981
(10mg/kg) or saline. Administration of Ro 25-6981 had no effect on the ability of
rats to perform the set-shift and use the new strategy to locate the escape
platform. These results suggest that, in rats, spatial reversal learning, but not
set-shifting, is sensitive to Ro-25-6981 treatment. Thus, NMDARs-GluN2B signaling
may play a selective role in some forms of behavioral plasticity, particularly
for situations involving the updating of information in the spatial domain.
PMID- 27871867
TI - Chronic toxicity evaluation of Morinda citrifolia fruit and leaf in mice.
AB - Noni (Morinda citrifolia) leaf and fruit are used as food and medicine. This
report compares the chronic toxicity of Noni fruit and edible leaf water extracts
(two doses each) in female mice. The 6 months study showed the fruit extract
produced chronic toxicity effects at the high dose of 2 mg/ml drinking water,
evidenced through deteriorated liver histology (hepatocyte necrosis), reduced
liver length, increased liver injury marker AST (aspartate aminotransferase) and
albumin reduction, injury symptoms (hypoactivity, excessive grooming, sunken eyes
and hunched posture) and 40% mortality within 3 months. This hepatotoxicity
results support the six liver injury reports in humans which were linked to
chronic noni fruit juice consumption. Both doses of the leaf extracts
demonstrated no observable toxicity. The hepatotoxicity effects of the M.
citrifolia fruit extract in this study is unknown and may probably be due to the
anthraquinones in the seeds and skin, which had potent quinone reductase inducer
activity that reportedly was 40 times more effective than l-sulforaphane. This
report will add to current data on the chronic toxicity cases of Morinda
citrifolia fruit. No report on the chronic toxicity of Morinda citrifolia fruit
in animal model is available for comparison.
PMID- 27871869
TI - Use of read-across to simplify the toxicological assessment of a complex mixture
of lysimeter leachate metabolites on the basis of chemical similarity and ADME
behavior.
AB - This paper describes the further development of a read-across approach applicable
to the toxicological assessment of structurally-related xenobiotic metabolites.
The approach, which can be applied in the absence of definitive identification of
all the individual metabolites, draws on the use of chemical descriptors and
multi-variate statistical analysis to define a composite "chemical space" and to
classify and characterize closely-related subgroups within this. In this example,
consideration of the descriptors driving grouping, combined with empirical
evidence for lack of significant further biotransformation of metabolites, leads
to the conclusion that, in the absence of any specific structural alerts, the
relative toxicity of metabolites within a single grouping will be determined by
their relative systemic exposure as described by their ADME characteristics. The
in vivo testing of a smaller number of exemplars, selected to have representative
ADME properties for each grouping, is sufficient, therefore, to evaluate the
toxicity of the remainder. The approach is exemplified using the metabolites of
the herbicide S-metolachlor, detected in the leachate of a soil lysimeter.
PMID- 27871868
TI - Quantitative risk assessment for skin sensitization: Success or failure?
AB - Skin sensitization is unique in the world of toxicology. There is a combination
of reliable, validated predictive test methods for identification of skin
sensitizing chemicals, a clearly documented and transparent approach to risk
assessment, and effective feedback from dermatology clinics around the world
delivering evidence of the success or failure of the hazard identification/risk
assessment/management process. Recent epidemics of contact allergy, particularly
to preservatives, have raised questions of whether the safety/risk assessment
process is working in an optimal manner (or indeed is working at all!). This
review has as its focus skin sensitization quantitative risk assessment (QRA).
The core toxicological principles of QRA are reviewed, and evidence of use and
misuse examined. What becomes clear is that skin sensitization QRA will only
function adequately if two essential criteria are met. The first is that QRA is
applied rigourously, and the second is that potential exposure to the sensitizing
substance is assessed adequately. This conclusion will come as no surprise to any
toxicologist who appreciates the basic premise that "risk = hazard x exposure".
Accordingly, use of skin sensitization QRA is encouraged, not least because the
essential feedback from dermatology clinics can be used as a tool to refine QRA
in situations where this risk assessment tool has not been properly used.
PMID- 27871862
TI - A users guide to HPA axis research.
AB - Glucocorticoid hormones (cortisol and corticosterone - CORT) are the effector
hormones of the hypothalamic-pituitary-adrenal (HPA) axis neuroendocrine system.
CORT is a systemic intercellular signal whose level predictably varies with time
of day and dynamically increases with environmental and psychological stressors.
This hormonal signal is utilized by virtually every cell and physiological system
of the body to optimize performance according to circadian, environmental and
physiological demands. Disturbances in normal HPA axis activity profiles are
associated with a wide variety of physiological and mental health disorders.
Despite numerous studies to date that have identified molecular, cellular and
systems-level glucocorticoid actions, new glucocorticoid actions and clinical
status associations continue to be revealed at a brisk pace in the scientific
literature. However, the breadth of investigators working in this area poses
distinct challenges in ensuring common practices across investigators, and a full
appreciation for the complexity of a system that is often reduced to a single
dependent measure. This Users Guide is intended to provide a fundamental overview
of conceptual, technical and practical knowledge that will assist individuals who
engage in and evaluate HPA axis research. We begin with examination of the
anatomical and hormonal components of the HPA axis and their physiological range
of operation. We then examine strategies and best practices for systematic
manipulation and accurate measurement of HPA axis activity. We feature use of
experimental methods that will assist with better understanding of CORT's
physiological actions, especially as those actions impact subsequent brain
function. This research approach is instrumental for determining the mechanisms
by which alterations of HPA axis function may contribute to pathophysiology.
PMID- 27871870
TI - Carcinogenic risk of emerging persistent organic pollutant perfluorooctane
sulfonate (PFOS): A proposal of classification.
AB - : Perfluoroalkyls are stable synthetic chemicals, able to repel oils, fats and
water. These compounds have been used in the manufacturing of products such as
Teflon(r), lubricants, paints, fire-fighting foams, coatings for pans, carpets,
clothes, and paperboard for packaging, among others. It is believed that
populations are exposed constantly to them. Its regulation in the world is under
development and several controversies are in the course of litigation. One
occupational study shows bladder cancer risk. This paper intends to review
scientific information on the most critical perfluoroalkyl compound and proposes
a procedure to get a cancer-risk categorization which PFOS can cause to
populations. METHODS: As a guiding axis, we used the IARC process for developing
monographs of carcinogenic risks. We used the SIGN guides for evaluating the
quality of studies in human populations; and finally, we used the Squire method
for evaluating studies in laboratory animals. Inadequate evidence of
carcinogenicity was found in human studies mainly due to chance, threshold effect
and confounders. In experimental animal studies, inadequate evidence of
carcinogenicity was found in view of the number of affected species, different
types of neoplasms, dose-response relationship and genotoxicity found in in-vivo
and in-vitro studies. In this proposal, we concluded that cancer risk for PFOS,
according to the IARC method, is not classifiable as carcinogenic to humans
(group 3).
PMID- 27871871
TI - Paleoparasitological analysis of the extinct Myotragus balearicus Bate 1909
(Artiodactyla, Caprinae) from Mallorca (Balearic Islands, Western Mediterranean).
AB - Myotragus balearicus (Artiodactyla, Caprinae) is an extinct caprine endemic of
the Eastern Balearic Islands or Gymnesics (i.e., Mallorca, Menorca and
surrounding islets, Western Mediterranean Sea). In spite of its small size, c.
50cm height at the shoulder, it was the largest mammal inhabiting these islands
until the human arrival, and it had peculiar short legs and frontal vision. It
disappeared between 2830 and 2210calBCE. The coprolites here studied were
recovered from Cova Estreta, in Pollenca, Mallorca. The samples were subjected to
microscopic examination and enzyme-linked immunosorbent assays (ELISA) for E.
histolytica/E. dispar, Giardia intestinalis and Cryptosporidium parvum. This
study provides new paleoparasitological data from an extinct animal species of
the Holocene period. The microscopy revealed one sample containing uninucleated
cyst of Entamoeba sp., whereas ELISA detected nine positive samples for
Cryptosporidium sp. The finding of these protozoans can help in the discussion of
its extinction cause and demonstrates the antiquity and the evolutionary history
of host-parasite relationships between protozoa and caprines since the Messinian.
PMID- 27871873
TI - iTRAQ-based proteomic analysis of defence responses triggered by the necrotrophic
pathogen Rhizoctonia solani in cotton.
AB - : The soil-borne necrotrophic pathogen fungus Rhizoctonia solani is destructive,
causing disease in various important crops. To date, little is known about the
host defence mechanism in response to invasion of R. solani. Here, an iTRAQ-based
proteomic analysis was employed to investigate pathogen-responsive proteins in
the disease tolerant/resistant cotton cultivar CRI35. A total of 174
differentially accumulated proteins (DAPs) were identified after inoculation of
cotton plants with R. solani. Functional categorization analysis indicated that
these DAPs can be divided into 12 subclasses. Notably, a large portion of DAPs
are known to function in reactive oxygen species (ROS) metabolism and the
expression of several histone-modifying and DNA methylating proteins were
significantly induced upon challenge with the fungus, indicating that the redox
homeostasis and epigenetic regulation are important for cotton defence against
the pathogen. Additionally, the expression of proteins involved in
phenylpropanoid biosynthesis was markedly changed in response to pathogen
invasion, which may reflect a particular contribution of secondary metabolism in
protection against the fungal attack in cotton. Together, our results indicate
that the defence response of cotton plants to R. solani infection is active and
multifaceted and involves the induction of proteins from various innate immunity
related pathways. SIGNIFICANCE: Cotton damping-off is a destructive disease
caused by the necrotrophic fungus Rhizoctonia solani. To date, the host defence
mechanism involved in the disease protection remains largely unknown. Here, we
reported the first proteomic analysis on cotton immune responses against R.
solani infection. Employing iTRAQ technique, we obtained a total of 174
differentially accumulated proteins (DAPs) that can be classified into 12
functional groups. Further analysis indicated that ROS homeostasis, epigenetic
regulation and phenylpropanoid biosynthesis were tightly associated with the
innate immune responses against R. solani infection in cotton. The obtained data
provide not only important information for understanding the molecular mechanism
involved in plant-R. solani interaction but also application clues for genetic
breeding of crops with improved R. solani resistance.
PMID- 27871872
TI - Biotechnological production of aromatic compounds of the extended shikimate
pathway from renewable biomass.
AB - Aromatic chemicals that contain an unsaturated ring with alternating double and
single bonds find numerous applications in a wide range of industries, e.g. paper
and dye manufacture, as fuel additives, electrical insulation, resins,
pharmaceuticals, agrochemicals, in food, feed and cosmetics. Their chemical
production is based on petroleum (BTX; benzene, toluene, and xylene), but they
can also be obtained from plants by extraction. Due to petroleum depletion,
health compliance, or environmental issues such as global warming, the
biotechnological production of aromatics from renewable biomass came more and
more into focus. Lignin, a complex polymeric aromatic molecule itself, is a
natural source of aromatic compounds. Many microorganisms are able to catabolize
a plethora of aromatic compounds and interception of these pathways may lead to
the biotechnological production of value-added aromatic compounds which will be
discussed for Corynebacterium glutamicum. Biosynthesis of aromatic amino acids
not only gives rise to l-tryptophan, L-tyrosine and l-phenylalanine, but also to
aromatic intermediates such as dehydroshikimate or chorismate from which value
added aromatic compounds can be derived. In this review, we will summarize recent
strategies for the biotechnological production of aromatic and related compounds
from renewable biomass by Escherichia coli, Pseudomonas putida, C. glutamicum and
Saccharomyces cerevisiae. In particular, we will focus on metabolic engineering
of the extended shikimate pathway.
PMID- 27871874
TI - Functional and immuno-reactive characterization of a previously undescribed
peptide from the venom of the scorpion Centruroides limpidus.
AB - A previously undescribed toxic peptide named Cl13 was purified from the venom of
the Mexican scorpion Centruroides limpidus. It contains 66 amino acid residues,
including four disulfide bonds. The physiological effects assayed in 7 different
subtypes of voltage gated Na+-channels, showed that it belongs to the beta
scorpion toxin type. The most notorious effects were observed in subtypes Nav1.4,
Nav1.5 and Nav1.6. Although having important sequence similarities with two other
lethal toxins from this scorpion species (Cll1m and Cll2), the recently developed
single chain antibody fragments (scFv) of human origin were not capable of
protecting against Cl13. At the amino acid sequence level, in 3 stretches of
peptide Cl13 (positions 7-9, 30-38 and 62-66) some differences with respect to
other similar toxins are observed. Some of these differences coincide with
contact points with the human antibody fragments.
PMID- 27871875
TI - Pyruvate dehydrogenase has a major role in mast cell function, and its activity
is regulated by mitochondrial microphthalmia transcription factor.
AB - BACKGROUND: We have recently observed that oxidative phosphorylation-mediated ATP
production is essential for mast cell function. Pyruvate dehydrogenase (PDH) is
the main regulator of the Krebs cycle and is located upstream of the electron
transport chain. However, the role of PDH in mast cell function has not been
described. Microphthalmia transcription factor (MITF) regulates the development,
number, and function of mast cells. Localization of MITF to the mitochondria and
its interaction with mitochondrial proteins has not been explored. OBJECTIVE: We
sought to explore the role played by PDH in mast cell exocytosis and to determine
whether MITF is localized in the mitochondria and involved in regulation of PDH
activity. METHODS: Experiments were performed in vitro by using human and mouse
mast cells, as well as rat basophil leukemia cells, and in vivo in mice. The
effect of PDH inhibition on mast cell function was examined. PDH interaction with
MITF was measured before and after immunologic activation. Furthermore,
mitochondrial localization of MITF and its effect on PDH activity were
determined. RESULTS: PDH is essential for immunologically mediated degranulation
of mast cells. After activation, PDH is serine dephosphorylated. In addition, for
the first time, we show that MITF is partially located in the mitochondria and
interacts with PDH. This interaction is dependent on the phosphorylation state of
PDH. Furthermore, mitochondrial MITF regulates PDH activity. CONCLUSION: The
association of mitochondrial MITF with PDH emerges as an important regulator of
mast cell function. Our findings indicate that PDH could arise as a new target
for the manipulation of allergic diseases.
PMID- 27871877
TI - Clinical potential for imaging in patients with asthma and other lung disorders.
AB - The ability of lung imaging to phenotype patients, determine prognosis, and
predict response to treatment is expanding in clinical and translational
research. The purpose of this perspective is to describe current imaging
modalities that might be useful clinical tools in patients with asthma and other
lung disorders and to explore some of the new developments in imaging modalities
of the lung. These imaging modalities include chest radiography, computed
tomography, lung magnetic resonance imaging, electrical impedance tomography,
bronchoscopy, and others.
PMID- 27871876
TI - Disaggregating asthma: Big investigation versus big data.
AB - We are facing a major challenge in bridging the gap between identifying subtypes
of asthma to understand causal mechanisms and translating this knowledge into
personalized prevention and management strategies. In recent years, "big data"
has been sold as a panacea for generating hypotheses and driving new frontiers of
health care; the idea that the data must and will speak for themselves is fast
becoming a new dogma. One of the dangers of ready accessibility of health care
data and computational tools for data analysis is that the process of data mining
can become uncoupled from the scientific process of clinical interpretation,
understanding the provenance of the data, and external validation. Although
advances in computational methods can be valuable for using unexpected structure
in data to generate hypotheses, there remains a need for testing hypotheses and
interpreting results with scientific rigor. We argue for combining data- and
hypothesis-driven methods in a careful synergy, and the importance of carefully
characterized birth and patient cohorts with genetic, phenotypic, biological, and
molecular data in this process cannot be overemphasized. The main challenge on
the road ahead is to harness bigger health care data in ways that produce
meaningful clinical interpretation and to translate this into better diagnoses
and properly personalized prevention and treatment plans. There is a pressing
need for cross-disciplinary research with an integrative approach to data
science, whereby basic scientists, clinicians, data analysts, and epidemiologists
work together to understand the heterogeneity of asthma.
PMID- 27871878
TI - Large-scale gene expression profiling reveals distinct type 2 inflammatory
patterns in chronic rhinosinusitis subtypes.
PMID- 27871879
TI - Aging aggravates alcoholic liver injury and fibrosis in mice by downregulating
sirtuin 1 expression.
AB - BACKGROUND & AIMS: Aging is known to exacerbate the progression of alcoholic
liver disease (ALD), but the underlying mechanisms remain obscure. The aim of
this study was to use a chronic plus binge ethanol feeding model in mice to
evaluate the effects of aging on alcohol-induced liver injury. METHODS: C57BL/6
mice were subjected to short-term (10days) ethanol plus one binge or long-term
(8weeks) ethanol plus multiple binges of ethanol. Liver injury and fibrosis were
determined. Hepatic stellate cells (HSCs) were isolated and used in in vitro
studies. RESULTS: Middle-aged (12-14months) and old-aged (>16months) mice were
more susceptible to liver injury, inflammation, and oxidative stress induced by
short-term plus one binge or long-term plus multiple binges of ethanol feeding
when compared to young (8-12weeks) mice. Long-term plus multiple binges of
ethanol feeding induced greater liver fibrosis in middle-aged mice than that in
young mice. Hepatic expression of sirtuin 1 (SIRT1) protein was downregulated in
the middle-aged mice compared to young mice. Restoration of SIRT1 expression via
the administration of adenovirus-SIRT1 vector ameliorated short-term plus binge
ethanol-induced liver injury and fibrosis in middle-aged mice. HSCs isolated from
middle-aged mice expressed lower levels of SIRT1 protein and were more
susceptible to spontaneous activation in in vitro culture than those from young
mice. Overexpression of SIRT1 reduced activation of HSCs from middle-aged mice in
vitro with downregulation of PDGFR-alpha and c-Myc, while deletion of SIRT1
activated HSCs isolated from young mice in vitro. Finally, HSC-specific SIRT1
knockout mice were more susceptible to long-term chronic-plus-multiple binges of
ethanol-induced liver fibrosis with upregulation of PDGFR-alpha expression.
CONCLUSIONS: Aging exacerbates ALD in mice through the downregulation of SIRT1 in
hepatocytes and HSCs. Activation of SIRT1 may serve as a novel target for the
treatment of ALD. LAY SUMMARY: Aged mice are more susceptible to alcohol-induced
liver injury and fibrosis, which is, at least in part, due to lower levels of
sirtuin 1 protein in hepatocytes and hepatic stellate cells. Our findings suggest
that sirtuin 1 activators may have beneficial effects for the treatment of
alcoholic liver disease in aged patients.
PMID- 27871880
TI - Abscisic acid enhances glucose disposal and induces brown fat activity in
adipocytes in vitro and in vivo.
AB - Abscisic acid (ABA) is a plant hormone also present in animals, where it is
involved in the regulation of innate immune cell function and of glucose
disposal, through its receptor LANCL2. ABA stimulates glucose uptake by myocytes
and pre-adipocytes in vitro and oral ABA improves glycemic control in rats and in
healthy subjects. Here we investigated the role of the ABA/LANCL2 system in the
regulation of glucose uptake and metabolism in adipocytes. Silencing of LANCL2
abrogated both the ABA- and insulin-induced increase of glucose transporter-4
expression and of glucose uptake in differentiated 3T3-L1 murine adipocytes;
conversely, overexpression of LANCL2 enhanced basal, ABA- and insulin-stimulated
glucose uptake. As compared with insulin, ABA treatment of adipocytes induced
lower triglyceride accumulation, CO2 production and glucose-derived fatty acid
synthesis. ABA per se did not induce pre-adipocyte differentiation in vitro, but
stimulated adipocyte remodeling in terminally differentiated cells, with a
reduction in cell size, increased mitochondrial content, enhanced O2 consumption,
increased transcription of adiponectin and of brown adipose tissue (BAT) genes. A
single dose of oral ABA (1MUg/kg body weight) increased BAT glucose uptake 2-fold
in treated rats compared with untreated controls. One-month-long ABA treatment at
the same daily dose significantly upregulated expression of BAT markers in the
WAT and in WAT-derived preadipocytes from treated mice compared with untreated
controls. These results indicate a hitherto unknown role of LANCL2 in adipocyte
sensitivity to insulin-stimulated glucose uptake and suggest a role for ABA in
the induction and maintenance of BAT activity.
PMID- 27871881
TI - Targeting brain and peripheral plasticity of the lipidome in acute kainic acid
induced epileptic seizures in mice via quantitative mass spectrometry.
AB - Epilepsy is a highly common chronic neurological disorder, manifested in many
different types, affecting ~1% of the worldwide human population. The molecular
mechanisms of epileptogenesis have not yet been clarified, and pharmacoresistance
exhibited by 30-40% of epilepsy patients remains a major obstacle in medical
care. Growing evidence indicates a role of lipid signalling pathways in
epileptogenesis, thus lipid signals emerge as potential biomarkers for the onset
and evolving course of the epileptic disorder, as well as potential therapeutic
agents and targets. For this purpose, we applied a lipidomic strategy to unravel
lipid alterations in brain regions, periphery tissues and plasma that are
specific for acute epileptic seizures in mice at 1h after seizure induction by
systemic kainic acid injection as compared to vehicle controls. Specifically,
levels of (i) selected phospholipids and sphingomyelins, (ii) the
endocannabinoids anandamide (AEA) and 2-arachidonoyl glycerol (2-AG), and the
endocannabinoid-related compounds oleoylethanolamide (OEA) and
palmitoylethanolamide (PEA), (iii) arachidonic acid (AA), (iv) selected
eicosanoids, and (v) fatty acyl content of lipidome were determined in pulverized
tissues from six brain regions of kainic acid induced epileptic seizure models
and vehicle controls: hypothalamus, hippocampus, thalamus, striatum, cerebellum
and cerebral cortex, and from peripheral organs, such as heart and lungs, and in
plasma. Alterations in lipid levels after acute epileptic seizures as compared to
non-seizure controls were found to be brain region- and periphery tissue
specific, including specific plasma lipid correlates, highlighting their value as
marker candidates in translational research studies, and/or drug discovery and
response monitoring.
PMID- 27871882
TI - Schistosomal-derived lysophosphatidylcholine triggers M2 polarization of
macrophages through PPARgamma dependent mechanisms.
AB - Mansonic schistosomiasis is a disease caused by the trematode Schistosoma
mansoni, endemic to tropical countries. S. mansoni infection induces the
formation of granulomas and potent polarization of Th2-type immune response.
There is great interest in understanding the mechanisms used by this parasite
that causes a modulation of the immune system. Recent studies from our group
demonstrated that lipids of S. mansoni, including lysophosphatidylcholine (LPC)
have immunomodulatory activity. In the present study, our aim was to investigate
the role of lipids derived from S. mansoni in the activation and polarization of
macrophages and to characterize the mechanisms involved in this process.
Peritoneal macrophages obtained from wild type C57BL/6mice or bone marrow derived
macrophages were stimulated in vitro with lipids extracted from adult worms of S.
mansoni. We demonstrated that total schistosomal-derived lipids as well as
purified LPC induced alternatively activated macrophages/M2 profile observed by
increased expression of arginase-1, mannose receptor, Chi3l3, TGFbeta and
production of IL-10 and PGE2 24h after stimulation. The involvement of the
nuclear receptor PPARgamma in macrophage response against LPC was investigated.
Through Western blot and immunofluorescence confocal microscopy we demonstrated
that schistosomal-derived LPC induces increased expression of PPARgamma in
macrophages. The LPC-induced increased expression of arginase-1 were
significantly inhibited by the PPAR-gamma antagonist GW9662. Together, these
results demonstrate an immunomodulatory role of schistosomal-derived LPC in
activating macrophages to a profile of the type M2 through PPARgamma-dependent
mechanisms, indicating a novel pathway for macrophage polarization triggered by
parasite-derived LPC with potential implications to disease pathogenesis.
PMID- 27871883
TI - Importance of phosphatidylcholine on the chloroplast surface.
AB - In plant cells, phosphatidylcholine (PC) is a major glycerolipid of most
membranes but practically lacking from the plastid internal membranes. In
chloroplasts, PC is absent from the thylakoids and the inner envelope membrane.
It is however the main component of the outer envelope membrane, where it
exclusively distributes in the outer monolayer. This unique distribution is
likely related with operational compartmentalization of plant lipid metabolism.
In this review, we summarize the different mechanisms involved in homeostasis of
PC in plant cells. The specific origin of chloroplast PC is examined and the
involvement of the P4-ATPase family of phospholipid flippases (ALA) is considered
with a special attention to the recently reported effect of the endoplasmic
reticulum-localized ALA10 on modification of chloroplast PC desaturation. The
different possible roles of chloroplast PC are then discussed and analyzed in
consideration of plant physiology.
PMID- 27871884
TI - Structural characterization of recombinant human fibroblast growth factor
receptor 2b kinase domain upon interaction with omega fatty acids.
AB - The mutated recombinant kinase domain of human fibroblast growth factor receptor
2b (hFGFR2b) is overexpressed and purified, and its structural changes upon the
interaction with three unsaturated fatty acids (UFAs), oleic, linoleic and alpha
linolenic are studied. This interaction is investigated to find out about the
folding and unfolding effect of unsaturated fatty acids on the kinase domain
structure of hFGFR2b. Recombinant pLEICS-01 vectors, containing the mutated
coding region of hFGFR2b, are expressed in the standard Escherichia coli BL21
(DE3) host cells and purified by Ni2+-NTA affinity chromatography. While
polyacrylamide gel electrophoresis characterizes the functionality of recombinant
protein, its structural changes are studied in the presence and absence of
various concentrations of oleic, alpha-linolenic and linoleic acids using
circular dichroism (CD) and fluorescence spectroscopy. Far ultraviolet CD results
show that unsaturated fatty acids do not change the secondary structure of the
recombinant kinase domain of hFGFR2b. However, chemical denaturation analysis
confirms that all three UFAs destabilize the tertiary structure of recombinant
protein. A decrease in the fluorescence intensity without any significant red or
blue shift (336+/-1nm) reflects a variation in the tertiary structure of protein.
The direct interaction of the studied UFAs with hFGFR2b reduces the
conformational stability of their kinase domains. The structural changes in
hFGFR2b in the presence of UFAs may be necessary for hFGFR2b to adjust the signal
transduction and regulate the key cellular processes.
PMID- 27871885
TI - Vestibular signals of self-motion modulate global motion perception.
AB - Certain visual stimuli can have two possible interpretations. These perceptual
interpretations may alternate stochastically, a phenomenon known as bistability.
Some classes of bistable stimuli, including binocular rivalry, are sensitive to
bias from input through other modalities, such as sound and touch. Here, we
address the question whether bistable visual motion stimuli, known as plaids, are
affected by vestibular input that is caused by self-motion. In Experiment 1, we
show that a vestibular self-motion signal biases the interpretation of the
bistable plaid, increasing or decreasing the likelihood of the plaid being
perceived as globally coherent or transparently sliding depending on the
relationship between self-motion and global visual motion directions. In
Experiment 2, we find that when the vestibular direction is orthogonal to the
visual direction, the vestibular self-motion signal also biases the direction of
one-dimensional motion. This interaction suggests that the effect in Experiment 1
is due to the self-motion vector adding to the visual motion vectors. Together,
this demonstrates that the perception of visual motion direction can be
systematically affected by concurrent but uninformative and task-irrelevant
vestibular input caused by self-motion.
PMID- 27871886
TI - Tetrahalogenated benzimidazole D-ribonucleosides are active against rat
cytomegalovirus.
AB - BACKGROUND: Benzimidazole D-ribonucleosides are potent and selective inhibitors
of CMV infection that have been shown to target the viral terminase, the enzyme
complex responsible for viral DNA cleavage into single unit-length genomes and
subsequent DNA packaging into procapsids. Here, we evaluated the viral inhibition
by benzimidazole D-ribonucleosides against rat cytomegalovirus (RCMV). METHODS:
Antiviral activity of compounds Cl4RB and BTCRB against RCMV was quantified by
measurement of plaque formation. Yield assays and electron microscopy of thin
sections was performed using RCMV-infected cells in the presence or absence of
the compounds. The effects of Cl4RB and BTCRB on cleavage of concatemers was
analyzed by pulsed-field gel electrophoresis. To characterize the behaviour of
the antiviral compounds in a more physiological environment, a 3D cell culture
model was employed where cells are embedded in an extracellular matrix using rat
tail collagen I. RESULTS: Both compounds had an inhibitory effect against RCMV-E.
Electron microscopy revealed that only few virions were formed in RCMV-E infected
cells in the presence of the compounds. Pulsed-field gel electrophoresis showed
that DNA concatemers failed to be processed in the presence of the compounds.
Yield Assays showed a comparable viral growth in the 3D vs. 2D cell culture as
well as inhibition in the presence of Cl4RB or BTCRB for RCMV-E/GFP. CONCLUSIONS:
These results demonstrate that the tetrahalogenated benzimidazole D
ribonucleosides are effective against RCMV-E by preventing cleavage of
concatemeric DNA and nuclear egress of mature capsids.
PMID- 27871887
TI - A silk peptide fraction restores cognitive function in AF64A-induced Alzheimer
disease model rats by increasing expression of choline acetyltransferase gene.
AB - This study investigated the effects of a silk peptide fraction obtained by
incubating silk proteins with Protease N and Neutrase (SP-NN) on cognitive
dysfunction of Alzheimer disease model rats. In order to elucidate underlying
mechanisms, the effect of SP-NN on the expression of choline acetyltransferase
(ChAT) mRNA was assessed in F3.ChAT neural stem cells and Neuro2a neuroblastoma
cells; active amino acid sequence was identified using HPLC-MS. The expression of
ChAT mRNA in F3.ChAT cells increased by 3.79-fold of the control level by
treatment with SP-NN fraction. The active peptide in SP-NN was identified as
tyrosine-glycine with 238.1 of molecular weight. Male rats were orally
administered with SP-NN (50 or 300mg/kg) and challenged with a cholinotoxin
AF64A. As a result of brain injury and decreased brain acetylcholine level, AF64A
induced astrocytic activation, resulting in impairment of learning and memory
function. Treatment with SP-NN exerted recovering activities on acetylcholine
depletion and brain injury, as well as cognitive deficit induced by AF64A. The
results indicate that, in addition to a neuroprotective activity, the SP-NN
preparation restores cognitive function of Alzheimer disease model rats by
increasing the release of acetylcholine.
PMID- 27871889
TI - Spontaneous prosocial choice by captive bottlenose dolphins, Tursiops truncatus.
AB - Dolphins exhibit prosocial behavior across several different contexts. However,
only a few experimental studies have investigated the psychological mechanisms
underlying this behavior. In this study, we investigated the mechanisms
underlying prosociality in bottlenose dolphins (Tursiops truncatus). In the
experiments, water shower devices, developed as environmental enrichment items,
were used. Two paradigms were used to measure prosociality. The first was the
prosocial choice task, involving the subject typically being offered one choice
between two options. The first option provided a reward (take a shower) to both
the subject and partner (prosocial choice). The second option provided a reward
only to the subject (selfish choice). The second paradigm was the giving
assistance task, involving the subject being provided a choice between providing
instrumental help to the partner (prosocial choice) or doing nothing. It was
observed that the subjects chose the prosocial choices in both paradigms. In
these experiments, prosocial choices were spontaneously taken without requests
from the partners. These results indicated that the dolphins show preference for
other-regarding behavior.
PMID- 27871888
TI - Multidrug and toxin extrusion proteins mediate cellular transport of cadmium.
AB - Cadmium (Cd) is an environmentally prevalent toxicant posing increasing risk to
human health worldwide. As compared to the extensive research in Cd tissue
accumulation, little was known about the elimination of Cd, particularly its
toxic form, Cd ion (Cd2+). In this study, we aimed to examine whether Cd2+ is a
substrate of multidrug and toxin extrusion proteins (MATEs) that are important in
renal xenobiotic elimination. HEK-293 cells overexpressing the human MATE1 (HEK
hMATE1), human MATE2-K (HEK-hMATE2-K) and mouse Mate1 (HEK-mMate1) were used to
study the cellular transport and toxicity of Cd2+. The cells overexpressing MATEs
showed a 2-4 fold increase of Cd2+ uptake that could be blocked by the MATE
inhibitor cimetidine. A saturable transport profile was observed with the
Michaelis-Menten constant (Km) of 130+/-15.8MUM for HEK-hMATE1; 139+/-21.3MUM for
HEK-hMATE2-K; and 88.7+/-13.5MUM for HEK-mMate1, respectively. Cd2+ could inhibit
the uptake of metformin, a substrate of MATE transporters, with the half maximal
inhibitory concentration (IC50) of 97.5+/-6.0MUM, 20.2+/-2.6MUM, and 49.9+/
6.9MUM in HEK-hMATE1, HEK-hMATE2-K, and HEK-mMate1 cells, respectively. In
addition, hMATE1 could transport preloaded Cd2+ out of the HEK-hMATE1 cells, thus
resulting in a significant decrease of Cd2+-induced cytotoxicity. The present
study has provided the first evidence supporting that MATEs transport Cd2+ and
may function as cellular elimination machinery in Cd intoxication.
PMID- 27871890
TI - Respiratory dynamics in phonation and breathing-A real-time MRI study.
AB - The respiratory system is a central part of voice production, but for phonation
neither the underlying functional relations between diaphragm (DPH) and rib cage
(RC), nor differences to normal breathing are yet understood. This study aims to
compare respiratory dynamics in phonation and breathing via dynamic MRI of the
lung. Images of the breathing apparatus of 6 professional singers were captured
in a 1.5T MRI system in supine position during vital capacity breathing and
maximal long sustained phonation at 3 different pitches and loudness conditions.
In a dynamic series of cross-sectional images of the lung, distances between
characteristic anatomical landmarks were measured. During exhalation in normal
breathing the diaphragm and rib cage moved synchronously to reduce lung volume,
but during phonation different functional units could be identified, which
support phonation by facilitating the control of subglottic pressure.
PMID- 27871891
TI - Long-term alcohol exposure elicits hippocampal nonsynaptic epileptiform activity
changes associated with expression and functional changes in NKCC1, KCC2 co
transporters and Na+/K+-ATPase.
AB - Nonsynaptic mechanism changes, particularly the enhancement of NKCC1 expression
in the dentate gyrus (DG) after 4weeks of ethanol consumption, motivate the
present work, in which rats were submitted to a period of chronic consumption
(12weeks). Four groups of six animals (6-week-old male Wistar rats) were formed,
including the control (C), ethanol 1 (E1), ethanol 2 (E2) and ethanol 3 (E3)
groups. The rats in the E1, E2 and E3 groups were treated daily with a 30% v/v
solution of ethanol, administered via oral gavage (1.0, 2.0 and 3.0g/kg,
respectively). Nonsynaptic epileptiform activities (NEA) were induced by means of
the zero-Ca2+ and high-K+ model using hippocampal slices and were recorded in the
DG. The presence of NKCC1, KCC2, alpha1-Na+/K+-ATPase and GFAP immunoreactivity
was analyzed. The results demonstrate that alcohol consumption changes NEA, and
these changes are more prominent at the lower dosage. An increase in the DC
shifts associated with epileptiform discharges was present with the low dose.
This increase was correlated with the increment of NKCC1 expression. Confocal
microscopy images indicate the NKCC1 increase was pronounced in the initial
axonal segment of granule cells. The blockage of these cotransporters during NEA
induction with bumetanide suppressed the DC shift increase and diminished all
parameters of NEA that were quantified for all groups treated with ethanol.
Therefore, the increase in NKCC1 expression and the effective activity of this
cotransporter, which were observed in the treated groups, suggest that drugs that
act for block NKCC1 represent promising strategies for diminishing the effects of
alcohol damage on the brain.
PMID- 27871892
TI - Pso p27, a SERPINB3/B4-derived protein, is most likely a common autoantigen in
chronic inflammatory diseases.
AB - Autoimmune diseases are characterized by chronic inflammatory reactions localized
to an organ or organ-system. They are caused by loss of immunologic tolerance
toward self-antigens, causing formation of autoantibodies that mistakenly attack
their own body. Psoriasis is a chronic inflammatory autoimmune skin disease in
which the underlying molecular mechanisms remain elusive. In this review, we
present evidence accumulated through more than three decades that the serpin
derived protein Pso p27 is an autoantigen in psoriasis and probably also in other
chronic inflammatory diseases. Pso p27 is derived from the serpin molecules
SERPINB3 and SERPINB4 through non-canonical cleavage by mast cell chymase. In
psoriasis, it is exclusively found in skin lesions and not in uninvolved skin.
The serpins are cleaved into three fragments that remain associated as a Pso p27
complex with novel immunogenic properties and increased tendency to form large
aggregates compared to native SERPINB3/B4. The amount of Pso p27 is directly
correlated to disease activity, and through formation of complement activating
immune-complexes, Pso p27 contribute to the inflammation in the skin lesions.
SERPINB3/B4 are expressed in skin fibroblasts and keratinocytes, but normally
absent in mast cells. Overexpression of the serpins may be induced by
inflammation and hypoxia, resulting in mast cell uptake via yet unknown
mechanisms. Here the generation and subsequent release of Pso p27 aggregates may
promote an inflammatory loop that contributes to the chronicity of psoriasis and
other autoimmune diseases.
PMID- 27871894
TI - Optimizing gDNA extraction from fresh frozen meningioma tissue for downstream
genetic analysis.
AB - OBJECTIVE: Meningioma is the most common brain tumor. Genetic mutations in
meningioma that include deletion of the neurofibromatosis type 2 gene, (NF2),
offer diagnostic information on tumor behavior, recurrence and potential response
to treatment. Obtaining high-grade genetic material is critical for accurate,
sensitive and robust molecular testing. Currently, no standardized procedure
exists for extracting gDNA from meningioma, and this problem was addressed in
this report. METHOD: This study compared the yield and quality of extracted gDNA
from patient meningioma specimens using an optimized phenol chloroform method and
two commercial silica column-based extractions kits and tested respective
performances as template in qPCR tests and multiplex ligation-dependent probe
amplification (MLPA) NF2 screening. RESULTS: Mean gDNA yields were comparable for
each method tested; however, phenol chloroform extraction outperformed column
based kits in all other quality assurance metrics examined. Phenol chloroform
extracted gDNA was highly pure, and of a higher fragment size species when
compared to column prepared gDNA. qPCR of GAPDH, B2MG, and RPL37A housekeeping
genes demonstrated variance in cycle thresholds between patient samples was much
lower in the phenol chloroform group. Similarly, primer efficiencies were
significantly improved in this sample group which translated to a broader qPCR
linear dynamic range and much improved qPCR performance at low concentrations of
template. MLPA screening identified NF2 gene deletions in 6 of 12 meningioma
samples. Inconsistencies in copy number data for NF2 and reference regions of the
genome were observed between gDNA sample extraction groups that included both
false negative and positive errors in silica column derived gDNA samples.
CONCLUSIONS: This study outlines a highly robust phenol chloroform extraction
method for obtaining high-quality gDNA from frozen meningioma tissue and
highlights the significance of performing adequate quality assurance when using
gDNA for downstream genetic analysis. Most importantly, we demonstrate using gDNA
extracted with silica column based kits can lead to diagnostic errors when
screening NF2 deletions in meningiomas with MLPA.
PMID- 27871893
TI - Clinical effects of pre-transplant serum 25-hydroxyvitamin D level on post
transplant immunologic and non-immunologic outcomes in kidney transplant
recipients.
AB - BACKGROUND: The aim of this study was to investigate the effects of pre
transplant serum 25-hydroxyvitamin D (25(OH)D) level on non-immunologic and
immunologic aspects of post-transplant clinical outcomes in kidney transplant
recipients (KTRs). METHODS: We investigated 174 KTRs with low immunologic risk at
baseline. We divided the patients into three groups according to baseline serum
25(OH)D level and compared the post-transplant clinical outcomes of acute
rejection, infectious complications, and osteoporosis among the groups. RESULT:
Thirty cases of biopsy-proven acute rejection (BPAR) were detected during the
first year after KT. In the highest tertile, the rate of acute rejection (8.6%)
was significantly lower than that in the lowest tertile (25.4%) (p=0.016), and a
high 25(OH)D level was independently associated with a low incidence of BPAR in
multivariate analysis. In contrast, serum 25(OH)D level did not show a
significant association with overall or any specific type of infectious
complication. Lipid profile, intact parathyroid hormone (PTH) level, and
hemoglobin level were similar among the three tertile groups. The incidence of
osteoporosis and bone mineral density (BMD) score were also similar across all
three groups. CONCLUSIONS: Pre-transplant serum 25(OH)D level is a significant
predictor of acute rejection, but it does not predict infection or metabolic
complications.
PMID- 27871895
TI - Protective effect of lycopene on fluoride-induced ameloblasts apoptosis and
dental fluorosis through oxidative stress-mediated Caspase pathways.
AB - Fluoride is an environmental toxicant and induces dental fluorosis and oxidative
stress. Lycopene (LYC) is an effective antioxidant that is reported to attenuate
fluoride toxicity. To determine the effects of LYC on sodium fluoride (NaF)
induced teeth and ameloblasts toxicity, rats were treated with NaF (10 mg/kg)
and/or LYC (10 mg/kg) by orally administration for 5 weeks; ameloblasts were
treated with NaF (5 mM) and/or LYC (2 MUM) for 6 h. We found that the
concentrations of fluoride, malondialdehyde (MDA) and reactive oxygen species
(ROS), gene expressions and activities of Caspase-9 and Caspase-3, and the gene
expressions of Bax were significantly decreased, while the activities of
superoxide dismutase (SOD) and glutathione peroxidase (GPX), the gene expression
of Bcl-2 were significantly increased in the LYC + NaF-treated rats group;
concentrations of MDA and ROS, gene expressions and activities of Caspase-9 and
Caspase-3, and the gene expression of Bax, and ameloblasts apoptosis rate were
significantly decreased, while the activities of SOD and GPX, the gene expression
of Bcl-2 were significantly increased in the LYC + NaF-treated ameloblasts group.
These results suggest that LYC significantly combated NaF-induced ameloblasts
apoptosis and dental fluorosis by attenuation oxidative stress and down
regulation Caspase pathway.
PMID- 27871896
TI - Gastroprotective and ulcer healing effects of hydroethanolic extract of leaves of
Caryocar coriaceum: Mechanisms involved in the gastroprotective activity.
AB - This work aimed to determine the chemical fingerprint of hydroethanolic extract
of leaves of Caryocar coriaceum (HELCC) and the gastroprotective activity. The
chemical fingerprint of HELCC was analyzed by HPLC-DAD, to quantify total phenols
and flavonoids were carried out by Folin-Ciocalteu reagent and aluminum chloride
assay, while in vitro antioxidant activity was evaluated by the DPPH method. The
methods used to determine pharmacological activity were: gastroprotective
screening test in classical models of induced acute and chronic gastric lesions
and physical barrier test. Further assays were performed to demonstrate the
involvement of NO, prostaglandins, ATP-dependent potassium channels, TRPV,
noradrenergic alpha2 receptors, histamines, and opioids. The DPPH method
demonstrated the antioxidant activity of the extract, in vitro, explained by the
presence of polyphenols and flavonoids. Oral administration of the extract,
previously dissolved in deionized water, at a dose of 100 mg/kg decreased the
lesions induced by indomethacin, acidified ethanol, ethanol and acetic acid by
75.0, 72.8, 69.4 and 86.2% respectively. It was demonstrated that opioid
receptors, alpha2-adrenergic receptors and primary afferent neurons sensitive to
capsaicin were involved in the mechanism of gastric protection, in addition to
the contribution of NO and prostaglandins. The results show that extract is a
promising candidate for the treatment of gastric ulcers.
PMID- 27871897
TI - Sauchinone exerts anticancer effects by targeting AMPK signaling in
hepatocellular carcinoma cells.
AB - Sauchinone is a pharmacologically active compound isolated from Saururus
chinensis, which has been used as a traditional Oriental medicine to treat fever,
jaundice, and various inflammatory diseases. In this study, we investigated the
effect of sauchinone against hepatocellular carcinoma (HCC) and sought to
elucidate the mechanism involved. Cell viability was measured by an MTT assay.
Cell cycle distributions and the mitochondrial membrane potential were analyzed
using flow cytometry. Cell death was analyzed by annexin V assay, 4',6-diamidino
2-phenylindole staining, and terminal deoxynucleotidyl transferase dUTP nick-end
labeling assay. Protein and mRNA levels were assessed by western blot and real
time PCR, respectively. Malignant properties were investigated by a wound healing
migration assay and invasion assay. Sauchinone suppressed the proliferation of
human HCC cells in a dose-dependent manner. Moreover, it induced the G0/G1 phase
cell cycle arrest and mitochondrial dysfunction and then triggered the apoptosis
by activating the JNK/p38 pathway in Huh-7 cells. In addition, sauchinone induced
the activation of the AMP-activated protein kinase (AMPK) pathway, and compound C
(an AMPK inhibitor) blocked the sauchinone-induced mitochondrial dysfunction. The
AMPK activation by sauchinone inhibited the phosphorylation of the mammalian
target of rapamycin (mTOR) and its downstream targets, such as ribosomal protein
S6 kinase 1 and eIF4E-binding protein 1. Furthermore, sauchinone attenuated key
proangiogenic factors, including hypoxia-inducible factor-1alpha, vascular
endothelial growth factor, and plasminogen activator inhibitor-1, resulting in
decreased migration and invasion of HCC cells. These results provide evidence for
sauchinone to be considered as a potent anticancer agent by targeting of the AMPK
mTOR pathway in HCC.
PMID- 27871899
TI - Balkan Endemic Nephropathy - Still continuing enigma, risk assessment and
underestimated hazard of joint mycotoxin exposure of animals or humans.
AB - The spreading of mycotoxic nephropathy in animals/humans was studied. The
possible etiological causes provoking this nephropathy were carefully reviewed
and analyzed. The natural content of the most frequent nephrotoxic mycotoxins in
target feedstuffs/foods were investigated, in addition to their significance for
development of renal damages in endemic areas. An estimation of the level of
exposure of humans to the nephrotoxic mycotoxin, ochratoxin A (OTA), is made. The
possible synergism or additive effects between some target mycotoxins in the
development of nephropathy is also covered. The significance of joint mycotoxin
interaction and masked mycotoxins, in addition to some newly isolated fungal
toxic agents in the complicated etiology of mycotoxic nephropathy ranged in
Balkan countries is discussed. The importance of some target fungal species which
can induce kidney damages was evaluated. The morphological/ultrastructural,
functional and toxicological similarities between human and animal nephropathy
are studied. The possible hazard of low content of combinations of some target
mycotoxins in food or feedstuff ingested by pigs, chickens or humans under
natural conditions is evaluated and a risk assessment was made. Some different
but more effective manners of prophylaxis and/or prevention against OTA
contamination of feedstuffs/foods are suggested. A survey was made in regard to
the best possible ways of veterinary hygiene control of OTA-exposed animals at
slaughter time for preventing the entrance of OTA in commercial feedstuffs/food
channels with a view to reduce the possible health hazard for humans. The
economic efficacy and applicability of such preventive measures is additionally
discussed and some practical suggestions are made.
PMID- 27871898
TI - The cannabinoid beta-caryophyllene (BCP) induces neuritogenesis in PC12 cells by
a cannabinoid-receptor-independent mechanism.
AB - Beta-caryophyllene (BCP) is a phytocannabinoid whose neuroprotective activity has
been mainly associated with selective activation of cannabinoid-type-2 (CB2)
receptors, inhibition of microglial activation and decrease of inflammation.
Here, we addressed the potential of BCP to induce neuritogenesis in PC12 cells, a
model system for primary neuronal cells that express trkA receptors, respond to
NGF and do not express CB2 receptors. We demonstrated that BCP increases the
survival and activates the NGF-specific receptor trkA in NGF-deprived PC12 cells,
without increasing the expression of NGF itself. The neuritogenic effect of BCP
in PC12 cells was abolished by k252a, an inhibitor of the NGF-specific receptor
trkA. Accordingly, BCP did not induce neuritogenesis in SH-SY5Y neuroblastoma
cells, a neuronal model that does not express trkA receptors and do not respond
to NGF. Additionally, we demonstrated that BCP increases the expression of axonal
plasticity-associated proteins (GAP-43, synapsin and synaptophysin) in PC12
cells. It is known that these proteins are up-regulated by NGF in neurons and
neuron-like cells, such as PC12 cells. Altogether, these findings suggest that
BCP activates trka receptors and induces neuritogenesis by a mechanism
independent of NGF or cannabinoid receptors. This is the first study to show such
effects of BCP and their beneficial role in neurodegenerative processes should be
further investigated.
PMID- 27871900
TI - Insulin/adenosine axis linked signalling.
AB - Regulation of blood flow depends on systemic and local release of vasoactive
molecules such as insulin and adenosine. These molecules cause vasodilation by
activation of plasma membrane receptors at the vascular endothelium. Adenosine
activates at least four subtypes of adenosine receptors (A1AR, A2AAR, A2BAR,
A3AR), of which A2AAR and A2BAR activation leads to increased cAMP level,
generation of nitric oxide, and relaxation of the underlying smooth muscle cell
layer. Vasodilation caused by adenosine also depends on plasma membrane
hyperpolarization due to either activation of intermediate-conductance Ca2+
activated K+ channels in vascular smooth muscle or activation of ATP-activated K+
channels in the endothelium. Adenosine also causes vasoconstriction via a
mechanism involving A1AR activation resulting in lower cAMP level and increased
thromboxane release. Insulin has also a dual effect causing NO-dependent
vasodilation, but also sympathetic activity- and increased endothelin 1 release
dependent vasoconstriction. Interestingly, insulin effects require or are
increased by activation or inactivation of adenosine receptors. This is
phenomenon described for d-glucose and l-arginine transport where A2AAR and A2BAR
play a major role. Other studies show that A1AR activation could reduce insulin
release from pancreatic beta-cells. Whether adenosine modulation of insulin
biological effect is a phenomenon that depends on co-localization of adenosine
receptors and insulin receptors, and adenosine plasma membrane transporters is
something still unclear. This review summarizes findings addressing potential
involvement of adenosine receptors to modulate insulin effect via insulin
receptors with emphasis in the human vasculature.
PMID- 27871901
TI - The immunomodulation of a maternal translationally controlled tumor protein
(TCTP) in Zhikong scallop Chlamys farreri.
AB - Translationally controlled tumor protein (TCTP) is initially described as a
highly conserved protein implicated in cell growth, and it is subsequently
confirmed to play important roles in mediating the innate immune response,
especially the inflammatory. In the present study, the full-length cDNA sequence
of a TCTP from Zhikong scallop Chlamys farreri (designed as CfTCTP) was cloned by
rapid amplification of cDNA ends (RACE) technique based on the expression
sequence tag (EST) analysis. It was of 1230 bp with an open reading frame (ORF)
of 543 bp encoding a polypeptide of 180 amino acids. The deduced amino acid
sequence contained a conserved TCTP signature sequence (from I47 to E58) and it
shared 26.1%-48.9% similarities with previously identified TCTPs. CfTCTP was
clustered with the TCTP from Argopectehs irradias in the phylogenetic tree and
was designated into a single branch of mollusk with TCTP from Ruditapes
philippinarum. The mRNA transcripts of CfTCTP were constitutively expressed in
all the tested tissues, including haemocytes, muscle, mantle, gill,
hepatopancreas, kidney and gonad, with the highest expression level in
hepatopancreas. The mRNA expression level of CfTCTP in oocytes and fertilized
eggs kept at a higher level, and was down-regulated from 2-cell embryos to the
lowest level in gastrula. Then it was up-regulated in trochophore and dropped
down in the late veliger larvae to the similar level as that in oocytes. After
pathogen-associated molecular patterns (PAMPs) stimulation, the expression of
CfTCTP mRNA in haemocytes was increased at 3 or 6 h, and fall down to the normal
level at 24 h. The recombinant protein of CfTCTP could induce the release of
histamine from BT-549 cells. All these results indicated that CfTCTP was a pro
inflammatory factor and it could be maternally transferred from female gonad to
oocytes and offspring, and play pivotal role in the embryonic development and
immune protection of scallops.
PMID- 27871903
TI - Review of preclinical studies on pediatric general anesthesia-induced
developmental neurotoxicity.
AB - Thousands of infants and children undergo complicated surgical procedures that
require prolonged periods of anesthesia and/or sedation each year. A growing body
of preclinical research suggests pediatric anesthetics are harmful to the
developing brain; yet, the extent to which these effects generalize to the
clinical setting remains unclear. As there will be a continuing need for surgical
and other interventions requiring sedation and/or anesthesia during the neonatal
period, it seems clear that research efforts should focus on determining the
extent to which general anesthetics can affect the developing brain as well as
determining strategies for preventing or ameliorating the adverse effects
associated with exposure to such agents. The purpose of this paper is to provide
a review of the preclinical literature examining the effects of general
anesthesia on brain and behavioral development. This paper will detail the
effects of different anesthetic agents on various indices of neurotoxicity and
functional outcomes as well as provide a review of potential protective compounds
and suggestions for areas of future research.
PMID- 27871904
TI - Steroidogenic effect of Erxian decoction for relieving menopause via the p
Akt/PKB pathway in vitro and in vivo.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Erxian decoction (EXD), an empirical Chinese
medicine formula, is effectively used in the clinical treatment of menopause
related symptoms in China. Previous data from our group show that EXD has
steroidogenic effect on natural menopausal Sprague-Dawley-rats (SD-rats) as an
animal model of menopause. However, the mechanistic studies on steroidogenic
effects of EXD are still inadequate. Hence, the mechanisms of steroidogenic
effects of EXD were studied in vitro and in vivo in this study. MATERIALS AND
METHODS: Menopause causes a decline of endocrine function and a series of
symptoms. In this study, 16-20-month-old female SD rats with a low serum
estradiol level were employed. Their endocrine functions after treatment with EXD
(4.1g/kg) were assessed by determination of their serum estradiol level. Proteins
involved in the steroidogenic pathway including StAR, 17betaHSD, 3betaHSD,
aromatase, and activation of phosphorylated Protein Kinase B (p-Akt/PKB), as well
as estradiol receptor proteins (ERalpha & ERbeta) after EXD treatment were
analyzed. Kinase inhibition assay was conducted to confirm the mechanism of
steroidogenic effects of EXD in vitro. MCF-7 and BT-483 cells were used to
investigate whether EXD stimulated breast cancer cell proliferation. RESULTS:
Results revealed a significantly ameliorated serum estradiol level, and a
significantly increased expression of ovarian aromatase and PKB in the EXD
treated rats. EXD attenuated 17beta-estradiol stimulated proliferation of breast
cancer cells. CONCLUSIONS: The results obtained from immunoblotting and
measurements of serum estradiol level of the present investigation revealed that
EXD may relieve the menopausal syndrome through an upregulation of ovarian
aromatase and p-PKB expression without stimulating the growth of breast cancer
cells.
PMID- 27871905
TI - The behavioural and neuroprotective outcomes when 670nm and 810nm near infrared
light are applied together in MPTP-treated mice.
AB - We have shown previously that when applied separately, 670nm and 810nm near
infrared light (NIr) reduces behavioural deficits and offers neuroprotection in a
MPTP (1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine) mouse model of Parkinson's
disease. Here, we explored the beneficial outcomes when these NIr wavelengths
were applied both together, either concurrently (at the same time) or
sequentially (one after the other). Mice received MPTP injections (total of
50mg/kg) and had extracranial application of 670nm and/or 810nm NIr. Behavioural
activity was tested with an open-field test and brains were processed for
tyrosine hydroxylase immunohistochemistry and stereology. Our results showed that
when 670nm and 810nm NIr were applied both together and sequentially, there was a
greater overall beneficial outcome - increased locomotor activity and number of
tyrosine hydroxylase immunoreactive cells in the substantia nigra pars compacta -
than when they were applied either separately, or in particular, both together
and concurrently. In summary, our findings have important implications for future
use of NIr therapy in humans, that there are some combinations of wavelengths
that provide more beneficial outcome than others.
PMID- 27871902
TI - The effects of long-term estradiol treatment on social behavior and gene
expression in adult female rats.
AB - This study tested the effects of long-term estradiol (E2) replacement on social
behavior and gene expression in brain nuclei involved in the regulation of these
social behaviors in adult female rats. We developed an ultrasonic vocalization
(USV) test and a sociability test to examine communications, social interactions,
and social preference, using young adult female cagemates. All rats were
ovariectomized (OVX) and implanted with a Silastic capsule containing E2 or
vehicle, and housed in same-treatment pairs for a 3-month period. Then, rats were
behaviorally tested, euthanized, and 5 nuclei in the brain's social decision
making circuit were selected for neuromolecular profiling by a multiplex qPCR
method. Our novel USV test proved to be a robust tool to measure numbers and
types of calls emitted by cagemates that had been reintroduced after a 1-week
separation. Results also showed that E2-treated OVX rats had profoundly decreased
numbers of USV calls compared to vehicle-treated OVX rats. In a test of
sociability, in which a female was allowed to choose between her cagemate or a
same-treatment novel rat, we found few effects of E2 compared to vehicle,
although interestingly, rats chose the cagemate over an unfamiliar conspecific.
Gene expression results revealed that the supraoptic nucleus had the greatest
number of gene changes caused by E2: Oxt, Oxtr and Avp were increased, and Drd2,
Htr1a, Grin2b, and Gabbr1 were decreased, by E2. No genes were affected in the
prefrontal cortex, and 1-4 genes were changed in paraventricular nucleus (Pgr),
bed nucleus of the stria terminalis (Oxtr, Esr2, Dnmt3a), and medial amygdala
(Oxtr, Ar, Foxp1, Tac3). Thus, E2 changes communicative interactions between
adult female rats, together with selected expression of genes in the brain,
especially in the supraoptic nucleus.
PMID- 27871906
TI - Adenosine, but not guanosine, protects vaginal epithelial cells from Trichomonas
vaginalis cytotoxicity.
AB - Trichomonas vaginalis causes the most common non-viral sexually transmitted
disease worldwide. The cytoadherence and cytotoxicity upon the vaginal epithelial
cells are crucial for the infection. Extracellular nucleotides are released
during cell damage and, along with their nucleosides, can activate purinoceptors.
The opposing effects of nucleotides versus nucleosides are regulated by
ectonucleotidases. Herein we evaluated the hemolysis and cytolysis induced by T.
vaginalis, as well as the extracellular nucleotide hydrolysis along with the
effects mediated by nucleotides and nucleosides on cytotoxicity. In addition, the
gene expression of purinoceptors in host cells was determined. The hemolysis and
cytolysis exerted by all T. vaginalis isolates presented positive Pearson
correlation. All T. vaginalis isolates were able to hydrolyze nucleotides,
showing higher NTPDase than ecto-5'-nucleotidase activity. The most cytotoxic
isolate, TV-LACM6, hydrolyzes ATP, GTP with more efficiency than AMP and GMP. The
vaginal epithelial cell line (HMVII) expressed the genes for all subtypes of P1,
P2X and P2Y receptors. Finally, when nucleotides and nucleosides were tested, the
cytotoxic effect elicited by TV-LACM6 was increased with nucleotides. In
contrast, the cytotoxicity was reversed by adenosine in presence of EHNA, but not
by guanosine, contributing to the understanding of the purinergic signaling role
on T. vaginalis cytotoxicity.
PMID- 27871907
TI - Prognostic value of T786C and G894T eNOS polymorphisms in sickle cell disease.
AB - Endothelial Nitric Oxide Synthase (eNOS) is crucial for vascular homeostasis.
Polymorphisms T786C and G894T affect eNOS regulation and have been related to
various diseases. Sickle Cell Disease (SCD), a clinically diverse chronic
hemolytic anemia, implies impaired nitric oxide bioavailability. Our aim was to
determine eNOS genotype for T786C and G894T polymorphisms in Greek patients with
SCD and to elucidate its consequences and effects if any on clinical phenotype.
Seventy nine steady state cases, mostly compound heterozygous for Sickle Cell
anemia/beta thalassemia and 48 controls were measured. Peripheral blood DNA was
extracted and genotyped with PCR-RFLPs and Sanger sequencing. Total RNA was
extracted from 18 patients and 9 controls and eNOS mRNA levels were determined by
real-time PCR. Genotypes, allele distribution and eNOS mRNA levels did not differ
between patients and controls, or among patients with different beta globin gene
mutations. The 786CC genotype was more common in S/S and beta0/S patients with
retinopathy. Moreover, 894TT S/S and beta0/S patients tended to have a higher
hematocrit than 894GG and GT ones. However, the T786C eNOS genotype does not seem
to affect peripheral blood cell-derived eNOS mRNA levels, at least in steady
state conditions. This work is the first one describing the effects of eNOS
polymorphisms on different forms of SCD, the first enrolling SCD patients of
Caucasian origin and the first determining eNOS mRNA levels in peripheral blood
from steady-state SCD patients.
PMID- 27871908
TI - Acetylated deoxycholic (DCA) and cholic (CA) acids are potent ligands of pregnane
X (PXR) receptor.
AB - The Pregnane X (PXR), Vitamin D (VDR) and Farnesoid X (FXR) nuclear receptors
have been shown to be receptors of bile acids controlling their detoxification or
synthesis. Chenodeoxycholic (CDCA) and lithocholic (LCA) acids are ligands of FXR
and VDR, respectively, whereas 3-keto and acetylated derivates of LCA have been
described as ligands for all three receptors. In this study, we hypothesized that
oxidation or acetylation at position 3, 7 and 12 of bile acids DCA (deoxycholic
acid), LCA, CA (cholic acid), and CDCA by detoxification enzymes or microbiome
may have an effect on the interactions with bile acid nuclear receptors. We
employed reporter gene assays in HepG2 cells, the TR-FRET assay with recombinant
PXR and RT-PCR to study the effects of acetylated and keto bile acids on the
nuclear receptors activation and their target gene expression in differentiated
hepatic HepaRG cells. We demonstrate that the DCA 3,12-diacetate and CA 3,7,12
triacetate derivatives are ligands of PXR and DCA 3,12-diacetate induces PXR
target genes such as CYP3A4, CYP2B6 and ABCB1/MDR1. In conclusion, we found that
acetylated DCA and CA are potent ligands of PXR. Whether the acetylated bile acid
derivatives are novel endogenous ligands of PXR with detoxification or
physiological functions should be further studied in ongoing experiments.
PMID- 27871909
TI - Histone deacetylase inhibition enhances in-vivo bone regeneration induced by
human periodontal ligament cells.
AB - : Periodontal ligament cells have the potential to differentiate into bone
forming osteoblasts and thus represent a good cellular candidate for bone
regeneration. This study aimed to investigate the effect of inhibition of histone
deacetylases, using the inhibitor Trichostatin A (TSA), on bone regeneration by
human periodontal ligament cells (hPDLCs) in a mouse calvaria bone defect.
METHODS: RUNX2 protein and its acetylation was analyzed by immunoprecipitation
and western blotting. The effect of TSA on osteogenic differentiation of hPDLCs
was investigated using in vitro 3D cultures. hPDLCs were pre-incubated with and
without TSA and implanted in mouse calvaria defects with
polycaprolactone/polyethylene glycol (PCL/PEG) co-polymer scaffold. Micro-CT
scanning and bone histomorphometric analysis were used to quantify the amount of
bone. Survival of hPDLCs as xenogenic grafts was verified by immunohistochemistry
with anti-human beta1-integrin. The immunological response of mice against hPDLCs
xenografts was evaluated by measuring total IgG and hPDLCs-specific IgG. RESULTS:
Beside affecting histone protein, TSA also induced hyper-acetylation of RUNX2
which might be a crucial mechanism for enhancing osteogenesis by hPDLCs. TSA
enhanced mineral deposition by hPDLCs in in vitro 3D cultures and had no effect
on cell viability. In vivo bone regeneration of mouse calvaria defects was
significantly enhanced by TSA pre-treated hPDLCs. By using anti-human beta1
integrin hPDLCs were shown to differentiate into osteocyte-like cells that were
present in newly formed bone. hPDLCs, as a xenograft, slightly but not
significantly induced an immunological response in recipient mice as demonstrated
by the level of total IgG and hPDLCs-specific IgG. CONCLUSION: Inhibition of
histone deacetylases by TSA enhanced in vivo bone regeneration by hPDLCs. The
data strongly suggest a novel approach to regenerate bone tissue.
PMID- 27871911
TI - In vitro and in vivo anti-inflammatory effects of theaflavin-3,3'-digallate on
lipopolysaccharide-induced inflammation.
AB - Inflammation is a defensive response against various harmful stimuli and stress
conditions, such as tissue injury and one of the most common pathological
processes occurring in human diseases. Theaflavin-3,3'-digallate, one of the
theaflavins present in black tea, exhibits several bioactive properties,
including the ability to lower the incidence of coronary heart disease, a
positive effect on the bone mineral density, and the ability to prevent cancer.
The aim of this study was to evaluate whether theaflavin-3,3'-digallate could
reduce the production of pro-inflammatory cytokines in vivo and in vitro and
ameliorate acute lung injury (ALI) in a mouse model. In this study, we
demonstrated that theaflavin-3,3'-digallate suppressed the lipopolysaccharide
(LPS)-induced phosphorylation of c-Jun N-terminal kinase and p38 mitogen
activated protein kinase in RAW 264.7 macrophages. In addition, we also showed
that theaflavin-3,3'-digallate inhibited the expression of tumor necrosis factor
alpha, interleukin -1 beta, and interleukin 6 in phorbol myristate acetate
primed U937 and RAW 264.7 cells. Furthermore, theaflavin-3,3'-digallate treatment
attenuated the severity of LPS-induced ALI in mice. These results suggested that
theaflavin-3,3'-digallate might be a potential therapeutic candidate for the
treatment of inflammation and inflammatory diseases.
PMID- 27871910
TI - Pharmacological studies on the NOP and opioid receptor agonist PWT2-[Dmt1]N/OFQ(1
13).
AB - An innovative chemical strategy named peptide welding technology (PWT) has been
developed for the facile synthesis of tetrabranched peptides. [Dmt1]N/OFQ(1-13)
NH2 acts as a universal agonist for nociceptin/orphanin FQ (N/OFQ) and classical
opioid receptors. The present study investigated the pharmacological profile of
the PWT derivative of [Dmt1]N/OFQ(1-13)NH2 (PWT2-[Dmt1]) in several assays in
vitro and in vivo after spinal administration in monkeys subjected to the tail
withdrawal assay. PWT2-[Dmt1] mimicked the effects of [Dmt1]N/OFQ(1-13)-NH2
displaying full agonist activity, similar affinity/potency and selectivity at
human recombinant N/OFQ (NOP) and opioid receptors in receptor binding,
stimulation of [35S]GTPgammaS binding, calcium mobilization in cells expressing
chimeric G proteins, and BRET studies for measuring receptor/G-protein and
receptor/beta-arrestin 2 interaction. In vivo in monkeys PWT2-[Dmt1] elicited
dose-dependent and robust antinociceptive effects being more potent and longer
lasting than [Dmt1]N/OFQ(1-13)-NH2. The analgesic action of PWT2-[Dmt1] was
sensitive to the NOP receptor antagonist J-113397, but not naltrexone. Thus, the
present study demonstrated that the tetrabranched derivative of [Dmt1]N/OFQ(1-13)
NH2 obtained with the PWT technology maintains the in vitro pharmacological
profile of the parent peptide but displays higher potency and longer lasting
action in vivo.
PMID- 27871912
TI - The modulation of delta responses in the interaction of brightness and emotion.
AB - The modulation of delta oscillations (0.5-3.5Hz) by emotional stimuli is
reported. Physical attributes such as color, brightness and spatial frequency of
emotional visual stimuli have crucial effect on the perception of complex scene.
Brightness is intimately related with emotional valence. Here we explored the
effect of brightness on delta oscillatory responses upon presentation of
pleasant, unpleasant and neutral pictures. We found that bright unpleasant
pictures elicited lower amplitude of delta response than original unpleasant
pictures. The electrophysiological finding of the study was in accordance with
behavioral data. These results denoted the importance of delta responses on the
examination of the association between perceptual and conceptual processes while
in the question of brightness and emotion.
PMID- 27871914
TI - Neonatal levels of adiponectin, interleukin-10 and interleukin-12 are associated
with the risk of developing type 1 diabetes in childhood and adolescence: A
nationwide Danish case-control study.
AB - BACKGROUND/AIM: An in-depth understanding of the early phase of type 1 diabetes
(T1D) pathogenesis is important for targeting primary prevention. We examined if
14 preselected mediators of immune responses differed in neonates that later
developed T1D compared to control neonates. METHODS: The study is a case-control
study with a 1:2 matching. The individuals were born between 1981 through 2002.
Cases were validated using the National Patient Register and the Danish Childhood
Diabetes Register. Interleukin(IL)-1beta, IL-4, IL-6, IL-8, IL-10, IL-12p70,
interferon gamma, tumor necrosis factor alpha, transforming growth factor beta 1
(active form), leptin, adiponectin, c-reactive protein, mannose-binding lectin
and soluble triggering receptor expressed on myeloid cells-1 were measured by
using a flowmetric Luminex xMAP(r) technology. We tested two models both
including a number of possible confounders. In the first model (model 1) we also
adjusted for HLA-DQB1 genotype. A total of 1930 groups of assay-matched cases and
controls (4746 individuals) were included in the statistical analyses. RESULTS:
Adiponectin was negatively associated with later risk of T1D in both models
(relative change (RC), model 1: 0.95, P=0.046 and model 2: 0.95, P=0.006). IL-10
and IL-12 were both positively associated with T1D risk in the model 2 (RC, 1.19,
P=0.006 and 1.07, P=0.02, respectively)-these results were borderline significant
in model 1, but showed the same direction as the results from model 2.
CONCLUSIONS: Our results indicate that specific immunological signatures are
already present at time of birth in children developing T1D before the age of
18years.
PMID- 27871913
TI - What can time-frequency and phase coherence measures tell us about the genetic
basis of P3 amplitude?
AB - In a recent comprehensive investigation, we largely failed to identify
significant genetic markers associated with P3 amplitude or to corroborate
previous associations between P3 and specific single nucleotide polymorphisms
(SNPs) or genes. In the present study we extended this line of investigation to
examine time-frequency (TF) activity and intertrial phase coherence (ITPC) in the
P3 time window, both of which are associated with P3 amplitude. Previous genome
wide research has reported associations between P3-related theta and delta
activity and individual genetic variants. A large, population-based sample of
4211 subjects, comprising male and female adolescent twins and their parents, was
genotyped for 527,828 single nucleotide polymorphisms (SNPs), from which over six
million SNPs were accurately imputed. Heritability estimates were greater for TF
energy than ITPC, whether based on biometric models or the combined influence of
all measured SNPs (derived from genome-wide complex trait analysis). The
magnitude of overlap in the specific SNPs associated with delta energy and ITPC
and P3 amplitude was significant. A genome-wide analysis of all SNPs, accompanied
by an analysis of approximately 17,600 genes, indicated a region of chromosome 2
around TEKT4 that was significantly associated with theta ITPC. Analysis of
candidate SNPs and genes previously reported to be associated with P3 or related
phenotypes yielded one association surviving correction for multiple tests:
between theta energy and CRHR1. However, we did not obtain significant
associations for SNPs implicated in previous genome-wide studies of TF measures.
Identifying specific genetic variants associated with P3 amplitude remains a
challenge.
PMID- 27871915
TI - Inhibition of siglec-1 by lentivirus mediated small interfering RNA attenuates
atherogenesis in apoE-deficient mice.
AB - BACKGROUND: Siglec-1 is highly expressed on circulating monocytes and plaque
macrophages in atherosclerotic patients, but the exact role of Siglec-1 in
atherosclerosis has not been elucidated. METHODS: Lentiviral vector containing
small interfering RNA targeting Siglec-1 (Lv-shSiglec-1) or control vector (Lv
shNC) were injected intravenously into 6-week old Apoe-/- mice. Then onset of
atherosclerosis was observed. RESULTS: Siglec-1 was highly expressed in aortic
plaques and it can be down-regulated by Lv-shSiglec-1 injection. The plaque area
and serum pro-inflammatory cytokine (IL-1beta, IL-6, TNF-alpha and IL-17A) levels
in Lv-shSiglec-1 mice were significantly lower than Lv-shNC mice, whereas IL-10
was higher. Moreover, plaque macrophages accumulation in aortic wall in Lv
shSiglec-1 mice was diminish, partly by decreased secretion of MCP-1/CXCL2 and
CCR2/CXCR2 of aortas and monocytes, respectively. Furthermore, silencing of
Siglec-1 can attenuate oxLDL uptake by peritoneal macrophages. CONCLUSIONS:
Inhibition of Siglec-1 can prevent atherosclerotic lesion formation by suppress
monocytes-endothelial cells adhesion and macrophages accumulation.
PMID- 27871917
TI - Gonococcal Conjunctivitis: A Case Report of an Unusual Mode of Transmission.
AB - BACKGROUND: Outside of the newborn period, development of gonococcal
conjunctivitis is rare and predominantly occurs through autoinoculation. We
report an unusual case of gonococcal conjunctivitis in a young woman exposed
through direct inoculation. CASE: A 19-year-old woman presented with purulent
ocular discharge, severe pain, and decreased vision unresponsive to topical
antibiotics or ganciclovir approximately 3 weeks after accidental ocular exposure
to seminal fluid during unprotected oral sex. Cultured ocular drainage grew
Neisseria gonorrhea; vaginal and throat cultures were negative. She was
successfully treated with ceftriaxone and doxycycline for 10 days. SUMMARY AND
CONCLUSION: Clinicians should be aware of the potential for vision-threatening
gonococcal conjunctivitis from exposure during sexual contact.
PMID- 27871916
TI - Association of neurobehavioral performance with R2* in the caudate nucleus of
asymptomatic welders.
AB - OBJECTIVES: Welding fumes contain several metals including manganese (Mn) and
iron (Fe) that may affect the nervous system. Previous studies of potential
welding-related neurotoxicity have focused primarily on Mn exposure. The current
study examined neurobehavioral and brain imaging changes in asymptomatic welders
and their associations with both Mn and Fe exposure measurements. METHODS: Data
were obtained from subjects with (n=46) and without (controls; n=31) a history of
welding exposure. Occupational questionnaires estimated recent (HrsW; welding
hours and E90; cumulative exposure, past 90days) and lifetime (YrsW; total
welding years and ELT; cumulative exposure, lifetime) exposure. Brain MRI
pallidal index (PI), R1 (1/T1), and R2* (1/T2*) were measured to estimate Mn and
Fe concentrations in the basal ganglia [caudate nucleus (CN), putamen, and globus
pallidus], amygdala, and hippocampus. Comprehensive neuropsychological tests were
conducted to examine behavioral differences between welders and controls.
Correlation analyses were conducted between neuropsychological tests and those
exposure measurements that showed significant group differences. RESULTS:
Compared to controls, welders had significantly higher R2* in the CN and lower
performance on the Phonemic Fluency test. Correlation analyses revealed that
welders' Phonemic Fluency scores were inversely associated with R2* in the CN,
but not with the PI or R1 in any brain region of interest studied. DISCUSSION:
The results showed that neurobehavioral performance for the asymptomatic welders
in our study was worse than individuals who had not welded, and suggest the
differences may be associated with higher Fe accumulation in the CN.
PMID- 27871918
TI - The Effect of Interprofessional Student-Led Reproductive Health Education on
Youths in Juvenile Detention.
AB - STUDY OBJECTIVE: To assess the effects of an interprofessional student-led
comprehensive sexual education curriculum in improving the reproductive health
literacy among at-risk youths in detention. DESIGN, SETTING, AND PARTICIPANTS: We
performed a prospective cohort study involving 134 incarcerated youth and an
interprofessional team of 23 medical, nursing, and social work students, who
participated in a comprehensive reproductive health curriculum over the course of
3 days. INTERVENTIONS, AND MAIN OUTCOME MEASURES: Basic reproductive health
knowledge, confidence in condom use with a new partner, and self-efficacy with
regard to contraception use and sexual autonomy were assessed before and after
completion of the curriculum. We also assessed the student teachers' level of
comfort with teaching reproductive health to adolescents and their perception of
interprofessionalism. RESULTS: Incarcerated youth showed a statistically
significant increase in knowledge regarding sexually transmitted infections as
well as self-reported confidence in condom use (P = .002). Self-efficacy in
contraception use and sexual autonomy did not show significant improvement.
Qualitative analysis of student teachers' surveys revealed theme categories
regarding perception of youth, perception of self in teaching youth, perception
of interacting with youth, and perception of working in interprofessional teams.
CONCLUSIONS: Our program might represent a mutually beneficial community
relationship to improve reproductive health literacy in this high-risk youth
population.
PMID- 27871919
TI - Motivational Interviewing to Promote Long-Acting Reversible Contraception in
Postpartum Teenagers.
AB - STUDY OBJECTIVE: To determine if teenage patients receiving prenatal care in an
adolescent-focused clinic, emphasizing long-acting reversible contraception
(LARC) using motivational interviewing techniques, had higher rates of uptake of
postpartum LARC than a control group. DESIGN AND SETTING: Retrospective cohort
study comparing young women who received prenatal care in an adolescent-focused
setting with those enrolled in standard prenatal care. PARTICIPANTS: Adolescents
between the ages of 13 and 17 years receiving prenatal care within the Maricopa
Integrated Health safety-net system between 2007 and 2014. INTERVENTIONS:
Motivational interviewing within the context of adolescent-focused prenatal care.
MAIN OUTCOME MEASURES: Rates of uptake of LARC within 13 postpartum weeks.
RESULTS: The adjusted rate of LARC for adolescent-focused prenatal care
participants by 13 weeks postpartum was 38% (95% confidence interval [CI], 29%
47%) compared with 18% (95% CI, 11%-28%) for standard care participants, with an
adjusted odds ratio of LARC use of 2.8 (95% CI, 1.5-5.2). Among patients who
received adolescent-focused prenatal care, most (27% vs 12.7%) were using an
intrauterine device as opposed to an implantable contraceptive device.
CONCLUSION: Participation in an adolescent-focused antepartum setting using
motivational interviewing to emphasize postpartum LARC resulted in nearly 3 times
higher rates of uptake compared with standard prenatal care.
PMID- 27871920
TI - Integrating Long-Acting Reversible Contraception Services into New York City
School-Based Health Centers: Quality Improvement to Ensure Provision of Youth
Friendly Services.
AB - STUDY OBJECTIVE, DESIGN, AND SETTING: Adolescents face barriers to accessing
youth-friendly family planning services, specifically long-acting reversible
contraception (LARC). School-based health centers (SBHCs) can provide youth
friendly care. A quality improvement project was undertaken to assess quality of
care before, during, and after LARC services at 3 SBHCs, and to identify specific
strategies for improving these LARC services. PARTICIPANTS, INTERVENTIONS, AND
MAIN OUTCOME MEASURES: We interviewed 18 female adolescents who received LARC
services. Two independent reviewers coded deidentified verbatim transcripts;
discrepancies were resolved by consensus with a third reviewer. A guide of themes
was structured corresponding to Ambresin's domains of youth-friendly services.
From these domains, we identified emerging themes using grounded theory, with a
focus on practical suggestions for improving LARC services in SBHCs. RESULTS:
Interviewees ranged in age from 15 to 19 (average: 17) years. Most had insertions
(12 levonorgestrel intrauterine system (LNG-IUS); Mirena(r)), 1 copper
intrauterine device (Paragard(r)), 5 contraceptive implant (Nexplanon(r)).
Overall, participants were highly satisfied with SBHC LARC services. Within the
domain of communication, 2 key themes emerged: balancing need for information
with concerns about being overwhelmed by information; and interest in information
that directly addresses misconceptions about LARCs. Suggested strategies included
providing postprocedure "care packages" with information and supplies, and
supporting a peer-based network of adolescent LARC users and previous patients to
serve as a resource for new patients. CONCLUSION: This quality improvement
project, conducted in a unique setting, gave adolescents a voice. The identified
strategies for improving health education, social support, and outreach might be
generalizable to other SBHCs. Future research could explore the effect of
implementing these suggested strategies on reproductive health care use and
outcomes at SBHCs.
PMID- 27871921
TI - EEG frequency tagging using ultra-slow periodic heat stimulation of the skin
reveals cortical activity specifically related to C fiber thermonociceptors.
AB - The recording of event-related brain potentials triggered by a transient heat
stimulus is used extensively to study nociception and diagnose lesions or
dysfunctions of the nociceptive system in humans. However, these responses are
related exclusively to the activation of a specific subclass of nociceptive
afferents: quickly-adapting thermonociceptors. In fact, except if the activation
of Adelta fibers is avoided or if A fibers are blocked, these responses
specifically reflect activity triggered by the activation of Type 2 quickly
adapting A fiber mechano-heat nociceptors (AMH-2). Here, we propose a novel
method to isolate, in the human electroencephalogram (EEG), cortical activity
related to the sustained periodic activation of heat-sensitive thermonociceptors,
using very slow (0.2Hz) and long-lasting (75s) sinusoidal heat stimulation of the
skin between baseline and 50 degrees C. In a first experiment, we show that when
such long-lasting thermal stimuli are applied to the hand dorsum of healthy
volunteers, the slow rises and decreases of skin temperature elicit a consistent
periodic EEG response at 0.2Hz and its harmonics, as well as a periodic
modulation of the magnitude of theta, alpha and beta band EEG oscillations. In a
second experiment, we demonstrate using an A fiber block that these EEG responses
are predominantly conveyed by unmyelinated C fiber nociceptors. The proposed
approach constitutes a novel mean to study C fiber function in humans, and to
explore the cortical processing of tonic heat pain in physiological and
pathological conditions.
PMID- 27871922
TI - Linking canonical microcircuits and neuronal activity: Dynamic causal modelling
of laminar recordings.
AB - Neural models describe brain activity at different scales, ranging from single
cells to whole brain networks. Here, we attempt to reconcile models operating at
the microscopic (compartmental) and mesoscopic (neural mass) scales to analyse
data from microelectrode recordings of intralaminar neural activity. Although
these two classes of models operate at different scales, it is relatively
straightforward to create neural mass models of ensemble activity that are
equipped with priors obtained after fitting data generated by detailed
microscopic models. This provides generative (forward) models of measured
neuronal responses that retain construct validity in relation to compartmental
models. We illustrate our approach using cross spectral responses obtained from
V1 during a visual perception paradigm that involved optogenetic manipulation of
the basal forebrain. We find that the resulting neural mass model can distinguish
between activity in distinct cortical layers - both with and without optogenetic
activation - and that cholinergic input appears to enhance (disinhibit)
superficial layer activity relative to deep layers. This is particularly
interesting from the perspective of predictive coding, where neuromodulators are
thought to boost prediction errors that ascend the cortical hierarchy.
PMID- 27871923
TI - Omics analysis of mouse brain models of human diseases.
AB - The identification of common gene/protein profiles related to brain alterations,
if they exist, may indicate the convergence of the pathogenic mechanisms driving
brain disorders. Six genetically engineered mouse lines modelling
neurodegenerative diseases and neuropsychiatric disorders were considered. Omics
approaches, including transcriptomic and proteomic methods, were used. The
gene/protein lists were used for inter-disease comparisons and further functional
and network investigations. When the inter-disease comparison was performed using
the gene symbol identifiers, the number of genes/proteins involved in multiple
diseases decreased rapidly. Thus, no genes/proteins were shared by all 6 mouse
models. Only one gene/protein (Gfap) was shared among 4 disorders, providing
strong evidence that a common molecular signature does not exist among brain
diseases. The inter-disease comparison of functional processes showed the
involvement of a few major biological processes indicating that brain diseases of
diverse aetiologies might utilize common biological pathways in the nervous
system, without necessarily involving similar molecules.
PMID- 27871924
TI - MiR-335 regulates the chemo-radioresistance of small cell lung cancer cells by
targeting PARP-1.
AB - The role of miR-335 in the regulation of chemosensitivity and radiosensitivity of
small cell lung cancer (SCLC) was investigated. miR-335 was significantly
downregulated in multi-drug-resistant SCLC H69AR and H446DDP cells compared with
parental cells as detected by qRT-PCR. Then, we demonstrated the negative
correlation between miR-335 expression and the chemo-radiosensitivity of SCLC
cells, including cell proliferation, cell clonality and cell apoptosis. In
addition, miR-335 overexpression inhibited cell migration in vitro and tumor
growth in vivo, whereas inhibition of miR-335 promoted cell migration and tumor
growth. The underlying mechanism was further studied. Poly [ADP-ribose]
polymerase 1 (PARP-1) was identified as a direct target gene of miR-335 in SCLC
by bioinformatics analysis and validated via luciferase reporter assay.
Overexpression of miR-335 decreased the expression of PARP-1 mRNA and protein,
and NF-kappaB protein levels were correspondingly downregulated, thus regulating
the chemo-radiosensitivity of SCLC. Taken together, these findings indicate that
miR-335 may serve as a critical regulator of chemo-radiotherapy resistance in
SCLC and a new potential therapeutic target.
PMID- 27871925
TI - Identification and characterization of a phospholipid scramblase encoded by
planarian Dugesia japonica.
AB - Phospholipid scramblases (PLSCRs) are the conserved calcium-binding, type II
transmembrane proteins synthesized in all eukaryotic organisms. In mammals, these
proteins play essential roles in various physiological processes, especially in
the immune responses. However, the existence of PLSCRs and their biological
functions in planarian are still unknown at present. In this study, a new member
of PLSCRs was identified in planarian Dugesia japonica (D. japonica), named
DjPLSCR. The sequence analysis revealed that it contains an opening reading frame
consisting of 726bp encoding a putative protein of 241 amino acids with a
predicted molecular mass of ~28.7kDa and an isoelectric point of 6.21. Whole
mount in situ hybridization showed that mRNAs of DjPLSCR are predominantly
expressed in adult and regenerative pharynx which is an important organ of immune
system in planarians. Importantly, we found that the transcription level of
DjPLSCR was significantly upregulated when planarians were stimulated with the
pathogen-associated molecular patterns [polyinosinic-polycytidylic acid,
lipopolysaccharide, peptidoglycan and beta-glucan], suggesting that DjPLSCR is
involved in the immune response upon pathogen invasion. Our findings provide the
first experimental insights into the characteristics and potential functions of
PLSCR in planarians.
PMID- 27871926
TI - The telomere attrition rate is not accelerated in women born small for
gestational age: A birth cohort study.
AB - BACKGROUND: Physiologically, a reduction in telomere length (LTL) occurs with
aging, but epigenetic changes may accelerate telomere shortening and also
facilitate the onset of oxidative/inflammatory stress and the development of
clinical/metabolic comorbidities in life spam. Although individuals born small
for gestational age (SGA) may be related to those epigenetic changes, the
assessment of LTL in individuals born SGA has yielded conflicting results (only
cross-sectional studies) and has not been carried out in longitudinal studies. We
performed a birth cohort study to evaluate the rate of telomere erosion in women
born SGA in comparison to women born appropriate for gestational age (AGA)
assessed at two different time points during the third decade of life. In our
research, born SGA or AGA showed no difference in LTL shortening during a period
of five years in the third decade of life. Our finding may have implications for
understanding the natural history of diseases in lifespan because the same women
(under the influence of similar environmental factors) may be accessed in
different phases of life. Thus, the analysis of the present cohort population at
a more advanced age may reveal a dynamics of telomere shortening different from
here and its possible relation with onset of age-related diseases.
PMID- 27871927
TI - Pulsed Magnetic Stimulation for Stress Urinary Incontinence: 1-Year Followup
Results.
AB - PURPOSE: Despite significant differences in success rates between surgical and
nonsurgical treatments for female stress urinary incontinence, a few cross
sectional surveys showed that most patients still prefer the latter. We evaluated
the efficacy of the under studied nonsurgical treatment using pulsed magnetic
stimulation for female stress urinary incontinence. MATERIALS AND METHODS: This
randomized, double-blind, sham controlled study was performed in 120 female
subjects at least 21 years old with stress urinary incontinence. Treatment
involved pulsed magnetic stimulation for 2 sessions per week for 2 months (16
sessions). After 2 months, subjects could opt for 16 additional sessions
regardless of initial randomization. The primary response criterion was a 5-point
reduction in the ICIQ-UI SF (International Consultation on Incontinence
Questionnaire for Urinary Incontinence-Short Form) score. Key secondary response
criteria included objective and subjective cure, supplemented by other secondary
criteria. Followups were performed at months 1, 2, 5, 8 and 14. RESULTS: At 2
months 45 of 60 subjects (75%) in the active arm vs 13 of 60 (21.7%) in the sham
arm were treatment responders (p <0.001). After 2 months 24 subjects (40%) in the
active arm and 41 (68%) in the sham arm elected additional active pulsed magnetic
stimulation. At 14 months, subjects who received 32 sessions of active pulsed
magnetic stimulation had the highest percentage of treatment responders (18 of 24
or 75.0%), followed by those who received 16 sessions (26 of 36 or 72.2% and 28
of 41 or 68.3%) and those who did not receive any active pulsed magnetic
stimulation (4 of 19 or 21.1%) (p <0.001). CONCLUSIONS: The encouraging long-term
response rates show that pulsed magnetic stimulation is an attractive nonsurgical
alternative for patients who do not want to undergo surgery.
PMID- 27871928
TI - The Effect of Dutasteride on Magnetic Resonance Imaging Defined Prostate Cancer:
MAPPED-A Randomized, Placebo Controlled, Double-Blind Clinical Trial.
AB - PURPOSE: Dutasteride, which is licensed for symptomatic benign prostatic
hyperplasia, has been associated with a lower progression rate of low risk
prostate cancer. We evaluated the effect of dutasteride on prostate cancer volume
as assessed by T2-weighted magnetic resonance imaging. MATERIALS AND METHODS: In
this randomized, double-blind, placebo controlled trial, men with biopsy proven,
low-intermediate risk prostate cancer (up to Gleason 3 + 4 and PSA up to 15
ng/ml) who had visible lesion of 0.2 ml or greater on T2-weighted magnetic
resonance imaging sequences were randomized to daily dutasteride 0.5 mg or
placebo for 6 months. Lesion volume was assessed at baseline, and 3 and 6 months
with image guided biopsy to the lesion at study exit. The primary end point was
the percent reduction in lesion volume over 6 months. This trial was registered
with the European Clinical Trials register (EudraCT 2009-102405-18). RESULTS: A
total of 42 men were recruited between June 2010 and January 2012. In the
dutasteride group, the average volumes at baseline and 6 months were 0.55 and
0.38 ml, respectively and the average reduction was 36%. In the placebo group,
the average volumes at baseline and 6 months were 0.65 and 0.76 ml, respectively,
and the average reduction was -12%. The difference in percent reductions between
the groups was 48% (95% CI 27.4-68.3, p <0.0001). The most common adverse event
was deterioration in erectile function, which was 25% in men randomized to
dutasteride and 16% in men randomized to placebo. CONCLUSIONS: Dutasteride was
associated with a significant reduction in prostate cancer volume on T2-weighted
magnetic resonance imaging compared to placebo.
PMID- 27871930
TI - Reconstruction of Penile Shaft Defects Following Silicone Injection by Bipedicled
Anterior Scrotal Flap.
AB - PURPOSE: Numerous causalities, including attempts at penile augmentation with
silicone or paraffin, can lead to extensive circular penile shaft defects.
Reconstruction is challenging and skin grafting is a suboptimal option despite
its widespread use. We present a surgical technique for penile shaft
reconstruction with a bipedicled anterior scrotal flap. MATERIALS AND METHODS: A
retrospective data analysis was performed of patients treated for symptomatic
penile siliconomas who underwent subsequent penile reconstruction with a
bipedicled anterior scrotal flap between 2010 and 2015. The surgical technique is
described and depicted in detail. RESULTS: A total of 43 men were treated with
radical circular excision of penile siliconomas and extensive shaft defects were
reconstructed with a bipedicled anterior scrotal flap. Mean +/- SD age was 36.95
+/- 11.27 years, mean followup duration was 10.69 +/- 9.54 months and mean
operative time was 2.53 +/- 0.46 hours. The operation proved uneventful in all
cases. Only minor complications were observed, such as partial necrosis in 9% of
patients, hematoma of the donor site in 12% and partial wound disruption in 19%.
The mean patient satisfaction score was 4.37 on a scale of 1 to 5. All patients
reported postoperative erection ability and the ability to achieve sexual
intercourse. CONCLUSIONS: We present a surgical technique to reconstruct
extensive penile shaft defects with an axial scrotal flap, which provides well
vascularized coverage with comparable donor skin quality and thickness. The
results are associated with minor donor site morbidity, good functional and
aesthetic outcomes, and high patient satisfaction.
PMID- 27871929
TI - Past, Present and Future of Chemodenervation with Botulinum Toxin in the
Treatment of Overactive Bladder.
AB - PURPOSE: We systematically reviewed preclinical and clinical studies on bladder
chemodenervation with onabotulinumtoxin A to highlight current limitations and
future drug delivery approaches. MATERIALS AND METHODS: We identified peer
reviewed basic and clinical research studies of onabotulinumtoxin A in the
treatment of neurogenic bladder and refractory idiopathic overactive bladder
published between March 2000 and March 2016. Paired investigators independently
screened 125 English language articles to identify controlled studies on
onabotulinumtoxin A administration in the MEDLINE(r) database and abstracts
presented at annual American Urological Association meetings. The review yielded
an evidence base of more than 50 articles relevant to the approach of injection
free onabotulinumtoxin A chemodenervation. RESULTS: The efficacy and safety of
intradetrusor injection of onabotulinumtoxin A for the treatment of overactive
bladder are sensitive to injection volume and depth, and this issue has motivated
researchers to study injection-free modes of drug delivery into the bladder.
Urothelial denudation with protamine sulfate or dimethyl sulfoxide, liposome
encapsulated onabotulinumtoxin A and other physical approaches are being studied
to increase toxin permeability and avoid intradetrusor injections. Liposome
encapsulated onabotulinumtoxin A enhances toxin activity while reducing its toxin
degradation. The safety and efficacy of liposome encapsulated onabotulinumtoxin A
were tested in a multicenter, placebo controlled study. Although this treatment
successfully reduced urinary frequency and urgency, it did not significantly
reduce urgency urinary incontinence episodes. CONCLUSIONS: Intradetrusor
injection of onabotulinumtoxin A is a safe and effective treatment as reported in
several large multicenter, randomized controlled trials. Injection of the toxin
into the bladder wall impairs afferent and efferent nerves, but injection-free
drug delivery approaches only impair the bladder afferent nerves. Further studies
are needed to develop better drug delivery platforms that overcome the drawbacks
of intradetrusor injection, increase patient acceptance and reduce treatment
costs.
PMID- 27871931
TI - The Numbers Don't Speak for Themselves: Biochemical Values Associated With
Pressure Ulcer Healing in Patients With Advanced Illness.
PMID- 27871932
TI - S100A10 Regulates ULK1 Localization to ER-Mitochondria Contact Sites in IFN-gamma
Triggered Autophagy.
AB - During the process of autophagy, the autophagy-related proteins are translocated
to autophagosome formation sites. Here, we demonstrate that S100A10 is required
for ULK1 localization to autophagosome formation sites. Silencing of S100A10
reduces IFN-gamma-induced autophagosome formation. We also determined the role of
annexin A2 (ANXA2), a binding partner of S100A10, which has been reported to
promote phagophore assembly. Silencing of ANXA2 reduced S100A10 expression.
However, overexpression of S100A10 in ANXA2-silenced cells was still able to
enhance autophagosome formation, suggesting that ANXA2 regulates IFN-gamma
induced autophagy through S100A10. We also observed that S100A10 interacted with
ULK1 after IFN-gamma stimulation, and S100A10 knockdown prevented ULK1
localization to autophagosome formation sites. Finally, the release of high
mobility group protein B1, one of the functions mediated by IFN-gamma-induced
autophagy, was inhibited in S100A10 knockdown cells. These results elucidate the
importance of S100A10 in autophagosome formation and reveal the relationship
between S100A10 and ULK1 in IFN-gamma-induced autophagy.
PMID- 27871934
TI - v-Src-induced nuclear localization of YAP is involved in multipolar spindle
formation in tetraploid cells.
AB - The protein-tyrosine kinase, c-Src, is involved in a variety of signaling events,
including cell division. We have reported that v-Src, which is a mutant variant
of the cellular proto-oncogene, c-Src, causes delocalization of Aurora B kinase,
resulting in a furrow regression in cytokinesis and the generation of
multinucleated cells. However, the effect of v-Src on mitotic spindle formation
is unknown. Here we show that v-Src-expressing HCT116 and NIH3T3 cells undergo
abnormal cell division, in which cells separate into more than two cells. Upon v
Src expression, the proportion of multinucleated cells is increased in a time
dependent manner. Flow cytometry analysis revealed that v-Src increases the
number of cells having a >=4N DNA content. Microscopic analysis showed that v-Src
induces the formation of multipolar spindles with excess centrosomes. These
results suggest that v-Src induces multipolar spindle formation by generating
multinucleated cells. Tetraploidy activates the tetraploidy checkpoint, leading
to a cell cycle arrest of tetraploid cells at the G1 phase, in which the nuclear
exclusion of the transcription co-activator YAP plays a critical role. In
multinucleated cells that are induced by cytochalasin B and the Plk1 inhibitor,
YAP is excluded from the nucleus. However, v-Src prevents this nuclear exclusion
of YAP through a decrease in the phosphorylation of YAP at Ser127 in
multinucleated cells. Furthermore, v-Src decreases the expression level of p53,
which also plays a critical role in the cell cycle arrest of tetraploid cells.
These results suggest that v-Src promotes abnormal spindle formation in at least
two ways: generation of multinucleated cells and a weakening of the tetraploidy
checkpoint.
PMID- 27871935
TI - A Sonic hedgehog coreceptor, BOC regulates neuronal differentiation and neurite
outgrowth via interaction with ABL and JNK activation.
AB - Neurite outgrowth is a critical step for neurogenesis and remodeling synaptic
circuitry during neuronal development and regeneration. An immunoglobulin
superfamily member, BOC functions as Sonic hedgehog (Shh) coreceptor in canonical
and noncanonical Shh signaling in neuronal development and axon
outgrowth/guidance. However signaling mechanisms responsible for BOC action
during these processes remain unknown. In our previous studies, a multiprotein
complex containing BOC and a closely related protein CDO promotes myogenic
differentiation through activation of multiple signaling pathways, including non
receptor tyrosine kinase ABL. Given that ABL and Jun. N-terminal kinase (JNK) are
implicated in actin cytoskeletal dynamics required for neurogenesis, we
investigated the relationship between BOC, ABL and JNK during neuronal
differentiation. Here, we demonstrate that BOC and ABL are induced in P19
embryonal carcinoma (EC) cells and cortical neural progenitor cells (NPCs) during
neuronal differentiation. BOC-depleted EC cells or Boc-/- NPCs exhibit impaired
neuronal differentiation with shorter neurite formation. BOC interacts with ABL
through its putative SH2 binding domain and seems to be phosphorylated in an ABL
activity-dependent manner. Unlike wildtype BOC, ABL-binding defective BOC mutants
exhibit impaired JNK activation and neuronal differentiation. Finally, Shh
treatment enhances JNK activation which is diminished by BOC depletion. These
data suggest that BOC interacts with ABL and activates JNK thereby promoting
neuronal differentiation and neurite outgrowth.
PMID- 27871933
TI - A Molecular Prospective for HIRA Complex Assembly and H3.3-Specific Histone
Chaperone Function.
AB - Incorporation of variant histone sequences, in addition to post-translational
modification of histones, serves to modulate the chromatin environment. Different
histone chaperone proteins mediate the storage and chromatin deposition of
variant histones. Although the two non-centromeric histone H3 variants, H3.1 and
H3.3, differ by only 5 aa, replacement of histone H3.1 with H3.3 can modulate the
transcription for highly expressed and developmentally required genes, lead to
the formation of repressive heterochromatin, or aid in DNA and chromatin repair.
The human histone cell cycle regulator (HIRA) complex composed of HIRA,
ubinuclein-1, CABIN1, and transiently anti-silencing function 1, forms one of the
two complexes that bind and deposit H3.3/H4 into chromatin. A number of recent
biochemical and structural studies have revealed important details underlying how
these proteins assemble and function together as a multiprotein H3.3-specific
histone chaperone complex. Here, we present a review of existing data and present
a new model for the assembly of the HIRA complex and for the HIRA-mediated
incorporation of H3.3/H4 into chromatin.
PMID- 27871936
TI - COMMD9 promotes TFDP1/E2F1 transcriptional activity via interaction with TFDP1 in
non-small cell lung cancer.
AB - COMMD protein family is an evolutionarily conserved gene family implicated in a
number of critical processes including inflammation, copper homeostasis, sodium
balance, endosomal sorting and cancer. In an effort to profile the expression
pattern of COMMD family in several non-small cell lung cancer (NSCLC) cell lines,
we found that compared with that in human bronchial epithelial (HBE) cells, the
mRNA expression levels of five COMMD genes including COMMD3, COMMD4, COMMD5,
COMMD6 and COMMD8 were significantly down-regulated, whereas COMMD9 was up
regulated in NSCLC cell lines. Here we reported that the expression of COMMD9
protein was significantly increased in various NSCLC cell lines and tissue
samples. SiRNA-induced knocking down of COMMD9 inhibited proliferation and
migration, arrested cell cycle at G1/S transition and induced autophagy in NSCLC
cells. Mechanistically, COMMD9 interacted with the TFDP1 through COMM domain, and
DNA-binding domain of TFDP1 was required for this interaction. Moreover,
decreased expression COMMD9 attenuated TFDP1/E2F1 activation accompanied with
enhanced p53 signaling pathway. Taken together, these findings demonstrate that
COMMD9 participates in TFDP1/E2F1 activation and plays a critical role in non
small cell lung cancer.
PMID- 27871937
TI - Elevated levels of alpha-synuclein blunt cellular signal transduction downstream
of Gq protein-coupled receptors.
AB - Alpha-synuclein is central to Parkinson's disease pathogenesis and pathology,
however its precise functions are still unclear. It has been shown to bind both
PLCbeta1 and MAPKs, but how this property influences the downstream signaling of
Gq protein-coupled receptors has not been elucidated. Here we show that
recombinant expression of alpha-synuclein in human neuroblastoma cells enhances
cellular levels of PLCbeta1 but blunts its signaling pathway, preventing the
agonist-dependent rise of cytoplasmic Ca2+. In addition, overexpressing alpha
synuclein abolishes the activation of ERK1/2 upon agonist stimulation, indicating
an upstream action in the signal transduction pathway. This data demonstrates
that alpha-synuclein, when recombinantly expressed, interferes with the normal
signaling of Gq-protein coupled receptors, which are then dysfunctional. Since
many neurotransmitter systems utilize these receptor signaling pathways to
mediate different abilities affected in Parkinson's disease, we argue this novel
perspective might be helpful in designing treatment strategies for some of the
non-motor symptoms in Parkinson's disease and synucleinopathies.
PMID- 27871938
TI - The role of Gpi-anchored axonal glycoproteins in neural development and
neurological disorders.
AB - This review article focuses on the Contactin (CNTN) subset of the Immunoglobulin
supergene family (IgC2/FNIII molecules), whose components share structural
properties (the association of Immunoglobulin type C2 with Fibronectin type III
domains), as well as a general role in cell contact formation and axonal growth
control. IgC2/FNIII molecules include 6 highly related components (CNTN 1-6),
associated with the cell membrane via a Glycosyl Phosphatidyl Inositol (GPI)
containing lipid tail. Contactin 1 and Contactin 2 share ~50 (49.38)% identity at
the aminoacid level. They are components of the cell surface, from which they may
be released in soluble forms. They bind heterophilically to multiple partners in
cis and in trans, including members of the related L1CAM family and of the
Neurexin family Contactin-associated proteins (CNTNAPs or Casprs). Such
interactions are important for organising the neuronal membrane, as well as for
modulating the growth and pathfinding of axon tracts. In addition, they also
mediate the functional maturation of axons by promoting their interactions with
myelinating cells at the nodal, paranodal and juxtaparanodal regions. Such
interactions also mediate differential ionic channels (both Na+ and K+)
distribution, which is of critical relevance in the generation of the peak-shaped
action potential. Indeed, thanks to their interactions with Ankyrin G, Na+
channels map within the nodal regions, where they drive axonal depolarization.
However, no ionic channels are found in the flanking Contactin1-containing
paranodal regions, where CNTN1 interactions with Caspr1 and with the Ig
superfamily component Neurofascin 155 in cis and in trans, respectively, build a
molecular barrier between the node and the juxtaparanode. In this region K+
channels are clustered, depending upon molecular interactions with Contactin 2
and with Caspr2. In addition to these functions, the Contactins appear to have
also a role in degenerative and inflammatory disorders: indeed Contactin 2 is
involved in neurodegenerative disorders with a special reference to the Alzheimer
disease, given its ability to work as a ligand of the Alzheimer Precursor Protein
(APP), which results in increased Alzheimer Intracellular Domain (AICD) release
in a gamma-secretase-dependent manner. On the other hand Contactin 1 drives Notch
signalling activation via the Hes pathway, which could be consistent with its
ability to modulate neuroinflammation events, and with the possibility that
Contactin 1-dependent interactions may participate to the pathogenesis of the
Multiple Sclerosis and of other inflammatory disorders.
PMID- 27871939
TI - Cell-cell communication mediated by the CAR subgroup of immunoglobulin cell
adhesion molecules in health and disease.
AB - The immunoglobulin superfamily represents a diverse set of cell-cell contact
proteins and includes well-studied members such as NCAM1, DSCAM, L1 or the
contactins which are strongly expressed in the nervous system. In this review we
put our focus on the biological function of a less understood subgroup of Ig-like
proteins composed of CAR (coxsackievirus and adenovirus receptor), CLMP (CAR-like
membrane protein) and BT-IgSF (brain and testis specific immunoglobulin
superfamily). The CAR-related proteins are type I transmembrane proteins
containing an N-terminal variable (V-type) and a membrane proximal constant (C2
type) Ig domain in their extracellular region which are implicated in homotypic
adhesion. They are highly expressed during embryonic development in a variety of
tissues including the nervous system whereby in adult stages the protein level of
CAR and CLMP decreases, only BT-IgSF expression increases within age. CAR-related
proteins are concentrated at specialized cell-cell communication sites such as
gap or tight junctions and are present at the plasma membrane in larger protein
complexes. Considerable progress has been made on the molecular structure and
interactions of CAR while research on CLMP and BT-IgSF is at an early stage.
Studies on mouse mutants revealed biological functions of CAR in the heart and
for CLMP in the gastrointestinal and urogenital systems. Furthermore, CAR and BT
IgSF appear to regulate synaptic function in the hippocampus.
PMID- 27871941
TI - Mimicking the ocular environment for the study of inflammatory posterior eye
disorders.
AB - The common inflammatory posterior eye disorders, age-related degeneration and
glaucoma often lead to irreversible vision loss. Current treatments do not target
early stages or prevent disease progression. Consequently, the identification of
biomarkers or early disease models that can accurately mimic the pathological
processes involved is essential. Although none of the existing models can
recapitulate all pathological aspects of these disorders, these models have
revealed new therapeutic targets. Efforts to accurately phenotype eye disorders
at various disease stages are warranted to generate a 'super' model that can
replicate the microenvironment of the eye and associated pathological hallmarks
effectively.
PMID- 27871940
TI - Bacterial lipoproteins; biogenesis, sorting and quality control.
AB - Bacterial lipoproteins are a subset of membrane proteins localized on either
leaflet of the lipid bilayer. These proteins are anchored to membranes through
their N-terminal lipid moiety attached to a conserved Cys. Since the protein
moiety of most lipoproteins is hydrophilic, they are expected to play various
roles in a hydrophilic environment outside the cytoplasmic membrane. Gram
negative bacteria such as Escherichia coli possess an outer membrane, to which
most lipoproteins are sorted. The Lol pathway plays a central role in the sorting
of lipoproteins to the outer membrane after lipoprotein precursors are processed
to mature forms in the cytoplasmic membrane. Most lipoproteins are anchored to
the inner leaflet of the outer membrane with their protein moiety in the
periplasm. However, recent studies indicated that some lipoproteins further
undergo topology change in the outer membrane, and play critical roles in the
biogenesis and quality control of the outer membrane. This article is part of a
Special Issue entitled: Bacterial Lipids edited by Russell E. Bishop.
PMID- 27871942
TI - Computational approaches for modeling and analysis of human-on-chip systems for
drug testing and characterization.
PMID- 27871943
TI - Understanding the attitudes and perceptions of vegetarian and plant-based diets
to shape future health promotion programs.
AB - Vegetarian diets have become a prominent area of interest. However, little
research has focused on the attitudes and perceptions on vegetarian diets. The
purpose of this scoping review was to methodologically search the literature and
summarize public perceptions and attitudes towards a vegetarian diet. Using the
information found from this review, the Health Belief Model can be applied to
shape future health promotion initiatives to move individuals towards a
vegetarian or plant-based diet. The main findings indicate that vegetarian diets
are generally perceived in a positive light. There are many barriers to consuming
this diet such as health concerns, an unwillingness to make dietary changes, and
an enjoyment of eating meat.
PMID- 27871944
TI - Predictors of food decision making: A systematic interdisciplinary mapping (SIM)
review.
AB - The number of publications on consumer food decision making and its predictors
and correlates has been steadily increasing over the last three decades. Given
that different scientific disciplines illuminate this topic from different
perspectives, it is necessary to develop an interdisciplinary overview. The aim
of this study is to conduct a systematic interdisciplinary mapping (SIM) review
by using rapid review techniques to explore the state-of-the-art, and to identify
hot topics and research gaps in this field. This interdisciplinary review
includes 1,820 publications in 485 different journals and other types of
publications from more than ten disciplines (including nutritional science,
medicine/health science, psychology, food science and technology, business
research, etc.) across a period of 60 years. The identified predictors of food
decision making were categorized in line with the recently proposed DONE
(Determinants Of Nutrition and Eating behavior) framework. After applying
qualitative and quantitative analyses, this study reveals that most of the
research emphasizes biological, psychological, and product-related predictors,
whereas policy-related influences on food choice are scarcely considered.
PMID- 27871945
TI - Exposure to diet priming images as cues to reduce the influence of unhealthy
eating habits.
AB - A key barrier to changing unhealthy eating habits is the current food-rich
environment. Today, there are many palatable food cues that trigger unhealthy
eating habits, and once a habit is strongly engrained, it becomes very difficult
to change. This research examined the effects of diet priming that is a type of
cueing intervention that activates a dieting goal in a tempting situation and
thus reduces unhealthy eating behavior in line with the dieting goal. This
research was conducted both in a laboratory and in two field experiments. In the
three experiments, participants were randomly assigned to conditions where they
were either primed by an image of a slim model associated with dieting (priming
condition) or were presented with an image of an animal unrelated to dieting
(control condition). The dependent variable was the number of snacks that
participants took in the laboratory in Study 1 and the number of snacks consumed
within the next two weeks in a daily setting in Study 2 and 3. The three studies
showed that unhealthy eating habits strongly affect general eating behavior.
However, in this research, diet priming changed the influence of unhealthy eating
habits and resulted in the decrease of unhealthy eating. Exposure to diet priming
cues moderated the influence of unhealthy eating habits triggered by palatable
food cues in today's food-rich environment. These findings suggest that diet
priming can change habitual reactions to temptations associated with unhealthy
eating. Implications for diet priming as an intervention for unhealthy eating
habits are discussed herein.
PMID- 27871946
TI - Trivaric acid, a new inhibitor of PTP1b with potent beneficial effect on
diabetes.
AB - AIM: To screen a potential PTP1b inhibitor from the microbial origin-based
compound library and to investigate the potential anti-diabetic effects of the
inhibitor in vivo and determine its primary anti-diabetic mechanism in vitro and
in silico. METHODS: PTP1b inhibitory activity was measured using recombination
protein as the enzyme and p-NPP as the substrate. The binding of the inhibitor to
PTP1b was analysed by docking in silico and confirmed by ITC experiments. The
intracellular signalling pathway was detected by Western blot analysis in HepG2
cells. The anti-diabetic effects were evaluated using a diabetic mice model in
vivo. RESULTS: Among 545 microbial origin-based pure compounds tested, trivaric
acid, a tridepside, was selected as a PTP1B inhibitor exhibiting strong
inhibitory activity with an IC50 of 173nM. Docking and ITC studies showed that
trivaric acid was able to spontaneously bind to PTP1b and may inhibit PTP1b by
blocking the catalytic domain of the phosphatase. Trivaric acid also enhanced the
ability of insulin to stimulate the IR/IRS/Akt/GLUT2 pathway and increase the
glucose consumption in HepG2 cells. In diabetic mice, trivaric acid that had been
encapsulated into Eudrgit L100-5.5 showed significant anti-diabetic effects,
improving insulin resistance, leptin resistance and lipid profile and weight
control at doses of 5mg/kg and 50mg/kg. SIGNIFICANCE: Trivaric acid is a
potential lead compound in the search for anti-diabetic agents targeting PTP1b.
PMID- 27871948
TI - Vestibular cerebellum of thick-toed geckos (Chondrodactylus turnery GRAY, 1864)
and C57/BL6N mice after the long-term space flight on the biosatellite BION-M1.
AB - The aim of this study was to estimate the effects of long-term space flights on
neuronal and glial cells of the vestibular cerebellum of C57/BL6N mice and thick
toed geckos (Chondrodactylus turnery GRAY, 1864). The cerebella from 26 mice and
13 geckos were used in this study. Ten mice and five geckos were flown aboard the
BION-M1 biosatellite. The other animals were used as controls. We used
immunohistochemical techniques and classical histological method to reveal cell
types in the vestibular cerebellum. Nonspecific pathomorphological changes in the
Purkinje cells (such as chromatolysis, vacuolization and hyperchromatosis) were
observed in the flight groups. However, these changes are reversible and were
also found in some neurons in the control groups. In addition, as the vestibular
cerebellum is an evolutionarily stable structure, thick-toed geckos may be a
useful model for space flight studies on the vertebrate cerebellum.
PMID- 27871947
TI - Mechanistic insight into carnosol-mediated pharmacological effects: Recent trends
and advancements.
AB - For several decades, bioactive phytochemicals have been appreciated to prevent
and cure various lethal diseases. Many studies have proven the ability of dietary
phytochemicals to avoid and retard tumor initiation and progression. Among the
pharmacologically active moieties, terpenoids are considered one of the most
important classes. Carnosol, is also a kind of diterpenoid, which known to
possess a range of therapeutic effects such as anti-cancer, anti-inflammatory,
and anti-oxidant activities. All these effects are mediated via modulating
different signaling cascades, including apoptosis regulating molecules
(Bax/Bcl2), prosurvival-proproliferative molecules (Akt/mTOR, MAPK),
transcription factors like NF-kappaB, STAT3-6, and steroid receptors, such as
androgen and estrogen receptors. The present review highlights the recent trends
and advancements have been done in the field of research by using carnosol.
PMID- 27871949
TI - Cloning and characterization of fatty acid-binding proteins (fabps) from Japanese
seabass (Lateolabraxjaponicus) liver, and their gene expressions in response to
dietary arachidonic acid (ARA).
AB - In the present study, putative cDNA of five fabp isoforms, i.e., fabp1, fabp2,
fabp3, fabp4, and fabp7, was cloned and characterized from the liver of Japanese
seabass (Lateolabrax japonicus), and their expression in response to diets with
different arachidonic acid (ARA) levels (0.05%, 0.22%, 0.37%, 0.60%, 1.38% and
2.32% of dry matter) was investigated following a feeding trial. The Japanese
seabass fabps showed high identity to their orthologs in other fish species and
mammals. However, a specific fabp of Japanese seabass showed much lower identity
to other Japanese seabass fabps. fabp1 has high expressions in liver and
intestine, whereas fabp2 is mainly expressed in the gastrointestinal tract. The
highest expression level of fabp3, fabp4, and fabp7 was observed in muscle, eye,
and liver respectively. Different tissue expression patterns of fabp2, fabp4, and
fabp7 between Japanese seabass and other teleost may indicate specific
evolutionary Fabp functions in Japanese seabass. Moderate levels of dietary ARA
(0.37-0.60%) enhanced the gene expressions of fabp1 in liver and intestine, fabp2
in intestine, and fabp3 in intestine, whereas excess dietary ARA levels (1.38
2.323%) were ineffective. The highest level of dietary ARA (2.32%) increased only
the expression of fabp3 in muscle compared to the control diet. Gene expressions
of fabp3 and fabp7 in liver, and fabp4 in liver, intestine, and muscle were not
significantly influenced by dietary ARA. To our knowledge, this is the first
study investigating the regulation of fabp expressions by dietary ARA.
PMID- 27871950
TI - Increased expression of HERPUD1 involves in neuronal apoptosis after
intracerebral hemorrhage.
AB - Homocysteine-inducible endoplasmic reticulum stress-inducible ubiquitin-like
domain member 1 protein (HERPUD1) is involved in endoplasmic reticulum stress
response. Immense amounts of research showed HERPUD1 plays multiple roles in
various models. In this work, we explored the role of HERPUD1 during the
pathophysiological processes of intracerebral hemorrhage (ICH). Rat ICH model was
established and verified by behavioral test. Western blot and
immunohistochemistry revealed a significant up-regulation of HERPUD1 expression
around the hematoma after ICH. Besides, the expression of cytochrome c (cyt c)
and active caspase-3 increased accompanied to HERPUD1 expression. Double-labeled
immunofluorescence indicated HERPUD1 mainly colocalized with neurons. Further
study showed HERPUD1 silence brought about up-regulation of apoptosis markers
including cyt c and active caspase-3 coupled with increased cell apoptosis in
vitro model. All these findings suggested that HERPUD1 might play a protective
role in ICH-induced neuronal apoptosis in rat models.
PMID- 27871952
TI - "When I Wore a Younger Man's Clothes".
PMID- 27871951
TI - Regulation of merlin by protein phosphatase 1-TIMAP and EBP50 in endothelial
cells.
AB - Merlin (moesin-ezrin-radixin like protein), the product of neurofibromatosis type
2 gene, was primarily recognized as a tumor suppressor, but it also functions as
a membrane-cytoskeletal linker and regulator of multiple signaling pathways. The
activity and localization of merlin is regulated by head to tail folding that is
controlled by phosphorylation of the Ser518 side chain. Merlin localizes in the
nucleus when the Ser518 side chain is not phosphorylated, while the
phosphorylated form is present in the cytoplasm and the plasma membrane. In this
work interactions and their impact on the subcellular localization and
phosphorylation state of the Ser518 side chain of merlin were investigated in
endothelial cells. It is shown that merlin (dephospho-Ser518 form) interacts in
the nucleus of endothelial cells with the scaffolding protein EBP50, a member of
the Na+/H+exchanger regulatory factor family. Upon EBP50 depletion, merlin
translocated from the nucleus, suggesting that binding of merlin to EBP50 is
critical in the nuclear localization of merlin. Along with the translocation, the
phosphorylation level of phospho-Ser518-merlin was increased in EBP50 depleted
cells. TIMAP (TGFbeta-inhibited membrane-associated protein), a type 1 protein
phosphatase (PP1) regulatory subunit, was newly recognized as an interacting
partner for merlin. Domain mapping using truncated mutant forms in GST pull down
revealed that the N-terminal half of TIMAP (aa 1-290) and the FERM domain of
merlin are the regions responsible for the interaction.The catalytic subunit of
PP1 (PP1c) was present in all merlin-TIMAP pull down or immunoprecipitation
samples demonstrating that merlin actually interacts with the PP1c-TIMAP
holoenzyme. On the other hand, from TIMAP depleted cells, without its targeting
protein, PP1c could not bind to merlin. Also, when the phosphatase activity of
PP1c-TIMAP was inhibited either with depletion of TIMAP or by treatment of the
cells with specific PP1 inhibitor, there was an increase in the amount of phospho
Ser518 form of merlin in the membrane of the cells. These data strongly suggest
that the PP1c-TIMAP- complex dephosphorylates phospho-Ser518-merlin. ECIS
measurements indicate that phospho-merlin accelerates in vitro wound healing of
the endothelial monolayer. In conclusion, in endothelial cells, EBP50 is required
for the nuclear localization of merlin and the PP1c-TIMAP holoenzyme plays an
important role in the dephosphorylation of merlin on its Ser518 side chain, which
influence cell migration and proliferation.
PMID- 27871953
TI - Prevalence of Female Sexual Dysfunction Among Premenopausal Women: A Systematic
Review and Meta-Analysis of Observational Studies.
AB - INTRODUCTION: Epidemiologic research in female sexual dysfunction (FSD) has
gained momentum in recent years, particularly in clinical populations and in
menopausal women. However, sexual dysfunction also affects premenopausal women in
general populations. Previous literature reviews have been unable to quantify the
burden of FSD in general populations. This has been due in part to different
definitions of dysfunction, heterogeneous study design, and the wide variety of
measurement tools used. AIM: To provide a meta-analytical estimate of the
prevalence of FSD in premenopausal women. METHODS: Observational studies that
assessed the prevalence of FSD in premenopausal women were systematically sought
in relevant databases (January 2000 through July 2014). Publications that
reported the prevalence rate for at least one domain of FSD were included. A meta
analysis of prevalence rates was performed and a meta-regression was used to
analyze factors of study design. MAIN OUTCOME MEASURES: Estimated prevalence
rates of FSD and its domains (hypoactive sexual desire disorder, sexual aversion
disorder, female sexual arousal disorder, lubrication difficulties, female
orgasmic disorder, and pain disorders). RESULTS: After screening 9,292 results,
440 publications were retrieved for full-text review. Of these, 135 studies were
included in the systematic review. Ninety-five of these studies were assessed
further in a meta-analysis. There was substantial heterogeneity among studies.
The prevalence of FSD in premenopausal women was estimated to be 40.9% (95% CI =
37.1-44.7, I2 = 99.0%). Prevalence rates of individual sexual disorders ranged
from 20.6% (lubrication difficulties) to 28.2% (hypoactive sexual desire
disorder). Further analyses showed significantly higher rates of FSD in studies
in Africa, studies that used non-validated assessment tools, and studies without
pharmaceutical funding. CONCLUSION: Prevalence estimates of FSD vary
substantially. Nonetheless, results show that FSD is a significant public health
problem that affects 41% of premenopausal women around the globe. More research
and improved standardization are needed in this field.
PMID- 27871954
TI - Surgical Management for Pelvic Organ Prolapse and Its Impact on Sexual Function.
AB - INTRODUCTION: Female sexual function is complex, incorporating physical,
emotional, and psychological factors. Pelvic organ prolapse, descent of the
pelvic organs to or through the vaginal opening, is a common condition that
affects quality of life, including sexual function. Symptomatic prolapse is most
commonly treated with reconstructive surgery. AIM: To address the surgical
management of pelvic organ prolapse and its impact on sexual function and include
recommendations for evaluating sexual function, use of validated questionnaires
to assess function, preoperative counseling, and postoperative follow-up.
METHODS: A literature search was performed for articles evaluating sexual
function after pelvic organ prolapse surgery. Priority was given to larger
studies, including systematic reviews, and use of validated questionnaires. MAIN
OUTCOME MEASURES: The main outcome was postoperative sexual function after pelvic
organ prolapse repair. RESULTS: Multiple surgical approaches are used for the
treatment of pelvic organ prolapse, including native tissue and grafted repairs.
An evaluation of sexual function preoperatively is necessary to decide on type of
surgery and to establish appropriate postoperative expectations. Postoperatively,
most patients report sexual function as improved or unchanged. CONCLUSION:
Thorough preoperative counseling allows patients and their physicians to develop
appropriate, individualized treatment plans for pelvic organ prolapse that
consider women's preoperative sexual function and sexual function goals.
PMID- 27871955
TI - Challenges to Practicing Sexual Medicine in the Middle East.
AB - INTRODUCTION: The Middle East is a vast region that includes the Arabian
Peninsula, Turkey, Iran, the Levant, and North Africa. Some of the world's
earliest civilizations appeared in this region and major religions such as
Judaism, Christianity, and Islam originated there. It is an influential region in
politics, economy, and resources, but it remains largely enigmatic to those
outside the region. The various ethnicities, religions, traditions, and customs
in the region have made it unique and diverse at the same time. Among the most
controversial topics that have emerged about the Middle East is sex and
sexuality. Images of women wearing veils and black abayas come to mind. However,
in this region, sexual freedoms such as polygyny are permitted. It is in these
settings that are unlike anywhere else that regional sexual medicine physicians
must practice and produce results. AIMS: To present some factors challenging to
the practice of sexual medicine in the Middle East. METHODS: The literature was
reviewed for studies that addressed sexual medicine in the Middle East. This was
supplemented by studies that investigated certain patterns of practice and
behaviors that are often encountered in medical practice in the region. MAIN
OUTCOME MEASURES: Factors contributing to the difficulties in practice faced by
sexual medicine physicians in the Middle East. RESULTS: Several societal,
environmental, and patient- and physician-related factors were identified.
CONCLUSION: It can be particularly challenging to practice sexual medicine in the
Middle East. However, the region needs qualified sexual medicine specialists to
debunk many myths concerning sexuality and sexual health, in addition to the day
to-day practice of this specialty.
PMID- 27871956
TI - Evidence for Masturbation and Prostate Cancer Risk: Do We Have a Verdict?
AB - INTRODUCTION: Prostate cancer (PCa) is one of the leading causes of cancer death
in men and remains one of the most diagnosed malignancies worldwide. Ongoing
public health efforts continue to promote protective factors, such as diet,
physical activity, and other lifestyle modifications, against PCa development.
Masturbation is a nearly universal safe sexual activity that transcends societal
boundaries and geography yet continues to be met with stigma and controversy in
contemporary society. Although previous studies have examined associations
between sexual activity and PCa risk, anecdotal relations have been suggested
regarding masturbation practice and PCa risk. AIM: To provide a summary of the
published literature and examine the contemporary evidence for relations between
masturbation practice and PCa risk. METHODS: A survey of the current literature
using seven academic electronic databases was conducted using search terms and
key words associated with masturbation practice and PCa risk. MAIN OUTCOME
MEASURES: The practice of masturbation and its relation to PCa risk. RESULTS: The
literature search identified study samples (n = 16) published before October
2015. Sample inclusions varied by study type, sample size, and primary objective.
Protective relations (n = 7) between ejaculation through masturbation and PCa
risk were reported by 44% of the study sample. Age range emerged as a significant
variable in the relation between masturbation and PCa. CONCLUSION: Findings
included relations among masturbation, ejaculation frequency, and age range as
individual factors of PCa risk. No universally accepted themes were identified
across the study sample. Throughout the sample, there was insufficient agreement
in survey design and data reporting. Potential avenues for new research include
frequency of ejaculation and age range as covarying factors that could lead to
more definitive statements about masturbation practice and PCa risk.
PMID- 27871957
TI - Tramadol Abuse and Sexual Function.
AB - INTRODUCTION: Tramadol exhibits an effect profile similar to that of opioid
agonists, and tramadol abuse seems to be a problem for a number of countries. The
relationship between tramadol and sexual function appears to be controversial.
Men with premature ejaculation (PE) may benefit from taking tramadol off label;
however, these patients live "on a knife's edge" and are exquisitely sensitive to
develop other sexual dysfunctions. AIM: To review the literature regarding the
problem of tramadol abuse and its relationship with sexual function. METHODS: We
searched electronic databases from 1977 to September 2015, including PubMed
MEDLINE, EMBASE, EBCSO Academic Search Complete, Cochrane Systematic Reviews
Database, and GoogleScholar using the following key words: tramadol, sexual
functions, and sexual dysfunction. MAIN OUTCOME MEASURE: To define the supposed
benefits and the potential risks of tramadol on different sexual functions
including ejaculation, orgasm, erection, desire, and testosterone levels.
RESULTS: Although tramadol is thought to have low abuse and dependence potentials
worldwide, its abuse has become a serious problem in many countries, particularly
in the Middle East, Africa, and West Asia. The benefit of tramadol in PE was
reported in 11 clinical trials, evaluated by 6 systematic reviews, 3 of which
pooled data in a meta-analysis. The evidence base on erectile dysfunction,
decreased libido, hypogonadism, anorgasmia, and risky sexual behaviors in
patients abusing tramadol is inadequate. CONCLUSIONS: Tramadol may offer a useful
intervention for treating PE. As all primary studies had suffered from selection,
allocation, performance, or assessment bias, additional rigorous well-designed
controlled trials are warranted to further investigate the potential long-term
risks of tramadol and to determine the safe and the effective minimum daily dose.
Clinical research on drug abuse and sexual dysfunction is an emerging field. To
date, small numbers of studies have been performed and further studies are
warranted.
PMID- 27871958
TI - Current Perspectives on Stem Cell Therapy for Erectile Dysfunction.
AB - INTRODUCTION: Erectile dysfunction (ED) is a common sexual disorder that affects
the lives of millions of male patients and their partners. Various medical and
surgical therapies exist, with the most common being oral intake of
phosphodiesterase 5 inhibitors. One therapeutic strategy in preclinical
development to treat ED is stem cell transplantation. AIM: To examine the studies
that have investigated stem cells for the treatment of ED. METHODS: A literature
review was performed through PubMed focusing on stem cells and ED. MAIN OUTCOME
MEASURES: An assessment of different types of stem cells and how they may be
applied therapeutically in the treatment of ED. RESULTS: The stem cell types that
have been investigated for the treatment of ED include bone marrow-derived
mesenchymal, adipose-derived, muscle-derived, testes, urine-derived, neural
crest, and endothelial progenitor. Depending on the cell type, research has
demonstrated that with transplantation, stem cells exert a paracrine effect on
penile tissue, and can differentiate into smooth muscle, endothelium, and
neurons. CONCLUSION: Multiple stem cell lines are currently being studied for
their potential to treat ED. To date, stem cells have proven safe and effective
in both animal and human models of ED. More research is needed to understand
their full therapeutic potential.
PMID- 27871959
TI - Intracavernous Injections in Spinal Cord Injured Men With Erectile Dysfunction, a
Systematic Review and Meta-Analysis.
AB - INTRODUCTION: Despite improvements in the care of patients after spinal cord
injury (SCI), permanent impairment of locomotion, sensation, and autonomic
function remains a major hurdle. After the acute stage of injury, recovering
sexual function is a high priority. AIM: To review the efficacy of intracavernous
injections (ICIs) in men with SCI and to identify prognostic factors affecting
the efficacy of ICIs in this population. METHODS: Systematic review of the
literature was conducted using the PubMed-Medline, Embase, EBSCO, Web of Science,
and Cochrane Library databases. The literature search was restricted to articles
published in English, French, and Spanish up to November 2014 using the key words
alprostadil, papaverine, moxisylite, alpha-blocking agent, phentolamine,
intracavernous injection, spinal cord injuries, paraplegia, quadriplegia, and
erectile dysfunction. Studies involving patients with SCI and erectile
dysfunction treated with ICIs of alprostadil, papaverine, and alpha-blocking
agents, including retrospective and prospective cohorts, population studies, and
randomized controlled trials, were included. MAIN OUTCOME MEASURE: Overall
response rate to ICI for erectile dysfunction in patients with SCI. RESULTS: Of
283 studies identified, 23 involved 713 patients with SCI. ICIs resulted in
successful erections in 88% of patients (n = 713, 95% CI = 83%-92%). Erections
were obtained in 93% of patients (n = 101, 95% CI = 83%-99%) with the combination
of papaverine and phentolamine, in 91% (n = 274, 95% CI = 78%-97%) with
papaverine alone, and in 80% (n = 119, 95% CI = 64%-90%) with alprostadil. Type
of injected drug, doses, level of injury (complete or incomplete), extent of
injury, age, time since injury, and persistence or transience of erections were
evaluated, but statistical analysis could not identify specific factors
predictive of a response to ICI. CONCLUSION: ICIs are an effective treatment of
erectile dysfunction in men with SCI. No predictive factor for efficacy could be
identified. Studies comparing the response to ICI in upper vs lower motor neuron
lesions could improve our understanding of ICI failure.
PMID- 27871960
TI - Useful Implications of Low-dose Long-term Use of PDE-5 Inhibitors.
AB - INTRODUCTION: Phosphodiesterase type 5 (PDE-5) hydrolyzes cyclic guanylate
monophosphate (cGMP) specifically to 5' GMP, promoting successful corporeal
vascular relaxation and penile erection during sexual stimulation. Oral PDE-5
inhibitors such as sildenafil, vardenafil, tadalafil, and avanafil have provided
noninvasive, effective, well-tolerated treatment for erectile dysfunction (ED)
patients and, at the same time, stimulated both academic and clinical interests.
Lately, some oral PDE-5 inhibitors were released as low-dose preparations with
the concept of potential daily administration and long-term use. AIM: To
highlight the possible potential implications of low-dose long-term use of PDE-5
inhibitors. METHOD: A systematic review was carried out until December 2015 based
on a search of all concerned articles in MEDLINE, medical subjects heading (MeSH)
databases, Scopus, The Cochrane Library, EMBASE, and CINAHL databases without
language restriction. Key words used to assess the outcome and estimates for
concerned associations were: PDE-5 inhibitors; erectile dysfunction; low-dose;
long-term; sildenafil; tadalafil; vardenafil; avanafil. MAIN OUTCOME MEASURES:
Demonstrating different implications for low-dose long-term use of PDE-5
inhibitors. RESULTS: Low-dose and/or long-term use of PDE-5 inhibitors was shown
to put forth beneficial sound effects in different medical implications with
potentials that could be extended for different utilities. These implications
included sexual, urogenital, cardiovascular, pulmonary, cutaneous,
gastrointestinal, and reproductive, as well as neurological disorders. However,
it is evident that most potential appliances were carried out experimentally on
preclinical studies with off-label indications. CONCLUSION: Making use of and
exploring low-dose and/or long-term use of several PDE-5 inhibitors for their
possible implications seem to be valuable in different medical disorders.
Increased knowledge of the drug characteristics, comparative treatment regimens,
optimal prescribing patterns, and well-designed clinical trials are needed before
these agents can be recommended for use.
PMID- 27871961
TI - A History of the Penile Implant to 1974.
PMID- 27871962
TI - Penile Implant: Review of a "No-Touch" Technique.
AB - INTRODUCTION: Over 25% of the more than 725,000 cases of nosocomial infection in
the United States are related to an implantable device. Despite the standard
typical strategies available, infection rates for breast implants, cerebrospinal
shunts, and penile implants remain unacceptably high. This paper will review use
of a "no-touch" technique in varied surgical procedures from orthopedic fracture
repair, cerebrospinal fluid shunt placement, and breast
reconstruction/augmentation to penile prosthesis implantation. AIM: One of our
aims was to investigate whether the "no-touch" concept was unique to the field of
penile implants and if similar results were obtained in other subspecialties. The
other was to examine whether the low infection rate initially obtained with the
"no-touch" technique was maintained for a larger number of penile implant
procedures. METHODS: The literature was reviewed for the use of the "no-touch"
technique in procedures as varied as orthopedic fracture repair, cerebrospinal
fluid shunt placement, breast reconstruction/augmentation, and penile prosthesis
implantation. In addition, a single surgeon's experience with 3342 penile implant
surgeries with and without the use of the "no-touch" technique was reviewed. MAIN
OUTCOME MEASURE: Penile implant infection rate was examined for 3342 consecutive
cases between January 2002 and December 2014. Infection of standard technique was
compared with rate of infection with antibiotic impregnated devices and starting
in 2006 with the addition of the "no-touch" enhancement. RESULTS: Literature
review revealed that the "no-touch" technique decreased postoperative cerebral
shunt infection from 9.1% to 2.9%. Breast implant reconstruction surgical site
infection decreased from 19% to none with the "no-touch" technique. Penile
implant infection rate fell from 5.3% in 2002 to 1.99% with the use of antibiotic
impregnated devices and to 0.44% with the addition of the "no-touch" technique.
CONCLUSION: Use of a "no-touch" technique involving a mechanical barrier makes a
difference in preventing infection of an implantable device.
PMID- 27871963
TI - Uptake of silica nanoparticles in the brain and effects on neuronal
differentiation using different in vitro models.
AB - Nanomedicine offers a promising tool for therapies of brain diseases, but they
may be associated with potential adverse effects. The aim of this study was to
investigate the uptake of silica-nanoparticles engineered for laser-tissue
soldering in the brain using SH-SY5Y cells, dissociated and organotypic slice
cultures from rat hippocampus. Nanoparticles were predominantly taken up by
microglial cells in the hippocampal cultures but nanoparticles were also found in
differentiated SH-SY5Y cells. The uptake was time- and concentration-dependent in
primary hippocampal cells. Transmission electron microscopy experiments
demonstrated nanoparticle aggregates and single particles in the cytoplasm.
Nanoparticles were found in the endoplasmic reticulum, but not in other cellular
compartments. Nanoparticle exposure did not impair cell viability and
neuroinflammation in primary hippocampal cultures at all times investigated.
Neurite outgrowth was not significantly altered in SH-SY5Y cells, but the
neuronal differentiation markers indicated a reduction in neuronal
differentiation induction after nanoparticle exposure.
PMID- 27871964
TI - Caloric restriction - A promising anti-cancer approach: From molecular mechanisms
to clinical trials.
AB - Cancer is the second leading cause of death worldwide and the morbidity is
growing in developed countries. According to WHO, >14 million people per year are
diagnosed with cancer and about 8 million die. Anti-cancer strategy includes
chemo-, immune- and radiotherapy or their combination. Unfortunately, these
widely used strategies often have insufficient efficacy and significant toxic
effects on healthy cells. Consequently, the improvement of treatment approaches
is an important goal. One of promising schemes to enhance the effect of therapy
is the restriction of calorie intake or some nutrients. The combination of
caloric restriction or its chemical mimetics along with anti-cancer drugs may
suppress growth of tumor cells and enhance death of cancer cells. That will allow
the dose of therapeutic drugs to be decreased and their toxic effects to be
reduced. Here the possibility of using this combinatory therapy as well as the
molecular mechanisms underlying this approach will be discussed.
PMID- 27871965
TI - Molecular interplay between mutant p53 proteins and autophagy in cancer cells.
AB - An increasing number of studies highlight the role of mutant p53 proteins in
cancer cell growth and in the worsening of cancer patients' clinical outcome.
Autophagy has been widely recognized as a main biological event involved in both
the regulation of cancer cell proliferation and in the response of several
anticancer drugs. A thorough analysis of scientific literature underlines the
reciprocal interplay between mutant p53 proteins and autophagy regulation. In
this review, we analytically summarize recent findings, which indicate that gain
of-function (GOF) mutant p53 proteins counteract the autophagic machinery by
various molecular mechanisms including the regulation of AMPK and Akt/mTOR
pathways, autophagy-related genes (ATGs), HIF-1alpha target genes, and the
mitochondrial citrate carrier CIC. Moreover, we report that mutant p53 protein
stability is affected by lysosome-mediated degradation through macroautophagy or
chaperone-mediated autophagy, suggesting the use of autophagy stimulators to
counteract mutant p53 oncogenic activity. Finally, we discuss the functional role
of the interplay between mutant p53 proteins and autophagy in cancer progression,
a fundamental knowledge to design more effective therapies against cancers
bearing mutant TP53 gene.
PMID- 27871966
TI - Improvement in Compensation for Chronic Poststroke Homonymous Hemianopsia After
Initiation of a Selective Serotonin Reuptake Inhibitor: A Case Report.
AB - : Homonymous hemianopsia (HH) is a common adverse outcome after stroke.
Spontaneous improvement more than 6 months poststroke is thought to be unlikely,
and traditional visual rehabilitation techniques lack clear evidence of efficacy.
The case presented is of a 22-year-old woman who demonstrated improved
compensation of her stroke-induced HH after the initiation of a selective
serotonin reuptake inhibitor (SSRI). There is evidence supporting the use of
SSRIs to improve poststroke cognitive impairment, motor impairment, and
depression. This is the first case, however, demonstrating the potential novel
use SSRIs to improve HH compensation after stroke, to our knowledge. LEVEL OF
EVIDENCE: V.
PMID- 27871967
TI - Prevalence and Etiology of Hypogonadism in Young Men With Chronic Spinal Cord
Injury: A Cross-Sectional Analysis From Two University-Based Rehabilitation
Centers.
AB - BACKGROUND: Spinal cord injury (SCI) triggers an "accelerated aging" process that
may include development of hypogonadism, even among younger men with SCI;
however, few studies have investigated the prevalence or etiology of hypogonadism
in men with SCI. Young men with SCI also are at increased risk for developing
metabolic dysfunction after injury, which may be exacerbated by concomitant
testosterone (T) deficiency, thus identifying the prevalence and risk factors for
T deficiency in men with SCI is important for their long-term health. OBJECTIVE:
To investigate the prevalence, risk factors, and etiology of T deficiency
(hypogonadism) in otherwise-healthy men with chronic, motor complete SCI. DESIGN:
Secondary cross-sectional analysis. SETTING: Rehabilitation research centers in
Washington, DC, and Miami, Florida. PARTICIPANTS: Men (n = 58) aged 18-45 years
with chronic (>=1 year), motor complete SCI without comorbidities or use of
testosterone therapy. METHODS: Plasma concentrations of hormones were measured
with standardized assays. Body composition was assessed with dual-energy x-ray
absorptiometry scan. MAIN OUTCOME MEASUREMENTS: Serum total T and calculated free
T. RESULTS: T deficiency was more common in men after SCI than in a matched
cohort of similarly-aged men without SCI (25%, SCI versus 6.7%, non-SCI, P <
.001). The risk of hypogonadism appeared to be increased in men with more
extensive injury and with higher percent body fat. The majority of men with SCI
with low T had low serum LH levels, suggesting that central suppression of the
hypothalamic-pituitary-gonadal axis may be the most common etiology of
hypogonadism after SCI. CONCLUSIONS: Hypogonadism is more common in young men
with SCI than in similarly aged men without SCI, suggesting that SCI should be
identified as a risk factor for T deficiency and that routine screening for
hypogonadism should be performed in the SCI population. LEVEL OF EVIDENCE: II.
PMID- 27871968
TI - Lateral ligament reconstruction procedures for the ankle.
AB - Capsule/ligament lesions of the lateral compartment of the ankle lead to lateral
laxity, which is a prime contributor to chronic ankle instability. Lateral
ligament reconstruction stabilizes the joint. Exhaustive preoperative clinical
and paraclinical work-up is essential. The present article classifies, presents
and criticizes the main techniques in terms of long-term stabilization and
reduction of osteoarthritis risk. Anatomic ligament repair with reinforcement
(mainly extensor retinaculum) or anatomic ligament reconstruction are the two
recommended options. Non-anatomic reconstructions using the peroneus brevis
should be abandoned. Arthroscopy is increasingly being developed, but results
need assessment on longer follow-up than presently available. Postoperative
neuromuscular reprogramming is fundamental to optimal recovery. Finally, the
concept of complex ankle instability is discussed from the diagnostic and
therapeutic points of view. The various forms of ligament reconstruction failure
and corresponding treatments are reported.
PMID- 27871969
TI - Oxidized zirconium on ceramic; Catastrophic coupling.
AB - Oxidized zirconium (OxiniumTM; Smith & Nephew, Memphis, TN, USA) articulated with
polyethylene in total hip arthroplasty (THA) appeared to have the potential to
reduce wear dramatically. The thermally oxidized metal zirconium surface is
transformed into ceramic-like hard surface that is resistant to abrasion. The
exposure of soft zirconium metal under hard coverage surface after the damage of
oxidized zirconium femoral head has been described. It occurred following joint
dislocation or in situ succeeding disengagement of polyethylene liner. We
reported three cases of misuse of OxiniumTM (Smith & Nephew, Memphis, TN, USA)
heads. These three cases resulted in catastrophic in situ wear and inevitable
failure although there was no advice, indication or recommendation for this use
from the manufacturer.
PMID- 27871970
TI - Surgical management of post-traumatic atlantoaxial rotatory fixation due to C2
facet fracture: 5 clinical cases.
AB - PURPOSE: Report the results of surgical treatment of post-traumatic atlantoaxial
rotatory fixation (AARF) due to C2 articular facet fracture in adults. MATERIAL
AND METHODS: The records of five patients treated since 2009 for AARF due to a C2
articular facet fracture were analyzed retrospectively. Three women and two men
with an average age of 60 years (27-82) were included, one of whom initially had
neurological deficits. In all cases, the surgical strategy consisted of posterior
fixation: Harms-type in four cases and trans-articular with hooks in one case.
RESULTS: Dislocations due to fracture of the C2 articular facet are rare in
adults; various treatment strategies have been described. In our experience,
posterior screw fixation leads to satisfactory clinical and radiological
outcomes. Fusion is not necessary in these cases because the dislocation is
related to an asymmetric fracture without ligament damage. CONCLUSION: Posterior
fixation provides satisfactory reduction of these injuries and leads to
satisfactory bone union. This surgical treatment can be performed early on after
the trauma and is an interesting alternative to conservative treatment.
PMID- 27871971
TI - Speed-Bridge arthroscopic reinsertion of tibial eminence fracture (complementary
to the adjustable button fixation technique).
AB - In comminuted fractures of the intercondyloid eminence of the tibial spine, the
quality of the reduction and the arthroscopic fixation, notably adjustable suture
button fixation, is sometimes disappointing with reduction defects of the
anterior bone block. In the Speed-Bridge technique, the two traction sutures of
the adjustable button fixation are replaced with two braided sutures of different
colors. After the button is placed above the eminence, reduction is obtained by
tightening the loop of the button. The accessory communitive fragments are then
packed in the depression around the main fragment. A second row provides bone
suturing for these accessory fragments; traction sutures of the button are
attached anteromedially and laterally with knotless anchors to obtain a Speed
Bridge-type inverted-V bone suture. The Speed-Bridge arthroscopic reinsertion
technique of the tibial eminence effectively completes the adjustable button bone
suture technique for communitive fractures to obtain better reduction and good
stability.
PMID- 27871972
TI - Recombinant antibody fragment production.
AB - Recombinant antibodies are now very important in both therapeutics and
diagnostics and offer significant advantages over conventional antibodies. The
generation of a single-chain variable antibody fragment (scFv) (a common and
important recombinant antibody format) is used to demonstrate the construction of
a recombinant antibody library. An immunotube-based two-day panning approach,
using Escherichia coli as an expression system, is utilised for antibody
screening. The methods used for antibody selection and purification using
immobilised metal affinity chromatography (IMAC) are described.
PMID- 27871974
TI - Anti-inflammatory sesquiterpene lactones from Onopordum illyricum L.
(Asteraceae), an Italian medicinal plant.
AB - Onopordum illyricum L. is a medicinal plant used in the Mediterranean area as
antipyretic for the treatment of respiratory and urinary inflammations and to
treat skin ulcers. Repeated chromatographic purification of O. illyricum aerial
parts led to the isolation of six known sesquiterpenes, which were evaluated for
the inhibition of the pro-inflammatory transcription factors NF-kappaB and STAT3
and for the activation of the transcription factor Nrf2, which regulates the
cellular antioxidant response. Structure-activity relationships were interpreted
by the NMR-based cysteamine assay. The sesquiterpene lactone vernomelitensin
significantly inhibited NF-kappaB and STAT3, showing also a significant Nrf2
activation. Accordingly, the cysteamine assay selected vernomelitensin as the
most reactive of the isolated sesquiterpenes, identifying the alpha,beta
unsaturated aldehyde moiety as responsible for the higher (re)activity.
PMID- 27871973
TI - PAR-CLIP and streamlined small RNA cDNA library preparation protocol for the
identification of RNA binding protein target sites.
AB - The study of protein-RNA interactions is critical for our understanding of
cellular processes and regulatory circuits controlled by RNA binding proteins
(RBPs). Recent next generation sequencing-based approaches significantly promoted
our understanding of RNA biology and its importance for cell function. We present
a streamlined protocol for Photoactivatable-Ribonucleoside-Enhanced Crosslinking
and Immunoprecipitation (PAR-CLIP), a technique that allows for the
characterization of RBP binding sites on target RNAs at nucleotide resolution and
transcriptome-wide scale. PAR-CLIP involves irreversible UV-mediated crosslinking
of RNAs labeled with photoreactive nucleosides to interacting proteins, followed
by stringent purification steps and the conversion of crosslinked RNA into small
RNA cDNA libraries compatible with next-generation sequencing. The defining
hallmark of PAR-CLIP is a diagnostic mutation at the crosslinking site that is
introduced into cDNA during the library preparation process. This feature allows
for efficient computational removal of contaminating sequences derived from non
crosslinked fragments of abundant cellular RNAs. In the following, we present two
different step-by-step procedures for PAR-CLIP, which differ in the small RNA
cDNA library preparation procedure: (1) Standard library preparation involving
gel size selections after each enzymatic manipulation, and (2) A modified PAR
CLIP procedure ("on-beads" PAR-CLIP), where most RNA manipulations including the
necessary adapter ligation steps are performed on the immobilized RNP. This
streamlined procedure reduces the protocol preparation time by three days
compared to the standard workflow.
PMID- 27871975
TI - The role of the Drosophila lateral horn in olfactory information processing and
behavioral response.
AB - Animals must rapidly and accurately process environmental information to produce
the correct behavioral responses. Reactions to previously encountered as well as
to novel but biologically important stimuli are equally important, and one
understudied region in the insect brain plays a role in processing both types of
stimuli. The lateral horn is a higher order processing center that mainly
processes olfactory information and is linked via olfactory projection neurons to
another higher order learning center, the mushroom body. This review focuses on
the lateral horn of Drosophila where most functional studies have been performed.
We discuss connectivity between the primary olfactory center, the antennal lobe,
and the lateral horn and mushroom body. We also present evidence for the lateral
horn playing roles in innate behavioral responses by encoding biological valence
to novel odor cues and in learned responses to previously encountered odors by
modulating neural activity within the mushroom body. We describe how these
processes contribute to acceptance or avoidance of appropriate or inappropriate
mates and food, as well as the identification of predators. The lateral horn is a
sexually dimorphic and plastic region of the brain that modulates other regions
of the brain to ensure that insects produce rapid and effective behavioral
responses to both novel and learned stimuli, yet multiple gaps exist in our
knowledge of this important center. We anticipate that future studies on
olfactory processing, learning, and innate behavioral responses will include the
lateral horn in their examinations, leading to a more comprehensive understanding
of olfactory information relay and resulting behaviors.
PMID- 27871976
TI - Developmental and reproductive responses of the spruce budworm (Lepidoptera:
Tortricidae) parasitoid Tranosema rostrale (Hymenoptera: Ichneumonidae) to
temperature.
AB - The temperature-dependent development and survival of immatures, as well as adult
longevity and potential fecundity of the endoparasitoid Tranosema rostrale
(Hymenoptera: Ichneumonidae) parasitizing spruce budworm Choristoneura fumiferana
(Lepidoptera: Tortricidae) larvae was investigated under laboratory conditions at
several constant temperatures ranging from 5 to 30 degrees C. Maximum likelihood
modeling approaches were used to estimate thermal responses in development,
survival, and longevity. A model describing the effect of temperature on
potential fecundity of the parasitoid was also developed taking oogenesis and
oosorption into account. In-host and pupal development rates of the parasitoid
increased with temperature up to 25 degrees C, and decreased thereafter. Immature
survival was highest below 20 degrees C, and rapidly decreased at higher
temperatures. Adult longevity decreased exponentially with increasing temperature
for both males and females. Highest potential fecundity was reached at 10 degrees
C. Considering survival and potential fecundity, the parasitoid seems best
adapted to cool temperatures below 20 degrees C. Simulations of the life-history
traits under variable temperature regimes indicate that temperature fluctuations
decrease survival and increase realised fecundity compared to constant
temperatures. The temperature-dependent fecundity model developed can be applied
to other non-host-feeding synovigenic parasitoids. The equations and parameter
estimates provided in this paper can be used to build comprehensive models
predicting the seasonal phenology of this parasitoid and spruce budworm
parasitism under changing climatic conditions.
PMID- 27871977
TI - A time-course regulatory and kinetic expression study of steroid metabolizing
enzymes by calcitriol in primary cultured human placental cells.
AB - 1,25-dihydroxivitamin D3 (calcitriol), is a secoesteroid involved in several
placental functions. In particular, we and others showed that calcitriol
regulates peptides, proteins, cytokines and hormones production in human
trophoblastic cells. On the other hand, calcitriol modifies the activity and
expression of some steroidogenic enzymes, a process that is considered tissue
specific. However, the effects of calcitriol on the expression of enzymes
involved in the synthesis of sex steroids in placental tissue have not yet been
entirely studied. The aim of the present study was to investigate the effects of
calcitriol upon gene expression of several steroid enzymes such as cytochrome
P450scc (CYP11A1), type 1 3beta-hydroxysteroid dehydrogenase(3beta-HSDI), 17beta
HSD3, 17alpha-hydroxylase/17,20 lyase (CYP17A1) and aromatase (CYP19A1) in
primary cultures of human placental cells. Cell cultures were performed using
placentas obtained immediately after delivery by caesarean section from
normotensive healthy women and calcitriol effects were evaluated, at level of
transcription, by qPCR. The results showed that: 1) from basal expression values
of the five genes studied, 3beta-HSDI was the most expressed gene (P<0.05); 2)
basal expression of all enzymes was significantly higher in cultured
syncytiotrophoblast than in cytotrophoblasts (P<0.05); 3) the presence of
calcitriol in cultured trophoblast cells generally resulted in a stimulatory
effect of CYP11A1, CYP19A1 and 17beta-HSD3 gene expression at 3h of treatment
whereas 3beta-HSDI was induced at 6h (P<0.05). However, a time-dependent variable
was also observed; 4) protein expression of CYP11A1 and 3beta-HSDI were not
modified significantly by calcitriol, however that of CYP19A1 was regulated in
similar fashion as gene expression. In conclusion, calcitriol affected in a time
dependent manner the expression of steroids metabolizing enzymes in human
placental cell cultures.
PMID- 27871979
TI - Sex-specific effects of dehydroepiandrosterone (DHEA) on glucose metabolism in
the CNS.
AB - DHEA is a neuroactive steroid, due to its modulatory actions on the central
nervous system (CNS). DHEA is able to regulate neurogenesis, neurotransmitter
receptors and neuronal excitability, function, survival and metabolism. The
levels of DHEA decrease gradually with advancing age, and this decline has been
associated with age related neuronal dysfunction and degeneration, suggesting a
neuroprotective effect of endogenous DHEA. There are significant sex differences
in the pathophysiology, epidemiology and clinical manifestations of many
neurological diseases. The aim of this study was to determine whether DHEA can
alter glucose metabolism in different structures of the CNS from male and female
rats, and if this effect is sex-specific. The results showed that DHEA decreased
glucose uptake in some structures (cerebral cortex and olfactory bulb) in males,
but did not affect glucose uptake in females. When compared, glucose uptake in
males was higher than females. DHEA enhanced the glucose oxidation in both males
(cerebral cortex, olfactory bulb, hippocampus and hypothalamus) and females
(cerebral cortex and olfactory bulb), in a sex-dependent manner. In males, DHEA
did not affect synthesis of glycogen, however, glycogen content was increased in
the cerebral cortex and olfactory bulb. DHEA modulates glucose metabolism in a
tissue-, dose- and sex-dependent manner to increase glucose oxidation, which
could explain the previously described neuroprotective role of this hormone in
some neurodegenerative diseases.
PMID- 27871978
TI - Differences in testosterone and its precursors by sex of the offspring in
meconium.
AB - Prenatal metabolism exerts profound effects on development. The first stool of
the newborn, meconium, provides a window into the prenatal metabolic environment.
The objective of this study was to examine the feasibility of meconium as a novel
matrix to quantify prenatal steroid levels. We quantified parameters of
analytical interest regarding the use of meconium, including sample stability. We
hypothesized that meconium steroid content would differ by sex, prompting
analysis of meconium to test effects of prenatal steroid metabolism. Meconium
from 193 newborns enrolled in the Early Autism Risk Longitudinal Investigation
(EARLI) study, including 107 males, and 86 females, were analyzed by isotope
dilution-liquid chromatography-high resolution mass spectrometry (ID-LC-HRMS)
while blinded to identity for testosterone (T), androstenedione (AD), and
dehydroepiandrosterone (DHEA). Steroid levels were compared by sex, and
investigations of potential trends resulting from sample storage or processing
was conducted. The unconjugated steroid content of meconium in ng/g (mean,
standard deviation) was for males: T (2.67, 8.99), AD (20.01, 28.12), DHEA
(13.96, 23.57) and for females: T (0.82, 1.63), AD (22.32, 24.38), DHEA (21.06,
43.49). T was higher in meconium from males (p=0.0333), and DHEA was higher in
meconium from females (p=0.0202). 6 female and 3 male T values were below the
limit of detection. No extreme variability in hydration or trend in steroid
levels by storage time was detected. Sexually dimorphic levels of hormones may
reflect gestational differentiation, and future studies should consider meconium
analysis.
PMID- 27871981
TI - Oral exposure to diisodecyl phthalate aggravates allergic dermatitis by oxidative
stress and enhancement of thymic stromal lymphopoietin.
AB - Diisodecyl phthalate (DIDP) is extensively used as an environmentally friendly
plasticizer. However, little is known about the adverse effects and the
underlying mechanisms of DIDP exposure on immunological diseases. We aimed to
determine the role and mechanisms of DIDP exposure in allergic contact dermatitis
like skin lesions. We show that oral DIDP exposure can aggravate allergic
dermatitis in mice. Moreover, an increase of ROS, total serum IgE and IL-4 levels
were concomitant with this deterioration. We detected the expression of Thymic
stromal lymphopoietin (TSLP) and the activation of STATs and NF-kappaB signal
pathways. The data indicated that DIDP in combination with FITC triggers TSLP
production. Our results also suggested that DIDP exacerbated the activation of NF
kappaB signal pathways, with an enhancement in TSLP expression, which potentiated
the activation of STATs and the degranulation of mast cells in the skin, and
finally exacerbated allergic dermatitis. The study also suggested that melatonin
enhanced the expression of Nrf2, up-regulated the antioxidant genes HO-1 and
NQO1, reduced the levels of oxidative stress and TSLP, and alleviated allergic
dermatitis. The results demonstrated that DIDP exacerbated allergic dermatitis
through oxidative stress and enhanced TSLP production.
PMID- 27871980
TI - Low dose assessment of the carcinogenicity of furan in male F344/N Nctr rats in a
2-year gavage study.
AB - Furan is a volatile organic chemical that is a contaminant in many common foods.
Furan is hepatocarcinogenic in mice and rats; however, the risk to humans from
dietary exposure to furan cannot be estimated accurately because the lowest
tested dose of furan in a 2-year bioassay in rats gave nearly a 100% incidence of
cholangiocarcinoma. To provide bioassay data that can be used in preparing risk
assessments, the carcinogenicity of furan was determined in male F344/N Nctr rats
administered 0, 0.02, 0.044, 0.092, 0.2, 0.44, 0.92, and 2 mg furan/kg body
weight (BW) by gavage 5 days/week for 2 years. Exposure to furan was associated
with the development of malignant mesothelioma on membranes surrounding the
epididymis and on the testicular tunics, with the increase being significant at 2
mg furan/kg BW. There was also a dose-related increase in the incidence of
mononuclear cell leukemia, with the increase in incidence being significant at
0.092, 0.2, 0.92, and 2 mg furan/kg BW. Dose-related non-neoplastic liver lesions
included cholangiofibrosis, mixed cell foci, basophilic foci, biliary tract
hyperplasia, oval cell hyperplasia, regenerative hyperplasia, and cytoplasmic
vacuolization. The most sensitive non-neoplastic lesion was cholangiofibrosis,
the frequency of which increased significantly at 0.2 mg furan/kg BW.
PMID- 27871982
TI - Filipendula ulmaria extracts attenuate cisplatin-induced liver and kidney
oxidative stress in rats: In vivo investigation and LC-MS analysis.
AB - Filipendula ulmaria, known as meadowsweet, is a perennial herb found in wild and
cultivated habitats in Europe and Asia. Usage of F. ulmaria in traditional
medicine is based on diuretic, astringent, antirheumatic, and anti-inflammatory
properties of this plant. Exposure to cisplatin at a dose of 7.5 mg/kg caused
significant increase in serum parameters of liver and kidneys function and tissue
oxidative stress markers along with some histopathological changes in liver and
kidney tissues of experimental rats, as well as high level of genotoxicity.
Administration of F. ulmaria extracts in three different concentrations (100,
200, and 400 mg/kg/day) for 10 days resulted in a reduction of oxidative stress
in tissues and decrease of serum parameters. Moreover, tested extracts attenuated
the genotoxicity of cisplatin in reverse dose-dependent manner. F. ulmaria
extracts had no in vitro cytotoxic activity at all applied concentrations (IC50 >
50 MUg/mL). Tested extracts, rich in polyphenolic compounds, attenuate cisplatin
induced liver and kidney oxidative stress, reduce tissue damage, and enhance the
antioxidative status of experimental animals during cisplatin application.
Therefore, F. ulmaria extracts may be used as supportive agent for the prevention
and amelioration of cisplatin side effects.
PMID- 27871983
TI - Safer, but not perfect.
PMID- 27871984
TI - Reply to the Editor- Anticoagulation in atrial fibrillation after intracranial
hemorrhage: could the hemorrhage location influence the outcome?
PMID- 27871985
TI - To the Editor- Anticoagulation in atrial fibrillation after intracranial
hemorrhage: Could the hemorrhage location influence the outcome?
PMID- 27871986
TI - Second-generation cryoballoon ablation without the use of real-time recordings: A
novel strategy based on a temperature-guided approach to ablation.
AB - BACKGROUND: The achievement of -40 degrees C within the first 60 seconds during
cryoenergy applications has proven to independently predict durable pulmonary
vein (PV) isolation in the setting of second-generation cryoballoon (CB-A;
Medtronic, Minneapolis, MN) ablation. OBJECTIVE: We sought to evaluate a strategy
based on the attainment of the specific parameter of -40 degrees C within the
first 60 seconds during cryoenergy applications in the setting of CB-A ablation
without the use of an inner lumen mapping catheter (Achieve, Medtronic) for the
visualization of real-time recordings. METHODS: A total of 52 patients having
undergone CB ablation for paroxysmal atrial fibrillation (AF) between 1 February
2015 and 30 June 2015 who underwent a temperature-guided approach based on
achieving -40 degrees C within 60 seconds without real-time recordings (wire
group) were compared with a cohort of 52 propensity score-matched patients having
undergone CB ablation performed with an inner lumen mapping catheter (Achieve
group). All PVs were checked for electrical isolation at the end of the procedure
with a circular mapping catheter in the wire group. RESULTS: Electrical isolation
could be obtained in all patients in the Achieve group and in 99% of PVs in the
wire group. Freedom from AF without antiarrhythmic drugs at a mean follow-up of
12.4 +/- 3.0 months did not significantly differ between both groups (85% vs 88%,
respectively; P = .56). CONCLUSION: A temperature-guided approach based on
achieving -40 degrees C within 60 seconds is effective in producing PV isolation
and affords freedom from AF at 12-month follow-up in 85% of patients affected by
paroxysmal AF after a 3-month blanking period.
PMID- 27871987
TI - Body surface mapping using an ECG belt to characterize electrical heterogeneity
for different left ventricular pacing sites during cardiac resynchronization:
Relationship with acute hemodynamic improvement.
AB - BACKGROUND: Electrical heterogeneity (EH) during cardiac resynchronization
therapy may vary with different left ventricular (LV) pacing sites. OBJECTIVE:
The purpose of this study was to evaluate the relationship between such changes
and acute hemodynamic response (AHR). METHODS: Two EH metrics-standard deviation
of activation times and mean left thorax activation times-were computed from
isochronal maps based on 53-electrode body surface mapping during baseline AAI
pacing and biventricular (BiV) pacing from different pacing sites in coronary
veins in 40 cardiac resynchronization therapy-indicated patients. AHR at
different sites was evaluated by invasive measurement of LV-dp/dtmax at baseline
and BiV pacing, along with right ventricular (RV)-LV sensing delays and QRS
duration. RESULTS: The site with the greatest combined reduction in standard
deviation of activation times and left thorax activation times from baseline to
BiV pacing was hemodynamically optimal (defined by AHR equal to, or within 5% of,
the largest dp/dt response) in 35 of 40 patients (88%). Sites with the longest RV
LV and narrowest paced QRS were hemodynamically optimal in 26 of 40 patients
(65%) and 28 of 40 patients (70%), respectively. EH metrics from isochronal maps
had much better accuracy (sensitivity 90%, specificity 80%) for identifying
hemodynamically responsive sites (?LV dp/dtmax >=10%) compared with RV-LV delay
(69%, 85%) or paced QRS reduction (52%, 76%). Multivariate prediction model based
on EH metrics showed significant correlation (R2 = 0.53, P <.001) between
predicted and measured AHR. CONCLUSION: Changes in EH from baseline to BiV pacing
more accurately identified hemodynamically optimal sites than RV-LV delays or
paced QRS shortening. Optimization of LV lead location by minimizing EH during
BiV pacing, based on body surface mapping, may improve CRT response.
PMID- 27871989
TI - Quality by design (QbD) approach of pharmacogenomics in drug designing and
formulation development for optimization of drug delivery systems.
AB - Conventional approaches of drug discovery are very complex, costly and time
consuming. But after the completion of human genome project, applications of
pharmacogenomics in this area completely revolutionize the drug discovery and
development process to produce a quality by design (QbD) approach based products.
The applications of two areas of pharmacogenomics i.e. structural and functional
pharmacogenomics excel the drug discovery process by employing genomic data in
drug target identification and evaluation, lead optimization via high throughput
screening, evaluation of drug metabolizing enzymes, drug transporters and drug
receptors using computer aided technique and bioinformatics library data base.
Pharmacogenomics also provides an important and reliable basis for evaluation and
optimization of the dosage forms as well as repositioning of failed drugs for the
treatment of new disease. Various dosage forms of category of drugs such as
anticancer drugs, vaccines, gene and DNA delivery systems and immunological
agents can be easily evaluated based on the genetic markers of the related
disease. The effect of different formulation polymers on pharmacokinetic and
pharmacodynamic properties of drugs can be assessed easily and therefore it plays
an important role in formulation optimization. However, current applications of
pharmacogenomics in drug discovery and formulation optimization are very limited
because of costly and non accessible techniques for everyone, but in future, with
the advancement in the technology; the application of genomic data in drug
discovery will provide us with innovative, safer and more efficacious medicines.
PMID- 27871988
TI - Sonoporation with Acoustic Cluster Therapy (ACT(r)) induces transient tumour
volume reduction in a subcutaneous xenograft model of pancreatic ductal
adenocarcinoma.
AB - Pancreatic ductal adenocarcinoma (PDAC) remains one of the deadliest cancers with
survival averaging only 3months if untreated following diagnosis. A major
limitation in effectively treating PDAC using conventional and targeted
chemotherapeutic agents, is inadequate drug delivery to the target location,
predominantly due to a poorly vascularised, desmoplastic tumour microenvironment.
Ultrasound in combination with ultrasound contrast agents, i.e., microbubbles,
that flow through the vasculature and capillaries can be used to disrupt such
mechanical barriers, potentially allowing for a greater therapeutic efficacy.
This phenomenon is commonly referred to as sonoporation. In an attempt to improve
the efficacy of sonoporation, novel microbubble formulations are being developed
to address the limitation of commercially produced clinical diagnostic ultrasound
contrast agents. In our work here we evaluate the ability of a novel formulation;
namely Acoustic Cluster Therapy (ACT(r)) to improve the therapeutic efficacy of
the chemotherapeutic agent paclitaxel, longitudinally in a xenograft model of
PDAC. Results indicated that ACT(r) bubbles alone demonstrated no observable
toxic effects, whilst ACT(r) in combination with paclitaxel can transiently
reduce tumour volumes significantly, three days posttreatment (p=0.0347-0.0458).
Quantitative 3D ultrasound validated the calliper measurements. Power Doppler
ultrasound imaging indicated that ACT(r) in combination with paclitaxel was able
to transiently sustain peak vasculature percentages as observed in the initial
stages of tumour development. Nevertheless, there was no significant difference
in tumour vasculature percentage at the end of treatment. The high vascular
percentage correlated to the transient decrease and overall inhibition of the
tumour volumes. In conclusion, ACT(r) improves the therapeutic efficacy of
paclitaxel in a PDAC xenograft model allowing for transient tumour volume
reduction and sustained tumour vasculature percentage.
PMID- 27871990
TI - Multifunctional gold-nanoparticles: A nanovectorization tool for the targeted
delivery of novel chemotherapeutic agents.
AB - Due to their small size and unique properties, multifunctional nanoparticles
arise as versatile delivery systems easily grafted with a vast array of
functional moieties, such as anticancer cytotoxic chemotherapeutics and targeting
agents. Here, we formulated a multifunctional gold-nanoparticle (AuNP) system
composed of a monoclonal antibody against epidermal growth factor receptor (EGFR)
(anti-EGFR D-11) for active targeting and a Co(II) coordination compound
[CoCl(H2O)(phendione)2][BF4] (phendione=1,10-phenanthroline-5,6-dione) (TS265)
with proven antiproliferative activity towards cancer cells (designated as
TargetNanoTS265). The efficacy of this nanoformulation, and the non-targeted
counterpart (NanoTS265), were evaluated in vitro using cancer cell models and in
vivo using mice xenografts. Compared to the free compound, both nanoformulations
(TargetNanoTS265 and NanoTS265) efficiently delivered the cytotoxic cargo in a
controlled selective manner due to the active targeting, boosting tumor
cytotoxicity. Treatment of HCT116-derived xenografts tumors with TargetNanoTS265
led to 93% tumor reduction. This simple conceptual nanoformulation demonstrates
the potential of nanovectorization of chemotherapeutics via simple assembly onto
AuNPs of BSA/HAS-drug conjugates that may easily be expanded to suit other cargo
of novel compounds that require optimized controlled delivery to cancer target.
PMID- 27871992
TI - To exploit the tumor microenvironment: Since the EPR effect fails in the clinic,
what is the future of nanomedicine?
AB - Tumor targeting by nanomedicine-based therapeutics has emerged as a promising
approach to overcome the lack of specificity of conventional chemotherapeutic
agents and to provide clinicians the ability to overcome shortcomings of current
cancer treatment. The major underlying mechanism of the design of nanomedicines
was the Enhanced Permeability and Retention (EPR) effect, considered as the
"royal gate" in the drug delivery field. However, after the publication of
thousands of research papers, the verdict has been handed down: the EPR effect
works in rodents but not in humans! Thus the basic rationale of the design and
development of nanomedicines in cancer therapy is failing making it necessary to
stop claiming efficacy gains via the EPR effect, while tumor targeting cannot be
proved in the clinic. It is probably time to dethrone the EPR effect and to ask
the question: what is the future of nanomedicines without the EPR effect? The aim
of this review is to provide a general overview on (i) the current state of the
EPR effect, (ii) the future of nanomedicine and (iii) the strategies of
modulation of the tumor microenvironment to improve the delivery of nanomedicine.
PMID- 27871991
TI - Tumor-targeted micelle-forming block copolymers for overcoming of multidrug
resistance.
AB - New amphiphilic diblock polymer nanotherapeutics serving simultaneously as a drug
delivery system and an inhibitor of multidrug resistance were designed,
synthesized, and evaluated for their physico-chemical and biological
characteristics. The amphiphilic character of the diblock polymer, containing a
hydrophilic block based on the N-(2-hydroxypropyl)methacrylamide copolymer and a
hydrophobic poly(propylene oxide) block (PPO), caused self-assembly into polymer
micelles with an increased hydrodynamic radius (Rh of approximately 15nm) in
aqueous solutions. Doxorubicin (Dox), as a cytostatic drug, was bound to the
diblock polymer through a pH-sensitive hydrazone bond, enabling prolonged
circulation in blood, the delivery of Dox into a solid tumor and the subsequent
stimuli-sensitive controlled release within the tumor mass and tumor cells at a
decreased pH. The applicability of micellar nanotherapeutics as drug carriers was
confirmed by an in vivo evaluation using EL4 lymphoma-bearing C57BL/6 mice. We
observed significantly higher accumulation of micellar conjugates in a solid
tumor because of the EPR effect compared with similar polymer-drug conjugates
that do not form micellar structures or with the parent free drug. In addition,
highly increased anti-tumor efficacy of the micellar polymer nanotherapeutics,
even at a sub-optimal dose, was observed. The presence of PPO in the structure of
the diblock polymer ensured, during in vitro tests on human and mouse drug
sensitive and resistant cancer cell lines, the inhibition of P-glycoprotein, one
of the most frequently expressed ATP-dependent efflux pump that causes multidrug
resistance. In addition, we observed highly increased rate of the uptake of the
diblock polymer nanotherapeutics within the cells. We suppose that combination of
unique properties based on MDR inhibition, stimuli sensitiveness (pH sensitive
activation of drug), improved pharmacokinetics and increased uptake into the
cells made the described polymer micelle a good candidate for investigation as
potential drug delivery system.
PMID- 27871993
TI - MSC exosome as a cell-free MSC therapy for cartilage regeneration: Implications
for osteoarthritis treatment.
AB - Mesenchymal stem cell (MSC) therapies have demonstrated efficacy in cartilage
repair in animal and clinical studies. The efficacy of MSC-based therapies which
was previously predicated on the chondrogenic potential of MSC is increasingly
attributed to the paracrine secretion, particularly exosomes. Exosomes are
thought to function primarily as intercellular communication vehicles to transfer
bioactive lipids, nucleic acids (mRNAs and microRNAs) and proteins between cells
to elicit biological responses in recipient cells. For MSC exosomes, many of
these biological responses translated to a therapeutic outcome in injured or
diseased cells. Here, we review the current understanding of MSC exosomes,
discuss the possible mechanisms of action in cartilage repair within the context
of the widely reported immunomodulatory and regenerative potency of MSC exosomes,
and provide new perspectives for development of an off-the-shelf and cell-free
MSC therapy for treatment of cartilage injuries and osteoarthritis.
PMID- 27871994
TI - Root bark of Morus alba ameliorates the depressive-like behaviors in diabetic
rats.
AB - Diabetes-induced depression is one of the severe chronic complications of
diabetes mellitus. Up to now, there are only a few effective medicines to prevent
or manage the co-morbidity of diabetes and depression. The present study was to
investigate the effect of root bark of Morus alba (RBM) on depressive-like
behaviors in the diabetic rats established by a high fat diet and a low dose of
streptozotocin. Depressive-like behaviors were measured by the open field test,
locomotor activity test and forced swimming test. Plasma glucose and lipid
parameters were also measured. Expression of Brain-derived neurotrophic factor
(BDNF) and phosphorylation of extracellular signal-regulated kinase (ERK) and Akt
in the prefrontal cortex (PFC) were assessed. The results showed that a 4-week
administration of RBM (10g/kg, ig) significantly reversed the depressive-like
behaviors. BDNF expression and phosphorylation of ERK and Akt were increased in
the PFC following RBM treatment in the diabetic rats. The data demonstrated that
RBM could improve the depressive-like behaviors induced by diabetes, suggesting a
therapeutic potential of RBM for the diabetes-associated depression.
PMID- 27871996
TI - The role of glucocorticoids in emotional memory reconsolidation.
AB - Glucocorticoids are secreted following exposure to stressful events. Their
modulating role on memory reconsolidation, a post-retrieval process of re
stabilization, has been investigated only recently, at times with conflicting
results. The goal of this review is twofold. First, to establish the modulating
role of glucocorticoids on memory reconsolidation. Second, to point the potential
factors and confounds that might explain the seemingly paradoxical findings. Here
we review recent pharmacological studies, conducted in rodents and humans, which
suggest a critical role of glucocorticoids in this post-retrieval process. In
particular, the activation of glucocorticoid receptors in the amygdala and
hippocampus is suggested to be involved in emotional memories reconsolidation,
pointing to a similarity between post-retrieval reconsolidation and initial
memory consolidation. In addition, based on the general reconsolidation
literature, we suggest several factors that might play a role in determining the
direction and strength of the reconsolidation effect following glucocorticoids
treatment: memory-related factors, manipulation-related factors, and individual
differences. We conclude that only when taking these additional factors into
account can the paradox be resolved.
PMID- 27871995
TI - Outbred CD1 mice are as suitable as inbred C57BL/6J mice in performing social
tasks.
AB - Inbred mouse strains have been used preferentially for behavioral testing over
outbred counterparts, even though outbred mice reflect the genetic diversity in
the human population better. Here, we compare the sociability of widely available
outbred CD1 mice with the commonly used inbred C57BL/6J (C57) mice in the one
chamber social interaction test and the three-chamber sociability test. In the
one-chamber task, intra-strain pairs of juvenile, non-littermate, male CD1 or C57
mice display a series of social and aggressive behaviors. While CD1 and C57 pairs
spend equal amount of time socializing, CD1 pairs spend significantly more time
engaged in aggressive behaviors than C57 mice. In the three-chamber task,
sociability of C57 mice was less dependent on acclimation paradigms than CD1
mice. Following acclimation to all three chambers, both groups of age-matched
male mice spent more time in the chamber containing a stranger mouse than in the
empty chamber, suggesting that CD1 mice are sociable like C57 mice. However, the
observed power suggests that it is easier to achieve statistical significance
with C57 than CD1 mice. Because the stranger mouse could be considered as a novel
object, we assessed for a novelty effect by adding an object. CD1 mice spend more
time in the chamber with a stranger mouse than that a novel object, suggesting
that their preference is social in nature. Thus, outbred CD1 mice are as
appropriate as inbred C57 mice for studying social behavior using either the
single or the three-chamber test using a specific acclimation paradigm.
PMID- 27871997
TI - Survival and prevalence of Clostridium difficile in manure compost derived from
pigs.
AB - Pigs, particularly piglets, have been identified as reservoir hosts of
Clostridium difficile. To examine the survival ability of this pathogen in pig
feces-based manure compost, C. difficile spores, which were prepared to contain
as few vegetative cells as possible, were artificially inoculated into pig feces
and incubated at different temperatures. While C. difficile survived in the feces
incubated at temperatures below 37 degrees C for over 30 days, cell numbers
gradually decreased at thermophilic temperatures (over 55 degrees C; p < 0.05).
Next, to clarify the prevalence of C. difficile in field manure compost, we
isolated and characterized C. difficile from the final products of manure compost
products of 14 pig farms. A total of 11 C. difficile strains were isolated from 5
of 14 (36% positive rate) samples tested. Of these 11 strains, 82% were
toxigenic, with ribotype 078 being the most prevalent. Thus, the application of
composted manure to land therefore poses a possible risk of C. difficile transfer
to the food chain.
PMID- 27871999
TI - What Happens in Vegas.
PMID- 27871998
TI - Isolation of a sulfide-producing bacterial consortium from cooling-tower water:
Evaluation of corrosive effects on galvanized steel.
AB - Sulfidogenic Clostridia and sulfate reducing bacteria (SRB) often cohabit in
nature. The presence of these microorganisms can cause microbially influenced
corrosion (MIC) of materials in different ways. To investigate this aspect,
bacteria were isolated from cooling tower water and used in corrosion tests of
galvanized steel. The identity of the isolates was determined by comparative
sequence analysis of PCR-amplified 16S rDNA gene fragments, separated by
denaturing gradient gel electrophoresis (DGGE). This analysis showed that, in
spite of the isolation process, colonies were not pure and consisted of a mixture
of bacteria affiliated with Desulfosporosinus meridiei and Clostridium sp. To
evaluate the corrosive effect, galvanized steel coupons were incubated with a
mixed culture for 4, 8, 24, 72, 96, 168, 360 and 744 h, along with a control set
in sterile culture medium only. The corrosion rate was determined by weight loss,
and biofilm formation and corroded surfaces were observed by scanning electron
microscopy (SEM). Although the sulfide-producing bacterial consortium led to a
slight increase in the corrosion of galvanized steel coupons, when compared to
the previous studies it can be said that Clostridium sp. can reduce the corrosive
effect of the Desulfosporosinus sp. strain.
PMID- 27872001
TI - Psychosocial Approaches for Sexual Health and Intimate Relationships Among
Patients With Serious Mental Illness.
AB - INTRODUCTION: The sexual health and behavior and the intimate relationships of
patients diagnosed with a serious mental illness (SMI) have been described as
ongoing and often ignored concerns in mental health treatment. Evidence-based
psychosocial interventions have emerged as effective complimentary approaches to
address symptoms of SMI in conjunction with psychopharmacology, yet rarely do
they address sexual concerns in a targeted manner. AIM: This systematic review
explores the scope and efficacy of psychosocial interventions designed to address
sexual health and behavior and intimate relationship concerns in patients with
SMI. METHODS: The search was conducted in four targeted databases and identified
967 articles with four of those meeting inclusion criteria for this review. MAIN
OUTCOME MEASURES: The data extracted included setting, study sample, study
design, outcome measures, data analysis, and results. The measures utilized in
the studies assess mental and sexual health-related outcomes. RESULTS: All four
studies reported an improvement in sexual and mental health outcomes. CONCLUSION:
Given the lack of psychosocial approaches and culturally sensitive adaptations,
this review highlights a gap in literature that should be addressed, particularly
emphasizing their combined treatment with psychotropic medication and efficacy
testing with diverse populations.
PMID- 27872000
TI - Is Testosterone a Food for the Brain?
AB - INTRODUCTION: Testosterone is fundamental for psychological, sexological,
cognitive, and reproductive aspects, and its lack or reduction largely impacts
the quality of life in males and females. AIM: Therefore, the aim of this review
is to describe the role of testosterone in the neurophysiology of the brain and
related aspects regarding the quality of general and sexual life. METHODS: We
listed and discussed the principal studies on the role of testosterone in the
brain regarding sexual health, psychopathological conditions, and the elderly.
The search strategies were composed by the insertion of specific terms in PubMed
regarding the main studies from January 2000 to June 2015. MAIN OUTCOME MEASURES:
Using a psychoneuroendocrinologic perspective, we considered 4 main sections:
brain and testosterone, sexuality and testosterone, psychopathology and
testosterone, and cognitive impairment and testosterone. RESULTS: Much evidence
on the neuroendocrinology of testosterone regarding brain activity, sexual
function, psychological health, and senescence was found. In any case, it is
known that testosterone deficiency negatively impacts quality of life, first, but
not exclusively, through a central effect. Moreover, testosterone and androgen
receptors are differently expressed according to age and gender. This aspect
contributes to gender differences and to the dimorphic physiological role of this
hormone. CONCLUSION: A universal role for testosterone can be recognized: low
levels of testosterone are associated with mental disorders, sexual dysfunction,
and cognitive impairment in both sexes. Hence, physicians should carefully assess
testosterone levels, not only in the management of sexual dysfunctions but also
when seeking to help patients with severe mental or organic diseases.
PMID- 27872002
TI - Gender Dysphoria and Autism Spectrum Disorder: A Systematic Review of the
Literature.
AB - INTRODUCTION: There is a growing clinical recognition that a significant
proportion of patients with gender dysphoria have concurrent autism spectrum
disorder (ASD). AIM: The purpose of this review is to systematically appraise the
current literature regarding the co-occurrence of gender dysphoria and ASD.
METHODS: A systematic literature search using Medline and PubMed, PsycINFO, and
Embase was conducted from 1966 to July 2015. MAIN OUTCOME MEASURES: Fifty-eight
articles were generated from the search. Nineteen of these publications met the
inclusion criteria. RESULTS: The literature investigating ASD in children and
adolescents with gender dysphoria showed a higher prevalence rate of ASD compared
with the general population. There is a limited amount of research in adults.
Only one study showed that adults attending services for gender dysphoria had
increased ASD scores. Another study showed a larger proportion of adults with
atypical gender identity and ASD. CONCLUSION: Although the research is limited,
especially for adults, there is an increasing amount of evidence that suggests a
co-occurrence between gender dysphoria and ASD. Further research is vital for
educational and clinical purposes.
PMID- 27872003
TI - Primary and Secondary Provoked Vestibulodynia: A Review of Overlapping and
Distinct Factors.
AB - INTRODUCTION: A common subtype of vulvodynia is provoked vestibulodynia (PVD),
characterized by severe pain upon contact to the vaginal entrance. Some
researchers have further delineated the PVD group based on pain onset (primary vs
secondary PVD, referred to as PVD1 and PVD2, respectively). AIM: This study aims
to review available evidence regarding sociodemographic variables, pain
characteristics, medical history and examination findings, quantitative sensory
testing, genetic markers, psychosocial/sexual/relationship function, treatment
outcome, and brain imaging in women with PVD1 and PVD2. METHODS: All available
data related to PVD1 and PVD2 were reviewed. MAIN OUTCOME MEASURES: There is
mixed evidence supporting the assumption that women with PVD1 fare worse on all
variables investigated. RESULTS: The review indicated that although women with
PVD1 seem to fare worse on many variables examined (eg, pain severity, genetic
markers), many studies also indicated no significant group differences or-less
commonly-that women with PVD2 fare worse on some variables (eg, sexual function).
CONCLUSION: Although it has been suggested that different pathophysiologic
processes are involved in the development and maintenance of PVD1 and PVD2, the
data reviewed were mixed. While most studies indicated that women with PVD1 have
higher pain intensity, higher sensitivity, more genetic influence, more evidence
of inflammation, lower successful treatment outcomes, and different neural
activation patterns and structural findings, these results were not consistently
reported. In addition, the data for subgroup differences in psychosocial, sexual,
and relationship variables were not convincing. A more precise definition of
primary and secondary PVD is needed, and importantly, prospective, longitudinal
studies are essential for clarifying any differences within these PVD subgroups.
PMID- 27872004
TI - HIV and Men.
AB - INTRODUCTION: Since the initial description of HIV and AIDS, monumental efforts
have been made both in the developed and developing countries to devise
strategies and medications to control the disease. The advent of highly active
antiretroviral therapy has now meant that the diagnosis of HIV is no longer a
life-sentence and compliant patients with HIV can expect life expectancy similar
to their noninfected peers. Consequently new challenges have arisen in the
management of benign conditions. AIM: To provide an overview of the key
conditions and issues that HIV/AIDS patients may present with to an andrological
service. METHODS: Using PubMed, we screened the literature for studies on common
andrological conditions specifically pertaining to HIV and AIDS. MAIN OUTCOME
MEASURES: The urological manifestations of HIV/AIDS in men have been summarized
in an attempt to provide a useful guide for sexual health practitioners dealing
with HIV-positive men. RESULTS: As a result of advancements in pharmaceuticals,
life expectancy of men infected with HIV has improved almost to that of the
general population in developed countries. Therefore, clinicians are faced with
non-life-threatening urological problems that affect the quality of life of men
with HIV. The majority of these problems can be managed easily, by adapting a
"patient-centered" approach, instead of "disease-centered" algorithms.
CONCLUSION: With improved survival and understanding, patients with HIV/AIDS can
and do expect to enjoy a healthy sex life. With appropriate counseling around
safe sex and careful management with consideration for disease-specific issues as
well as the influence of medical therapy, patients can achieve a good quality of
life.
PMID- 27872005
TI - The Role of Pelvic Floor Muscles in Male Sexual Dysfunction and Pelvic Pain.
AB - INTRODUCTION: Sexual function is essential to good health and well-being in men.
The relationship between male sexual function, pelvic floor function, and pelvic
pain is complex and only beginning to be appreciated. AIM: The objectives of the
current review are to examine these complex relationships, and to demonstrate how
pelvic floor physical therapy can potentially improve the treatment of various
male sexual dysfunctions, including erectile dysfunction and dysfunction of
ejaculation and orgasm. METHODS: Contemporary data on pelvic floor anatomy and
function as they relate to the treatment of various male sexual dysfunctions were
reviewed. MAIN OUTCOME MEASURES: Examination of evidence supporting the
association between the male pelvic floor and erectile dysfunction,
ejaculatory/orgasmic dysfunction, and chronic prostatitis/chronic pelvic pain
syndrome, respectively. RESULTS: Evidence suggests a close relationship between
the pelvic floor and male sexual dysfunction and a potential therapeutic benefit
from pelvic floor therapy for men who suffer from these conditions. CONCLUSION:
Pelvic floor physical therapy is a necessary tool in a more comprehensive bio
neuromusculoskeletal-psychosocial approach to the treatment of male sexual
dysfunction and pelvic pain.
PMID- 27872006
TI - Aging and Erectile Dysfunction.
AB - INTRODUCTION: Erectile dysfunction (ED) has been identified as the most common
sexual problem that affects mainly men older than 40 years. According to this,
there is a strong evidence linking ED with a number of medical conditions and
related risk factors that had been described in the literature, yet there is
limited information about the specific mechanism involved in the establishment of
ED among healthy older men. AIM: The purpose of this study is to review the
literature and mainly focus on the basic physiologic and vascular alterations and
morphologic changes related to aging and its related risk factors, summarizing
the main and the latest findings in basic research of tissue remodeling process
involved in ED pathophysiology. METHODS: Data from the pertinent literature were
examined to inform our conclusions. MAIN OUTCOME MEASURE: This article defines
the morphologic and physiologic mechanisms involved in the process of aging,
which play a key role in the development of sexual dysfunction. RESULTS: ED has
been considered as a nonlife-threatening condition, but the recognition of its
multiple comorbid conditions, the importance of aging process over the male
sexual performance among them its relation with vascular and nitric oxide content
alteration, as well as penile morphologic changes, and the fact that it is a
widespread under-reported disease, have established the need of an early
diagnosis and treatment of this common sexual problem within the general male
population. CONCLUSION: In this case, morphologic and physiologic mechanisms that
are involved in the aging process play a key role in the development of sexual
dysfunction in the absence of any other clinical or medical condition.
PMID- 27872007
TI - The Role of PDE5 Inhibitors and the NO/cGMP Pathway in Cancer.
AB - INTRODUCTION: Phosphodiesterase 5 (PDE5) inhibitors (PDE5i) have been used
clinically for the treatment of erectile dysfunction, acting on the nitric
oxide/cyclic guanosine monophosphate (NO/cGMP) signaling pathway. Simultaneously,
researchers have elucidated the roles that this pathway plays in the regulation
of cell proliferation, tumor development, and progression. As a result, our
knowledge of PDE5i and cancer biology has expanded and provides an integration
that holds great promise for some, but concern for others. AIM: This review
evaluates the role of PDE5i and the NO/cGMP signaling pathway in the pathogenesis
and prevention of various malignancies. METHODS: A literature review was
performed with regard to the role of NO/cGMP pathway in tumor formation and
prevention in preclinical and clinical studies. Studies that utilized PDE5i to
further explore the involvement of this pathway also were included. MAIN OUTCOME
MEASURES: To evaluate whether PDE5i provide a potential benefit for treating
and/or preventing malignancies; or if they create potential harm leading to the
development of these malignancies. RESULTS: The best available data suggest that
the interactions between PDE5i and cancer are tumor- and tissue-specific.
Currently, the effect of PDE5i use on melanoma development is being debated.
Further clinical controversy lies in PDE5i use for penile rehabilitation after
nerve-sparing prostate cancer surgery. Preclinical studies suggest that PDE5
inhibition could lead to a decreased risk of developing colorectal and breast
cancer, leukemia, and myeloma. PDE5i also may provide an additional antitumor
immune response. Finally, researchers have demonstrated a synergistic effect from
combining PDE5i with current chemotherapeutic regimens. CONCLUSION: Currently,
there are inadequate data to make any conclusive statements regarding the role of
PDE5i in cancer pathogenesis and how to alter clinical management. In order to
create appropriate clinical guidelines, further experimental and clinical
evidence is required.
PMID- 27872009
TI - Molecular details on gilthead sea bream (Sparus aurata) sensitivity to low water
temperatures from 1H NMR metabolomics.
AB - Biometric and metabolic responses of gilthead sea bream to cold challenge are
described following a growth trial divided into three water temperature steps,
namely cooling, cold maintenance and recovery. Experimental data provide a useful
description of fish response to thermal stress at both zootechnical and molecular
level. Although no mortality has been observed, Nuclear Magnetic Resonance-based
metabolomics confirms the marked sensitivity of this fish species to low water
temperature, and explains some key molecular events associated to fish response
to cold. Increase in hepatosomatic index is associated to liver fat accumulation,
as a consequence of lipid mobilization from muscle and other extrahepatic
tissues, and metabolic rearrangements linked to homeoviscous adaptation of
cellular membranes are observed. Following primary responses to descending
temperature from 18 degrees C to 11 degrees C, the energetic metabolism (insulin
signaling, glycolysis) is first clearly affected; then, at constant low water
temperature (11 degrees C), the most perturbed metabolic pathways are related to
methionine cycle in liver, while osmoregulatory function is exerted by TMAO in
muscle. Water temperature recovery from 11 degrees C to 18 degrees C stimulates
gluconeogenesis and glycogen synthesis activities at hepatic level, although the
rate of a thermo-compensatory response seems to be slower than that of the
cooling phase. The obtained results are intended to guide novel high-performance
feed formulations for gilthead sea bream reared during winter.
PMID- 27872010
TI - Forkhead box O1 in grass carp Ctenopharyngodon idella: Molecular
characterization, gene structure, tissue distribution and mRNA expression in
insulin-inhibited adipocyte lipolysis.
AB - Factor forkhead box O1 (FoxO1) is a transcription factor and plays an important
role in insulin-mediated lipid metabolism. In the present study, two distinct
FoxO1 cDNAs, designated FoxO1a and FoxO1b, were firstly isolated and
characterized from grass carp Ctenopharyngodon idella, encoding peptides of 654
and 631 amino acids, respectively. Phylogenetic and synteny analyses suggested
that FoxO1a and FoxO1b were derived from paralogous genes that could be
originated from teleost-specific genome duplication (TSGD) event. Analysis of the
exon-intron structures clarified that grass carp FoxO1a and FoxO1b comprise 3
coding exons and contain a extra intron compared with human and mouse FoxO1. Both
FoxO1a and FoxO1b mRNAs were expressed in a wide range of tissues, but the
abundance of each FoxO1 mRNA showed the tissue- dependent expression patterns.
Time-course analysis of FoxO1 expressions indicated that the level of FoxO1a mRNA
reached almost maximal level at day 2, while that of FoxO1b mRNA reached almost
maximal level at day 4 during grass carp primary preadipocyte differentiation. In
insulin-inhibited adipocyte lipolysis, only FoxO1a showed a significant decrease
in adipocyte, indicating that two FoxO1 isoforms may serve somewhat different
roles in the regulation of lipolysis by insulin. These results suggested that
grass carp FoxO1a and FoxO1b may play different roles in tissues, and their
expression levels were differently modulated by insulin in adipocyte.
PMID- 27872008
TI - The Genetic Basis of Peyronie Disease: A Review.
AB - INTRODUCTION: Peyronie disease (PD) is a progressive fibrotic disorder of the
penile tunica albuginea that results in fibrotic penile plaques and can lead to
penile deformity. Characterized by aberrant fibrosis resulting in part from the
persistence of myofibroblasts and altered gene expression, the molecular factors
underpinning PD and other related fibrotic diatheses are just being elucidated. A
genetic link to PD was first identified three decades ago using pedigree
analyses. However, the specific genetic factors that predispose patients to
aberrant fibrosis remain unknown, and the relations between these fibrotic
conditions and other heritable diseases, including malignancy, are
uncharacterized. AIM: To review the current landscape linking molecular and
genetic factors to aberrant fibrosis in PD and related fibrotic diatheses,
including Dupuytren disease. METHODS: Review and evaluation of the literature
from 1970 to the present for genetic factors associated with PD were performed.
MAIN OUTCOME MEASURES: Data describing the genetic factors associated with PD
were obtained. RESULTS: We describe the known structural chromosomal
abnormalities and single-nucleotide polymorphisms associated with fibrotic
diatheses and discuss the spectrum of differential gene expression data comparing
normal tissues with those derived from men with PD or Dupuytren disease. We
discuss epigenetic mechanisms that might regulate gene expression and alter
predisposition to fibrosis. CONCLUSION: Although the current understanding of the
genetic factors associated with PD is limited, significant advances have been
made during the past three decades. Further research is necessary to provide a
more comprehensive understanding of the landscape of genetic factors responsible
for the development of PD.
PMID- 27872012
TI - Biomimetic collagen/elastin meshes for ventral hernia repair in a rat model.
AB - : Ventral hernia repair remains a major clinical need. Herein, we formulated a
type I collagen/elastin crosslinked blend (CollE) for the fabrication of
biomimetic meshes for ventral hernia repair. To evaluate the effect of
architecture on the performance of the implants, CollE was formulated both as
flat sheets (CollE Sheets) and porous scaffolds (CollE Scaffolds). The
morphology, hydrophylicity and in vitro degradation were assessed by SEM, water
contact angle and differential scanning calorimetry, respectively. The stiffness
of the meshes was determined using a constant stretch rate uniaxial tensile test,
and compared to that of native tissue. CollE Sheets and Scaffolds were tested in
vitro with human bone marrow-derived mesenchymal stem cells (h-BM-MSC), and
finally implanted in a rat ventral hernia model. Neovascularization and tissue
regeneration within the implants was evaluated at 6weeks, by histology,
immunofluorescence, and q-PCR. It was found that CollE Sheets and Scaffolds were
not only biomechanically sturdy enough to provide immediate repair of the hernia
defect, but also promoted tissue restoration in only 6weeks. In fact, the
presence of elastin enhanced the neovascularization in both sheets and scaffolds.
Overall, CollE Scaffolds displayed mechanical properties more closely resembling
those of native tissue, and induced higher gene expression of the entire marker
genes tested, associated with de novo matrix deposition, angiogenesis,
adipogenesis and skeletal muscles, compared to CollE Sheets. Altogether, this
data suggests that the improved mechanical properties and bioactivity of CollE
Sheets and Scaffolds make them valuable candidates for applications of ventral
hernia repair. STATEMENT OF SIGNIFICANCE: Due to the elevated annual number of
ventral hernia repair in the US, the lack of successful grafts, the design of
innovative biomimetic meshes has become a prime focus in tissue engineering, to
promote the repair of the abdominal wall, avoid recurrence. Our meshes (CollE
Sheets and Scaffolds) not only showed promising mechanical performance, but also
allowed for an efficient neovascularization, resulting in new adipose and muscle
tissue formation within the implant, in only 6weeks. In addition, our meshes
allowed for the use of the same surgical procedure utilized in clinical practice,
with the commercially available grafts. This study represents a significant step
in the design of bioactive acellular off-the-shelf biomimetic meshes for ventral
hernia repair.
PMID- 27872011
TI - Aminoclay as a highly effective cationic vehicle for enhancing adenovirus
mediated gene transfer through nanobiohybrid complex formation.
AB - : Electrostatic complexation of adenovirus (Ad) with cationic lipids or polymers
has been shown to be an effective means for overcoming the limitations of
adenoviral vectors and enhancing gene-transfer efficacy. However, such
complexation causes cytotoxicity, limiting the use of this strategy. The present
study explored the potential of 3-aminopropyl functionalized magnesium
phyllosilicate (aminoclay) as a cationic vehicle for improving Ad-mediated gene
transfer without inducing cytotoxicity. Aminoclay complexation produced a dose
dependent increase in Ad-mediated transgene expression in both Ad infection
sensitive and -refractory cells, thereby greatly lowering the Ad dose required
for transgene expression. Unlike the case for cationic lipids (Lipofectamine) or
polymers (Polybrene), the enhancement effect of aminoclay was not accompanied by
significant cytotoxicity regardless of cell lines and it was not observed for
nonviral plasmid vectors. Physical characterization studies revealed that
nanobiohybrid complexes formed between aminoclay and Ad particles through
electrostatic interactions, creating aggregates of Ad particles whose surface was
shielded with aminoclay nanosheet oligomers. It appears that aminoclay
complexation changes the surface charge of Ad particles from a negative to a
highly positive value and thus increases Ad binding to cellular membranes,
thereby providing an additional cellular entry mechanism, namely caveolae
dependent endocytosis. Aminoclay-Ad nanobiohybrids may serve as a next-generation
efficient, versatile and biocompatible gene-delivery carrier. STATEMENT OF
SIGNIFICANCE: Electrostatic complexation of adenovirus with cationic materials
has been shown to be an effective means for enhancing gene-transfer efficacy in
vitro. However, such complexation causes cytotoxicity, limiting the use of this
strategy. The present study explored the potential of a synthesized organoclay 3
aminopropyl functionalized magnesium phyllosilicate (aminoclay) as a cationic
vehicle for improving Ad-mediated gene transfer. Our data indicate that
nanobiohybrid complexes form between aminoclay and Ad particles through
electrostatic interactions, thereby greatly enhancing Ad-mediated gene transfer.
Unlike the case for either cationic lipids or cationic polymers, the enhancement
effect of aminoclay was not accompanied by significant cytotoxicity regardless of
cell lines. Our findings in this work highlight that aminoclay-Ad nanobiohybrids
may serve as a next-generation efficient and biocompatible gene-delivery carrier.
PMID- 27872013
TI - The synergic role of collagen and citrate in stabilizing amorphous calcium
phosphate precursors with platy morphology.
AB - : Bioinspired in vitro collagen mineralization experiments have been performed in
the presence of citrate and the combined role of the two bone organic matrix
components in controlling mineral formation was investigated for the first time.
Mineralized and non-mineralized collagen fibrils have been in depth characterized
by combining small- and wide-angle X-ray scattering (SAXS/WAXS) techniques with
Atomic Force Microscopy (AFM) imaging. A synergic effect of collagen and citrate
in driving the formation of long-term stable amorphous calcium phosphate (ACP)
nanoparticles with platy morphology was found. AFM images on mineralized collagen
fibrils revealed that some of the ACP nanoparticles were deposited on the
intramolecular nanoscopic holes of collagen fibrils. STATEMENT OF SIGNIFICANCE:
Citrate is an important component of the bone organic matrix but its specific
role in bone mineralization is presently unclear. In this work, bioinspired in
vitro collagen mineralization experiments in the presence of citrate have been
carried out and the combined role of collagen and citrate in controlling mineral
formation has been addressed for the first time. Through X-ray scattering and
Atomic Force Microscopy characterizations on mineralized and non-mineralized
collagen fibrils, we have found that citrate in synergy with collagen stabilizes
an amorphous calcium phosphate (ACP) phase with platy morphology over one week
and controls its deposition on collagen fibrils.
PMID- 27872014
TI - The bone-implant interface of dental implants in humans on the atomic scale.
AB - : Osseointegration of dental implants occurs on a hierarchy of length scales down
to the atomic level. A deeper understanding of the complex processes that take
place at the surface of an implant on the smallest scale is of interest for the
development of improved biomaterials. To date, transmission electron microscopy
(TEM) has been utilized for examination of the bone-implant interface, providing
details on the nanometer level. In this study we show that TEM imaging can be
complemented with atom probe tomography (APT) to reveal the chemical composition
of a Ti-based dental implant in a human jaw on the atomic level of resolution. As
the atom probe technique has equal sensitivity for all elements, it allows for 3
dimensional characterizations of osseointegrated interfaces with unprecedented
resolution. The APT reconstructions reveal a Ca-enriched zone in the immediate
vicinity of the implant surface. A surface oxide of some 5nm thickness was
measured on the titanium implant, with a sub-stoichiometric composition with
respect to TiO2. Minor incorporation of Ca into the thin oxide film was also
evident. We conclude that the APT technique is capable of revealing chemical
information from the bone-implant interface in 3D with unprecedented resolution,
thus providing important insights into the mechanisms behind osseointegration.
STATEMENT OF SIGNIFICANCE: Osseointegration of dental implants occurs on a
hierarchy of length scales down to the atomic level. A deeper understanding of
the complex processes that take place at the surface of an implant on the
smallest scale is of interest for the development of improved biomaterials. To
date, transmission electron microscopy (TEM) has been utilized for examination of
the bone-implant interface, providing details on the nanometer level. In this
study we show that TEM imaging can be complemented with atom probe tomography
(APT) to reveal the chemical composition of a Ti-based dental implant in a human
jaw on the atomic level of resolution. Correlative microscopy ensures the
accuracy of APT reconstructions and helps provide both chemical and structural
information of the bone-implant interface on the smallest of length scales.
PMID- 27872015
TI - Self-hardening and thermoresponsive alpha tricalcium phosphate/pluronic pastes.
AB - : Although calcium phosphate cements (CPCs) are used for bone regeneration in a
wide range of clinical applications, various physicochemical phenomena are known
to hinder their potential use in minimally invasive surgery or in highly
vascularized surgical sites, mainly because of their lack of injectability or
their low washout resistance. The present work shows that the combination of CPCs
with an inverse-thermoresponsive hydrogel is a good strategy for finely tuning
the cohesive and rheological properties of CPCs to achieve clinical acceptable
injectability to prevent phase separation during implantation and cohesion to
avoid washout of the paste. The thermoresponsive CPC developed combines alpha
tricalcium phosphate with an aqueous solution of pluronic F127, which exhibits an
inverse thermoresponsive behaviour, with a gelling transformation at around body
temperature. These novel CPCs exhibited temperature-dependent properties.
Addition of the polymer enhanced the injectability of the paste, even at a low
liquid-to-powder ratio, and allowed the rheological properties of the cement to
be tuned, with the injection force decreasing with the temperature of the paste.
Moreover, the cohesion of the paste was also temperature-dependent and increased
as the temperature of the host medium increased due to gelling induced in the
paste. The thermoresponsive cement exhibited excellent cohesion and clinically
acceptable setting times at 37 degrees C, irrespective of the initial temperature
of the paste. The addition of pluronic F127 slightly delayed the setting reaction
in the early stages but did not hinder the full transformation to calcium
deficient hydroxyapatite. Moreover, the frozen storage of premixed
thermoresponsive cement pastes was explored, the main physicochemical properties
of the cements being maintained upon thawing, even after 18months of frozen
storage. This avoids the need to mix the cement in the operating theatre and
allows its use off-the-shelf. The reverse thermoresponsive cements studied herein
open up new perspectives in the surgical field, where the sequential
gelling/hardening of these novel cements could allow for a better and safer
clinical application. STATEMENT OF SIGNIFICANCE: Calcium phosphate cements are
attractive bone substitutes due to their similarity to the bone mineral phase.
Although they can be injectable, cohesion and stability of the paste are crucial
in terms of performance and safety. A common strategy is the combination with
hydrogels. However, this often results in a decrease of viscosity with increasing
temperature, which can lead to extravasation and particle leakage from the bone
defect. The preferred evolution would be the opposite: a low viscosity would
enhance mixing and injection, and an instantaneous increase of viscosity after
injection would ensure washout resistance to the blood flow. Here we develop for
the first time a calcium phosphate cement exhibiting reverse thermoresponsive
properties using a poloxamer featuring inverse thermal gelling.
PMID- 27872016
TI - Tuberculosis eradication versus control.
AB - According to the World Health Organization (WHO), 10.4 million people died of
tuberculosis (TB) in 2015, and the disease is now the number one cause of death
from a preventable infectious disease worldwide. A bold vision is needed from
global leaders to end the TB epidemic and plans to this end have been proposed.
However enthusiasm must be matched by tangible and achievable goals based on the
science and available funding. In order to reach the target and goals set by the
WHO End TB Strategy, the challenges for TB eradication need to be addressed. In
order to achieve the targets, several areas need to be bolstered, including the
requirement to better identify and treat existing drug-susceptible cases and
diagnose all the drug-resistant forms of the disease. Although treatment is
available for most TB patients, stock-outs and other delays are problematic in
some settings, resulting in ongoing transmission, especially for the drug
resistant forms of the disease. Despite the fact that a majority of multidrug
resistant cases are linked to treatment, the cure rate is only 50%, which
highlights the need for safer, shorter, and more efficacious drug regimens that
are more tolerable to patients. Prospects for a more efficacious vaccine are
limited, with no correlates of protection identified; thus the availability of a
vaccine by 2025 is highly improbable. Support for instituting infection control
methods should be prioritized to subvert transmission while patients seek
treatment and care. Finally, more adequate financial mechanisms should be
instituted to reduce patient expenditures and support national TB programs.
Moreover, funding to support basic science, drug development, clinical trials,
vaccine development, diagnostics, and implementation research needs to be secured
in order to reduce global TB incidence in the future.
PMID- 27872017
TI - Secondarily documented invasive candidiasis is unpredictable using traditional
risk factors in non transplant - non-neutropenic adult ICU patients.
PMID- 27872018
TI - Preventive therapy for latent tuberculosis infection-the promise and the
challenges.
AB - Around one third of the world's population may harbour latent tuberculosis
infection (LTBI), an asymptomatic immunological state that confers a heightened
risk of subsequently developing tuberculosis (TB). Effectively treating LTBI will
be essential if the End TB Strategy is to be realized. This review evaluates the
evidence in relation to the effectiveness of preventive antibiotic therapy to
treat LTBI due to both drug-susceptible and drug-resistant bacteria. Current
national and international preventive therapy guidelines are summarized, as well
as ongoing randomized trials evaluating regimens to prevent drug-resistant TB.
Populations that may benefit most from screening and treatment for LTBI include
close contacts of patients with TB (particularly children under 5 years of age)
and individuals with substantial immunological impairment. The risks and benefits
of treatment must be carefully balanced for each individual. Electronic decision
support tools offer one way in which clinicians can help patients to make
informed decisions. Modelling studies indicate that the expanded use of
preventive therapy will be essential to achieving substantial reductions in the
global TB burden. However, the widespread scale-up of screening and treatment
will require careful consideration of cost-effectiveness, while ensuring the
drivers of ongoing disease transmission are also addressed.
PMID- 27872019
TI - Metabotropic glutamate receptors and neurodegenerative diseases.
AB - Glutamate is the most important excitatory neurotransmitter of the mammalian
central nervous system (CNS), playing an important role in memory, synaptic
plasticity and neuronal development. However, glutamate overstimulation is also
implicated in neuronal cell death. There are two major types of glutamate
receptors: ionotropic and metabotropic. Thus far, eight metabotropic glutamate
receptors (mGluRs) subtypes have been characterized and are divided into three
subgroups based on sequence homology and cell signaling activation. mGluRs
activate a wide variety of cell signaling pathways by G protein-coupled pathways
or via G protein-independent cell signaling activation. Moreover, these receptors
exhibit widespread distribution in the CNS and are implicated in several
neurodegenerative diseases, including Alzheimer's disease (AD), Parkinson's
disease (PD) and Huntington's disease (HD). This review aims to discuss the
latest updates concerning mGluRs and their role in neurodegenerative diseases.
mGluRs agonists and antagonists as well as positive and negative allosteric
modulators have been tested in several animal models of neurodegenerative
diseases. Furthermore, mGluR knockout mouse models have been crossed to mouse
models of AD and HD, providing important data about mGluRs role in
neurodegenerative disease progression. Thus, mGluRs constitute potential
therapeutic targets for the development of therapies to treat neurodegenerative
diseases.
PMID- 27872020
TI - Niclosamide ethanolamine inhibits artery constriction.
AB - We previously demonstrated that the typical mitochondrial uncoupler carbonyl
cyanide m-chlorophenylhydrazone (CCCP) inhibited artery constriction, but CCCP
was used only as a pharmacological tool. Niclosamide is an anthelmintic drug
approved by FDA. Niclosamide ethanolamine (NEN) is a salt form of niclosamide and
has been demonstrated to uncouple mitochondrial oxidative phosphorylation. The
aim of the present study was to elucidate the vasoactivity of NEN and the
potential mechanisms. Isometric tension of rat mesenteric artery and thoracic
aorta was recorded by using multi-wire myograph system. The protein levels were
measured by using western blot techniques. Niclosamide ethanolamine (NEN)
treatment relaxed phenylephrine (PE)- and high K+ (KPSS)-induced constriction,
and pre-treatment with NEN inhibited PE- and KPSS-induced constriction of rat
mesenteric arteries. In rat thoracic aorta, NEN also showed antagonism against PE
and KPSS-induced constriction. NEN induced increase of cellular ADP/ATP ratio in
vascular smooth muscle cells (A10) and activated AMP-activated protein kinase
(AMPK) in A10 cells and rat thoracic aorta. NEN-induced aorta relaxation was
attenuated in AMPKalpha1 knockout (-/-) mice. SERCA inhibitors cyclopiazonic acid
and thapsigargin, but not KATP channel blockers glibenclamide and 5
hydroxydecanoic acid, attenuated NEN-induced vasorelaxation in rat mesenteric
arteries. NEN treatment increased cytosolic [Ca2+]i and depolarized mitochondrial
membrane potential in vascular smooth muscle cells (A10). Niclosamide in non-salt
form showed the similar vasoactivity as NEN in rat mesenteric arteries.
Niclosamide ethanolamine inhibits artery constriction, indicating that it would
be promising to be developed as an anti-hypertensive drug or it would induce
vasodilation-related side effects when absorbed in vivo.
PMID- 27872021
TI - Hypoactive Sexual Desire Disorder: A Review of Epidemiology, Biopsychology,
Diagnosis, and Treatment.
AB - INTRODUCTION: Hypoactive Sexual Desire Disorder (HSDD) is defined in the
Diagnostic and Statistical Manual of Mental Disorders, Fourth Edition, Revised
(DSM-IV-TR) as persistent deficient sexual fantasies and desire for sexual
activity that causes marked distress or interpersonal difficulty. In the
Diagnostic and Statistical Manual of Mental Disorders, Fifth Edition (DSM-5),
HSDD has been subsumed by Female Sexual Interest/Arousal Disorder. However,
decades of research based on DSM-IV-TR HSDD criteria form the foundation of our
understanding of the essential symptom of distressing low sexual desire, its
epidemiology, clinical management, and treatment. AIM: This publication reviews
the state of knowledge about HSDD. METHODS: A literature search was performed
using terms HSDD and female sexual dysfunction (FSD). MAIN OUTCOME MEASURES:
Physicians acknowledge that FSD is common and distressing; however, they
infrequently address it, citing low confidence, time constraints, and lack of
treatment as barriers. RESULTS: HSDD is present in 8.9% of women ages 18 to 44,
12.3% ages 45 to 64, and 7.4% over 65. Although low sexual desire increases with
age, distress decreases; so prevalence of HSDD remains relatively constant across
age. HSDD is associated with lower health-related quality of life; lower general
happiness and satisfaction with partners; and more frequent negative emotional
states. HSDD is underdetected and undertreated. Less than half of patients with
sexual problems seek help from or initiate discussions with physicians. Patients
are inhibited by fear of embarrassing physicians and believe that physicians
should initiate discussions. The Decreased Sexual Desire Screener, a tool for
detecting and diagnosing HSDD, is validated for use in general practice.
CONCLUSION: Women can benefit from intervention in primary care, behavioral
health and sexual medicine settings. Psychotherapeutic and pharmacological
interventions aim to enhance sexual excitatory process and decrease inhibitory
processes. Flibanserin, the first centrally acting daily medication for HSDD, was
recently approved in the US for premenopausal women.
PMID- 27872022
TI - Comparing the Prevalence, Risk Factors, and Repercussions of Postpartum Genito
Pelvic Pain and Dyspareunia.
AB - INTRODUCTION: Childbirth is a risk factor for developing genito-pelvic pain
and/or dyspareunia during the postpartum period and potentially in the longer
term. These two types of pain can occur simultaneously or sequentially and could
be affected by different risk factors and have a range of repercussions to
women's lives, including their sexual functioning. AIM: This study reviewed the
available evidence to compare and contrast the prevalence, risk factors, and
repercussions of postpartum genito-pelvic pain vs dyspareunia. METHODS: All
available data related to postpartum genito-pelvic pain and dyspareunia were
reviewed. MAIN OUTCOME MEASURES: A description of the prevalence, risk factors,
and sexual and psychological consequences of postpartum genito-pelvic pain and
dyspareunia and the methodologic limitations of previous studies. RESULTS: The
prevalence of postpartum genito-pelvic pain is much lower than that of postpartum
dyspareunia. There is evidence of converging and differential risk factors for
acute and persistent experiences of these two types of pain. Postpartum genito
pelvic pain and dyspareunia are associated with impaired sexual functioning.
Rarely are these pain experiences examined together to make direct comparisons.
CONCLUSION: There has been a critical lack of studies examining postpartum genito
pelvic pain and dyspareunia together and integrating biomedical and psychosocial
risk factors. This approach should be spearheaded by a multidisciplinary group of
researchers of diverse and relevant expertise, including obstetricians,
gynecologists, anesthesiologists, and psychologists.
PMID- 27872023
TI - Multiple Orgasms in Men-What We Know So Far.
AB - INTRODUCTION: There is much popular discussion on strategies to facilitate
multiple orgasms in men (ie, 100,000+ hits in Google), yet the topic has not
received an objective comprehensive review in the literature. AIM: To review the
literature on male multiple orgasms. METHODS: We searched the literature for
publications on "male multiple orgasms" and factors influencing male multiple
orgasms in Google, PubMed, and PsychINFO. This yielded 15 relevant publications.
MAIN OUTCOME MEASURES: A comprehensive overview on the topic of male multiple
orgasms and factors that influence the propensity of men to experience multiple
orgasms. RESULTS: Few men are multiorgasmic: <10% for those in their 20s, and <7%
after the age of 30. The literature suggests 2 types of male multiple orgasms:
"sporadic" multiorgasms, with interorgasmic intervals of several minutes, and
"condensed" multiorgasms, with bursts of 2-4 orgasms within a few seconds to 2
minutes. Multiple orgasms appear physiologically similar to the single orgasm in
mono-orgasmic men. However, in a single case study, a multiorgasmic man did not
experience with his first orgasm the prolactin surge that usually occurs with
orgasm in mono-orgasmic men. Various factors may facilitate multiple orgasms: (1)
practicing to have an orgasm without ejaculation; (2) using psychostimulant
drugs; (3) having multiple and/or novel sexual partners; or (4) using sex toys to
enhance tactile stimulation. However, confirmatory physiological data on any of
these factors are few. In some cases, the ability to experience multiple orgasms
may increase after medical procedures that reduce ejaculation (eg, prostatectomy
or castration), but what factor(s) influence this phenomenon is poorly
investigated. CONCLUSION: Despite popular interest, the topic of male multiple
orgasms has received surprisingly little scientific assessment. The role of
ejaculation and physiological change during the refractory period in inhibiting
multiple orgasms has barely been investigated.
PMID- 27872024
TI - Floppy Glans Syndrome: Pathogenesis and Treatment.
AB - INTRODUCTION: Floppy glans syndrome (FGS) is a potential complication of penile
prosthesis placement in patients with erectile dysfunction. FGS affects a very
small proportion of these patients, and it can manifest in a ventral, dorsal, or
lateral droop of a hypermobile glans, which can cause affected patients to
complain of painful, unsatisfying, or otherwise difficult attempts at sexual
intercourse. Incorrect cylinder sizing can even result in extreme conditions such
as flail penis or S-shaped deformity. AIM: The aim of this review is to outline
the types, causes, and management options for FGS. METHODS: This review was
conducted after a thorough literature search in addition to experience managing
FGS at the authors' institution. MAIN OUTCOME MEASURES: Clarification of the
nomenclature for FGS, supersonic transporter deformity, flail penis, and
crossover to define, diagnose, and treat these conditions. RESULTS: In many cases
of FGS, poor intraoperative prosthetic cylinder positioning and sizing can lead
to insufficient compression of the deep dorsal and circumflex veins between the
Buck fascia and the corpora cavernosa, even when cylinders are maximally
inflated. When the adjacent tissue does not adequately restrict blood flow
through these vessels, then glanular tumescence becomes increasingly difficult to
achieve, particularly in patients with severe erectile dysfunction who have poor
glanular blood flow at baseline. FGS also can be a result of poor underlying
glanular structural support. Thus, droop is possible even when cylinders have an
appropriate size and position. Treatment options range from medical management to
surgical correction. Distal penoplasty and glanulopexy have been described as
effective methods of correcting the FGS deformity and avoiding the more invasive
option of prosthetic cylinder replacement. These options are especially valuable
in patients who undergo adequate cylinder sizing intraoperatively. CONCLUSION:
FGS is not monolithic, and careful diagnosis is essential to determining the
appropriate treatment course.
PMID- 27872025
TI - Contemporary Review of Artificial Urinary Sphincters for Male Stress Urinary
Incontinence.
AB - INTRODUCTION: The most common cause of urinary incontinence in men after radical
prostatectomy is intrinsic sphincter deficiency, which can affect long-term
quality of life. The prevalence of stress urinary incontinence (SUI) after
radical prostatectomy has been reported to be 2.5% to 90%. For patients with
moderate to severe male SUI, the artificial urinary sphincter (AUS) is considered
the gold standard in surgical treatment. AIM: To review the available literature
on the development, patient selection, surgical technique, complications, and
management of AUS for male SUI. METHODS: A literature review was performed
through PubMed from 1947 to 2015 regarding AUS for male SUI. MAIN OUTCOME
MEASURES: To assess various surgical techniques related to AUS insertion,
outcomes, and complications and to offer recommendations regarding management of
complications. RESULTS: The AUS can be placed through a perineal or trans-scrotal
incision, particularly in the setting of dual insertion of an AUS and an
inflatable penile prosthesis. The most commonly used cuff is 4.0 cm. The efficacy
of InhibiZone is debatable. Pressure-regulating balloons can be filled with
saline or contrast material and can be placed in an orthotopic or an ectopic
location. In a systematic review of the literature, dry or improved continence
rates are achieved in 79% of patients, with 90% reporting satisfaction and
improved quality-of-life index scores after surgery. The most common AUS
complications include a nonfunctioning device, sub-cuff atrophy, erosion, and
infection. These complications are managed by strategies such as cuff downsizing,
tandem cuff placement, and explantation. Dual AUS and inflatable penile
prosthesis insertion is feasible for patients with SUI and erectile dysfunction.
CONCLUSION: The AUS is a durable and effective device for the management of SUI.
Surgeons should be versed in the different device components, their potential
complications, and their management.
PMID- 27872026
TI - Male and Female Sexual Dysfunction in a Rapidly Changing Cultural Environment:
Addressing Gender Equality versus Equivalence in the Bedroom.
AB - INTRODUCTION: The socio-sexual climate in Western cultures is changing at an
astounding rate. Never before have societal expectations about gender roles
shifted so radically, transforming our understanding of what it means to be a
sexual man or woman today. We have observed that confusion regarding masculine
and feminine roles within long-term committed relationships can represent
challenges for the treatment of sexual dysfunction. Despite the relevance to
sexual medicine, sexual medicine specialists have largely avoided this
controversial topic. AIM: To review the current literature relating to
heterosexual gender roles and sexual intimacy, to offer perspective and context
on this issue, and to propose an approach to the man, woman, or couple based in
evolutionary theory that we have found useful in our extensive clinical
experiences. METHODS: We reviewed the English-language peer-reviewed literature,
primarily from 2000 through 2015, that addressed the impact of heterosexual
gender role expression on sexual intimacy in long-term committed relationships.
MAIN OUTCOME MEASURES: Main outcomes include a review of the applicable
literature and an assessment of the literature's relevance for patients and
practitioners of sexual medicine. An alternative context for understanding
heterosexual gender expression grounded in evolutionary theory is provided, as is
a new treatment perspective based on our work as a sex therapist and an
urologist. RESULTS: The impact of gender expression on sexual experience might be
impossible to ascertain fully because it is difficult to quantify in research,
independently and especially in combination. Furthermore, existing research is
fraught with challenges and inadequacies. CONCLUSION: Although we acknowledge and
affirm the critical importance of gender equality, modern conceptualizations of
gender in the literature ignore pertinent evolutionary adaptations and might be
minimally applicable to sexual medicine patients. More research is needed. We
propose that equality of genders does not necessarily mean similarity of genders,
at least in sexual medicine.
PMID- 27872027
TI - How the Emotional Motor System Controls the Pelvic Organs.
AB - INTRODUCTION: The brain has two goals: survival of the individual and survival of
the species. It ensures that the body resides in safe circumstances and can
obtain sufficient drink and food. It also has to produce and protect offspring.
Its most important tool is its motor system, which consists of the voluntary and
emotional motor systems. AIM: To explain how the brain uses its emotional motor
system to control the pelvic organs. METHODS: Anatomic and physiologic data in
cats and humans are used to find out how this motor system works and what parts
of the brain and brainstem are involved. MAIN OUTCOME MEASURES: Main outcome is
that the brain control of the pelvic organs is a specific descending system.
RESULTS: The pelvic organs are innervated by the sacral parasympathetic
motoneurons, which are controlled by a specific group of neurons in the pontine
brainstem, the pelvic organ stimulating center (POSC). Through long descending
pathways, this POSC generates micturition, defecation, and sexual activities by
stimulating different groups of sacral parasympathetic motoneurons. In turn the
POSC is driven by the periaqueductal gray (PAG), which receives, through the
sacral cord, precise information regarding the situation in all pelvic organs. In
addition, the PAG receives instructions from higher brain levels such as the
amygdala, bed nucleus of the stria terminalis, and various regions of the
hypothalamus. Notably, in humans, the most important brain region having access
to the PAG is the medial orbitofrontal cortex, which is deactivated in women with
hypoactive sexual desire disorder. CONCLUSION: In women with hypoactive sexual
desire disorder, deactivation of their medial orbitofrontal cortex produces a
decrease in PAG-POSC activation, causing absence of vaginal vasocongestion and
lubrication and decreased sexual behavior in general. It often leads to major
problems in their personal circumstances. The question is whether new drugs can
cure this.
PMID- 27872028
TI - Monogamy and Nonmonogamy: Evolutionary Considerations and Treatment Challenges.
AB - INTRODUCTION: Few topics generate such controversy and emotional reactivity as
the nature of human mating behavior. Unfortunately, and potentially to the
detriment of good patient care, sexual medicine practitioners have largely
avoided this matter. An understanding of the scientific literature can empower
practitioners to more effectively confront the inevitable monogamy and
nonmonogamy challenges present in research and clinical practice. AIM: To review
and summarize relevant scientific literature as a context to evaluate the more
common myths and misunderstanding relating to the practice of monogamy and
nonmonogamy in humans. This review also is intended to promote a discussion of
the ways human mating strategies may impact sexual function and dysfunction for
the individual and couple. METHODS: A review of English written peer-reviewed
evolutionary, anthropological, neuropsychiatric, zoological research, and other
scholarly texts was conducted. Work published between 2000 and 2016 concentrating
on evolutionary theory, long- and short-term mating strategies in primates and
most specifically in humans, and consensual nonmonogamy was highlighted. MAIN
OUTCOME MEASURES: Main outcomes included a brief explanation of evolutionary
theory and a review of relevant literature regarding long- and short-term mating
behaviors and consensual nonmonogamy. RESULTS: Serial sexual and social monogamy
is the norm for humans. Across time and cultures, humans have adapted both long-
and short-term mating strategies that are used flexibly, and sometimes
simultaneously, based on unique personal, social, and environmental
circumstances. CONCLUSION: Human mating behavior is individualistic, the result
of numerous biopsychosocial influences. The clinician cannot assume that an
individual presenting as a patient maintains a monogamy-valued view of his or her
intimate relationship. Patients may experience conflict between the cultural
monogamous ideal and their actual sexual behaviors. This conflict may be critical
in understanding a patient's sexual concerns and in treatment planning. Awareness
of these issues will aid the practitioner in sexual medicine.
PMID- 27872029
TI - Association Between Infertility and Sexual Dysfunction in Men and Women.
AB - INTRODUCTION: The relation between infertility and sexual dysfunction can be
reciprocal. Causes of sexual dysfunction that affect fertility include erectile
dysfunction, Peyronie's disease (abnormal penile curvature), low libido,
ejaculatory disorders in men, and genito-pelvic pain/penetration disorder (GPPPD)
and low sexual desire in women. AIM: To review the association between
infertility and sexual dysfunction and discuss current management strategies to
address sexual disorders in couples with infertility. METHODS: Peer-reviewed
publications from PubMed published from 1980 through February 2016 were
identified that related to sexual dysfunction and infertility in men and women.
MAIN OUTCOME MEASURES: Pathophysiology and management approach of erectile
dysfunction, Peyronie's disease, low libido, ejaculatory disorders in men, and
GPPPD and low sexual desire in women and how each etiology contributes to sexual
dysfunction and infertility in the couple. RESULTS: Treating the infertile couple
with sexual dysfunction involves addressing underlying conditions such as
psychogenic erectile dysfunction, low testosterone, Peyronie's disease in men,
and GPPPD and low sexual desire in women. Psychogenic erectile dysfunction can be
successfully treated with phosphodiesterase inhibitors. Low testosterone is often
identified in men with infertility, but testosterone therapy is contraindicated
in men attempting conception. Men with Peyronie's disease have a new treatment
option to address their penile curvature-collagenase Clostridium histolyticum
injection directly into the penile plaque. GPPPD is a broad disorder that
includes vulvodynia and vaginismus and can be treated with topical lubricants and
moisturizers. We must address psychosocial factors in women with low sexual
desire. Flibanserin and transdermal testosterone (off-label) are novel therapies
for women with low sexual desire. CONCLUSION: Sexual dysfunction in a couple with
infertility is a complex issue. Management of infertility and sexual dysfunction
should involve appropriate medical therapy and addressing the psychosocial
concerns of the couple.
PMID- 27872030
TI - Male Sexual Function and Smoking.
AB - INTRODUCTION: Erectile dysfunction (ED) is a common disorder that has many
potential etiologies, including hormonal imbalances, psychogenic factors,
neurologic disorders, vascular insufficiency, and other risk factors. Cigarette
smoking has been well established as a risk factor for cardiovascular disease and
stroke, but the relation between smoking and ED is less frequently considered.
AIM: To review the current literature that analyzes the association between
cigarette smoking and ED. METHODS: The PubMed database was searched using the
terms erectile dysfunction and smoking and erectile dysfunction and tobacco
through December 2015. MAIN OUTCOME MEASURES: Main outcome measures were
significant changes in erectile function in relation to smoking status. RESULTS:
Eighty-three studies and articles were reviewed. Multiple human studies, animal
studies, case series, cross-sectional, and cohort studies analyzed the relation
between smoking or nicotine and ED. CONCLUSION: There is substantial evidence
showing that cigarette smoking is a risk factor for ED. Multiple human, animal,
case series, cross-sectional, and cohort studies support this conclusion. A
positive dose-response relation also is suggested such that increased quantity
and duration of smoking correlate with a higher risk of ED. Smoking cessation can
lead to recovery of erectile function, but only if limited lifetime smoking
exposure exists. Smoking contributes to ED in different ways, especially by
causing penile vasospasm and increased sympathetic nervous system tone.
PMID- 27872031
TI - Penile Prosthesis Infections-A Review of Risk Factors, Prevention, and Treatment.
AB - INTRODUCTION: Inflatable penile prosthesis (IPP) surgery has been performed for
more than 40 years. IPP infection rates have decreased owing to advances in
manufacturing and surgical technique but have remained a devastating
complication. AIMS: To describe the pathophysiology of infections, examine
evidence associating clinical risk factors with IPP infection, assess the benefit
of techniques aimed at preventing and managing infection, and discuss future
directions. METHODS: PubMed and Google Scholar were searched for studies relating
to IPP infections. MAIN OUTCOME MEASURES: A comprehensive review of the
literature on IPP infections focusing on predisposing factors and ways to prevent
and treat. RESULTS: There are two types of IPP infections: those caused by
coagulase-negative Staphylococcus species, which present mildly, and those caused
by organisms that are more virulent and systemically toxic. Biofilm on devices
protects bacteria from immunologic responses and antibiotics. Much research has
targeted biofilm. Spinal cord injury, IPP revision, and longer operative times
predispose to IPP infection. Other factors, such as diabetes, immunosuppression,
and concomitant surgeries, lack sufficient evidence to determine conclusively.
Methods that decrease infections include using infused prostheses and adhering to
surgical techniques that avoid prolonged wound exposure. Techniques that might
prevent IPP infection but lack definitive evidence are using postoperative
antibiotics past 24 hours, shaving with clippers, and prepping with chlorhexidine
alcohol. Different treatments for IPP infections exist. Antibiotics should be
followed by explantation if no improvement occurs. Device replacement can be
immediate or delayed depending on infection severity and other variables such as
erosion. Various techniques are proposed to prevent corporal fibrosis after IPP
removal. CONCLUSION: We reviewed studies to determine true risk factors and the
techniques that have true impact on infection prevention. Newer studies focusing
on prevention and disruption of biofilm will be key in advancing the best
outcomes.
PMID- 27872032
TI - Web-Based Antismoking Advertising to Promote Smoking Cessation: A Randomized
Controlled Trial.
AB - BACKGROUND: Although hundreds of millions of dollars are spent each year on
public health advertising, the advertisement content, design, and placement are
usually developed by intuition rather than research. OBJECTIVE: The objective of
our study was to develop a methodology for testing Web-based advertisements to
promote smoking cessation. METHODS: We developed 10 advertisements that varied by
their content (those that empower viewers to quit, help viewers to quit, or
discuss the effects of smoking). We then conducted a series of Web-based
randomized controlled trials that explored the effects of exposing users of
Microsoft's Bing search engine to antismoking advertisements that differed by
content, placement, or other characteristics. Finally, we followed users to
explore whether they conducted subsequent searches for smoking cessation products
or services. RESULTS: The advertisements were shown 710,106 times and clicked on
1167 times. In general, empowering advertisements had the greatest impact (hazard
ratio [HR] 2.6, standard error [SE] 0.09 relative to nonempowering
advertisements), but we observed significant variations by gender. For instance,
we found that men exposed to smoking cessation advertisements were less likely
than women to subsequently conduct smoking cessation searches (HR 0.2, SE 0.07),
but that this likelihood increased 3.5 times in men exposed to advertisements
containing empowering content. Women were more influenced by advertisements that
emphasized the health effects of smoking. We also found that appearing at the top
right of the page (HR 2.1, SE 0.07) or at the bottom rather than the top of a
list (HR 1.1, SE 0.02) can improve smoking cessation advertisements'
effectiveness in prompting future searches related to smoking cessation.
CONCLUSIONS: Advertising should be targeted to different demographic groups in
ways that are not always intuitive. Our study provides a method for testing the
effectiveness of Web-based antismoking advertisements and demonstrates the
importance of advertisements that are tailored according to specific
demographics.
PMID- 27872033
TI - A Review of Visual Representations of Physiologic Data.
AB - BACKGROUND: Physiological data is derived from electrodes attached directly to
patients. Modern patient monitors are capable of sampling data at frequencies in
the range of several million bits every hour. Hence the potential for cognitive
threat arising from information overload and diminished situational awareness
becomes increasingly relevant. A systematic review was conducted to identify
novel visual representations of physiologic data that address cognitive,
analytic, and monitoring requirements in critical care environments. OBJECTIVE:
The aims of this review were to identify knowledge pertaining to (1) support for
conveying event information via tri-event parameters; (2) identification of the
use of visual variables across all physiologic representations; (3) aspects of
effective design principles and methodology; (4) frequency of expert
consultations; (5) support for user engagement and identifying heuristics for
future developments. METHODS: A review was completed of papers published as of
August 2016. Titles were first collected and analyzed using an inclusion
criteria. Abstracts resulting from the first pass were then analyzed to produce a
final set of full papers. Each full paper was passed through a data extraction
form eliciting data for comparative analysis. RESULTS: In total, 39 full papers
met all criteria and were selected for full review. Results revealed great
diversity in visual representations of physiological data. Visual representations
spanned 4 groups including tabular, graph-based, object-based, and metaphoric
displays. The metaphoric display was the most popular (n=19), followed by
waveform displays typical to the single-sensor-single-indicator paradigm (n=18),
and finally object displays (n=9) that utilized spatiotemporal elements to
highlight changes in physiologic status. Results obtained from experiments and
evaluations suggest specifics related to the optimal use of visual variables,
such as color, shape, size, and texture have not been fully understood.
Relationships between outcomes and the users' involvement in the design process
also require further investigation. A very limited subset of visual
representations (n=3) support interactive functionality for basic analysis, while
only one display allows the user to perform analysis including more than one
patient. CONCLUSIONS: Results from the review suggest positive outcomes when
visual representations extend beyond the typical waveform displays; however,
there remain numerous challenges. In particular, the challenge of extensibility
limits their applicability to certain subsets or locations, challenge of
interoperability limits its expressiveness beyond physiologic data, and finally
the challenge of instantaneity limits the extent of interactive user engagement.
PMID- 27872034
TI - Test-Enhanced E-Learning Strategies in Postgraduate Medical Education: A
Randomized Cohort Study.
AB - BACKGROUND: The optimal design of pedagogical strategies for e-learning in
graduate and postgraduate medical education remains to be determined. Video-based
e-learning use is increasing, with initial research suggesting that taking short
breaks while watching videos (independent of answering test questions) may
improve learning by focusing attention on the content presented. Interspersed
test questions may also improve knowledge acquisition and retention. OBJECTIVE:
To examine the effect of interspersed test questions and periodic breaks on
immediate knowledge acquisition and retention at 6 months by pediatric residents
engaged in video-based e-learning. METHODS: First- and second-year pediatric
residents were randomized to 1 of the following 3 groups: viewing the complete
video uninterrupted (full video), viewing the video interrupted with unrelated
logic puzzles (logic puzzles), or viewing the video interrupted with brief
comprehension test questions (short answer questions). Residents answered pre-
and post-tests before and after video viewing, followed by a retention test at 6
months. Primary outcome included comparison of the change in test scores between
groups. RESULTS: A total of 49 residents completed the initial testing session.
All 3 learning groups had comparable mean increases in immediate knowledge gain,
but with no significant differences between groups (F2,46=0.35, P=.71). Thirty
five residents completed retention testing with comparable degrees of knowledge
retention in the full video and short answer test questions groups (P<.001), but
no significant change in the logic puzzles group (F1,32=2.44, P=.13).
CONCLUSIONS: Improved knowledge gain was not demonstrated among residents
answering interspersed questions or completing logic puzzles during interrupted
online video viewing when compared with residents viewing uninterrupted video
content. However, residents who either participated in uninterrupted video
viewing or answered interspersed questions during interrupted video viewing
demonstrated significant knowledge retention at 6 months.
PMID- 27872035
TI - Practical Issues in Developing a Culturally Tailored Physical Activity Promotion
Program for Chinese and Korean American Midlife Women: A Pilot Study.
AB - BACKGROUND: With advances in computer technologies, Web-based interventions are
widely accepted and welcomed by health care providers and researchers. Although
the benefits of Web-based interventions on physical activity promotion have been
documented, the programs have rarely targeted Asian Americans, including Asian
American midlife women. Subsequently, culturally competent Web-based physical
activity programs for Asian Americans may be necessary. OBJECTIVE: The purpose of
our study was to explore practical issues in developing and implementing a
culturally competent Web-based physical activity promotion program for 2 groups
of Asian American women-Chinese American and Korean American midlife women-and to
provide implications for future research. METHODS: While conducting the study,
the research team members wrote individual memos on issues and their inferences
on plausible reasons for the issues. The team had group discussions each week and
kept the minutes of the discussions. Then, the memos and minutes were analyzed
using a content analysis method. RESULTS: We identified practical issues in 4
major idea categories: (1) bilingual translators' language orientations, (2)
cultural sensitivity requirement, (3) low response rate, interest, and retention,
and (4) issues in implementation logistics. CONCLUSIONS: Based on the issues, we
make several suggestions for the use of bilingual translators, motivational
strategies, and implementation logistics.
PMID- 27872036
TI - Challenges and Opportunities of Big Data in Health Care: A Systematic Review.
AB - BACKGROUND: Big data analytics offers promise in many business sectors, and
health care is looking at big data to provide answers to many age-related issues,
particularly dementia and chronic disease management. OBJECTIVE: The purpose of
this review was to summarize the challenges faced by big data analytics and the
opportunities that big data opens in health care. METHODS: A total of 3 searches
were performed for publications between January 1, 2010 and January 1, 2016
(PubMed/MEDLINE, CINAHL, and Google Scholar), and an assessment was made on
content germane to big data in health care. From the results of the searches in
research databases and Google Scholar (N=28), the authors summarized content and
identified 9 and 14 themes under the categories Challenges and Opportunities,
respectively. We rank-ordered and analyzed the themes based on the frequency of
occurrence. RESULTS: The top challenges were issues of data structure, security,
data standardization, storage and transfers, and managerial skills such as data
governance. The top opportunities revealed were quality improvement, population
management and health, early detection of disease, data quality, structure, and
accessibility, improved decision making, and cost reduction. CONCLUSIONS: Big
data analytics has the potential for positive impact and global implications;
however, it must overcome some legitimate obstacles.
PMID- 27872038
TI - Consent for anaesthesia-sleepwalking into trouble.
PMID- 27872037
TI - Internet-Based Delivery of Evidence-Based Health Promotion Programs Among
American Indian and Alaska Native Youth: A Case Study.
AB - BACKGROUND: American Indian and Alaska Native (AI/AN) youth face multiple health
challenges compared to other racial/ethnic groups, which could potentially be
ameliorated by the dissemination of evidence-based adolescent health promotion
programs. Previous studies have indicated that limited trained personnel,
cultural barriers, and geographic isolation may hinder the reach and
implementation of evidence-based health promotion programs among AI/AN youth.
Although Internet access is variable in AI/AN communities across the United
States, it is swiftly and steadily improving, and it may provide a viable
strategy to disseminate evidence-based health promotion programs to this
underserved population. OBJECTIVE: We explored the potential of using the
Internet to disseminate evidence-based health promotion programs on multiple
health topics to AI/AN youth living in diverse communities across 3
geographically dispersed regions of the United States. Specifically, we assessed
the Internet's potential to increase the reach and implementation of evidence
based health promotion programs for AI/AN youth, and to engage AI/AN youth.
METHODS: This randomized controlled trial was conducted in 25 participating sites
in Alaska, Arizona, and the Pacific Northwest. Predominantly AI/AN youth, aged 12
14 years, accessed 6 evidence-based health promotion programs delivered via the
Internet, which focused on sexual health, hearing loss, alcohol use, tobacco use,
drug use, and nutrition and physical activity. Adult site coordinators completed
computer-based education inventory surveys, connectivity and bandwidth testing to
assess parameters related to program reach (computer access, connectivity, and
bandwidth), and implementation logs to assess barriers to implementation (program
errors and delivery issues). We assessed youths' perceptions of program
engagement via ratings on ease of use, understandability, credibility,
likeability, perceived impact, and motivational appeal, using previously
established measures. RESULTS: Sites had sufficient computer access and Internet
connectivity to implement the 6 programs with adequate fidelity; however,
variable bandwidth (ranging from 0.24 to 93.5 megabits per second; mean 25.6) and
technical issues led some sites to access programs via back-up modalities (eg,
uploading the programs from a Universal Serial Bus drive). The number of youth
providing engagement ratings varied by program (n=40-191; 48-60% female, 85-90%
self-identified AI/AN). Across programs, youth rated the programs as easy to use
(68-91%), trustworthy (61-89%), likeable (59-87%), and impactful (63-91%). Most
youth understood the words in the programs (60-83%), although some needed hints
to complete the programs (16-49%). Overall, 37-66% of the participants would
recommend the programs to a classmate, and 62-87% found the programs enjoyable
when compared to other school lessons. CONCLUSIONS: Findings demonstrate the
potential of the Internet to enhance the reach and implementation of evidence
based health promotion programs, and to engage AI/AN youth. Provision of back-up
modalities is recommended to address possible connectivity or technical issues.
The dissemination of Internet-based health promotion programs may be a promising
strategy to address health disparities for this underserved population. TRIAL
REGISTRATION: Clinicaltrials.gov NCT01303575;
https://clinicaltrials.gov/ct2/show/NCT01303575 (Archived by WebCite at
http://www.webcitation.org/6m7DO4g7c).
PMID- 27872039
TI - Margaret McCartney: Innovation isn't the same as evidence.
PMID- 27872040
TI - The computer may be assessing you now, but who decided its values?
PMID- 27872041
TI - E-cigarettes raise teens' risk of persistent cough and wheeze, study finds.
PMID- 27872042
TI - Concerns with novel concussion protocol.
PMID- 27872043
TI - First malaria vaccine to be tested in pilot projects, WHO announces.
PMID- 27872044
TI - Tony Inwald.
PMID- 27872045
TI - Pooled waiting lists and the validity of consent.
PMID- 27872046
TI - Pituitary dysfunction after traumatic brain injury: are there definitive data in
children?
AB - In the past decade, several studies in adults and children have described the
risk of pituitary dysfunction after traumatic brain injury (TBI). As a result, an
international consensus statement recommended follow-up on the survivors. This
paper reviews published studies regarding hypopituitarism after TBI in children
and compares their results. The prevalence of hypopituitarism ranges from 5% to
57%. Growth hormone (GH) and ACTH deficiency are the most common, followed by
gonadotropins and thyroid-stimulating hormone. Paediatric studies have failed to
identify risk factors for developing hypopituitarism, and therefore we have no
tools to restrict screening in severe TBI. In addition, the present review
highlights the lack of a unified follow-up and the fact that unrecognised
pituitary dysfunction is frequent in paediatric population. The effect of
hormonal replacement in patient recovery is important enough to consider baseline
screening and reassessment between 6 and 12 months after TBI. Medical community
should be aware of the risk of pituitary dysfunction in these patients, given the
high prevalence of endocrine dysfunction already reported in the studies. Longer
prospective studies are needed to uncover the natural course of pituitary
dysfunction, and new studies should be designed to test the benefit of hormonal
replacement in metabolic, cognitive and functional outcome in these patients.
PMID- 27872047
TI - Detection of mild papilloedema in posterior uveitis using spectral domain optical
coherence tomography.
AB - OBJECTIVE: To compare two methods for diagnosing mild papilloedema (PO) using
peripapillary total retinal (PTR) and retinal nerve fibre layer (RNFL) thickness
measurement by spectral domain optical coherence tomography (OCT) in patients
suffering from posterior uveitis. METHODS: 17 eyes in 17 patients with PO caused
by posterior uveitis, 15 eyes in 15 patients with uveitis but with no PO based on
slit lamp analysis were studied. High-quality OCT fundus images were analysed and
graded by three masked observers using the Modified Frisen Scale. Eyes with PO
were divided into two subgroups: mild (n=15) and moderate-severe PO (n=2). Two
measurement methods were evaluated and compared: RNFL and PTR thickness
measurements centred on the optic disc. Thickness values were calculated overall
and for each quadrant and compared between groups. The main outcome measures were
RNFL and PTR thickness, and thickness variation between control and affected
patients for both protocols. RESULTS: Average RNFL and PTR thickness in the
moderate-severe PO, mild PO and control groups were 274.5+/-54.45 um, 134+/-31.69
um, 97.4+/-14.43 um and 722.25+/-29.34 um, 437.53+/-84.47 um, 327.8+/-25.92 um,
respectively. Mild PO differed from the control groups according to both the RNLF
(p=0.0006) and the PTR (p=0.0002) measurements. The average thickness variation
between control and mild PO was significantly different between RNFL and PTR
measurements: 36.6 um vs 109.73 um (p<0.0001), respectively. CONCLUSIONS: PTR
thickness measurement increases the sensitivity of detection of mild PO and could
be useful for diagnosing and monitoring papillitis. A new protocol should be
developed to measure PTR in the same 3.5 mm disc as the RNFL measurement.
PMID- 27872048
TI - Tolosa-Hunt syndrome and comorbidity of obsessive compulsive disorder and aortic
aneurysm.
PMID- 27872050
TI - Experimental, Systems, and Computational Approaches to Understanding the MicroRNA
Mediated Reparative Potential of Cardiac Progenitor Cell-Derived Exosomes From
Pediatric Patients.
AB - RATIONALE: Studies have demonstrated that exosomes can repair cardiac tissue post
myocardial infarction and recapitulate the benefits of cellular therapy.
OBJECTIVE: We evaluated the role of donor age and hypoxia of human pediatric
cardiac progenitor cell (CPC)-derived exosomes in a rat model of ischemia
reperfusion injury. METHODS AND RESULTS: Human CPCs from the right atrial
appendages from children of different ages undergoing cardiac surgery for
congenital heart defects were isolated and cultured under hypoxic or normoxic
conditions. Exosomes were isolated from the culture-conditioned media and
delivered to athymic rats after ischemia-reperfusion injury. Echocardiography at
day 3 post-myocardial infarction suggested statistically improved function in
neonatal hypoxic and neonatal normoxic groups compared with saline-treated
controls. At 28 days post-myocardial infarction, exosomes derived from neonatal
normoxia, neonatal hypoxia, infant hypoxia, and child hypoxia significantly
improved cardiac function compared with those from saline-treated controls.
Staining showed decreased fibrosis and improved angiogenesis in hypoxic groups
compared with controls. Finally, using sequencing data, a computational model was
generated to link microRNA levels to specific outcomes. CONCLUSIONS: CPC exosomes
derived from neonates improved cardiac function independent of culture oxygen
levels, whereas CPC exosomes from older children were not reparative unless
subjected to hypoxic conditions. Cardiac functional improvements were associated
with increased angiogenesis, reduced fibrosis, and improved hypertrophy,
resulting in improved cardiac function; however, mechanisms for normoxic neonatal
CPC exosomes improved function independent of those mechanisms. This is the first
study of its kind demonstrating that donor age and oxygen content in the
microenvironment significantly alter the efficacy of human CPC-derived exosomes.
PMID- 27872052
TI - Patients don't know when examination is needed.
PMID- 27872051
TI - Management of mild hypertension in adults.
AB - Elevated blood pressure is a common risk factor for cardiovascular disease and
affects one in three adults. Blood pressure lowering drugs substantially reduce
the risk of stroke, coronary heart disease, heart failure, and premature death,
but most clinical trials showing benefits have primarily included patients with
moderate to severe hypertension, known cardiovascular disease, or elevated risk
of cardiovascular disease. The benefits of treating mild hypertension in patients
without cardiovascular disease are less clear, but recent meta-analyses offer
some insights. Pooled data from trials that include a large percentage of
participants with mild hypertension show significant reductions in stroke, death
from cardiovascular disease, and total mortality. Meta-analyses comparing lower
blood pressure targets also suggest a benefit of treating patients with mild
hypertension, although net benefits are greater for patients at higher absolute
levels of cardiovascular disease risk. Before starting drug treatment, most
patients should have out-of-office monitoring to confirm hypertension. Lifestyle
modifications for reducing blood pressure are appropriate for all patients and
may be recommended while delaying drug treatment for those at lower absolute
levels of cardiovascular disease risk. Patient level control of blood pressure is
supported by home monitoring and by once daily, low cost drug. Control of blood
pressure for a population of patients is enhanced by system level interventions
such as registries, implementation of evidence based protocols, drug titration
visits, and performance metrics.
PMID- 27872053
TI - The computer is still in training.
PMID- 27872049
TI - Contribution of KV1.5 Channel to Hydrogen Peroxide-Induced Human Arteriolar
Dilation and Its Modulation by Coronary Artery Disease.
AB - RATIONALE: Hydrogen peroxide (H2O2) regulates vascular tone in the human
microcirculation under physiological and pathophysiological conditions. It
dilates arterioles by activating large-conductance Ca2+-activated K+ channels in
subjects with coronary artery disease (CAD), but its mechanisms of action in
subjects without CAD (non-CAD) when compared with those with CAD remain unknown.
OBJECTIVE: We hypothesize that H2O2-elicited dilation involves different K+
channels in non-CAD versus CAD, resulting in an altered capacity for vasodilation
during disease. METHODS AND RESULTS: H2O2 induced endothelium-independent
vasodilation in non-CAD adipose arterioles, which was reduced by paxilline, a
large-conductance Ca2+-activated K+ channel blocker, and by 4-aminopyridine, a
voltage-gated K+ (KV) channel blocker. Assays of mRNA transcripts, protein
expression, and subcellular localization revealed that KV1.5 is the major KV1
channel expressed in vascular smooth muscle cells and is abundantly localized on
the plasma membrane. The selective KV1.5 blocker diphenylphosphine oxide-1 and
the KV1.3/1.5 blocker 5-(4-phenylbutoxy)psoralen reduced H2O2-elicited dilation
to a similar extent as 4-aminopyridine, but the selective KV1.3 blocker
phenoxyalkoxypsoralen-1 was without effect. In arterioles from CAD subjects, H2O2
induced dilation was significantly reduced, and this dilation was inhibited by
paxilline but not by 4-aminopyridine, diphenylphosphine oxide-1, or 5-(4
phenylbutoxy)psoralen. KV1.5 cell membrane localization and diphenylphosphine
oxide-1-sensitive K+ currents were markedly reduced in isolated vascular smooth
muscle cells from CAD arterioles, although mRNA or total cellular protein
expression was largely unchanged. CONCLUSIONS: In human arterioles, H2O2-induced
dilation is impaired in CAD, which is associated with a transition from a
combined large-conductance Ca2+-activated K+- and KV (KV1.5)-mediated
vasodilation toward a large-conductance Ca2+-activated K+-predominant mechanism
of dilation. Loss of KV1.5 vasomotor function may play an important role in
microvascular dysfunction in CAD or other vascular diseases.
PMID- 27872054
TI - Final appeal seeks to block minimum alcohol price in Scotland.
PMID- 27872057
TI - How I treat FLT3-mutated AML.
AB - FLT3-mutated acute myeloid leukemia (AML), despite not being recognized as a
distinct entity in the World Health Organization (WHO) classification system, is
readily recognized as a particular challenge by clinical specialists who treat
acute leukemia. This is especially true with regards to the patients harboring
the most common type of FLT3 mutation, the internal tandem duplication (FLT3-ITD)
mutation. Here we present 4 patient cases from our institution and discuss how
our management reflects what we have learned about this subtype of the disease.
We also reflect on how we anticipate the management might change in the near
future, with the emergence of clinically useful tyrosine kinase inhibitors.
PMID- 27872058
TI - A randomized assessment of adding the kinase inhibitor lestaurtinib to first-line
chemotherapy for FLT3-mutated AML.
AB - The clinical benefit of adding FMS-like tyrosine kinase-3 (FLT3)-directed small
molecule therapy to standard first-line treatment of acute myeloid leukemia (AML)
has not yet been established. As part of the UK AML15 and AML17 trials, patients
with previously untreated AML and confirmed FLT3-activating mutations, mostly
younger than 60 years, were randomly assigned either to receive oral lestaurtinib
(CEP701) or not after each of 4 cycles of induction and consolidation
chemotherapy. Lestaurtinib was commenced 2 days after completing chemotherapy and
administered in cycles of up to 28 days. The trials ran consecutively. Primary
endpoints were overall survival in AML15 and relapse-free survival in AML17;
outcome data were meta-analyzed. Five hundred patients were randomly assigned
between lestaurtinib and control: 74% had FLT3-internal tandem duplication
mutations, 23% FLT3-tyrosine kinase domain point mutations, and 2% both types. No
significant differences were seen in either 5-year overall survival (lestaurtinib
46% vs control 45%; hazard ratio, 0.90; 95% CI 0.70-1.15; P = .3) or 5-year
relapse-free survival (40% vs 36%; hazard ratio, 0.88; 95% CI 0.69-1.12; P = .3).
Exploratory subgroup analysis suggested survival benefit with lestaurtinib in
patients receiving concomitant azole antifungal prophylaxis and gemtuzumab
ozogamicin with the first course of chemotherapy. Correlative studies included
analysis of in vivo FLT3 inhibition by plasma inhibitory activity assay and
indicated improved overall survival and significantly reduced rates of relapse in
lestaurtinib-treated patients who achieved sustained greater than 85% FLT3
inhibition. In conclusion, combining lestaurtinib with intensive chemotherapy
proved feasible in younger patients with newly diagnosed FLT3-mutated AML, but
yielded no overall clinical benefit. The improved clinical outcomes seen in
patients achieving sustained FLT3 inhibition encourage continued evaluation of
FLT3-directed therapy alongside front-line AML treatment. The UK AML15 and AML17
trials are registered at www.isrctn.com/ISRCTN17161961 and
www.isrctn.com/ISRCTN55675535 respectively.
PMID- 27872059
TI - Genome-wide minor histocompatibility matching as related to the risk of graft
versus-host disease.
AB - The risk of acute graft-versus-host disease (GVHD) is higher after allogeneic
hematopoietic cell transplantation (HCT) from unrelated donors as compared with
related donors. This difference has been explained by increased recipient
mismatching for major histocompatibility antigens or minor histocompatibility
antigens. In the current study, we used genome-wide arrays to enumerate single
nucleotide polymorphisms (SNPs) that produce graft-versus-host (GVH) amino acid
coding differences between recipients and donors. We then tested the hypothesis
that higher degrees of genome-wide recipient GVH mismatching correlate with
higher risks of GVHD after allogeneic HCT. In HLA-genotypically matched sibling
recipients, the average recipient mismatching of coding SNPs was 9.35%. Each 1%
increase in genome-wide recipient mismatching was associated with an estimated
20% increase in the hazard of grades III-IV GVHD (hazard ratio [HR], 1.20; 95%
confidence interval [CI], 1.05-1.37; P = .007) and an estimated 22% increase in
the hazard of stage 2-4 acute gut GVHD (HR, 1.22; 95% CI, 1.02-1.45; P = .03). In
HLA-A, B, C, DRB1, DQA1, DQB1, DPA1, DPB1-phenotypically matched unrelated
recipients, the average recipient mismatching of coding SNPs was 17.3%. The
estimated risks of GVHD-related outcomes in HLA-phenotypically matched unrelated
recipients were low, relative to the large difference in genome-wide mismatching
between the 2 groups. In contrast, the risks of GVHD-related outcomes were higher
in HLA-DP GVH-mismatched unrelated recipients than in HLA-matched sibling
recipients. Taken together, these results suggest that the increased GVHD risk
after unrelated HCT is predominantly an effect of HLA-mismatching.
PMID- 27872060
TI - BDR in newly diagnosed patients with WM: final analysis of a phase 2 study after
a minimum follow-up of 6 years.
AB - In this phase 2 multicenter trial, we evaluated the efficacy of the combination
of bortezomib, dexamethasone, and rituximab (BDR) in 59 previously untreated
symptomatic patients with Waldenstrom macroglobulinemia (WM), most of which were
of advanced age and with adverse prognostic factors. BDR consisted of a single 21
day cycle of bortezomib alone (1.3 mg/m2 IV on days 1, 4, 8, and 11), followed by
weekly IV bortezomib (1.6 mg/m2 on days 1, 8, 15, and 22) for 4 additional 35-day
cycles, with IV dexamethasone (40 mg) and IV rituximab (375 mg/m2) on cycles 2
and 5, for a total treatment duration of 23 weeks. On intent to treat, 85%
responded (3% complete response, 7% very good partial response, 58% partial
response). After a minimum follow-up of 6 years, median progression-free survival
was 43 months and median duration of response for patients with at least partial
response was 64.5 months. Overall survival at 7 years was 66%. No patient had
developed secondary myelodysplasia, whereas transformation to high-grade lymphoma
occurred in 3 patients who had received chemoimmunotherapy after BDR. Thus, BDR
is a very active, fixed-duration, chemotherapy-free regimen, inducing durable
responses and with a favorable long-term toxicity profile (www.ClinicalTrials.gov
#NCT00981708).
PMID- 27872061
TI - Phase 2 Randomized, Double-Blind, Placebo-Controlled Trial of RG7667, a
Combination Monoclonal Antibody, for Prevention of Cytomegalovirus Infection in
High-Risk Kidney Transplant Recipients.
AB - Cytomegalovirus (CMV) infection is a significant complication after kidney
transplantation. We examined the ability of RG7667, a combination of two
monoclonal antibodies, to prevent CMV infection in high-risk kidney transplant
recipients in a randomized, double-blind, placebo-controlled trial. CMV
seronegative recipients of a kidney transplant from a CMV-seropositive donor (D+R
) were randomized to receive RG7667 (n = 60) or placebo (n = 60) at the time of
transplant and 1, 4, and 8 weeks posttransplant. Patients were monitored for CMV
viremia every 1 to 2 weeks posttransplant for 24 weeks. Patients who had
seroconverted (D+R+) or withdrawn before dosing were excluded from the analysis
(n = 4). CMV viremia occurred in 27 of 59 (45.8%) patients receiving RG7667 and
35 of 57 (61.4%) patients receiving placebo (stratum-adjusted difference, 15.3%;
P = 0.100) within 12 weeks posttransplant and in 30 of 59 (50.8%) patients
receiving RG7667 and 40 of 57 (70.2%) patients receiving placebo (stratum
adjusted difference, 19.3%; P = 0.040) within 24 weeks posttransplant. Median
time to CMV viremia was 139 days in patients receiving RG7667 compared to 46 days
in patients receiving placebo (hazard ratio, 0.53; P = 0.009). CMV disease was
less common in the RG7667 than placebo group (3.4% versus 15.8%; P = 0.030).
Adverse events were generally balanced between treatment groups. In high-risk
kidney transplant recipients, RG7667 was well tolerated, numerically reduced the
incidence of CMV infection within 12 and 24 weeks posttransplant, delayed time to
CMV viremia, and was associated with less CMV disease than the placebo. (This
study has been registered at ClinicalTrials.gov under registration no.
NCT01753167.).
PMID- 27872063
TI - In Vitro Exposure to Increasing Micafungin Concentrations Easily Promotes
Echinocandin Resistance in Candida glabrata Isolates.
AB - We assessed the in vitro susceptibility of five echinocandin-susceptible Candida
glabrata isolates after exposure to micafungin. The direct exposure to plates at
different micafungin concentrations resulted in the inhibition of growth at 0.062
MUg/ml. The progressive exposure was performed on plates using 0.031 MUg/ml of
micafungin and sequential propagation on plates containing the next 2-fold
concentration; the MICs of micafungin and anidulafungin increased sequentially,
and all the isolates became echinocandin resistant, showing fks2 mutations.
PMID- 27872062
TI - Fludioxonil Induces Drk1, a Fungal Group III Hybrid Histidine Kinase, To
Dephosphorylate Its Downstream Target, Ypd1.
AB - Novel antifungal drugs and targets are urgently needed. Group III hybrid
histidine kinases (HHKs) represent an appealing new therapeutic drug target
because they are widely expressed in fungi but absent from humans. We
investigated the mode of action of the widely utilized, effective fungicide
fludioxonil. The drug acts in an HHK-dependent manner by constitutive activation
of the HOG (high-osmolarity glycerol) pathway, but its mechanism of action is
poorly understood. Here, we report a new mode of drug action that entails
conversion of the HHK from a kinase into a phosphatase. We expressed Drk1
(dimorphism-regulating kinase), which is an intracellular group III HHK from the
fungal pathogen Blastomyces dermatitidis, in Saccharomyces cerevisiae Drk1
engendered drug sensitivity in B. dermatitidis and conferred sensitivity upon S.
cerevisiae In response to fludioxonil, Drk1 behaved as a phosphatase rather than
as a kinase, leading to dephosphorylation of its downstream target, Ypd1,
constitutive activation of the HOG pathway, and yeast cell death. Aspartic acid
residue 1140 in the Drk1 receiver domain was required for in vivo phosphatase
activity on Ypd1, and Hog1 was required for drug effect, indicating fidelity in
HHK-dependent drug action. In in vitro assays with purified protein, intact Drk1
demonstrated intrinsic kinase activity, and the Drk1 receiver domain exhibited
intrinsic phosphatase activity. However, fludioxonil failed to induce intact Drk1
to dephosphorylate Ypd1. We conclude that fludioxonil treatment in vivo likely
acts on an upstream target that triggers HHK to become a phosphatase, which
dephosphorylates its downstream target, Ypd1.
PMID- 27872064
TI - Telavancin Is Active against Experimental Aortic Valve Endocarditis Caused by
Daptomycin- and Methicillin-Resistant Staphylococcus aureus Strains.
AB - We compared the efficacy of telavancin (TLV) and daptomycin (DAP) in an
experimental rabbit endocarditis model caused by two clinically derived
daptomycin-resistant (DAPr) methicillin-resistant Staphylococcus aureus (MRSA)
strains. TLV treatment significantly reduced MRSA densities in all target tissues
and increased the percentage of these organs rendered culture negative compared
to those with the untreated control or DAP-treated animals. These results
demonstrate that TLV has potent in vivo efficacy against DAPr MRSA isolates in
this invasive endovascular infection model.
PMID- 27872065
TI - In Vitro Susceptibility Testing of Bedaquiline against Mycobacterium avium
Complex.
AB - We performed bedaquiline broth microdilution susceptibility testing using
Clinical and Laboratory Standards Institute (CLSI) guidelines on 103 respiratory
isolates of Mycobacterium avium complex (MAC), including multidrug-resistant
isolates. Approximately 90% of isolates had bedaquiline MICs of <=0.008 MUg/ml,
and 102/103 isolates had MICs of <=0.015 MUg/ml. Bedaquiline has excellent
potential for use in patients with MAC infections, although for reasons of its
metabolism by the cytochrome P450 system, it should not be given with rifampin.
PMID- 27872066
TI - Clinical Characteristics and Treatment Outcomes of Patients with Macrolide
Resistant Mycobacterium massiliense Lung Disease.
AB - Macrolide antibiotics are cornerstones in the treatment of Mycobacterium
massiliense lung disease. Despite the emergence of resistance, limited data on
macrolide-resistant M massiliense lung disease are available. This study
evaluated the clinical features and treatment outcomes of patients and the
molecular characteristics of macrolide-resistant M massiliense isolates. We
performed a retrospective review of medical records and genetic analyses of
clinical isolates from 15 patients who had macrolide-resistant M massiliense lung
disease between September 2005 and February 2015. Nine patients (60%) had the
nodular bronchiectatic form of the disease, and six (40%) had the fibrocavitary
form. Before the detection of macrolide resistance, three patients (20%) were
treated with macrolide monotherapy, four (27%) with therapy for presumed
Mycobacterium avium complex infections, and eight (53%) with combination
antibiotic therapy for M massiliense lung disease. The median treatment duration
after the detection of resistance was 18.7 months (interquartile range, 11.2 to
39.8 months). Treatment outcomes were poor, with a favorable outcome being
achieved for only one patient (7%), who underwent surgery in addition to
antibiotic therapy. The 1-, 3-, and 5-year mortality rates were 7, 13, and 33%,
respectively. Of the 15 clinical isolates, 14 (93%) had point mutations at
position 2058 (n = 9) or 2059 (n = 5) of the 23S rRNA gene, resulting in
macrolide resistance. Our study indicates that treatment outcomes are poor and
mortality rates are high after the development of macrolide resistance in
patients with M massiliense lung disease. Thus, preventing the development of
macrolide resistance should be a key consideration during treatment.
PMID- 27872067
TI - In Vitro Activity of Ceftazidime-Avibactam against Isolates in a Phase 3 Open
Label Clinical Trial for Complicated Intra-Abdominal and Urinary Tract Infections
Caused by Ceftazidime-Nonsusceptible Gram-Negative Pathogens.
AB - The in vitro activity of ceftazidime-avibactam was evaluated against 341 Gram
negative isolates from 333 patients in a randomized, phase 3 clinical trial of
patients with complicated urinary tract or intra-abdominal infections caused by
ceftazidime-nonsusceptible pathogens (NCT01644643). Ceftazidime-avibactam MIC90
values against Enterobacteriaceae and Pseudomonas aeruginosa (including several
class B or D enzyme producers that avibactam does not inhibit) were 1 and 64
MUg/ml, respectively. Overall, the ceftazidime-avibactam activity against
ceftazidime-nonsusceptible isolates was comparable to the activity of ceftazidime
avibactam previously reported against ceftazidime-susceptible isolates. (This
study has been registered at ClinicalTrials.gov under identifier NCT01644643.).
PMID- 27872068
TI - Missense Mutations in the Unfoldase ClpC1 of the Caseinolytic Protease Complex
Are Associated with Pyrazinamide Resistance in Mycobacterium tuberculosis.
AB - Previously, we showed that mutations in Mycobacterium tuberculosis panD, involved
in coenzyme A biosynthesis, cause resistance against pyrazinoic acid, the
bioactive component of the prodrug pyrazinamide. To identify additional
resistance mechanisms, we isolated mutants resistant against pyrazinoic acid and
subjected panD wild-type strains to whole-genome sequencing. Eight of the nine
resistant strains harbored missense mutations in the unfoldase ClpC1 associated
with the caseinolytic protease complex.
PMID- 27872069
TI - Evaluation of Doravirine Pharmacokinetics When Switching from Efavirenz to
Doravirine in Healthy Subjects.
AB - Doravirine is a novel, potent nonnucleoside reverse transcriptase inhibitor
(NNRTI) for the treatment of patients with human immunodeficiency virus type 1
(HIV-1) infection that demonstrates a high genetic barrier to resistance and that
has been well tolerated in studies to date. Doravirine is a candidate for
patients switching from less-well-tolerated NNRTIs, such as efavirenz. While
doravirine is a cytochrome P450 3A4 (CYP3A4) substrate, efavirenz induces CYP3A4;
therefore, the pharmacokinetics of both drugs following a switch from efavirenz
to doravirine were assessed. This was a 3-period, fixed-sequence, open-label
study. Healthy adults were dosed with doravirine at 100 mg for 5 days once daily
(QD) (period 1). Following a 7-day washout, efavirenz was administered at 600 mg
QD for 14 days (period 2). Subsequently, doravirine was administered at 100 mg QD
for 14 days (period 3). Blood samples were collected for pharmacokinetic
analyses. Twenty healthy subjects were enrolled, and 17 completed the study. One
day after efavirenz cessation, the doravirine area under the concentration-time
curve from predosing to 24 h postdosing (AUC0-24), maximum observed plasma
concentration (Cmax), and observed plasma concentration at 24 h postdosing (C24)
were reduced by 62%, 35%, and 85%, respectively, compared with the values with no
efavirenz pretreatment. These decreases recovered to 32%, 14%, and 50% for AUC0
24, Cmax, and C24, respectively, by day 14 after efavirenz cessation. The
doravirine C24 reached projected therapeutic trough concentrations, based on in
vitro efficacy, on day 2 following efavirenz cessation. Geometric mean efavirenz
concentrations were 3,180 ng/ml on day 1 and 95.7 ng/ml on day 15, and efavirenz
was present at therapeutic concentrations (>1,000 ng/ml) until day 4. Though
doravirine exposure was transiently decreased following efavirenz treatment
cessation, dose adjustment may not be necessary to maintain therapeutic
concentrations of at least one drug during switching in a virologically
suppressed population.
PMID- 27872070
TI - Estimation of the In Vivo MIC of Cipargamin in Uncomplicated Plasmodium
falciparum Malaria.
AB - The MIC of an antimalarial drug for a particular infection is the drug level
associated with a net parasite multiplication rate of one per asexual cycle. To
ensure the cure of malaria, the MIC must be exceeded until all parasites have
been eliminated. The development of highly sensitive and accurate PCR
quantitation of low-density malaria parasitemia enables the prospective
pharmacokinetic-pharmacodynamic (PK-PD) characterization of antimalarial drug
effects and now allows identification of the in vivo MIC. An adaptive design and
a PK-PD modeling approach were used to determine prospectively the MIC of the new
antimalarial cipargamin (KAE609) in adults with uncomplicated Plasmodium
falciparum malaria in an open-label, dose-ranging phase 2a study. Vietnamese
adults with acute P. falciparum malaria were allocated sequentially to treatment
with a single 30-mg (n = 6), 20-mg (n = 5), 10-mg (n = 7), or 15-mg (n = 7) dose
of cipargamin. Artemisinin-based combination therapy was given after parasite
densities had fallen and then risen as cipargamin levels declined below the MIC
but before a return of signs or symptoms. The rates of parasite clearance were
dose dependent, with near saturation of the effect being seen at an adult dose of
30 mg. The developed PK-PD model accurately predicted the therapeutic responses
in 23/25 patients. The predicted median in vivo MIC was 0.126 ng/ml (range, 0.038
to 0.803 ng/ml). Pharmacometric characterization of the relationship between
antimalarial drug concentrations and parasite clearance rates following graded
subtherapeutic antimalarial drug dosing is safe and provides a rational framework
for dose finding in antimalarial drug development. (This study has been
registered at ClinicalTrials.gov under identifier NCT01836458.).
PMID- 27872071
TI - Results of a Doravirine-Atorvastatin Drug-Drug Interaction Study.
AB - Doravirine is a novel, highly potent, nonnucleoside reverse transcriptase
inhibitor that is administered once daily and that is in development for the
treatment of HIV-1 infection. In vitro and clinical data suggest that doravirine
is unlikely to cause significant drug-drug interactions via major drug
metabolizing enzymes or transporters. As a common HIV-1 infection comorbidity,
hypercholesterolemia is often treated with statins, including the commonly
prescribed atorvastatin. Atorvastatin is subject to drug-drug interactions with
cytochrome P450 3A4 (CYP3A4) inhibitors. Increased exposure due to CYP3A4
inhibition may lead to serious adverse events (AEs), including rhabdomyolysis.
Furthermore, atorvastatin is a substrate for breast cancer resistance protein
(BCRP), of which doravirine may be a weak inhibitor; this may increase
atorvastatin exposure. The potential of doravirine to affect atorvastatin
pharmacokinetics was investigated in a two-period, fixed-sequence study in
healthy individuals. In period 1, a single dose of atorvastatin at 20 mg was
administered followed by a 72-h washout. In period 2, doravirine at 100 mg was
administered once daily for 8 days, with a single dose of atorvastatin at 20 mg
concomitantly being administered on day 5. Sixteen subjects were enrolled, and 14
completed the trial; 2 discontinued due to AEs unrelated to the treatment. The
atorvastatin area under the curve from time zero to infinity was similar with and
without doravirine (geometric mean ratio [GMR] for doravirine
atorvastatin/atorvastatin, 0.98; 90% confidence interval [CI], 0.90 to 1.06),
while the maximum concentration decreased by 33% (GMR for doravirine
atorvastatin/atorvastatin, 0.67; 90% CI, 0.52 to 0.85). These changes were deemed
not to be clinically meaningful. Both of the study drugs were generally well
tolerated. Doravirine had no clinically relevant effect on atorvastatin
pharmacokinetics in healthy subjects, providing support for the coadministration
of doravirine and atorvastatin.
PMID- 27872072
TI - Pharmacokinetics of Anidulafungin in Critically Ill Intensive Care Unit Patients
with Suspected or Proven Invasive Fungal Infections.
AB - Echinocandins, such as anidulafungin, are the first-line treatment for candidemia
or invasive candidiasis in critically ill patients. There are conflicting data on
the pharmacokinetic properties of anidulafungin in intensive care unit (ICU)
patients. Adult ICU patients (from 3 hospitals) receiving anidulafungin for
suspected or proven fungal infections were included in the present study.
Patients were considered evaluable if a pharmacokinetic curve for day 3 could be
completed. Twenty-three of 36 patients (7 female and 16 male) were evaluable. The
median (range) age and body weight were 66 (28 to 88) years and 76 (50 to 115)
kg, respectively. Pharmacokinetic sampling on day 3 (n = 23) resulted in a median
anidulafungin area under the concentration-time curve from 0 to 24 h (AUC0-24) of
72.1 (interquartile range [IQR], 61.3 to 94.0) mg . h . liter-1, a median daily
trough concentration (C24) of 2.2 (IQR, 1.9 to 2.9) mg/liter, a median maximum
concentration of drug in serum (Cmax) of 5.3 (IQR, 4.1 to 6.0) mg/liter, a median
volume of distribution (V) of 46.0 (IQR, 32.2 to 60.2) liters, and a median
clearance (CL) of 1.4 (IQR, 1.1 to 1.6) liters . h-1 Pharmacokinetic sampling on
day 7 (n = 13) resulted in a median AUC0-24 of 82.7 (IQR, 73.0 to 129.5) mg . h .
liter-1, a median minimum concentration of drug in serum (Cmin) of 2.8 (IQR, 2.2
to 4.2) mg/liter, a median Cmax of 5.9 (IQR, 4.6 to 8.0) mg/liter, a median V of
39.7 (IQR, 32.2 to 54.4) liters, and a median CL of 1.2 (IQR, 0.8 to 1.4) liters
. h-1 The geometric mean ratio for the AUCday7/AUCday3 term was 1.13 (90%
confidence interval [CI], 1.03 to 1.25). The exposure in the ICU patient
population was in accordance with previous reports on anidulafungin
pharmacokinetics in ICU patients but was lower than that for healthy volunteers
or other patient populations. Larger cohorts of patients or pooled data analyses
are necessary to retrieve relevant covariates. (This study has been registered at
ClinicalTrials.gov under identifier NCT01438216.).
PMID- 27872073
TI - Exploring the Role of the Omega-Loop in the Evolution of Ceftazidime Resistance
in the PenA beta-Lactamase from Burkholderia multivorans, an Important Cystic
Fibrosis Pathogen.
AB - The unwelcome evolution of resistance to the advanced generation cephalosporin
antibiotic, ceftazidime is hindering the effective therapy of Burkholderia
cepacia complex (BCC) infections. Regrettably, BCC organisms are highly resistant
to most antibiotics, including polymyxins; ceftazidime and trimethoprim
sulfamethoxazole are the most effective treatment options. Unfortunately,
resistance to ceftazidime is increasing and posing a health threat to populations
susceptible to BCC infection. We found that up to 36% of 146 tested BCC clinical
isolates were nonsusceptible to ceftazidime (MICs >= 8 MUg/ml). To date, the
biochemical basis for ceftazidime resistance in BCC is largely undefined. In this
study, we investigated the role of the Omega-loop in mediating ceftazidime
resistance in the PenA beta-lactamase from Burkholderia multivorans, a species
within the BCC. Single amino acid substitutions were engineered at selected
positions (R164, T167, L169, and D179) in the PenA beta-lactamase. Cell-based
susceptibility testing revealed that 21 of 75 PenA variants engineered in this
study were resistant to ceftazidime, with MICs of >8 MUg/ml. Under steady-state
conditions, each of the selected variants (R164S, T167G, L169A, and D179N)
demonstrated a substrate preference for ceftazidime compared to wild-type PenA
(32- to 320-fold difference). Notably, the L169A variant hydrolyzed ceftazidime
significantly faster than PenA and possessed an ~65-fold-lower apparent Ki
(Kiapp) than that of PenA. To understand why these amino acid substitutions
result in enhanced ceftazidime binding and/or turnover, we employed molecular
dynamics simulation (MDS). The MDS suggested that the L169A variant starts with
the most energetically favorable conformation (-28.1 kcal/mol), whereas PenA
possessed the most unfavorable initial conformation (136.07 kcal/mol). In
addition, we observed that the spatial arrangement of E166, N170, and the
hydrolytic water molecules may be critical for enhanced ceftazidime hydrolysis by
the L169A variant. Importantly, we found that two clinical isolates of B.
multivorans possessed L169 amino acid substitutions (L169F and L169P) in PenA and
were highly resistant to ceftazidime (MICs >= 512 MUg/ml). In conclusion,
substitutions in the Omega-loop alter the positioning of the hydrolytic machinery
as well as allow for a larger opening of the active site to accommodate the bulky
R1 and R2 side chains of ceftazidime, resulting in resistance. This analysis
provides insights into the emerging phenotype of ceftazidime-resistant BCC and
explains the evolution of amino acid substitutions in the Omega-loop of PenA of
this significant clinical pathogen.
PMID- 27872074
TI - Glycoside Hydrolases Degrade Polymicrobial Bacterial Biofilms in Wounds.
AB - The persistent nature of chronic wounds leaves them highly susceptible to
invasion by a variety of pathogens that have the ability to construct an
extracellular polymeric substance (EPS). This EPS makes the bacterial population,
or biofilm, up to 1,000-fold more antibiotic tolerant than planktonic cells and
makes wound healing extremely difficult. Thus, compounds which have the ability
to degrade biofilms, but not host tissue components, are highly sought after for
clinical applications. In this study, we examined the efficacy of two glycoside
hydrolases, alpha-amylase and cellulase, which break down complex
polysaccharides, to effectively disrupt Staphylococcus aureus and Pseudomonas
aeruginosa monoculture and coculture biofilms. We hypothesized that glycoside
hydrolase therapy would significantly reduce EPS biomass and convert bacteria to
their planktonic state, leaving them more susceptible to conventional
antimicrobials. Treatment of S. aureus and P. aeruginosa biofilms, grown in vitro
and in vivo, with solutions of alpha-amylase and cellulase resulted in
significant reductions in biomass, dissolution of the biofilm, and an increase in
the effectiveness of subsequent antibiotic treatments. These data suggest that
glycoside hydrolase therapy represents a potential safe, effective, and new
avenue of treatment for biofilm-related infections.
PMID- 27872075
TI - Pharmacokinetic-Pharmacodynamic Evaluation of Gepotidacin against Gram-Positive
Organisms Using Data from Murine Infection Models.
AB - Gepotidacin (formerly called GSK2140944) is a novel triazaacenaphthylene
bacterial topoisomerase inhibitor with in vitro activity against conventional and
biothreat pathogens, including Staphylococcus aureus and Streptococcus pneumoniae
Using neutropenic murine thigh and lung infection models, the pharmacokinetics
pharmacodynamics (PK-PD) of gepotidacin against S. aureus and S. pneumoniae were
characterized. Candidate models were fit to single-dose PK data from uninfected
mice (for doses of 16 to 128 mg/kg of body weight given subcutaneously [s.c.]).
Dose fractionation studies (1 isolate/organism; 2 to 512 mg/kg/day) and dose
ranging studies (5 isolates/organism; 2 to 2,048 mg/kg/day; MIC ranges of 0.5 to
2 mg/liter for S. aureus and 0.125 to 1 mg/liter for S. pneumoniae) were
conducted. The presence of an in vivo postantibiotic effect (PAE) was also
evaluated. Relationships between the change from baseline in log10 CFU at 24 h
and the ratio of the free-drug plasma area under the concentration-time curve
(AUC) to the MIC (AUC/MIC ratio), the ratio of the maximum concentration of drug
in plasma (Cmax) to the MIC (Cmax/MIC ratio), and the percentage of a 24-h period
that the drug concentration exceeded the MIC (%T>MIC) were evaluated using Hill
type models. Plasma and epithelial lining fluid (ELF) PK data were best fit by a
four-compartment model with linear distributional clearances, a capacity-limited
clearance, and a first-order absorption rate. The ELF penetration ratio in
uninfected mice was 0.65. Since the growth of both organisms was poor in the
murine lung infection model, lung efficacy data were not reported. As determined
using the murine thigh infection model, the free-drug plasma AUC/MIC ratio was
the PK-PD index most closely associated with efficacy (r2 = 0.936 and 0.897 for
S. aureus and S. pneumoniae, respectively). Median free-drug plasma AUC/MIC
ratios of 13.4 and 58.9 for S. aureus, and 7.86 and 16.9 for S. pneumoniae, were
associated with net bacterial stasis and a 1-log10 CFU reduction from baseline,
respectively. Dose-independent PAE durations of 3.07 to 12.5 h and 5.25 to 8.46 h
were demonstrated for S. aureus and S. pneumoniae, respectively.
PMID- 27872076
TI - Antischistosomiasis Liver Fibrosis Effects of Chlorogenic Acid through IL-13/miR
21/Smad7 Signaling Interactions In Vivo and In Vitro.
AB - This study investigated the antischistosomiasis liver fibrosis effects of
chlorogenic acid (CGA) on interleukin 13 (IL-13)/microRNA-21 (miR-21)/Smad7
signaling interactions in the hepatic stellate LX2 cell line and schistosome
infected mice. The transfection was based on the ability of the GV273-miR-21
enhanced green fluorescent protein (EGFP) and GV369-miR-21-EGFP lentiviral system
to up- or downregulate the miR-21 gene in LX2 cells. The mRNA expression of miR
21, Smad7, and connective tissue growth factor (CTGF) and the protein expression
of Smad7, CTGF, Smad1, phosphor-Smad1 (p-Smad1), Smad2, p-Smad2, Smad2/3, p
Smad2/3, transforming growth factor beta (TGF-beta) receptor I, and alpha-smooth
muscle actin (alpha-SMA) was assayed. Pathological manifestation of hepatic
tissue was assessed for the degree of liver fibrosis in animals. The results
showed that CGA could inhibit the mRNA expression of miR-21, promote Smad7, and
inhibit CTGF mRNA expression. Meanwhile, CGA could significantly lower the
protein levels of CTGF, p-Smad1, p-Smad2, p-Smad2/3, TGF-beta receptor I, and
alpha-SMA and elevate the Smad7 protein level. In vivo, with treatment with CGA,
the signaling molecules of IL-13/miR-21/Smad7 interactions were markedly
regulated. CGA could also reduce the degree of liver fibrosis in pathological
manifestations. In conclusion, CGA could inhibit schistosomiasis-induced hepatic
fibrosis through IL-13/miR-21/Smad7 signaling interactions in LX2 cells and
schistosome-infected mice and might serve as an antifibrosis agent for treating
schistosomiasis liver fibrosis.
PMID- 27872077
TI - Identification of IncA/C Plasmid Replication and Maintenance Genes and
Development of a Plasmid Multilocus Sequence Typing Scheme.
AB - Plasmids of incompatibility group A/C (IncA/C) are becoming increasingly
prevalent within pathogenic Enterobacteriaceae They are associated with the
dissemination of multiple clinically relevant resistance genes, including blaCMY
and blaNDM Current typing methods for IncA/C plasmids offer limited resolution.
In this study, we present the complete sequence of a blaNDM-1-positive IncA/C
plasmid, pMS6198A, isolated from a multidrug-resistant uropathogenic Escherichia
coli strain. Hypersaturated transposon mutagenesis, coupled with transposon
directed insertion site sequencing (TraDIS), was employed to identify conserved
genetic elements required for replication and maintenance of pMS6198A. Our
analysis of TraDIS data identified roles for the replicon, including repA, a
toxin-antitoxin system; two putative partitioning genes, parAB; and a putative
gene, 053 Construction of mini-IncA/C plasmids and examination of their stability
within E. coli confirmed that the region encompassing 053 contributes to the
stable maintenance of IncA/C plasmids. Subsequently, the four major maintenance
genes (repA, parAB, and 053) were used to construct a new plasmid multilocus
sequence typing (PMLST) scheme for IncA/C plasmids. Application of this scheme to
a database of 82 IncA/C plasmids identified 11 unique sequence types (STs), with
two dominant STs. The majority of blaNDM-positive plasmids examined (15/17; 88%)
fall into ST1, suggesting acquisition and subsequent expansion of this blaNDM
containing plasmid lineage. The IncA/C PMLST scheme represents a standardized
tool to identify, track, and analyze the dissemination of important IncA/C
plasmid lineages, particularly in the context of epidemiological studies.
PMID- 27872078
TI - Polymyxin B in Combination with Rifampin and Meropenem against Polymyxin B
Resistant KPC-Producing Klebsiella pneumoniae.
AB - Safe and effective therapies are urgently needed to treat polymyxin-resistant KPC
producing Klebsiella pneumoniae infections and suppress the emergence of
resistance. We investigated the pharmacodynamics of polymyxin B, rifampin, and
meropenem alone and as polymyxin B-based double and triple combinations against
KPC-producing K. pneumoniae isolates. The rates and extents of killing with
polymyxin B (1 to 128 mg/liter), rifampin (2 to 16 mg/liter), and meropenem (10
to 120 mg/liter) were evaluated against polymyxin B-susceptible (PBs) and
polymyxin B-resistant (PBr) clinical isolates using 48-h static time-kill
studies. Additionally, humanized triple-drug regimens of polymyxin B
(concentration at steady state [Css] values of 0.5, 1, and 2 mg/liter), 600 mg
rifampin every 12 or 8 h, and 1 or 2 g meropenem every 8 h dosed as an extended 3
h infusion were simulated over 48 h by using a one-compartment in vitro dynamic
infection model. Serial bacterial counts were performed to quantify the
pharmacodynamic effect. Population analysis profiles (PAPs) were used to assess
the emergence of polymyxin B resistance. Monotherapy was ineffective against both
isolates. Polymyxin B with rifampin demonstrated early bactericidal activity
against the PBs isolate, followed by regrowth by 48 h. Bactericidal activity was
sustained at all polymyxin B concentrations of >=2 mg/liter in combination with
meropenem. No two-drug combinations were effective against the PBr isolate, but
all simulated triple-drug regimens showed early bactericidal activity against
both strains by 8 h that was sustained over 48 h. PAPs did not reveal the
emergence of resistant subpopulations. The triple-drug combination of polymyxin
B, rifampin, and meropenem may be a viable consideration for the treatment of PBr
KPC-producing K. pneumoniae infections. Further investigation is warranted to
optimize triple-combination therapy.
PMID- 27872079
TI - The Paradoxical Effect of Echinocandins in Aspergillus fumigatus Relies on
Recovery of the beta-1,3-Glucan Synthase Fks1.
AB - Echinocandins target the fungal cell wall by inhibiting biosynthesis of the cell
wall carbohydrate beta-1,3-glucan. This antifungal drug class exhibits a
paradoxical effect that is characterized by the resumption of growth of otherwise
susceptible strains at higher drug concentrations (approximately 4 to 32 MUg/ml).
The nature of this phenomenon is still unknown. In this study, we analyzed the
paradoxical effect of the echinocandin caspofungin on the pathogenic mold
Aspergillus fumigatus Using a conditional fks1 mutant, we show that very high
caspofungin concentrations exert an additional antifungal activity besides
inhibition of the beta-1,3-glucan synthase. This activity could explain the
suppression of paradoxical growth at very high caspofungin concentrations.
Additionally, we found that exposure to inhibitory caspofungin concentrations
always causes initial growth deprivation independently of the capability of the
drug concentration to induce the paradoxical effect. Paradoxically growing hyphae
emerge from microcolonies essentially devoid of beta-1,3-glucan. However, these
hyphae expose beta-1,3-glucan again, suggesting that beta-1,3-glucan synthesis is
restored. In agreement with this hypothesis, we found that expression of the beta
1,3-glucan synthase Fks1 is an essential requirement for the paradoxical effect.
Surprisingly, overexpression of fks1 renders A. fumigatus more susceptible,
whereas reduced expression leads to hyphae that are more resistant to the growth
inhibitory and limited fungicidal activity of caspofungin. Upregulation of chitin
synthesis appears to be of minor importance for the paradoxical effect, since
paradoxically growing hyphae exhibit significantly less chitin than the growth
deprived parental microcolonies. Our results argue for a model where the
paradoxical effect primarily relies on recovery of beta-1,3-glucan synthase
activity.
PMID- 27872082
TI - Evolving Use of Natriuretic Peptides as Part of Strategies for Heart Failure
Prevention.
AB - BACKGROUND: Heart failure (HF) remains one of the major cardiovascular challenges
to the Western world. Once established, HF is characterized by compromised life
expectancy and quality of life with considerable dependence on hospital care for
episodic clinical deterioration. Much is understood about the risk factors that
predispose to the development of HF. With such a broad range of factors, it is
clear that there is a large population at risk, potentially in excess of 25% of
the adult population. Therein lies the major challenge at the outset of our
efforts to prevent HF. With such a large population at risk, how do we develop an
effective prevention strategy? CONTENT: HF prevention requires a multimodal
approach. In this review, we focus primarily on the role of natriuretic peptide
(NP) as a tool in a prevention strategy. SUMMARY: Prevention of HF is a major
public health challenge, underlined by the concerning epidemiological trends, the
associated costs, and the continued difficulty to find effective therapies for
the growing number of patients with preserved systolic function HF. Population
based approaches focusing on lifestyle and risk factor control have made some
impact but not to a satisfactory level and also tend to result in a uniform
approach across a population with different risk profiles. Individualizing risk
is therefore required, with emerging data indicating that NP-guided risk
stratification and intervention can reduce downstream incident HF and other
cardiovascular events.
PMID- 27872080
TI - Classical beta-Lactamase Inhibitors Potentiate the Activity of Daptomycin against
Methicillin-Resistant Staphylococcus aureus and Colistin against Acinetobacter
baumannii.
AB - We asked whether beta-lactamase inhibitors (BLIs) increased the activity of
daptomycin (DAP) against methicillin-resistant Staphylococcus aureus (MRSA), the
peptide antibiotic colistin (COL) against the emerging Gram-negative nosocomial
pathogen Acinetobacter baumannii, and the human host defense peptide cathelicidin
LL37 against either pathogen. DAP and LL37 kill curves were performed with or
without BLIs against MRSA, vancomycin-intermediate S. aureus (VISA), and
heterogeneous VISA (hVISA). COL and LL37 kill curves were performed against A.
baumannii Boron-dipyrromethene (BODIPY)-labeled DAP binding to MRSA grown with
the BLI tazobactam (TAZ) was assessed microscopically. The combination of COL
plus TAZ was studied in a murine model of A. baumannii pneumonia. TAZ alone
lacked in vitro activity against MRSA or A. baumannii The addition of TAZ to DAP
resulted in a 2- to 5-log10 reduction in recoverable MRSA CFU at 24 h compared to
the recoverable CFU with DAP alone. TAZ plus COL showed synergy by kill curves
for 4 of 5 strains of A. baumannii tested. Growth with 20 mg/liter TAZ resulted
in 2- to 2.5-fold increases in the intensity of BODIPY-DAP binding to MRSA and
hVISA strains. TAZ significantly increased the killing of MRSA and A. baumannii
by LL37 in vitro TAZ increased the activity of COL in a murine model of A.
baumannii pneumonia. Classical BLIs demonstrate synergy with peptide antibiotics.
Since BLIs have scant antimicrobial activity on their own and are thus not
expected to increase selective pressure toward antibiotic resistance, their use
in combination with peptide antibiotics warrants further study.
PMID- 27872081
TI - Novel Effects of Lapatinib Revealed in the African Trypanosome by Using
Hypothesis-Generating Proteomics and Chemical Biology Strategies.
AB - Human African trypanosomiasis is a neglected tropical disease caused by the
protozoan parasite Trypanosoma brucei Lapatinib, a human epidermal growth factor
receptor (EGFR) inhibitor, can cure 25% of trypanosome-infected mice, although
the parasite lacks EGFR-like tyrosine kinases. Four trypanosome protein kinases
associate with lapatinib, suggesting that the drug may be a multitargeted
inhibitor of phosphoprotein signaling in the bloodstream trypanosome.
Phosphoprotein signaling pathways in T. brucei have diverged significantly from
those in humans. As a first step in the evaluation of the polypharmacology of
lapatinib in T. brucei, we performed a proteome-wide phosphopeptide analysis
before and after drug addition to cells. Lapatinib caused dephosphorylation of
Ser/Thr sites on proteins predicted to be involved in scaffolding, gene
expression, and intracellular vesicle trafficking. To explore the perturbation of
phosphotyrosine (pTyr)-dependent signaling by lapatinib, proteins in lapatinib
susceptible pTyr complexes were identified by affinity chromatography; they
included BILBO-1, MORN, and paraflagellar rod (PFR) proteins PFR1 and PFR2. These
data led us to hypothesize that lapatinib disrupts PFR functions and/or
endocytosis in the trypanosome. In direct chemical biology tests of these
speculations, lapatinib-treated trypanosomes (i) lost segments of the PFR inside
the flagellum, (ii) were inhibited in the endocytosis of transferrin, and (iii)
changed morphology from long and slender to rounded. Thus, our hypothesis
generating phosphoproteomics strategy predicted novel physiological pathways
perturbed by lapatinib, which were verified experimentally. General implications
of this workflow for identifying signaling pathways perturbed by drug hits
discovered in phenotypic screens are discussed.
PMID- 27872084
TI - Patient perspectives on delays in diagnosis and treatment of cancer: a
qualitative analysis of free-text data.
AB - BACKGROUND: Earlier cancer diagnosis is crucial in improving cancer survival. The
International Cancer Benchmarking Partnership Module 4 (ICBP4) is a quantitative
survey study that explores the reasons for delays in diagnosis and treatment of
breast, colorectal, lung, and ovarian cancer. To further understand the
associated diagnostic processes, it is also important to explore the patient
perspectives expressed in the free-text comments. AIM: To use the free-text data
provided by patients completing the ICBP4 survey to augment the understanding of
patients' perspectives of their diagnostic journey. DESIGN AND SETTING:
Qualitative analysis of the free-text data collected in Wales between October
2013 and December 2014 as part of the ICBP4 survey. Newly-diagnosed patients with
either breast, ovarian, colorectal, or lung cancer were identified from registry
data and then invited by their GPs to participate in the survey. METHOD: A
thematic framework was used to analyse the free-text comments provided at the end
of the ICBP4 survey. Of the 905 patients who returned a questionnaire, 530
included comments. RESULTS: The free-text data provided information about
patients' perspectives of the diagnostic journey. Analysis identified factors
that acted as either barriers or facilitators at different stages of the
diagnostic process. Some factors, such as screening, doctor-patient familiarity,
and private treatment, acted as both barriers and facilitators depending on the
context. CONCLUSION: Factors identified in this study help to explain how
existing models of cancer diagnosis (for example, the Pathways to Treatment
Model) work in practice. It is important that clinicians are aware of how these
factors may interact with individual clinical cases and either facilitate, or act
as a barrier to, subsequent cancer diagnosis. Understanding and implementing this
knowledge into clinical practice may result in quicker cancer diagnoses.
PMID- 27872083
TI - Biomarkers and Genetics in Peripheral Artery Disease.
AB - BACKGROUND: Peripheral artery disease (PAD) is highly prevalent and there is
considerable diversity in the initial clinical manifestation and disease
progression among individuals. Currently, there is no ideal biomarker to screen
for PAD, to risk stratify patients with PAD, or to monitor therapeutic response
to revascularization procedures. Advances in human genetics have markedly
enhanced the ability to develop novel diagnostic and therapeutic approaches
across a host of human diseases, but such developments in the field of PAD are
lagging. CONTENT: In this article, we will discuss the epidemiology, traditional
risk factors for, and clinical presentations of PAD. We will discuss the possible
role of genetic factors and gene-environment interactions in the development
and/or progression of PAD. We will further explore future avenues through which
genetic advances can be used to better our understanding of the pathophysiology
of PAD and potentially find newer therapeutic targets. We will discuss the
potential role of biomarkers in identifying patients at risk for PAD and for risk
stratifying patients with PAD, and novel approaches to identification of reliable
biomarkers in PAD. SUMMARY: The exponential growth of genetic tools and newer
technologies provides opportunities to investigate and identify newer pathways in
the development and progression of PAD, and thereby in the identification of
newer biomarkers and therapies.
PMID- 27872085
TI - Population health needs as predictors of variations in NHS practice payments: a
cross-sectional study of English general practices in 2013-2014 and 2014-2015.
AB - BACKGROUND: NHS general practice payments in England include pay for performance
elements and a weighted component designed to compensate for workload, but
without measures of specific deprivation or ethnic groups. AIM: To determine
whether population factors related to health needs predicted variations in NHS
payments to individual general practices in England. DESIGN AND SETTING: Cross
sectional study of all practices in England, in financial years 2013-2014 and
2014-2015. METHOD: Descriptive statistics, univariable analyses (examining
correlations between payment and predictors), and multivariable analyses
(undertaking multivariable linear regressions for each year, with logarithms of
payments as the dependent variables, and with population, practice, and
performance factors as independent variables) were undertaken. RESULTS: Several
population variables predicted variations in adjusted total payments, but
inconsistently. Higher payments were associated with increases in deprivation,
patients of older age, African Caribbean ethnic group, and asthma prevalence.
Lower payments were associated with an increase in smoking prevalence. Long-term
health conditions, South Asian ethnic group, and diabetes prevalence were not
predictive. The adjusted R2 values were 0.359 (2013-2014) and 0.374 (2014-2015).
A slightly different set of variables predicted variations in the payment
component designed to compensate for workload. Lower payments were associated
with increases in deprivation, patients of older age, and diabetes prevalence.
Smoking prevalence was not predictive. There was a geographical differential.
CONCLUSION: Population factors related to health needs were, overall, poor
predictors of variations in adjusted total practice payments and in the payment
component designed to compensate for workload. Revising the weighting formula and
extending weighting to other payment components might better support practices to
address these needs.
PMID- 27872086
TI - Intensive care discharge summaries for general practice staff: a focus group
study.
AB - BACKGROUND: Understanding how patients and relatives can be supported after
hospital discharge is a UK research priority. Intensive Care Unit (ICU) discharge
summaries are a simple way of providing GPs with the information they require to
coordinate ongoing care, but little evidence is available to guide best practice.
AIM: This study aimed at better understanding the information needs of GP staff
(GPs and practice nurses) supporting former patients of ICUs and their families
following discharge from hospital, and identifying the barriers/facilitators
associated with ICU-primary care information transfer. DESIGN AND SETTING: This
was a qualitative exploratory study of practices and participants throughout the
UK. METHOD: Audiotaped focus group discussions, complemented by small
group/individual interviews, were conducted with 15 former patients of ICUs, four
relatives, and 20 GP staff between June and September 2015. Demographic data were
captured by questionnaire and qualitative data were thematically analysed.
RESULTS: Findings suggest variability in discharge information experiences and
blurred lines of responsibility between hospital and GP staff, and
patients/relatives. Continuity of care was affected by delayed or poor
communication from the hospital; GPs' limited contact with patients from critical
care; and a lack of knowledge of the effects of critical illness or resources
available to ameliorate these difficulties. Time pressures and information
technology were, respectively, the most commonly mentioned barrier and
facilitator. CONCLUSION: Effective rehabilitation after a critical illness
requires a coordinated and comprehensive approach, incorporating the provision of
well-completed, timely, and relevant ICU-primary care discharge information.
Health professionals need an improved understanding of critical illness, and
patients and families must be included in all aspects of the information-sharing
process.
PMID- 27872087
TI - Tim-3 Expression on Tumor-Infiltrating PD-1+CD8+ T Cells Correlates with Poor
Clinical Outcome in Renal Cell Carcinoma.
AB - Inhibitory receptors expressed by T cells mediate tolerance to tumor antigens,
with coexpression of these receptors exacerbating this dysfunctional state. Using
the VectraR automated multiparametric immunofluorescence technique, we quantified
intratumoral CD8+ T cells coexpressing the inhibitory receptors PD-1 and Tim-3
from patients with renal cell carcinoma (RCC). A second validation cohort
measured the same parameters by cytometry. The percentage of tumor-infiltrating
CD8+ T cells coexpressing PD-1 and Tim-3 correlated with an aggressive phenotype
and a larger tumor size at diagnosis. Coexpression of PD-1 and Tim-3 above the
median conferred a higher risk of relapse and a poorer 36-month overall survival.
Notably, other CD8+T-cell subsets did not exert a similar effect on overall
survival. Moreover, only the PD-1+Tim-3+ subset of CD8+ T cells exhibited
impaired function after stimulation. Our findings establish intratumoral Tim
3+PD1+CD8+ T cells as critical mediators of an aggressive phenotype in RCC. Use
of the Vectra tool may be useful to identify similarly critical prognostic and
predictive biomarkers in other tumor types and their response to immunotherapy.
Cancer Res; 77(5); 1075-82. (c)2016 AACR.
PMID- 27872088
TI - H-Ras and K-Ras Oncoproteins Induce Different Tumor Spectra When Driven by the
Same Regulatory Sequences.
AB - Genetic studies in mice have provided evidence that H-Ras and K-Ras proteins are
bioequivalent. However, human tumors display marked differences in the
association of RAS oncogenes with tumor type. Thus, to further assess the
bioequivalence of oncogenic H-Ras and K-Ras, we replaced the coding region of the
murine K-Ras locus with H-RasG12V oncogene sequences. Germline expression of H
RasG12V or K-RasG12V from the K-Ras locus resulted in embryonic lethality.
However, expression of these genes in adult mice led to different tumor
phenotypes. Whereas H-RasG12V elicited papillomas and hematopoietic tumors, K
RasG12V induced lung tumors and gastric lesions. Pulmonary expression of H
RasG12V created a senescence-like state caused by excessive MAPK signaling.
Likewise, H-RasG12V but not K-RasG12V induced senescence in mouse embryonic
fibroblasts. Label-free quantitative analysis revealed that minor differences in
H-RasG12V expression levels led to drastically different biological outputs,
suggesting that subtle differences in MAPK signaling confer nonequivalent
functions that influence tumor spectra induced by RAS oncoproteins. Cancer Res;
77(3); 707-18. (c)2016 AACR.
PMID- 27872089
TI - Transcriptional Induction of Periostin by a Sulfatase 2-TGFbeta1-SMAD Signaling
Axis Mediates Tumor Angiogenesis in Hepatocellular Carcinoma.
AB - Existing antiangiogenic approaches to treat metastatic hepatocellular carcinoma
(HCC) are weakly effectual, prompting further study of tumor angiogenesis in this
disease setting. Here, we report a novel role for sulfatase 2 (SULF2) in driving
HCC angiogenesis. Sulf2-deficient mice (Sulf2 KO) exhibited resistance to
diethylnitrosamine-induced HCC and did not develop metastases like wild-type mice
(Sulf2 WT). The smaller and less numerous tumors formed in Sulf2 KO mice
exhibited a markedly lower microvascular density. In human HCC cells, SULF2
overexpression increased endothelial proliferation, adhesion, chemotaxis, and
tube formation in a paracrine fashion. Mechanistic analyses identified the
extracellular matrix protein periostin (POSTN), a ligand of alphavbeta3/5
integrins, as an effector protein in SULF2-induced angiogenesis. POSTN silencing
in HCC cells attenuated SULF2-induced angiogenesis and tumor growth in vivo The
TGFbeta1/SMAD pathway was identified as a critical signaling axis between SULF2
and upregulation of POSTN transcription. In clinical HCC specimens, elevated
levels of SULF2 correlated with increased microvascular density, POSTN levels,
and relatively poorer patient survival. Together, our findings define an
important axis controlling angiogenesis in HCC and a mechanistic foundation for
rational drug development. Cancer Res; 77(3); 632-45. (c)2016 AACR.
PMID- 27872091
TI - Macrophage-Secreted TNFalpha and TGFbeta1 Influence Migration Speed and
Persistence of Cancer Cells in 3D Tissue Culture via Independent Pathways.
AB - The ability of a cancer cell to migrate through the dense extracellular matrix
within and surrounding the solid tumor is a critical determinant of metastasis.
Macrophages enhance invasion and metastasis in the tumor microenvironment, but
the basis for their effects is not fully understood. Using a microfluidic 3D cell
migration assay, we found that the presence of macrophages enhanced the speed and
persistence of cancer cell migration through a 3D extracellular matrix in a
matrix metalloproteinases (MMP)-dependent fashion. Mechanistic investigations
revealed that macrophage-released TNFalpha and TGFbeta1 mediated the observed
behaviors by two distinct pathways. These factors synergistically enhanced
migration persistence through a synergistic induction of NF-kappaB-dependent MMP1
expression in cancer cells. In contrast, macrophage-released TGFbeta1 enhanced
migration speed primarily by inducing MT1-MMP expression. Taken together, our
results reveal new insights into how macrophages enhance cancer cell metastasis,
and they identify TNFalpha and TGFbeta1 dual blockade as an antimetastatic
strategy in solid tumors. Cancer Res; 77(2); 279-90. (c)2016 AACR.
PMID- 27872092
TI - Plk4 Promotes Cancer Invasion and Metastasis through Arp2/3 Complex Regulation of
the Actin Cytoskeleton.
AB - The polo family serine threonine kinase Plk4 has been proposed as a therapeutic
target in advanced cancers based on increased expression in primary human
cancers, facilitation of tumor growth in murine xenograft models, and centrosomal
amplification induced by its overexpression. However, both the causal link
between these phenomena and the feasibility of selective Plk4 inhibition remain
unclear. Here we characterize Plk4-dependent cancer cell migration and invasion
as well as local invasion and metastasis of cancer xenografts. Plk4 depletion
suppressed cancer invasion and induced an epithelial phenotype in poorly
differentiated breast cancer cells. In an unbiased BioID screen for Plk4
interactors, we identified members of the Arp2/3 complex and confirmed a physical
and functional interaction between Plk4 and Arp2 in mediating Plk4-driven cancer
cell movement. This interaction is mediated through the Plk4 Polo-box 1-Polo-box
2 domain and results in phosphorylation of Arp2 at the T237/T238 activation site,
which is required for Plk4-driven cell movement. Our results validate Plk4 as a
therapeutic target in cancer patients and reveal a new role for Plk4 in
regulating Arp2/3-mediated actin cytoskeletal rearrangement. Cancer Res; 77(2);
434-47. (c)2016 AACR.
PMID- 27872090
TI - Mutational Landscape of Pediatric Acute Lymphoblastic Leukemia.
AB - Current standard of care for patients with pediatric acute lymphoblastic leukemia
(ALL) is mainly effective, with high remission rates after treatment. However,
the genetic perturbations that give rise to this disease remain largely
undefined, limiting the ability to address resistant tumors or develop less toxic
targeted therapies. Here, we report the use of next-generation sequencing to
interrogate the genetic and pathogenic mechanisms of 240 pediatric ALL cases with
their matched remission samples. Commonly mutated genes fell into several
categories, including RAS/receptor tyrosine kinases, epigenetic regulators,
transcription factors involved in lineage commitment, and the p53/cell-cycle
pathway. Unique recurrent mutational hotspots were observed in epigenetic
regulators CREBBP (R1446C/H), WHSC1 (E1099K), and the tyrosine kinase FLT3
(K663R, N676K). The mutant WHSC1 was established as a gain-of-function oncogene,
while the epigenetic regulator ARID1A and transcription factor CTCF were
functionally identified as potential tumor suppressors. Analysis of 28
diagnosis/relapse trio patients plus 10 relapse cases revealed four evolutionary
paths and uncovered the ordering of acquisition of mutations in these patients.
This study provides a detailed mutational portrait of pediatric ALL and gives
insights into the molecular pathogenesis of this disease. Cancer Res; 77(2); 390
400. (c)2016 AACR.
PMID- 27872094
TI - MIF-Induced Stromal PKCbeta/IL8 Is Essential in Human Acute Myeloid Leukemia.
AB - Acute myeloid leukemia (AML) cells exhibit a high level of spontaneous apoptosis
when cultured in vitro but have a prolonged survival time in vivo, indicating
that tissue microenvironment plays a critical role in promoting AML cell
survival. In vitro studies have shown that bone marrow mesenchymal stromal cells
(BM-MSC) protect AML blasts from spontaneous and chemotherapy-induced apoptosis.
Here, we report a novel interaction between AML blasts and BM-MSCs, which
benefits AML proliferation and survival. We initially examined the cytokine
profile in cultured human AML compared with AML cultured with BM-MSCs and found
that macrophage migration inhibitory factor (MIF) was highly expressed by primary
AML, and that IL8 was increased in AML/BM-MSC cocultures. Recombinant MIF
increased IL8 expression in BM-MSCs via its receptor CD74. Moreover, the MIF
inhibitor ISO-1 inhibited AML-induced IL8 expression by BM-MSCs as well as BM-MSC
induced AML survival. Protein kinase C beta (PKCbeta) regulated MIF-induced IL8
in BM-MSCs. Finally, targeted IL8 shRNA inhibited BM-MSC-induced AML survival.
These results describe a novel, bidirectional, prosurvival mechanism between AML
blasts and BM-MSCs. Furthermore, they provide biologic rationale for therapeutic
strategies in AML targeting the microenvironment, specifically MIF and IL8.
Cancer Res; 77(2); 303-11. (c)2016 AACR.
PMID- 27872093
TI - A Novel Platinum(II)-Based Bifunctional ADC Linker Benchmarked Using 89Zr
Desferal and Auristatin F-Conjugated Trastuzumab.
AB - Greater control is desirable in the stochastic conjugation technology used to
synthesize antibody-drug conjugates (ADC). We have shown recently that a
fluorescent dye can be stably conjugated to a mAb using a bifunctional
platinum(II) linker. Here, we describe the general applicability of this novel
linker technology for the preparation of stable and efficacious ADCs. The
ethylenediamine platinum(II) moiety, herein called Lx, was coordinated to
Desferal (DFO) or auristatin F (AF) to provide storable "semifinal" products,
which were directly conjugated to unmodified mAbs. Conjugation resulted in ADCs
with unimpaired mAb-binding characteristics, DAR in the range of 2.5 to 2.7 and
approximately 85% payload bound to the Fc region, presumably to histidine
residues. To evaluate the in vivo stability of Lx and its effect on
pharmacokinetics and tumor targeting of an ADC, Lx-DFO was conjugated to the HER2
mAb trastuzumab, followed by radiolabeling with 89Zr. Trastuzumab-Lx-DFO-89Zr was
stable in vivo and exhibited pharmacokinetic and tumor-targeting properties
similar to parental trastuzumab. In a xenograft mouse model of gastric cancer
(NCI-N87) or an ado-trastuzumab emtansine-resistant breast cancer (JIMT-1), a
single dose of trastuzumab-Lx-AF outperformed its maleimide benchmark trastuzumab
Mal-AF and FDA-approved ado-trastuzumab emtansine. Overall, our findings show the
potential of the Lx technology as a robust conjugation platform for the
preparation of anticancer ADCs. Cancer Res; 77(2); 257-67. (c)2016 AACR.
PMID- 27872095
TI - T Cells Redirected to a Minor Histocompatibility Antigen Instruct Intratumoral
TNFalpha Expression and Empower Adoptive Cell Therapy for Solid Tumors.
AB - Donor-derived allogeneic T cells evoke potent graft versus tumor (GVT) effects
likely due to the simultaneous recognition of tumor-specific and host-restricted
minor histocompatibility (H) antigens. Here we investigated whether such effects
could be reproduced in autologous settings by TCR gene-engineered lymphocytes. We
report that T cells redirected either to a broadly expressed Y-encoded minor H
antigen or to a tumor-associated antigen, although poorly effective if
individually transferred, when simultaneously administered enabled acute
autochthonous tumor debulking and resulted in durable clinical remission. Y
redirected T cells proved hyporesponsive in peripheral lymphoid organs, whereas
they retained effector function at the tumor site, where in synergy with tumor
redirected lymphocytes, they instructed TNFalpha expression, endothelial cell
activation, and intratumoral T-cell infiltration. While neutralizing TNFalpha
hindered GVT effects by the combined T-cell infusion, a single injection of
picogram amounts of NGR-TNF, a tumor vessel-targeted TNFalpha derivative
currently in phase III clinical trials, substituted for Y-redirected cells and
enabled tumor debulking by tumor-redirected lymphocytes. Together, our results
provide new mechanistic insights into allogeneic GVT, validate the importance of
targeting the tumor and its associated stroma, and prove the potency of a novel
combined approach suitable for immediate clinical implementation. Cancer Res;
77(3); 658-71. (c)2016 AACR.
PMID- 27872096
TI - PolyI:C and CpG Synergize with Anti-ErbB2 mAb for Treatment of Breast Tumors
Resistant to Immune Checkpoint Inhibitors.
AB - Innate and adaptive immune cells play an important role in the therapeutic
activity of anti-ErbB2 mAbs, such as trastuzumab. In the clinic, breast tumors
poorly infiltrated with immune cells are more resistant to trastuzumab, and
patients have a worse prognosis. Because type I and II IFNs are critical to the
immune-mediated activity of anti-ErbB2 mAb, we investigated the effect of
combining polyI:C and CpG with trastuzumab-like therapy in immunocompetent mouse
models of ErbB2+ breast cancer. We demonstrated that in situ delivery of polyI:C
and CpG combined to systemic anti-ErbB2 mAb triggered a potent inflammatory
response in breast tumors able to induce long-lasting CD8+ T cell-dependent
antitumor immunity. Remarkably, polyI:C and CpG was superior to combined PD
1/CTLA-4 blockade in sensitizing tumors to anti-ErbB2 mAb therapy. Local
injection of CpG and polyI:C in a primary tumor significantly enhanced the
activity of systemic anti-ErbB2 mAb against a distant untreated tumor. Type I and
II IFNs, as well as natural killer cells and CD8+ T cells, were indispensible to
the synergistic activity of the combination treatment. Because synthetic RNA
analogues and CpG oligodeoxynucleotides have been safely used in clinical trials,
our study supports combination treatments with anti-ErbB2 mAbs. Cancer Res;
77(2); 312-9. (c)2016 AACR.
PMID- 27872099
TI - Conflicting Signals for Cancer Treatment.
AB - Next-generation sequencing technologies have provided us with a precise
description of the mutational burden of cancers, making it possible to identify
targetable oncogene addictions. However, the emergence of resistant clones is an
inevitable limitation of therapies targeting these addictions. Alternative
approaches to cancer treatment are therefore required. We propose here a novel
approach, based on the notion of conflicting signals and on a phenotypic
description of cancer cells. "Phenotype" is an inherently complex notion that we
describe in the conceptual framework of the epigenetic landscape, with a view to
bridging the gap between theory and practice at the patient's bedside. By passing
from theory to the description of several examples, we will illustrate how this
approach can facilitate data analysis and the design of new strategies for cancer
treatment. Cancer Res; 76(23); 6768-73. (c)2016 AACR.
PMID- 27872098
TI - Systematic Drug Screening Identifies Tractable Targeted Combination Therapies in
Triple-Negative Breast Cancer.
AB - Triple-negative breast cancer (TNBC) remains an aggressive disease without
effective targeted therapies. In this study, we addressed this challenge by
testing 128 FDA-approved or investigational drugs as either single agents or in
768 pairwise drug combinations in TNBC cell lines to identify synergistic
combinations tractable to clinical translation. Medium-throughput results were
scrutinized and extensively analyzed for sensitivity patterns, synergy,
anticancer activity, and were validated in low-throughput experiments. Principal
component analysis revealed that a fraction of all upregulated or downregulated
genes of a particular targeted pathway could partly explain cell sensitivity
toward agents targeting that pathway. Combination therapies deemed immediately
tractable to translation included ABT-263/crizotinib, ABT-263/paclitaxel,
paclitaxel/JQ1, ABT-263/XL-184, and paclitaxel/nutlin-3, all of which exhibited
synergistic antiproliferative and apoptotic activity in multiple TNBC
backgrounds. Mechanistic investigations of the ABT-263/crizotinib combination
offering a potentially rapid path to clinic demonstrated RTK blockade, inhibition
of mitogenic signaling, and proapoptotic signal induction in basal and
mesenchymal stem-like TNBC. Our findings provide preclinical proof of concept for
several combination treatments of TNBC, which offer near-term prospects for
clinical translation. Cancer Res; 77(2); 566-78. (c)2016 AACR.
PMID- 27872100
TI - Regeneration of CD8alphabeta T Cells from T-cell-Derived iPSC Imparts Potent
Tumor Antigen-Specific Cytotoxicity.
AB - Although adoptive transfer of cytotoxic T lymphocytes (CTL) offer a promising
cancer therapeutic direction, the generation of antigen-specific CTL from
patients has faced difficulty in efficient expansion in ex vivo culture. To
resolve this issue, several groups have proposed that induced pluripotent stem
cell technology be applied for the expansion of antigen-specific CTL, which
retain expression of the same T-cell receptor as original CTL. However, in these
previous studies, the regenerated CTL are mostly of the CD8alphaalpha+ innate
type and have less antigen-specific cytotoxic activity than primary CTL. Here we
report that, by stimulating purified iPSC-derived CD4/CD8 double-positive cells
with anti-CD3 antibody, T cells expressing CD8alphabeta were generated and
exhibited improved antigen-specific cytotoxicity compared with CD8alphaalpha+
CTL. Failure of CD8alphabeta T-cell production using the previous method was
found to be due to killing of double-positive cells by the double-negative cells
in the mixed cultures. We found that WT1 tumor antigen-specific CTL regenerated
by this method prolonged the survival of mice bearing WT1-expressing leukemic
cells. Implementation of our methods may offer a useful clinical tool. Cancer
Res; 76(23); 6839-50. (c)2016 AACR.
PMID- 27872097
TI - Menin and Daxx Interact to Suppress Neuroendocrine Tumors through Epigenetic
Control of the Membrane Metallo-Endopeptidase.
AB - Neuroendocrine tumors (NET) often harbor loss-of-function mutations in the MEN1
and DAXX tumor suppressor genes. Here, we report that the products of these
genes, menin and Daxx, interact directly with each other to suppress the
proliferation of NET cells, to a large degree by inhibiting expression of the
membrane metallo-endopeptidase (MME). Menin and Daxx were required to enhance
histone H3 lysine9 trimethylation (H3K9me3) at the MME promoter, as mediated
partly by the histone H3 methyltransferase SUV39H1. Notably, the menin T429K
mutation associated with a NET syndrome reduced Daxx binding, MME repression, and
proliferation of NET cells. Conversely, inhibition of MME in NET cells repressed
proliferation and tumor growth in vivo Our findings reveal a previously
unappreciated cross-talk between two crucial tumor suppressor genes thought to
work by independent pathways, focusing on MME as a common target of menin/Daxx to
treat NET. Cancer Res; 77(2); 401-11. (c)2016 AACR.
PMID- 27872101
TI - Natural Killer Cells to the Attack: Combination Therapy against Neuroblastoma.
AB - TGFbeta in the tumor microenvironment diminishes natural killer (NK) cell
mediated anti-disialoganglioside (anti-GD2) mAb elimination of neuroblastoma
cells. Consequently, blockade of TGFbeta signaling with galunisertib in
combination with the anti-GD2 mAb dinutuximab plus adoptively transferred NK
cells is a promising tool for the treatment of neuroblastoma. Clin Cancer Res;
23(3); 615-7. (c)2016 AACRSee related article by Tran et al., p. 804.
PMID- 27872104
TI - Potential role for BCG in treatment of autoimmune diseases.
PMID- 27872102
TI - E6 and E7 Antibody Levels Are Potential Biomarkers of Recurrence in Patients with
Advanced-Stage Human Papillomavirus-Positive Oropharyngeal Squamous Cell
Carcinoma.
AB - Purpose: There is a paucity of biomarkers to predict failure in human
papillomavirus-positive (HPV+) oropharyngeal squamous cell carcinoma (OPSCC)
following curative therapy. E6/E7 viral oncoproteins are constitutively expressed
in HPV+ tumors and highly immunogenic, resulting in readily detected serum
antibodies. The purpose of this study is to determine whether serum E6 and E7
antibody levels can potentially serve as a biomarker of recurrence in patients
with HPV+OPSCC.Experimental Design: We evaluated E6/E7 antibody levels in
patients with previously untreated, advanced stage (III, IVa-b), HPV+OPSCC
receiving definitive chemoradiation under a uniform protocol from 2003 to 2010.
Baseline and longitudinal serum samples were obtained from our archived
repository. E6/E7 serum levels were measured using a glutathione-S-transferase
capture ELISA and quantified by approximating the area under the dilution curve,
and were analyzed using ANOVA and linear mixed model for longitudinal
analysis.Results: We compared 22 HPV+OPSCC patients who developed recurrence with
30 patients who remained disease-free. There were no differences in T
classification, N classification, disease subsite, or smoking status between the
groups. In a longitudinal analysis, recurrent patients had significantly higher
E6 and E7 serum antibody levels than the nonrecurrent patients over the follow-up
period (P = 0.02 and P = 0.002, respectively). Patients who recurred had a lower
clearance of E7 antibody than patients who remained disease-free (P =
0.0016).Conclusions: Patients with HPV+OPSCC whose disease recurs have a lower
clearance of E6 and E7 antibodies than patients who do not have recurrence. The
ratio of E7 antibody at disease recurrence compared with baseline is potentially
a clinically significant measurement of disease status in HPV+OPSCC. Clin Cancer
Res; 23(11); 2723-9. (c)2016 AACR.
PMID- 27872103
TI - Rapid and Continued T-Cell Differentiation into Long-term Effector and Memory
Stem Cells in Vaccinated Melanoma Patients.
AB - Purpose: Patients with cancer benefit increasingly from T-cell-based therapies,
such as adoptive T-cell transfer, checkpoint blockade, or vaccination. We have
previously shown that serial vaccinations with Melan-AMART-126-35 peptide, CpG-B,
and incomplete Freund adjuvant (IFA) generated robust tumor-specific CD8 T-cell
responses in patients with melanoma. Here, we describe the detailed kinetics of
early- and long-term establishment of T-cell frequency, differentiation (into
memory and effector cells), polyfunctionality, and clonotype repertoire induced
by vaccination.Experimental Design: Twenty-nine patients with melanoma were
treated with multiple monthly subcutaneous vaccinations consisting of CpG-B, and
either the native/EAA (n = 13) or the analogue/ELA (n = 16) Melan-AMART-126-35
peptide emulsified in IFA. Phenotypes and functionality of circulating Melan-A
specific CD8 T cells were assessed directly ex vivo by multiparameter flow
cytometry, and TCR clonotypes were determined ex vivo by mRNA transcript analyses
of individually sorted cells.Results: Our results highlight the determining
impact of the initial vaccine injections on the rapid and strong induction of
differentiated effector T cells in both patient cohorts. Moreover, long-term
polyfunctional effector T-cell responses were associated with expansion of stem
cell-like memory T cells over time along vaccination. Dominant TCR clonotypes
emerged early and persisted throughout the entire period of observation.
Interestingly, one highly dominant clonotype was found shared between memory and
effector subsets.Conclusions: Peptide/CpG-B/IFA vaccination induced powerful long
term T-cell responses with robust effector cells and stem cell-like memory cells.
These results support the further development of CpG-B-based cancer vaccines,
either alone or as specific component of combination therapies. Clin Cancer Res;
23(13); 3285-96. (c)2016 AACR.
PMID- 27872108
TI - Nitrofurantoin, an Excellent Empiric Choice for Outpatient Cystitis.
PMID- 27872106
TI - Methylenetetrahydrofolate Dehydrogenase 1 Polymorphisms Modify the Associations
of Plasma Glycine and Serine With Risk of Acute Myocardial Infarction in Patients
With Stable Angina Pectoris in WENBIT (Western Norway B Vitamin Intervention
Trial).
AB - BACKGROUND: Serine and glycine interconversion and methylenetetrahydrofolate
dehydrogenase 1 (MTHFD1)-mediated 1-carbon transfer are the major sources of
methyl groups for 1-carbon metabolism. Recently, plasma glycine and a common
polymorphism in MTHFD1 have been associated with risk of acute myocardial
infarction (AMI). It is, therefore, of interest to explore if these 2 pathways
interact in relation to AMI. METHODS AND RESULTS: A total of 2571 participants in
the WENBIT (Western Norway B Vitamin Intervention Trial) undergoing coronary
angiography for stable angina pectoris were studied. Associations of plasma
serine and glycine concentrations with risk of AMI across 2 common and functional
MTHFD1 polymorphisms (rs2236225 and rs1076991) were explored in Cox regression
models. During a median follow-up of 4.7 years, 212 patients (8.2%) experienced
an AMI. In age- and sex-adjusted analyses, plasma glycine (P<0.01), but not
serine (P=0.52), showed an overall association with AMI. However, interactions of
MTHFD1 rs2236225 polymorphism with both plasma serine and glycine were observed
(Pinteraction=0.03 for both). Low plasma serine and glycine were associated with
an increased risk of AMI among patients carrying the rs2236225 minor A allele.
Similarly, low plasma glycine showed stronger risk relationship with AMI in the
rs1076991 CC genotype carriers but weaker associations in patients carrying the
minor T allele (Pinteraction=0.02). CONCLUSIONS: Our results showed that 2 common
and functional polymorphisms in the MTHFD1 gene modulate the risk associations of
plasma serine and glycine with AMI. These findings emphasize the possible role of
the MTHFD1 in regulating serine and glycine metabolism in relation to
atherosclerotic complications. CLINICAL TRIAL REGISTRATION: URL:
http://www.clinicaltrials.gov. Unique Identifier: NCT00354081.
PMID- 27872109
TI - Reply to "Nitrofurantoin, an Excellent Empiric Choice for Outpatient Cystitis".
PMID- 27872110
TI - Correction for Leangapichart et al., Acquisition of mcr-1 Plasmid-Mediated
Colistin Resistance in Escherichia coli and Klebsiella pneumoniae during Hajj
2013 and 2014.
PMID- 27872105
TI - Multiethnic Exome-Wide Association Study of Subclinical Atherosclerosis.
AB - BACKGROUND: The burden of subclinical atherosclerosis in asymptomatic individuals
is heritable and associated with elevated risk of developing clinical coronary
heart disease. We sought to identify genetic variants in protein-coding regions
associated with subclinical atherosclerosis and the risk of subsequent coronary
heart disease. METHODS AND RESULTS: We studied a total of 25 109 European
ancestry and African ancestry participants with coronary artery calcification
(CAC) measured by cardiac computed tomography and 52 869 participants with common
carotid intima-media thickness measured by ultrasonography within the CHARGE
Consortium (Cohorts for Heart and Aging Research in Genomic Epidemiology).
Participants were genotyped for 247 870 DNA sequence variants (231 539 in exons)
across the genome. A meta-analysis of exome-wide association studies was
performed across cohorts for CAC and carotid intima-media thickness. APOB
p.Arg3527Gln was associated with 4-fold excess CAC (P=3*10-10). The APOE epsilon2
allele (p.Arg176Cys) was associated with both 22.3% reduced CAC (P=1*10-12) and
1.4% reduced carotid intima-media thickness (P=4*10-14) in carriers compared with
noncarriers. In secondary analyses conditioning on low-density lipoprotein
cholesterol concentration, the epsilon2 protective association with CAC, although
attenuated, remained strongly significant. Additionally, the presence of epsilon2
was associated with reduced risk for coronary heart disease (odds ratio 0.77;
P=1*10-11). CONCLUSIONS: Exome-wide association meta-analysis demonstrates that
protein-coding variants in APOB and APOE associate with subclinical
atherosclerosis. APOE epsilon2 represents the first significant association for
multiple subclinical atherosclerosis traits across multiple ethnicities, as well
as clinical coronary heart disease.
PMID- 27872111
TI - Ultrasound imaging to tailor the treatment of acute shoulder pain: a randomised
controlled trial in general practice.
AB - OBJECTIVE: To determine the clinical effectiveness of ultrasound tailored
treatment in patients with acute subacromial disorders. DESIGN: Pragmatic
randomised controlled trial. SETTING: Dutch general practice. PARTICIPANTS:
Patients aged 18-65 years with acute (duration <3 months) unilateral shoulder
pain and no previous treatment, in whom the general practitioner suspected a
subacromial disorder was enrolled. INTERVENTIONS: All patients underwent
ultrasound imaging of the affected shoulder. Patients who were still symptomatic
after a qualification period of 2 weeks with standard treatment were randomised
to treatment tailored to ultrasound diagnosis (disclosure of the ultrasound
diagnosis) or usual care (non-disclosure of the ultrasound diagnosis). PRIMARY
OUTCOME MEASURE: Patient-perceived recovery using the Global Perceived Effect
questionnaire at 1 year. RESULTS: 129 patients were included. 18 patients
recovered during the 2-week qualification period, resulting in 111 randomised
patients; 56 were allocated to ultrasound tailored treatment and 55 to usual
care. After 1 year, no statistically significant differences in recovery were
found between the ultrasound tailored treatment group (72.5% (37/51)) and the
usual care group (60% (30/50), OR 2.24 (95% CI 0.72 to 6.89; p=0.16)). Also,
healthcare use was similar. CONCLUSIONS: This study has shown no clinically
significant difference in the primary outcome measure between the ultrasound
tailored treatment and usual care groups. Furthermore, there was no overall
difference in healthcare resources used between groups. Although no formal cost
data are included, one can only assume that the ultrasound examinations are
additional costs for the intervention group, which cannot be justified in routine
practice based on this trial. Based on this study, no change in current pragmatic
guidelines to incorporate early ultrasound imaging can be recommended. TRIAL
REGISTRATION NUMBER: NTR2403; Results.
PMID- 27872112
TI - Too much detention? Street Triage and detentions under Section 136 Mental Health
Act in the North-East of England: a descriptive study of the effects of a Street
Triage intervention.
AB - OBJECTIVES: To describe the impact of Street Triage (ST) on the number and rate
of Section 136 Mental Health Act (S136) detentions in one NHS Mental Health and
Disability Trust (Northumberland, Tyne and Wear (NTW)). DESIGN: Comparative
descriptive study of numbers and rates of S136 detentions prior to and following
the introduction of ST in NTW. More detailed data were obtained from one local
authority in the NTW area. SETTING: NTW, a secondary care NHS Foundation Trust
providing mental health and disability services in the north-east of England, in
conjunction with Northumbria Police Service. PARTICIPANTS: People being detained
under S136 Mental Health Act (MHA). Routine data on S136 detentions and ST
interventions were obtained from NTW, Northumbria Police, Sunderland Hospitals
NHS Foundation Trust and Sunderland Local Authority. INTERVENTIONS: Introduction
of a ST service in NTW. The main outcome measures were routinely collected data
on the number and rate of ST interventions as well as patterns of the numbers and
rates of S136 detentions. These were collected retrospectively. RESULTS: The
annual rate of S136 detentions reduced by 56% in the first year of ST (from 59.8
per 100 000 population to 26.4 per 100 000). There was a linear relationship
between the rate of ST in each locality and the reduction in rate of S136
detentions. There were 1623 ST contacts in the first 3 localities to have a ST
service during its first year; there were also 403 fewer S136 detentions. Data
from Sunderland indicate a 78% reduction in S136 use and a significant reduction
in the number and proportion of adult admissions that originated from S136
detentions. CONCLUSIONS: There is evidence to support the hypothesis that ST
decreases the rate of s136 detention. When operating across the whole of NTW, ST
resulted in 50 fewer S136 detentions a month, which represents a substantial
reduction.
PMID- 27872113
TI - Does integrated care reduce hospital activity for patients with chronic diseases?
An umbrella review of systematic reviews.
AB - OBJECTIVE: To summarise the evidence regarding the effectiveness of integrated
care interventions in reducing hospital activity. DESIGN: Umbrella review of
systematic reviews and meta-analyses. SETTING: Interventions must have delivered
care crossing the boundary between at least two health and/or social care
settings. PARTICIPANTS: Adult patients with one or more chronic diseases. DATA
SOURCES: MEDLINE, Embase, ASSIA, PsycINFO, HMIC, CINAHL, Cochrane Library (HTA
database, DARE, Cochrane Database of Systematic Reviews), EPPI-Centre, TRIP,
HEED, manual screening of references. OUTCOME MEASURES: Any measure of hospital
admission or readmission, length of stay (LoS), accident and emergency use,
healthcare costs. RESULTS: 50 reviews were included. Interventions focused on
case management (n=8), chronic care model (CCM) (n=9), discharge management
(n=15), complex interventions (n=3), multidisciplinary teams (MDT) (n=10) and
self-management (n=5). 29 reviews reported statistically significant improvements
in at least one outcome. 11/21 reviews reported significantly reduced emergency
admissions (15-50%); 11/24 showed significant reductions in all-cause (10-30%) or
condition-specific (15-50%) readmissions; 9/16 reported LoS reductions of 1-7
days and 4/9 showed significantly lower A&E use (30-40%). 10/25 reviews reported
significant cost reductions but provided little robust evidence. Effective
interventions included discharge management with postdischarge support, MDT care
with teams that include condition-specific expertise, specialist nurses and/or
pharmacists and self-management as an adjunct to broader interventions.
Interventions were most effective when targeting single conditions such as heart
failure, and when care was provided in patients' homes. CONCLUSIONS: Although all
outcomes showed some significant reductions, and a number of potentially
effective interventions were found, interventions rarely demonstrated
unequivocally positive effects. Despite the centrality of integrated care to
current policy, questions remain about whether the magnitude of potentially
achievable gains is enough to satisfy national targets for reductions in hospital
activity. TRIAL REGISTRATION NUMBER: CRD42015016458.
PMID- 27872114
TI - Relationship between women's smoking and laryngeal disorders based on the urine
cotinine test: results of a national population-based survey.
AB - OBJECTIVES: There is a possibility of underestimation in the smoking rate
surveyed by self-reported questionnaires. This study investigated the difference
between the Korean female smoking rate as determined by self-reports and that
determined by a biochemical test and elucidated the relationship between women's
smoking and laryngeal disorders. DESIGN: Nationwide cross-sectional survey.
SETTING: 2008 Korea National Health and Nutrition Examination Survey.
PARTICIPANTS: 1849 women who completed the health survey, urinary cotinine test
and laryngoscope examinations. MAIN OUTCOME MEASURE: This study defined smokers
as those with urine cotinine contents of 50 ng/mL and over. Confounding factors
included age, level of education, household income, occupation and problem
drinking in the past year. For statistical tests, OR and 95% CI were presented by
using complex samples logistic regression. RESULTS: While there was no
relationship between smoking as determined by a self-reported questionnaire and
laryngeal disorders, smoking as determined by the urine cotinine test had a
significant relationship with laryngeal disorders (p<0.05). After all the
confounding factors were adjusted, those with urine cotinine concentrations of
over 50 ng/mL had a 2.1 times higher risk of laryngeal disorders than those with
urine cotinine concentrations of <50 ng/mL (OR=2.05, 95% CI 1.11 to 3.78)
(p<0.05). CONCLUSIONS: This national cross-sectional study verified that smoking
is a significant risk factor for laryngeal disorders. Longitudinal studies are
required to identify the causal relationship between smoking and laryngeal
disorders.
PMID- 27872115
TI - Early Diagnosis of Gestational Diabetes Mellitus (EDoGDM) study: a protocol for a
prospective, longitudinal cohort study.
AB - INTRODUCTION: A diagnosis of gestational diabetes mellitus (GDM) in low-risk
pregnant women is based on an oral glucose tolerance test (OGTT) between 24 and
28 gestational weeks. However, there is insufficient evidence for why the test is
performed in this time period. Moreover, the fetus may be exposed to
hyperglycaemia prior to the current testing time frame, making earlier
administration potentially advantageous. The main purpose of the present study is
to investigate the GDM diagnostic value of an OGTT performed at 18-20 gestational
weeks. The results of the study may provide scientific insight into the most
beneficial time of OGTT for pregnant women. METHODS AND ANALYSIS: As a
prospective, longitudinal cohort study, the Early Diagnosis of Gestational
Diabetes Mellitus (EDoGDM) study will recruit 570 pregnant women who meet the
inclusion and exclusion criteria outlined below. OGTTs will be performed between
18 and 20 gestational weeks (early OGTT) and 24-28 gestational weeks (regular
OGTT). Clinical and laboratory information of the mother and their offspring will
be collected for analysis. The prevalence of GDM at 18-20 gestational weeks will
be described, and the sensitivity, specificity, positive predictive value and
negative predictive value of early OGTT on diagnosis of GDM will be studied.
Clinical outcomes associated with hyperglycaemia will be compared between groups
diagnosed by early or regular OGTT. ETHICS AND DISSEMINATION: The study was
approved by The Ethical Committees of The First Affiliated Hospital of Sun Yat
sen University (number 2016-042). Signed informed consent will be obtained from
all participants. The results of this study will be disseminated in peer-reviewed
journals. TRIAL REGISTRATION NUMBER: NCT02740283.
PMID- 27872116
TI - Reporting quality of abstracts of trials published in top five pain journals: a
protocol for a systematic survey.
AB - INTRODUCTION: Abstracts of randomised controlled trials (RCTs) are often the
first and the only source read in a journal by busy healthcare providers. This
necessitates good reporting of abstracts. The quality of reporting of abstracts,
though gradually improving over time, is still not uniform across medical
journals. Improvement in completeness of reporting of abstracts has been
documented in general medical journals after the publication of the consolidated
standards of reporting trials (CONSORT) extension for abstracts in 2008.
Currently, this aspect has not been assessed with regards to pain journals. This
study aims to compare the completeness of reporting of abstracts before and after
the publication of CONSORT statement for abstracts in five pain journals. METHODS
AND ANALYSES: The abstracts of RCTs published from 1 January 2005 to 31 December
2007 (pre-CONSORT) and from 1 January 2013 to 31 December 2015 (post-CONSORT)
will be assessed for the quality of reporting. Studies without abstracts, non
English abstracts, abstracts not reporting on RCTs or on humans and conference
abstracts will be excluded. A thorough search of MEDLINE will be carried out in
April 2016. All identified studies will be screened for inclusion based on titles
and abstracts. Data will be extracted by two sets of independent reviewers for
each abstract in duplicate regarding compliance with CONSORT statement for
abstracts. Full-text review will be performed to obtain additional
characteristics which are likely to affect reporting quality. The unadjusted and
adjusted differences in the mean number of items reported will be analysed using
a two sample t-test and generalised estimation equation in SPSS. ETHICS AND
DISSEMINATION: As far as we know, this is the first study to evaluate reporting
quality of abstracts of pain journals based on CONSORT extension for abstracts.
The findings of this study will be disseminated by a presentation at a conference
and through publication in a peer-reviewed journal. Ethics committee approval was
not sought for this survey.
PMID- 27872117
TI - Protocol for a multicentre randomised feasibility trial evaluating early Surgery
Alone In LOw Rectal cancer (SAILOR).
AB - INTRODUCTION: There are 11 500 rectal cancers diagnosed annually in the UK.
Although surgery remains the primary treatment, there is evidence that
preoperative radiotherapy (RT) improves local recurrence rates. High-quality
surgery in rectal cancer is equally important in minimising local recurrence.
Advances in MRI-guided prediction of resection margin status and improvements in
abdominoperineal excision of the rectum (APER) technique supports a reassessment
of the contribution of preoperative RT. A more selective approach to RT may be
appropriate given the associated toxicity. METHODS AND ANALYSIS: This trial will
explore the feasibility of a definitive trial evaluating the omission of RT in
resectable low rectal cancer requiring APER. It will test the feasibility of
randomising patients to (1) standard care (neoadjuvant long course RT+/
chemotherapy and APER, or (2) APER surgery alone for cT2/T3ab N0/1 low rectal
cancer with clear predicted resection margins on MRI. RT schedule will be 45 Gy
over 5 weeks as current standard, with restaging and surgery after 8-12 weeks.
Recruitment will be for 24 months with a minimum 12-month follow-up. OBJECTIVES:
Objectives include testing the ability to recruit, consent and retain patients,
to quantify the number of patients eligible for a definitive trial and to test
feasibility of outcomes measures. These include locoregional recurrence rates,
distance to circumferential resection margin, toxicity and surgical complications
including perineal wound healing, quality of life and economic analysis. The
quality of MRI staging, RT delivery and surgical specimen quality will be closely
monitored. ETHICS AND DISSEMINATION: The trial is approved by the Regional Ethics
Committee and Health Research Authority (HRA) or equivalent. Written informed
consent will be obtained. Serious adverse events will be reported to Swansea
Trials Unit (STU), the ethics committee and trial sites. Trial results will be
submitted for peer review publication and to trial participants. TRIAL
REGISTRATION NUMBER: ISRCTN02406823.
PMID- 27872118
TI - Cost burden of type 2 diabetes in Germany: results from the population-based KORA
studies.
AB - OBJECTIVE: To examine the impact of type 2 diabetes on direct and indirect costs
and to describe the effect of relevant diabetes-related factors, such as type of
treatment or glycaemic control on direct costs. DESIGN: Bottom-up excess cost
analysis from a societal perspective based on population-based survey data.
PARTICIPANTS: 9160 observations from 6803 individuals aged 31-96 years (9.6% with
type 2 diabetes) from the population-based KORA (Cooperative Health Research in
the Region of Augsburg) studies in Southern Germany. OUTCOME MEASURES: Healthcare
usage, productivity losses, and resulting direct and indirect costs. METHODS:
Information on diabetes status, biomedical/sociodemographic variables, medical
history and on healthcare usage and productivity losses was assessed in
standardised interviews and examinations. Healthcare usage and productivity
losses were costed with reference to unit prices and excess costs of type 2
diabetes were calculated using generalised linear models. RESULTS: Individuals
with type 2 diabetes had 1.81 (95% CI 1.56 to 2.11) times higher direct (?3352 vs
?1849) and 2.07 (1.51 to 2.84) times higher indirect (?4103 vs ?1981) annual
costs than those without diabetes. Cardiovascular complications, a long diabetes
duration and treatment with insulin were significantly associated with increased
direct costs; however, glycaemic control was only weakly insignificantly
associated with costs. CONCLUSIONS: This study illustrates the substantial direct
and indirect societal cost burden of type 2 diabetes in Germany. Strong effort is
needed to optimise care to avoid progression of the disease and costly
complications.
PMID- 27872119
TI - Efficacy of intravenous nicorandil for fractional flow reserve assessment: study
protocol for a crossover randomised trial.
AB - INTRODUCTION: Nicorandil has vasodilatory effects on both the epicardial coronary
arteries and the coronary microvasculature, thereby increasing coronary blood
flow. Intravenous administration of nicorandil can be applicable for fractional
flow reserve (FFR) measurement as a hyperaemic agent and a possible alternative
to adenosine. However, the effectiveness of intravenous nicorandil infusion for
FFR measurement is largely unclear. METHODS AND ANALYSIS: This crossover
randomised study is being performed to investigate the efficacy of intravenous
administration of nicorandil for FFR measurement. Patients with an intermediate
coronary artery stenosis who satisfy the eligibility criteria undergo FFR
measurement with a consecutive randomised order of patient-blind infusions of
continuous intravenous administration of adenosine and a single bolus intravenous
administration of nicorandil. The primary end point of the study is the agreement
between the FFR values obtained by the intravenous nicorandil and those obtained
by the intravenous adenosine. Recruitment of this trial started in November 2015
and will end in March 2017, or until a total of 50 participants have been
recruited. ETHICS AND DISSEMINATION: The protocol was approved by the
Institutional Review Board at Chiba University Hospital. Study findings will be
published in peer-reviewed journals. TRIAL REGISTRATION NUMBER: UMIN000019309;
Pre-results.
PMID- 27872121
TI - Qualitative study of physicians' varied uses of biomedical research in the USA.
AB - OBJECTIVE: To investigate the nature of physicians' use of research evidence in
experimental conditions of open access to inform training and policy. DESIGN:
This qualitative study was a component of a larger mixed-methods initiative that
provided 336 physicians with relatively complete access to research literature
via PubMed and UpToDate, for 1 year via an online portal, with their usage
recorded in web logs. Using a semistructured interview protocol, a subset of 38
physician participants were interviewed about their use of research articles in
general and were probed about their reasons for accessing specific articles as
identified through their web logs. Transcripts were analysed using a general
inductive approach. SETTING: Physician participants were recruited from and
registered in the USA. PARTICIPANTS: 38 physicians from 16 US states, engaged in
22 medical specialties, possessing more than 1 year of experience postresidency
training participated. RESULTS: 26 participants attested to the value of
consulting research literature within the context of the study by making
reference to their roles as clinicians, educators, researchers, learners,
administrators and advocates. The physicians reported previously encountering
what they experienced as a prohibitive paywall barrier to the research literature
and other frustrations with the nature of information systems, such as the need
for passwords. CONCLUSIONS: The findings, against the backdrop of growing open
access to biomedical research, indicate that a minority of physicians, at least
initially, is likely to seek out and use research and do so in a variety of
common roles. Physicians' use of research in these roles has not traditionally
been part of their training or part of the considerations for open access
policies. The findings have implications for educational and policy initiatives
directed towards increasing the effectiveness of this access to and use of
research in improving the quality of healthcare.
PMID- 27872120
TI - Large-scale implementation of disease control programmes: a cost-effectiveness
analysis of long-lasting insecticide-treated bed net distribution channels in a
malaria-endemic area of western Kenya-a study protocol.
AB - INTRODUCTION: Historically, Kenya has used various distribution models for long
lasting insecticide-treated bed nets (LLINs) with variable results in population
coverage. The models presently vary widely in scale, target population and
strategy. There is limited information to determine the best combination of
distribution models, which will lead to sustained high coverage and are
operationally efficient and cost-effective. Standardised cost information is
needed in combination with programme effectiveness estimates to judge the
efficiency of LLIN distribution models and options for improvement in
implementing malaria control programmes. The study aims to address the
information gap, estimating distribution cost and the effectiveness of different
LLIN distribution models, and comparing them in an economic evaluation. METHODS
AND ANALYSIS: Evaluation of cost and coverage will be determined for 5 different
distribution models in Busia County, an area of perennial malaria transmission in
western Kenya. Cost data will be collected retrospectively from health
facilities, the Ministry of Health, donors and distributors. Programme
effectiveness data, defined as the number of people with access to an LLIN per
1000 population, will be collected through triangulation of data from a
nationally representative, cross-sectional malaria survey, a cross-sectional
survey administered to a subsample of beneficiaries in Busia County and LLIN
distributors' records. Descriptive statistics and regression analysis will be
used for the evaluation. A cost-effectiveness analysis will be performed from a
health-systems perspective, and cost-effectiveness ratios will be calculated
using bootstrapping techniques. ETHICS AND DISSEMINATION: The study has been
evaluated and approved by Kenya Medical Research Institute, Scientific and
Ethical Review Unit (SERU number 2997). All participants will provide written
informed consent. The findings of this economic evaluation will be disseminated
through peer-reviewed publications.
PMID- 27872123
TI - Effect of External Ankle Support on Ankle and Knee Biomechanics During the
Cutting Maneuver in Basketball Players.
AB - BACKGROUND: Despite the high prevalence of lower extremity injuries in female
basketball players as well as a high proportion of athletes who wear ankle
braces, there is a paucity of research pertaining to the effects of ankle bracing
on ankle and knee biomechanics during basketball-specific tasks. PURPOSE: To
compare the effects of a lace-up brace (ASO), a hinged brace (Active T2), and no
ankle bracing (control) on ankle and knee joint kinematics and joint reaction
forces in female basketball athletes during a cutting maneuver. STUDY DESIGN:
Controlled laboratory study. METHODS: Twenty healthy, semi-elite female
basketball players performed a cutting task under both ankle brace conditions
(lace-up ankle brace and hinged ankle brace) and a no-brace condition. The 3
dimensional kinematics of the ankle and knee during the cutting maneuver were
measured with an 18-camera motion analysis system (250 Hz), and ground-reaction
force data were collected by use of a multichannel force plate (2000 Hz) to
quantify ankle and knee joint reaction forces. Conditions were randomized using a
block randomization method. RESULTS: Compared with the control condition, the
hinged ankle brace significantly restricted peak ankle inversion (mean
difference, 1.7 degrees ; P = .023). No significant difference was found between
the lace-up brace and the control condition ( P = .865). Compared with the lace
up brace, the hinged brace significantly reduced ankle and knee joint compressive
forces at the time of peak ankle dorsiflexion (mean difference, 1.5 N/kg [ P =
.018] and 1.4 N/kg [ P = .013], respectively). Additionally, the hinged ankle
brace significantly reduced knee anterior shear forces compared with the lace-up
brace both during the deceleration phase and at peak ankle dorsiflexion (mean
difference, 0.8 N/kg [ P = .018] and 0.9 N/kg [ P = .011], respectively).
CONCLUSION: The hinged ankle brace significantly reduced ankle inversion compared
with the no-brace condition and reduced ankle and knee joint forces compared with
the lace-up brace in a female basketball population during a cutting task.
Compared with the lace-up brace, the hinged brace may be a better choice of
prophylactic ankle support for female basketball players from a biomechanical
perspective. However, both braces increased knee internal rotation and knee
abduction angles, which may be problematic for a population that already has a
high prevalence of knee injuries.
PMID- 27872122
TI - Polymyxin B-immobilised haemoperfusion and mortality in critically ill patients
with sepsis/septic shock: a protocol for a systematic review and meta-analysis.
AB - INTRODUCTION: Polymyxin-B immobilised haemoperfusion (PMX-HP) is a promising
adjuvant strategy for the treatment of sepsis and septic shock. PMX-HP therapy
works by clearing circulating endotoxin through binding to polymyxin-immobilised
fibres during haemoperfusion. Small clinical trials have shown that PMX-HP
therapy is associated with improved haemodynamic profile, oxygenation and
survival. However, clear inferences have been largely inconclusive due to
limitations in study design (eg, small, unblinded) and generalisability. We
therefore propose to perform an up-to-date systematic review and evidence
synthesis to describe the efficacy, safety and effectiveness of PMX-HP for adult
patients with sepsis or septic shock. METHODS AND ANALYSIS: We will search the
following databases from 1946 to 2016 MEDLINE (Ovid), EMBASE (Ovid), Cochrane
Library, Health Technology Assessment Database (HTA), Cumulative Index to Nursing
and Allied Health Literature (CINAHL), PubMed and 'Igaku Chuo Zasshi' (ICHUSHI)
for randomised controlled trials of PMX-HP in critically ill patients with sepsis
or septic shock. There will be no language restrictions in the electronic search
for studies. Two reviewers will extract data and appraise the quality of each
study independently. The primary outcome will be the pooled risk ratio of 28-day
all-cause mortality. Serious adverse events and changes in organ dysfunction
scores will also be evaluated. The secondary outcomes will be 90-day all-cause
mortality, changes in haemodynamic profile and endotoxin levels, and health
services use. ETHICS AND DISSEMINATION: Our systematic review will synthesise the
evidence on use of the PMX-HP as an adjuvant therapy in sepsis/septic shock to
improve patient-centred, physiological and health services outcomes. Research
ethics is not required for this review. The study will be disseminated by peer
reviewed publication and conference presentation. TRIAL REGISTRATION NUMBER:
CRD42016038356.
PMID- 27872124
TI - Incidence, Mechanisms, and Severity of Match-Related Collegiate Men's Soccer
Injuries on FieldTurf and Natural Grass Surfaces: A 6-Year Prospective Study.
AB - BACKGROUND: Numerous injuries have been attributed to playing on artificial turf.
More recently, newer generations of artificial turf have been developed to
duplicate the playing characteristics of natural grass. Although artificial turf
has been deemed safer than natural grass in some studies, few long-term studies
have been conducted comparing match-related collegiate soccer injuries between
the 2 playing surfaces. HYPOTHESIS: Collegiate male soccer athletes do not
experience any difference in the incidence, mechanisms, or severity of match
related injuries between FieldTurf and natural grass. STUDY DESIGN: Cohort study;
Level of evidence, 2. METHODS: Male soccer athletes from 11 universities were
evaluated over 6 seasons. Demographic features and predictors included player
position, cleat design, player weight, turf age, and environmental factors.
Outcomes of interest included injury incidence, injury category, time loss,
injury mechanism and situation, type of injury, injury grade and anatomic
location, injury severity, head and lower extremity trauma, and elective medical
procedures. All match-related injuries were evaluated by the attending head
athletic trainer and team physicians on site and subsequently in the physician's
office when further follow-up and treatment were deemed necessary. In sum, 765
collegiate games were evaluated for match-related soccer injuries sustained on
FieldTurf or natural grass during 6 seasons. RESULTS: Overall, 380 team games
(49.7%) were played on FieldTurf versus 385 team games (50.3%) played on natural
grass. A total of 722 injuries were documented, with 268 (37.1%) occurring on
FieldTurf and 454 (62.9%) on natural grass. Multivariate analysis per 10 team
games indicated a significant playing surface effect: F2,720 = 7.260, P = .001. A
significantly lower total injury incidence rate (IIR) of 7.1 (95% CI, 6.6-7.5)
versus 11.8 (95% CI, 11.3-12.2; P < .0001) and lower rate of substantial
injuries, 0.7 (95% CI, 0.5-1.0) versus 1.9 (95% CI, 1.5-2.3; P < .03), were
documented on FieldTurf versus natural grass, respectively. Analyses also
indicated significantly less trauma on FieldTurf when comparing injury category,
time loss, player position, injury mechanism and situation, injuries under
various environmental conditions, cleat design, turf age, anatomic location, and
elective medical procedures. No significant difference (F11,710 = 0.822, P =
.618) between surfaces by knee injury was observed, with the majority of knee
injuries involving patellar tendinopathies/syndromes followed by medial
collateral ligament injuries on both surfaces. CONCLUSION: Although similarities
existed between FieldTurf and natural grass during competitive match play,
FieldTurf is, in many cases, safer than natural grass when comparing injuries in
collegiate men's soccer. The findings of this study, however, may not be
generalizable to other levels of competition or to other artificial surfaces.
PMID- 27872125
TI - Results of Arthroscopic Bankart Repair for Anterior-Inferior Shoulder Instability
at 13-Year Follow-up.
AB - BACKGROUND: Anterior-inferior shoulder instability is a common injury in young
patients, particularly those practicing overhead-throwing sports. Long-term
results after open procedures are well studied and evaluated. However, the long
term results after arthroscopic repair and risk factors of recurrence require
further assessment. HYPOTHESIS: Arthroscopic Bankart repair results are
comparable with those of open repair as described in the literature. STUDY
DESIGN: Case series; Level of evidence, 4. METHODS: A total of 180 shoulders with
anterior-inferior shoulder instability were stabilized arthroscopically, met the
inclusion criteria and the patients were able to be contacted at a minimum of 10
year follow-up. Of these patients, 143 agreed to participate in the study.
Assessment was performed clinically in 104 patients using the American Shoulder
and Elbow Surgeons score, Constant score, American Academy of Orthopaedic
Surgeons score, Rowe score, and the Dawson 12-item questionnaire. The Samilson
Prieto score was used to assess degenerative arthropathy in radiographs available
for 100 shoulders. Additionally, 15 patients participated through a specific
questionnaire and 24 patients through a telephone survey. RESULTS: The overall
redislocation rate was 18.18%. Redislocation rates for the different types of
fixation devices were as follows: FASTak/Bio-FASTak, 15.1% (17/112); SureTac,
26.3% (5/19); and Panalok, 33.3% (4/12). Concomitant superior labral anterior
posterior repair had no effect on clinical outcome. Redislocation rate was
significantly affected by the patient's age and duration of postoperative
rehabilitation. Redislocation rate tended to be higher if there had been more
than 1 dislocation preoperatively ( P = .098). Severe dislocation arthropathy was
observed in 12% of patients, and degenerative changes were significantly
correlated with the number of preoperative dislocations, patient age, and number
of anchors. The patient satisfaction rate was 92.3%, and return to the preinjury
sport level was possible in 49.5%. CONCLUSION: Clinical outcome at a mean follow
up of 13 years after arthroscopic repair of anterior-inferior shoulder
instability is comparable with the reported results of open Bankart repair in the
literature and allows management of concomitant lesions arthroscopically.
Modifiable risk factors of postoperative redislocation and arthropathy must be
considered. Stabilization after the first-time dislocation achieves better
clinical and radiological outcomes than after multiple dislocations.
PMID- 27872126
TI - Multiple Ligament Reconstruction Femoral Tunnels: Intertunnel Relationships and
Guidelines to Avoid Convergence.
AB - BACKGROUND: Knee dislocations often require multiple concurrent ligament
reconstructions, which involve creating several tunnels in the distal femur.
Therefore, the risk of tunnel convergence is increased because of the limited
bone volume within the distal aspect of the femur. PURPOSE: To assess the risk of
tunnel convergence and determine the optimal reconstruction tunnel orientations
for multiple ligament reconstructions in the femur. STUDY DESIGN: Descriptive
laboratory study. METHODS: Three-dimensional knee models were developed from
computed tomography scans of 21 patients. Medical image processing software was
used to create tunnels for each of the primary ligamentous structures,
replicating a surgical approach that would be used in multiple ligament
reconstructions. Thereafter, the tunnel orientation was varied in surgically
relevant directions to determine orientations that minimized the risk of tunnel
convergence. The orientation of the anterior cruciate ligament (ACL) and
posterior cruciate ligament (PCL) tunnels was held constant throughout the study,
while the orientation of the fibular collateral ligament (FCL), popliteus tendon
(PLT), superficial medial collateral ligament (sMCL), and posterior oblique
ligament (POL) tunnels was varied to avoid convergence. RESULTS: A high risk of
tunnel convergence was observed between the FCL and ACL tunnels when the FCL
tunnel was aimed at 0 degrees in the axial and coronal planes. Aiming the FCL
tunnel 35 degrees anteriorly minimized convergence with the ACL tunnel. No
tunnel convergence was observed for the PLT tunnel aimed 35 degrees anteriorly
and parallel to the FCL tunnel. To avoid convergence between the sMCL and PCL
tunnels, the sMCL tunnels should be aimed 40 degrees proximally in the coronal
plane and 20 degrees to 40 degrees anteriorly. During concomitant POL
reconstruction, the sMCL should be aimed 40 degrees proximally and anteriorly
and the POL 20 degrees proximally and anteriorly. The PLT and POL tunnels aimed
at 0 degrees in both the coronal and axial planes had an increased risk of
violating the intercondylar notch. CONCLUSION: Femoral tunnel orientations during
multiple ligament reconstructions need to be adjusted to avoid tunnel
convergence. On the lateral side, aiming the FCL and PLT tunnels 35 degrees
anteriorly eliminated convergence with the ACL tunnel. On the medial side, tunnel
convergence was avoided by orienting the sMCL tunnel 40 degrees proximally and
anteriorly and the POL tunnel 20 degrees proximally and anteriorly. The POL and
PLT tunnels aimed at 0 degrees in the axial plane had an increased risk of
violating the intercondylar notch. CLINICAL RELEVANCE: The risk of tunnel
convergence with the ACL and PCL femoral tunnels can be reduced by adjusting the
orientation of the FCL and PLT tunnels and the sMCL and POL tunnels,
respectively.
PMID- 27872128
TI - Correction: Molecular Heterogeneity and Receptor Coamplification Drive Resistance
to Targeted Therapy in MET-Amplified Esophagogastric Cancer.
PMID- 27872129
TI - Carcinogens Report Adds Seven Agents.
AB - The National Toxicology Program has added seven new substances to its 14th Report
on Carcinogens, bringing the total number in this congressionally mandated report
to 248. The latest additions are the chemical trichloroethylene; cobalt metal and
compounds that release cobalt ions in vivo; and five viruses, including HIV-1.
PMID- 27872131
TI - Correction: Aortic valve replacement for Libman-Sacks endocarditis.
PMID- 27872127
TI - Targeting Cancer Metabolism: Dietary and Pharmacologic Interventions.
AB - : Most tumors display oncogene-driven reprogramming of several metabolic
pathways, which are crucial to sustain their growth and proliferation. In recent
years, both dietary and pharmacologic approaches that target deregulated tumor
metabolism are beginning to be considered for clinical applications. Dietary
interventions exploit the ability of nutrient-restricted conditions to exert
broad biological effects, protecting normal cells, organs, and systems, while
sensitizing a wide variety of cancer cells to cytotoxic therapies. On the other
hand, drugs targeting enzymes or metabolites of crucial metabolic pathways can be
highly specific and effective, but must be matched with a responsive tumor, which
might rapidly adapt. In this review, we illustrate how dietary and pharmacologic
therapies differ in their effect on tumor growth, proliferation, and metabolism
and discuss the available preclinical and clinical evidence in favor of or
against each of them. We also indicate, when appropriate, how to optimize future
investigations on metabolic therapies on the basis of tumor- and patient-related
characteristics. SIGNIFICANCE: To our knowledge, this is the first review article
that comprehensively analyzes the preclinical and preliminary clinical
experimental foundations of both dietary and pharmacologic metabolic
interventions in cancer therapy. Among several promising therapies, we propose
treatment personalization on the basis of tumor genetics, tumor metabolism, and
patient systemic metabolism.Cancer Discov; 6(12); 1315-33. (c)2016 AACR.
PMID- 27872132
TI - Cutaneous neck lesion of occult odontogenic origin: search for the tooth.
AB - The differential diagnosis for inflammatory neck swellings is vast. A swelling of
dental origin should be considered because, while rare, they mimic more common
causes of neck lumps. We report the case involving a recurrent submandibular
swelling in a young female patient that was presumed to be an epidermoid cyst by
her general medical practitioner. After 6 months of unsuccessful treatment in the
community, an odontogenic source was identified and treated successfully
following referral to a local Oral and Maxillofacial department.
PMID- 27872130
TI - A First-in-Human Phase I Study of the ATP-Competitive AKT Inhibitor Ipatasertib
Demonstrates Robust and Safe Targeting of AKT in Patients with Solid Tumors.
AB - : Activation of AKT signaling by PTEN loss or PIK3CA mutations occurs frequently
in human cancers, but targeting AKT has been difficult due to the mechanism-based
toxicities of inhibitors that target the inactive conformation of AKT.
Ipatasertib (GDC-0068) is a novel selective ATP-competitive small-molecule
inhibitor of AKT that preferentially targets active phosphorylated AKT (pAKT) and
is potent in cell lines with evidence of AKT activation. In this phase I study,
ipatasertib was well tolerated; most adverse events were gastrointestinal and
grade 1-2 in severity. The exposures of ipatasertib >=200 mg daily in patients
correlated with preclinical TGI90, and pharmacodynamic studies confirmed that
multiple targets (i.e., PRAS40, GSK3beta, and mTOR) were inhibited in paired on
treatment biopsies. Preliminary antitumor activity was observed; 16 of 52
patients (30%), with diverse solid tumors and who progressed on prior therapies,
had radiographic stable disease, and many of their tumors had activation of AKT.
SIGNIFICANCE: Potent inhibition of AKT signaling with ipatasertib was associated
with a tolerable safety profile and meaningful disease control in a subgroup of
patients. Targeting pAKT with an ATP-competitive inhibitor provides a greater
therapeutic window than allosteric inhibitors. Further investigation with
ipatasertib is ongoing in phase II studies. Cancer Discov; 7(1); 102-13. (c)2016
AACR.This article is highlighted in the In This Issue feature, p. 1.
PMID- 27872134
TI - Metastatic trichilemmal carcinoma in a patient with breast cancer.
AB - Trichilemmal carcinoma (TC) is described as a very rare cancer of the skin
adnexa.1 2 Ninety per cent of the lesions present on the scalp. Prognostic
factors in TC are limited to lymph node status and surgical margins, with no
statistical significance observed for age or gender of the patient, size of
tumour or locoregional recurrence. We present a 46-year-old black patient who
developed TC during treatment for breast cancer. Postoperative histology of the
scalp lesion excision confirmed no involved margins. At the three monthly
appointment, the patient was reviewed and multiple, new scalp lesions were noted.
A CT scan of the head, neck found multiple lesions on the scalp, limited to the
soft tissue, not involving the outer table of the skull. There was bilateral
invasion of the parotid glands. To the best of our knowledge, no syndromes or
associations between breast cancer and adnexal skin tumours exist.
PMID- 27872133
TI - Thyroid metastasis from lung adenocarcinoma with EML4-ALK rearrangement.
AB - Thyroid metastases from lung cancer are very rare. A woman aged 42 years with a
tumour in the lower lobe of the right lung was diagnosed as having lung
adenocarcinoma positive for echinoderm microtubule-associated proteinlike 4
anaplastic lymphoma kinase. Positron emission tomography demonstrated
fluorodeoxyglucose accumulation in the lower lobe of the right lung, the right
thyroid lobe and both adrenal glands. We performed fine-needle aspiration biopsy
(FNAB) and used reverse transcriptase-PCR (RT-PCR) to diagnose the patient as
having metastatic lung adenocarcinoma to the thyroid gland. We believe that FNAB
combined with RT-PCR can be an effective method for diagnosing metastatic lung
adenocarcinoma to the thyroid gland.
PMID- 27872135
TI - Successful improvement of Buschke-Lowenstein tumour in an HIV-infected patient
with antiretroviral therapy alone.
AB - Buschke-Lowenstein tumour (BLT), also defined as giant condyloma acuminatum, is a
rare exophytic tumour affecting the anogenital and perianal regions associated
with human papillomavirus (HPV) infections, with a potential of malignant
transformation and which is at a greater risk in T-cell mediated immunodeficient
patients. Different therapeutic options, alone or in combination, have been
reported for the treatment of BLT including local therapy but wide surgical local
excision is however recommended as the most important therapeutic intervention.
We report a case of a HIV-infected man who developed a voluminous pelvic BLT
which disappeared progressively under antiretroviral therapy with no additional
treatment, contemporary to an improvement of his immunity, highlighting the
possible spontaneous reversibility of HPV-induced tumours in treated HIV
infection.
PMID- 27872137
TI - Never forget basics.
PMID- 27872136
TI - Vascular compression of left renal vein: the nutcracker phenomenon.
PMID- 27872139
TI - Microsatellite instability evaluation by automated microfluidic electrophoresis:
an update.
PMID- 27872140
TI - Primary cilia are increased in number and demonstrate structural abnormalities in
human cancer.
AB - AIMS: Primary cilia play an important role in the regulation of cell signalling
pathways and are thought to have a role in cancer but have seldom been studied in
human cancer samples. METHODS: Primary cilia were visualised by dual
immunofluorescence for anti-CROCC (ciliary rootlet coiled-coil) and anti-tubulin
in a range of human cancers (including carcinomas of stomach, pancreas, prostate,
lung and colon, lobular and ductal breast cancers and follicular lymphoma) and in
matched normal tissue (stomach, pancreas, lung, large and small intestines,
breast and reactive lymph nodes) samples using a tissue microarray; their
frequency, association with proliferation, was measured by Ki-67 staining and
their structure was analysed. RESULTS: Compared with normal tissues, primary
cilia frequency was significantly elevated in adenocarcinoma of the lung (2.75%
vs 1.85%, p=0.016), adenocarcinoma of the colon (3.80% vs 2.43%, respectively,
p=0.017), follicular lymphoma (1.18% vs 0.83%, p=0.003) and pancreatic
adenocarcinoma (7.00% vs 5.26%, p=0.002); there was no statistically significant
difference compared with normal control tissue for gastric and prostatic
adenocarcinomas or for lobular and ductal breast cancers. Additionally,
structural abnormalities of primary cilia were identified in cancer tissues,
including elongation of the axoneme, multiple basal bodies and branching of the
axoneme. Ki-67 scores ranged from 0.7% to 78.4% and showed no statistically
significant correlation with primary cilia frequency across all tissues
(p=0.1501). CONCLUSIONS: The results show upregulation of primary cilia and the
presence of structural defects in a wide range of human cancer tissue samples
demonstrating association of dysregulation of primary cilia with human cancer.
PMID- 27872141
TI - Differential roles of RET isoforms in medullary and papillary thyroid carcinomas.
AB - The RET receptor tyrosine kinase mediates cell proliferation, survival and
migration in embryogenesis and is implicated in the transformation and tumour
progression in multiple cancers. RET is frequently mutated and constitutively
activated in familial and sporadic thyroid carcinomas. As a result of alternative
splicing, RET is expressed as two protein isoforms, RET9 and RET51, which differ
in their unique C-terminal amino acids. These isoforms have distinct
intracellular trafficking and associated signalling complexes, but functional
differences are not well defined. We used shRNA-mediated knockdown (KD) of
individual RET isoforms or of total RET to evaluate their functional
contributions in thyroid carcinoma cells. We showed that RET is required for cell
survival in medullary (MTC) but not papillary thyroid carcinoma (PTC) cells. In
PTC cells, RET depletion reduced cell migration and induced a flattened
epithelial-like morphology. RET KD decreased the expression of mesenchymal
markers and matrix metalloproteinases and reduced anoikis resistance and invasive
potential. Further, we showed that RET51 depletion had significantly greater
effects on each of these processes than RET9 depletion in both MTC and PTC cells.
Finally, we showed that expression of RET, particularly RET51, was correlated
with malignancy in a panel of human thyroid tumour tissues. Together, our data
show that RET expression promotes a more mesenchymal phenotype with reduced cell
cell adhesion and increased invasiveness in PTC cell models, but is more
important for tumour cell survival, proliferation and anoikis resistance in MTC
models. Our data suggest that the RET51 isoform plays a more prominent role in
mediating these processes compared to RET9.
PMID- 27872138
TI - MicroRNAs-103/107 coordinately regulate macropinocytosis and autophagy.
AB - Macropinocytosis, by which cells ingest large amounts of fluid, and autophagy,
the lysosome-based catabolic process, involve vesicular biogenesis (early stage)
and turnover (end stage). Much is known about early-stage events; however, our
understanding of how the end stages of these processes are governed is
incomplete. Here we demonstrate that the microRNA-103/107(miR-103/107) family,
which is preferentially expressed in the stem cell-enriched limbal epithelium,
coordinately regulates aspects of both these activities. Loss of miR-103/107
causes dysregulation of macropinocytosis with the formation of large vacuoles,
primarily through up-regulation of Src, Ras, and Ankfy1. Vacuole accumulation is
not a malfunction of early-stage autophagy; rather, miR-103/107 ensure proper end
stage autophagy by regulating diacylglycerol/protein kinase C and cyclin
dependent kinase 5 signaling, which enables dynamin to function in vacuole
clearance. Our findings unveil a key biological function for miR-103/107 in
coordinately suppressing macropinocytosis and preserving end-stage autophagy,
thereby contributing to maintenance of a stem cell-enriched epithelium.
PMID- 27872143
TI - Authors' response: 'Predictors of patient satisfaction in an emergency care
centre in central Saudi Arabia: a prospective study'.
PMID- 27872142
TI - Cohesin acetylation and Wapl-Pds5 oppositely regulate translocation of cohesin
along DNA.
AB - Cohesin is a ring-shaped protein complex that plays a crucial role in sister
chromatid cohesion and gene expression. The dynamic association of cohesin with
chromatin is essential for these functions. However, the exact nature of cohesin
dynamics, particularly cohesin translocation, remains unclear. We evaluated the
dynamics of individual cohesin molecules on DNA and found that the cohesin core
complex possesses an intrinsic ability to traverse DNA in an adenosine
triphosphatase (ATPase)-dependent manner. Translocation ability is suppressed in
the presence of Wapl-Pds5 and Sororin; this suppression is alleviated by the
acetylation of cohesin and the action of mitotic kinases. In Xenopus laevis egg
extracts, cohesin is translocated on unreplicated DNA in an ATPase- and Smc3
acetylation-dependent manner. Cohesin movement changes from bidirectional to
unidirectional when cohesin faces DNA replication; otherwise, it is incorporated
into replicating DNA without being translocated or is dissociated from
replicating DNA This study provides insight into the nature of individual cohesin
dynamics and the mechanisms by which cohesin achieves cohesion in different
chromatin contexts.
PMID- 27872145
TI - Aspects of disaster research ethics applicable to other contexts.
AB - In his article 'The Ebola Clinical Trials: a precedent for research ethics in
disasters', Philippe Calain constructs a compelling case as to why and how
experiences from the recent Ebola epidemic should be used to develop a framework
for disaster research ethics. In particular, Calain proposes a useful model for
assessing whether or not an unproven intervention could be suitable for human use
in a disaster context, and makes a powerful argument against the separation of
patient care from research goals. In this commentary, I argue that the separation
of patient care goals from research goals is also unhelpful in the context of
other forms of participant disadvantage even when that disadvantage is less
severe than an ongoing public health emergency. I contend that recognising that
research in disadvantaged populations is an intervention that could and should
aim to produce positive outcomes for participants, just as it should in disaster
contexts, therefore seems a well-justified lesson that can be extrapolated from
the experience of the Ebola epidemic.
PMID- 27872144
TI - Cytotoxic 1-deoxysphingolipids are metabolized by a cytochrome P450-dependent
pathway.
AB - The 1-deoxysphingolipids (1-deoxySLs) are atypical sphingolipids (SLs) that are
formed when serine palmitoyltransferase condenses palmitoyl-CoA with alanine
instead of serine during SL synthesis. The 1-deoxySLs are toxic to neurons and
pancreatic beta-cells. Pathologically elevated 1-deoxySLs cause the inherited
neuropathy, hereditary sensory autonomic neuropathy type 1 (HSAN1), and are also
found in T2D. Diabetic sensory polyneuropathy (DSN) and HSAN1 are clinically very
similar, suggesting that 1-deoxySLs may be implicated in both pathologies. The 1
deoxySLs are considered to be dead-end metabolites, as they lack the C1-hydroxyl
group, which is essential for the canonical degradation of SLs. Here, we report a
previously unknown metabolic pathway, which is capable of degrading 1-deoxySLs.
Using a variety of metabolic labeling approaches and high-resolution high
accuracy MS, we identified eight 1-deoxySL downstream metabolites, which appear
to be formed by cytochrome P450 (CYP)4F enzymes. Comprehensive inhibition and
induction of CYP4F enzymes blocked and stimulated, respectively, the formation of
the downstream metabolites. Consequently, CYP4F enzymes might be novel
therapeutic targets for the treatment of HSAN1 and DSN, as well as for the
prevention of T2D.
PMID- 27872146
TI - Organic Anion Transporter 2: An Enigmatic Human Solute Carrier.
AB - As a member of the solute carrier 22A (SLC22A) family, organic anion transporter
2 (OAT2; SLC22A7) is emerging as an important drug transporter because of its
expression in both the liver and kidney, two major eliminating organs, and its
ability to transport not only a wide variety of xenobiotics but also numerous
physiologically important endogenous compounds, like creatinine and cGMP.
However, OAT2 has received relatively little attention compared with other OATs
and solute carriers (SLCs), like organic cation transporters, sodium-dependent
taurocholate cotransporting polypeptide, multidrug and toxin extrusion proteins,
and organic anion-transporting polypeptides. Overall, the literature describing
OAT2 is rapidly evolving, with numerous publications contradicting each other
regarding the transport mechanism, tissue distribution, and transport of
creatinine and cGMP, two important endogenous OAT2 substrates. Despite its status
as a liver and kidney SLC, tools for assessing its activity and inhibition are
lacking, and its role in drug disposition and elimination remains to be defined.
The current review focuses on the available and emerging literature describing
OAT2. We envision that OAT2 will gain more prominence as its expression,
substrate, and inhibitor profile is investigated further and compared with other
SLCs.
PMID- 27872147
TI - Primary Human and Rat beta-Cells Release the Intracellular Autoantigens GAD65, IA
2, and Proinsulin in Exosomes Together With Cytokine-Induced Enhancers of
Immunity.
AB - The target autoantigens in several organ-specific autoimmune diseases, including
type 1 diabetes (T1D), are intracellular membrane proteins, whose initial
encounter with the immune system is poorly understood. Here we propose a new
model for how these proteins can initiate autoimmunity. We found that rat and
human pancreatic islets release the intracellular beta-cell autoantigens in human
T1D, GAD65, IA-2, and proinsulin in exosomes, which are taken up by and activate
dendritic cells. Accordingly, the anchoring of GAD65 to exosome-mimetic liposomes
strongly boosted antigen presentation and T-cell activation in the context of the
human T1D susceptibility haplotype HLA-DR4. Cytokine-induced endoplasmic
reticulum stress enhanced exosome secretion by beta-cells; induced exosomal
release of the immunostimulatory chaperones calreticulin, Gp96, and ORP150; and
increased exosomal stimulation of antigen-presenting cells. We propose that
stress-induced exosomal release of intracellular autoantigens and
immunostimulatory chaperones may play a role in the initiation of autoimmune
responses in T1D.
PMID- 27872148
TI - Proteomics Screen Identifies Class I Rab11 Family Interacting Proteins as Key
Regulators of Cytokinesis.
AB - The 14-3-3 protein family orchestrates a complex network of molecular
interactions that regulates various biological processes. Owing to their role in
regulating the cell cycle and protein trafficking, 14-3-3 proteins are prevalent
in human diseases such as cancer, diabetes, and neurodegeneration. 14-3-3
proteins are expressed in all eukaryotic cells, suggesting that they mediate
their biological functions through evolutionarily conserved protein interactions.
To identify these core 14-3-3 client proteins, we used an affinity-based
proteomics approach to characterize and compare the human and Drosophila 14-3-3
interactomes. Using this approach, we identified a group of Rab11 effector
proteins, termed class I Rab11 family interacting proteins (Rab11-FIPs), or Rip11
in Drosophila We found that 14-3-3 binds to Rip11 in a phospho-dependent manner
to ensure its proper subcellular distribution during cell division. Our results
indicate that Rip11 plays an essential role in the regulation of cytokinesis and
that this function requires its association with 14-3-3 but not with Rab11.
Together, our results suggest an evolutionarily conserved role for 14-3-3 in
controlling Rip11-dependent protein transport during cytokinesis.
PMID- 27872150
TI - The prognostic role of stress echocardiography in a contemporary population and
the clinical significance of limited apical ischaemia.
AB - INTRODUCTION: In this study, we aim to reassess the prognostic value of stress
echocardiography (SE) in a contemporary population and to evaluate the clinical
significance of limited apical ischaemia, which has not been previously studied.
METHODS: We included 880 patients who underwent SE. Follow-up data with regards
to MACCE (cardiac death, myocardial infarction, any repeat revascularisation and
cerebrovascular accident) were collected over 12 months after the SE. Mortality
data were recorded over 27.02 +/- 4.6 months (5.5-34.2 months). We sought to
investigate the predictors of MACCE and all-cause mortality. RESULTS: In a
multivariable analysis, only the positive result of SE was predictive of MACCE
(HR, 3.71; P = 0.012). The positive SE group was divided into 2 subgroups: (a)
inducible ischaemia limited to the apical segments ('apical ischaemia') and (b)
ischaemia in any other segments with or without apical involvement ('other
positive'). The subgroup of patients with apical ischaemia had a significantly
worse outcome compared to the patients with a negative SE (HR, 3.68; P = 0.041)
but a similar outcome to the 'other positive' subgroup. However, when
investigated with invasive coronary angiography, the prevalence of coronary
artery disease (CAD) and their rate of revascularisation was considerably lower.
Only age (HR, 1.07; P < 0.001) was correlated with all-cause mortality.
CONCLUSION: SE remains a strong predictor of patients' outcome in a contemporary
population. A positive SE result was the only predictor of 12-month MACCE. The
subgroup of patients with limited apical ischaemia have similar outcome to
patients with ischaemia in other segments despite a lower prevalence of CAD and a
lower revascularisation rate.
PMID- 27872149
TI - Endogenous Telomerase Reverse Transcriptase N-Terminal Tagging Affects Human
Telomerase Function at Telomeres In Vivo.
AB - Telomerase action at telomeres is essential for the immortal phenotype of stem
cells and the aberrant proliferative potential of cancer cells. Insufficient
telomere maintenance can cause stem cell and tissue failure syndromes, while
increased telomerase levels are associated with tumorigenesis. Both pathologies
can arise from only small perturbation of telomerase function. To analyze
telomerase at its low endogenous expression level, we genetically engineered
human pluripotent stem cells (hPSCs) to express various N-terminal fusion
proteins of the telomerase reverse transcriptase from its endogenous locus. Using
this approach, we found that these modifications can perturb telomerase function
in hPSCs and cancer cells, resulting in telomere length defects. Biochemical
analysis suggests that this defect is multileveled, including changes in
expression and activity. These findings highlight the unknown complexity of
telomerase structural requirements for expression and function in vivo.
PMID- 27872151
TI - Non-occupational physical activity levels of shift workers compared with non
shift workers.
AB - OBJECTIVES: Lack of physical activity (PA) has been hypothesised as an underlying
mechanism in the adverse health effects of shift work. Therefore, our aim was to
compare non-occupational PA levels between shift workers and non-shift workers.
Furthermore, exposure-response relationships for frequency of night shifts and
years of shift work regarding non-occupational PA levels were studied. METHODS:
Data of 5980 non-shift workers and 532 shift workers from the European
Prospective Investigation into Cancer and Nutrition-Netherlands (EPIC-NL) were
used in these cross-sectional analyses. Time spent (hours/week) in different PA
types (walking/cycling/exercise/chores) and intensities (moderate/vigorous) were
calculated based on self-reported PA. Furthermore, sports were operationalised
as: playing sports (no/yes), individual versus non-individual sports, and non
vigorous-intensity versus vigorous-intensity sports. PA levels were compared
between shift workers and non-shift workers using Generalized Estimating
Equations and logistic regression. RESULTS: Shift workers reported spending more
time walking than non-shift workers (B=2.3 (95% CI 1.2 to 3.4)), but shift work
was not associated with other PA types and any of the sports activities. Shift
workers who worked 1-4 night shifts/month (B=2.4 (95% CI 0.6 to 4.3)) and >=5
night shifts/month (B=3.7 (95% CI 1.8 to 5.6)) spent more time walking than non
shift workers. No exposure-response relationships were found between years of
shift work and PA levels. CONCLUSIONS: Shift workers spent more time walking than
non-shift workers, but we observed no differences in other non-occupational PA
levels. To better understand if and how PA plays a role in the negative health
consequences of shift work, our findings need to be confirmed in future studies.
PMID- 27872154
TI - Genetic determinants of myocardial dysfunction.
AB - Heart failure (HF) is a major killer with high morbidity and mortality and nearly
37.7 million people are affected by HF globally, making this a global epidemic.
HF is a complex pathophysiological syndrome in which the mechanical function of
heart for pumping blood is compromised. Cardiac structural and functional
abnormalities culminate in decreased cardiac output along with increased
intracardiac pressures under resting or stress conditions, leading to HF. Besides
the acquired risk factors, the independent role of hereditary and genetic factors
in the development, progression and prognosis of HF remains to be established.
One of the most common causes of HF is cardiomyopathy and dilated cardiomyopathy
and hypertrophic cardiomyopathy are the major forms, transmitted by autosomal
dominant inheritance and often result from mutations in single or multiple genes,
which predominantly code for proteins present in the cardiac sarcomere. Other
inherited forms of cardiomyopathies that can trigger HF are metabolic and
mitochondrial cardiomyopathies that result from mutations in proteins involved in
fat or carbohydrate metabolism or mitochondrial biogenesis, affecting
cardiomyocyte energy balance. Because of the inherent complications in the
aetiology of HF, only a small number of genome-wide association studies (GWAS)
could be conducted to identify SNPs in genes that are causally related to HF.
Recent attempts to conduct GWAS in a focused approach on the HF risk factors led
to identification of more SNPs. Initial attempts for gene therapy using adeno
associated viral vectors have not been successful, but more studies are needed to
understand the pathophysiological and genetic basis of HF.
PMID- 27872155
TI - Glucose Concentrations of Less Than 3.0 mmol/L (54 mg/dL) Should Be Reported in
Clinical Trials: A Joint Position Statement of the American Diabetes Association
and the European Association for the Study of Diabetes.
PMID- 27872152
TI - An unconventional interaction between Dis1/TOG and Mal3/EB1 in fission yeast
promotes the fidelity of chromosome segregation.
AB - Dynamic microtubule plus-ends interact with various intracellular target regions
such as the cell cortex and the kinetochore. Two conserved families of
microtubule plus-end-tracking proteins, the XMAP215, ch-TOG or CKAP5 family and
the end-binding 1 (EB1, also known as MAPRE1) family, play pivotal roles in
regulating microtubule dynamics. Here, we study the functional interplay between
fission yeast Dis1, a member of the XMAP215/TOG family, and Mal3, an EB1 protein.
Using an in vitro microscopy assay, we find that purified Dis1 autonomously
tracks growing microtubule ends and is a bona fide microtubule polymerase. Mal3
recruits additional Dis1 to microtubule ends, explaining the synergistic
enhancement of microtubule dynamicity by these proteins. A non-canonical binding
motif in Dis1 mediates the interaction with Mal3. X-ray crystallography shows
that this new motif interacts in an unconventional configuration with the
conserved hydrophobic cavity formed within the Mal3 C-terminal region that
typically interacts with the canonical SXIP motif. Selectively perturbing the
Mal3-Dis1 interaction in living cells demonstrates that it is important for
accurate chromosome segregation. Whereas, in some metazoans, the interaction
between EB1 and the XMAP215/TOG family members requires an additional binding
partner, fission yeast relies on a direct interaction, indicating evolutionary
plasticity of this critical interaction module.
PMID- 27872153
TI - Xpf suppresses the mutagenic consequences of phagocytosis in Dictyostelium.
AB - As time passes, mutations accumulate in the genomes of all living organisms.
These changes promote genetic diversity, but also precipitate ageing and the
initiation of cancer. Food is a common source of mutagens, but little is known
about how nutritional factors cause lasting genetic changes in the consuming
organism. Here, we describe an unusual genetic interaction between DNA repair in
the unicellular amoeba Dictyostelium discoideum and its natural bacterial food
source. We found that Dictyostelium deficient in the DNA repair nuclease Xpf (xpf
) display a severe and specific growth defect when feeding on bacteria. Despite
being proficient in the phagocytosis and digestion of bacteria, over time, xpf-
Dictyostelium feeding on bacteria cease to grow and in many instances die. The
Xpf nuclease activity is required for sustained growth using a bacterial food
source. Furthermore, the ingestion of this food source leads to a striking
accumulation of mutations in the genome of xpf- Dictyostelium This work therefore
establishes Dictyostelium as a model genetic system to dissect nutritional
genotoxicity, providing insight into how phagocytosis can induce mutagenesis and
compromise survival fitness.
PMID- 27872156
TI - Association Between Blood Pressure and Adverse Renal Events in Type 1 Diabetes.
AB - OBJECTIVE: To compare different blood pressure (BP) levels in their association
with the risk of renal outcomes in type 1 diabetes and to determine whether an
intensive glycemic control strategy modifies this association. RESEARCH DESIGN
AND METHODS: We included 1,441 participants with type 1 diabetes between the ages
of 13 and 39 years who had previously been randomized to receive intensive versus
conventional glycemic control in the Diabetes Control and Complications Trial
(DCCT). The exposures of interest were time-updated systolic BP (SBP) and
diastolic BP (DBP) categories. Outcomes included macroalbuminuria (>300 mg/24 h)
or stage III chronic kidney disease (CKD) (sustained estimated glomerular
filtration rate <60 mL/min/1.73 m2). RESULTS: During a median follow-up time of
24 years, there were 84 cases of stage III CKD and 169 cases of macroalbuminuria.
In adjusted models, SBP in the <120 mmHg range was associated with a 0.59 times
higher risk of macroalbuminuria (95% CI 0.37-0.95) and a 0.32 times higher risk
of stage III CKD (95% CI 0.14-0.75) compared with SBPs between 130 and 140 mmHg.
DBP in the <70 mmHg range were associated with a 0.73 times higher risk of
macroalbuminuria (95% CI 0.44-1.18) and a 0.47 times higher risk of stage III CKD
(95% CI 0.21-1.05) compared with DBPs between 80 and 90 mmHg. No interaction was
noted between BP and prior DCCT-assigned glycemic control strategy (all P >
0.05). CONCLUSIONS: A lower BP (<120/70 mmHg) was associated with a substantially
lower risk of adverse renal outcomes, regardless of the prior assigned glycemic
control strategy. Interventional trials may be useful to help determine whether
the currently recommended BP target of 140/90 mmHg may be too high for optimal
renal protection in type 1 diabetes.
PMID- 27872157
TI - Exendin-4 increases oxygen consumption and thermogenic gene expression in muscle
cells.
AB - Glucagon-like peptide-1 (GLP1) has many anti-diabetic actions and also increases
energy expenditure in vivo As skeletal muscle is a major organ controlling energy
metabolism, we investigated whether GLP1 can affect energy metabolism in muscle.
We found that treatment of differentiated C2C12 cells with exendin-4 (Ex-4), a
GLP1 receptor agonist, reduced oleate:palmitate-induced lipid accumulation and
triglyceride content compared with cells without Ex-4 treatment. When we examined
the oxygen consumption rate (OCR), not only the basal OCR but also the OCR
induced by oleate:palmitate addition was significantly increased in Ex-4-treated
differentiated C2C12 cells, and this was inhibited by exendin-9, a GLP1 receptor
antagonist. The expression of uncoupling protein 1 (UCP1), beta3-adrenergic
receptor, peroxisome proliferator-activator receptor a (PPARa) and farnesoid X
receptor mRNA was significantly upregulated in Ex-4-treated differentiated C2C12
cells, and the upregulation of these mRNA was abolished by treatment with
adenylate cyclase inhibitor (2'5'-dideoxyadenosine) or PKA inhibitor (H-89). As
well, intramuscular injection of Ex-4 into diet-induced obese mice significantly
increased the expression of UCP1, PPARa and p-AMPK in muscle. We suggest that
exposure to GLP1 increases energy expenditure in muscle through the upregulation
of fat oxidation and thermogenic gene expression, which may contribute to
reducing obesity and insulin resistance.
PMID- 27872158
TI - Filamin A is reduced and contributes to the CASR sensitivity in human parathyroid
tumors.
AB - Parathyroid tumors display reduced sensitivity to extracellular calcium
([Ca2+]o). [Ca2+]o activates calcium-sensing receptor (CASR), which interacts
with the scaffold protein filamin A (FLNA). The study aimed to investigate: (1)
the FLNA expression in human parathyroid tumors, (2) its effects on the CASR mRNA
and protein expression, and (3) on ERK signaling activation, (4) the effect of
the carboxy-terminal CASR variants and (5) of the treatment with the CASR agonist
R568 on FLNA-mediated ERK phosphorylation in HEK293 cells. Full-length FLNA
immunostaining was variably reduced in parathyroid tumors. Immunofluorescence
showed that FLNA localized in membrane and cytoplasm and co-localized with CASR
in parathyroid adenomas (PAds)-derived cells. Cleaved C-terminus FLNA fragment
could also be detected in PAds nuclear protein fractions. In HEK293 cells
transfected with 990R-CASR or 990G-CASR variants, silencing of endogenous FLNA
reduced CASR mRNA levels and total and membrane-associated CASR proteins. In
agreement, FLNA mRNA levels positively correlated with CASR expression in a
series of 74 PAds; however, any significant correlation with primary
hyperparathyroidism severity could be detected and FLNA transcript levels did not
differ between PAds harboring 990R or 990G CASR variants. R568 treatment was
efficient in restoring 990R-CASR and 990G-CASR sensitivity to [Ca2+]o in the
absence of FLNA. In conclusion, FLNA is downregulated in parathyroid tumors and
parallels the CASR expression levels. Loss of FLNA reduces CASR mRNA and protein
expression levels and the CASR-induced ERK phosphorylation. FLNA is involved in
receptor expression, membrane localization and ERK signaling activation of both
990R and 990G CASR variants.
PMID- 27872159
TI - Intensive treatment of hypertension to a SBP <120 mm Hg in patients aged 75 and
over reduces mortality and cardiovascular events.
PMID- 27872160
TI - Periungual and Oral Verruca.
PMID- 27872162
TI - Influence of two bulge loops on the stability of RNA duplexes.
AB - Fifty-three RNA duplexes containing two single nucleotide bulge loops were
optically melted in 1 M NaCl in order to determine the thermodynamic parameters
DeltaH degrees , DeltaS degrees , DeltaG degrees 37, and TM for each duplex.
Because of the large number of possible combinations and lack of sequence effects
observed previously, we limited our initial investigation to adenosine bulges,
the most common naturally occurring bulge. For example, the following duplexes
were investigated: 5'GGCAXYAGGC/3'CCG YX CCG, 5'GGCAXY GCC/3'CCG YXACGG, and
5'GGC XYAGCC/3'CCGAYX CGG. The identity of XY (where XY are Watson-Crick base
pairs) and the total number of base pairs in the terminal and central stems were
varied. As observed for duplexes with a single bulge loop, the effect of the two
bulge loops on duplex stability is primarily influenced by non-nearest neighbor
interactions. In particular, the stability of the stems influences the
destabilization of the duplex by the inserted bulge loops. The model proposed to
predict the influence of multiple bulge loops on duplex stability suggests that
the destabilization of each bulge is related to the stability of the adjacent
stems. A database of RNA secondary structures was examined to determine the
naturally occurring abundance of duplexes containing multiple bulge loops. Of the
2000 examples found in the database, over 65% of the two bulge loops occur within
3 base pairs of each other. A database of RNA three-dimensional structures was
examined to determine the structure of duplexes containing two single nucleotide
bulge loops. The structures of the bulge loops are described.
PMID- 27872165
TI - Change and Positive Organizational Scholarship.
PMID- 27872163
TI - Role of autophagy in cadmium-induced testicular injury.
AB - The testis is sensitive to cadmium, but studies investigating cadmium-induced
testicular injury have not yet clearly revealed the underlying mechanisms. This
study aimed to investigate the injurious effects of cadmium on rat testes and the
role that autophagy plays in this process. Wistar rats were randomly divided into
four groups and intraperitoneally injected with 0.2 (low), 0.4 (middle), and 0.8
mg/kg.body weight (high) cadmium chloride for 5 weeks, while the control rats
were injected with equal volume of saline. Rats exposed to cadmium appeared
inactive and had reduced body weights and increased testicular organ coefficients
at the end of treatment compared with control rats. Atomic absorption results
showed that cadmium levels increased with increased cadmium exposure. Hematoxylin
and eosin staining of testicular sections showed seminiferous tubular atrophy,
decreased pipe diameter, spermatogonial stem cells falling off the inner lining,
and reduced germ cell layers of disorderly arrangements in cadmium-treated rats.
Immunohistochemical and western blot results both showed that levels of the
autophagy-related proteins Beclin1 and microtubule-associated protein 1 light
chain 3B (LC3B) increased with increased cadmium exposure. We also found that
LC3B-II and calcium-sensing receptor (CSR) levels in cadmium-exposed rats
significantly increased. By immunofluorescence, we found that the percentage of
cells that expressed the CSR was significantly higher in LC3B-positive than LC3B
negative cells. Together, our results showed that cadmium accumulates in the
testes causing testicular injury, which may be related to increased autophagy
levels. Furthermore, calcium disorders associated with the CSR may reveal a
potential way to activate autophagy.
PMID- 27872161
TI - Identification of urinary exosomal noncoding RNAs as novel biomarkers in chronic
kidney disease.
AB - In chronic kidney disease (CKD), the decline in the glomerular filtration rate is
associated with increased morbidity and mortality and thus poses a major
challenge for healthcare systems. While the contribution of tissue-derived miRNAs
and mRNAs to CKD progression has been extensively studied, little is known about
the role of urinary exosomes and their association with CKD. Exosomes are small,
membrane-derived endocytic vesicles that contribute to cell-to-cell communication
and are present in various body fluids, such as blood or urine. Next-generation
sequencing approaches have revealed that exosomes are enriched in noncoding RNAs
and thus exhibit great potential for sensitive nucleic acid biomarkers in various
human diseases. Therefore, in this study we aimed to identify urinary exosomal
ncRNAs as novel biomarkers for diagnosis of CKD. Since up to now most approaches
have focused on the class of miRNAs, we extended our analysis to several other
noncoding RNA classes, such as tRNAs, tRNA fragments (tRFs), mitochondrial tRNAs,
or lincRNAs. For their computational identification from RNA-seq data, we
developed a novel computational pipeline, designated as ncRNASeqScan. By these
analyses, in CKD patients we identified 30 differentially expressed ncRNAs,
derived from urinary exosomes, as suitable biomarkers for early diagnosis.
Thereby, miRNA-181a appeared as the most robust and stable potential biomarker,
being significantly decreased by about 200-fold in exosomes of CKD patients
compared to healthy controls. Using a cell culture system for CKD indicated that
urinary exosomes might indeed originate from renal proximal tubular epithelial
cells.
PMID- 27872164
TI - Functional consequences of B-repeat sequence variation in the staphylococcal
biofilm protein Aap: deciphering the assembly code.
AB - Staphylococcus epidermidis is an opportunistic pathogen that can form robust
biofilms that render the bacteria resistant to antibiotic action and immune
responses. Intercellular adhesion in S. epidermidis biofilms is mediated by the
cell wall-associated accumulation-associated protein (Aap), via zinc-mediated
self-assembly of its B-repeat region. This region contains up to 17 nearly
identical sequence repeats, with each repeat assumed to be functionally
equivalent. However, Aap B-repeats exist as two subtypes, defined by a cluster of
consensus or variant amino acids. These variable residues are positioned near the
zinc-binding (and dimerization) site and the stability determinant for the B
repeat fold. We have characterized four B-repeat constructs to assess the
functional relevance of the two Aap B-repeat subtypes. Analytical
ultracentrifugation experiments demonstrated that constructs with the variant
sequence show reduced or absent Zn2+-induced dimerization. Likewise, circular
dichroism thermal denaturation experiments showed that the variant sequence could
significantly stabilize the fold, depending on its location within the construct.
Crystal structures of three of the constructs revealed that the side chains from
the variant sequence form an extensive bonding network that can stabilize the
fold. Furthermore, altered distribution of charged residues between consensus and
variant sequences changes the electrostatic potential in the vicinity of the Zn2+
binding site, providing a mechanistic explanation for the loss of zinc-induced
dimerization in the variant constructs. These data suggest an assembly code that
defines preferred oligomerization modes of the B-repeat region of Aap and a slip
grip model for initial contact followed by firm intercellular adhesion during
biofilm formation.
PMID- 27872167
TI - The Use of Osteotomies in the Treatment of Asymmetric Ankle Joint Arthritis.
PMID- 27872166
TI - Consistency of medical record reporting of a set of indicators for proactive
palliative care in patients with chronic obstructive pulmonary disease.
AB - To identify patients hospitalized for an acute exacerbation of chronic
obstructive pulmonary disease (COPD) who have a poor prognosis and might benefit
from proactive palliative care, a set of indicators had been developed from the
literature. A patient is considered eligible for proactive palliative care when
meeting >=2 criteria of the proposed set of 11 indicators. In order to develop a
doctor-friendly and patient-convenient tool, our primary objective was to examine
whether these indicators are documented consistently in the medical records.
Besides, percentage of patients with a poor prognosis and prognostic value were
explored. We conducted a retrospective medical record review of 33 patients. Five
indicators; non-invasive ventilation (NIV), comorbidity, body mass index (BMI),
previous admissions for acute exacerbation COPD and age were always documented.
Three indicators; hypoxaemia and/or hypercapnia, professional home care and
actual forced expiratory volume1% (FEV1%) were documented in more than half of
the records, whereas the clinical COPD questionnaire (CCQ), medical research
council dyspnoea (MRC dyspnoea) and the surprise question were never registered.
Besides, 78.8% of the patients met >=2 criteria and there was a significant
association between meeting >=2 criteria and mortality within 1 year (one-sided
Fisher's exact test, p = 0.04). The set of indicators for proactive palliative
care in patients with COPD appeared to be user-friendly and feasible.
PMID- 27872168
TI - Accurate Carbohydrate Counting Is an Important Determinant of Postprandial
Glycemia in Children and Adolescents With Type 1 Diabetes on Insulin Pump
Therapy.
AB - BACKGROUND: Carbohydrate (CHO) counting is a key nutritional intervention
utilized in the management of diabetes to optimize postprandial glycemia. The aim
of the study was to examine the impact of accuracy of CHO counting on the
postprandial glucose in children and adolescents with type 1 diabetes on insulin
pump therapy. METHODS: Children/adolescents with type 1 diabetes who were on
insulin pump therapy for a minimum of 6 months are enrolled in the study.
Patients were instructed to record details of meals consumed, estimated CHO count
per meal, and 2-hour postprandial glucose readings over 3-5 days. Meals' CHO
contents were recounted by an experienced clinical dietician, and those within
20% of the dietician's counting were considered accurate. RESULTS: A total of 30
patients (21 females) were enrolled. Age range (median) was 8-18 (SD 13) years.
Data of 247 meals were analyzed. A total of 165 (67%) meals' CHO contents were
accurately counted. Of those, 90 meals (55%) had in-target postprandial glucose (
P < .000). There was an inverse relationship between inaccurate CHO estimates and
postprandial glucose. Of the 63 underestimated meals, 55 had above-target
glucose, while 12 of the 19 overestimated meals were followed by low glucose.
There was no association between accuracy and meal size (Spearman's rho = .019).
CONCLUSION: Accuracy of CHO counting is an important determining factor of
postprandial glycemia. However, other factors should be considered when advising
on prandial insulin calculation. Underestimation and overestimation of CHO result
in postprandial hyperglycemia and hypoglycemia, respectively. Accuracy does not
correlate with meal size.
PMID- 27872169
TI - Myelopathy: chameleons and mimics.
AB - The diagnosis of spinal cord disease may be delayed or missed if the presentation
does not conform to the expected pattern of a symmetrical spastic paraparesis
with sphincter dysfunction and a sensory level. This may occur when a myelopathy
has yet to evolve fully, or is highly asymmetrical, as in Brown-Sequard syndrome.
Other potential distractions include fluctuating symptoms, as may accompany
spinal cord demyelination, and pseudoneuropathic features, as seen acutely in
spinal shock and in the chronic setting with some high cervical cord lesions. A
second pathology, such as a polyneuropathy or polyradiculopathy, can mask the
presence of a myelopathy. The converse situation, of non-myelopathic disease
mimicking a cord lesion, arises typically when symptoms and/or signs approximate
bilateral symmetry. This may happen with certain diseases of the brain, or of the
peripheral nerves, with functional disorders and even occasionally with non
neurological disease. These sources of diagnostic difficulty assume clinical
importance when they delay the recognition of conditions that require urgent
treatment.
PMID- 27872170
TI - Spinal cord infarction after cocaine use.
PMID- 27872171
TI - Organelles in health and diseases.
PMID- 27872172
TI - Urea, a true uremic toxin: the empire strikes back.
AB - Blood levels of urea rise with progressive decline in kidney function. Older
studies examining acute urea infusion suggested that urea was well-tolerated at
levels 8-10* above normal values. More recent in vitro and in vivo work argue the
opposite and demonstrate both direct and indirect toxicities of urea, which
probably promote the premature aging phenotype that is pervasive in chronic
kidney disease (CKD). Elevated urea at concentrations typically encountered in
uremic patients induces disintegration of the gut epithelial barrier, leading to
translocation of bacterial toxins into the bloodstream and systemic inflammation.
Urea induces apoptosis of vascular smooth muscle cells as well as endothelial
dysfunction, thus directly promoting cardiovascular disease. Further, urea
stimulates oxidative stress and dysfunction in adipocytes, leading to insulin
resistance. Finally, there are widespread indirect effects of elevated urea as a
result of the carbamylation reaction, where isocyanic acid (a product of urea
catabolism) alters the structure and function of proteins in the body.
Carbamylation has been linked with renal fibrosis, atherosclerosis and anaemia.
In summary, urea is a re-emerging Dark Force in CKD pathophysiology. Trials
examining low protein diet to minimize accumulation of urea and other toxins
suggest a clinical benefit in terms of slowing progression of CKD.
PMID- 27872173
TI - Medical morbidity and mortality conferences: past, present and future.
AB - Morbidity and mortality conferences (MMCs) have three potential aims-to improve
patient safety by reducing adverse events and preventable deaths, to improve
overall quality of care as part of the hospital governance structure and as
educational learning events. At present, medical MMCs vary widely in format and
attendance from hospital to hospital. The evidence for MMCs actually reducing
adverse events and preventing avoidable deaths is disappointing. There is better
evidence for their educational role. The majority of medical deaths in hospitals
are frail older people with poor life expectancy in whom inadequate care is more
likely to be due to errors of omission rather than commission. Medical MMCs
should be multidisciplinary and led by a senior clinician to encourage discussion
and reflection in a 'blame-free' environment. They should be learning events for
both clinicians and the organisation as a whole with a structure to support this.
PMID- 27872174
TI - The emergence of a new specialty of oncocardiology.
PMID- 27872175
TI - Court in judgement of informed consent.
PMID- 27872176
TI - The evolving landscape of combination therapy for pulmonary arterial
hypertension.
AB - Pulmonary arterial hypertension (PAH) is a progressively fatal disease, and the
goal in treatment is to prevent disease progression. The standard of care often
involves medications from multiple therapeutic classes, and there has been
significant interest both in the choice of agent as well as the timing of
initiation. There is a growing body of support for starting multiple medications
at the time of diagnosis, or 'upfront ', rather than using sequential addition to
prevent clinical deterioration.
PMID- 27872177
TI - Levetiracetam for the Treatment of Seizures in Neonatal Hypoxic Ischemic
Encephalopathy.
AB - The objective of this study was to determine the efficacy and safety of
levetiracetam in treatment of neonatal seizures due to hypoxic ischemic
encephalopathy. Seizures often persist in neonates with hypoxic ischemic
encephalopathy despite phenobarbital. A retrospective single-center study was
conducted in neonates >=36 weeks gestation with hypoxic ischemic encephalopathy.
A total of 127 neonates were identified born 2008-2015. Clinical seizures
occurred in 83 infants. Fifty-one neonates (61%) had cessation of seizures with
only phenobarbital. Thirty-two neonates received levetiracetam after
phenobarbital, and the seizures stopped in 27 of these neonates. The mean total
loading dose of levetiracetam was 63 mg/kg. Mean maintenance dose of
levetiracetam was 65 mg/kg/d. We found no negative side effects in neonates
following levetiracetam use. Our study finds that levetiracetam is an efficacious
medication in treatment of seizures in the setting of neonatal hypoxic ischemic
encephalopathy. Future prospective studies should explore its use as a first-line
medication.
PMID- 27872178
TI - Childhood Activity on Progression in Limb Girdle Muscular Dystrophy 2I.
AB - Limb girdle muscular dystrophy 2I is a slowly progressive muscular dystrophy due
to mutations in the Fukutin-related protein ( FKRP) gene. Clinicians are
frequently asked if physical activity is harmful for pediatric patients with limb
girdle muscular dystrophy 2I. The primary objective of this study was to
determine if there is a relationship between self-reported childhood activity
level and motor function and respiratory function in older children and adults
with limb girdle muscular dystrophy 2I. We compared retrospective self-reported
middle school activity level and sport participation with age at onset of
weakness, 10-meter walk test, and forced vital capacity later in life in 41
participants with FKRP mutations. We found no relationship between activity level
in childhood and disease course later in life, suggesting that self-directed
physical activity in children with limb girdle muscular dystrophy 2I does not
negatively affect disease progression and outcome.
PMID- 27872179
TI - Anti- N-Methyl-d-Aspartate (NMDA) Receptor Encephalitis.
AB - Anti- N-methyl-d-aspartate receptor (NMDAR) encephalitis has been shown to be a
treatable form of autoimmune encephalitis, but there remains no standardized
approach to immunotherapy. We designed an anonymous survey sent to members of the
Child Neurology Society to identify the current practices among child
neurologists. A total of 151 pediatric neurologists responded to the survey. With
these responses we were able to highlight areas of practice uniformity, including
first-line treatment with intravenous immunoglobulin and intravenous
methylprednisone and initiation of disease-modifying therapy with rituximab
alone. The survey also identifies existing gaps in knowledge, specifically, when
to add disease-modifying therapy and how long to continue therapy. We propose
that the areas of agreement can be used as a step toward establishing standard
treatment guidelines and research protocols directed at evidence-based clinical
trials.
PMID- 27872180
TI - On Learning Natural-Science Categories That Violate the Family-Resemblance
Principle.
AB - The general view in psychological science is that natural categories obey a
coherent, family-resemblance principle. In this investigation, we documented an
example of an important exception to this principle: Results of a
multidimensional-scaling study of igneous, metamorphic, and sedimentary rocks
(Experiment 1) suggested that the structure of these categories is disorganized
and dispersed. This finding motivated us to explore what might be the optimal
procedures for teaching dispersed categories, a goal that is likely critical to
science education in general. Subjects in Experiment 2 learned to classify
pictures of rocks into compact or dispersed high-level categories. One group
learned the categories through focused high-level training, whereas a second
group was required to simultaneously learn classifications at a subtype level.
Although high-level training led to enhanced performance when the categories were
compact, subtype training was better when the categories were dispersed. We
provide an interpretation of the results in terms of an exemplar-memory model of
category learning.
PMID- 27872181
TI - Action Experience Drives Visual-Processing Biases Near the Hands.
AB - Observers experience affordance-specific biases in visual processing for objects
within the hands' grasping space, but the mechanism that tunes visual cognition
to facilitate action remains unknown. I investigated the hypothesis that altered
vision near the hands is a result of experience-driven plasticity. Participants
performed motion-detection and form-perception tasks-while their hands were
either near the display, in atypical grasping postures, or positioned in their
laps-both before and after learning novel grasp affordances. Participants showed
enhanced temporal sensitivity for stimuli viewed near the backs of the hands
after training to execute a power grasp using the backs of their hands
(Experiment 1), but showed enhanced spatial sensitivity for stimuli viewed near
the tips of their little fingers after training to use their little fingers to
execute a precision grasp (Experiment 2). These results show that visual biases
near the hands are plastic, facilitating processing of information relevant to
learned grasp affordances.
PMID- 27872182
TI - An ompR-envZ Two-Component System Ortholog Regulates Phase Variation, Osmotic
Tolerance, Motility, and Virulence in Acinetobacter baumannii Strain AB5075.
AB - Recently, a novel phase-variable colony opacity phenotype was discovered in
Acinetobacter baumannii strain AB5075, where colonies interconvert between opaque
and translucent variants. Opaque colonies become mottled or sectored after 24 h
of growth due to translucent variants arising within the colony. This easily
distinguishable opaque-colony phenotype was used to screen for random transposon
insertions that increased the frequency of sectoring at a time point when wild
type colonies were uniformly opaque. A colony was identified that contained
multiple papillae of translucent variants, and the insertion in this mutant
mapped to an ortholog of the two-component system response regulator ompR
Subsequent investigation of in-frame deletions of ompR and the sensor kinase envZ
(located adjacent to ompR) showed that the switching frequency from opaque to
translucent was increased 401- and 281-fold, respectively. The ompR mutant also
exhibited sensitivity to sodium chloride in growth medium, whereas the envZ
mutation did not elicit sensitivity to sodium chloride. Mutation of either gene
reduced motility in A. baumannii strain AB5075, but a mutation in both ompR and
envZ produced a more profound effect. The ompR and envZ genes were cotranscribed
but were not subject to autoregulation by OmpR. Both ompR and envZ mutant opaque
variants were attenuated in virulence in the Galleria mellonella infection model,
whereas mutation of ompR had no effect on the virulence of the translucent
variant. IMPORTANCEAcinetobacter baumannii is a well-known antibiotic-resistant
pathogen; many clinical isolates can only be treated by a very small number of
antibiotics (including colistin), while some exhibit panresistance. The current
antimicrobial arsenal is nearing futility in the treatment of Acinetobacter
infections, and new avenues of treatment are profoundly needed. Since phase
variation controls the transition between opaque (virulent) and translucent
(avirulent) states in A. baumannii, this may represent an "Achilles' heel" that
can be targeted via the development of small molecules that lock cells in the
translucent state and allow the host immune system to clear the infection. A
better understanding of how phase variation is regulated may allow for the
development of methods to target this process. The ompR-envZ two-component system
ortholog negatively regulates phase variation in A. baumannii, and perturbation
of this system leads to the attenuation of virulence in an invertebrate infection
model.
PMID- 27872183
TI - Roles of the Essential Protein FtsA in Cell Growth and Division in Streptococcus
pneumoniae.
AB - Streptococcus pneumoniae is an ovoid-shaped Gram-positive bacterium that grows by
carrying out peripheral and septal peptidoglycan (PG) synthesis, analogous to
model bacilli, such as Escherichia coli and Bacillus subtilis In the model
bacilli, FtsZ and FtsA proteins assemble into a ring at midcell and are dedicated
to septal PG synthesis but not peripheral PG synthesis; hence, inactivation of
FtsZ or FtsA results in long filamentous cells unable to divide. Here, we
demonstrate that FtsA and FtsZ colocalize at midcell in S. pneumoniae and that
partial depletion of FtsA perturbs septum synthesis, resulting in elongated cells
with multiple FtsZ rings that fail to complete septation. Unexpectedly, complete
depletion of FtsA resulted in the delocalization of FtsZ rings and ultimately
cell ballooning and lysis. In contrast, depletion or deletion of gpsB and sepF,
which in B. subtilis are synthetically lethal with ftsA, resulted in enlarged and
elongated cells with multiple FtsZ rings, with deletion of sepF mimicking partial
depletion of FtsA. Notably, cell ballooning was not observed, consistent with
later recruitment of these proteins to midcell after Z-ring assembly. The
overproduction of FtsA stimulates septation and suppresses the cell division
defects caused by the deletion of sepF and gpsB under some conditions, supporting
the notion that FtsA shares overlapping functions with GpsB and SepF at later
steps in the division process. Our results indicate that, in S. pneumoniae, both
GpsB and SepF are involved in septal PG synthesis, whereas FtsA and FtsZ
coordinate both peripheral and septal PG synthesis and are codependent for
localization at midcell.IMPORTANCEStreptococcus pneumoniae (pneumococcus) is a
clinically important human pathogen for which more therapies against unexploited
essential targets, like cell growth and division proteins, are needed.
Pneumococcus is an ovoid-shaped Gram-positive bacterium with cell growth and
division properties that have important distinctions from those of rod-shaped
bacteria. Gaining insights into these processes can thus provide valuable
information to develop novel antimicrobials. Whereas rods use distinctly
localized protein machines at different cellular locations to synthesize
peripheral and septal peptidoglycans, we present evidence that S. pneumoniae
organizes these two machines at a single location in the middle of dividing
cells. Here, we focus on the properties of the actin-like protein FtsA as an
essential orchestrator of peripheral and septal growth in this bacterium.
PMID- 27872184
TI - Functional variants in the sucrase-isomaltase gene associate with increased risk
of irritable bowel syndrome.
AB - OBJECTIVE: IBS is a common gut disorder of uncertain pathogenesis. Among other
factors, genetics and certain foods are proposed to contribute. Congenital
sucrase-isomaltase deficiency (CSID) is a rare genetic form of disaccharide
malabsorption characterised by diarrhoea, abdominal pain and bloating, which are
features common to IBS. We tested sucrase-isomaltase (SI) gene variants for their
potential relevance in IBS. DESIGN: We sequenced SI exons in seven familial
cases, and screened four CSID mutations (p.Val557Gly, p.Gly1073Asp, p.Arg1124Ter
and p.Phe1745Cys) and a common SI coding polymorphism (p.Val15Phe) in a
multicentre cohort of 1887 cases and controls. We studied the effect of the 15Val
to 15Phe substitution on SI function in vitro. We analysed p.Val15Phe genotype in
relation to IBS status, stool frequency and faecal microbiota composition in 250
individuals from the general population. RESULTS: CSID mutations were more common
in patients than asymptomatic controls (p=0.074; OR=1.84) and Exome Aggregation
Consortium reference sequenced individuals (p=0.020; OR=1.57). 15Phe was detected
in 6/7 sequenced familial cases, and increased IBS risk in case-control and
population-based cohorts, with best evidence for diarrhoea phenotypes (combined
p=0.00012; OR=1.36). In the population-based sample, 15Phe allele dosage
correlated with stool frequency (p=0.026) and Parabacteroides faecal microbiota
abundance (p=0.0024). The SI protein with 15Phe exhibited 35% reduced enzymatic
activity in vitro compared with 15Val (p<0.05). CONCLUSIONS: SI gene variants
coding for disaccharidases with defective or reduced enzymatic activity
predispose to IBS. This may help the identification of individuals at risk, and
contribute to personalising treatment options in a subset of patients.
PMID- 27872185
TI - The Major Replicative Histone Chaperone CAF-1 Suppresses the Activity of the DNA
Mismatch Repair System in the Cytotoxic Response to a DNA-methylating Agent.
AB - The DNA mismatch repair (MMR) system corrects DNA mismatches in the genome. It is
also required for the cytotoxic response of O6-methylguanine-DNA
methyltransferase (MGMT)-deficient mammalian cells and yeast mgt1Delta rad52Delta
cells to treatment with Sn1-type methylating agents, which produce cytotoxic O6
methylguanine (O6-mG) DNA lesions. Specifically, an activity of the MMR system
causes degradation of irreparable O6-mG-T mispair-containing DNA, triggering cell
death; this process forms the basis of treatments of MGMT-deficient cancers with
Sn1-type methylating drugs. Recent research supports the view that degradation of
irreparable O6-mG-T mispair-containing DNA by the MMR system and CAF-1-dependent
packaging of the newly replicated DNA into nucleosomes are two concomitant
processes that interact with each other. Here, we studied whether CAF-1 modulates
the activity of the MMR system in the cytotoxic response to Sn1-type methylating
agents. We found that CAF-1 suppresses the activity of the MMR system in the
cytotoxic response of yeast mgt1Delta rad52Delta cells to the prototypic Sn1-type
methylating agent N-methyl-N'-nitro-N-nitrosoguanidine. We also report evidence
that in human MGMT-deficient cell-free extracts, CAF-1-dependent packaging of
irreparable O6-mG-T mispair-containing DNA into nucleosomes suppresses its
degradation by the MMR system. Taken together, these findings suggest that CAF-1
dependent incorporation of irreparable O6-mG-T mispair-containing DNA into
nucleosomes suppresses its degradation by the MMR system, thereby defending the
cell against killing by the Sn1-type methylating agent.
PMID- 27872186
TI - The Interplay between Calmodulin and Membrane Interactions with the Pleckstrin
Homology Domain of Akt.
AB - The Akt protein, a serine/threonine kinase, plays important roles in cell
survival, apoptosis, and oncogenes. Akt is translocated to the plasma membrane
for activation. Akt-membrane binding is mediated by direct interactions between
its pleckstrin homology domain (PHD) and phosphatidylinositol 3,4,5-trisphosphate
(PI(3,4,5)P3). It has been shown that Akt activation in breast cancer cells is
modulated by calmodulin (CaM). However, the molecular mechanism of the interplay
between CaM and membrane binding is not established. Here, we employed nuclear
magnetic resonance (NMR) and biochemical and biophysical techniques to
characterize how PI(3,4,5)P3, CaM, and membrane mimetics (nanodisc) bind to
Akt(PHD). We show that PI(3,4,5)P3 binding to Akt(PHD) displaces the C-terminal
lobe of CaM but not the weakly binding N-terminal lobe. However, binding of a
PI(3,4,5)P3-embedded membrane nanodisc to Akt(PHD) with a 103-fold tighter
affinity than PI(3,4,5)P3 is able to completely displace CaM. We also show that
Akt(PHD) binds to both layers of the nanodisc, indicating proper incorporation of
PI(3,4,5)P3 on the nanodisc surface. No detectable binding has been observed
between Akt(PHD) and PI(3,4,5)P3-free nanodiscs, demonstrating that PI(3,4,5)P3
is required for membrane binding, CaM displacement, and Akt activation. Using
pancreatic cancer cells, we demonstrate that inhibition of Akt-CaM binding
attenuated Akt activation. Our findings support a model by which CaM binds to Akt
to facilitate its translocation to the membrane. Elucidation of the molecular
details of the interplay between membrane and CaM binding to Akt may help in the
development of potential targets to control the pathophysiological processes of
cell survival.
PMID- 27872187
TI - Galactomannan Catabolism Conferred by a Polysaccharide Utilization Locus of
Bacteroides ovatus: ENZYME SYNERGY AND CRYSTAL STRUCTURE OF A beta-MANNANASE.
AB - A recently identified polysaccharide utilization locus (PUL) from Bacteroides
ovatus ATCC 8483 is transcriptionally up-regulated during growth on galacto- and
glucomannans. It encodes two glycoside hydrolase family 26 (GH26) beta
mannanases, BoMan26A and BoMan26B, and a GH36 alpha-galactosidase, BoGal36A. The
PUL also includes two glycan-binding proteins, confirmed by beta-mannan affinity
electrophoresis. When this PUL was deleted, B. ovatus was no longer able to grow
on locust bean galactomannan. BoMan26A primarily formed mannobiose from mannan
polysaccharides. BoMan26B had higher activity on galactomannan with a high degree
of galactosyl substitution and was shown to be endo-acting generating a more
diverse mixture of oligosaccharides, including mannobiose. Of the two beta
mannanases, only BoMan26B hydrolyzed galactoglucomannan. A crystal structure of
BoMan26A revealed a similar structure to the exo-mannobiohydrolase CjMan26C from
Cellvibrio japonicus, with a conserved glycone region (-1 and -2 subsites),
including a conserved loop closing the active site beyond subsite -2. Analysis of
cellular location by immunolabeling and fluorescence microscopy suggests that
BoMan26B is surface-exposed and associated with the outer membrane, although
BoMan26A and BoGal36A are likely periplasmic. In light of the cellular location
and the biochemical properties of the two characterized beta-mannanases, we
propose a scheme of sequential action by the glycoside hydrolases encoded by the
beta-mannan PUL and involved in the beta-mannan utilization pathway in B. ovatus.
The outer membrane-associated BoMan26B initially acts on the polysaccharide
galactomannan, producing comparably large oligosaccharide fragments. Galactomanno
oligosaccharides are further processed in the periplasm, degalactosylated by
BoGal36A, and subsequently hydrolyzed into mainly mannobiose by the beta
mannanase BoMan26A.
PMID- 27872188
TI - A Monoclonal Antibody to Cryptococcus neoformans Glucuronoxylomannan Manifests
Hydrolytic Activity for Both Peptides and Polysaccharides.
AB - Studies in the 1980s first showed that some natural antibodies were "catalytic"
and able to hydrolyze peptide or phosphodiester bonds in antigens. Many naturally
occurring catalytic antibodies have since been isolated from human sera and
associated with positive and negative outcomes in autoimmune disease and
infection. The function and prevalence of these antibodies, however, remain
unclear. A previous study suggested that the 18B7 monoclonal antibody against
glucuronoxylomannan (GXM), the major component of the Cryptococcus neoformans
polysaccharide capsule, hydrolyzed a peptide antigen mimetic. Using mass
spectrometry and Forster resonance energy transfer techniques, we confirm and
characterize the hydrolytic activity of 18B7 against peptide mimetics and show
that 18B7 is able to hydrolyze an oligosaccharide substrate, providing the first
example of a naturally occurring catalytic antibody for polysaccharides.
Additionally, we show that the catalytic 18B7 antibody increases release of
capsular polysaccharide from fungal cells. A serine protease inhibitor blocked
peptide and oligosaccharide hydrolysis by 18B7, and a putative serine protease
like active site was identified in the light chain variable region of the
antibody. An algorithm was developed to detect similar sites present in unique
antibody structures in the Protein Data Bank. The putative site was found in 14
of 63 (22.2%) catalytic antibody structures and 119 of 1602 (7.4%) antibodies
with no annotation of catalytic activity. The ability of many antibodies to
cleave antigen, albeit slowly, supports the notion that this activity is an
important immunoglobulin function in host defense. The discovery of GXM
hydrolytic activity suggests new therapeutic possibilities for polysaccharide
binding antibodies.
PMID- 27872189
TI - Biophysical Evidence for Intrinsic Disorder in the C-terminal Tails of the
Epidermal Growth Factor Receptor (EGFR) and HER3 Receptor Tyrosine Kinases.
AB - The epidermal growth factor receptor (EGFR)/ErbB family of receptor tyrosine
kinases includes oncogenes important in the progression of breast and other
cancers, and they are targets for many drug development strategies. Each member
of the ErbB family possesses a unique, structurally uncharacterized C-terminal
tail that plays an important role in autophosphorylation and signal propagation.
To determine whether these C-terminal tails are intrinsically disordered regions,
we conducted a battery of biophysical experiments on the EGFR and HER3 tails.
Using hydrogen/deuterium exchange mass spectrometry, we measured the
conformational dynamics of intracellular half constructs and compared the tails
with the ordered kinase domains. The C-terminal tails demonstrate more rapid
deuterium exchange behavior when compared with the kinase domains. Next, we
expressed and purified EGFR and HER3 tail-only constructs. Results from circular
dichroism spectroscopy, size exclusion chromatography with multiangle light
scattering, dynamic light scattering, analytical ultracentrifugation, and small
angle X-ray scattering each provide evidence that the EGFR and HER3 C-terminal
tails are intrinsically disordered with extended, non-globular structure in
solution. The intrinsic disorder and extended conformation of these tails may be
important for their function by increasing the capture radius and reducing the
thermodynamic barriers for binding of downstream signaling proteins.
PMID- 27872190
TI - Protein Phosphotyrosine Phosphatase 1B (PTP1B) in Calpain-dependent Feedback
Regulation of Vascular Endothelial Growth Factor Receptor (VEGFR2) in Endothelial
Cells: IMPLICATIONS IN VEGF-DEPENDENT ANGIOGENESIS AND DIABETIC WOUND HEALING.
AB - The VEGF/VEGFR2/Akt/eNOS/NO pathway is essential to VEGF-induced angiogenesis. We
have previously discovered a novel role of calpain in mediating VEGF-induced
PI3K/AMPK/Akt/eNOS activation through Ezrin. Here, we sought to identify possible
feedback regulation of VEGFR2 by calpain via its substrate protein
phosphotyrosine phosphatase 1B (PTP1B), and the relevance of this pathway to VEGF
induced angiogenesis, especially in diabetic wound healing. Overexpression of
PTP1B inhibited VEGF-induced VEGFR2 and Akt phosphorylation in bovine aortic
endothelial cells, while PTP1B siRNA increased both, implicating negative
regulation of VEGFR2 by PTP1B. Calpain inhibitor ALLN induced VEGFR2 activation,
which can be completely blocked by PTP1B overexpression. Calpain activation
induced by overexpression or Ca/A23187 resulted in PTP1B cleavage, which can be
blocked by ALLN. Moreover, calpain activation inhibited VEGF-induced VEGFR2
phosphorylation, which can be restored by PTP1B siRNA. These data implicate
calpain/PTP1B negative feedback regulation of VEGFR2, in addition to the primary
signaling pathway of VEGF/VEGFR2/calpain/PI3K/AMPK/Akt/eNOS. We next examined a
potential role of PTP1B in VEGF-induced angiogenesis. Endothelial cells
transfected with PTP1B siRNA showed faster wound closure in response to VEGF.
Aortic discs isolated from PTP1B siRNA-transfected mice also had augmented
endothelial outgrowth. Importantly, PTP1B inhibition and/or calpain
overexpression significantly accelerated wound healing in STZ-induced diabetic
mice. In conclusion, our data for the first time demonstrate a
calpain/PTP1B/VEGFR2 negative feedback loop in the regulation of VEGF-induced
angiogenesis. Modulation of local PTP1B and/or calpain activities may prove
beneficial in the treatment of impaired wound healing in diabetes.
PMID- 27872191
TI - Structural and Biochemical Analyses Reveal the Mechanism of Glutathione S
Transferase Pi 1 Inhibition by the Anti-cancer Compound Piperlongumine.
AB - Glutathione S-transferase pi 1 (GSTP1) is frequently overexpressed in cancerous
tumors and is a putative target of the plant compound piperlongumine (PL), which
contains two reactive olefins and inhibits proliferation in cancer cells but not
normal cells. PL exposure of cancer cells results in increased reactive oxygen
species and decreased GSH. These data in tandem with other information led to the
conclusion that PL inhibits GSTP1, which forms covalent bonds between GSH and
various electrophilic compounds, through covalent adduct formation at the C7-C8
olefin of PL, whereas the C2-C3 olefin of PL was postulated to react with GSH.
However, direct evidence for this mechanism has been lacking. To investigate, we
solved the X-ray crystal structure of GSTP1 bound to PL and GSH at 1.1 A
resolution to rationalize previously reported structure activity relationship
studies. Surprisingly, the structure showed that a hydrolysis product of PL (hPL)
was conjugated to glutathione at the C7-C8 olefin, and this complex was bound to
the active site of GSTP1; no covalent bond formation between hPL and GSTP1 was
observed. Mass spectrometry (MS) analysis of the reactions between PL and GSTP1
confirmed that PL does not label GSTP1. Moreover, MS data also indicated that
nucleophilic attack on PL at the C2-C3 olefin led to PL hydrolysis. Although hPL
inhibits GSTP1 enzymatic activity in vitro, treatment of cells susceptible to PL
with hPL did not have significant anti-proliferative effects, suggesting that hPL
is not membrane-permeable. Altogether, our data suggest a model wherein PL is a
prodrug whose intracellular hydrolysis initiates the formation of the hPL-GSH
conjugate, which blocks the active site of and inhibits GSTP1 and thereby cancer
cell proliferation.
PMID- 27872194
TI - Sample size and power for a stratified doubly randomized preference design.
AB - The two-stage (or doubly) randomized preference trial design is an important tool
for researchers seeking to disentangle the role of patient treatment preference
on treatment response through estimation of selection and preference effects. Up
until now, these designs have been limited by their assumption of equal
preference rates and effect sizes across the entire study population. We propose
a stratified two-stage randomized trial design that addresses this limitation. We
begin by deriving stratified test statistics for the treatment, preference, and
selection effects. Next, we develop a sample size formula for the number of
patients required to detect each effect. The properties of the model and the
efficiency of the design are established using a series of simulation studies. We
demonstrate the applicability of the design using a study of Hepatitis C
treatment modality, specialty clinic versus mobile medical clinic. In this
example, a stratified preference design (stratified by alcohol/drug use) may more
closely capture the true distribution of patient preferences and allow for a more
efficient design than a design which ignores these differences (unstratified
version).
PMID- 27872193
TI - Critical Contribution of Nuclear Factor Erythroid 2-related Factor 2 (NRF2) to
Electrophile-induced Interleukin-11 Production.
AB - Nuclear factor erythroid 2-related factor 2 (NRF2) is a transcription factor that
plays a crucial role in protection of cells from electrophile-induced toxicity
through up-regulating phase II detoxifying enzymes and phase III transporters. We
previously reported that oxidative stress induces up-regulation of interleukin-11
(IL-11), a member of the IL-6 family that ameliorates acetaminophen-induced liver
toxicity. However, a role for IL-11 in protection of cells from electrophile
induced toxicity remains unclear. Here we show that an environmental
electrophile, 1,2-naphthoquinone (1,2-NQ), but not 15d-prostaglandin J2 (PGJ2) or
tert-butylhydroxyquinone (tBHQ), induced IL-11 production. Consistent with a
crucial role for prolonged ERK activation in H2O2-induced IL-11 production, 1,2
NQ, but not 15d-PGJ2 or tBHQ, elicited prolonged ERK activation. Conversely,
inhibition of the ERK pathway by a MEK inhibitor completely blocked 1,2-NQ
induced IL-11 production at both protein and mRNA levels, further substantiating
an intimate cross-talk between ERK activation and 1,2-NQ-induced IL-11
production. Promoter analysis of the Il11 gene revealed that two AP-1 sites were
essential for 1,2-NQ-induced promoter activities. Among various members of the AP
1 family, Fra-1 was up-regulated by 1,2-NQ, and its up-regulation was blocked by
a MEK inhibitor. Although NRF2 was not required for H2O2-induced IL11 up
regulation, NRF2 was essential for 1,2-NQ-induced IL11 up-regulation by
increasing Fra-1 proteins possibly through promoting mRNA translation of FOSL1
Finally, intraperitoneal administration of 1,2-NQ induced body weight loss in
wild-type mice, which was further exacerbated in Il11ra1-/- mice compared with
Il11ra1+/- mice. Together, both Fra-1 and NRF2 play crucial roles in IL-11
production that protects cells from 1,2-NQ intestinal toxicity.
PMID- 27872192
TI - The Chromatin Assembly Factor Complex 1 (CAF1) and 5-Azacytidine (5-AzaC) Affect
Cell Motility in Src-transformed Human Epithelial Cells.
AB - Tumor invasion into surrounding stromal tissue is a hallmark of high grade,
metastatic cancers. Oncogenic transformation of human epithelial cells in culture
can be triggered by activation of v-Src kinase, resulting in increased cell
motility, invasiveness, and tumorigenicity and provides a valuable model for
studying how changes in gene expression cause cancer phenotypes. Here, we show
that epithelial cells transformed by activated Src show increased levels of DNA
methylation and that the methylation inhibitor 5-azacytidine (5-AzaC) potently
blocks the increased cell motility and invasiveness induced by Src activation. A
proteomic screen for chromatin regulators acting downstream of activated Src
identified the replication-dependent histone chaperone CAF1 as an important
factor for Src-mediated increased cell motility and invasion. We show that Src
causes a 5-AzaC-sensitive decrease in both mRNA and protein levels of the p150
(CHAF1A) and p60 (CHAF1B), subunits of CAF1. Depletion of CAF1 in untransformed
epithelial cells using siRNA was sufficient to recapitulate the increased
motility and invasive phenotypes characteristic of transformed cells without
activation of Src. Maintaining high levels of CAF1 by exogenous expression
suppressed the increased cell motility and invasiveness phenotypes when Src was
activated. These data identify a critical role of CAF1 in the dysregulation of
cell invasion and motility phenotypes seen in transformed cells and also
highlight an important role for epigenetic remodeling through DNA methylation for
Src-mediated induction of cancer phenotypes.
PMID- 27872195
TI - Estrogen Receptors and Signaling in Fibroids: Role in Pathobiology and
Therapeutic Implications.
AB - Uterine fibroids are the most common gynecologic tumors with a significant
medical and financial burden. Several genetic, hormonal, and biological factors
have been shown to contribute to the development and growth of fibroid tumors. Of
these factors, estrogen is particularly critical since fibroids are considered
estrogen dependent because no prepubertal cases have been described in the
literature and tumors tend to regress after menopause. Understanding the role of
estrogen in fibroids is not only important for understanding the pathobiology of
fibroids but also for the development of successful therapeutics. In this review,
we discuss the types and structure of estrogen receptors (nuclear and membrane
bound, including alpha and beta receptors and G protein-coupled estrogen receptor
1 GPER1). Estrogen-signaling pathways in fibroids include genomic (direct and
indirect) and nongenomic including Ras-Raf-MEK (MAPK/Erk Kinase)-mitogen
activated protein kinase (MAPK) and phosphatidylinositide 3-kinase (PI3K)
phosphatidylinositol-3,4,5-trisphosphate (PIP3)-Akt (Protein kinase B)-mammalian
target of rapamycin (mTOR) pathways; shortly Ras-Raf-MEK-MAPK and PI3K-PIP3-Akt
mTOR pathways. Several aberrations in estrogen receptors and signaling pathways
are implicated in fibroid pathobiology. Current therapeutic and research agents
targeting ERs/signaling include gonadotropin-releasing hormone (GnRH) agonists,
GnRH antagonists, aromatase inhibitors, selective ER modulators, gene therapy,
and others. Future research can identify potential targets for the development of
novel treatments. In particular, epigenomics of estrogen activity and
individualized (precision) medicine appear to be attractive areas for future
research.
PMID- 27872196
TI - Induction of Ectonucleotide Pyrophosphatase/Phosphodiesterase 3 During the
Periovulatory Period in the Rat Ovary.
AB - Ectonucleotide pyrophosphatase/phosphodiesterase 3 ( Enpp3) is involved in
multiple physiological processes, such as morphological changes and inflammatory
processes. The present study investigated the spatiotemporal expression pattern
and regulatory mechanisms controlling expression of Enpp3 in the rat ovary during
the periovulatory period. Immature female rats were injected with pregnant mare
serum gonadotropin to stimulate follicular development. Ovaries, granulosa cells,
or theca-interstitial cells were collected at various times after human chorionic
gonadotropin (hCG) administration. Real-time polymerase chain reaction analysis
revealed that messenger RNA (mRNA) for Enpp3 was highly induced in both granulosa
cells and theca-interstitial cells by hCG. In situ hybridization analysis
demonstrated that Enpp3 mRNA expression was induced in theca cells at 4 hours
after hCG, and the expression remained elevated until 12 hours after hCG. The
expression of Enpp3 mRNA was stimulated in granulosa cells at 8 hours and reached
the highest expression at 12 hours. Localization of Enpp3 mRNA was observed in
newly forming corpora lutea by in situ hybridization. The hCG-stimulated
expression of Enpp3 mRNA was blocked by a protein kinase C inhibitor (GF109203)
instead of the protein kinase A inhibitor (H89). Furthermore, Enpp3 induction is
dependent on new protein synthesis. Inhibition of progesterone action did not
alter Enpp3 mRNA expression, whereas inhibition of prostaglandin synthesis or the
epidermal growth factor pathway diminished Enpp3 mRNA levels. In conclusion, our
findings suggest that the induction of the Enpp3 mRNA may be important for the
morphological changes and inflammatory response during ovulation and
luteinization.
PMID- 27872197
TI - Plasma exosomes are enriched in Hsp70 and modulated by stress and cortisol in
rainbow trout.
AB - Exosomes are endosomally derived vesicles that are secreted from cells and
contain a suite of molecules, including proteins and nucleic acids. Recent
studies suggest the possibility that exosomes in circulation may be affecting
recipient target cell function, but the modes of action are unclear. Here, we
tested the hypothesis that exosomes are in circulation in fish plasma and that
these vesicles are enriched with heat shock protein 70 (Hsp70). Exosomes were
isolated from rainbow trout (Oncorhynchus mykiss) plasma using differential
centrifugation, and their presence was confirmed by transmission electron
microscopy and the exosomal marker acetylcholinesterase. Plasma exosomes were
enriched with Hsp70, and this stress protein was transiently elevated in trout
plasma in response to a heat shock in vivo Using trout hepatocytes in primary
culture, we tested whether stress levels of cortisol, the principle
corticosteroid in teleosts, regulates exosomal Hsp70 content. As expected, a 1-h
heat shock (+15 degrees C above ambient) increased Hsp70 expression in
hepatocytes, and this led to higher Hsp70 enrichment in exosomes over a 24-h
period. However, cortisol treatment significantly reduced the expression of Hsp70
in exosomes released from either unstressed or heat-shocked hepatocytes. This
cortisol-mediated suppression was not specific to Hsp70 as beta-actin expression
was also reduced in exosomes released from hepatocytes treated with the steroid.
Our results suggest that circulating Hsp70 is released from target tissues via
exosomes, and their release is modulated by stress and cortisol. Overall, we
propose a novel role for extracellular vesicular transport of Hsp70 in the
organismal stress response.
PMID- 27872200
TI - Transferring scientific discovery to the public: The intramercurial planet Vulcan
in 1860.
PMID- 27872198
TI - In female rat heart mitochondria, oophorectomy results in loss of oxidative
phosphorylation.
AB - Oophorectomy in adult rats affected cardiac mitochondrial function. Progression
of mitochondrial alterations was assessed at one, two and three months after
surgery: at one month, very slight changes were observed, which increased at two
and three months. Gradual effects included decrease in the rates of oxygen
consumption and in respiratory uncoupling in the presence of complex I
substrates, as well as compromised Ca2+ buffering ability. Malondialdehyde
concentration increased, whereas the ROS-detoxifying enzyme Mn2+ superoxide
dismutase (MnSOD) and aconitase lost activity. In the mitochondrial respiratory
chain, the concentration and activity of complex I and complex IV decreased.
Among other mitochondrial enzymes and transporters, adenine nucleotide carrier
and glutaminase decreased. 2-Oxoglutarate dehydrogenase and pyruvate
dehydrogenase also decreased. Data strongly suggest that in the female rat heart,
estrogen depletion leads to progressive, severe mitochondrial dysfunction.
PMID- 27872199
TI - Somatic individuality in context, a comparative case study.
AB - Scholars have challenged the totalizing nature of the "geneticization thesis,"
arguing that its brushstrokes are too broad to capture the complicated nature of
the new genetics. One such challenge has come from Nikolas Rose's argument that
genetic medicine is governed by a new biopolitics in which patients understand
themselves as "somatic individuals" who treat their bodies as an "ethical
substance" to be worked on in order to secure a healthier future. I argue that
Rose's argument, while compelling, paints the new genetics in equally broad
brushstrokes and that in order for a concept like somatic individuality to become
useful, we must study its manifestation across different communities of at-risk
individuals. I undertake such a study by analyzing discourse use in two online
biosocial communities, showing how the decision-making situations specific to
each affect representations of somatic individuality, often creating
opportunities for the rhetorical repurposing of older discourses of genetic
determinism.
PMID- 27872201
TI - Identification of Chemical Compounds That Inhibit Protein Synthesis in
Pseudomonas aeruginosa.
AB - Four inhibitory compounds were identified using a poly-uridylic acid (polyU) mRNA
directed aminoacylation/translation (A/T) protein synthesis system composed of
phenylalanyl-tRNA synthetases (PheRS), ribosomes, and ribosomal factors from
Pseudomonas aeruginosa in an in vitro screen of a synthetic compound library. The
compounds were specific for inhibition of bacterial protein synthesis. In
enzymatic assays, the compounds inhibited protein synthesis with IC50 values
ranging from 20 to 60 MUM. Minimum inhibitory concentrations (MICs) were
determined in cultures for a panel of pathogenic organisms, including
Enterococcus faecalis, Escherichia coli, Haemophilus influenzae, P. aeruginosa,
Staphylococcus aureus, and Streptococcus pneumoniae. All the compounds were
observed to have broad-spectrum activity and inhibited an efflux pump mutant
strain of P. aeruginosa with MICs of 0.5-16 MUg/mL. The molecular target of two
compounds was determined to be PheRS. These two compounds were bacteriostatic
against both Gram-positive and Gram-negative pathogens. In competition assays,
they were not observed to compete with the natural substrates ATP or
phenylalanine for active site binding. The other two compounds directly inhibited
the ribosome and were bactericidal against both Gram-positive and Gram-negative
pathogens. In cytotoxicity MTT testing in human cell lines, the compounds were
shown to be from 2500- to 30,000-fold less active than the control staurosporine.
PMID- 27872202
TI - Genome-Wide Overexpression Screen Identifies Genes Able to Bypass p16-Mediated
Senescence in Melanoma.
AB - Malignant melanomas often arise from nevi, which result from initial oncogene
induced hyperproliferation of melanocytes that are maintained in a CDKN2A/p16
mediated senescent state. Thus, genes that can bypass this senescence barrier are
likely to contribute to melanoma development. We have performed a gain-of
function screen of 17,030 lentivirally expressed human open reading frames (ORFs)
in a melanoma cell line containing an inducible p16 construct to identify such
genes. Genes known to bypass p16-induced senescence arrest, including the human
papilloma virus 18 E7 gene ( HPV18E7), and genes such as the p16-binding CDK6
with expected functions, as well as panel of novel genes, were identified,
including high-mobility group box (HMGB) proteins. A number of these were further
validated in two other models of p16-induced senescence. Tissue
immunohistochemistry demonstrated higher levels of CDK6 in primary melanomas
compared with normal skin and nevi. Reduction of CDK6 levels drove melanoma cells
expressing functional p16 into senescence, demonstrating its contribution to
bypass senescence.
PMID- 27872204
TI - An injection of confidence: Scientists explore new and old methods to counter
anti-vaccine propaganda and overcome vaccine hesitancy so as to increase
vaccination rates.
PMID- 27872205
TI - Molecular architecture of the yeast Elongator complex reveals an unexpected
asymmetric subunit arrangement.
AB - Elongator is a ~850 kDa protein complex involved in multiple processes from
transcription to tRNA modification. Conserved from yeast to humans, Elongator is
assembled from two copies of six unique subunits (Elp1 to Elp6). Despite the
wealth of structural data on the individual subunits, the overall architecture
and subunit organization of the full Elongator and the molecular mechanisms of
how it exerts its multiple activities remain unclear. Using single-particle
electron microscopy (EM), we revealed that yeast Elongator adopts a bilobal
architecture and an unexpected asymmetric subunit arrangement resulting from the
hexameric Elp456 subassembly anchored to one of the two Elp123 lobes that form
the structural scaffold. By integrating the EM data with available subunit
crystal structures and restraints generated from cross-linking coupled to mass
spectrometry, we constructed a multiscale molecular model that showed the two
Elp3, the main catalytic subunit, are located in two distinct environments. This
work provides the first structural insights into Elongator and a framework to
understand the molecular basis of its multifunctionality.
PMID- 27872203
TI - Molecular mechanisms of asymmetric divisions in mammary stem cells.
AB - Stem cells have the remarkable ability to undergo proliferative symmetric
divisions and self-renewing asymmetric divisions. Balancing of the two modes of
division sustains tissue morphogenesis and homeostasis. Asymmetric divisions of
Drosophila neuroblasts (NBs) and sensory organ precursor (SOP) cells served as
prototypes to learn what we consider now principles of asymmetric mitoses. They
also provide initial evidence supporting the notion that aberrant symmetric
divisions of stem cells could correlate with malignancy. However, transferring
the molecular knowledge of circuits underlying asymmetry from flies to mammals
has proven more challenging than expected. Several experimental approaches have
been used to define asymmetry in mammalian systems, based on daughter cell fate,
unequal partitioning of determinants and niche contacts, or proliferative
potential. In this review, we aim to provide a critical evaluation of the assays
used to establish the stem cell mode of division, with a particular focus on the
mammary gland system. In this context, we will discuss the genetic alterations
that impinge on the modality of stem cell division and their role in breast
cancer development.
PMID- 27872209
TI - Depletion of Neural Crest-Derived Cells Leads to Reduction in Plasma
Noradrenaline and Alters B Lymphopoiesis.
AB - Hematopoietic stem cells and their lymphoid progenitors are supported by the bone
marrow (BM) microenvironmental niches composed of various stromal cells and
Schwann cells and sympathetic nerve fibers. Although neural crest (NC) cells
contribute to the development of all the three, their function in BM is not well
understood. In this study, NC-derived cells were ablated with diphtheria toxin in
double-transgenic mice expressing NC-specific Cre and Cre-driven diphtheria toxin
receptor with yellow fluorescent protein reporter. We found that yellow
fluorescent protein-expressing, NC-derived nonhematopoietic cells in BM expressed
hematopoietic factors Cxcl12 and stem cell factor The ablation of NC-derived
cells led to a significant decrease in B cell progenitors but not in
hematopoietic stem cells or myeloid lineage cells in BM. Interestingly, plasma
noradrenaline was markedly decreased in these mice. The i.p. administration of 6
hydroxydopamine, a known neurotoxin for noradrenergic neurons, led to a similar
phenotype, whereas the administration of a noradrenaline precursor in NC-ablated
mice partially rescued this phenotype. Additionally, the continuous
administration of adrenergic receptor beta antagonists partially decreased the
number of B cell progenitors while preserving B lymphopoiesis in vitro. Taken
together, our results indicate that NC-derived cell depletion leads to abnormal B
lymphopoiesis partially through decreased plasma noradrenaline, suggesting this
as a novel mechanism regulated by molecules released by the sympathetic neurons.
PMID- 27872208
TI - Blocking Virus Replication during Acute Murine Cytomegalovirus Infection
Paradoxically Prolongs Antigen Presentation and Increases the CD8+ T Cell
Response by Preventing Type I IFN-Dependent Depletion of Dendritic Cells.
AB - Increasing amounts of pathogen replication usually lead to a proportionate
increase in size and effector differentiation of the CD8+ T cell response, which
is attributed to increased Ag and inflammation. Using a murine CMV that is highly
sensitive to the antiviral drug famciclovir to modulate virus replication, we
found that increased virus replication drove increased effector CD8+ T cell
differentiation, as expected. Paradoxically, however, increased virus replication
dramatically decreased the size of the CD8+ T cell response to two immunodominant
epitopes. The decreased response was due to type I IFN-dependent depletion of
conventional dendritic cells and could be reproduced by specific depletion of
dendritic cells from day 2 postinfection or by sterile induction of type I IFN.
Increased virus replication and type I IFN specifically inhibited the response to
two immunodominant epitopes that are known to be dependent on Ag cross-presented
by DCs, but they did not inhibit the response to "inflationary" epitopes whose
responses can be sustained by infected nonhematopoietic cells. Our results show
that type I IFN can suppress CD8+ T cell responses to cross-presented Ag by
depleting cross-presenting conventional dendritic cells.
PMID- 27872207
TI - Myeloid-Specific Gene Deletion of Protein Phosphatase 2A Magnifies MyD88- and
TRIF-Dependent Inflammation following Endotoxin Challenge.
AB - Protein phosphatase 2A (PP2A) is a member of the intracellular serine/threonine
phosphatases. Innate immune cell activation triggered by pathogen-associated
molecular patterns is mediated by various protein kinases, and PP2A plays a
counter-regulatory role by deactivating these kinases. In this study, we
generated a conditional knockout of the alpha isoform of the catalytic subunit of
PP2A (PP2ACalpha). After crossing with myeloid-specific cre-expressing mice,
effective gene knockout was achieved in various myeloid cells. The myeloid
specific knockout mice (lyM-PP2Afl/fl) showed higher mortality in response to
endotoxin challenge and bacterial infection. Upon LPS challenge, serum levels of
TNF-alpha, KC, IL-6, and IL-10 were significantly increased in lyM-PP2Afl/fl
mice, and increased phosphorylation was observed in MAPK pathways (p38, ERK, JNK)
and the NF-kappaB pathway (IKKalpha/beta, NF-kappaB p65) in bone marrow-derived
macrophages (BMDMs) from knockout mice. Heightened NF-kappaB activation was not
associated with degradation of IkappaBalpha; instead, enhanced phosphorylation of
the NF-kappaB p65 subunit and p38 phosphorylation-mediated TNF-alpha mRNA
stabilization appear to contribute to the increased TNF-alpha expression. In
addition, increased IL-10 expression appears to be due to PP2ACalpha-knockout
induced IKKalpha/beta hyperactivation. Microarray experiments indicated that the
Toll/IL-1R domain-containing adaptor inducing IFN-beta/ TNFR-associated factor 3
pathway was highly upregulated in LPS-treated PP2ACalpha-knockout BMDMs, and
knockout BMDMs had elevated IFN-alpha/beta production compared with control
BMDMs. Serum IFN-beta levels from PP2ACalpha-knockout mice treated with LPS were
also greater than those in controls. Thus, we demonstrate that PP2A plays an
important role in regulating inflammation and survival in the setting of septic
insult by targeting MyD88- and Toll/IL-1R domain-containing adaptor inducing IFN
beta-dependent pathways.
PMID- 27872210
TI - Distribution and Activation of CD8+ T Cells in the Duodenal Mucosa before and
after HIV Seroconversion.
AB - CD8+ T cells in the intestinal mucosa influence the HIV-associated pathogenesis,
but little is known about the dynamics of mucosal CD8+ T cell counts and
activation of these cells during the course of infection. In this study, mucosal
CD8+ T cells in the duodenum were studied at different stages of HIV infection,
starting from the seronegative phase. In seronegative acute HIV infection, CD8+ T
cell counts increased in the epithelium, but not in the lamina propria.
Infiltration of the lamina propria by peripherally expanded CD8+ T cells was
observed after seroconversion. Highest increase in the expression of perforin,
the rate-limiting molecule for cytotoxic CD8+ T cell activity, was evident in the
lamina propria of seronegative acutely HIV-infected patients. The number of
perforin-expressing cells in the lamina propria of acutely HIV-infected patients
was positively associated with biomarkers of enterocyte damage and microbial
translocation. After seroconversion, perforin expression was downregulated in the
lamina propria, but not in the epithelium. In conclusion, our findings
demonstrate that intraepithelial and lamina propria CD8+ T cells exhibit
different dynamics of numerical alteration and cytotoxic activity in HIV-infected
patients. Moreover, our results suggest that perforin-dependent cytotoxic
mechanisms by CD8+ T cells could impair the intestinal mucosal barrier already in
the seronegative phase of acute HIV infection, thereby inducing microbial
translocation as one of the earliest pathological events in HIV infection.
PMID- 27872211
TI - Accurate Structure Prediction of CDR H3 Loops Enabled by a Novel Structure-Based
C-Terminal Constraint.
AB - Ab structure prediction has made great strides, but accurately modeling CDR H3
loops remains elusive. Unlike the other five CDR loops, CDR H3 does not adopt
canonical conformations and must be modeled de novo. During Antibody Modeling
Assessment II, we found that biasing simulations toward kinked conformations
enables generating low-root mean square deviation models (Weitzner et al. 2014.
Proteins 82: 1611-1623), and since then, we have presented new geometric
parameters defining the kink conformation (Weitzner et al. 2015. Structure 23:
302-311). In this study, we use these parameters to develop a new biasing
constraint. When applied to a benchmark set of high-quality CDR H3 loops, the
average minimum root mean square deviation sampled is 0.93 A, compared with 1.34
A without the constraint. We then test the performance of the constrained de novo
method for homology modeling and rigid-body docking and present the results for
1) the Antibody Modeling Assessment II targets, 2) the 2009 RosettaAntibody
benchmark set, and 3) the high-quality set.
PMID- 27872212
TI - A Proinflammatory Role of Type 2 Innate Lymphoid Cells in Murine Immune-Mediated
Hepatitis.
AB - Type 2 innate lymphoid cells (ILC2) mediate inflammatory immune responses in the
context of diseases triggered by the alarmin IL-33. In recent years, IL-33 has
been implicated in the pathogenesis of immune-mediated liver diseases. However,
the immunoregulatory function of ILC2s in the inflamed liver remains elusive.
Using the murine model of Con A-induced immune-mediated hepatitis, we showed that
selective expansion of ILC2s in the liver was associated with highly elevated
hepatic IL-33 expression, severe liver inflammation, and infiltration of
eosinophils. CD4+ T cell-mediated tissue damage and subsequent IL-33 release were
responsible for the activation of hepatic ILC2s that produced the type 2
cytokines IL-5 and IL-13 during liver inflammation. Interestingly, ILC2 depletion
correlated with less severe hepatitis and reduced accumulation of eosinophils in
the liver, whereas adoptive transfer of hepatic ILC2s aggravated liver
inflammation and tissue damage. We further showed that, despite expansion of
hepatic ILC2s, 3-d IL-33 treatment before Con A challenge potently suppressed
development of immune-mediated hepatitis. We found that IL-33 not only activated
hepatic ILC2s but also expanded CD4+ Foxp3+ regulatory T cells (Treg) expressing
the IL-33 receptor ST2 in the liver. This Treg subset also accumulated in the
liver during resolution of immune-mediated hepatitis. In summary, hepatic ILC2s
are poised to respond to the release of IL-33 upon liver tissue damage through
expression of type 2 cytokines thereby participating in the pathogenesis of
immune-mediated hepatitis. Inflammatory activity of ILC2s might be regulated by
IL-33-elicited ST2+ Tregs that also arise in immune-mediated hepatitis.
PMID- 27872214
TI - Effects of prolonged anoxia on electrical activity of the heart in crucian carp
(Carassius carassius).
AB - The effects of sustained anoxia on cardiac electrical excitability were examined
in the anoxia-tolerant crucian carp (Carassius carassius). The electrocardiogram
(ECG) and expression of excitation-contraction coupling genes were studied in
fish acclimatised to normoxia in summer (+18 degrees C) or winter (+2 degrees C),
and in winter fish after 1, 3 and 6 weeks of anoxia. Anoxia induced a sustained
bradycardia from a heart rate of 10.3+/-0.77 beats min-1 to 4.1+/-0.29 beats min
1 (P<0.05) after 5 weeks, and heart rate slowly recovered to control levels when
oxygen was restored. Heart rate variability greatly increased under anoxia, and
completely recovered under re-oxygenation. The RT interval increased from 2.8+/
0.34 s in normoxia to 5.8+/-0.44 s under anoxia (P<0.05), which reflects a
doubling of the ventricular action potential (AP) duration. Acclimatisation to
winter induced extensive changes in gene expression relative to summer
acclimatised fish, including depression in those genes coding for the
sarcoplasmic reticulum calcium pump (Serca2a_q2) and ATP-sensitive K+ channels
(Kir6.2) (P<0.05). Genes of delayed rectifier K+ (kcnh6) and Ca2+ channels
(cacna1c) were up-regulated in winter fish (P<0.05). In contrast, the additional
challenge of anoxia caused only minor changes in gene expression, e.g. depressed
expression of Kir2.2b K+ channel gene (kcnj12b), whereas expression of Ca2+
(cacna1a, cacna1c and cacna1g) and Na+ channel genes (scn4a and scn5a) was not
affected. These data suggest that low temperature pre-conditions the crucian carp
heart for winter anoxia, whereas sustained anoxic bradycardia and prolongation of
AP duration are directly induced by oxygen shortage without major changes in gene
expression.
PMID- 27872215
TI - Intramolecular interactions that control voltage sensitivity in the jShak1
potassium channel from Polyorchis penicillatus.
AB - Voltage-gated potassium ion (Kv) channel proteins respond to changes in membrane
potential by changing the probability of K+ flux through an ion-selective pore.
Kv channels from different paralogous and orthologous families have widely
varying V50 values. The voltage-sensing transmembrane helices (S4) of different
channels contain four to seven basic residues that are responsible for
transducing changes in transmembrane potential into the energy required to shift
the equilibrium between the open- and closed-channel conformations. These
residues also form electrostatic interaction networks with acidic residues in the
S2 and S3 helices that stabilize the open and the closed states to different
extents. The length and composition of the extracellular loop connecting the S3
and S4 helices (S3-S4 loop) also shape the voltage response. We describe
mutagenesis experiments on the jellyfish (Polyorchis penicillatus) Kv1 family
jShak1 channel to evaluate how variants of the S3-S4 loop affect the voltage
sensitivity of this channel. In combination with changes in the length and
composition of the S3-S4 linker, we mutated a residue on the S2 helix (N227) that
in most Kv1 family channels is glutamate (E226 in mouse Kv1.2, E283 in D.
melanogaster Shaker). Some individual loop replacement mutants cause major
changes in voltage sensitivity, depending on a combination of length and
composition. Pairwise combinations of the loop mutations and the S2 mutations
interact to yield quantitatively distinct, non-additive changes in voltage
sensitivity. We conclude that the S3-S4 loop interacts energetically with the
residue at position N227 during the transitions between open and closed states of
the channel.
PMID- 27872216
TI - The effect of temperature adaptation on the ubiquitin-proteasome pathway in
notothenioid fishes.
AB - There is an accumulating body of evidence suggesting that the sub-zero Antarctic
marine environment places physiological constraints on protein homeostasis.
Levels of ubiquitin (Ub)-conjugated proteins, 20S proteasome activity and mRNA
expression of many proteins involved in both the Ub tagging of damaged proteins
as well as the different complexes of the 26S proteasome were measured to examine
whether there is thermal compensation of the Ub-proteasome pathway in Antarctic
fishes to better understand the efficiency of the protein degradation machinery
in polar species. Both Antarctic (Trematomus bernacchii, Pagothenia
borchgrevinki) and non-Antarctic (Notothenia angustata, Bovichtus variegatus)
notothenioids were included in this study to investigate the mechanisms of cold
adaptation of this pathway in polar species. Overall, there were significant
differences in the levels of Ub-conjugated proteins between the Antarctic
notothenioids and B. variegatus, with N. angustata possessing levels very similar
to those of the Antarctic fishes. Proteasome activity in the gills of Antarctic
fishes demonstrated a high degree of temperature compensation such that activity
levels were similar to activities measured in their temperate relatives at
ecologically relevant temperatures. A similar level of thermal compensation of
proteasome activity was not present in the liver of two Antarctic fishes. Higher
gill proteasome activity is likely due in part to higher cellular levels of
proteins involved in the Ub-proteasome pathway, as evidenced by high mRNA
expression of relevant genes. Reduced activity of the Ub-proteasome pathway does
not appear to be the mechanism responsible for elevated levels of denatured
proteins in Antarctic fishes, at least in the gills.
PMID- 27872217
TI - An Analysis of Human Dorsal Hand Skin Texture Using Hyperspectral Imaging
Technique for Assessing the Skin Aging Process.
AB - Skin texture has become an important issue in recent research with applications
in the cosmetic industry and medicine. In this paper, we analyzed the dependence
of skin texture features on wavelength as well as on different parameters (age
and gender) of human participants using grey-level co-occurrence matrix and
hyperspectral imaging technique for a more accurate quantitative assessment of
the aging process. A total of 42 healthy participants (men and women; age range,
20-70 years) was enrolled in this study. A region of interest was selected from
the hyperspectral images. The results were analyzed in terms of texture using the
gray-level co-occurrence matrix which generated four features (homogeneity,
contrast, entropy, and correlation). The results showed that most of these
features displayed variations with wavelength (the exception was entropy), with
higher variations in women. Only correlation in both sexes and contrast in men
proved to vary statistically significant with age, making them the targeted
variables in future attempts to characterize aging skin using the complex method
of hyperspectral imaging. In conclusion, by using hyperspectral imaging some
measure of the degree of damage or the aging process of the hand skin can be
obtained, mainly in terms of correlation values. At the present time, reasonable
explanations that can link the process of skin aging and the above mentioned
features could not be found, but deeper investigations are on the way.
PMID- 27872213
TI - Autocrine Type I IFN Signaling in Dendritic Cells Stimulated with Fungal beta
Glucans or Lipopolysaccharide Promotes CD8 T Cell Activation.
AB - Type I IFNs are key mediators of immune defense against viruses and bacteria.
Type I IFNs were also previously implicated in protection against fungal
infection, but their roles in antifungal immunity have not been thoroughly
investigated. A recent study demonstrated that bacterial and fungal beta-glucans
stimulate IFN-beta production by dendritic cells (DCs) following detection by the
Dectin-1 receptor, but the effects of beta-glucan-induced type I IFNs have not
been defined. We investigated whether type I IFNs regulate CD8 T cell activation
by fungal beta-glucan particle-stimulated DCs. We demonstrate that beta-glucan
stimulated DCs induce CD8 T cell proliferation, activation marker (CD44 and CD69)
expression, and production of IFN-gamma, IL-2, and granzyme B. Moreover, we show
that type I IFNs support robust CD8 T cell activation (proliferation and IFN
gamma and granzyme B production) by beta-glucan-stimulated DCs in vitro and in
vivo due to autocrine effects on the DCs. Specifically, type I IFNs promote Ag
presentation on MHC I molecules, CD86 and CD40 expression, and the production of
IL-12 p70, IL-2, IL-6, and TNF-alpha by beta-glucan-stimulated DCs. We also
demonstrate a role for autocrine type I IFN signaling in bacterial LPS-induced DC
maturation, although, in the context of LPS stimulation, this mechanism is not so
critical for CD8 T cell activation (promotes IFN-gamma production but not
proliferation or granzyme B production). This study provides insight into the
mechanisms underlying CD8 T cell activation during infection, which may be useful
in the rational design of vaccines directed against pathogens and tumors.
PMID- 27872218
TI - Wavelength Scanning with a Tilting Interference Filter for Glow-Discharge
Elemental Imaging.
AB - Glow discharges have long been used for depth profiling and bulk analysis of
solid samples. In addition, over the past decade, several methods of obtaining
lateral surface elemental distributions have been introduced, each with its own
strengths and weaknesses. Challenges for each of these techniques are acceptable
optical throughput and added instrumental complexity. Here, these problems are
addressed with a tilting-filter instrument. A pulsed glow discharge is coupled to
an optical system comprising an adjustable-angle tilting filter, collimating and
imaging lenses, and a gated, intensified charge-coupled device (CCD) camera,
which together provide surface elemental mapping of solid samples. The tilting
filter spectrometer is instrumentally simpler, produces less image distortion,
and achieves higher optical throughput than a monochromator-based instrument, but
has a much more limited tunable spectral range and poorer spectral resolution. As
a result, the tilting-filter spectrometer is limited to single-element or two
element determinations, and only when the target spectral lines fall within an
appropriate spectral range and can be spectrally discerned. Spectral
interferences that result from heterogeneous impurities can be flagged and
overcome by observing the spatially resolved signal response across the available
tunable spectral range. The instrument has been characterized and evaluated for
the spatially resolved analysis of glow-discharge emission from selected but
representative samples.
PMID- 27872219
TI - Shortwave Infrared Imaging Spectroscopy for Analysis of Ancient Paintings.
AB - Spectral analysis is one of the main non-destructive techniques used to examine
cultural relics. Hyperspectral imaging technology, especially on the shortwave
infrared (SWIR) band, can clearly extract information from paintings, such as
color, pigment composition, damage characteristics, and painting techniques. All
of these characteristics have significant scientific and practical value in the
study of ancient paintings and other relics and in their protection and
restoration. In this study, an ancient painting, numbered Gu-6541, which had been
found in the Forbidden City, served as a sample. A ground-based SWIR imaging
spectrometer was used to produce hyperspectral images with high spatial and
spectral resolution. Results indicated that SWIR imaging spectral data greatly
facilitates the extraction of line features used in drafting, even using a single
band image. It can be used to identify and classify mineral pigments used in
paintings. These images can detect alterations and traces of daub used in
painting corrections and, combined with hyperspectral data analysis methods such
as band combination or principal component analysis, such information can be
extracted to highlight outcomes of interest. In brief, the SWIR imaging spectral
technique was found to have a highly favorable effect on the extraction of line
features from drawings and on the identification of colors, classification of
paintings, and extraction of hidden information.
PMID- 27872223
TI - Atypical meningioma mimicking high-grade glioma.
PMID- 27872224
TI - Two different phenomena in basic motor speech performance in premanifest
Huntington disease.
PMID- 27872222
TI - Three-dimensional modeling of Eagle syndrome.
PMID- 27872225
TI - The head trauma amnesia cure: The making of a medical myth.
PMID- 27872226
TI - Pediatric multiple sclerosis: The 2015 Sydney Carter Award Lecture.
PMID- 27872227
TI - On Your Mother's Passing; Psychosis, Self-Portrait With.
PMID- 27872228
TI - Child Neurology: Diencephalic syndrome-like presentation of a cervicomedullary
brainstem tumor.
AB - Diencephalic syndrome is a rare clinical entity, traditionally encompassing
severe failure to thrive, nystagmus, and hyperkinesis, secondary to an
intracranial neoplasm that is classically located in the hypothalamic region and
its vicinity. However, the presenting features can be variable, often resulting
in delayed diagnosis, which may worsen prognosis. This case report describes the
atypical presentation of a posterior fossa tumor with features reminiscent of
diencephalic syndrome that have not previously been reported in the literature.
We report a 21-month-old girl with a cervicomedullary brainstem astrocytoma, who
presented with isolated gross motor developmental delay, decreased growth
velocity, and stridor. The neurologic signs frequently reported in patients with
diencephalic syndrome were absent; however, severe failure to thrive was present.
This case broadens the etiologic differential diagnosis of diencephalic syndrome
in addition to the traditional hypothalamic region tumor location. This case
urges physicians to consider central neurologic processes in the differential
diagnosis of children with refractory failure to thrive with or without classical
features of diencephalic syndrome, in whom etiology is not identified by routine
investigations, given its importance in determining prognosis and management.
PMID- 27872229
TI - Clinical Reasoning: A 64-year-old man with visual distortions.
PMID- 27872230
TI - Journal Club: Depression before and after diagnosis with amyotrophic lateral
sclerosis.
PMID- 27872231
TI - Teaching NeuroImages: Giant neurocysticercosis with unusual imaging
manifestations.
PMID- 27872232
TI - Therapeutic Potential of Progranulin in Hyperhomocysteinemia-Induced Cardiorenal
Dysfunction.
AB - Hyperhomocysteinemia (hHcys) is an important independent risk factor for the
development of cardiovascular disease and end-stage renal disease. Although
multiple approaches lowering the levels of homocysteine have been used in
experimental studies and clinical trials, there is no effective therapy available
to fully prevent homocysteine-induced injury. Therefore, identifying key
molecules in the pathogenic pathways may provide clues to develop new therapeutic
strategies for the treatment of hHcys-associated injury beyond lowering the
plasma homocysteine levels. In this study, we found that the levels of
progranulin (PGRN), an autocrine growth factor, were significantly reduced in the
kidney and heart from a mouse model of hHcys. We further observed that in hHcys,
PGRN-deficient mice significantly exacerbated cardiorenal injury as evidenced by
higher levels of urinary albumin excretion, more severe renal morphological
injuries, including pronounced glomerular basement membrane thickening and
podocyte foot process effacement, and adverse myocardial remodeling versus wild
type mice. Mechanistically, we found that PGRN-medicated Wnt/beta-catenin
signaling was one of the critical signal transduction pathways that links
homocysteine to cardiorenal injury. Importantly, we finally provided direct
evidence for the therapeutic potential of PGRN in mice with hHcys by pretreatment
with recombinant human PGRN. Collectively, our results suggest that PGRN may be
an innovative therapeutic strategy for treating patients with hHcys.
PMID- 27872234
TI - Downregulation of Endothelial Transient Receptor Potential Vanilloid Type 4
Channel and Small-Conductance of Ca2+-Activated K+ Channels Underpins Impaired
Endothelium-Dependent Hyperpolarization in Hypertension.
AB - Endothelium-dependent hyperpolarization (EDH)-mediated responses are impaired in
hypertension, but the underlying mechanisms have not yet been determined. The
activation of small- and intermediate-conductance of Ca2+-activated K+ channels
(SKCa and IKCa) underpins EDH-mediated responses. It was recently reported that
Ca2+ influx through endothelial transient receptor potential vanilloid type 4
channel (TRPV4) is a prerequisite for the activation of SKCa/IKCa in endothelial
cells in specific beds. Here, we attempted to determine whether the impairment of
EDH in hypertension is attributable to the dysfunction of TRPV4 and S/IKCa, using
isolated superior mesenteric arteries of 20-week-old stroke-prone spontaneously
hypertensive rats (SHRSP) and age-matched Wistar-Kyoto (WKY) rats. In the WKY
arteries, EDH-mediated responses were reduced by a combination of SKCa/IKCa
blockers (apamin plus TRAM-34; 1-[(2-chlorophenyl)diphenylmethl]-1H-pyrazole) and
by the blockade of TRPV4 with the selective antagonist RN-1734 or HC-067047. In
the SHRSP arteries, EDH-mediated hyperpolarization and relaxation were
significantly impaired when compared with WKY. GSK1016790A, a selective TRPV4
activator, evoked robust hyperpolarization and relaxation in WKY arteries. In
contrast, in SHRSP arteries, the GSK1016790A-evoked hyperpolarization was small
and relaxation was absent. Hyperpolarization and relaxation to cyclohexyl-[2-(3,5
dimethyl-pyrazol-1-yl)-6-methyl-pyrimidin-4-yl]-amine, a selective SKCa
activator, were marginally decreased in SHRSP arteries compared with WKY
arteries. The expression of endothelial TRPV4 and SKCa protein was significantly
decreased in the SHRSP mesenteric arteries compared with those of WKY, whereas
function and expression of IKCa were preserved in SHRSP arteries. These findings
suggest that EDH-mediated responses are impaired in superior mesenteric arteries
of SHRSP because of a reduction in both TRPV4 and SKCa input to EDH.
PMID- 27872233
TI - Effects of Percutaneous Transluminal Renal Angioplasty on Office and Home Blood
Pressure and Home Blood Pressure Variability in Hypertensive Patients With Renal
Artery Stenosis.
AB - This study included 126 hypertensive patients with renal artery stenosis (mean
age, 63 years; 22.2% fibromuscular dysplasia [FMD]) and investigated the effects
of percutaneous transluminal renal angioplasty on office and home blood pressure
(BP), and BP variability estimates derived from home BP, both at baseline and up
to 12 months after angioplasty. Home BP was measured for 7 consecutive days, and
the threshold defining uncontrolled home BP was >=135/85 mm Hg. In both the FMD
and atherosclerotic stenosis (ARAS) groups, office and home BP decreased
significantly after angioplasty (all P<0.01), but the decrease in morning home (
22+/-19 versus -10+/-20 mm Hg; P<0.01) but not in office (-32+/-24 versus -23+/
28 mm Hg; P=0.11) systolic BP at 12 months was significantly greater in FMD. In
both groups, all morning BP variability indices except the coefficient of
variation in ARAS decreased significantly after revascularization (all P<0.05 by
repeated-measures ANOVA). The decrease in all morning systolic BP variability
estimates was greater for FMD than for ARAS (all P<0.05 by 2-way repeated
measures ANOVA), with the exception of variability independent of the mean
(P=0.11). The prevalence of uncontrolled home BP was 77.0% at baseline and 38.9%
after revascularization. Duration of hypertension (odds ratio, 1.48), ARAS (odds
ratio, 3.18), and the presence of proteinuria (odds ratio, 2.10) were independent
predictors of uncontrolled home BP after revascularization (all P<0.05). In
conclusion, renal angioplasty produced a greater decrease of morning home
systolic BP in FMD; however, in both groups, it decreased BP variability
irrespective of BP response. Measurement of home BP seems to be important for
treatment success, especially in ARAS.
PMID- 27872235
TI - Specific Aldosterone Synthase Inhibition: A Potential Improvement Over
Mineralocorticoid Receptor Antagonism?
PMID- 27872236
TI - Preclinical and Early Clinical Profile of a Highly Selective and Potent Oral
Inhibitor of Aldosterone Synthase (CYP11B2).
AB - : Primary hyperaldosteronism is a common cause of resistant hypertension.
Aldosterone is produced in the adrenal by aldosterone synthase (AS, encoded by
the gene CYP11B2). AS shares 93% homology to 11beta-hydroxylase (encoded by the
gene CYP11B1), responsible for cortisol production. This homology has hitherto
impeded the development of a drug, which selectively suppresses aldosterone but
not cortisol production, as a new treatment for primary hyperaldosteronism. We
now report the development of RO6836191 as a potent (Ki 13 nmol/L) competitive
inhibitor of AS, with in vitro selectivity >100-fold over 11beta-hydroxylase. In
cynomolgus monkeys challenged with synthetic adrenocorticotropic hormone, single
doses of RO6836191 inhibited aldosterone synthesis without affecting the
adrenocorticotropic hormone-induced rise in cortisol. In repeat-dose toxicity
studies in monkeys, RO6836191 reproduced the adrenal changes of the AS-/- mouse:
expansion of the zona glomerulosa; increased expression of AS (or disrupted green
fluorescent protein gene in the AS-/- mouse); hypertrophy, proliferation, and
apoptosis of zona glomerulosa cells. These changes in the monkey were partially
reversible and partially preventable by electrolyte supplementation and treatment
with an angiotensin-converting enzyme inhibitor. In healthy subjects, single
doses of RO6836191, across a 360-fold dose range, reduced plasma and urine
aldosterone levels with maximum suppression at a dose of 10 mg, but unchanged
cortisol, on adrenocorticotropic hormone challenge, up to 360 mg, and increase in
the precursors 11-deoxycorticosterone and 11-deoxycortisol only at or >90 mg. In
conclusion, RO6836191 demonstrates that it is possible to suppress aldosterone
production completely in humans without affecting cortisol production. CLINICAL
TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier:
NCT01995383.
PMID- 27872238
TI - Phagosomal Neutralization by the Fungal Pathogen Candida albicans Induces
Macrophage Pyroptosis.
AB - The interaction of Candida albicans with the innate immune system is the key
determinant of the pathogen/commensal balance and has selected for adaptations
that facilitate the utilization of nutrients commonly found within the host,
including proteins and amino acids; many of the catabolic pathways needed to
assimilate these compounds are required for persistence in the host. We have
shown that C. albicans co-opts amino acid catabolism to generate and excrete
ammonia, which raises the extracellular pH, both in vitro and in vivo and induces
hyphal morphogenesis. Mutants defective in the uptake or utilization of amino
acids, such as those lacking STP2, a transcription factor that regulates the
expression of amino acid permeases, are impaired in multiple aspects of fungus
macrophage interactions resulting from an inability to neutralize the phagosome.
Here we identified a novel role in amino acid utilization for Ahr1p, a
transcription factor previously implicated in regulation of adherence and hyphal
morphogenesis. Mutants lacking AHR1 were defective in growth, alkalinization, and
ammonia release on amino acid-rich media, similar to stp2Delta and ahr1Delta
stp2Delta cells, and occupied more acidic phagosomes. Notably, ahr1Delta and
stp2Delta strains did not induce pyroptosis, as measured by caspase-1-dependent
interleukin-1beta release, though this phenotype could be suppressed by
pharmacological neutralization of the phagosome. Altogether, we show that C.
albicans-driven neutralization of the phagosome promotes hyphal morphogenesis,
sufficient for induction of caspase-1-mediated macrophage lysis.
PMID- 27872237
TI - Flagellin-Mediated Protection against Intestinal Yersinia pseudotuberculosis
Infection Does Not Require Interleukin-22.
AB - Signaling through Toll-like receptors (TLRs), the main receptors in innate
immunity, is essential for the defense of mucosal surfaces. It was previously
shown that systemic TLR5 stimulation by bacterial flagellin induces an immediate,
transient interleukin-22 (IL-22)-dependent antimicrobial response to bacterial or
viral infections of the mucosa. This process was dependent on the activation of
type 3 innate lymphoid cells (ILCs). The objective of the present study was to
analyze the effects of flagellin treatment in a murine model of oral infection
with Yersinia pseudotuberculosis (an invasive, Gram-negative, enteropathogenic
bacterium that targets the small intestine). We found that systemic
administration of flagellin significantly increased the survival rate after
intestinal infection (but not systemic infection) by Y. pseudotuberculosis This
protection was associated with a low bacterial count in the gut and the spleen.
In contrast, no protection was afforded by administration of the TLR4 agonist
lipopolysaccharide, suggesting the presence of a flagellin-specific effect.
Lastly, we found that TLR5- and MyD88-mediated signaling was required for the
protective effects of flagellin, whereas neither lymphoid cells nor IL-22 was
involved.
PMID- 27872239
TI - Experimental Infection of Pig-Tailed Macaques (Macaca nemestrina) with Mycoplasma
genitalium.
AB - Mycoplasma genitalium is an underappreciated cause of human reproductive tract
disease, characterized by persistent, often asymptomatic, infection. Building on
our previous experiments using a single female pig-tailed macaque as a model for
M. genitalium infection (G. E. Wood, S. L. Iverson-Cabral, D. L. Patton, P. K.
Cummings, Y. T. Cosgrove Sweeney, and P. A. Totten, Infect Immun 81:2938-2951,
2013, https://doi.org/10.1128/IAI.01322-12), we cervically inoculated eight
additional animals, two of which were simultaneously inoculated in salpingeal
tissue autotransplanted into abdominal pockets. Viable M. genitalium persisted in
the lower genital tract for 8 weeks in three animals, 4 weeks in two, and 1 week
in one; two primates resisted infection. In both animals inoculated in salpingeal
pockets, viable M. genitalium was recovered for 2 weeks. Recovery of viable M.
genitalium from lower genital tract specimens was improved by diluting the
specimen in broth and by Vero cell coculture. Ascension to upper reproductive
tract tissues was not detected, even among three persistently infected animals.
M. genitalium-specific serum antibodies targeting the immunodominant MgpB and
MgpC proteins appeared within 1 week in three animals inoculated both cervically
and in salpingeal pockets and in one of three persistently infected animals
inoculated only in the cervix. M. genitalium-specific IgG, but not IgA, was
detected in cervical secretions of serum antibody-positive animals, predominantly
against MgpB and MgpC, but was insufficient to clear M. genitalium lower tract
infection. Our findings further support female pig-tailed macaques as a model of
M. genitalium infection, persistence, and immune evasion.
PMID- 27872240
TI - The Staphylococcus aureus AirSR Two-Component System Mediates Reactive Oxygen
Species Resistance via Transcriptional Regulation of Staphyloxanthin Production.
AB - Staphylococcus aureus is an important opportunistic pathogen and is the
etiological agent of many hospital- and community-acquired infections. The golden
pigment, staphyloxanthin, of S. aureus colonies distinguishes it from other
staphylococci and related Gram-positive cocci. Staphyloxanthin is the product of
a series of biosynthetic steps that produce a unique membrane-embedded C30 golden
carotenoid and is an important antioxidant. We observed that a strain with an
inducible airR overexpression cassette had noticeably increased staphyloxanthin
production compared to the wild-type strain under aerobic culturing conditions.
Further analysis revealed that depletion or overproduction of the AirR response
regulator resulted in a corresponding decrease or increase in staphyloxanthin
production and susceptibility to killing by hydrogen peroxide, respectively.
Furthermore, the genetic elimination of staphyloxanthin during AirR
overproduction abolished the protective phenotype of increased staphyloxanthin
production in a whole-blood survival assay. Promoter reporter and gel shift
assays determined that the AirR response regulator is a direct positive regulator
of the staphyloxanthin-biosynthetic operon, crtOPQMN, but is epistatic to
alternative sigma factor B. Taken together, these data indicate that AirSR
positively regulates the staphyloxanthin-biosynthetic operon crtOPQMN, promoting
survival of S. aureus in the presence of oxidants.
PMID- 27872241
TI - The Type III Effector NleD from Enteropathogenic Escherichia coli Differentiates
between Host Substrates p38 and JNK.
AB - Enteropathogenic Escherichia coli (EPEC) is a gastrointestinal pathogen that
utilizes a type III secretion system (T3SS) to inject an array of virulence
effector proteins into host enterocytes to subvert numerous cellular processes
for successful colonization and dissemination. The T3SS effector NleD is a 26-kDa
zinc metalloprotease that is translocated into host enterocytes, where it
directly cleaves and inactivates the mitogen-activated protein kinase signaling
proteins JNK and p38. Here a library of 91 random transposon-based, in-frame,
linker insertion mutants of NleD were tested for their ability to cleave JNK and
p38 during transient transfection of cultured epithelial cells. Immunoblot
analysis of p38 and JNK cleavage showed that 7 mutant derivatives of NleD no
longer cleaved p38 but maintained the ability to cleave JNK. Site-directed
mutation of specific regions surrounding the insertion sites within NleD revealed
that a single amino acid, R203, was essential for cleavage of p38 but not JNK in
a direct in vitro cleavage assay, in transiently transfected cells, or in EPEC
infected cells. Mass spectrometry analysis narrowed the cleavage region to within
residues 187 and 213 of p38. Mutation of residue R203 within NleD to a glutamate
residue abolished the cleavage of p38 and impaired the ability of NleD to inhibit
AP-1-dependent gene transcription of a luciferase reporter. Furthermore, the R203
mutation abrogated the ability of NleD to dampen interleukin-6 production in EPEC
infected cells. Overall, this work provides greater insight into substrate
recognition and specificity by the type III effector NleD.
PMID- 27872242
TI - Systematic Analysis of Two-Component Systems in Citrobacter rodentium Reveals
Positive and Negative Roles in Virulence.
AB - Citrobacter rodentium is a murine pathogen used to model intestinal infections
caused by the human diarrheal pathogens enterohemorrhagic and enteropathogenic
Escherichia coli During infection, bacteria use two-component systems (TCSs) to
detect changing environmental cues within the host, allowing for rapid adaptation
by altering the expression of specific genes. In this study, 26 TCSs were
identified in C. rodentium, and quantitative PCR (qPCR) analysis showed that they
are all expressed during murine infection. These TCSs were individually deleted,
and the in vitro and in vivo effects were analyzed to determine the functional
consequences. In vitro analyses only revealed minor differences, and
surprisingly, type III secretion (T3S) was only affected in the DeltaarcA strain.
Murine infections identified 7 mutants with either attenuated or increased
virulence. In agreement with the in vitro T3S assay, the DeltaarcA strain was
attenuated and defective in colonization and cell adherence. The DeltarcsB strain
was among the most highly attenuated strains. The decrease in virulence of this
strain may be associated with changes to the cell surface, as Congo red binding
was altered, and qPCR revealed that expression of the wcaA gene, which has been
implicated in colanic acid production in other bacteria, was drastically
downregulated. The DeltauvrY strain exhibited increased virulence compared to the
wild type, which was associated with a significant increase in bacterial burden
within the mesenteric lymph nodes. The systematic analysis of virulence
associated TCSs and investigation of their functions during infection may open
new avenues for drug development.
PMID- 27872243
TI - Changing Responses to Changing Seasons: Natural Variation in the Plasticity of
Flowering Time.
PMID- 27872244
TI - Network-Guided GWAS Improves Identification of Genes Affecting Free Amino Acids.
AB - Amino acids are essential for proper growth and development in plants. Amino
acids serve as building blocks for proteins but also are important for responses
to stress and the biosynthesis of numerous essential compounds. In seed, the pool
of free amino acids (FAAs) also contributes to alternative energy, desiccation,
and seed vigor; thus, manipulating FAA levels can significantly impact a seed's
nutritional qualities. While genome-wide association studies (GWAS) on branched
chain amino acids have identified some regulatory genes controlling seed FAAs,
the genetic regulation of FAA levels, composition, and homeostasis in seeds
remains mostly unresolved. Hence, we performed GWAS on 18 FAAs from a 313-ecotype
Arabidopsis (Arabidopsis thaliana) association panel. Specifically, GWAS was
performed on 98 traits derived from known amino acid metabolic pathways (approach
1) and then on 92 traits generated from an unbiased correlation-based metabolic
network analysis (approach 2), and the results were compared. The latter approach
facilitated the discovery of additional novel metabolic interactions and single
nucleotide polymorphism-trait associations not identified by the former approach.
The most prominent network-guided GWAS signal was for a histidine (His)-related
trait in a region containing two genes: a cationic amino acid transporter (CAT4)
and a polynucleotide phosphorylase resistant to inhibition with fosmidomycin. A
reverse genetics approach confirmed CAT4 to be responsible for the natural
variation of His-related traits across the association panel. Given that His is a
semiessential amino acid and a potent metal chelator, CAT4 orthologs could be
considered as candidate genes for seed quality biofortification in crop plants.
PMID- 27872245
TI - The Transcription Factor ATHB5 Affects GA-Mediated Plasticity in Hypocotyl Cell
Growth during Seed Germination.
AB - Gibberellic acid (GA)-mediated cell expansion initiates the seed-to-seedling
transition in plants and is repressed by DELLA proteins. Using digital single
cell analysis, we identified a cellular subdomain within the midhypocotyl, whose
expansion drives the final step of this developmental transition under optimal
conditions. Using network inference, the transcription factor ATHB5 was
identified as a genetic factor whose localized expression promotes GA-mediated
expansion specifically within these cells. Both this protein and its putative
growth-promoting target EXPANSIN3 are repressed by DELLA, and coregulated at
single-cell resolution during seed germination. The cellular domains of hormone
sensitivity were explored within the Arabidopsis (Arabidopsis thaliana) embryo by
putting seeds under GA-limiting conditions and quantifying cellular growth
responses. The middle and upper hypocotyl have a greater requirement for GA to
promote cell expansion than the lower embryo axis. Under these conditions,
germination was still completed following enhanced growth within the radicle and
lower axis. Under GA-limiting conditions, the athb5 mutant did not show a
phenotype at the level of seed germination, but it did at a cellular level with
reduced cell expansion in the hypocotyl relative to the wild type. These data
reveal that the spatiotemporal cell expansion events driving this transition are
not determinate, and the conditional use of GA-ATHB5-mediated hypocotyl growth
under optimal conditions may be used to optionally support rapid seedling growth.
This study demonstrates that multiple genetic and spatiotemporal cell expansion
mechanisms underlie the seed to seedling transition in Arabidopsis.
PMID- 27872248
TI - Rac and Rho compete to cooperate.
PMID- 27872249
TI - Job Dekker: Hitting the scientific hi-Cs.
PMID- 27872246
TI - SHADE AVOIDANCE 4 Is Required for Proper Auxin Distribution in the Hypocotyl.
AB - The phytohormone auxin is involved in virtually every aspect of plant growth and
development. Through polar auxin transport, auxin gradients can be established,
which then direct plant differentiation and growth. Shade avoidance responses are
well-known processes that require polar auxin transport. In this study, we have
identified a mutant, shade avoidance 4 (sav4), defective in shade-induced
hypocotyl elongation and basipetal auxin transport. SAV4 encodes an unknown
protein with armadillo repeat- and tetratricopeptide repeat-like domains known to
provide protein-protein interaction surfaces. C terminally yellow fluorescent
protein-tagged SAV4 localizes to both the plasma membrane and the nucleus.
Membrane-localized SAV4 displays a polar association with the shootward plasma
membrane domain in hypocotyl and root cells, which appears to be necessary for
its function in hypocotyl elongation. Cotransfection of SAV4 and ATP-binding
cassette B1 (ABCB1) auxin transporter in tobacco (Nicotiana benthamiana) revealed
that SAV4 blocks ABCB1-mediated auxin efflux. We thus propose that polarly
localized SAV4 acts to inhibit ABCB-mediated auxin efflux toward shoots and
facilitates the establishment of proper auxin gradients.
PMID- 27872250
TI - Axon degeneration: Linking axonal bioenergetics to myelin.
AB - The mechanisms by which axonal degeneration occurs, even in the presence of
apparently normal myelin sheaths, remain unknown. In this issue, Yin et al.
(2016. J. Cell Biol. https://doi.org/10.1083/jcb.201607099) study mutant mice in
which proteolipid protein is replaced by the peripheral myelin protein P0 and
describe a number of early axonal abnormalities, which together suggest that
aberrant mitochondrial energy metabolism precedes axonal degeneration.
PMID- 27872247
TI - Two Membrane-Anchored Aspartic Proteases Contribute to Pollen and Ovule
Development.
AB - Aspartic proteases are a class of proteolytic enzymes with conserved aspartate
residues, which are implicated in protein processing, maturation, and
degradation. Compared with yeast and animals, plants possess a larger aspartic
protease family. However, little is known about most of these enzymes. Here, we
characterized two Arabidopsis (Arabidopsis thaliana) putative
glycosylphosphatidylinositol (GPI)-anchored aspartic protease genes, A36 and A39,
which are highly expressed in pollen and pollen tubes. a36 and a36 a39 mutants
display significantly reduced pollen activity. Transmission electron microscopy
and terminal-deoxynucleotidyl transferase-mediated nick end labeling assays
further revealed that the unviable pollen in a36 a39 may undergo unanticipated
apoptosis-like programmed cell death. The degeneration of female gametes also
occurred in a36 a39 Aniline Blue staining, scanning electron microscopy, and semi
in vitro guidance assays indicated that the micropylar guidance of pollen tubes
is significantly compromised in a36 a39 A36 and A39 that were fused with green
fluorescent protein are localized to the plasma membrane and display punctate
cytosolic localization and colocalize with the GPI-anchored protein COBRA-LIKE10.
Furthermore, in a36 a39, the abundance of highly methylesterified
homogalacturonans and xyloglucans was increased significantly in the apical
pollen tube wall. These results indicate that A36 and A39, two putative GPI
anchored aspartic proteases, play important roles in plant reproduction in
Arabidopsis.
PMID- 27872251
TI - Regulation of EGFR surface levels by COPII-dependent trafficking.
AB - Cell surface levels of epidermal growth factor receptors (EGFRs) are thought to
be controlled mainly by endocytic trafficking, with biosynthetic EGFR trafficking
presumed to be a constitutive and unregulated process. However, Scharaw et al.
(2016. J. Cell Biol http://dx.doi.org/10.1083/jcb.201601090) demonstrate a role
for inducible COPII trafficking in controlling EGFR surface levels.
PMID- 27872253
TI - GTPase cross talk regulates TRAPPII activation of Rab11 homologues during vesicle
biogenesis.
AB - Rab guanosine triphosphatases (GTPases) control cellular trafficking pathways by
regulating vesicle formation, transport, and tethering. Rab11 and its paralogs
regulate multiple secretory and endocytic recycling pathways, yet the guanine
nucleotide exchange factor (GEF) that activates Rab11 in most eukaryotic cells is
unresolved. The large multisubunit transport protein particle (TRAPP) II complex
has been proposed to act as a GEF for Rab11 based on genetic evidence, but
conflicting biochemical experiments have created uncertainty regarding Rab11
activation. Using physiological Rab-GEF reconstitution reactions, we now provide
definitive evidence that TRAPPII is a bona fide GEF for the yeast Rab11
homologues Ypt31/32. We also uncover a direct role for Arf1, a distinct GTPase,
in recruiting TRAPPII to anionic membranes. Given the known role of Ypt31/32 in
stimulating activation of Arf1, a bidirectional cross talk mechanism appears to
drive biogenesis of secretory and endocytic recycling vesicles. By coordinating
simultaneous activation of two essential GTPase pathways, this mechanism ensures
recruitment of the complete set of effectors needed for vesicle formation,
transport, and tethering.
PMID- 27872252
TI - Integrin-mediated mechanotransduction.
AB - Cells can detect and react to the biophysical properties of the extracellular
environment through integrin-based adhesion sites and adapt to the extracellular
milieu in a process called mechanotransduction. At these adhesion sites,
integrins connect the extracellular matrix (ECM) with the F-actin cytoskeleton
and transduce mechanical forces generated by the actin retrograde flow and myosin
II to the ECM through mechanosensitive focal adhesion proteins that are
collectively termed the "molecular clutch." The transmission of forces across
integrin-based adhesions establishes a mechanical reciprocity between the
viscoelasticity of the ECM and the cellular tension. During mechanotransduction,
force allosterically alters the functions of mechanosensitive proteins within
adhesions to elicit biochemical signals that regulate both rapid responses in
cellular mechanics and long-term changes in gene expression. Integrin-mediated
mechanotransduction plays important roles in development and tissue homeostasis,
and its dysregulation is often associated with diseases.
PMID- 27872254
TI - A family of membrane-shaping proteins at ER subdomains regulates pre-peroxisomal
vesicle biogenesis.
AB - Saccharomyces cerevisiae contains three conserved reticulon and reticulon-like
proteins that help maintain ER structure by stabilizing high membrane curvature
in ER tubules and the edges of ER sheets. A mutant lacking all three proteins has
dramatically altered ER morphology. We found that ER shape is restored in this
mutant when Pex30p or its homologue Pex31p is overexpressed. Pex30p can tubulate
membranes both in cells and when reconstituted into proteoliposomes, indicating
that Pex30p is a novel ER-shaping protein. In contrast to the reticulons, Pex30p
is low abundance, and we found that it localizes to subdomains in the ER. We show
that these ER subdomains are the sites where most preperoxisomal vesicles (PPVs)
are generated. In addition, overproduction or deletion of Pex30p or Pex31p alters
the size, shape, and number of PPVs. Our findings suggest that Pex30p and Pex31p
help shape and generate regions of the ER where PPV biogenesis occurs.
PMID- 27872255
TI - Proteolipid protein-deficient myelin promotes axonal mitochondrial dysfunction
via altered metabolic coupling.
AB - Hereditary spastic paraplegia (HSP) is a neurological syndrome characterized by
degeneration of central nervous system (CNS) axons. Mutated HSP proteins include
myelin proteolipid protein (PLP) and axon-enriched proteins involved in
mitochondrial function, smooth endoplasmic reticulum (SER) structure, and
microtubule (MT) stability/function. We characterized axonal mitochondria, SER,
and MTs in rodent optic nerves where PLP is replaced by the peripheral nerve
myelin protein, P0 (P0-CNS mice). Mitochondrial pathology and degeneration were
prominent in juxtaparanodal axoplasm at 1 mo of age. In wild-type (WT) optic
nerve axons, 25% of mitochondria-SER associations occurred on extensions of the
mitochondrial outer membrane. Mitochondria-SER associations were reduced by 86%
in 1-mo-old P0-CNS juxtaparanodal axoplasm. 1-mo-old P0-CNS optic nerves were
more sensitive to oxygen-glucose deprivation and contained less adenosine
triphosphate (ATP) than WT nerves. MT pathology and paranodal axonal ovoids were
prominent at 6 mo. These data support juxtaparanodal mitochondrial degeneration,
reduced mitochondria-SER associations, and reduced ATP production as causes of
axonal ovoid formation and axonal degeneration.
PMID- 27872256
TI - The endosomal transcriptional regulator RNF11 integrates degradation and
transport of EGFR.
AB - Stimulation of cells with epidermal growth factor (EGF) induces internalization
and partial degradation of the EGF receptor (EGFR) by the endo-lysosomal pathway.
For continuous cell functioning, EGFR plasma membrane levels are maintained by
transporting newly synthesized EGFRs to the cell surface. The regulation of this
process is largely unknown. In this study, we find that EGF stimulation
specifically increases the transport efficiency of newly synthesized EGFRs from
the endoplasmic reticulum to the plasma membrane. This coincides with an up
regulation of the inner coat protein complex II (COPII) components SEC23B,
SEC24B, and SEC24D, which we show to be specifically required for EGFR transport.
Up-regulation of these COPII components requires the transcriptional regulator
RNF11, which localizes to early endosomes and appears additionally in the cell
nucleus upon continuous EGF stimulation. Collectively, our work identifies a new
regulatory mechanism that integrates the degradation and transport of EGFR in
order to maintain its physiological levels at the plasma membrane.
PMID- 27872258
TI - Bipolar At-Risk Criteria: An Examination of Which Clinical Features Have Optimal
Utility for Identifying Youth at Risk of Early Transition From Depression to
Bipolar Disorders.
AB - Background: A clinical and research challenge is to identify which depressed
youth are at risk of "early transition to bipolar disorders (ET-BD)." This 2-part
study (1) examines the clinical utility of previously reported BD at-risk (BAR)
criteria in differentiating ET-BD cases from unipolar depression (UP) controls;
and (2) estimates the Number Needed to Screen (NNS) for research and general
psychiatry settings. Methods: Fifty cases with reliably ascertained, ET-BD I and
II cases were matched for gender and birth year with 50 UP controls who did not
develop BD over 2 years. We estimated the clinical utility for finding true cases
and screening out non-cases for selected risk factors and their NNS. Using a
convenience sample (N = 80), we estimated the NNS when adjustments were made to
account for data missing from clinical case notes. Results: Sub-threshold mania,
cyclothymia, family history of BD, atypical depression symptoms and probable
antidepressant-emergent elation, occurred significantly more frequently in ET-BD
youth. Each of these "BAR-Depression" criteria demonstrated clinical utility for
screening out non-cases. Only cyclothymia demonstrated good utility for case
finding in research settings; sub-threshold mania showed moderate utility. In the
convenience sample, the NNS for each criterion ranged from ~4 to 7. Conclusions:
Cyclothymia showed the optimum profile for case finding, screening and NNS in
research settings. However, its presence or absence was only reported in 50% of
case notes. Future studies of ET-BD instruments should distinguish which criteria
have clinical utility for case finding vs screening.
PMID- 27872257
TI - Heritability of Neuropsychological Measures in Schizophrenia and Nonpsychiatric
Populations: A Systematic Review and Meta-analysis.
AB - Schizophrenia is characterized by neuropsychological deficits across many
cognitive domains. Cognitive phenotypes with high heritability and genetic
overlap with schizophrenia liability can help elucidate the mechanisms leading
from genes to psychopathology. We performed a meta-analysis of 170 published twin
and family heritability studies of >800 000 nonpsychiatric and schizophrenia
subjects to accurately estimate heritability across many neuropsychological tests
and cognitive domains. The proportion of total variance of each phenotype due to
additive genetic effects (A), shared environment (C), and unshared environment
and error (E), was calculated by averaging A, C, and E estimates across studies
and weighting by sample size. Heritability ranged across phenotypes, likely due
to differences in genetic and environmental effects, with the highest
heritability for General Cognitive Ability (32%-67%), Verbal Ability (43%-72%),
Visuospatial Ability (20%-80%), and Attention/Processing Speed (28%-74%), while
the lowest heritability was observed for Executive Function (20%-40%). These
results confirm that many cognitive phenotypes are under strong genetic
influences. Heritability estimates were comparable in nonpsychiatric and
schizophrenia samples, suggesting that environmental factors and illness-related
moderators (eg, medication) do not substantially decrease heritability in
schizophrenia samples, and that genetic studies in schizophrenia samples are
informative for elucidating the genetic basis of cognitive deficits. Substantial
genetic overlap between cognitive phenotypes and schizophrenia liability (average
rg = -.58) in twin studies supports partially shared genetic etiology. It will be
important to conduct comparative studies in well-powered samples to determine
whether the same or different genes and genetic variants influence cognition in
schizophrenia patients and the general population.
PMID- 27872261
TI - Comorbid Diabetes and Depression in a National Sample of Adults With Psychosis.
AB - Objective: People with psychosis have an elevated risk of depression and diabetes
but no large-scale study has characterized their relationship. We aimed to assess
this association and to evaluate possible explanatory factors. Methods: Analysis
of cross-sectional data from a national sample of 1155 people with psychosis who
gave a fasting blood sample and could be tested for diabetes mellitus. The
association between current diabetes mellitus and current depression was
estimated using logistic regression, adjusted for age, sex and current
psychotropic medication. Results: A diagnosis of depression was significantly
associated with diabetes (OR = 2.16, P = .048) and diabetes medication (OR =
2.50, P = .050) in people with schizophrenia but no other psychosis subtype.
Adjustment for cognitive processing speed and current residence (especially
psychiatric hospitalization) attenuated that association to nonsignificance.
Diabetes and diabetes medication were not significantly associated with
antidepressant or mood stabilizer medication. Conclusions: Clinicians should be
aware that people with schizophrenia and diabetes have twice the rate of current
depression, and that comorbid diabetes and depression is associated with
cognitive impairment and hospitalization. Efforts to disentangle the causal
pathways between diabetes, depression, and cognition in people with schizophrenia
may be complicated by multiple indications in people with psychosis for the
prescription of depression medication, and their lack of association with
diabetes mellitus.
PMID- 27872263
TI - Isolation and Intellect-A Letter and Selected Works by Walter K. Delbridge.
PMID- 27872262
TI - From Perception to Thought: A Phenomenological Approach to Hallucinatory
Experience.
PMID- 27872259
TI - Hallucinations: A Systematic Review of Points of Similarity and Difference Across
Diagnostic Classes.
AB - Hallucinations constitute one of the 5 symptom domains of psychotic disorders in
DSM-5, suggesting diagnostic significance for that group of disorders. Although
specific featural properties of hallucinations (negative voices, talking in the
third person, and location in external space) are no longer highlighted in DSM,
there is likely a residual assumption that hallucinations in schizophrenia can be
identified based on these candidate features. We investigated whether certain
featural properties of hallucinations are specifically indicative of
schizophrenia by conducting a systematic review of studies showing direct
comparisons of the featural and clinical characteristics of (auditory and visual)
hallucinations among 2 or more population groups (one of which included
schizophrenia). A total of 43 articles were reviewed, which included
hallucinations in 4 major groups (nonclinical groups, drug- and alcohol-related
conditions, medical and neurological conditions, and psychiatric disorders). The
results showed that no single hallucination feature or characteristic uniquely
indicated a diagnosis of schizophrenia, with the sole exception of an age of
onset in late adolescence. Among the 21 features of hallucinations in
schizophrenia considered here, 95% were shared with other psychiatric disorders,
85% with medical/neurological conditions, 66% with drugs and alcohol conditions,
and 52% with the nonclinical groups. Additional differences rendered the
nonclinical groups somewhat distinctive from clinical disorders. Overall, when
considering hallucinations, it is inadvisable to give weight to the presence of
any featural properties alone in making a schizophrenia diagnosis. It is more
important to focus instead on the co-occurrence of other symptoms and the value
of hallucinations as an indicator of vulnerability.
PMID- 27872265
TI - Disclosing My Diagnosis.
PMID- 27872260
TI - Familial Aggregation and Heritability of Schizophrenia and Co-aggregation of
Psychiatric Illnesses in Affected Families.
AB - Strong familial aggregation of schizophrenia has been reported but there is
uncertainty concerning the degree of genetic contribution to the phenotypic
variance of the disease. This study aimed to examine the familial aggregation and
heritability of schizophrenia, and the relative risks (RRs) of other psychiatric
diseases, in relatives of people with schizophrenia using the Taiwan National
Health Insurance Database. The study population included individuals with
affected first-degree or second-degree relatives identified from all
beneficiaries (n = 23 422 955) registered in 2013. Diagnoses of schizophrenia
made by psychiatrists were ascertained between January 1, 1996 and December 31,
2013. Having an affected co-twin, first-degree relative, second-degree relative,
or spouse was associated with an adjusted RR (95% CI) of 37.86 (30.55-46.92),
6.30 (6.09-6.53), 2.44 (1.91-3.12), and 1.88 (1.64-2.15), respectively. Compared
with the general population, individuals with one affected first-degree relative
had a RR (95% CI) of 6.00 (5.79-6.22) and those with 2 or more had a RR (95% CI)
of 14.66 (13.00-16.53) for schizophrenia. The accountability for the phenotypic
variance of schizophrenia was 47.3% for genetic factors, 15.5% for shared
environmental factors, and 37.2% for non-shared environmental factors. The RR
(95% CI) in individuals with a first-degree relative with schizophrenia was 3.49
(3.34-3.64) for mood disorders and 3.91 (3.35-4.57) for delusional disorders. A
family history of schizophrenia is therefore associated with a higher risk of
developing schizophrenia, mood disorders, and delusional disorders. Heritability
and environmental factors each account for half of the phenotypic variance of
schizophrenia.
PMID- 27872264
TI - Current Approaches to Studying Hallucinations: Overcoming Barriers to Progress.
PMID- 27872266
TI - Diversity Within the Psychotic Continuum.
AB - There has been great interest in the hallucination-like events experienced by the
general nonclinical population. Many psychiatric scientists have come to identify
these as part of a "psychotic continuum" and have begun to ask what we might
learn from these experiences that will enable us to better understand and treat
psychosis. While sympathetic to this goal, this paper argues that many of these
events in the nonclinical population may be associated with the attention to
inner imagery characteristic of much religious practice like unscripted prayer.
Many of these hallucination-like events are phenomenologically distinct,
culturally salient, and are predicted both by a measure of absorption, which
probes for an interest in inner imagery, and by inner sense cultivation practice.
These observations suggest that rare, brief, and positive sensory events may not
be associated with psychotic vulnerability. They also suggest there may be an
absorption-dissociation pathway, with or without trauma, for more frequent
hallucinations.
PMID- 27872267
TI - Endophenotypes, Epigenetics, Polygenicity and More: Irv Gottesman's Dynamic
Legacy.
AB - First, we describe the hallmark contributions of Irv Gottesman's pioneering
scholarship for schizophrenia research including concepts of polygenicity, gene *
environment interactions, epigenetics and the endophenotype concept. Gottesman
and colleagues' twin studies showed that genes, not social factors, mediate
schizophrenia risk. He then showed that schizophrenia is highly polygenic. Next,
he introduced the concept of epigenetics into schizophrenia research. Gottesman
then introduced the quantitative endophenotype concept. Endophenotypes are
laboratory-based measures that show deficits in schizophrenia patients and lesser
deficits in their first degree "unaffected" relatives and are viewed as being
more proximal to genes and having a simpler genetic architecture than are "fuzzy"
qualitative diagnostic disorders. Endophenotypes offer an exciting path to gene
discovery, neural circuits, genetic architecture and new treatment pathways of
schizophrenia and related psychotic disorders. Second, we were asked to discuss 2
of many endophenotype Consortia and related studies, in order to illustrate the
impact of Gottesman's work. We describe the Consortium on the Genetics of
Schizophrenia (COGS) exploring neurocognitive and neurophysiological
endophenotypes in family and case-control studies. Association, linkage,
sequencing and epigenetic studies are described. The Bipolar and Schizophrenia
Network for Intermediate Phenotypes (BSNIP) uses an array of endophenotypes
including brain imaging in studies across the psychosis dimension, allowing for
dimensional analyses. BSNIP results have led to the concept of biotypes,
advancing the field. Irv Gottesman was imaginatively prescient in generating
novel insights and predicting many major issues which challenge schizophrenia
researchers who still use his concepts to guide current research approaches.
PMID- 27872268
TI - Consistent Functional Connectivity Alterations in Schizophrenia Spectrum
Disorder: A Multisite Study.
AB - Schizophrenia (SZ) is a severe mental illness with high heritability and complex
etiology. Mounting evidence from neuroimaging has implicated disrupted brain
network connectivity in the pathophysiology. However, previous findings are
inconsistent, likely due to a combination of methodological and clinical
variability and relatively small sample sizes. Few studies have used a data
driven approach for characterizing pathological interactions between regions in
the whole brain and evaluated the generalizability across independent samples. To
overcome this issue, we collected resting-state functional magnetic resonance
imaging data from 3 independent samples (1 from Norway and 2 from Sweden)
consisting of 182 persons with a SZ spectrum diagnosis and 348 healthy controls.
We used a whole-brain data-driven definition of network nodes and regularized
partial correlations to evaluate and compare putatively direct brain network node
interactions between groups. The clinical utility of the functional connectivity
features and the generalizability of effects across samples were evaluated by
training and testing multivariate classifiers in the independent samples using
machine learning. Univariate analyses revealed 14 network edges with consistent
reductions in functional connectivity encompassing frontal, somatomotor, visual,
auditory, and subcortical brain nodes in patients with SZ. We found a high
overall accuracy in classifying patients and controls (up to 80%) using
independent training and test samples, strongly supporting the generalizability
of connectivity alterations across different scanners and heterogeneous samples.
Overall, our findings demonstrate robust reductions in functional connectivity in
SZ spectrum disorders, indicating disrupted information flow in sensory,
subcortical, and frontal brain regions.
PMID- 27872271
TI - Effects of a change in entry-to-practice criteria for cardiovascular perfusion in
Canada: results of a national survey.
AB - INTRODUCTION: Years of experience and level of education are two important
determinants of a clinician's expertise. While entry-to-practice criteria for
admission to perfusion training in Canada changed from clinical experience-based
criteria to education-based criteria in 2006, the effects of these changes have
not been studied. OBJECTIVE: To determine the academic and clinical backgrounds
of perfusionists in Canada, ascertain perceptions about the adequacy of training
and evaluate the effects of the changes on the composition of the perfusion
community of Canada. METHODS: An electronic questionnaire was distributed to all
practicing perfusionists in Canada, addressing details regarding clinical
experience, academic education and perceptions about the adequacy of training.
RESULTS: Two hundred and twenty-eight questionnaires were completed, representing
a 72% response rate. Perfusionists admitted under academic-based criteria have
significantly higher levels of education (100% degree holders vs 69.1%, p<0.001),
but less antecedent clinical training and experience (median, IQR: 0, 0 - 4.5
years vs 2, 2 - 8 years, p<0.0001), are younger (median age range 31-35 years vs
51-55 years, p<0.0001), more likely to be female (58.7% vs 41.3%, p=0.006) and
are significantly more likely to enter perfusion because of attraction to the
type of work (p=0.045). Many perfusionists (70, 32%) in Canada believe themselves
inadequately trained for their clinical assignments outside the OR. In addition,
19% of perfusionists plan to retire over the next 10 years. CONCLUSIONS: The
introduction of education-based entry criteria has changed the academic and
clinical experience levels of perfusionists in Canada. Strategies designed to
better prepare perfusionists for their clinical assignments outside the OR are
merited.
PMID- 27872269
TI - Behavioral Biomarkers of Schizophrenia in High Drinker Rats: A Potential
Endophenotype of Compulsive Neuropsychiatric Disorders.
AB - Psychogenic polydipsia, which is compulsive, non-regulatory fluid consumption, is
present in 6%-20% of chronic psychiatric patients and frequently associated with
the schizophrenia diagnosis. In the present study, we investigated the relation
between schizophrenia-like symptoms and biomarkers with a compulsive drinking
behavior phenotype in rats. Rats that were selected for low drinking vs high
drinking behavior following schedule-induced polydipsia (SIP) were assessed in a
latent inhibition (LI) paradigm using tone and electrical foot shock and in a
spatial reversal learning task to evaluate behavioral inflexibility. We also
analyzed the myelin basic protein in different brain areas of high drinker (HD)
and low drinker (LD) rats. The HD rats, which were characterized by a compulsive
drinking behavior on SIP, had a reduced level of LI effect and increased
behavioral inflexibility in the spatial reversal learning task in comparison to
the LD group. Moreover, HD rats showed less myelination in the center of the
corpus callosum, striatum, and amygdala in comparison to LD rats. These findings
strengthen the validity of HD rats that were selected by SIP as a possible
phenotype of compulsive neuropsychiatric disorders, as evidenced by the existence
of behaviors and biological markers that are related to schizophrenia and
obsessive-compulsive disorder, including a reduced LI effect, behavioral
inflexibility and reduced brain myelination. Future studies could contribute to
the elucidation of the mechanisms underlying the compulsive phenotype of HD rats
and its relation to vulnerability to schizophrenia.
PMID- 27872272
TI - Oxygenator failure in acute myeloid leukaemia. A case report.
AB - Extracorporeal membrane oxygenation (ECMO) therapy can be used to rescue patients
who develop respiratory failure with acute myeloid leukaemia. We describe a
unique case of rapid failure of an oxygenator on ECMO, secondary to high
leukocyte count and cell lysis in an adult patient with acute myeloid leukaemia.
PMID- 27872273
TI - "What's Cooking?": Qualitative Evaluation of a Head Start Parent-Child Pilot
Cooking Program.
AB - Providing access to nutritious foods is crucial for low-income populations, but
increasing nutrition-related skills and attitudes such as food preparation,
feeding practices, and positive perceptions around healthy foods to establish
sustainable behavior change are paramount for the development of healthy
lifestyles. This qualitative study was designed to evaluate the What's Cooking
pilot program. A total of 15 participants were recruited from two Head Start
schools through flyers, text messages, and e-mails. Two focus group sessions were
audiotaped to obtain information related to the program implementation and
perceived outcomes and behavior change. Recordings of the sessions were
transcribed and analyzed using constant comparative analysis. Resulting themes
included children's asking behavior for healthy foods, family connection, parent
comfort in cooking, and development of child attitude, knowledge, and skills. The
qualitative analysis provided foundational information for the development of a
framework for other nutrition program providers to understand the role of the
child in a cooking class as a mediator for lifestyle change. The What's Cooking
program increased the implementation of sustainable food practices and healthy
nutrition behaviors through educating families on how to involve their children
in positive mealtime practices.
PMID- 27872270
TI - HIV Glycoprotein Gp120 Impairs Fast Axonal Transport by Activating Tak1 Signaling
Pathways.
AB - Sensory neuropathies are the most common neurological complication of HIV. Of
these, distal sensory polyneuropathy (DSP) is directly caused by HIV infection
and characterized by length-dependent axonal degeneration of dorsal root ganglion
(DRG) neurons. Mechanisms for axonal degeneration in DSP remain unclear, but
recent experiments revealed that the HIV glycoprotein gp120 is internalized and
localized within axons of DRG neurons. Based on these findings, we investigated
whether intra-axonal gp120 might impair fast axonal transport (FAT), a cellular
process critical for appropriate maintenance of the axonal compartment.
Significantly, we found that gp120 severely impaired both anterograde and
retrograde FAT. Providing a mechanistic basis for these effects, pharmacological
experiments revealed an involvement of various phosphotransferases in this toxic
effect, including members of mitogen-activated protein kinase pathways (Tak-1,
p38, and c-Jun N-terminal Kinase (JNK)), inhibitor of kappa-B-kinase 2 (IKK2),
and PP1. Biochemical experiments and axonal outgrowth assays in cell lines and
primary cultures extended these findings. Impairments in neurite outgrowth in DRG
neurons by gp120 were rescued using a Tak-1 inhibitor, implicating a Tak-1
mitogen-activated protein kinase pathway in gp120 neurotoxicity. Taken together,
these observations indicate that kinase-based impairments in FAT represent a
novel mechanism underlying gp120 neurotoxicity consistent with the dying-back
degeneration seen in DSP. Targeting gp120-based impairments in FAT with specific
kinase inhibitors might provide a novel therapeutic strategy to prevent axonal
degeneration in DSP.
PMID- 27872274
TI - Voices Through Cameras.
AB - Engaging and empowering youth to promote health could be important in promoting
sustainable positive behaviors. Photovoice is a community-based participatory
research tool giving priority populations opportunities for active community
engagement and advocacy through photography. Our project objective was to better
understand youth perceptions related to food justice within their own community
and identify solutions to promote positive change, using photovoice. Twelve
minority youth from a low-income New York City neighborhood participated. Six
photovoice sessions were conducted within a 24-week after-school food justice
program, which included three photo assignments aimed at answering, "What
influences me to eat healthy and unhealthy in my community and home environment?"
Photos guided interviews and discussions. Inductive and deductive processes were
used to identify codes; similar codes were grouped into themes. Five major themes
emerged from the data: (1) attitudes toward food industry and food safety, (2)
environmental influences of food choices, (3) social influences of food choices,
(4) diet impact on health and well-being, and (5) solutions to improve the food
environment. Participants shared their photos with community members at a
celebration/photo exhibit. Photovoice could be a useful tool for youth to reflect
on their food environment and engage in promoting positive change within their
community.
PMID- 27872275
TI - Serving Those That Serve.
AB - Since 1986, health promotion has had a place within the U.S. Department of
Defense. Emphasizing the leading health indicators of Healthy People, the role of
health promotion has continued to support the U.S. Armed Forces in perhaps one of
the most challenging decades of wartime operations. Serving a sizable population
with both typical and mission-related health issues, health promotion plays a
critical role in maintaining and improving health. The purpose of this article is
to highlight military health promotion by offering insight into the day-to-day
life of a "boots on the ground" military health educator, reviewing the
challenges and opportunities of working with a unique population. A summary of a
variety of military specific initiatives is provided. Additionally, the article
highlights the barriers and benefits to military health promotion. Last, the
article concludes with a call to action to consider the role of all health
educators in serving those that serve.
PMID- 27872276
TI - Profile of Peter A. Jones.
PMID- 27872277
TI - An oligotrophic deep-subsurface community dependent on syntrophy is dominated by
sulfur-driven autotrophic denitrifiers.
AB - Subsurface lithoautotrophic microbial ecosystems (SLiMEs) under oligotrophic
conditions are typically supported by H2 Methanogens and sulfate reducers, and
the respective energy processes, are thought to be the dominant players and have
been the research foci. Recent investigations showed that, in some deep, fluid
filled fractures in the Witwatersrand Basin, South Africa, methanogens contribute
<5% of the total DNA and appear to produce sufficient CH4 to support the rest of
the diverse community. This paradoxical situation reflects our lack of knowledge
about the in situ metabolic diversity and the overall ecological trophic
structure of SLiMEs. Here, we show the active metabolic processes and
interactions in one of these communities by combining metatranscriptomic
assemblies, metaproteomic and stable isotopic data, and thermodynamic modeling.
Dominating the active community are four autotrophic beta-proteobacterial genera
that are capable of oxidizing sulfur by denitrification, a process that was
previously unnoticed in the deep subsurface. They co-occur with sulfate reducers,
anaerobic methane oxidizers, and methanogens, which each comprise <5% of the
total community. Syntrophic interactions between these microbial groups remove
thermodynamic bottlenecks and enable diverse metabolic reactions to occur under
the oligotrophic conditions that dominate in the subsurface. The dominance of
sulfur oxidizers is explained by the availability of electron donors and
acceptors to these microorganisms and the ability of sulfur-oxidizing
denitrifiers to gain energy through concomitant S and H2 oxidation. We
demonstrate that SLiMEs support taxonomically and metabolically diverse
microorganisms, which, through developing syntrophic partnerships, overcome
thermodynamic barriers imposed by the environmental conditions in the deep
subsurface.
PMID- 27872278
TI - Reconstitution of a Mycobacterium tuberculosis proteostasis network highlights
essential cofactor interactions with chaperone DnaK.
AB - During host infection, Mycobacterium tuberculosis (Mtb) encounters several types
of stress that impair protein integrity, including reactive oxygen and nitrogen
species and chemotherapy. The resulting protein aggregates can be resolved or
degraded by molecular machinery conserved from bacteria to eukaryotes. Eukaryotic
Hsp104/Hsp70 and their bacterial homologs ClpB/DnaK are ATP-powered chaperones
that restore toxic protein aggregates to a native folded state. DnaK is essential
in Mycobacterium smegmatis, and ClpB is involved in asymmetrically distributing
damaged proteins during cell division as a mechanism of survival in Mtb,
commending both proteins as potential drug targets. However, their molecular
partners in protein reactivation have not been characterized in mycobacteria.
Here, we reconstituted the activities of the Mtb ClpB/DnaK bichaperone system
with the cofactors DnaJ1, DnaJ2, and GrpE and the small heat shock protein Hsp20.
We found that DnaJ1 and DnaJ2 activate the ATPase activity of DnaK differently. A
point mutation in the highly conserved HPD motif of the DnaJ proteins abrogates
their ability to activate DnaK, although the DnaJ2 mutant still binds to DnaK.
The purified Mtb ClpB/DnaK system reactivated a heat-denatured model substrate,
but the DnaJ HPD mutants inhibited the reaction. Finally, either DnaJ1 or DnaJ2
is required for mycobacterial viability, as is the DnaK-activating activity of a
DnaJ protein. These studies lay the groundwork for strategies to target essential
chaperone-protein interactions in Mtb, the leading cause of death from a
bacterial infection.
PMID- 27872280
TI - Graphene oxide-based efficient and scalable solar desalination under one sun with
a confined 2D water path.
AB - Because it is able to produce desalinated water directly using solar energy with
minimum carbon footprint, solar steam generation and desalination is considered
one of the most important technologies to address the increasingly pressing
global water scarcity. Despite tremendous progress in the past few years,
efficient solar steam generation and desalination can only be achieved for rather
limited water quantity with the assistance of concentrators and thermal
insulation, not feasible for large-scale applications. The fundamental paradox is
that the conventional design of direct absorber-bulk water contact ensures
efficient energy transfer and water supply but also has intrinsic thermal loss
through bulk water. Here, enabled by a confined 2D water path, we report an
efficient (80% under one-sun illumination) and effective (four orders salinity
decrement) solar desalination device. More strikingly, because of minimized heat
loss, high efficiency of solar desalination is independent of the water quantity
and can be maintained without thermal insulation of the container. A foldable
graphene oxide film, fabricated by a scalable process, serves as efficient solar
absorbers (>94%), vapor channels, and thermal insulators. With unique structure
designs fabricated by scalable processes and high and stable efficiency achieved
under normal solar illumination independent of water quantity without any
supporting systems, our device represents a concrete step for solar desalination
to emerge as a complementary portable and personalized clean water solution.
PMID- 27872279
TI - Role of the receptor Mas in macrophage-mediated inflammation in vivo.
AB - Recently, an alternative renin-angiotensin system pathway has been described,
which involves binding of angiotensin-(1-7) to its receptor Mas. The Mas axis may
counterbalance angiotensin-II-mediated proinflammatory effects, likely by
affecting macrophage function. Here we investigate the role of Mas in murine
models of autoimmune neuroinflammation and atherosclerosis, which both involve
macrophage-driven pathomechanisms. Mas signaling affected macrophage
polarization, migration, and macrophage-mediated T-cell activation. Mas
deficiency exacerbated the course of experimental autoimmune encephalomyelitis
and increased macrophage infiltration as well as proinflammatory gene expression
in the spleen and spinal cord. Furthermore, Mas deficiency promoted
atherosclerosis by affecting macrophage infiltration and migration and led to
increased oxidative stress as well as impaired endothelial function in ApoE
deficient mice. In summary, we identified the Mas axis as an important factor in
macrophage function during inflammation of the central nervous and vascular
system in vivo. Modulating the Mas axis may constitute an interesting therapeutic
target in multiple sclerosis and/or atherosclerosis.
PMID- 27872281
TI - Interactions of divalent cations with calcium binding sites of BK channels reveal
independent motions within the gating ring.
AB - Large-conductance voltage- and calcium-activated K+ (BK) channels are key
physiological players in muscle, nerve, and endocrine function by integrating
intracellular Ca2+ and membrane voltage signals. The open probability of BK
channels is regulated by the intracellular concentration of divalent cations
sensed by a large structure in the BK channel called the "gating ring," which is
formed by four tandems of regulator of conductance for K+ (RCK1 and RCK2)
domains. In contrast to Ca2+ that binds to both RCK domains, Mg2+, Cd2+, or Ba2+
interact preferentially with either one or the other. Interaction of cations with
their binding sites causes molecular rearrangements of the gating ring, but how
these motions occur remains elusive. We have assessed the separate contributions
of each RCK domain to the cation-induced gating-ring structural rearrangements,
using patch-clamp fluorometry. Here we show that Mg2+ and Ba2+ selectively induce
structural movement of the RCK2 domain, whereas Cd2+ causes motions of RCK1, in
all cases substantially smaller than those elicited by Ca2+ By combining divalent
species interacting with unique sites, we demonstrate that RCK1 and RCK2 domains
move independently when their specific binding sites are occupied. Moreover,
binding of chemically distinct cations to both RCK domains is additive, emulating
the effect of fully occupied Ca2+ binding sites.
PMID- 27872283
TI - Correction for Adel et al., Evolutionary alteration of ALOX15 specificity
optimizes the biosynthesis of antiinflammatory and proresolving lipoxins.
PMID- 27872282
TI - Correction to Supporting Information for Adel et al., Evolutionary alteration of
ALOX15 specificity optimizes the biosynthesis of antiinflammatory and
proresolving lipoxins.
PMID- 27872284
TI - Disparities in influenza mortality and transmission related to sociodemographic
factors within Chicago in the pandemic of 1918.
AB - Social factors have been shown to create differential burden of influenza across
different geographic areas. We explored the relationship between potential
aggregate-level social determinants and mortality during the 1918 influenza
pandemic in Chicago using a historical dataset of 7,971 influenza and pneumonia
deaths. Census tract-level social factors, including rates of illiteracy,
homeownership, population, and unemployment, were assessed as predictors of
pandemic mortality in Chicago. Poisson models fit with generalized estimating
equations (GEEs) were used to estimate the association between social factors and
the risk of influenza and pneumonia mortality. The Poisson model showed that
influenza and pneumonia mortality increased, on average, by 32.2% for every 10%
increase in illiteracy rate adjusted for population density, homeownership,
unemployment, and age. We also found a significant association between
transmissibility and population density, illiteracy, and unemployment but not
homeownership. Lastly, analysis of the point locations of reported influenza and
pneumonia deaths revealed fine-scale spatiotemporal clustering. This study shows
that living in census tracts with higher illiteracy rates increased the risk of
influenza and pneumonia mortality during the 1918 influenza pandemic in Chicago.
Our observation that disparities in structural determinants of neighborhood-level
health lead to disparities in influenza incidence in this pandemic suggests that
disparities and their determinants should remain targets of research and control
in future pandemics.
PMID- 27872286
TI - Linguistic positivity in historical texts reflects dynamic environmental and
psychological factors.
AB - People use more positive words than negative words. Referred to as "linguistic
positivity bias" (LPB), this effect has been found across cultures and languages,
prompting the conclusion that it is a panhuman tendency. However, although
multiple competing explanations of LPB have been proposed, there is still no
consensus on what mechanism(s) generate LPB or even on whether it is driven
primarily by universal cognitive features or by environmental factors. In this
work we propose that LPB has remained unresolved because previous research has
neglected an essential dimension of language: time. In four studies conducted
with two independent, time-stamped text corpora (Google books Ngrams and the New
York Times), we found that LPB in American English has decreased during the last
two centuries. We also observed dynamic fluctuations in LPB that were predicted
by changes in objective environment, i.e., war and economic hardships, and by
changes in national subjective happiness. In addition to providing evidence that
LPB is a dynamic phenomenon, these results suggest that cognitive mechanisms
alone cannot account for the observed dynamic fluctuations in LPB. At the least,
LPB likely arises from multiple interacting mechanisms involving subjective,
objective, and societal factors. In addition to having theoretical significance,
our results demonstrate the value of newly available data sources in addressing
long-standing scientific questions.
PMID- 27872285
TI - Armed conflict and population displacement as drivers of the evolution and
dispersal of Mycobacterium tuberculosis.
AB - The "Beijing" Mycobacterium tuberculosis (Mtb) lineage 2 (L2) is spreading
globally and has been associated with accelerated disease progression and
increased antibiotic resistance. Here we performed a phylodynamic reconstruction
of one of the L2 sublineages, the central Asian clade (CAC), which has recently
spread to western Europe. We find that recent historical events have contributed
to the evolution and dispersal of the CAC. Our timing estimates indicate that the
clade was likely introduced to Afghanistan during the 1979-1989 Soviet-Afghan war
and spread further after population displacement in the wake of the American
invasion in 2001. We also find that drug resistance mutations accumulated on a
massive scale in Mtb isolates from former Soviet republics after the fall of the
Soviet Union, a pattern that was not observed in CAC isolates from Afghanistan.
Our results underscore the detrimental effects of political instability and
population displacement on tuberculosis control and demonstrate the power of
phylodynamic methods in exploring bacterial evolution in space and time.
PMID- 27872287
TI - Direct bulk-sensitive probe of 5f symmetry in URu2Si2.
AB - The second-order phase transition into a hidden order phase in URu2Si2 goes along
with an order parameter that is still a mystery, despite 30 years of research.
However, it is understood that the symmetry of the order parameter must be
related to the symmetry of the low-lying local electronic [Formula: see text]
states. Here, we present results of a spectroscopic technique, namely core-level
nonresonant inelastic X-ray scattering (NIXS). This method allows for the
measurement of local high-multipole excitations and is bulk-sensitive. The
observed anisotropy of the scattering function unambiguously shows that the
5[Formula: see text] ground-state wave function is composed mainly of the
[Formula: see text] with majority [Formula: see text] = [Formula: see text] +
[Formula: see text] and/or [Formula: see text] singlet states. The incomplete
dichroism indicates the possibility that quantum states of other irreducible
representation are mixed into the ground state.
PMID- 27872288
TI - MIF allele-dependent regulation of the MIF coreceptor CD44 and role in rheumatoid
arthritis.
AB - Fibroblast-like synoviocytes mediate joint destruction in rheumatoid arthritis
and exhibit sustained proinflammatory and invasive properties. CD44 is a
polymorphic transmembrane protein with defined roles in matrix interaction and
tumor invasion that is also a signaling coreceptor for macrophage migration
inhibitory factor (MIF), which engages cell surface CD74. High-expression MIF
alleles (rs5844572) are associated with rheumatoid joint erosion, but whether MIF
signaling through the CD74/CD44 receptor complex promotes upstream autoimmune
responses or contributes directly to synovial joint destruction is unknown. We
report here the functional regulation of CD44 by an autocrine pathway in synovial
fibroblasts that is driven by high-expression MIF alleles to up-regulate an
inflammatory and invasive phenotype. MIF increases CD44 expression, promotes its
recruitment into a functional signal transduction complex, and stimulates
alternative exon splicing, leading to expression of the CD44v3-v6 isoforms
associated with oncogenic invasion. CD44 recruitment into the MIF receptor
complex, downstream MAPK and RhoA signaling, and invasive phenotype require MIF
and CD74 and are reduced by MIF pathway antagonists. These data support a
functional role for high-MIF expression alleles and the two-component CD74/CD44
MIF receptor in rheumatoid arthritis and suggest that pharmacologic inhibition of
this pathway may offer a specific means to interfere with progressive joint
destruction.
PMID- 27872290
TI - The innate immune receptor Dectin-2 mediates the phagocytosis of cancer cells by
Kupffer cells for the suppression of liver metastasis.
AB - Tumor metastasis is the cause of most cancer deaths. Although metastases can form
in multiple end organs, the liver is recognized as a highly permissive organ.
Nevertheless, there is evidence for immune cell-mediated mechanisms that function
to suppress liver metastasis by certain tumors, although the underlying
mechanisms for the suppression of metastasis remain elusive. Here, we show that
Dectin-2, a C-type lectin receptor (CLR) family of innate receptors, is critical
for the suppression of liver metastasis of cancer cells. We provide evidence that
Dectin-2 functions in resident macrophages in the liver, known as Kupffer cells,
to mediate the uptake and clearance of cancer cells. Interestingly, Kupffer cells
are selectively endowed with Dectin-2-dependent phagocytotic activity, with
neither bone marrow-derived macrophages nor alveolar macrophages showing this
potential. Concordantly, subcutaneous primary tumor growth and lung metastasis
are not affected by the absence of Dectin-2. In addition, macrophage C-type
lectin, a CLR known to be complex with Dectin-2, also contributes to the
suppression of liver metastasis. Collectively, these results highlight the
hitherto poorly understood mechanism of Kupffer cell-mediated control of
metastasis that is mediated by the CLR innate receptor family, with implications
for the development of anticancer therapy targeting CLRs.
PMID- 27872289
TI - Fibrous nonlinear elasticity enables positive mechanical feedback between cells
and ECMs.
AB - In native states, animal cells of many types are supported by a fibrous network
that forms the main structural component of the ECM. Mechanical interactions
between cells and the 3D ECM critically regulate cell function, including growth
and migration. However, the physical mechanism that governs the cell interaction
with fibrous 3D ECM is still not known. In this article, we present single-cell
traction force measurements using breast tumor cells embedded within 3D collagen
matrices. We recreate the breast tumor mechanical environment by controlling the
microstructure and density of type I collagen matrices. Our results reveal a
positive mechanical feedback loop: cells pulling on collagen locally align and
stiffen the matrix, and stiffer matrices, in return, promote greater cell force
generation and a stiffer cell body. Furthermore, cell force transmission distance
increases with the degree of strain-induced fiber alignment and stiffening of the
collagen matrices. These findings highlight the importance of the nonlinear
elasticity of fibrous matrices in regulating cell-ECM interactions within a 3D
context, and the cell force regulation principle that we uncover may contribute
to the rapid mechanical tissue stiffening occurring in many diseases, including
cancer and fibrosis.
PMID- 27872291
TI - Molecular evidence of keratin and melanosomes in feathers of the Early Cretaceous
bird Eoconfuciusornis.
AB - Microbodies associated with feathers of both nonavian dinosaurs and early birds
were first identified as bacteria but have been reinterpreted as melanosomes.
Whereas melanosomes in modern feathers are always surrounded by and embedded in
keratin, melanosomes embedded in keratin in fossils has not been demonstrated.
Here we provide multiple independent molecular analyses of both microbodies and
the associated matrix recovered from feathers of a new specimen of the basal bird
Eoconfuciusornis from the Early Cretaceous Jehol Biota of China. Our work
represents the oldest ultrastructural and immunological recognition of avian beta
keratin from an Early Cretaceous (~130-Ma) bird. We apply immunogold to identify
protein epitopes at high resolution, by localizing antibody-antigen complexes to
specific fossil ultrastructures. Retention of original keratinous proteins in the
matrix surrounding electron-opaque microbodies supports their assignment as
melanosomes and adds to the criteria employable to distinguish melanosomes from
microbial bodies. Our work sheds new light on molecular preservation within
normally labile tissues preserved in fossils.
PMID- 27872292
TI - Disturbance is the key to plant invasions in cold environments.
AB - Until now, nonnative plant species were rarely found at high elevations and
latitudes. However, partly because of climate warming, biological invasions are
now on the rise in these extremely cold environments. These plant invasions make
it timely to undertake a thorough experimental assessment of what has previously
been holding them back. This knowledge is key to developing efficient management
of the increasing risks of cold-climate invasions. Here, we integrate human
interventions (i.e., disturbance, nutrient addition, and propagule input) and
climatic factors (i.e., temperature) into one seed-addition experiment across two
continents: the subantarctic Andes and subarctic Scandinavian mountains
(Scandes), to disentangle their roles in limiting or favoring plant invasions.
Disturbance was found as the main determinant of plant invader success (i.e.,
establishment, growth, and flowering) along the entire cold-climate gradient,
explaining 40-60% of the total variance in our models, with no indication of any
facilitative effect from the native vegetation. Higher nutrient levels
additionally stimulated biomass production and flowering. Establishment and
flowering displayed a hump-shaped response with increasing elevation, suggesting
that competition is the main limit on invader success at low elevations, as
opposed to low-growing-season temperatures at high elevations. Our experiment
showed, however, that nonnative plants can establish, grow, and flower well above
their current elevational limits in high-latitude mountains. We thus argue that
cold-climate ecosystems are likely to see rapid increases in plant invasions in
the near future as a result of a synergistic interaction between increasing human
mediated disturbances and climate warming.
PMID- 27872293
TI - Emergence of an abstract categorical code enabling the discrimination of
temporally structured tactile stimuli.
AB - The problem of neural coding in perceptual decision making revolves around two
fundamental questions: (i) How are the neural representations of sensory stimuli
related to perception, and (ii) what attributes of these neural responses are
relevant for downstream networks, and how do they influence decision making? We
studied these two questions by recording neurons in primary somatosensory (S1)
and dorsal premotor (DPC) cortex while trained monkeys reported whether the
temporal pattern structure of two sequential vibrotactile stimuli (of equal mean
frequency) was the same or different. We found that S1 neurons coded the temporal
patterns in a literal way and only during the stimulation periods and did not
reflect the monkeys' decisions. In contrast, DPC neurons coded the stimulus
patterns as broader categories and signaled them during the working memory,
comparison, and decision periods. These results show that the initial sensory
representation is transformed into an intermediate, more abstract categorical
code that combines past and present information to ultimately generate a
perceptually informed choice.
PMID- 27872294
TI - US daily temperature records past, present, and future.
AB - Observed temperature extremes over the continental United States can be
represented by the ratio of daily record high temperatures to daily record low
minimum temperatures, and this ratio has increased to a value of about 2 to 1,
averaged over the first decade of the 21st century, albeit with large interannual
variability. Two different versions of a global coupled climate model (CCSM4), as
well as 23 other coupled model intercomparison project phase 5 (CMIP5) models,
show larger values of this ratio than observations, mainly as a result of greater
numbers of record highs since the 1980s compared with observations. This is
partly because of the "warm 1930s" in the observations, which made it more
difficult to set record highs later in the century, and partly because of a trend
toward less rainfall and reduced evapotranspiration in the model versions
compared with observations. We compute future projections of this ratio on the
basis of its estimated dependence on mean temperature increase, which we find
robustly at play in both observations and simulations. The use of this relation
also has the advantage of removing dependence of a projection on a specific
scenario. An empirical projection of the ratio of record highs to record lows is
obtained from the nonlinear relationship in observations from 1930 to 2015, thus
correcting downward the likely biased future projections of the model. For
example, for a 3 degrees C warming in US temperatures, the ratio of record highs
to lows is projected to be ~15 +/- 8 compared to the present average ratio of
just over 2.
PMID- 27872295
TI - Characterization of the heterooligomeric red-type rubisco activase from red
algae.
AB - The photosynthetic CO2-fixing enzyme ribulose 1,5-bisphosphate
carboxylase/oxygenase (rubisco) is inhibited by nonproductive binding of its
substrate ribulose-1,5-bisphosphate (RuBP) and other sugar phosphates.
Reactivation requires ATP-hydrolysis-powered remodeling of the inhibited
complexes by diverse molecular chaperones known as rubisco activases (Rcas).
Eukaryotic phytoplankton of the red plastid lineage contain so-called red-type
rubiscos, some of which have been shown to possess superior kinetic properties to
green-type rubiscos found in higher plants. These organisms are known to encode
multiple homologs of CbbX, the alpha-proteobacterial red-type activase. Here we
show that the gene products of two cbbX genes encoded by the nuclear and plastid
genomes of the red algae Cyanidioschyzon merolae are nonfunctional in isolation,
but together form a thermostable heterooligomeric Rca that can use both alpha
proteobacterial and red algal-inhibited rubisco complexes as a substrate. The
mechanism of rubisco activation appears conserved between the bacterial and the
algal systems and involves threading of the rubisco large subunit C terminus.
Whereas binding of the allosteric regulator RuBP induces oligomeric transitions
to the bacterial activase, it merely enhances the kinetics of ATP hydrolysis in
the algal enzyme. Mutational analysis of nuclear and plastid isoforms
demonstrates strong coordination between the subunits and implicates the nuclear
encoded subunit as being functionally dominant. The plastid-encoded subunit may
be catalytically inert. Efforts to enhance crop photosynthesis by transplanting
red algal rubiscos with enhanced kinetics will need to take into account the
requirement for a compatible Rca.
PMID- 27872296
TI - Mechanism of gating by calcium in connexin hemichannels.
AB - Aberrant opening of nonjunctional connexin hemichannels at the plasma membrane is
associated with many diseases, including ischemia and muscular dystrophy. Proper
control of hemichannel opening is essential to maintain cell viability and is
achieved by physiological levels of extracellular Ca2+, which drastically reduce
hemichannel activity. Here we examined the role of conserved charged residues
that form electrostatic networks near the extracellular entrance of the connexin
pore, a region thought to be involved in gating rearrangements of hemichannels.
Molecular dynamics simulations indicate discrete sites for Ca2+ interaction and
consequent disruption of salt bridges in the open hemichannels. Experimentally,
we found that disruption of these salt bridges by mutations facilitates
hemichannel closing. Two negatively charged residues in these networks are
putative Ca2+ binding sites, forming a Ca2+-gating ring near the extracellular
entrance of the pore. Accessibility studies showed that this Ca2+-bound gating
ring does not prevent access of ions or small molecules to positions deeper into
the pore, indicating that the physical gate is below the Ca2+-gating ring. We
conclude that intra- and intersubunit electrostatic networks at the extracellular
entrance of the hemichannel pore play critical roles in hemichannel gating
reactions and are tightly controlled by extracellular Ca2+ Our findings provide a
general mechanism for Ca2+ gating among different connexin hemichannel isoforms.
PMID- 27872298
TI - Correction for Zhang et al., Chilling-induced tomato flavor loss is associated
with altered volatile synthesis and transient changes in DNA methylation.
PMID- 27872297
TI - Singular role for T-BET+CXCR3+ regulatory T cells in protection from autoimmune
diabetes.
AB - Foxp3+ regulatory T (Treg) cells are crucial for restraining inflammation in a
variety of autoimmune diseases, including type 1 diabetes (T1D). However, the
transcriptional and functional phenotypes of Treg cells within the pancreatic
lesion remain poorly understood. Here we characterized pancreas-infiltrating Treg
cells in the NOD mouse model of T1D and uncovered a substantial enrichment of the
Treg subpopulation expressing the chemokine receptor CXCR3. Accumulation of
CXCR3+ Treg cells within pancreatic islets was dependent on the transcription
factor T-BET, and genetic ablation of T-BET increased the onset and penetrance of
disease, abrogating the sex bias normally seen in the NOD model. Both male and
female mice lacking T-BET+ Treg cells showed a more aggressive insulitic
infiltrate, reflected most prominently by elevated production of type 1
cytokines. Our results suggest the possibility of fine therapeutic targeting of
Treg cells, in a tissue- and cell-subset-specific fashion, as a more focused
immunotherapy for T1D.
PMID- 27872301
TI - Baby birds do not always tell the truth.
PMID- 27872300
TI - Estimating enhanced prevaccination measles transmission hotspots in the context
of cross-scale dynamics.
AB - A key question in clarifying human-environment interactions is how dynamic
complexity develops across integrative scales from molecular to population and
global levels. Apart from its public health importance, measles is an excellent
test bed for such an analysis. Simple mechanistic models have successfully
illuminated measles dynamics at the city and country levels, revealing seasonal
forcing of transmission as a major driver of long-term epidemic behavior.
Seasonal forcing ties closely to patterns of school aggregation at the individual
and community levels, but there are few explicit estimates of school transmission
due to the relative lack of epidemic data at this scale. Here, we use data from a
1904 measles outbreak in schools in Woolwich, London, coupled with a stochastic
Susceptible-Infected-Recovered model to analyze measles incidence data. Our
results indicate that transmission within schools and age classes is higher than
previous population-level serological data would suggest. This analysis sheds
quantitative light on the role of school-aged children in measles cross-scale
dynamics, as we illustrate with references to the contemporary vaccination
landscape.
PMID- 27872299
TI - The emergence of longevous populations.
AB - The human lifespan has traversed a long evolutionary and historical path, from
short-lived primate ancestors to contemporary Japan, Sweden, and other longevity
frontrunners. Analyzing this trajectory is crucial for understanding biological
and sociocultural processes that determine the span of life. Here we reveal a
fundamental regularity. Two straight lines describe the joint rise of life
expectancy and lifespan equality: one for primates and the second one over the
full range of human experience from average lifespans as low as 2 y during
mortality crises to more than 87 y for Japanese women today. Across the primate
order and across human populations, the lives of females tend to be longer and
less variable than the lives of males, suggesting deep evolutionary roots to the
male disadvantage. Our findings cast fresh light on primate evolution and human
history, opening directions for research on inequality, sociality, and aging.
PMID- 27872303
TI - Homogeneous nucleation: Patching the way from the macroscopic to the nanoscopic
description.
PMID- 27872302
TI - Long-term aggregation of larval fish siblings during dispersal along an open
coast.
AB - Pelagic dispersal of most benthic marine organisms is a fundamental driver of
population distribution and persistence and is thought to lead to highly mixed
populations. However, the mechanisms driving dispersal pathways of larvae along
open coastlines are largely unknown. To examine the degree to which early stages
can remain spatially coherent during dispersal, we measured genetic relatedness
within a large pulse of newly recruited splitnose rockfish (Sebastes diploproa),
a live-bearing fish whose offspring settle along the US Pacific Northwest coast
after spending up to a year in the pelagic environment. A total of 11.6% of the
recruits in a single recruitment pulse were siblings, providing the first
evidence for persistent aggregation throughout a long dispersal period. Such
protracted aggregation has profound implications for our understanding of larval
dispersal, population connectivity, and gene flow within demersal marine
populations.
PMID- 27872304
TI - Evidence of isotopic fractionation of natural uranium in cultured human cells.
AB - The study of the isotopic fractionation of endogen elements and toxic heavy
metals in living organisms for biomedical applications, and for metabolic and
toxicological studies, is a cutting-edge research topic. This paper shows that
human neuroblastoma cells incorporated small amounts of uranium (U) after
exposure to 10 uM natural U, with preferential uptake of the 235U isotope with
regard to 238U. Efforts were made to develop and then validate a procedure for
highly accurate n(238U)/n(235U) determinations in microsamples of cells. We found
that intracellular U is enriched in 235U by 0.38 +/- 0.130/00 (2sigma, n = 7)
relative to the exposure solutions. These in vitro experiments provide clues for
the identification of biological processes responsible for uranium isotopic
fractionation and link them to potential U incorporation pathways into neuronal
cells. Suggested incorporation processes are a kinetically controlled process,
such as facilitated transmembrane diffusion, and the uptake through a high
affinity uranium transport protein involving the modification of the uranyl
(UO22+) coordination sphere. These findings open perspectives on the use of
isotopic fractionation of metals in cellular models, offering a probe to track
uptake/transport pathways and to help decipher associated cellular metabolic
processes.
PMID- 27872305
TI - Multistep crystallization processes: How not to make perfect single crystals.
PMID- 27872307
TI - High-pressure phase of brucite stable at Earth's mantle transition zone and lower
mantle conditions.
AB - We investigate the high-pressure phase diagram of the hydrous mineral brucite,
Mg(OH)2, using structure search algorithms and ab initio simulations. We predict
a high-pressure phase stable at pressure and temperature conditions found in cold
subducting slabs in Earth's mantle transition zone and lower mantle. This
prediction implies that brucite can play a much more important role in water
transport and storage in Earth's interior than hitherto thought. The predicted
high-pressure phase, stable in calculations between 20 and 35 GPa and up to 800
K, features MgO6 octahedral units arranged in the anatase-TiO2 structure. Our
findings suggest that brucite will transform from a layered to a compact 3D
network structure before eventual decomposition into periclase and ice. We show
that the high-pressure phase has unique spectroscopic fingerprints that should
allow for straightforward detection in experiments. The phase also has distinct
elastic properties that might make its direct detection in the deep Earth
possible with geophysical methods.
PMID- 27872306
TI - Paired quantitative and qualitative assessment of the replication-competent HIV-1
reservoir and comparison with integrated proviral DNA.
AB - HIV-1-infected individuals harbor a latent reservoir of infected CD4+ T cells
that is not eradicated by antiretroviral therapy (ART). This reservoir presents
the greatest barrier to an HIV-1 cure and has remained difficult to characterize,
in part, because the vast majority of integrated sequences are defective and
incapable of reactivation. To characterize the replication-competent reservoir,
we have combined two techniques, quantitative viral outgrowth and qualitative
sequence analysis of clonal outgrowth viruses. Leukapheresis samples from four
fully ART-suppressed, chronically infected individuals were assayed at two time
points separated by a 4- to 6-mo interval. Overall, 54% of the viruses emerging
from the latent reservoir showed gp160 env sequences that were identical to at
least one other virus. Moreover, 43% of the env sequences from viruses emerging
from the reservoir were part of identical groups at the two time points. Groups
of identical expanded sequences made up 54% of proviral DNA, and, as might be
expected, the sequences of replication-competent viruses in the active reservoir
showed limited overlap with integrated proviral DNA, most of which is known to
represent defective viruses. Finally, there was an inverse correlation between
proviral DNA clone size and the probability of reactivation, suggesting that
replication-competent viruses are less likely to be found among highly expanded
provirus-containing cell clones.
PMID- 27872308
TI - Atomistic insight into lipid translocation by a TMEM16 scramblase.
AB - The transmembrane protein 16 (TMEM16) family of membrane proteins includes both
lipid scramblases and ion channels involved in olfaction, nociception, and blood
coagulation. The crystal structure of the fungal Nectria haematococca TMEM16
(nhTMEM16) scramblase suggested a putative mechanism of lipid transport, whereby
polar and charged lipid headgroups move through the low-dielectric environment of
the membrane by traversing a hydrophilic groove on the membrane-spanning surface
of the protein. Here, we use computational methods to explore the membrane
protein interactions involved in lipid scrambling. Fast, continuum membrane
bending calculations reveal a global pattern of charged and hydrophobic surface
residues that bends the membrane in a large-amplitude sinusoidal wave, resulting
in bilayer thinning across the hydrophilic groove. Atomic simulations uncover two
lipid headgroup-interaction sites flanking the groove. The cytoplasmic site
nucleates headgroup-dipole stacking interactions that form a chain of lipid
molecules that penetrate into the groove. In two instances, a cytoplasmic lipid
interdigitates into this chain, crosses the bilayer, and enters the extracellular
leaflet, and the reverse process happens twice as well. Continuum membrane
bending analysis carried out on homology models of mammalian homologs shows that
these family members also bend the membrane-even those that lack scramblase
activity. Sequence alignments show that the lipid-interaction sites are conserved
in many family members but less so in those with reduced scrambling ability. Our
analysis provides insight into how large-scale membrane bending and protein
chemistry facilitate lipid permeation in the TMEM16 family, and we hypothesize
that membrane interactions also affect ion permeation.
PMID- 27872309
TI - Phosphate-binding pocket in Dicer-2 PAZ domain for high-fidelity siRNA
production.
AB - The enzyme Dicer produces small silencing RNAs such as micro-RNAs (miRNAs) and
small interfering RNAs (siRNAs). In Drosophila, Dicer-1 produces ~22-24-nt miRNAs
from pre-miRNAs, whereas Dicer-2 makes 21-nt siRNAs from long double-stranded
RNAs (dsRNAs). How Dicer-2 precisely makes 21-nt siRNAs with a remarkably high
fidelity is unknown. Here we report that recognition of the 5'-monophosphate of a
long dsRNA substrate by a phosphate-binding pocket in the Dicer-2 PAZ (Piwi,
Argonaute, and Zwille/Pinhead) domain is crucial for the length fidelity, but not
the efficiency, in 21-nt siRNA production. Loss of the length fidelity, meaning
increased length heterogeneity of siRNAs, caused by point mutations in the
phosphate-binding pocket of the Dicer-2 PAZ domain decreased RNA silencing
activity in vivo, showing the importance of the high fidelity to make 21-nt
siRNAs. We propose that the 5'-monophosphate of a long dsRNA substrate is
anchored by the phosphate-binding pocket in the Dicer-2 PAZ domain and the
distance between the pocket and the RNA cleavage active site in the RNaseIII
domain corresponds to the 21-nt pitch in the A-form duplex of a long dsRNA
substrate, resulting in high-fidelity 21-nt siRNA production. This study sheds
light on the molecular mechanism by which Dicer-2 produces 21-nt siRNAs with a
remarkably high fidelity for efficient RNA silencing.
PMID- 27872310
TI - Efficient replacement of plasma membrane outer leaflet phospholipids and
sphingolipids in cells with exogenous lipids.
AB - Our understanding of membranes and membrane lipid function has lagged far behind
that of nucleic acids and proteins, largely because it is difficult to manipulate
cellular membrane lipid composition. To help solve this problem, we show that
methyl-alpha-cyclodextrin (MalphaCD)-catalyzed lipid exchange can be used to
maximally replace the sphingolipids and phospholipids in the outer leaflet of the
plasma membrane of living mammalian cells with exogenous lipids, including
unnatural lipids. In addition, lipid exchange experiments revealed that 70-80% of
cell sphingomyelin resided in the plasma membrane outer leaflet; the asymmetry of
metabolically active cells was similar to that previously defined for
erythrocytes, as judged by outer leaflet lipid composition; and plasma membrane
outer leaflet phosphatidylcholine had a significantly lower level of unsaturation
than phosphatidylcholine in the remainder of the cell. The data also provided a
rough estimate for the total cellular lipids residing in the plasma membrane
(about half). In addition to such lipidomics applications, the exchange method
should have wide potential for investigations of lipid function and modification
of cellular behavior by modification of lipids.
PMID- 27872311
TI - Methyltransferase-like protein 16 binds the 3'-terminal triple helix of MALAT1
long noncoding RNA.
AB - Metastasis-associated lung adenocarcinoma transcript 1 (MALAT1), a cancer
promoting long noncoding RNA, accumulates in cells by using a 3'-triple-helical
RNA stability element for nuclear expression (ENE). The ENE, a stem-loop
structure containing a U-rich internal loop, interacts with a downstream A-rich
tract (ENE+A) to form a blunt-ended triple helix composed of nine U*A-U triples
interrupted by a C*G-C triple and C-G doublet. This unique structure prompted us
to explore the possibility of protein binding. Native gel-shift assays revealed a
shift in radiolabeled MALAT1 ENE+A RNA upon addition of HEK293T cell lysate.
Competitive gel-shift assays suggested that protein binding depends not only on
the triple-helical structure but also its nucleotide composition. Selection from
the lysate using a biotinylated-RNA probe followed by mass spectrometry
identified methyltransferase-like protein 16 (METTL16), a putative RNA
methyltransferase, as an interacting protein of the MALAT1 ENE+A. Gel-shift
assays confirmed the METTL16-MALAT1 ENE+A interaction in vitro: Binding was
observed with recombinant METTL16, but diminished in lysate depleted of METTL16,
and a supershift was detected after adding anti-METTL16 antibody. Importantly,
RNA immunoprecipitation after in vivo UV cross-linking and an in situ proximity
ligation assay for RNA-protein interactions confirmed an association between
METTL16 and MALAT1 in cells. METTL16 is an abundant (~5 * 105 molecules per cell)
nuclear protein in HeLa cells. Its identification as a triple-stranded RNA
binding protein supports the formation of RNA triple helices inside cells and
suggests the existence of a class of triple-stranded RNA binding proteins, which
may enable the discovery of additional cellular RNA triple helices.
PMID- 27872312
TI - Exon skipping of FcepsilonRIbeta eliminates expression of the high-affinity IgE
receptor in mast cells with therapeutic potential for allergy.
AB - Allergic diseases are driven by activation of mast cells and release of mediators
in response to IgE-directed antigens. However, there are no drugs currently
available that can specifically down-regulate mast cell function in vivo when
chronically administered. Here, we describe an innovative approach for targeting
mast cells in vitro and in vivo using antisense oligonucleotide-mediated exon
skipping of the beta-subunit of the high-affinity IgE receptor (FcepsilonRIbeta)
to eliminate surface high-affinity IgE receptor (FcepsilonRI) expression and
function, rendering mast cells unresponsive to IgE-mediated activation. As
FcepsilonRIbeta expression is restricted to mast cells and basophils, this
approach would selectively target these cell types. Given the success of exon
skipping in clinical trials to treat genetic diseases such as Duchenne muscular
dystrophy, we propose that exon skipping of FcepsilonRIbeta is a potential
approach for mast cell-specific treatment of allergic diseases.
PMID- 27872313
TI - The earliest maize from San Marcos Tehuacan is a partial domesticate with genomic
evidence of inbreeding.
AB - Pioneering archaeological expeditions lead by Richard MacNeish in the 1960s
identified the valley of Tehuacan as an important center of early Mesoamerican
agriculture, providing by far the widest collection of ancient crop remains,
including maize. In 2012, a new exploration of San Marcos cave (Tehuacan, Mexico)
yielded nonmanipulated maize specimens dating at a similar age of 5,300-4,970
calibrated y B.P. On the basis of shotgun sequencing and genomic comparisons to
Balsas teosinte and modern maize, we show herein that the earliest maize from San
Marcos cave was a partial domesticate diverging from the landraces and containing
ancestral allelic variants that are absent from extant maize populations. Whereas
some domestication loci, such as teosinte branched1 (tb1) and brittle endosperm2
(bt2), had already lost most of the nucleotide variability present in Balsas
teosinte, others, such as teosinte glume architecture1 (tga1) and sugary1 (su1),
conserved partial levels of nucleotide variability that are absent from extant
maize. Genetic comparisons among three temporally convergent samples revealed
that they were homozygous and identical by descent across their genome. Our
results indicate that the earliest maize from San Marcos was already inbred,
opening the possibility for Tehuacan maize cultivation evolving from reduced
founder populations of isolated and perhaps self-pollinated individuals.
PMID- 27872314
TI - Molecular basis for the broad substrate selectivity of a peptide
prenyltransferase.
AB - The cyanobactin prenyltransferases catalyze a series of known or unprecedented
reactions on millions of different substrates, with no easily observable
recognition motif and exquisite regioselectivity. Here we define the basis of
broad substrate tolerance for the otherwise uncharacterized TruF family. We
determined the structures of the Tyr-prenylating enzyme PagF, in complex with an
isoprenoid donor analog and a panel of linear and macrocyclic peptide substrates.
Unexpectedly, the structures reveal a truncated barrel fold, wherein binding of
large peptide substrates is necessary to complete a solvent-exposed hydrophobic
pocket to form the catalytically competent active site. Kinetic, mutational,
chemical, and computational analyses revealed the structural basis of
selectivity, showing a small motif within peptide substrates that is sufficient
for recognition by the enzyme. Attaching this 2-residue motif to two random
peptides results in their isoprenylation by PagF, demonstrating utility as a
general biocatalytic platform for modifications on any peptide substrate.
PMID- 27872316
TI - Outcomes of Acute Myocardial Infarction Patients Implanted With Biodegradable
Polymer Biolimus-Eluting Stents Versus New-Generation Durable Polymer Drug
Eluting Stents: A Retrospective Analysis.
AB - We compared outcomes between biodegradable polymer biolimus-eluting stent (BP
BES) and new-generation durable polymer drug-eluting stent (DP-DES) implantations
in patients with acute myocardial infarction (MI). Among 13472 patients with
acute MI in a nationwide registry, 557 (64.8%) were in the BP-BES and 303 (35.2%)
in the new-generation DP-DES group following coronary reperfusion. The occurrence
of major adverse cardiac events (MACE; death, MI, revascularization) and stent
thrombosis was compared. Major adverse cardiac events occurred in 53 (6.2%)
patients and showed similar rates between the BP-BES and new-generation DP-DES
groups (all: 6.6% vs 5.9%, P = .652; propensity score [PS] matched: n = 380, 6.3%
vs 5.3%, P = .623). Stent thrombosis did not differ between groups (all: 0.3% vs
0.4%, P = .892; PS matched: 0.5% vs 0.5%, P = 1.000). Major adverse cardiac event
free survival was comparable between groups (all: 93.4% vs 94.1%, log-rank P =
.357; PS matched: 93.7% vs 94.7%, log-rank P = .445). Biodegradable polymer
biolimus-eluting stent was not associated with MACE (all: hazard ratio [HR],
1.67; 95% confidence interval [CI], 0.75-3.74; P = 0.212; PS matched: HR, 1.05;
95% CI, 0.40-2.75; P = .915). In conclusion, in patients with acute MI, BP-BES
was equivalent to the new-generation DP-DES in terms of outcomes.
PMID- 27872315
TI - Large numbers of vertebrates began rapid population decline in the late 19th
century.
AB - Accelerated losses of biodiversity are a hallmark of the current era. Large
declines of population size have been widely observed and currently 22,176
species are threatened by extinction. The time at which a threatened species
began rapid population decline (RPD) and the rate of RPD provide important clues
about the driving forces of population decline and anticipated extinction time.
However, these parameters remain unknown for the vast majority of threatened
species. Here we analyzed the genetic diversity data of nuclear and mitochondrial
loci of 2,764 vertebrate species and found that the mean genetic diversity is
lower in threatened species than in related nonthreatened species. Our
coalescence-based modeling suggests that in many threatened species the RPD began
~123 y ago (a 95% confidence interval of 20-260 y). This estimated date coincides
with widespread industrialization and a profound change in global living
ecosystems over the past two centuries. On average the population size declined
by ~25% every 10 y in a threatened species, and the population size was reduced
to ~5% of its ancestral size. Moreover, the ancestral size of threatened species
was, on average, ~22% smaller than that of nonthreatened species. Because the
time period of RPD is short, the cumulative effect of RPD on genetic diversity is
still not strong, so that the smaller ancestral size of threatened species may be
the major cause of their reduced genetic diversity; RPD explains 24.1-37.5% of
the difference in genetic diversity between threatened and nonthreatened species.
PMID- 27872317
TI - First-in-Human Experience With the Gore Balloon-Expandable Covered Endoprosthesis
in Iliac Artery Occlusive Disease.
AB - PURPOSE: To report the first-in-human iliac artery experience of a new balloon
expandable covered endoprosthesis. METHODS: A prospective, single-center pilot
study recruited 30 symptomatic patients (mean age 64 years; 18 men) to evaluate
the safety and early efficacy of the new Gore balloon-expandable covered
endoprosthesis for the treatment of de novo or restenotic common and/or external
iliac artery lesions. According to protocol, up to 2 discrete lesions could be
treated with a maximum total treated length <=110 mm. Follow-up included clinical
evaluation with duplex ultrasound at 1, 6, and 12 months. Data are presented
through 12-month follow-up. The primary safety endpoint was a composite of device
or procedure-related death, myocardial infarction, or amputation in the treated
leg within 30 days of the index procedure. Multiple performance outcomes were
also evaluated. RESULTS: The primary 30-day safety endpoint was 0%. Per-subject
estimates of primary patency, freedom from target lesion revascularization, and
freedom from target vessel revascularization were 100% at 1 and 6 months and
96.6% at 12 months. Estimates of assisted primary and secondary patency were both
100% at 12 months. Freedom from major adverse events at 12 months was 100%. Most
patients experienced improvements in Rutherford category, ankle-brachial index,
and functional status that were sustained to 12 months. CONCLUSION: This positive
first-in-human experience with the Gore balloon-expandable covered endoprosthesis
suggests this device will have an important role in the management of aortoiliac
occlusive disease.
PMID- 27872318
TI - Biomechanical Indices for Rupture Risk Estimation in Abdominal Aortic Aneurysms.
AB - PURPOSE: To review the use of biomechanical indices for the estimation of
abdominal aortic aneurysm (AAA) rupture risk, emphasizing their potential use in
a clinical setting. METHODS: A search of the PubMed, Embase, Scopus, and
Compendex databases was made up to June 2015 to identify articles involving
biomechanical analysis of AAA rupture risk. Outcome variables [aneurysm diameter,
peak wall stress (PWS), peak wall shear stress (PWSS), wall strain, peak wall
rupture index (PWRI), and wall stiffness] were compared for asymptomatic intact
AAAs vs symptomatic or ruptured AAAs. For quantitative analysis of the pooled
data, a random effects model was used to calculate the standard mean differences
(SMDs) with the 95% confidence interval (CI) for the biomechanical indices.
RESULTS: The initial database searches yielded 1894 independent articles of which
19 were included in the analysis. The PWS was significantly higher in the
symptomatic/ruptured group, with a SMD of 1.11 (95% CI 0.93 to 1.26, p<0.001).
Likewise, the PWRI was significantly higher in the ruptured or symptomatic group,
with a SMD of 1.15 (95% CI 0.30 to 2.01, p=0.008). After adjustment for the
aneurysm diameter, the PWS remained higher in the ruptured or symptomatic group,
with a SMD of 0.85 (95% CI 0.46 to 1.23, p<0.001). Less is known of the wall
shear stress and wall strain indices, as too few studies were available for
analysis. CONCLUSION: Biomechanical indices are a promising tool in the
assessment of AAA rupture risk as they incorporate several factors, including
geometry, tissue properties, and patient-specific risk factors. However, clinical
implementation of biomechanical AAA assessment remains a challenge owing to a
lack of standardization.
PMID- 27872319
TI - Classification of Chimney EVAR-Related Endoleaks: Insights From the PERICLES
Registry.
PMID- 27872320
TI - Pott's disease: a major issue for an unaccompanied refugee minor.
AB - The incidence of TB in children in Germany has been on a rise since 2008,
especially among foreign-born individuals. With rapidly increasing numbers of
refugees from the numerous areas of conflict, this increase in incidence is not
expected to halt, neither in Germany nor in Europe in general. We report a case
of insufficient tracking in a 16-year-old unaccompanied refugee minor from
Somalia who had a positive interferon gamma release assay on arrival in Germany.
No actions were undertaken, until 6 months later, an X-ray showed prominent hilar
enlargement. Nine months later, the patient presented to our hospital with
abdominal pain, vomiting and B symptoms. Workup revealed a paravertebral abscess
due to Pott's disease, a skeletal manifestation of Mycobacterium tuberculosis
disease. The patient made a full recovery after a combination therapy for a total
of 9 months.
PMID- 27872321
TI - MSM in Bogota are living with HIV for extended periods without diagnosis or
treatment.
AB - We examined recency of infection in serum samples obtained from 69 newly
identified HIV-positive cases in a sample of 1000 men who have sex with men (MSM)
in Bogota. HIV antibody avidity assays were performed using the Architect HIV
Ag/AB combo. Avidity indices ranged from 0.62 to 1.22, with a cut-off score below
0.80 indicative of recent infection. Two samples were classified as recent, six
fell within the gray zone (0.75 to 0.85), and the remaining 61 were considered
established infections. Results provided evidence of widespread, long-term,
undiagnosed HIV infection, as well as an estimate of one-year incidence at .25 in
the population of MSM in Bogota. This incidence rate is approximately 8.5 times
the rate estimated for the general adult population in Colombia. The large
proportion of newly diagnosed cases found among individuals with established
infections indicates that many MSM in Bogota are living with HIV for extended
periods without being diagnosed and treated. Greater efforts to detect and treat
undiagnosed infections are crucial to decrease HIV incidence and increase maximum
effectiveness of medical intervention. Given the over-representation of MSM and
transgender women in the HIV epidemic in Colombia, such efforts should
specifically target this population.
PMID- 27872322
TI - HIV care and treatment of American Indians/Alaska natives with diagnosed HIV
infection - 27 states and the District of Columbia, 2012.
AB - The objective of this study was to measure linkage to care, retention in care,
and suppressed viral load (VL) among American Indians/Alaska Natives (AIs/ANs)
aged >=13 years with diagnosed HIV infection. We used national HIV case
surveillance data to measure linkage to care, defined as >=1 CD4 or VL test <=1
month after HIV diagnosis during 2013; retention in care, defined as >=2 CD4 or
VL tests >=3 months apart during 2012; and suppressed VL, defined as <200
copies/mL at the most recent VL test during 2012. In 2013, 74.1% of AIs/ANs were
linked to care. At year-end 2012, 46.9% of AIs/ANs were retained in care and
45.1% were virally suppressed. A lower percentage of females (41.3%), compared
with males (46.5), were virally suppressed. By age group, the lowest percentage
of virally suppressed AIs/ANs (37.5%) were aged 13-34 years. To improve
individual health and to prevent HIV among AIs/ANs, outcomes must improve -
particularly for female AIs/ANs and for AIs/ANs aged 13-34 years. Screening for
HIV infection in accordance with Centers for Disease Control and Prevention's
testing recommendations can lead to improvements along the continuum of HIV care.
PMID- 27872323
TI - Rates of sexual history taking and screening in HIV-positive men who have sex
with men.
AB - A case note audit was undertaken of HIV-positive men who have sex with men (MSM)
to ascertain whether national guidelines for taking sexual histories, including
recreational drug use and sexually transmitted infection (STI) screening were
being met. The notes of 142 HIV-positive men seen in 2015 were available, of whom
85 were MSM. Information was collected regarding sexual history, recreational
drug use documentation, sexually transmitted infection screen offer and test
results. Seventy-seven (91%) of the MSM had a sexual history documented, of whom
60 (78%) were sexually active. STI screens were offered to 58/60 (97%) of those
who were sexually active and accepted by 53 (91%). Twelve (23%) of these had an
STI. A recreational drug history was taken in 63 (74%) with 17 (27%) reporting
use and 3 (5%) chemsex. The high rate of STIs highlights that regular screening
in this group is essential. Additionally, the fact that over a quarter reported
recreational drug use and given the increasing concern around chemsex, questions
about this should be incorporated into the sexual history proforma.
PMID- 27872324
TI - From Nitrate to Nitric Oxide: The Role of Salivary Glands and Oral Bacteria.
AB - The salivary glands and oral bacteria play an essential role in the conversion
process from nitrate (NO3-) and nitrite (NO2-) to nitric oxide (NO) in the human
body. NO is, at present, recognized as a multifarious messenger molecule with
important vascular and metabolic functions. Besides the endogenous L-arginine
pathway, which is catalyzed by complex NO synthases, nitrate in food contributes
to the main extrinsic generation of NO through a series of sequential steps (NO3-
NO2--NO pathway). Up to 25% of nitrate in circulation is actively taken up by the
salivary glands, and as a result, its concentration in saliva can increase 10- to
20-fold. However, the mechanism has not been clearly illustrated until recently,
when sialin was identified as an electrogenic 2NO3-/H+ transporter in the plasma
membrane of salivary acinar cells. Subsequently, the oral bacterial species
located at the posterior part of the tongue reduce nitrate to nitrite, as
catalyzed by nitrate reductase enzymes. These bacteria use nitrate and nitrite as
final electron acceptors in their respiration and meanwhile help the host to
convert nitrate to NO as the first step. This review describes the role of
salivary glands and oral bacteria in the metabolism of nitrate and in the
maintenance of NO homeostasis. The potential therapeutic applications of oral
inorganic nitrate and nitrite are also discussed.
PMID- 27872325
TI - The Molecular Circuit Regulating Tooth Development in Crocodilians.
AB - Alligators have robust regenerative potential for tooth renewal. In contrast,
extant mammals can either renew their teeth once (diphyodont dentition, as found
in humans) or not at all (monophyodont dentition, present in mice). Previously,
the authors used multiple mitotic labeling to map putative stem cells in
alligator dental laminae, which contain quiescent odontogenic progenitors. The
authors demonstrated that alligator tooth cycle initiation is related to beta
catenin/Wnt pathway activity in the dental lamina bulge. However, the molecular
circuitry underlying the developmental progression of polyphyodont teeth remains
elusive. Here, the authors used transcriptomic analyses to examine the additional
molecular pathways related to the process of alligator tooth development. The
authors collected juvenile alligator dental laminae at different developmental
stages and performed RNA-seq. This data shows that Wnt, bone morphogenetic
protein (BMP), and fibroblast growth factor (FGF) pathways are activated at the
transition from pre-initiation stage (bud) to initiation stage (cap).
Intriguingly, the activation of Wnt ligands, receptors and co-activators
accompanies the inactivation of Wnt antagonists. In addition, the authors
identified the molecular circuitry at different stages of tooth development. The
authors conclude that multiple pathways are associated with specific stages of
tooth development in the alligator. This data shows that Wnt pathway activation
may play the most important role in the initiation of tooth development. This
result may offer insight into ways to modulate the genetic controls involved in
mammalian tooth renewal.
PMID- 27872326
TI - Pre-exposure prophylaxis (PrEP) for men who have sex with men in Europe: review
of evidence for a much needed prevention tool.
AB - In many Western countries with good coverage of antiretroviral treatment (ART)
programmes the annual number of HIV infections is still high and not (yet)
declining among men who have sex with men (MSM). This might indicate that
antiretroviral treatment roll-out alone will not turn around the course of the
epidemic and that new, additional tools are needed. Antiretrovirals used as
prevention tools for people not yet infected with HIV, such as pre-exposure
prophylaxis (PrEP) could be such important additional tools. PrEP is a new type
of biomedical prevention, which involves the use of antiretrovirals before,
during and after (periods of) sexual exposure to HIV. In this review, we will
focus on PrEP as a new prevention tool for MSM at high risk in Europe, including
its evidence for effectiveness, challenges for implementation, ongoing European
demonstration studies; as well as how PrEP relates to other existing prevention
tools. In light of European Medicines Agency's recent recommendation for approval
of PrEP we briefly review the potential implications.
PMID- 27872327
TI - Relation between cardiovascular disease risk factors and epicardial adipose
tissue density on cardiac computed tomography in patients at high risk of
cardiovascular events.
AB - Background The radiodensity of epicardial adipose tissue may provide information
on cardiovascular risk in addition to epicardial adipose tissue volume. The aim
of this study was to quantify the relation between cardiovascular risk factors
and the radiodensity of epicardial adipose tissue in patients at high risk of
cardiovascular disease. Design This was a cross-sectional study in 140 patients
at high risk of cardiovascular disease. Methods Patients from the Secondary
Manifestations of ARTerial disease (SMART) cohort study were invited to undergo
cardiac computed tomography angiography. The radiodensity (in Hounsfield units;
HU) and volume (in cm3) of epicardial adipose tissue were quantified semi
automatically. Multivariable linear regression was used to quantify the relation
between cardiovascular risk factors and the radiodensity of epicardial adipose
tissue. Results The cardiovascular risk factors most strongly associated with
epicardial adipose tissue density were sex, body mass index and visceral fat,
with a lower adipose tissue attenuation of 3.5 HU (95% confidence interval (CI)
2.0-5.0 HU) for female sex, 1.6 HU (95%CI 0.2-2.9 HU) for body mass index >25
kg/m2 and 1.3 HU (95% CI 0.6-2.0 HU) for a one standard deviation higher quantity
of visceral fat, adjusted for age, sex, coronary artery bypass graft history and
epicardial adipose tissue volume. Conclusion Low epicardial adipose tissue
computed tomography attenuation is associated with an adverse cardiovascular risk
factor profile in patients at high risk of cardiovascular disease, independent of
the volume of epicardial adipose tissue and waist circumference. These findings
support the potential role for epicardial adipose tissue radiodensity as a valid
biomarker of cardiovascular risk. Adipose tissue radiodensity may be a more
sensitive marker than epicardial adipose tissue volume with which to study the
contribution of epicardial adipose tissue to the coronary atheromatous disease
process.
PMID- 27872328
TI - Zotepine-associated vitamin B12 deficiency and pancytopenia.
PMID- 27872329
TI - Are antidepressants a double-edged sword? Treatment emergent affective switch or
antidepressant discontinuation syndrome.
PMID- 27872330
TI - Psychosocial recovery following community disasters: An international
collaboration.
PMID- 27872331
TI - Systemic hypersensitivity to fosaprepitant - A report of two cases.
AB - Fosaprepitant is a widely administered antiemetic used mainly for moderately to
highly emetogenic chemotherapy. Local injection site reactions are the most
common type of infusion reaction reported from fosaprepitant. At our institution,
two separate patients have experienced systemic hypersensitivity reactions to
their infusions of fosaprepitant. We report a review of the literature and the
details of these reactions.
PMID- 27872332
TI - Estimates of Intraclass Correlation Coefficients and Other Design Parameters for
Studies of School-Based Nutritional Interventions.
AB - INTRODUCTION: When cluster randomized trials are used to evaluate school-based
nutritional interventions such as school lunch programs, design-stage estimates
of the required sample size must take into account the correlation in outcomes
among individuals within each cluster (e.g., classrooms, schools, or districts).
Estimates of the necessary parameters have been carefully developed for
educational interventions, but for nutritional interventions the literature is
thin. METHODS: Using data from two large multi-school, multi-district impact
evaluations conducted in the United States, this article calculates estimates of
the design parameters required for sizing school-based nutritional studies. The
large size of the trials (252 and 1,327 schools) yields precise estimates of the
parameters of interest. Variance components are estimated by fitting random
intercept multilevel models in Stata. RESULTS: School-level intraclass
correlations are similar to those typically found for educational outcomes. In
particular, school-level estimates range from less than .01 to .26 across the two
studies, and district-level estimates ranged from less than .01 to .19. This
suggests that cluster randomized trials of nutritional interventions may require
samples with numbers of schools similar to the education studies to detect
similar effect sizes.
PMID- 27872333
TI - Three-Dimensional Analysis of Enamel Crack Behavior Using Optical Coherence
Tomography.
AB - The aim of this study was to nondestructively analyze enamel crack behavior on
different areas of teeth using 3D swept source-optical coherence tomography (SS
OCT). Ten freshly extracted human teeth of each type on each arch ( n = 80 teeth)
were inspected for enamel crack patterns on functional, contact and
nonfunctional, or noncontact areas using 3D SS-OCT. The predominant crack pattern
for each location on each specimen was noted and analyzed. The OCT observations
were validated by direct observations of sectioned specimens under confocal laser
scanning microscopy (CLSM). Cracks appeared as bright lines with SS-OCT, with 3
crack patterns identified: Type I - superficial horizontal cracks; Type II -
vertically (occluso-gingival) oriented cracks; and Type III - hybrid or
complicated cracks, a combination of a Type I and Type III cracks, which may or
may not be confluent with each other. Type II cracks were predominant on
noncontacting surfaces of incisors and canines and nonfunctional cusps of
posterior teeth. Type I and III cracks were predominant on the contacting
surfaces of incisors, cusps of canines, and functional cusps of posterior teeth.
Cracks originating from the dental-enamel junction and enamel tufts, crack
deflections, and the initiation of new cracks within the enamel (internal cracks)
were observed as bright areas. CLSM observations corroborated the SS-OCT
findings. We found that crack pattern, tooth type, and the location of the crack
on the tooth exhibited a strong correlation. We show that the use of 3D SS-OCT
permits for the nondestructive 3D imaging and analysis of enamel crack behavior
in whole human teeth in vitro. 3D SS-OCT possesses potential for use in clinical
studies for the analysis of enamel crack behavior.
PMID- 27872336
TI - Effect of Free Sugars on Diabetes, Obesity, and Dental Caries.
PMID- 27872334
TI - Antimicrobial Peptides: Mechanisms of Action and Resistance.
AB - More than 40 antimicrobial peptides and proteins (AMPs) are expressed in the oral
cavity. These AMPs have been organized into 6 functional groups, 1 of which,
cationic AMPs, has received extensive attention in recent years for their promise
as potential antibiotics. The goal of this review is to describe recent advances
in our understanding of the diverse mechanisms of action of cationic AMPs and the
bacterial resistance against these peptides. The recently developed peptide GL13K
is used as an example to illustrate many of the discussed concepts. Cationic AMPs
typically exhibit an amphipathic conformation, which allows increased interaction
with negatively charged bacterial membranes. Peptides undergo changes in
conformation and aggregation state in the presence of membranes; conversely,
lipid conformation and packing can adapt to the presence of peptides. As a
consequence, a single peptide can act through several mechanisms depending on the
peptide's structure, the peptide:lipid ratio, and the properties of the lipid
membrane. Accumulating evidence shows that in addition to acting at the cell
membrane, AMPs may act on the cell wall, inhibit protein folding or enzyme
activity, or act intracellularly. Therefore, once a peptide has reached the cell
wall, cell membrane, or its internal target, the difference in mechanism of
action on gram-negative and gram-positive bacteria may be less pronounced than
formerly assumed. While AMPs should not cause widespread resistance due to their
preferential attack on the cell membrane, in cases where specific protein targets
are involved, the possibility exists for genetic mutations and bacterial
resistance. Indeed, the potential clinical use of AMPs has raised the concern
that resistance to therapeutic AMPs could be associated with resistance to
endogenous host-defense peptides. Current evidence suggests that this is a rare
event that can be overcome by subtle structural modifications of an AMP.
PMID- 27872338
TI - Re: Visual and auditory cortical evoked potentials in interictal episodic
migraine: An audit on 624 patients from three centres.
PMID- 27872339
TI - Visual and auditory cortical evoked potentials in interictal episodic migraine:
An audit on 624 patients from three centres. Response to the letter by Omland et
al.
PMID- 27872342
TI - Intrinsic median nerve compression by a bone fragment following distal radius
fracture.
PMID- 27872341
TI - Amygdala Circuits for Fear Memory: A Key Role for Dopamine Regulation.
AB - In addition to modulating a number of cognitive functions including reward,
punishment, motivation, and salience, dopamine (DA) plays a pivotal role in
regulating threat-related emotional memory. Changes in neural circuits of the
amygdala nuclei are also critically involved in the acquisition and expression of
emotional memory. In this review, we summarize the regulation of amygdala
circuits by DA. Specifically, we describe DA signaling in the amygdala, and DA
regulation of synaptic transmission and synaptic plasticity of the amygdala
neurons. Finally, we discuss a potential contribution of DA-related mechanisms to
the pathogenesis of posttraumatic stress disorder.
PMID- 27872340
TI - Evaluating the Needs of Patients Living With Solid Tumor Cancer: A Survey Design.
AB - PURPOSE: To describe the unmet needs of adult patients living with solid tumor
cancer. DESIGN: Survey design. METHOD: Adult patients living with solid tumor
cancer from two outpatient clinics were mailed the Sheffield Profile for
Assessment and Referral to Care, a holistic screening questionnaire for assessing
palliative care needs, and a demographics questionnaire. One hundred fifteen
patients returned the instruments, corresponding to a 62% response rate.
FINDINGS: There were no significant differences by cancer type (breast, non
breast) or gender. However, Caucasians reported significantly more psychological
issues, such as anxiety, than non-Caucasians ([ n = 101 (87.8%)] and [ n = 14
(12.2%)], respectively, p = .032). Older patients reported more concerns about
loss of independence/activity ( p = .012) compared with younger age groups.
Patients living with Stage III/IV cancer reported more distressed about
independence/activity ( p = .034), family/social issues ( p = .007), and
treatment side effects ( p = .027) than patients living with Stage I/II cancer.
CONCLUSION: Patients living with solid tumor cancer have a myriad of unmet needs
regardless of age, gender, cancer type, or cancer stage. There appears to be
important differences by cancer stage. The Sheffield Profile for Assessment and
Referral to Care questionnaire provides a holistic approach for nurses to
identify unmet needs and concerns. Future research should explore the preferred
methods of receiving support and information.
PMID- 27872343
TI - Recovery, responsiveness and interpretability of patient-reported outcome
measures after surgery for Dupuytren's disease.
AB - : This prospective cohort study investigated the responsiveness and
interpretability of the Disabilities of the Arm, Shoulder and Hand (DASH) and
Unite Rhumatologique des Affections de la Main (URAM) outcome measures for
assessing recovery after fasciectomy and dermofasciectomy for Dupuytren's
disease. DASH outcome scores at 1 year were significantly better than at 6 weeks,
suggesting that recovery is not complete by 6 weeks. Of the 101 patients
recruited to the DASH cohort, 71 completed preoperative, 6 week and 1 year
postoperative DASH scores; 68 of them completed preoperative and 1 year
postoperative DASH scores and an external anchor question. In the URAM cohort,
30/44 completed the preoperative and the 1 year postoperative URAM scores and the
anchor question. The DASH score exhibited moderate responsiveness but poor
interpretability on receiver operating characteristic curve analysis, such that a
minimal important change could not be estimated. The URAM score showed acceptable
responsiveness, and an MIC of 10.5 on receiver operating characteristic analysis.
LEVEL OF EVIDENCE: II.
PMID- 27872344
TI - Impact of non-menthol flavours in tobacco products on perceptions and use among
youth, young adults and adults: a systematic review.
AB - OBJECTIVE: This systematic review examines the impact of non-menthol flavours in
tobacco products on tobacco use perceptions and behaviours among youth, young
adults and adults. DATA SOURCES: English-language peer-reviewed publications
indexed in 4 databases were searched through April 2016. STUDY SELECTION: A
search strategy was developed related to tobacco products and flavours. Of 1688
articles identified, we excluded articles that were not English-language, were
not peer-reviewed, were qualitative, assessed menthol-flavoured tobacco products
only and did not contain original data on outcomes that assessed the impact of
flavours in tobacco products on perceptions and use behaviour. DATA EXTRACTION:
Outcome measures were identified and tabulated. 2 researchers extracted the data
independently and used a validated quality assessment tool to assess study
quality. DATA SYNTHESIS: 40 studies met the inclusion criteria. Data showed that
tobacco product packaging with flavour descriptors tended to be rated as more
appealing and as less harmful by tobacco users and non-users. Many tobacco
product users, especially adolescents, reported experimenting, initiating and
continuing to use flavoured products because of the taste and variety of the
flavours. Users of many flavoured tobacco products also showed decreased
likelihood of intentions to quit compared with non-flavoured tobacco product
users. CONCLUSIONS: Flavours in most tobacco products appear to play a key role
in how users and non-users, especially youth, perceive, initiate, progress and
continue using tobacco products. Banning non-menthol flavours from tobacco
products may ultimately protect public health by reducing tobacco use,
particularly among youth.
PMID- 27872346
TI - Use of new media to support passage of Vietnam's national tobacco control
legislation.
PMID- 27872347
TI - Toward an Empirical Multidimensional Structure of Anhedonia, Reward Sensitivity,
and Positive Emotionality: An Exploratory Factor Analytic Study.
AB - Positive emotionality, anhedonia, and reward sensitivity share motivational and
experiential elements of approach motivation and pleasure. Earlier work has
examined the interrelationships among these constructs from measures of
extraversion. More recently, the Research Domain Criteria introduced the Positive
Valence Systems as a primary dimension to better understand psychopathology.
However, the suggested measures tapping this construct have not yet been
integrated within the structural framework of personality, even at the level of
self-report. Thus, this study conducted exploratory factor and exploratory
bifactor analyses on 17 different dimensions relevant to approach motivation,
spanning anhedonia, behavioral activation system functioning, and positive
emotionality. Convergent validity of these dimensions is tested by examining
associations with depressive symptoms. Relying on multiple indices of fit, our
preferred model included a general factor along with specific factors of
affiliation, positive emotion, assertiveness, and pleasure seeking. These factors
demonstrated different patterns of association with depressive symptoms. We
discuss the plausibility of this model and highlight important future directions
for work on the structure of a broad Positive Valence Systems construct.
PMID- 27872348
TI - Resolving Dimensionality Problems With WHOQOL-BREF Item Responses.
AB - The World Health Organization Quality of Life Scale (WHOQOL-BREF) is predicated
on a multidimensional perspective on quality of life (QOL); yet studies are
unclear about the latent structure underlying responses. This article reports on
a study conducted to investigate the structure of WHOQOL-BREF scores. Competing
latent structures of the data were examined in a general population sample. In
addition, the complete factorial invariance of the retained model was
investigated across gender. We also investigated latent mean differences in the
QOL dimensions over age as well as age by gender interactions effects. Based on
responses to the WHOQOL-BREF, support was found for a bifactor exploratory
structural equation modeling representation of the data. This measurement
structure accounts for construct-relevant multidimensionality in item responses
due to the presence of general and specific factors underlying the data and the
fallibility of indictors as pure reflections of only the single constructs they
are purported to measure. Furthermore, support was found for measurement and
structural invariance across gender. Finally, evidence was obtained for a
curvilinear relationship of age with QOL, characterized by a midlife nadir. Taken
together, the results of the study yield important validation data for the WHOQOL
BREF and tentatively resolve the dimensionality issues in the measurement of QOL
using this instrument.
PMID- 27872345
TI - Hazards of cigarettes, smokeless tobacco and waterpipe in a Middle Eastern
Population: a Cohort Study of 50 000 individuals from Iran.
AB - BACKGROUND: There is limited information about the hazards of cigarettes,
smokeless tobacco and waterpipe in the Middle East. The aim of this study was to
determine the association between different types of tobacco use and earlier
death in the Golestan Cohort Study. METHODS: The Study includes 50 045 adults
(aged 40-75 years) from north eastern Iran. The baseline questionnaire (2004
2008) assessed information about use of cigarettes, chewing tobacco (nass) and
waterpipe. To assess the use of each type of tobacco compared with never tobacco
users, we used Cox regression models adjusted for age, socioeconomic status, area
of residence, education and other tobacco used, and stratified by sex, ethnicity
and opium use. RESULTS: 17% of participants reported a history of cigarette
smoking, 7.5% chewing tobacco (nass) and 1.1% smoking waterpipe, and these
figures declined in the later birth cohorts. During a median follow-up of 8
years, 4524 deaths occurred (mean age 64.8+9.9 years). Current (HR=1.44; 95% CI
1.28 to 1.61) and former (HR=1.35; 95% CI 1.16 to 1.56) cigarette smokers had
higher overall mortality relative to never tobacco users. The highest cigarette
associated risk was for cancer death among current heavy smokers (HR=2.32; 95% CI
1.66 to 3.24). Current nass chewing was associated with overall mortality
(HR=1.16; 95% CI 1.01 to 1.34), and there was a 61% higher risk of cancer death
in people chewing nass more than five times a day. We observed an association
between the cumulative lifetime waterpipe use (waterpipe-years>=28) and both
overall (HR=1.66; 95% CI 1.11 to 2.47), and cancer mortality (HR=2.82; 95% CI
1.30 to 6.11). CONCLUSIONS: Regular use of cigarettes, smokeless tobacco and
waterpipe were associated with the risk of earlier death (particularly from
cancer) in our cohort.
PMID- 27872349
TI - Bifactor Models of Attention-Deficit/Hyperactivity Disorder (ADHD): An Evaluation
of Three Necessary but Underused Psychometric Indexes.
AB - BACKGROUND: In the past decade, the bifactor model of attention
deficit/hyperactivity disorder (ADHD) has been extensively researched. This model
consists of an ADHD general dimension and two specific factors: inattention and
hyperactivity/impulsivity. All studies conclude that the bifactor is superior to
the traditional two-correlated factors model, according to the fit obtained by
factor analysis. However, the proper interpretation of a bifactor not only
depends on the fit but also on the quality of the measurement model. OBJECTIVE:
To evaluate the model-based reliability, distribution of common variance and
construct replicability of general and specific ADHD factors. METHOD: We
estimated expected common variance, omega hierarchical/subscale and H-index from
standardized factor loadings of 31 ADHD bifactor models previously published.
RESULTS AND CONCLUSION: The ADHD general factor explained most of the common
variance. Given the low reliable variance ratios, the specific factors were
difficult to interpret. However, in clinical samples, inattention acquired
sufficient specificity and stability for interpretation beyond the general
factor. Implications for research and clinical practice are discussed.
PMID- 27872350
TI - Simultaneous acquisition of high-contrast and quantitative liver T1 images using
3D phase-sensitive inversion recovery: a feasibility study.
AB - Background Tumor-to-liver contrast is low in images of chronically diseased
livers because gadolinium-based hepatocyte-specific contrast agents (Gd-EOB-DTPA)
accumulate less to hepatocytes. Purpose To determine whether phase-sensitive
inversion recovery (PSIR) could improve the T1 contrasts of Gd-based contrast
agents and liver parenchyma and simultaneously provide accurate T1 values for
abdominal organs. Material and Methods The image contrasts of phantoms with
different Gd concentrations that were obtained using PSIR were compared to
conventional turbo field echo (TFE) results. T1 value was estimated using PSIR by
performing iterations to investigate the two IR magnetization evolutions. The
estimated T1 values were validated using IR-spin echo (IR-SE) and Look-Locker (L
L) sequences. In an in vivo study, the liver-to-spleen and liver-to-muscle
contrasts of the PSIR and TFE images of seven volunteers were compared, as were
the T1 values of liver parenchyma, spleen, and muscle obtained using PSIR and L-L
sequences. Results The PSIR images showed T1 contrasts higher than those in the
TFE results. The PSIR and IR-SE T1 values were linearly correlated. Additionally,
the R1 estimated using PSIR were correlated with those measured using IR-SE and L
L. In the in vivo study, the liver-to-spleen and liver-to-muscle contrasts of
PSIR were significantly higher than those obtained using TFE. T1 values of
abdominal organs obtained using PSIR and L-L were clearly correlated. Conclusion
PSIR may be capable of improving liver image T1 contrasts when Gd-based contrast
agents are employed and simultaneously yielding accurate T1 values of abdominal
organs.
PMID- 27872351
TI - Uterine fibroid embolization with acrylamido polyvinyl microspheres: prospective
12-month clinical and MRI follow-up study.
AB - Background Uterine fibroid embolization (UFE) is an effective minimally invasive
treatment aimed to obtain complete fibroid infarction. Purpose To evaluate the
degree of fibroid infarction after embolization with acrylamido polyvinyl alcohol
microspheres (Bead Block). Material and Methods This prospective study included
26 pre-menopausal women in the period October 2013 to January 2015. UFE with the
use of Bead Block microspheres 700-900 um was obtained until flow stasis was
achieved. Contrast-enhanced magnetic resonance imaging (MRI) was used to define
residual contrast enhancement in the total fibroid burden and enhancement >10%
was defined as insufficient embolization. The dominant fibroid volume and total
uterine volume changes were assessed. Clinical outcome was analyzed using the
quality of life questionnaire (UFS-QOL). Results Twenty-four of the 26 patients
(92%) had a technically successful UFE with complete flow-stop in both uterine
arteries. Twenty-two of the 26 patients (85%) completed 3 months of MRI control
and 20 patients (77%) completed 12 months of MRI control. There were 16 of 22
patients (73%) with complete fibroid burden infarction and/or without residual
contrast enhancement of the fibroids of more than 10% at 3 months of control. Six
of 22 patients (27%) had insufficient fibroid burden infarction with residual
contrast enhancement of more than 10%. Twenty-two and 18 of 26 patients completed
the 3-month and 12-month questionnaire, respectively. UFS-QOL analyses showed
that 91% of the patients had significant clinical improvement. Conclusion Sixteen
of the 22 patients had complete fibroid burden infarction using Bead Block
microspheres (700-900 um); however, unacceptably high insufficient fibroid burden
infarction in almost one-fourth of the patients were recorded.
PMID- 27872353
TI - Feed and Wrap MRI Technique in Infants.
AB - The feed and wrap technique refers to the use of feeding and swaddling to induce
natural sleep in infants. It can be used prior to an magnetic resonance imaging
(MRI) scan, avoiding sedation or anesthesia. We performed a retrospective review
of feed and wrap MRI scans in infants 3 months or younger over a 2-year period at
our center (279 scans) to evaluate the efficacy of this technique. Of scan
results reviewed, 79% addressed the clinical question, 20% partially addressed
the clinical question, and 1% were technically inadequate. History of preterm
birth (odds ratio [OR] = 2.368; P = .032) and spine MRI (OR = 2.821; P = .001)
were associated with a less-successful scan outcome. The feed and wrap technique
can be used successfully in infants undergoing MRI; however, it may be less
successful in preterm infants and those requiring spinal MRI. A standardized
technique performed by experienced personnel may avoid anesthesia and sedation in
infants who require MRI.
PMID- 27872352
TI - Additional functional outcomes after endovascular treatment for intermittent
claudication.
AB - Background Endovascular treatment (EVT) for intermittent claudication (IC) is
performed in selected patients where conservative treatment and training fail.
Treatment outcomes reported in vascular registries (survival, limb-survival, and
re-intervention rate) are inadequate for low-risk patients with IC. Additional
measurements of blood flow reduction and functional impairment clarify the
indication for treatment and facilitate outcome evaluation. Purpose To analyze
the additional outcome information on peripheral arterial pressures and walking
capacity obtained from a local registry of EVT. Material and Methods Patients
with IC treated with endovascular technique (angioplasty or stent) were
prospectively entered into a local registry in addition to the national registry
(NORKAR), with information on arterial pressures (ankle brachial index [ABI]) and
treadmill performance (maximum walking distance [MWD]). Results A total of 242
consecutive patients (41% women; median age, 70 years) receiving the first
treatment between July 2010 and December 2012 were included, 61% with aorto-iliac
lesions. After 3 months, mean ABI increased from 0.62 (0.59-0.64) to 0.85 (0.83
0.87). The median MWD increased from 160 m to 410 m. Sixty-two percent reached
the test maximum of 10 min. The improvement in ABI and MWD persisted after 1
year. When preoperative ABI was moderately reduced (0.5-0.9), ABI was normal in
61% after 3 months and in 55% after 1 year. When preoperative ABI was low (<0.5),
ABI was normal in 43% both after 3 months and 1 year. Conclusion ABI and walking
capacity were important outcome variables and improved after EVT. ABI improvement
was better for patients with moderately reduced preoperative ABI than with low
ABI.
PMID- 27872354
TI - Integrating Maternal Mental Health Care in the Pediatric Medical Home: Treatment
Engagement and Child Outcomes.
AB - Maternal depression is associated with an array of poor child health outcomes,
and low-income women face many barriers to accessing treatment. In this pilot
study, we assessed treatment engagement in a maternal mental health clinic
staffed by a case manager and psychiatrist in an urban pediatric practice. We
also examined factors associated with engagement as well as child health outcomes
and health care use. Nearly half of the women enrolled attended at least 4
sessions with a psychiatrist in 6 months. Text messaging with the case manager
was associated with a greater compliance with psychiatrist sessions. Comparing
index children with their siblings prior to enrollment, a higher percentage had
immunizations up to date at 1 year of age (82% vs 43%, P = .01), and well-child
visit compliance trended toward significance (65% vs 35%, P = .06). The pediatric
setting holds promise as an innovative venue to deliver maternal mental health
care.
PMID- 27872356
TI - Middle East Respiratory Syndrome Coronavirus and Children.
PMID- 27872355
TI - Acceptance of Pharmacist-Driven Antimicrobial Stewardship Recommendations With
Differing Levels of Physician Involvement in a Children's Hospital.
AB - This prospective interventional study assessed whether a pharmacist-physician
team in a setting where physician support is not provided for daily antimicrobial
stewardship (AS) activities would improve later acceptance of pharmacist
recommendations once multidisciplinary efforts stopped and the pharmacist again
worked alone. This was measured by AS recommendation acceptance rate during 3
study phases wherein AS recommendations were provided by a pharmacist alone
(Phase 1), a pharmacist and a physician together (Phase 2), and then a pharmacist
alone again (Phase 3). Recommendations were well accepted across all study phases
with no differences in recommendation appropriateness or patient clinical
outcomes. Prescribers were significantly ( P = .045) more likely to accept
recommendations to de-escalate treatment during Phase 3 than during Phase 1.
Independently pharmacist-driven AS efforts were generally successful, and
recommendations for antimicrobial de-escalation were better accepted after the
involvement of an infectious diseases physician.
PMID- 27872357
TI - Antipyretic Efficacy and Safety of Ibuprofen Versus Acetaminophen Suspension in
Febrile Children: Results of 2 Randomized, Double-Blind, Single-Dose Studies.
AB - Two blinded single-dose studies randomized children 6 months to 11 years old with
fever to receive ibuprofen (IBU) pediatric suspension 7.5 mg/kg or acetaminophen
(APAP) suspension 10 to 15 mg/kg. The primary efficacy parameter was time
weighted sum of temperature differences (TWSTD) from baseline through 8 hours for
each study. Secondary end points included TWSTD from baseline through 6 hours,
time to onset and duration of temperature control, and proportion with
temperature control. Studies were pooled for post hoc analyses of efficacy and
adverse event end points. The primary efficacy parameter significantly favored
IBU over APAP in study 1 and the pooled analysis (both P < .001), but was not
significant in study 2. Onset of temperature control significantly favored IBU in
study 2 ( P = .007). Individual and pooled secondary efficacy outcomes supported
significant advantages ( P < .05) of IBU over APAP. IBU pediatric suspension
provided greater temperature reduction versus acetaminophen in febrile children,
with a comparable safety profile.
PMID- 27872358
TI - Severe Obesity Decreasing in Children in Cincinnati, Ohio.
AB - Childhood obesity rates appear to be leveling off. Studies not looking at severe
obesity may be masking a rightward shift in the distribution of body mass
indexes. Our objective was to provide current prevalence rates and examine trends
in overweight, obesity, class 2 obesity, and class 3 obesity for youth in
Cincinnati, Ohio. We performed a retrospective chart review of children 2 to 18
years old seen at Cincinnati Children's Hospital Medical Center between July 1,
2011, and June 30, 2014. Data from 217 037 BMIs were obtained; 35.2% of children
were found to have an elevated BMI. Prevalence rates were highest in older,
Hispanic, and Medicaid-insured children. The only significant trend over the 3
year period was a downward shift in class 3 obesity ( P = .02), contrary to
national findings. Further studies assessing which clinical/community efforts
have led to this downward trend will be essential to target future resources and
facilitate continued progress.
PMID- 27872359
TI - Child Sleep Coaches: Current State and Future Directions.
AB - Given the genuine gaps in the availability of clinical sleep services for
children, sleep coaching as a field has emerged and appears to be significantly
increasing. Sleep coaches are typically individuals who provide individualized
services, often via the Internet or phone, to families of infants and young
children (and increasingly to older children, adolescents, and adults as well)
with sleep problems. At this time, there is no universally accepted definition of
sleep coach, nor are there clear guidelines regarding educational background,
training requirements, scope of practice, or credentialing. To start to address
the needs of families seeking the services of a sleep coach, educational
materials were developed for parents and health care providers regarding issues
to consider. Furthermore, there is a need going forward that (1) the designation
sleep coach or consultant be clear and well defined, with a clear standard of
care and scope of practice; (2) there is a standard core body of knowledge
included in all training programs; (3) a certification process is developed for
sleep coaches that is reputable and has recognizable and clear standards; and (4)
that care for sleep problems in infants and young children are available to
diverse populations, irrespective of socioeconomic status.
PMID- 27872360
TI - The Heidelberg Appendicitis Score Predicts Perforated Appendicitis in Children.
AB - BACKGROUND: In the future, surgical management of pediatric appendicitis might
become limited to nonperforating appendicitis. Thus, it becomes increasingly
important to differentiate advanced from simple appendicitis and to predict
perforated appendicitis among a group of children with right-sided abdominal
pain, which was the aim of this study. METHODS: An institutionally approved,
single-center retrospective analysis of all patients with appendectomy from
January 2009 to December 2010 was conducted. All diagnostic aspects were
evaluated to identify predictors and differentiators of perforated appendicitis.
RESULTS: In 2 years, 157 children suffered from appendicitis. Perforation
occurred in 47 (29.9%) of the patients. C-reactive protein (CRP) levels higher
than 20 mg/dL ( P = .037) and free abdominal fluid on ultrasonography ( P = .031)
are the most important features to differentiate perforated from simple
appendicitis. Moreover, all children with perforation had a positive Heidelberg
Appendicitis Score (HAS). A negative HAS excludes perforation in all cases
(negative predictive value = 100%). DISCUSSION: Perforated appendicitis can be
ruled out by the HAS. In a cohort with right-sided abdominal pain, perforation
should be considered in children with high CRP levels and free fluids or abscess
formation on ultrasound.
PMID- 27872361
TI - A 19-Year-Old Woman With Increased Urinary Frequency, Abdominal and Back Pain.
PMID- 27872362
TI - Fever, Jaundice, and Confusion.
PMID- 27872363
TI - Prolonged Fevers in a Previously Healthy Child.
PMID- 27872364
TI - Zika Virus.
PMID- 27872365
TI - Ankle Pain, a Swollen Neck, and a Gallop.
PMID- 27872366
TI - The long road of statistical learning research: past, present and future.
PMID- 27872367
TI - The neurobiology of uncertainty: implications for statistical learning.
AB - The capacity for assessing the degree of uncertainty in the environment relies on
estimating statistics of temporally unfolding inputs. This, in turn, allows
calibration of predictive and bottom-up processing, and signalling changes in
temporally unfolding environmental features. In the last decade, several studies
have examined how the brain codes for and responds to input uncertainty. Initial
neurobiological experiments implicated frontoparietal and hippocampal systems,
based largely on paradigms that manipulated distributional features of visual
stimuli. However, later work in the auditory domain pointed to different systems,
whose activation profiles have interesting implications for computational and
neurobiological models of statistical learning (SL). This review begins by
briefly recapping the historical development of ideas pertaining to the
sensitivity to uncertainty in temporally unfolding inputs. It then discusses
several issues at the interface of studies of uncertainty and SL. Following, it
presents several current treatments of the neurobiology of uncertainty and
reviews recent findings that point to principles that serve as important
constraints on future neurobiological theories of uncertainty, and relatedly, SL.
This review suggests it may be useful to establish closer links between
neurobiological research on uncertainty and SL, considering particularly
mechanisms sensitive to local and global structure in inputs, the degree of input
uncertainty, the complexity of the system generating the input, learning
mechanisms that operate on different temporal scales and the use of learnt
information for online prediction.This article is part of the themed issue 'New
frontiers for statistical learning in the cognitive sciences'.
PMID- 27872368
TI - Complementary learning systems within the hippocampus: a neural network modelling
approach to reconciling episodic memory with statistical learning.
AB - A growing literature suggests that the hippocampus is critical for the rapid
extraction of regularities from the environment. Although this fits with the
known role of the hippocampus in rapid learning, it seems at odds with the idea
that the hippocampus specializes in memorizing individual episodes. In
particular, the Complementary Learning Systems theory argues that there is a
computational trade-off between learning the specifics of individual experiences
and regularities that hold across those experiences. We asked whether it is
possible for the hippocampus to handle both statistical learning and memorization
of individual episodes. We exposed a neural network model that instantiates known
properties of hippocampal projections and subfields to sequences of items with
temporal regularities. We found that the monosynaptic pathway-the pathway
connecting entorhinal cortex directly to region CA1-was able to support
statistical learning, while the trisynaptic pathway-connecting entorhinal cortex
to CA1 through dentate gyrus and CA3-learned individual episodes, with apparent
representations of regularities resulting from associative reactivation through
recurrence. Thus, in paradigms involving rapid learning, the computational trade
off between learning episodes and regularities may be handled by separate
anatomical pathways within the hippocampus itself.This article is part of the
themed issue 'New frontiers for statistical learning in the cognitive sciences'.
PMID- 27872369
TI - The impact of cerebellar transcranial direct current stimulation (tDCS) on
learning fine-motor sequences.
AB - The cerebellum has been shown to be important for skill learning, including the
learning of motor sequences. We investigated whether cerebellar transcranial
direct current stimulation (tDCS) would enhance learning of fine motor sequences.
Because the ability to generalize or transfer to novel task variations or
circumstances is a crucial goal of real world training, we also examined the
effect of tDCS on performance of novel sequences after training. In Study 1,
participants received either anodal, cathodal or sham stimulation while
simultaneously practising three eight-element key press sequences in a non
repeating, interleaved order. Immediately after sequence practice with concurrent
tDCS, a transfer session was given in which participants practised three
interleaved novel sequences. No stimulation was given during transfer. An
inhibitory effect of cathodal tDCS was found during practice, such that the rate
of learning was slowed in comparison to the anodal and sham groups. In Study 2,
participants received anodal or sham stimulation and a 24 h delay was added
between the practice and transfer sessions to reduce mental fatigue. Although
this consolidation period benefitted subsequent transfer for both tDCS groups,
anodal tDCS enhanced transfer performance. Together, these studies demonstrate
polarity-specific effects on fine motor sequence learning and generalization.This
article is part of the themed issue 'New frontiers for statistical learning in
the cognitive sciences'.
PMID- 27872370
TI - Language learning, language use and the evolution of linguistic variation.
AB - Linguistic universals arise from the interaction between the processes of
language learning and language use. A test case for the relationship between
these factors is linguistic variation, which tends to be conditioned on
linguistic or sociolinguistic criteria. How can we explain the scarcity of
unpredictable variation in natural language, and to what extent is this property
of language a straightforward reflection of biases in statistical learning? We
review three strands of experimental work exploring these questions, and
introduce a Bayesian model of the learning and transmission of linguistic
variation along with a closely matched artificial language learning experiment
with adult participants. Our results show that while the biases of language
learners can potentially play a role in shaping linguistic systems, the
relationship between biases of learners and the structure of languages is not
straightforward. Weak biases can have strong effects on language structure as
they accumulate over repeated transmission. But the opposite can also be true:
strong biases can have weak or no effects. Furthermore, the use of language
during interaction can reshape linguistic systems. Combining data and insights
from studies of learning, transmission and use is therefore essential if we are
to understand how biases in statistical learning interact with language
transmission and language use to shape the structural properties of language.This
article is part of the themed issue 'New frontiers for statistical learning in
the cognitive sciences'.
PMID- 27872371
TI - Statistical learning in songbirds: from self-tutoring to song culture.
AB - At the onset of vocal development, both songbirds and humans produce variable
vocal babbling with broadly distributed acoustic features. Over development,
these vocalizations differentiate into the well-defined, categorical signals that
characterize adult vocal behaviour. A broadly distributed signal is ideal for
vocal exploration, that is, for matching vocal production to the statistics of
the sensory input. The developmental transition to categorical signals is a
gradual process during which the vocal output becomes differentiated and stable.
But does it require categorical input? We trained juvenile zebra finches with
playbacks of their own developing song, produced just a few moments earlier,
updated continuously over development. Although the vocalizations of these self
tutored (ST) birds were initially broadly distributed, birds quickly developed
categorical signals, as fast as birds that were trained with a categorical, adult
song template. By contrast, siblings of those birds that received no training
(isolates) developed phonological categories much more slowly and never reached
the same level of category differentiation as their ST brothers. Therefore,
instead of simply mirroring the statistical properties of their sensory input,
songbirds actively transform it into distinct categories. We suggest that the
early self-generation of phonological categories facilitates the establishment of
vocal culture by making the song easier to transmit at the micro level, while
promoting stability of shared vocabulary at the group level over generations.This
article is part of the themed issue 'New frontiers for statistical learning in
the cognitive sciences'.
PMID- 27872372
TI - Do infants retain the statistics of a statistical learning experience? Insights
from a developmental cognitive neuroscience perspective.
AB - Statistical structure abounds in language. Human infants show a striking capacity
for using statistical learning (SL) to extract regularities in their linguistic
environments, a process thought to bootstrap their knowledge of language.
Critically, studies of SL test infants in the minutes immediately following
familiarization, but long-term retention unfolds over hours and days, with almost
no work investigating retention of SL. This creates a critical gap in the
literature given that we know little about how single or multiple SL experiences
translate into permanent knowledge. Furthermore, different memory systems with
vastly different encoding and retention profiles emerge at different points in
development, with the underlying memory system dictating the fidelity of the
memory trace hours later. I describe the scant literature on retention of SL, the
learning and retention properties of memory systems as they apply to SL, and the
development of these memory systems. I propose that different memory systems
support retention of SL in infant and adult learners, suggesting an explanation
for the slow pace of natural language acquisition in infancy. I discuss the
implications of developing memory systems for SL and suggest that we exercise
caution in extrapolating from adult to infant properties of SL.This article is
part of the themed issue 'New frontiers for statistical learning in the cognitive
sciences'.
PMID- 27872373
TI - Real-world visual statistics and infants' first-learned object names.
AB - We offer a new solution to the unsolved problem of how infants break into word
learning based on the visual statistics of everyday infant-perspective scenes.
Images from head camera video captured by 8 1/2 to 10 1/2 month-old infants at
147 at-home mealtime events were analysed for the objects in view. The images
were found to be highly cluttered with many different objects in view. However,
the frequency distribution of object categories was extremely right skewed such
that a very small set of objects was pervasively present-a fact that may
substantially reduce the problem of referential ambiguity. The statistical
structure of objects in these infant egocentric scenes differs markedly from that
in the training sets used in computational models and in experiments on
statistical word-referent learning. Therefore, the results also indicate a need
to re-examine current explanations of how infants break into word learning.This
article is part of the themed issue 'New frontiers for statistical learning in
the cognitive sciences'.
PMID- 27872375
TI - TRACX2: a connectionist autoencoder using graded chunks to model infant visual
statistical learning.
AB - Even newborn infants are able to extract structure from a stream of sensory
inputs; yet how this is achieved remains largely a mystery. We present a
connectionist autoencoder model, TRACX2, that learns to extract sequence
structure by gradually constructing chunks, storing these chunks in a distributed
manner across its synaptic weights and recognizing these chunks when they re
occur in the input stream. Chunks are graded rather than all-or-nothing in
nature. As chunks are learnt their component parts become more and more tightly
bound together. TRACX2 successfully models the data from five experiments from
the infant visual statistical learning literature, including tasks involving
forward and backward transitional probabilities, low-salience embedded chunk
items, part-sequences and illusory items. The model also captures performance
differences across ages through the tuning of a single-learning rate parameter.
These results suggest that infant statistical learning is underpinned by the same
domain-general learning mechanism that operates in auditory statistical learning
and, potentially, in adult artificial grammar learning.This article is part of
the themed issue 'New frontiers for statistical learning in the cognitive
sciences'.
PMID- 27872374
TI - What's statistical about learning? Insights from modelling statistical learning
as a set of memory processes.
AB - Statistical learning has been studied in a variety of different tasks, including
word segmentation, object identification, category learning, artificial grammar
learning and serial reaction time tasks (e.g. Saffran et al. 1996 Science 274: ,
1926-1928; Orban et al. 2008 Proceedings of the National Academy of Sciences 105:
, 2745-2750; Thiessen & Yee 2010 Child Development 81: , 1287-1303; Saffran 2002
Journal of Memory and Language 47: , 172-196; Misyak & Christiansen 2012 Language
Learning 62: , 302-331). The difference among these tasks raises questions about
whether they all depend on the same kinds of underlying processes and
computations, or whether they are tapping into different underlying mechanisms.
Prior theoretical approaches to statistical learning have often tried to explain
or model learning in a single task. However, in many cases these approaches
appear inadequate to explain performance in multiple tasks. For example,
explaining word segmentation via the computation of sequential statistics (such
as transitional probability) provides little insight into the nature of
sensitivity to regularities among simultaneously presented features. In this
article, we will present a formal computational approach that we believe is a
good candidate to provide a unifying framework to explore and explain learning in
a wide variety of statistical learning tasks. This framework suggests that
statistical learning arises from a set of processes that are inherent in memory
systems, including activation, interference, integration of information and
forgetting (e.g. Perruchet & Vinter 1998 Journal of Memory and Language 39: , 246
263; Thiessen et al. 2013 Psychological Bulletin 139: , 792-814). From this
perspective, statistical learning does not involve explicit computation of
statistics, but rather the extraction of elements of the input into memory
traces, and subsequent integration across those memory traces that emphasize
consistent information (Thiessen and Pavlik 2013 Cognitive Science 37: , 310
343).This article is part of the themed issue 'New frontiers for statistical
learning in the cognitive sciences'.
PMID- 27872376
TI - The multi-component nature of statistical learning.
AB - The central argument presented in this paper is that statistical learning (SL) is
an ability comprised of multiple components that operate largely implicitly.
Components relating to the stimulus encoding, retention and abstraction required
for SL may include, but are not limited to, certain types of attention,
processing speed and memory. It is likely that individuals vary in terms of the
efficiency of these underlying components, and in patterns of connectivity among
these components, and that SL tasks differ from one another in how they draw on
certain underlying components more than others. This theoretical framework is of
value because it can assist in gaining a clearer understanding of how SL is
linked with individual differences in complex mental activities such as language
processing. Variability in language processing across individuals is of central
concern to researchers interested in child development, including those
interested in neurodevelopmental disorders where language can be affected such as
autism spectrum disorders (ASD). This paper discusses the link between SL and
individual differences in language processing in the context of age-related
changes in SL during infancy and childhood, and whether SL is affected in ASD.
Viewing SL as a multi-component ability may help to explain divergent findings
from previous empirical research in these areas and guide the design of future
studies.This article is part of the themed issue 'New frontiers for statistical
learning in the cognitive sciences'.
PMID- 27872378
TI - Abstraction and generalization in statistical learning: implications for the
relationship between semantic types and episodic tokens.
AB - Statistical approaches to emergent knowledge have tended to focus on the process
by which experience of individual episodes accumulates into generalizable
experience across episodes. However, there is a seemingly opposite, but equally
critical, process that such experience affords: the process by which, from a
space of types (e.g. onions-a semantic class that develops through exposure to
individual episodes involving individual onions), we can perceive or create, on
the-fly, a specific token (a specific onion, perhaps one that is chopped) in the
absence of any prior perceptual experience with that specific token. This article
reviews a selection of statistical learning studies that lead to the speculation
that this process-the generation, on the basis of semantic memory, of a novel
episodic representation-is itself an instance of a statistical, in fact
associative, process. The article concludes that the same processes that enable
statistical abstraction across individual episodes to form semantic memories also
enable the generation, from those semantic memories, of representations that
correspond to individual tokens, and of novel episodic facts about those tokens.
Statistical learning is a window onto these deeper processes that underpin
cognition.This article is part of the themed issue 'New frontiers for statistical
learning in the cognitive sciences'.
PMID- 27872379
TI - Predictors of Time to Union After Operative Fixation of Closed Ankle Fractures.
AB - BACKGROUND: Ankle fractures are common and represent a significant burden to
society. We aim to report the rate of union as determined by clinical and
radiographic data, and to identify factors that predict time to union. METHODS: A
cohort of 112 consecutive patients with isolated, closed, operative malleolar
ankle fractures treated with open reduction and internal fixation was
retrospectively reviewed for time to clinical union. Clinical union was defined
based on radiographic and clinical parameters, and delayed union was defined by
time to union >12 weeks. Injury characteristics, patient factors and treatment
variables were recorded, and statistical techniques employed included the Chi
square test, the Student's T-test, and multivariate linear regression modeling.
RESULTS: Forty-two (37.5%) of patients who achieved union did so in less than 12
weeks, and 69 (61.6%) of these patients demonstrated delayed union at a mean of
16.7 weeks (range, 12.1-26.7 weeks), and the remaining patient required revision
surgery. Factors associated with higher rates of delayed union or increased time
to union included tobacco use, bimalleolar fixation, and high energy mechanism
(all p<0.05). In regression analysis, statistically significant negative
predictors of time to union were BMI, dislocation of the tibiotalar joint,
external fixation for initial stabilization and delay of definitive management
(all p<0.05). CONCLUSION: Patient characteristics, injury factors and treatment
variables are predictive of time to union following open reduction and internal
fixation of closed ankle fractures. These findings should assist with patient
counseling, and help guide the provider when considering adjunctive therapies
that promote bone healing. LEVELS OF EVIDENCE: Prognostic, Level IV: Case series.
PMID- 27872377
TI - Towards a theory of individual differences in statistical learning.
AB - In recent years, statistical learning (SL) research has seen a growing interest
in tracking individual performance in SL tasks, mainly as a predictor of
linguistic abilities. We review studies from this line of research and outline
three presuppositions underlying the experimental approach they employ: (i) that
SL is a unified theoretical construct; (ii) that current SL tasks are
interchangeable, and equally valid for assessing SL ability; and (iii) that
performance in the standard forced-choice test in the task is a good proxy of SL
ability. We argue that these three critical presuppositions are subject to a
number of theoretical and empirical issues. First, SL shows patterns of modality-
and informational-specificity, suggesting that SL cannot be treated as a unified
construct. Second, different SL tasks may tap into separate sub-components of SL
that are not necessarily interchangeable. Third, the commonly used forced-choice
tests in most SL tasks are subject to inherent limitations and confounds. As a
first step, we offer a methodological approach that explicitly spells out a
potential set of different SL dimensions, allowing for better transparency in
choosing a specific SL task as a predictor of a given linguistic outcome. We then
offer possible methodological solutions for better tracking and measuring SL
ability. Taken together, these discussions provide a novel theoretical and
methodological approach for assessing individual differences in SL, with clear
testable predictions.This article is part of the themed issue 'New frontiers for
statistical learning in the cognitive sciences'.
PMID- 27872380
TI - Management of Distal Tibial Metaphyseal Bone Defects With an Intramedullary
Nitinol Scaffold: A Novel Technique.
AB - : Difficult problems that are faced when reconstructing severe pilon fractures
include filling metaphyseal defects and supporting an impacted, multifragmented
articular surface. Supplements to plate fixation currently available in a
surgeon's armamentarium include cancellous bone autograft, structural bone
allograft, demineralized bone matrix, and calcium-based cements. Cancellous
autograft possesses limited inherent mechanical stability and is associated with
graft site morbidity. Structural allografts incorporate inconsistently and are
plagued by late resorption. Demineralized bone matrix also lacks inherent
structural stability. Calcium phosphate cements are not rigidly fixed to bone
unless fixation is applied from cortical bone or through a plate, which must be
taken into consideration when planning fixation. The Conventus DRS (Conventus
Orthopaedics, Maple Grove, MN) implant is an expandable nitinol scaffold that
takes advantage of the elasticity and shape memory of nitinol alloy. Once
deployed and locked, it serves as a stable intramedullary base for fragment
specific periarticular fracture fixation, even in the face of metaphyseal bone
loss. Two cases of successful implant use are presented. In both cases, the
implant is used to fill a metaphyseal void and provide stable articular support
to the distal tibial plafond. LEVELS OF EVIDENCE: Therapeutic Level V: Case
Report, Expert Opinion.
PMID- 27872381
TI - Results of the Gravity Stress Examination in the Normal Patient Population.
AB - : In ankle fractures, the result of a gravity stress radiographic examination is
clinically used to determine if a patient may need surgical intervention. The
purpose of this study is to report the results of a gravity stress examination in
the normal patient population. Fifty study participants were prospectively
enrolled and complete ankle radiographs were obtained, including a
nonweightbearing gravity stress examination. The mean medial clear space in the
gravity stress view was 3.6 mm. This compared to a mean medial clear space of 3.3
mm, and 3.1 mm in the anteroposterior and mortise views. These values were
statistically significantly different from the gravity stress view ( P = .006
and P < .001, respectively). There was no statistically significant difference
between the talar tilt as measured on the anteroposterior and gravity stress
radiographs ( P = .22). No participant had medial clear space widening with
gravity stress to more than 5.2 mm or an increase in their widening by more than
0.2 mm. In conclusion, this study helps guide surgeons by providing normative
radiographic data for a gravity stress examination and supports the notion that
measureable medial clear space widening or talar tilt on gravity stress
examination represents an unstable injury. LEVELS OF EVIDENCE: Level II:
Prospective.
PMID- 27872383
TI - Stress appraisal, information processing strategies, and somatic symptoms: A
longitudinal study with immigrants.
AB - The study investigated threat versus challenge appraisals of acculturative
stressors and their impact on the changes in psychological symptoms. It also
examined information processing styles (informational, normative, and diffuse
avoidant) as moderators of these relationships. A 6-month longitudinal study with
two measuring points was conducted with a sample of immigrants. Threat appraisal
was associated with more psychological symptoms, and challenge appraisal
interacted with information processing styles to predict the changes in somatic
symptoms. Analytical and exploratory informational styles enhanced the positive
effects of challenge appraisal on psychological symptoms, whereas styles
involving avoidance and normative orientation to one's home country dampened
these positive effects.
PMID- 27872382
TI - In utero exposure to LPS alters the postnatal acute-phase response in beef
heifers.
AB - The potential effect of prenatal LPS exposure on the postnatal acute phase
response (APR) to an LPS challenge in heifers was determined. Pregnant crossbred
cows were separated into prenatal immune stimulation (PIS) and saline groups
(Control). From these treatments, heifer calves were identified at weaning to
subsequently receive an exogenous LPS challenge. Sickness behavior scores (SBS)
were recorded and blood samples were collected at 30-min intervals from -2 to 8 h
and again at 24 h relative to the LPS challenge. There was a treatment * time
interaction for the change in vaginal temperature (VT) such that the change in VT
was greater in Control than PIS from 150 to 250 min, yet it was greater in PIS
than Control from 355 to 440 min and from 570 to 1145 min. There was also a
treatment * time interaction for SBS such that scores were greater in Control
than PIS at 0.5 h, yet were greater in PIS than Control from 2.5 to 4 h post-LPS.
There was a tendency for a treatment * time interaction for serum concentrations
of IL-6, which were greater in PIS than Control heifers from 5.5 to 6 h and from
7 to 8 h post-challenge. Thus, a single exposure to LPS during gestation can
alter the postnatal APR to LPS in heifer calves.
PMID- 27872384
TI - Dismantling prevention: Comparison of outcomes following media literacy and
appearance comparison modules in a randomised controlled trial.
AB - A dismantling study of body dissatisfaction prevention was conducted. Adolescent
girls ( N = 260) were randomly allocated to a media literacy (Happy Being Me -
Media Literacy) or appearance comparison (Happy Being Me - Appearance Comparison)
intervention or healthy eating behaviour control (Happy Being Me - Healthy Eating
Behaviour) condition. In the Happy Being Me - Appearance Comparison condition,
improvements from baseline to post-programme and follow-up for upward appearance
comparison and fear of negative appearance evaluation were observed. In the Happy
Being Me - Media Literacy condition, improvements were observed from baseline to
post-programme for upward appearance comparison and realism scepticism. Findings
were similar in a high-risk subsample and overall are moderately supportive of
appearance comparison-based interventions, but less supportive of a stand-alone
media literacy intervention.
PMID- 27872386
TI - Transformational leadership in primary care: Clinicians' patterned approaches to
care predict patient satisfaction and health expectations.
AB - Clinicians face the complex challenge of motivating their patients to achieve
optimal health while also ensuring their satisfaction. Inspired by
transformational leadership theory, we proposed that clinicians' motivational
behaviors can be organized into three patient care styles (transformational,
transactional, and passive-avoidant) and that these styles differentially predict
patient health outcomes. In two studies using patient-reported data and observer
ratings, we found that transformational patient care style positively predicted
patients' satisfaction and health expectations above and beyond transactional and
passive-avoidant patient care style. These findings provide initial support for
the patient care style approach and suggest novel directions for the study of
clinicians' motivational behaviors.
PMID- 27872385
TI - Development and validation of the 'Echelle de Motivation envers l'Activite
Physique en contexte de Sante': A motivation scale towards health-oriented
physical activity in French.
AB - This article presents the validation of the 'Echelle de Motivation envers
l'Activite Physique en contexte de Sante' including the six forms of motivation
underlined by self-determination theory. Study 1 underlines the content validity
of a pool of 30 items ( N = 20). Study 2 supports the six-factor structure
validity of the 18-item Echelle de Motivation envers l'Activite Physique en
contexte de Sante ( N = 309). Study 3 ( N = 191) confirms structure validity, as
well as concurrent validity and 2-week temporal reliability. The Echelle de
Motivation envers l'Activite Physique en contexte de Sante can be considered as a
valid and reliable tool to use in prevention or rehabilitation contexts.
PMID- 27872387
TI - Associations of perceived risk and cancer worry for colorectal cancer with
screening behaviour.
AB - We investigated the associations of perceived risk and cancer worry with
colorectal cancer screening by the faecal occult blood test, colonoscopy or both.
This study was based on the 2013 Korean National Cancer Screening Survey,
including 2154 randomly selected, cancer-free and over 50-year-old adults.
Individuals with higher cancer worry were 1.53 times more likely to undergo
colorectal cancer screening, influenced by emotional reaction; individuals with
greater perceived risk were 1.61 times more, affected by subjective awareness.
However, cancer worry was only associated with the faecal occult blood test.
Better understanding of cancer worry and perceived risk on screening behaviours
may help to increase colorectal cancer screening rates.
PMID- 27872388
TI - Psychometric properties of the Chinese version of the Affective Style
Questionnaire and its role as a moderator of the relationship between stress and
negative affect.
AB - The Affective Style Questionnaire is a self-report instrument for assessing
affective style. Study 1 investigated the psychometric properties of the Chinese
Affective Style Questionnaire in a sample of 459 Chinese participants. The
confirmatory factor analysis supported a three-factor structure. Study 1
indicated that the Chinese Affective Style Questionnaire can be used as a simple,
reliable, and valid scale for measuring individual differences in affective
style. Study 2 examined the moderating role of different affective styles in the
relationship between stress and negative affect. Concealing and tolerating
moderated the relationship between stress and anxiety, and adjusting moderated
the relationship between stress and depression.
PMID- 27872389
TI - Promoting fruit and vegetable intake in childbearing age women at risk for
gestational diabetes mellitus: A randomised controlled trial.
AB - This randomised controlled trial verified the efficacy of an implementation
intentions intervention ( n = 24) to promote fruit and vegetable consumption
among childbearing age women at risk for gestational diabetes mellitus against a
control condition based on the question-behaviour effect ( n = 26). There was
only a significant time effect ( F(2,85) = 5.69, p = 0.0048). Both groups
increased their vegetable consumption compared to baseline at 3 months ( p =
0.0022) and 6 months ( p = 0.0042). There were no significant effects on weight,
waist circumference and blood glucose levels. Implementation intentions and the
question-behaviour effect appear to be effective behaviour change techniques to
promote vegetable intake among this high-risk population.
PMID- 27872390
TI - Classifying diabetes-burden: A factor analysis of the Problem Areas in Diabetes
Scale.
AB - This study sought to identify salient factors associated with the Problem Areas
in Diabetes Scale to facilitate improved assessment and treatment of diabetes
related burden. Exploratory factor analysis assessed the factor structure of the
Problem Areas in Diabetes Scale among 224 Veterans with uncontrolled type 2
diabetes and depressive symptoms. A four-factor solution of emotional, diabetes
management, treatment, and social support burden subscales was extracted. These
factors represent clinically relevant components of diabetes burden that include
but go beyond symptoms of depression. The Problem Areas in Diabetes subscales may
expand assessments for depression and improve medical and behavioral health
interventions for patients with diabetes.
PMID- 27872391
TI - The Role of School Health Services in Addressing the Needs of Students With
Chronic Health Conditions.
AB - Children and adolescents in the United States spend many hours in school.
Students with chronic health conditions (CHCs) may face lower academic
achievement, increased disability, fewer job opportunities, and limited community
interactions as they enter adulthood. School health services provide safe and
effective management of CHCs, often for students with limited access to health
care. A systematic review to assess the role of school health services in
addressing CHCs among students in Grades K-12 was completed using primary, peer
reviewed literature published from 2000 to 2015, on selected conditions: asthma,
food allergies, diabetes, seizure disorders, and poor oral health. Thirty-nine
articles met the inclusion criteria and results were synthesized; however, 38
were on asthma. Direct access to school nursing and other health services, as
well as disease-specific education, improved health and academic outcomes among
students with CHCs. Future research needs to include standardized definitions and
data collection methods for students with CHCs.
PMID- 27872392
TI - A Scoping Review of Self-Report Measures of Aggression and Bullying for Use With
Preadolescent Children.
AB - Bullying in schools is a major health concern throughout the world, contributing
to poor educational and mental health outcomes. School nurses are well placed to
facilitate the implementation and evaluation of bullying prevention strategies.
To evaluate the effect of such strategies, it is necessary to measure children's
behavior over time. This scoping review of instruments that measure the self
report of aggressive behavior and bullying by children will inform the evaluation
of bullying interventions. This review aimed to identify validated instruments
that measure aggression and bullying among preadolescent children (age 8-12). The
review was part of a larger study that sought to differentiate bullying from
aggressive behavior by measuring the self-report of power imbalance between the
aggressor and the child being bullied. The measurement of power imbalance was
therefore a key aspect of the scoping review.
PMID- 27872393
TI - Moralization Through Moral Shock: Exploring Emotional Antecedents to Moral
Conviction.
AB - The current research tested whether exposure to disgusting images increases moral
conviction and whether this happens in the presence of incidental disgust cues
versus disgust cues relevant to the target of moralization. Across two studies,
we exposed participants to one of the four sets of disgusting versus control
images to test the moralization of abortion attitudes: pictures of aborted
fetuses, animal abuse, non-harm related disgusting images, harm related
disgusting images, or neutral pictures, at either sub- or supraliminal levels of
awareness. Moral conviction about abortion increased (compared with control) only
for participants exposed to abortion-related images at speeds slow enough to
allow conscious awareness. Study 2 replicated this finding, and found that the
relationship between attitudinally relevant disgust and moral conviction was
mediated by disgust, and not anger or harm appraisals. Findings are discussed in
terms of their relevance for intuitionist theories of morality and moral theories
that emphasize harm.
PMID- 27872394
TI - Women's Fertility Status Alters Other Women's Jealousy and Mate Guarding.
AB - Across three studies, we tested the hypothesis that women exhibit greater
jealousy and mate guarding toward women who are in the high (vs. low) fertility
phase of their cycle. Women who imagined their partner with a woman pictured at
high fertility reported more jealousy than women who imagined their partner with
a woman pictured at low fertility (Studies 1 and 2). A meta-analysis across
studies manipulating fertility status of the pictured woman found a significant
effect of fertility status on both jealousy and mate guarding. Women with
attractive partners viewed fertile-phase women as less trustworthy, which led to
increased mate guarding (Study 2). In Study 3, the closer women were to peak
fertility, the more instances they reported of other women acting jealously and
mate guarding toward them. These studies provide evidence that women selectively
exhibit jealousy and mate guarding toward women who are near peak fertility.
PMID- 27872395
TI - Strangers With Benefits: Attraction to Outgroup Men Increases as Fertility
Increases Across the Menstrual Cycle.
AB - Research typically reveals that outgroups are regarded with disinterest at best
and hatred and enmity at worst. Working from an evolutionary framework, we
identify a unique pattern of outgroup attraction. The small-group lifestyle of
pre-human ancestors plausibly limited access to genetically diverse mates.
Ancestral females may have solved the inbreeding dilemma while balancing parental
investment pressures by mating with outgroup males either via converting to an
outgroup or cuckolding the ingroup. A vestige of those mating strategies might
manifest in human women as a cyclic pattern of attraction across the menstrual
cycle, such that attraction to outgroup men increases as fertility increases
across the cycle. Two studies, one using a longitudinal method and the other an
experimental method, evidenced the hypothesized linear relationship between
attraction to outgroup men and fertility in naturally cycling women.
PMID- 27872397
TI - Pulmonary Mycobacterium marinum infection: 'fish tank granuloma' of the lung.
AB - A 65-year-old man presented with a six-month history of lethargy, weight loss and
dry cough. He had a background of mild chronic obstructive pulmonary disease.
Chest radiograph showed new right upper lobe cavitary opacification. Sputum
cultures were acid-fast bacilli smear positive and yielded Mycobacterium marinum
a non-tuberculous mycobacterium (NTM) often found in aquatic environments and
rarely associated with respiratory disease. The suspected source was silent
aspiration of contaminated water, likely due to his initiating the siphon of his
fish-tank by mouth. He completed a one-year course of rifampicin, ethambutol and
clarithromycin, with negative repeat sputum mycobacteria cultures and
radiological improvement. This case report demonstrates a successful approach to
investigation and further management of Mycobacterium marinum pulmonary disease -
a rare condition, particularly in immunocompetent individuals, with limited
treatment guidelines.
PMID- 27872398
TI - Five-year study of unidentified/unclaimed and unknown deaths brought for
medicolegal autopsy at Premier Hospital in New Delhi, India.
AB - Delhi is the second largest city of the world both in terms of population and
area, as well as being the capital of India. Every year, thousands of people from
different states throng to the capital in search of a job in order to earn a
living. When these people die and their bodies are found without any identifying
documentation, it is very difficult for the police to establish their identities.
These bodies are labelled as unidentified/unclaimed or unknown, and are sent for
usually sent for medicolegal autopsy. Invariably, skeletonised bodies are also
recovered, which are also subjected to medicolegal autopsy. Female foeticide is
another social problem, and whenever such foetuses are disposed of illegally,
they are also grouped under this category and brought for medicolegal autopsy. We
undertook a five-year retrospective analysis (for the period 2010-2014) of all
such cases brought for medicolegal autopsy at our centre, which caters only for
the south and south-east districts of Delhi. A total of 7964 cases were brought
for medicolegal autopsy, of which unknown cases accounted for about 16%. About 25
30 foetuses and skeletonised bodies were brought each year that was studied. The
manner of death was certified as natural in about 71% of cases, with predominant
pathology in the lungs. There was a clear predominance of males over females,
with the 31- to 50-year age group accounting for half of all cases. There was an
increase in the number of deaths during months of extreme temperatures. The
average time between the recovery of a body by the police and the post-mortem was
about seven days. These findings raise many questions, including the failure of
governmental policies, police investigating agencies and social menace. The
creation of a national missing-persons database as well as a DNA databank is
needed to aid in the identification of unidentified/unclaimed and unknown bodies.
PMID- 27872396
TI - Comparison of estimates of body fat content in childhood-onset systemic lupus
erythematosus.
AB - Objective We aimed to compare estimates of body fat content with respect to their
ability to predict the percentage of body fat, confirmed by dual-energy X-ray
absorptiometry scans in childhood-onset systemic lupus erythematosus. Methods We
included 64 consecutive childhood-onset systemic lupus erythematosus patients and
64 healthy age and sex-matched controls in a cross-sectional study.
Anthropometric data, body mass index and body adiposity index were calculated for
all subjects. Childhood-onset systemic lupus erythematosus patients were further
assessed for clinical and laboratory childhood-onset systemic lupus erythematosus
manifestations and fat mass, lean mass and percentage of body fat evaluated by
dual-energy X-ray absorptiometry. Results Elevated waist/hip ratio was observed
in childhood-onset systemic lupus erythematosus patients when compared to
controls ( p < 0.001). We did not find differences between body mass index and
body adiposity index classification in childhood-onset systemic lupus
erythematosus patients and controls. Using dual-energy X-ray absorptiometry as
gold standard we observed that all indirect estimates of body fat were correlated
with whole body fat mass. We observed a correlation between height and cumulative
corticosteroid dose adjusted by weight ( r = 0.429, p = 0.005) in childhood-onset
systemic lupus erythematosus. On whole body analysis we observed a correlation
between lean mass and ACR Damage Index scores ( r = -0.395; p = 0.019);
percentage of body fat and adjusted Systemic Lupus Erythematosus Disease Activity
Index ( r = 0.402; p = 0.008), disease duration ( r = -0.370; p = 0.012). On
trunk analysis we observed a correlation between lean mass and ACR Damage Index (
r = -0.319; p = 0.042); percentage of body fat with adjusted Systemic Lupus
Erythematosus Disease Activity Index ( r = 0.402; p = 0.005), disease duration (
r = -0.408; p = 0.005). Conclusions This is the first study analyzing body
adiposity index in childhood-onset systemic lupus erythematosus patients. We
observed that all indirect estimates of body fat were correlated with whole body
fat mass. This study shows that we should not replace body mass index by body
adiposity index to evaluating fat levels in childhood-onset systemic lupus
erythematosus. In consideration of the importance of overweight classification in
cardiovascular diseases, any direct estimates of body fat can be used in an
attempt to improve the prognosis of patients. Note We believe that we have
presented evidence of body adiposity index accuracy in childhood-onset systemic
lupus erythematosus patients but further research on the generalizability of body
adiposity index to other patient groups needs to be done.
PMID- 27872399
TI - Health and Rural Context Among Victims of Partner Abuse: Does Justice Matter?
AB - Research typically compartmentalizes health and justice as separate areas of
study. However, the current health literature on inequality suggests the two
concepts are overlapping. For victims of partner violence, procedural justice
(defined in this article as access to protective orders and enforcement of
protective orders) potentially provides a step toward improved health and well
being by improving safety. There has been limited research examining these
factors in rural compared with urban areas. This study examines the impact of
procedural justice on health and well-being through interviews with rural and
urban women 6 months prior to, and 6 months after, obtaining a protective order.
Consistent with other literature, rural women who were victims of partner
violence reported worse health, higher stress, and higher Stress-Related
Consequences Scale scores compared with urban women. Women's reported health
consequences were related to the interaction of perceived ineffectiveness of the
protective orders and their rural/urban environment. Thus, the perceived
effectiveness of procedural justice may play an important role in alleviating
victims' safety, health, and well-being, all of which are components of
contemporary views of justice.
PMID- 27872400
TI - Creative Defiance.
AB - Throughout his work, Chaz Maviyane-Davies has used images and ideas to cut
through complacency and apathy while trying to raise social consciousness. The
issues addressed in his work have included social discrimination, human rights,
health, and the environment. Creating an expressive alternate vision in a
pervading regressive body politic has never been easy and therein lies the
challenge he calls "Creative Defiance." The article is from a presentation which
was made at the University of Massachusetts Lowell in 2016.
PMID- 27872401
TI - Criminality and Asbestos in Industry.
AB - Criminal prosecutions of individuals in the asbestos industry are reviewed,
particularly the case of asbestos owner-executive Stephan Schmidheiny. Italian
courts sentenced Schmidheiny to sixteen to eighteen years in jail for creating an
environmental disaster causing three thousand deaths. The convictions were
overturned on a technicality, and a murder case against Schmidheiny has started.
His firm, Eternit, made asbestos-cement building products in many countries.
Schmidheiny directed a cover-up that the Italian Court of Appeal blamed for
delaying the ban of asbestos in Italy by ten years. Today, the asbestos industry
is a criminal industry, profiting only by minimizing its costs for the prevention
and compensation of occupational and environmental illness. The asbestos industry
should only be consulted by governments for the purpose of closing it and dealing
with the legacy of in-place asbestos.
PMID- 27872402
TI - Effect of Training Exercise on Urinary Brain-derived Neurotrophic Factor Levels
and Cognitive Performances in Overweight and Obese Subjects.
AB - Exercise-mediated, brain-derived neurotrophic factor induction benefits health
and cognitive functions. The multifaceted interplay between physical activity,
urinary brain-derived neurotrophic factor levels and cognitive functioning has
been largely neglected in previous literature. In this pilot study, two bouts of
training exercise (65% and 70% of heart rate reserve) influenced urinary brain
derived neurotrophic factor levels and cognitive performances in 12 overweight
and obese participants. Percent heart rate reserve, expenditure energy, brain
derived neurotrophic factor urinary levels and cognitive performances were
measured before and after the exercise. No significant variations in energy
expenditure were observed, while differences of heart rate reserve between two
groups were maintained. Both bouts of training exercise induced a similar
reduction in urinary brain-derived neurotrophic factor levels. Only visuo-spatial
working memory capacity at 65% of heart rate reserve showed a significant
increase. These findings indicate a consistent effect of training exercise on
urinary brain-derived neurotrophic factor levels and cognitive factors in
overweight and obese participants.
PMID- 27872403
TI - Biomechanical Analysis of Weighted-Ball Exercises for Baseball Pitchers.
AB - BACKGROUND: Weighted-ball throwing programs are commonly used in training
baseball pitchers to increase ball velocity. The purpose of this study was to
compare kinematics and kinetics among weighted-ball exercises with values from
standard pitching (ie, pitching standard 5-oz baseballs from a mound).
HYPOTHESIS: Ball and arm velocities would be greater with lighter balls and joint
kinetics would be greater with heavier balls. STUDY DESIGN: Controlled laboratory
study. METHODS: Twenty-five high school and collegiate baseball pitchers
experienced with weighted-ball throwing were tested with an automated motion
capture system. Each participant performed 3 trials of 10 different exercises:
pitching 4-, 5-, 6-, and 7-oz baseballs from a mound; flat-ground crow hop throws
with 4-, 5-, 6-, and 7-oz baseballs; and flat-ground hold exercises with 14- and
32-oz balls. Twenty-six biomechanical parameters were computed for each trial.
Data among the 10 exercises were compared with repeated measures analysis of
variance and post hoc paired t tests against the standard pitching data. RESULTS:
Ball velocity increased as ball mass decreased. There were no differences in arm
and trunk velocities between throwing a standard baseball and an underweight
baseball (4 oz), while arm and trunk velocities steadily decreased as ball weight
increased from 5 to 32 oz. Compared with values pitching from a mound, velocities
of the pelvis, shoulder, and ball were increased for flat-ground throws. In
general, as ball mass increased arm torques and forces decreased; the exception
was elbow flexion torque, which was significantly greater for the flat-ground
holds. There were significant differences in body positions when pitching on the
mound, flat-ground throws, and holds. CONCLUSIONS: While ball velocity was
greatest throwing underweight baseballs, results from the study did not support
the rest of the hypothesis. Kinematics and kinetics were similar between
underweight and standard baseballs, while overweight balls correlated with
decreased arm forces, torques, and velocities. Increased ball velocity and joint
velocities were produced with crow hop throws, likely because of running forward
while throwing. CLINICAL RELEVANCE: As pitching slightly underweight and
overweight baseballs produces variations in kinematics without increased arm
kinetics, these exercises seem reasonable for training pitchers. As flat-ground
throwing produces increased shoulder internal rotation velocity and elbow varus
torque, these exercises may be beneficial but may also be stressful and risky.
Flat-ground holds with heavy balls should not be viewed as enhancing pitching
biomechanics, but rather as hybrid exercises between throwing and resistance
training.
PMID- 27872405
TI - When Wife-Beating Is Not Necessarily Abuse: A Feminist and Cross-Cultural
Analysis of the Concept of Abuse as Expressed by Tibetan Survivors of Domestic
Violence.
AB - This article describes the views of Tibetan women who have experienced physical
violence from male intimate partners. How they conceptualise abuse, their views
on acceptable versus unacceptable hitting, and the acts besides hitting which
they felt to be unacceptable or abusive, are explored. Views of survivors'
relatives/friends and men who have hit their wives are also included. Western
based domestic violence theory is shown to be incommensurate with abuse in
particular socio-cultural settings. As feminist scholars emphasize listening
deeply to voices of women in the global South, this article demonstrates how such
listening might be undertaken when the views expressed by women diverge from
feminism.
PMID- 27872404
TI - Unique Cellular Lineage Composition of the First Gland of the Mouse Gastric
Corpus.
AB - The glandular stomach has two major zones: the acid secreting corpus and the
gastrin cell-containing antrum. Nevertheless, a single gland lies at the
transition between the forestomach and corpus in the mouse stomach. We have
sought to define the lineages that make up this gland unit at the squamocolumnar
junction. The first gland in mice showed a notable absence of characteristic
corpus lineages, including parietal cells and chief cells. In contrast, the gland
showed strong staining of Griffonia simplicifolia-II (GSII)-lectin-positive
mucous cells at the bases of glands, which were also positive for CD44 variant 9
and Clusterin. Prominent numbers of doublecortin-like kinase 1 (DCLK1) positive
tuft cells were present in the first gland. The first gland contained Lgr5
expressing putative progenitor cells, and a large proportion of the cells were
positive for Sox2. The cells of the first gland stained strongly for MUC4 and
EpCAM, but both were absent in the normal corpus mucosa. The present studies
indicate that the first gland in the corpus represents a unique anatomic entity.
The presence of a concentration of progenitor cells and sensory tuft cells in
this gland suggests that it may represent a source of reserve reparative cells
for adapting to severe mucosal damage.
PMID- 27872406
TI - Life cycle assessment of potential municipal solid waste management strategies
for Mumbai, India.
AB - Dumping of municipal solid waste into uncontrolled dumpsites is the most common
method of waste disposal in most cities of India. These dumpsites are posing a
serious challenge to environmental quality and sustainable development. Mumbai,
which generates over 9000 t of municipal solid waste daily, also disposes of most
of its waste in open dumps. It is important to analyse the impact of municipal
solid waste disposal today and what would be the impact under integrated waste
management schemes. In this study, life cycle assessment methodology was used to
determine the impact of municipal solid waste management under different
scenarios. Six different scenarios were developed as alternatives to the current
practice of open dumping and partially bioreactor landfilling. The scenarios
include landfill with biogas collection, incineration and different combinations
of recycling, landfill, composting, anaerobic digestion and incineration. Global
warming, acidification, eutrophication and human toxicity were assessed as
environmental impact categories. The sensitivity analysis shows that if the
recycling rate is increased from 10% to 90%, the environmental impacts as
compared with present scenario would reduce from 998.43 kg CO2 eq t-1 of
municipal solid waste, 0.124 kg SO2 eq t-1, 0.46 kg PO4-3 eq t-1, 0.44 kg 1,4-DB
eq t-1 to 892.34 kg CO2 eq t-1, 0.121 kg SO2 eq t-1, 0.36 kg PO4-3 eq t-1, 0.40
kg 1,4-DB eq t-1, respectively. An integrated municipal solid waste management
approach with a mix of recycling, composting, anaerobic digestion and landfill
had the lowest overall environmental impact. The technologies, such as
incineration, would reduce the global warming emission because of the highest
avoided emissions, however, human toxicity would increase.
PMID- 27872408
TI - Active Expiration and the Measurement of Central Venous Pressure.
AB - PURPOSE: To obtain a point prevalence estimate of alterations in central venous
pressure (CVP) produced by active expiration in a consecutive series of intensive
care patients. METHODS: We evaluated CVP tracings taken by the nurses at their
morning shift change in a consecutive series of 60 cardiac surgery and 59
noncardiac surgery patients. We also assessed change in values due to the change
in transducer level. Three physicians and a nurse instructor independently
reviewed the tracings and determined whether there was evidence of forced
expiration and whether it was type A, defined by decreasing CVP during
expiration, or type B, defined by increasing CVP during expiration. RESULTS:
Agreement for CVP value was 96% between a physician and a bedside nurse. Twenty
nine percent of participants had active expiration, evenly distributed between A
and B types. Active expiration was not related to the type of surgery, use of
bronchodilators, and the presence of chronic obstructive lung disease or
abdominal distention. Active expiration was more common in nonventilated patients
and patients not receiving vasopressor drugs, suggesting they were more awake.
CONCLUSION: Active expiration is common in critically ill patients. Failure to
recognize it can result in important errors in the estimation of CVP and other
hemodynamic measurements.
PMID- 27872407
TI - Fatigue, Work Schedules, and Perceived Performance in Bedside Care Nurses.
AB - Hospital nurses are expected to maintain optimal work performance; yet, fatigue
can threaten safe practice and result in unfavorable patient outcomes. This
descriptive cross-sectional study explored the association between fatigue, work
schedules, and perceived work performance among nurses. The study sample included
77 bedside nurses who were mostly female, single, and between 20 and 29 years of
age. The majority worked 8-hour shifts and overtime. Nurses who worked during off
days reported significantly higher chronic fatigue compared with those nurses who
took time off. Nurses who reported feeling refreshed after sleep had
significantly less chronic and acute fatigue and more intershift recovery. Nurses
with acute and chronic fatigue perceived poorer physical performance. Also,
nurses who reported chronic fatigue perceived they were less alert and less able
to concentrate when providing patient care. Less effective communication was also
associated with acute and chronic fatigue. In conclusion, fatigue has safety
implications for nurses' practice that should be monitored by nursing management.
PMID- 27872409
TI - Complexity Analysis of Decision-Making in the Critically Ill.
AB - RATIONALE: Despite multiple trials of interventions to improve end-of-life care
of the critically ill, there is a persistent lack of understanding of factors
associated with barriers to decision-making at the end of life. OBJECTIVE: To
apply the principles of complexity science in examining the extent to which
transitions to end-of-life care can be predicted by physician, family, or patient
characteristics; outcome expectations; and the evaluation of treatment
effectiveness. METHODS: A descriptive, longitudinal study was conducted in 3
adult intensive care units (ICUs). Two hundred sixty-four family surrogates of
patients lacking decisional capacity and the physicians caring for the patients
were interviewed every 5 days until ICU discharge or patient death. MEASUREMENTS:
Characteristics of patients, physicians, and family members; values and
preferences of physicians and family; and evaluation of treatment effectiveness,
expectations for patient outcomes, and relative priorities in treatment (comfort
vs survival). The primary outcome, focus of care, was categorized as (1)
maintaining a survival orientation (no treatment limitations), (2) transitioning
to a stronger palliative focus (eg, some treatment limitations), or (3)
transitioning to an explicit end-of-life, comfort-oriented care plan. MAIN
RESULTS: Physician expectations for survival and future cognitive status were the
only variables consistently and significantly related to the focus of care.
Neither physician or family evaluations of treatment effectiveness nor what was
most important to physicians or family members was influential. CONCLUSION: Lack
of influence of family and physician views, in comparison to the consistent
effect of survival probabilities, suggests barriers to incorporation of
individual values in treatment decisions.
PMID- 27872410
TI - Risk of Mortality of Catheter-Related Bloodstream Infections Caused by
Acinetobacter Species: Is Early Removal of the Catheters Associated With a Better
Survival Outcome?
AB - PURPOSE: Bloodstream infections (BSIs) caused by Acinetobacter species have been
extensively reported, however, which majorly focused on respiratory tract
infections. The risk of mortality and the effect of early catheter removal on
survival in catheter-related BSIs (CRBSIs) caused by Acinetobacter spp. remain
unclear. This study aims to investigate that. METHODS: This is a retrospective
multicentric study conducted in Taiwan from 2012 to 2014. Patients with at least
1 positive blood culture and catheter culture for the same Acinetobacter spp.,
showing symptoms and signs of CRBSIs, were included (n = 119). Risk factors for
30-day mortality were analyzed using a logistic regression model. The
characteristics of patients with early catheter removal (within 48 hours after
CRBSIs) were compared to those without removal matching for age, sex, and disease
severity. RESULTS: There were no differences in 30-day mortality with regard to
causative Acinetobacter spp., catheter type, site, and appropriateness of
antimicrobial therapy. Patients with higher Acute Physiologic and Chronic Health
Evaluation (APACHE) II scores (odds ratio [OR]: 1.12; 95% confidence interval
[CI]: 1.02-1.23; P = .014), shock (OR: 6.43; 95% CI: 1.28-32.33; P = .024), and
longer hospitalization before CRBSIs (OR: 1.04; 95% CI: 1.00-1.08; P = .027) had
a significantly higher 30-day mortality rate. Early removal of catheters after
CRBSIs was not associated with better survival benefits. CONCLUSION: Higher
disease severity (APACHE II score), shock, and longer hospitalization before
bacteremia were independently associated with a higher 30-day mortality in CRBSIs
caused by Acinetobacter spp. In previous published guidelines, infected catheters
were suggested to be removed in CRBSIs caused by gram-negative bacilli. Even
though early removal of catheters did not associate with a better survival
outcome in current results, it should be judiciously evaluated according to the
clinical conditions and risks individually. For better elucidation of these
issues, further well-controlled prospective study may be warranted.
PMID- 27872411
TI - Osteoporosis in Pediatric Liver Transplantation.
AB - Liver transplantation provides an important, often life-saving treatment for end
stage liver disease. Osteoporosis post-liver transplantation has been described
in adults; however, this has not been described in the pediatric population to
date. We present a case of a 13-year-old female patient who underwent an
orthotopic liver transplant for cryptogenic liver cirrhosis. Her
immunosuppressants were tacrolimus and prednisone. Four months posttransplant,
she started complaining of bilateral lower limb pain and limping while walking,
progressing to a point where she was almost immobile. Magnetic resonance
imagining of the pelvis showed bilateral avascular necrosis involving the weight
bearing surfaces of both femoral heads, in addition to the extensive edema
involving both hip joints. Bone mineral densitometry was below normal for her age
at the hip and forearm. She was started on high-dose calcium and vitamin D
supplement, as well as zoledronic acid with a remarkable symptomatic and
functional improvement.
PMID- 27872412
TI - Estimation of Viscoelastic Properties of Cells Using Acoustic Tweezing Cytometry.
AB - OBJECTIVES: Recently developed acoustic tweezing cytometry uses ultrasound
responsive targeted microbubbles for biomechanical stimulation of live cells at
the subcellular level. The purpose of this research was to estimate the
viscoelastic characteristics of cells from the displacements of cell-bound
microbubbles in response to ultrasound pulses on acoustic tweezing cytometry.
METHODS: Microbubbles were bound to NIH/3T3 fibroblasts and ATDC5 cells through
an integrin-cytoskeleton linkage. The evolution of microbubble behaviors under
irradiation by ultrasound pulses was captured by a high-speed camera and tracked
by a customized algorithm. The total damping constant, stiffness, and rigidity of
the cells were estimated by fitting the measured temporal displacement profiles
to a Kelvin-Voigt-based model. RESULTS: The mean maximum displacement of the
microbubbles attached to NIH/3T3 fibroblasts was much greater than that for ATDC5
cells. The mean fitted damping constant and stiffness +/- SD for ATDC5 cells were
28.16 +/- 7.08 mg/s and 0.5041 +/- 0.1381 mN/m, respectively, and the values for
NIH/3T3 fibroblasts were 13.12 +/- 4.23 mg/s and 0.2591 +/- 0.0715 mN/m. The
rigidity for ATDC5 cells was 331.46 +/- 106.50 MPa, whereas that for NIH/3T3
fibroblasts was 117.92 +/- 34.83 MPa. CONCLUSIONS: The Arg-Gly-Asp-integrin
cytoskeleton system of NIH/3T3 fibroblasts appears to be softer than that of
ATDC5 cells. The rigidity of ATDC5 cells was significantly greater than that of
NIH/3T3 fibroblasts at the 95% confidence level. This strategy provides a novel
way to determine the viscoelastic properties of the live cells.
PMID- 27872414
TI - Effect of Low-Dose Aspirin on Midluteal Phase Uterine Artery Blood Flow in
Patients With Recurrent Pregnancy Loss.
AB - OBJECTIVES: The purpose of this study was to evaluate differences in uterine
artery blood flow parameters and pregnancy outcomes, if any. An investigation was
conducted to determine the effects of low-dose aspirin on uterine artery blood
flow indices in patients with recurrent pregnancy loss. METHODS: This
observational study included 353 Chinese women with a history of recurrent
pregnancy loss and 85 women without a history of recurrent pregnancy loss
(control group) from Ren Ji Hospital. All patients were scanned transvaginally
with transvaginal Doppler sonography 6 to 8 days after ovulation to measure the
pulsatility index (PI), resistive index (RI), and systolic-to-diastolic ratio
(S/D) of the left and right main uterine arteries. Low-dose aspirin at a dose of
50 mg/d was administered orally in patients with recurrent pregnancy loss for 2
months, and the blood flow indices were measured subsequently. The Student t test
was used for analysis of the results, P < .05 was considered significant.
RESULTS: The mean PI and S/D of the uterine arteries in the recurrent pregnancy
loss group were significantly higher than in the control group. Although not
statistically significant, the RI was higher in the recurrent pregnancy loss
group than the control group. Moreover, the PI and S/D increased as the number of
pregnancy losses increased. Significant enhancements of the PI and S/D were
observed in patients with 4 or more consecutive abortions. After low-dose aspirin
supplementation, patients with recurrent pregnancy loss showed a highly
significant reduction in the PI and S/D. CONCLUSIONS: Uterine blood flow
decreased during the luteal phase in patients with recurrent pregnancy loss. Low
dose aspirin induced a reversible increase in uterine blood flow and may be of
therapeutic value.
PMID- 27872413
TI - Is There Any Difference Between Seminomas and Nonseminomatous Germ Cell Tumors on
Shear Wave Elastography? A Preliminary Study.
AB - OBJECTIVES: The purpose of this study was to evaluate the ability of shear wave
elastography (SWE) to differentiate seminomas from nonseminomatous germ cell
tumors. METHODS: Approval for this retrospective study was obtained from the
local Ethics Committee of Istanbul University Cerrahpasa Medical School. Fifteen
patients with malignant testicular lesions were examined by grayscale sonography,
color or power Doppler sonography, and SWE between February 2011 and October
2015. The size of each lesion, Doppler signal parameters, echogenicity, presence
of microlithiasis, unifocality or multifocality, and histopathologic findings
were the main factors evaluated. RESULTS: The mean age of the patients was 33
years (range, 25-55 years). There were no differences between seminomas and
nonseminomatous germ cell tumors in terms of Doppler signals, echogenicity,
microlithiasis, or focality. Only the homogeneous and heterogeneous echogenicity
patterns differed significantly. However, a significant difference was evident in
SWE-derived quantitative data. CONCLUSIONS: Seminomas and nonseminomatous germ
cell tumors do not differ significantly on grayscale or Doppler sonography,
except in terms of homogeneity. However, SWE seems to differentiate seminomas
from nonseminomatous germ cell tumors.
PMID- 27872415
TI - Training Performance in Diagnosis of Congenital Uterine Anomalies With 3
Dimensional Sonography: A Learning Curve Cumulative Summation Analysis.
AB - OBJECTIVES: We evaluated learning curve cumulative summation (CUSUM) of 3
dimensional (3D) sonography for diagnosis of congenital uterine anomalies and the
deviations of the level of trainees' performance at the control-stage CUSUM.
METHODS: First-year (R1), second-year (R2), and third-year (R3) residents in
obstetrics and gynecology received a training program to learn how to analyze 3D
sonographic volumes and to classify congenital uterine anomalies. Each trainee
worked on 155 3D sonographic volumes from preselected patients. Their results
were evaluated by learning curve CUSUM and standard CUSUM. The time for each
volume analysis was calculated for the expert examiner and the trainees. RESULTS:
Trainees R1, R2, and R3 reached competence at the 85th, 58th, and 40th
evaluations, respectively, with success rates of 80%, 81%, and 85%, and kept the
process under control with error levels of less than 4.5% until the end of the
test. The trainees significantly reduced the average time of the evaluation per
volume (P < .001). CONCLUSIONS: Learning curve CUSUM provided quantitative
indicators of the learning evolution of 3D sonography for diagnosis of congenital
uterine anomalies by obstetrics and gynecology residents. The training received
by the residents was adequate for diagnosis of congenital uterine anomalies using
3D sonography.
PMID- 27872416
TI - Initial Experience With Ultrasound Elastography for Diagnosis of Major Salivary
Gland Lesions.
AB - OBJECTIVES: The aim of this study was to evaluate the usefulness of ultrasound
elastography, including conventional strain elastography, acoustic radiation
force impulse (ARFI)-induced strain elastography, and point shear wave
elastography (SWE) for diagnosis of major salivary gland lesions. METHODS: Forty
major salivary gland lesions underwent conventional sonography, conventional
strain elastography, ARFI strain elastography, and point SWE before surgery or
biopsy. The diagnostic performances of the sonographic and elastographic
techniques were assessed with reference to histopathologic results. RESULTS:
There were 32 benign (7 Warthin tumors, 17 pleomorphic adenomas, and 8 other
benign lesions) and 8 malignant (1 squamous carcinoma, 2 metastases, 2
mucoepidermoid carcinomas, 1 anaplastic carcinoma, and 2 malignant lymphomas)
major salivary gland lesions on pathologic analysis. No conventional sonographic
features or conventional strain elastographic scores were found to be associated
with malignancy (all P > .05). The ARFI strain elastographic scores between
benign and malignant lesions were statistically different (P = .032) and an ARFI
strain elastographic score of 4 or greater was highly predictive of malignancy
(P= .025). An ARFI strain elastographic score of greater than 3 achieved
specificity of 81.3% (26 of 32) and sensitivity of 62.5% (5 of 8) in
differentiating benign from malignant lesions. The shear wave velocity on point
SWE did not show a significant difference in distinguishing between malignant and
benign lesions (6.07 versus 4.43 m/s; P > .05). However, Warthin tumors had a
trend to show lower shear wave velocities compared with pleomorphic adenomas
(2.84 versus 5.27 m/s; P = .024). CONCLUSIONS: Acoustic radiation force impulse
strain elastography may be potentially useful for diagnosing major salivary gland
lesions, whereas conventional strain elastography and point SWE are not helpful.
PMID- 27872417
TI - Virtual Touch Quantification of the Salivary Glands for Diagnosis of Primary
Sjogren Syndrome.
AB - OBJECTIVES: To investigate the value of salivary gland stiffness measured by
Virtual Touch quantification (VTQ; Siemens Medical Solutions, Erlangen, Germany)
for assessment of primary Sjogren syndrome. METHODS: Fifty-four patients with
primary Sjogren syndrome, 35 patients without primary Sjogren syndrome (patients
with dry mouth and dry eye symptoms), and 52 healthy control volunteers were
included in this study. Patients with primary Sjogren syndrome were classified as
early or advanced stage by labial gland biopsies. All participants underwent B
mode sonography, on which the salivary glands (parotid and submandibular) were
identified and VTQ measurements of shear wave velocity (SWV) were obtained. The
diagnostic performance of SWV was evaluated by sensitivity and specificity at the
optimum cutoff point and the area under the receiver operating characteristic
curve. RESULTS: For submandibular glands, the mean SWV +/- SD values were 2.25 +/
0.34 m/s in patients with early-stage primary Sjogren, 1.84 +/- 0.20 m/s in
patients without primary Sjogren syndrome, and 1.82 +/- 0.27 m/s in healthy
controls (P< .001). With cutoff values of 2.15 and 2.10 m/s to separate patients
with early-stage primary Sjogren syndrome from those without Sjogren syndrome and
healthy controls, the sensitivity and specificity were 77.1% and 85.4% and 79.2%
and 83.9%, respectively. For parotid glands, the SWV values were 2.78 +/- 0.82
m/s in patients with early-stage primary Sjogren syndrome, 1.93 +/- 0.33 m/s, in
patients without primary Sjogren syndrome, and 1.85 +/- 0.31 m/s in healthy
controls (P < .001). With cutoff values of 2.18 and 2.10 m/s to separate patients
with early-stage primary Sjogren syndrome from those without Sjogren syndrome and
healthy controls, the sensitivity and specificity were 89.3 % and 75.3% and 91.4%
and 80.0%. CONCLUSIONS: The VTQ technique might be a useful noninvasive strategy
for assessment of salivary glands in the early stage of primary Sjogren syndrome.
PMID- 27872418
TI - Use of Angle-Independent M-Mode Sonography for Assessment of Diaphragm
Displacement.
AB - OBJECTIVES: Sonographic assessment of diaphragm displacement has conventionally
been conducted with M-mode sonography via an anterior subcostal approach. This
method is subject to measurement errors when diaphragm displacement is not in
line with the M-mode plane. We aimed to compare measurements obtained by offline
angle-independent (anatomic) M-mode sonography with conventional M-mode
sonography. METHODS: Fifty healthy adults were imaged with conventional and angle
independent M-mode sonography of the bilateral hemidiaphragms at 60% maximal
inspiratory capacity using an inspiratory spirometer. RESULTS: Left hemidiaphragm
displacement was successfully imaged by conventional M-mode sonography in only
70% (n = 35), as lung expansion obscured imaging, whereas 92% (n = 46) were
assessable by angle-independent M-mode sonography. All right hemidiaphragm
displacement could be assessed. Conventional M-mode results were higher than
angle-independent M-mode results on the right (mean +/- SD, 4.9 +/- 1.4 versus
4.6 +/- 1.2 cm, respectively; P= .003) and left (5.4 +/- 1.3 versus 4.6 +/- 1.0
cm; P < .001). Displacement values were different for right versus left
hemidiaphragms on conventional M-mode sonography (mean difference, 0.6 +/- 0.2
cm; P = .005), with only mild agreement (R2 = 0.35; P < .001). There was no
laterality seen in the diaphragm displacement on angle-independent M-mode
sonography (mean difference, 0.1 +/- 0.1 cm; P = .47), with good agreement (R2 =
0.76; P < .001). CONCLUSIONS: Angle-independent M-mode sonography leads to better
visualization and assessment of the left hemidiaphragm. It records lower
displacement than conventional M-mode sonography in the bilateral diaphragms,
likely because of fewer orientation and translation errors. Future study is
indicated to assess the clinical utility of angle-independent M-mode sonography
in a population with diaphragm dysfunction.
PMID- 27872419
TI - Elastographic Findings of Achilles Tendons in Asymptomatic Professional Male
Volleyball Players.
AB - OBJECTIVES: Elastography is a new sonographic technique that evaluates the
elasticity of different tissues such as the Achilles tendon. In this study, we
aimed to investigate the elastographic findings of Achilles tendons in
professional athletes in comparison with healthy volunteers. METHODS: Twenty-one
professional male volleyball players with no history of Achilles trauma were
included in this study. Twenty-one healthy male volunteers with similar ages and
body mass indices were selected as control participants. All participants
underwent sonographic and elastographic evaluations of the Achilles tendons to
evaluate Achilles tendon thickness and stiffness. RESULTS: We observed thickening
in many of the thirds of the Achilles tendons (right proximal, right middle, left
middle, and left distal thirds) of athletes in comparison with healthy
volunteers. We did not detect any abnormalities according to the sonographic
evaluations in both athletes and healthy volunteers. In the elastographic
evaluations, we observed softening in the middle thirds of the Achilles tendons
of athletes according to the main types (P < .001) and subtypes (P < .001 for
right; and P = .002 for left middle third). There was no difference observed in
the elastographic evaluations of the proximal and distal thirds. CONCLUSIONS: On
sonography and elastography, we observed thickening and softening in Achilles
tendons of athletes in comparison with healthy volunteers who had similar ages
and body mass indices. These changes could be associated with early tendon
degeneration. Further longitudinal studies may support this consideration.
PMID- 27872420
TI - Role of Cervical Length Measurement for Preterm Delivery Prediction in Women With
Threatened Preterm Labor and Cervical Dilatation.
AB - OBJECTIVES: To compare the accuracy and cutoff points for cervical length for
predicting preterm delivery in women with threatened preterm labor between those
with a closed cervix and cervical dilatation. METHODS: We conducted a
retrospective cohort study of women with singleton pregnancies with threatened
preterm labor before 34 weeks. The accuracy of cervical length for predicting
preterm delivery was compared between women with cervical dilatation (0.5-3 cm)
and those with a closed cervix. The predictive accuracy of cervical length for
spontaneous preterm delivery was analyzed with several outcome-specific
thresholds. RESULTS: Overall, 1068 women with threatened preterm labor met the
inclusion criteria; of them, 276 (25.8%) had cervical dilatation, and 792 (74.2%)
had a closed cervix. The risk of preterm delivery before 37 weeks was
significantly higher in the cervical dilatation group than the closed cervix
group, as well as a shorter assessment-to-delivery interval of within 14 days (P
= .001 and .004, respectively). On a multivariable analysis, cervical length was
independently associated with the risk of preterm delivery in both groups. There
was no significant difference between women with cervical dilatation and those
with a closed cervix regarding the area under the receiver operating
characteristic curves of cervical length for prediction of preterm delivery
before 37 (0.674 versus 0.618; P = .18) and 34 (0.628 versus 0.640; P = .88)
weeks and an assessment-to-delivery interval of 14 days (0.686 versus 0.660; P=
.72). The negative predictive value of cervical length ranged from 77.4% to 95.7%
depending on the different thresholds used. CONCLUSIONS: Cervical length was
significantly associated with the risk of preterm delivery in women presenting
with threatened preterm labor and cervical dilatation of less than 3 cm. However,
the predictive accuracy of cervical length as a single measure was relatively
limited.
PMID- 27872421
TI - Risk of Adverse Outcomes in Euploid Pregnancies With Isolated Short Fetal Femur
and Humerus on Second-Trimester Sonography.
AB - OBJECTIVES: The purpose of this study was to evaluate pregnancies with isolated
short fetal femur and humerus on second-trimester sonography. Short fetal long
bones are known to be associated with aneuploidy and structural anomalies. In
this study, we wanted to show the risk of adverse pregnancy outcomes in euploid
and nonanomalous pregnancies. METHODS: Singleton pregnancies with short femur and
humerus were included. Pregnancies with normal fetal bone lengths and age-matched
mothers were selected as controls. RESULTS: The study group included 30
pregnancies with short fetal femur and humerus, and the control group included 60
normal pregnancies. The overall odds ratio for an adverse pregnancy outcome in
the study group was 24.9. Preterm delivery occurred significantly more frequently
(odds ratio, 20.8; P < .001), and one-third of pregnancies were complicated by
preeclampsia. In the group with short long bones, the odds ratio for a pathologic
umbilical Doppler flow pattern was 45.2 (P < .001), and birth weight was
significantly lower (P < .001). Also, 3 (10.3%) stillbirths and 4 (13.3%) cases
of early neonatal death were recorded in this group. These complications were not
recorded in the control group. The risk of emergency cesarean delivery was
significantly higher in the group with short long bones (odds ratio, 11.8; P <
.001). CONCLUSIONS: The risk of adverse pregnancy outcomes is significant in
euploid and nonanomalous pregnancies with isolated short long bones. Close follow
up is needed during pregnancy.
PMID- 27872422
TI - Spleen Behind the Heart Complicates Lung-to-Head Ratio Measurement in Left-Sided
Congenital Diaphragmatic Hernia.
AB - In fetuses with left-sided congenital diaphragmatic hernia, intrathoracic
herniation of the spleen is a common occurrence. The herniated spleen can reside
posterior to the left atrium of the heart in the right hemithorax and is
increasingly differentiated from the lung with the use of newer sonographic
equipment. Estimation of the neonatal prognosis relies on accurate measurement of
fetal lung size, particularly with commonly used measurements such as the lung-to
head ratio. Here we describe how herniation of the spleen behind the heart can
complicate measurement of the lung-to-head ratio on sonography and lead to
overestimation, with implications for perinatal prognostication and management.
PMID- 27872423
TI - Role of Sonography for Evaluation of Gastrointestinal Foreign Bodies.
AB - Foreign body ingestion is frequently encountered in children. The locations of
the foreign bodies and the period during which they have been present in the
thorax and abdomen are important for determining the method and timing of
treatment. Although plain radiography and computed tomography are primarily used
for assessment of foreign bodies, sonography without radiation is also useful for
diagnosis of foreign bodies. This report describes 5 cases of foreign bodies in
the digestive tract and the usefulness of sonography for real-time evaluation of
foreign bodies with high spatial resolution. Physicians can use sonography along
with radiography and computed tomography in cases involving foreign bodies.
PMID- 27872424
TI - Tricuspid Papillary Muscle Rupture Due to Blunt Chest Trauma.
PMID- 27872425
TI - Concordant Body Stalk Anomalies in Dichorionic Twins.
PMID- 27872426
TI - Gastric tube esophageal reconstruction in children with esophageal atresia and
caustic stricture Study of clinical value based on 25 single-center. Centre
experience.
AB - AIM: The aim of this study was estimation of clinical value of gastric tube
esophagoplasty in children based on one single center experience. METHODS: Forty
nine patients with diagnosis of EA (n=22) and caustic esophageal injury (n=27)
had undergone reverse gastric tube esophagoplasty during 25 years at our
institution. Almost all EA patients received initially gastrostomy and cervical
esophagostomy. Majority of CS patients had initially repeated dilatation therapy.
Demographics, preoperative features (initial condition, initial treatment and
nutritional status) and postoperative features (leakage, stenosis, nutritional
status and disease-specific symptoms) were retrospectively reviewed and analyzed.
Mean follow up time was 8.2 years. RESULTS: Of 49 patients, 2 patients died and
gastric tube failed in one patient. The most common complications were
anastomotic leak (52%) and anastomotic stricture (47,8%). Disease-specific
symptoms (dysphagia and reflux symptoms) with important clinical manifestations
were observed in 10.87% of patients. Preoperatively, all patients were eutrophic.
One year after operation 96% of patients stayed eutrophic and two EA patients
were malnourished. CONCLUSION: The most common complications such as anastomotic
leak and anastomotic stricture may be considered benign. Postoperative nutrition,
as good indicator of the procedure's adequacy, for the majority of patients is
satisfactory. Gastric tube esophagoplasty is an appropriate alternative for
esophageal replacement in children. KEY WORDS: Children, Gastric tube,
Esophagoplasty.
PMID- 27872428
TI - Several factors can alter the QTc interval in patients with end-stage renal
disease.
PMID- 27872427
TI - Giant lipoma in the thigh A case report.
AB - : Lipomas are the most common benign mesenchymal tumours; they are composed by
mature lipocytes and are usually located in the subcutaneous tissue. They may
occur at any place in which there is adipose tissue, most of them are found in
the upper part of the body, especially in the trunk and neck, although they may
also develop in other sites, including the hand. Most lipomas are small, weigh
only a few grams, and usually the maximum diameter is smaller than 2 cm. Whereas,
to be referred to as ''giant'', the lipoma must be at least 10 cm in diameter or
weigh a minimum of 1,000 g. Because of the excessive size, giant lipomas may
cause functional limitations, such as lymphedema, pain syndromes or nerve
compression. Because of the peculiarity of this condition, the great size of the
lesion and the difficulties in its diagnosis and treatment, we reported a case of
a giant fibrolipoma in the thigh in a 27 years old woman. KEY WORDS: Giant
lipoma, Lipoma, Lipoma of the leg.
PMID- 27872429
TI - Author's Reply.
PMID- 27872430
TI - Polycystic ovary syndrome. Are we overlooking something?
PMID- 27872431
TI - Author's Reply.
PMID- 27872432
TI - Postoperative cognitive dysfunction markers in coronary artery surgery.
PMID- 27872433
TI - Author's Reply.
PMID- 27872434
TI - SYNTAX score predicts postoperative atrial fibrillation in patients undergoing on
pump isolated coronary artery bypass grafting surgery.
PMID- 27872435
TI - Author's Reply.
PMID- 27872436
TI - Kounis syndrome presenting with acute inferior wall myocardial infarction and
cardiogenic shock secondary to intravenous ampicillin/sulbactam administration.
PMID- 27872438
TI - Medication adherence and quality of life in coronary artery bypass grafting
patients, results of retrospective cohort study.
PMID- 27872441
TI - Retraction and Beyond.
PMID- 27872437
TI - Renal artery stenting of chronic kidney disease patient with resistant
hypertension.
PMID- 27872440
TI - Successful percutaneous implantation of Symetis ACURATE neo transcatheter aortic
bioprosthesis in a patient with existing mechanical mitral valve.
PMID- 27872442
TI - Commentary on the association of blood group antigens with post-implant
thrombosis of mechanical heart valves.
PMID- 27872443
TI - Microvolt T-wave alternans testing is abnormal both in left- and right-sided
pathology.
PMID- 27872444
TI - Myocardial strain imaging and malignant ventricular arrhythmia risk.
PMID- 27872445
TI - Searching for mechanical abnormalities in subjects with early repolarization
pattern: another Holy Grail of cardiac imaging?
PMID- 27872446
TI - Is increased homocysteine level a false trail or an accomplice to saphenous
venous graft degeneration?
PMID- 27872448
TI - Cigarette smoking: number one enemy for Graves ophthalmopathy.
PMID- 27872449
TI - Gone with the age(DL): high-density lipoprotein in senescence.
PMID- 27872447
TI - Evolving approaches to heart regeneration by therapeutic stimulation of resident
cardiomyocyte cell cycle.
AB - Heart has long been considered a terminally differentiated organ. Recent studies,
however, have suggested that there is a modest degree of cardiomyocyte (CM)
turnover in adult mammalian heart, albeit not sufficient for replacement of lost
CMs following cardiac injuries. Cardiac regeneration studies in various model
organisms including zebrafish, newt, and more recently in neonatal mouse, have
demonstrated that CM dedifferentiation and concomitant proliferation play
important roles in replacement of lost CMs and restoration of cardiac
contractility. Further studies with neonatal cardiac regeneration mouse model
suggested that major source of new CMs is existing CMs, with the possibility of
involvement of cardiac stem cells. Numerous studies have now been conducted on
induction of cardiac regeneration and have identified various cardiogenic
factors, cardiogenic micro ribonucleic acid and cardiogenic small molecules. This
report is a review of studies regarding generation of CM and prospects for
application.
PMID- 27872450
TI - Prepregnancy care: improvements for some women but not for all!
PMID- 27872451
TI - Update on the mechanisms of action of anti-TNF-alpha antibodies and their
clinical implications in inflammatory bowel disease.
AB - The mechanisms of action of antitumor necrosis factor alpha (anti-TNF-alpha)
antibodies in the therapy of inflammatory bowel disease (IBD) are not completely
understood. Binding of antibodies to transmembrane TNF-alpha seems to be crucial
for the induction of several cellular responses, including complement-dependent
cytotoxicity, antibody-dependent cellular cytotoxicity, and reverse signaling.
However, these processes alone do not fully explain the diversity of responses to
anti-TNF-alpha therapy seen in different patients. Thus, the present review aimed
to discuss the current role of anti-TNF-alpha antibodies in treatment algorithms
for IBD as well as the current knowledge on the mechanisms of action of these
antibodies, particularly the less well known aspects of anti-TNF-alpha blockade.
We also discussed a complex role of particular macrophage subpopulations, T
regulatory cells, and intestinal endothelial cells, as well as presented new data
on the clinical relevance of anti-inflammatory responses attributed to the Fc
region of anti-TNF-alpha antibodies.
PMID- 27872452
TI - How to treat ANCA-associated vasculitis: practical messages from 2016 EULAR/ERA
EDTA recommendations.
AB - The European League against Rheumatism (EULAR) with the European Renal
Association - European Dialysis and Transplant Association recently published an
update of 2009 EULAR recommendations with a focus on the management of
antineutrophil cytoplasmic antibody (ANCA)-associated vasculitides (AAV). In this
article, we discuss the following key messages for clinical practice derived from
these recommendations: 1) biopsy should be performed if possible to confirm new
diagnosis or relapse; 2) glucocorticoid therapy is an extremely important adjunct
to the management of AAV, but it is also responsible for the majority of adverse
effects; the dose should be tapered to 7.5 to 10 mg/d at 3 to 5 months; 3)
cyclophosphamide or rituximab are the mainstay of remission induction; 4)
patients with major relapse should be treated like those with new disease, but
rituximab is the preferred option in those patients who relapse after prior
cyclophosphamide; 5) minor relapse should not be treated with glucocorticoid
alone, and a change in immunosuppressive regimen should be considered; 6)
rituximab can be used not only for remission induction but also for maintenance;
7) maintenance therapy should continue for at least 2 years, after which gradual
taper could be considered; 8) while ANCA are extremely useful for diagnosis and
rising ANCA levels seem to be associated with relapse, serial monitoring should
not guide treatment decisions; 9) monitoring of AAV patients should be holistic
with a structured assessment tool and monitoring for effects related to the
vasculitis as well as treatment; 10) management should be either at or in
conjunction with an expert center; and 11) patients should be involved in
decision making and have access to educational resources.
PMID- 27872453
TI - Huge interventricular septal aneurysm diagnosed in vivo in an adult.
PMID- 27872454
TI - Do not consider amiodarone, give it! Comment on antiarrhythmic drugs for shock
refractory ventricular fibrillation or pulseless ventricular tachycardia.
PMID- 27872455
TI - Resuscitation in sepsis in 2016. What's new? Dr. Waleed Alhazzani in an interview
with Dr. Roman Jaeschke: part 1.
PMID- 27872456
TI - Stress ulcer prophylaxis in critical care: a 2016 perspective Dr. Waleed
Alhazzani in an interview with Dr. Roman Jaeschke: part 2.
PMID- 27872457
TI - BRIDGE trial. Dr. James Douketis in an interview with Dr. Roman Jaeschke: part 1.
PMID- 27872458
TI - Periprocedural risk of bleeding and thrombosis: to bridge or not to bridge. Dr.
James Douketis in an interview with Dr. Roman Jaeschke: part 2.
PMID- 27872460
TI - SPRINT trial and blood pressure treatment. Dr. Gordon Guyatt in an interview with
Dr. Roman Jaeschke.
PMID- 27872459
TI - Empagliflozin, cardiovascular outcomes, and mortality in type 2 diabetes
mellitus. Dr. Hertzel Gerstein in an interview with Dr. Roman Jaeschke.
PMID- 27872461
TI - Contraception in questions and answers.
PMID- 27872462
TI - Heart failure in questions and answers.
PMID- 27872463
TI - Reflections on the current situation and the future of internal medicine in
Poland.
PMID- 27872464
TI - Forum for internal medicine: opinions and controversies.
PMID- 27872465
TI - Internal medicine through a scientist's eye.
PMID- 27872466
TI - Internal medicine and biomedicine in Poland: views from the inside and outside.
PMID- 27872467
TI - Abandonment of internal medicine as a specialty: the point of no return?
PMID- 27872468
TI - Internal medicine as the queen of medical sciences: an underestimated
specialization in Poland.
PMID- 27872470
TI - Hereditary Amyloidosis with Recurrent Lung Infiltrates.
AB - BACKGROUND Amyloidosis is a protein conformational disorder characterized by
extracellular deposition of amyloid fibrils in extracellular tissue. Lung
involvement is most commonly caused by secondary AL amyloidosis. The familial
autosomal-dominant senile transthyretin (ATTR) disease manifests mainly as
polyneuropathy and restrictive cardiomyopathy denoting the name familial
amyloidotic polyneuropathy (FAP). Rarely, this form manifests with clinical and
radiologically relevant respiratory tract symptoms and lung involvement. CASE
REPORT A 51-year-old male former smoker presented with progressive lower
extremity weakness of several months' duration. He was ultimately diagnosed with
chronic demyelinating polyneuropathy and treated with intravenous immunoglobulin
therapy. Subsequently, he was admitted with heart failure symptoms and pulmonary
infiltrates and his echocardiogram showed a 'myocardial speckled pattern',
prompting an endomyocardial biopsy, which showed transthyretin amyloid
deposition. He was started on diflunisal. Additionally, serial radiographic
imaging of his chest over 3 different admissions for cough, dyspnea, hypoxemia,
and lethargy demonstrated recurrent pulmonary infiltrates. A fiberoptic
bronchoscopy with trans-bronchial biopsies revealed amyloid deposition in the
lung tissue. CONCLUSIONS The clinical presentation of recurrent or persistent
pulmonary symptoms and fleeting infiltrates on imaging in a patient with familial
amyloidotic polyneuropathy is not common; when present, it should raise the
suspicion of respiratory tract involvement.
PMID- 27872469
TI - Preoperative Serum MicroRNA-155 Expression Independently Predicts Postoperative
Cognitive Dysfunction After Laparoscopic Surgery for Colon Cancer.
AB - BACKGROUND The aim of this study was to examine the association between serum
expression of miRNA-155 and postoperative cognitive dysfunction (POCD) after
laparoscopic surgery for colon cancer. MATERIAL AND METHODS We enrolled 110
patients scheduled to undergo colon tumor resection via laparotomy in Ningbo No.
2 Hospital from July 2013 to November 2015. The blood samples were collected from
the participants 1 day before surgery. Multiple logistic regression analysis was
used for the analysis of independent predictive biomarkers for POCD. RESULTS On
the 7th postoperative day, 29 of the 110 participants developed POCD, yielding a
POCD incidence of 26.4%. Age, MMSE score, duration of surgery and anesthesia,
serum levels of CRP, TNF-alpha, urea, creatinine, and miRNA-155 were highly
associated with the occurrence of POCD. Serum expression of miRNA-155 was shown
by multiple logistic regression analysis to be an independent predictive
indicator for POCD after surgery (OR: 2.732; 95%CI 1.415-5.233; P=0.002).
CONCLUSIONS The serum expression of miRNA-155 is an independent predictive factor
for POCD after laparoscopic surgery for colon cancer.
PMID- 27872471
TI - Recent Progress in Xenotransplantation, with Emphasis on Virological Safety.
AB - Xenotransplantation is a new technology that may help to overcome the shortage of
human tissues and organs available for the treatment of tissue and organ failure.
Remarkable progress has recently been made in this field. First, understanding of
the mechanisms of immunological rejection, mainly of the hyperacute rejection,
allowed generating numerous genetically modified pigs to overcome rejection.
Second, based on these genetically modified animals and new immunosuppression
regimens, long-term survival of non-human primate recipients of heart, kidney,
and islet cell cells has been reported. And third, potential zoonotic
microorganisms have been identified in pigs and sensitive methods to detect them
have been generated. In 2 clinical trials treating diabetic patients with porcine
islet cells, no porcine microorganisms were transmitted to human recipients.
Furthermore, strategies to eliminate potentially zoonotic microorganisms from
donor pigs in order to prevent transmission to the recipients have been
developed, including designated pathogen-free (DPF) breeding. In addition,
strategies to prevent transmission of porcine endogenous retroviruses (PERVs)
have been developed, including a knockout of all proviruses in the pig genome by
gene editing. PERVs are integrated in the genome of all pigs and therefore they
cannot be eliminated by DPF breeding. Since they are able to infect human cells,
they represent a special risk in xenotransplantation. Despite the achievements,
some problems remain: numerous genetically multi-modified pigs have been
generated without fully evaluating their advantage, and microbiological screening
of pigs to be used for transplantations and elimination of pathogenic
microorganisms from the donor pigs are still not satisfactory.
PMID- 27872473
TI - Increasing entropy for colloidal stabilization.
AB - Stability is of paramount importance in colloidal applications. Attraction
between colloidal particles is believed to lead to particle aggregation and phase
separation; hence, stability improvement can be achieved through either
increasing repulsion or reducing attraction by modifying the fluid medium or by
using additives. Two traditional mechanisms for colloidal stability are
electrostatic stabilization and steric stabilization. However, stability
improvement by mixing attractive and unstable particles has rarely been
considered. Here, we emphasize the function of mixing entropy in colloidal
stabilization. Dispersion stability improvement is demonstrated by mixing
suspensions of attractive nanosized titania spheres and platelets. A three
dimensional phase diagram is proposed to illustrate the collaborative effects of
particle mixing and particle attraction on colloidal stability. This discovery
provides a novel method for enhancing colloidal stability and opens a novel
opportunity for engineering applications.
PMID- 27872472
TI - Hierarchical effects of pro-inflammatory cytokines on the post-influenza
susceptibility to pneumococcal coinfection.
AB - In the course of influenza A virus (IAV) infections, a secondary bacterial
infection frequently leads to serious respiratory conditions provoking high
hospitalization and death tolls. Although abundant pro-inflammatory responses
have been reported as key contributing factors for these severe dual infections,
the relative contributions of cytokines remain largely unclear. In the current
study, mathematical modelling based on murine experimental data dissects IFN
gamma as a cytokine candidate responsible for impaired bacterial clearance,
thereby promoting bacterial growth and systemic dissemination during acute IAV
infection. We also found a time-dependent detrimental role of IL-6 in curtailing
bacterial outgrowth which was not as distinct as for IFN-gamma. Our numerical
simulations suggested a detrimental effect of IFN-gamma alone and in synergism
with IL-6 but no conclusive pathogenic effect of IL-6 and TNF-alpha alone. This
work provides a rationale to understand the potential impact of how to manipulate
temporal immune components, facilitating the formulation of hypotheses about
potential therapeutic strategies to treat coinfections.
PMID- 27872474
TI - Multi-level glyco-engineering techniques to generate IgG with defined Fc-glycans.
AB - Immunoglobulin G (IgG) mediates its immune functions through complement and
cellular IgG-Fc receptors (FcgammaR). IgG contains an evolutionary conserved N
linked glycan at position Asn297 in the Fc-domain. This glycan consists of
variable levels of fucose, galactose, sialic acid, and bisecting N
acetylglucosamine (bisection). Of these variations, the lack of fucose strongly
enhances binding to the human FcgammaRIII, a finding which is currently used to
improve the efficacy of therapeutic monoclonal antibodies. The influence of the
other glycan traits is largely unknown, mostly due to lack of glyco-engineering
tools. We describe general methods to produce recombinant proteins of any desired
glycoform in eukaryotic cells. Decoy substrates were used to decrease the level
of fucosylation or galactosylation, glycosyltransferases were transiently
overexpressed to enhance bisection, galactosylation and sialylation and in vitro
sialylation was applied for enhanced sialylation. Combination of these techniques
enable to systematically explore the biological effect of these glycosylation
traits for IgG and other glycoproteins.
PMID- 27872475
TI - Systemic lupus erythematosus: Extent and patterns of off-label use of rituximab
for SLE.
PMID- 27872478
TI - Circulating tumour cells as biomarkers of prostate, bladder, and kidney cancer.
AB - Circulating tumour cells (CTCs) have been studied as biomarkers of a number of
solid malignancies. Potential clinical applications for CTC analysis include
early cancer detection, disease staging, monitoring for recurrence,
prognostication, and to aid in the selection of therapy. In the field of urologic
oncology, CTCs have been most widely studied as prognostic biomarkers of
castration-resistant prostate cancer. Additionally, emerging data support a role
for CTCs to help identify which patients are most likely to respond to novel
androgen-pathway targeted therapies, such as abiraterone and enzalutamide. CTCs
have also been studied as predictive biomarkers of bladder cancer, in particular
as a means to identify patients whose disease has been clinically understaged.
Less is known regarding CTCs in kidney cancer; this has been attributed to the
fact that a minority of renal tumours express EpCAM, the epithelial cell surface
protein commonly used by CTC assays for positive cell selection. However,
alternative approaches using markers specific for kidney cancer are being
explored.
PMID- 27872479
TI - Surgery: The surgeon-scientist - a dying breed?
PMID- 27872480
TI - Association of pre-pregnancy body mass index, gestational weight gain with
cesarean section in term deliveries of China.
AB - China has one of the highest rates of cesarean sections in the world. However,
limited epidemiological studies have evaluated the risk factors for cesarean
section among Chinese women. Thus, the aim of this cohort study was to
investigate the associations between pre-pregnancy BMI, gestational weight gain
(GWG) and the risk of cesarean section in China. A total of 57,891 women with
singleton, live-born, term pregnancies were included in this analysis. We found
that women who were overweight or obese before pregnancy had an elevated risk of
cesarean section. Women with a total GWG above the Institute of Medicine (IOM)
recommendations had an adjusted OR for cesarean section of 1.45 (95% CI, 1.40
1.51) compared with women who had GWG within the IOM recommendations. Women with
excessive BMI gain during pregnancy also had an increased risk of cesarean
section. When stratified by maternal pre-pregnancy BMI, there was a significant
association between excessive GWG and increased odds of cesarean section across
all pre-pregnancy BMI categories. These results suggest that weight control
efforts before and during pregnancy may help to reduce the rate of cesarean
sections.
PMID- 27872477
TI - The role of GATA2 in lethal prostate cancer aggressiveness.
AB - Advanced prostate cancer is a classic example of the intractability and
consequent lethality that characterizes metastatic carcinomas. Novel treatments
have improved the survival of men with prostate cancer; however, advanced
prostate cancer invariably becomes resistant to these therapies and ultimately
progresses to a lethal metastatic stage. Consequently, detailed knowledge of the
molecular mechanisms that control prostate cancer cell survival and progression
towards this lethal stage of disease will benefit the development of new
therapeutics. The transcription factor endothelial transcription factor GATA-2
(GATA2) has been reported to have a key role in driving prostate cancer
aggressiveness. In addition to being a pioneer transcription factor that
increases androgen receptor (AR) binding and activity, GATA2 regulates a core
subset of clinically relevant genes in an AR-independent manner. Functionally,
GATA2 overexpression in prostate cancer increases cellular motility and
invasiveness, proliferation, tumorigenicity, and resistance to standard
therapies. Thus, GATA2 has a multifaceted function in prostate cancer
aggressiveness and is a highly attractive target in the development of novel
treatments against lethal prostate cancer.
PMID- 27872482
TI - Development of a rapid screen for the endodermal differentiation potential of
human pluripotent stem cell lines.
AB - A challenge facing the human pluripotent stem cell (hPSC) field is the
variability observed in differentiation potential of hPSCs. Variability can lead
to time consuming and costly optimisation to yield the cell type of interest.
This is especially relevant for the differentiation of hPSCs towards the
endodermal lineages. Endodermal cells have the potential to yield promising new
knowledge and therapies for diseases affecting multiple organ systems, including
lung, thymus, intestine, pancreas and liver, as well as applications in
regenerative medicine and toxicology. Providing a means to rapidly, cheaply and
efficiently assess the differentiation potential of multiple hPSCs is of great
interest. To this end, we have developed a rapid small molecule based screen to
assess the endodermal potential (EP) of hPSCs, based solely on definitive
endoderm (DE) morphology. This drastically reduces the cost and time to identify
lines suitable for use in deriving endodermal lineages. We demonstrate the
efficacy of this screen using 10 different hPSCs, including 4 human embryonic
stem cell lines (hESCs) and 6 human induced pluripotent stem cell lines (hiPSCs).
The screen clearly revealed lines amenable to endodermal differentiation, and
only lines that passed our morphological assessment were capable of further
differentiation to hepatocyte like cells (HLCs).
PMID- 27872476
TI - New insights into the immunopathogenesis of systemic lupus erythematosus.
AB - The aetiology of systemic lupus erythematosus (SLE) is multifactorial, and
includes contributions from the environment, stochastic factors, and genetic
susceptibility. Great gains have been made in understanding SLE through the use
of genetic variant identification, mouse models, gene expression studies, and
epigenetic analyses. Collectively, these studies support the concept that
defective clearance of immune complexes and biological waste (such as apoptotic
cells), neutrophil extracellular traps, nucleic acid sensing, lymphocyte
signalling, and interferon production pathways are all central to loss of
tolerance and tissue damage. Increased understanding of the pathogenesis of SLE
is driving a renewed interest in targeted therapy, and researchers are now on the
verge of developing targeted immunotherapy directed at treating either specific
organ system involvement or specific subsets of patients with SLE. Accordingly,
this Review places these insights within the context of our current understanding
of the pathogenesis of SLE and highlights pathways that are ripe for therapeutic
targeting.
PMID- 27872481
TI - Imaging Cellular Dynamics with Spectral Relaxation Imaging Microscopy: Distinct
Spectral Dynamics in Golgi Membranes of Living Cells.
AB - Spectral relaxation from fluorescent probes is a useful technique for determining
the dynamics of condensed phases. To this end, we have developed a method based
on wide-field spectral fluorescence lifetime imaging microscopy to extract
spectral relaxation correlation times of fluorescent probes in living cells. We
show that measurement of the phase and modulation of fluorescence from two
wavelengths permit the identification and determination of excited state
lifetimes and spectral relaxation correlation times at a single modulation
frequency. For NBD fluorescence in glycerol/water mixtures, the spectral
relaxation correlation time determined by our approach exhibited good agreement
with published dielectric relaxation measurements. We applied this method to
determine the spectral relaxation dynamics in membranes of living cells.
Measurements of the Golgi-specific C6-NBD-ceramide probe in living HeLa cells
revealed sub-nanosecond spectral dynamics in the intracellular Golgi membrane and
slower nanosecond spectral dynamics in the extracellular plasma membrane. We
interpret the distinct spectral dynamics as a result of structural plasticity of
the Golgi membrane relative to more rigid plasma membranes. To the best of our
knowledge, these results constitute one of the first measurements of Golgi
rotational dynamics.
PMID- 27872483
TI - Targeted delivery system for cancer cells consist of multiple ligands conjugated
genetically modified CCMV capsid on doxorubicin GNPs complex.
AB - Targeted nano-delivery vehicles were developed from genetically modified Cowpea
chlorotic mottle virus (CCMV) capsid by ligands bioconjugation for efficient drug
delivery in cancer cells. RNA binding (N 1-25aa) and beta-hexamer forming (N 27
41aa) domain of capsid was selectively deleted by genetic engineering to achieve
the efficient in vitro assembly without natural cargo. Two variants of capsids
were generated by truncating 41 and 26 amino acid from N terminus (NDelta41 and
NDelta26) designated as F1 and F2 respectively. These capsid were optimally self
assembled in 1:2 molar ratio (F1:F2) to form a monodisperse nano-scaffold of size
28 nm along with chemically conjugated modalities for visualization (fluorescent
dye), targeting (folic acid, FA) and anticancer drug (doxorubicin). The cavity of
the nano-scaffold was packed with doxorubicin conjugated gold nanoparticles (10
nm) to enhance the stability, drug loading and sustained release of drug. The
chimeric system was stable at pH range of 4-8. This chimeric nano-scaffold system
showed highly specific receptor mediated internalization (targeting) and ~300%
more cytotoxicity (with respect to FA- delivery system) to folate receptor
positive Michigan Cancer Foundation-7 (MCF7) cell lines. The present system may
offer a programmable nano-scaffold based platform for developing
chemotherapeutics for cancer.
PMID- 27872484
TI - Co-occurrence of Local Anisotropic Gradient Orientations (CoLlAGe): A new
radiomics descriptor.
AB - In this paper, we introduce a new radiomic descriptor, Co-occurrence of Local
Anisotropic Gradient Orientations (CoLlAGe) for capturing subtle differences
between benign and pathologic phenotypes which may be visually indistinguishable
on routine anatomic imaging. CoLlAGe seeks to capture and exploit local
anisotropic differences in voxel-level gradient orientations to distinguish
similar appearing phenotypes. CoLlAGe involves assigning every image voxel an
entropy value associated with the co-occurrence matrix of gradient orientations
computed around every voxel. The hypothesis behind CoLlAGe is that benign and
pathologic phenotypes even though they may appear similar on anatomic imaging,
will differ in their local entropy patterns, in turn reflecting subtle local
differences in tissue microarchitecture. We demonstrate CoLlAGe's utility in
three clinically challenging classification problems: distinguishing (1)
radiation necrosis, a benign yet confounding effect of radiation treatment, from
recurrent tumors on T1-w MRI in 42 brain tumor patients, (2) different molecular
sub-types of breast cancer on DCE-MRI in 65 studies and (3) non-small cell lung
cancer (adenocarcinomas) from benign fungal infection (granulomas) on 120 non
contrast CT studies. For each of these classification problems, CoLlAGE in
conjunction with a random forest classifier outperformed state of the art
radiomic descriptors (Haralick, Gabor, Histogram of Gradient Orientations).
PMID- 27872485
TI - The neuroprotective action of dexmedetomidine on apoptosis, calcium entry and
oxidative stress in cerebral ischemia-induced rats: Contribution of TRPM2 and
TRPV1 channels.
AB - Dexmedetomidine (DEX) may act as an antioxidant through regulation of TRPM2 and
TRPV1 channel activations in the neurons by reducing cerebral ischemia-induced
oxidative stress and apoptosis. The neuroprotective roles of DEX were tested on
cerebral ischemia (ISC) in the cultures of rat primary hippocampal and DRG
neurons. Fifty-six rats were divided into five groups. A placebo was given to
control, sham control, and ISC groups, respectively. In the third group, ISC was
induced. The DEX and ISC+DEX groups received intraperitoneal DEX (40 MUg/kg) 3,
24, and 48 hours after ISC induction. DEX effectively reversed capsaicin and
cumene hydroperoxide/ADP-ribose-induced TRPV1 and TRPM2 densities and cytosolic
calcium ion accumulation in the neurons, respectively. In addition, DEX
completely reduced ISC-induced oxidative toxicity and apoptosis through
intracellular reactive oxygen species production and depolarization of
mitochondrial membrane. The DEX and ISC+DEX treatments also decreased the
expression levels of caspase 3, caspase 9, and poly (ADP-ribose) polymerase in
the hippocampus and DRG. In conclusion, the current results are the first to
demonstrate the molecular level effects of DEX on TRPM2 and TRPV1 activation.
Therefore, DEX can have remarkable neuroprotective impairment effects in the
hippocampus and DRG of ISC-induced rats.
PMID- 27872487
TI - Competition Between Resonant Plasmonic Coupling and Electrostatic Interaction in
Reduced Graphene Oxide Quantum Dots.
AB - The light emission from reduced graphene oxide quantum dots (rGO-QDs) exhibit a
significant enhancement in photoluminescence (PL) due to localized surface
plasmon (LSP) interactions. Silver and gold nanoparticles (NPs) coupled to rGO
nanoparticles exhibit the effect of resonant LSP coupling on the emission
processes. Enhancement of the radiative recombination rate in the presence of Ag
NPs induced LSP tuned to the emission energy results in a four-fold increase in
PL intensity. The localized field due to the resonantly coupled LSP modes induces
n-pi* transitions that are not observed in the absence of the resonant
interaction of the plasmons with the excitons. An increase in the density of the
Ag-NPs result in a detuning of the LSP energy from the emission energy of the
nanoparticles. The detuning is due to the cumulative effect of the red-shift in
the LSP energy and the electrostatic field induced blue shift in the PL energy of
the rGO-QDs. The detuning quenches the PL emission from rGO-QDs at higher
concentration of Ag NPs due to non-dissipative effects unlike plasmon induced
Joule heating that occurs under resonance conditions. An increase in Au
nanoparticles concentration results in an enhancement of PL emission due to
electrostatic image charge effect.
PMID- 27872486
TI - Alpha-2 macroglobulin in Alzheimer's disease: a marker of neuronal injury through
the RCAN1 pathway.
AB - Preclinical changes that precede the onset of symptoms and eventual diagnosis of
Alzheimer's disease (AD) are a target for potential preventive interventions. A
large body of evidence suggests that inflammation is closely associated with AD
pathogenesis and may be a promising target pathway for such interventions.
However, little is known about the association between systemic inflammation and
preclinical AD pathophysiology. We first examined whether the acute-phase
protein, alpha-2 macroglobulin (A2M), a major component of the innate immune
system, was associated with cerebrospinal fluid (CSF) markers of neuronal injury
in preclinical AD and risk of incident AD in the predictors of cognitive decline
among normal individuals (BIOCARD) cohort. We find that A2M concentration in
blood is significantly associated with CSF concentrations of the neuronal injury
markers, tau and phosphorylated tau, and that higher baseline serum A2M
concentration is associated with an almost threefold greater risk of progression
to clinical symptoms of AD in men. These findings were replicated in the
Alzheimer's Disease Neuroimaging (ADNI) study. Then, utilizing a systems level
approach combining large multi-tissue gene expression datasets with mass
spectrometry-based proteomic analyses of brain tissue, we identified an A2M gene
network that includes regulator of calcineurin (RCAN1), an inhibitor of
calcineurin, a well-characterized tau phosphatase. A2M gene and protein
expression in the brain were significantly associated with gene and protein
expression levels of calcineurin. Collectively these novel findings suggest that
A2M is associated with preclinical AD, reflects early neuronal injury in the
disease course and may be responsive to tau phosphorylation in the brain through
the RCAN1-calcineurin pathway.
PMID- 27872488
TI - PLD1 regulates adipogenic differentiation through mTOR - IRS-1 phosphorylation at
serine 636/639.
AB - Phospholipase D1 (PLD1) plays a known role in several differentiation processes,
but its role in adipogenic differentiation remains unknown. In the present study,
we identified PLD1 as a negative regulator of adipogenic differentiation. We
showed that PLD activity was downregulated by both 3-Isobutyl-1-methylxanthine
(IBMX) and insulin upon induction of differentiation in 3T3-L1 adipogenic cells.
In line with this observation, PLD activity decreased in both high fat diet (HFD)
fed mice and ob/ob mice. We also found that differentiation of 3T3-L1
preadipocytes was enhanced by the depletion of PLD1 levels or inhibition of PLD1
activity by VU0155069, a PLD1-specific inhibitor. Conversely, treatment with
phosphatidic acid (PA), a PLD product, and overexpression of PLD1 both caused a
decrease in adipogenic differentiation. Moreover, the elevated differentiation in
PLD1-knockdown 3T3-L1 cells was reduced by either PA treatment or PLD1
expression, confirming negative roles of PLD1 and PA in adipogenic
differentiation. Further investigation revealed that PA displaces DEP domain
containing mTOR-interacting protein (DEPTOR) from mTORC1, which subsequently
phosphorylates insulin receptor substrate-1 (IRS-1) at serine 636/639 in 3T3-L1
cells. Taken together, our findings provide convincing evidence for a direct role
of PLD1 in adipogenic differentiation by regulating IRS-1 phosphorylation at
serine 636/639 through DEPTOR displacement and mTOR activation.
PMID- 27872491
TI - Solid-liquid density and spin crossovers in (Mg, Fe)O system at deep mantle
conditions.
AB - The low/ultralow-velocity zones in the Earth's mantle can be explained by the
presence of partial melting, critically depending on density contrast between the
melt and surrounding solid mantle. Here, first-principles molecular dynamics
simulations of (Mg, Fe) O ferropericlase in the solid and liquid states show that
their densities increasingly approach each other as pressure increases. The
isochemical density difference between them diminishes from 0.78 (+/-0.7) g/cm3
at zero pressure (3000 K) to 0.16 (+/-0.04) g/cm3 at 135 GPa (4000 K) for pure
and alloyed compositions containing up to 25% iron. The simulations also predict
a high-spin to low-spin transition of iron in the liquid ferropericlase gradually
occurring over a pressure interval centered at 55 GPa (4000 K) accompanied by a
density increase of 0.14 (+/-0.02) g/cm3. Temperature tends to widen the
transition to higher pressure. The estimated iron partition coefficient between
the solid and liquid ferropericlase varies from 0.3 to 0.6 over the pressure
range of 23 to 135 GPa. Based on these results, an excess of as low as 5% iron
dissolved in the liquid could cause the solid-liquid density crossover at
conditions of the lowermost mantle.
PMID- 27872489
TI - Experience-Driven Differences in Childhood Cortisol Predict Affect-Relevant Brain
Function and Coping in Adolescent Monozygotic Twins.
AB - Stress and emotion involve diverse developmental and individual differences.
Partially attributed to the development of the prefrontal cortex (PFC), the
amygdala, and hypothalamic-pituitary-adrenal axis, the precise genetic and
experiential contributions remain unknown. In previous work, childhood basal
cortisol function predicted adolescent resting-state functional connectivity (rs
FC) and psychopathology. To parse experience-driven (non-genetic) contributions,
we investigated these relations with a monozygotic (MZ) twin design.
Specifically, we examined whether intrapair differences in childhood afternoon
cortisol levels predicted cotwin differences in adolescent brain function and
coping. As expected, intrapair differences in childhood cortisol forecast
amygdala-perigenual PFC rs-FC (R2 = 0.84, FWE-corrected p = 0.01), and amygdala
recovery following unpleasant images (R2 = 0.40, FWE-corrected p < 0.05), such
that the cotwin with higher childhood cortisol evinced relatively lower rs-FC and
poorer amygdala recovery in adolescence. Cotwin differences in amygdala recovery
also predicted coping styles. These data highlight experience-dependent change in
childhood and adolescence.
PMID- 27872493
TI - Imaging of surface spin textures on bulk crystals by scanning electron
microscopy.
AB - Direct observation of magnetic microstructures is vital for advancing spintronics
and other technologies. Here we report a method for imaging surface domain
structures on bulk samples by scanning electron microscopy (SEM). Complex
magnetic domains, referred to as the maze state in CoPt/FePt alloys, were
observed at a spatial resolution of less than 100 nm by using an in-lens annular
detector. The method allows for imaging almost all the domain walls in the mazy
structure, whereas the visualisation of the domain walls with the classical SEM
method was limited. Our method provides a simple way to analyse surface domain
structures in the bulk state that can be used in combination with SEM functions
such as orientation or composition analysis. Thus, the method extends
applications of SEM-based magnetic imaging, and is promising for resolving
various problems at the forefront of fields including physics, magnetics,
materials science, engineering, and chemistry.
PMID- 27872492
TI - In situ synthesis, enhanced luminescence and application in dye sensitized solar
cells of Y2O3/Y2O2S:Eu3+ nanocomposites by reduction of Y2O3:Eu3.
AB - Y2O3/Y2O2S:Eu3+ nanocomposites were successfully prepared by reducing Y2O3:Eu3+
nanocrystals. The obtained Y2O3/Y2O2S:Eu3+ nanocomposites not only can emit
enhanced red luminescence excited at 338 nm, but also can be used to improve the
efficiency of the dye sensitized solar cells, resulting an efficiency of 8.38%,
which is a noticeable enhancement of 12% compared to the cell without
Y2O3/Y2O2S:Eu3+ nanocomposites. The results of the incident photon to current,
dynamic light scattering, and diffuse reflectance spectra indicated that the
enhancement of the cell efficiency was mainly related to the light scattering
effect of Y2O3/Y2O2S:Eu3+ nanocomposites. As a phosphor powder, the emission at
~615 nm of Y2O3/Y2O2S:Eu3+ was split into two sub-bands. Compared with Y2O3:Eu3+,
the 5D0 -> 7F0 and 5D0 -> 7F1 emissions of Y2O3/Y2O2S:Eu3+ showed a little red
shift.
PMID- 27872490
TI - Use of Zebrafish Larvae as a Multi-Endpoint Platform to Characterize the Toxicity
Profile of Silica Nanoparticles.
AB - Nanomaterials are being extensively produced and applied in society. Human and
environmental exposures are, therefore, inevitable and so increased attention is
being given to nanotoxicity. While silica nanoparticles (NP) are one of the top
five nanomaterials found in consumer and biomedical products, their toxicity
profile is poorly characterized. In this study, we investigated the toxicity of
silica nanoparticles with diameters 20, 50 and 80 nm using an in vivo zebrafish
platform that analyzes multiple endpoints related to developmental, cardio-,
hepato-, and neurotoxicity. Results show that except for an acceleration in
hatching time and alterations in the behavior of zebrafish embryos/larvae, silica
NPs did not elicit any developmental defects, nor any cardio- and hepatotoxicity.
The behavioral alterations were consistent for both embryonic photomotor and
larval locomotor response and were dependent on the concentration and the size of
silica NPs. As embryos and larvae exhibited a normal touch response and early
hatching did not affect larval locomotor response, the behavior changes observed
are most likely the consequence of modified neuroactivity. Overall, our results
suggest that silica NPs do not cause any developmental, cardio- or
hepatotoxicity, but they pose a potential risk for the neurobehavioral system.
PMID- 27872494
TI - As-grown graphene/copper nanoparticles hybrid nanostructures for enhanced
intensity and stability of surface plasmon resonance.
AB - The transfer-free fabrication of the high quality graphene on the metallic
nanostructures, which is highly desirable for device applications, remains a
challenge. Here, we develop the transfer-free method by direct chemical vapor
deposition of the graphene layers on copper (Cu) nanoparticles (NPs) to realize
the hybrid nanostructures. The graphene as-grown on the Cu NPs permits full
electric contact and strong interactions, which results in a strong localization
of the field at the graphene/copper interface. An enhanced intensity of the
localized surface plasmon resonances (LSPRs) supported by the hybrid
nanostructures can be obtained, which induces a much enhanced fluorescent
intensity from the dye coated hybrid nanostructures. Moreover, the graphene
sheets covering completely and uniformly on the Cu NPs act as a passivation layer
to protect the underlying metal surface from air oxidation. As a result, the
stability of the LSPRs for the hybrid nanostructures is much enhanced compared to
that of the bare Cu NPs. The transfer-free hybrid nanostructures with enhanced
intensity and stability of the LSPRs will enable their much broader applications
in photonics and optoelectronics.
PMID- 27872495
TI - Association of TNFSF4 Polymorphisms with Vogt-Koyanagi-Harada and Behcet's
Disease in Han Chinese.
AB - To investigate whether single nucleotide polymorphisms (SNPs) of the Tumor
Necrosis Factor Superfamily 4 (TNFSF4) gene are associated with Vogt-Koyanagi
Harada (VKH) and Behcet's disease (BD) in a Chinese Han population. A two-stage
case control study was carried out in 1331 VKH, 938 BD and 1752 healthy controls.
Ten TNFSF4 SNPs, including rs1234314, rs1234315, rs2205960, rs704840, rs2795288,
rs844654, rs12039904, rs10912580, rs844665, and rs844644, were genotyped using
the PCR-restriction fragment length polymorphism method. Genotype and allele
frequencies were analyzed between cases and healthy controls using the X2 or
Fisher's exact test and p values were corrected for multiple comparisons. We
observed a significantly increased frequency of the TT genotype of rs1234315 in
BD patients (Pc = 1.44 * 10-5, OR = 1.734, 95% CI = 1.398-2.151). The frequency
of the TT genotype of rs12039904 was significantly higher in patients with VKH
disease as compared to controls (Pc = 4.62 * 10-5, OR = 1.959, 95% CI = 1.483
2.588). Analysis of clinical manifestations in VKH disease and BD did not show an
association with the TNFSF4 gene polymorphisms. The study suggests that the
TNFSF4 gene may be involved in the susceptibility to VKH disease and BD in Han
Chinese.
PMID- 27872498
TI - The cryptic IRF2BP2-RARA fusion transforms hematopoietic stem/progenitor cells
and induces retinoid-sensitive acute promyelocytic leukemia.
PMID- 27872497
TI - Bcl-2 protein family expression pattern determines synergistic pro-apoptotic
effects of BH3 mimetics with hemisynthetic cardiac glycoside UNBS1450 in acute
myeloid leukemia.
PMID- 27872496
TI - Inactivation of KLF4 promotes T-cell acute lymphoblastic leukemia and activates
the MAP2K7 pathway.
AB - T-cell acute lymphoblastic leukemia (T-ALL) is an aggressive hematological
malignancy with a high incidence of relapse in pediatric ALL. Although most T-ALL
patients exhibit activating mutations in NOTCH1, the cooperating genetic events
required to accelerate the onset of leukemia and worsen disease progression are
largely unknown. Here, we show that the gene encoding the transcription factor
KLF4 is inactivated by DNA methylation in children with T-ALL. In mice, loss of
KLF4 accelerated the development of NOTCH1-induced T-ALL by enhancing the G1-to-S
transition in leukemic cells and promoting the expansion of leukemia-initiating
cells. Mechanistically, KLF4 represses the gene encoding the kinase MAP2K7. Our
results showed that in murine and pediatric T-ALL, loss of KLF4 leads to aberrant
activation of MAP2K7 and of the downstream effectors JNK and ATF2. As a proof-of
concept for the development of a targeted therapy, administration of JNK
inhibitors reduced the expansion of leukemia cells in cell-based and patient
derived xenograft models. Collectively, these data uncover a novel function for
KLF4 in regulating the MAP2K7 pathway in T-ALL cells, which can be targeted to
eradicate leukemia-initiating cells in T-ALL patients.
PMID- 27872499
TI - Phosphatase PRL2 promotes oncogenic NOTCH1-Induced T-cell leukemia.
PMID- 27872501
TI - SYNCHRONIZATION OF HETEROGENEOUS OSCILLATORS UNDER NETWORK MODIFICATIONS:
PERTURBATION AND OPTIMIZATION OF THE SYNCHRONY ALIGNMENT FUNCTION.
AB - Synchronization is central to many complex systems in engineering physics (e.g.,
the power-grid, Josephson junction circuits, and electro-chemical oscillators)
and biology (e.g., neuronal, circadian, and cardiac rhythms). Despite these
widespread applications-for which proper functionality depends sensitively on the
extent of synchronization-there remains a lack of understanding for how systems
can best evolve and adapt to enhance or inhibit synchronization. We study how
network modifications affect the synchronization properties of network-coupled
dynamical systems that have heterogeneous node dynamics (e.g., phase oscillators
with non-identical frequencies), which is often the case for real-world systems.
Our approach relies on a synchrony alignment function (SAF) that quantifies the
interplay between heterogeneity of the network and of the oscillators and
provides an objective measure for a system's ability to synchronize. We conduct a
spectral perturbation analysis of the SAF for structural network modifications
including the addition and removal of edges, which subsequently ranks the edges
according to their importance to synchronization. Based on this analysis, we
develop gradient-descent algorithms to efficiently solve optimization problems
that aim to maximize phase synchronization via network modifications. We support
these and other results with numerical experiments.
PMID- 27872503
TI - Harmonisation and What's in a Unit?
PMID- 27872502
TI - The Communities That Care Brief Depression Scale: Psychometric Properties and
Criterion Validity.
AB - For community-level approaches to preventing depression, measures of depression
that are brief as well as valid are needed, particularly given competing demands
in surveys for assessment of other outcomes including substance use, delinquency,
and their associated risk factors. This study examined the validity of a four
item adolescent depression measure, the Communities That Care Brief Depression
Scale (CTC-BDS). Data were from a survey of adolescents (N = 3,939) participating
in the Community Youth Development Study (CYDS), a community-randomized trial
involving 24 U.S. towns. The Patient Health Questionnaire nine-item (PHQ-9) was
the criterion standard used to define major depressive disorder (MDD).
Sensitivity and specificity of the CTC-BDS were both > .8 at a cutpoint of 6 and
the area under the receiver operating characteristic curve was .91. Its strong
psychometric properties and brevity make the CTC-BDS a useful measure for
communities to monitor levels of youth depression.
PMID- 27872504
TI - Harmonising Reference Intervals for Three Calculated Parameters used in Clinical
Chemistry.
AB - For more than a decade there has been a global effort to harmonise all phases of
the testing process, with particular emphasis on the most frequently utilised
measurands. In addition, it is recognised that calculated parameters derived from
these measurands should also be a target for harmonisation. Using data from the
Aussie Normals study we report reference intervals for three calculated
parameters: serum osmolality, serum anion gap and albumin-adjusted serum calcium.
The Aussie Normals study was an a priori study that analysed samples from 1856
healthy volunteers. The nine analytes used for the calculations in this study
were measured on Abbott Architect analysers. The data demonstrated normal
(Gaussian) distributions for the albumin-adjusted serum calcium, the anion gap
(using potassium in the calculation) and the calculated serum osmolality (using
both the Bhagat et al. and Smithline and Gardner formulae). To assess the
suitability of these reference intervals for use as harmonised reference
intervals, we reviewed data from the Royal College of Pathologists of
Australasia/Australasian Association of Clinical Biochemists (RCPA/AACB) bias
survey. We conclude that the reference intervals for the calculated serum
osmolality (using the Smithline and Gardner formulae) may be suitable for use as
a common reference interval. Although a common reference interval for albumin
adjusted serum calcium may be possible, further investigations (including a
greater range of albumin concentrations) are needed. This is due to the bias
between the Bromocresol Green (BCG) and Bromocresol Purple (BCP) methods at lower
serum albumin concentrations. Problems with the measurement of Total CO2 in the
bias survey meant that we could not use the data for assessing the suitability of
a common reference interval for the anion gap. Further study is required.
PMID- 27872505
TI - Harmonisation of Osmolal Gap - Can We Use a Common Formula?
AB - Osmolal gap is the difference between the measured osmolality and a calculated
osmolality based on the major commonly measured osmotically active particles. The
perceived gap indicates the presence of unmeasured osmotically active particles.
The major use of osmolal gap today is to screen for the possible presence of
exogenous toxic substances in patients in an emergency department or intensive
care unit. There is a long history of osmolal gap calculations and it needs to be
appreciated that the uncertainty of the osmolal gap will be determined by the sum
of errors in the calculated osmolality, error in measured osmolality and
variability in unmeasured analytes. Since 1958 there has been a constant trickle
of papers proposing both simple and sophisticated formulae to calculate the
'ultimate' osmolal gap. A gap as close to zero as possible and with a low
coefficient of variation across multiple clinical conditions and analytical
platforms are also determinants of 'fitness for purpose' of any osmolal gap
calculations. The Smithline-Gardner formula for calculated osmolality [2(Na) +
Glu + Urea] is fit for purpose in both normal people and general hospital
patients. It also performs well across different analytical platforms. This
simple formula can be used for rapid mental calculation at the bedside and
automated laboratory information system reporting whenever a measured osmolality
is requested. In this era of harmonisation, we propose that this formula be
adopted by all clinicians and laboratories.
PMID- 27872506
TI - Harmonising Adult Reference Intervals in Australia and New Zealand - the
Continuing Story.
AB - Reference intervals (RIs) are used to help clinicians determine if a patient can
be classified as being in a diseased or healthy state and there are often sound
scientific and clinical reasons for differences in RIs. One of the current
strategic priorities for the Australasian Association of Clinical Biochemists is
to encourage and assist laboratories to achieve harmonisation of RIs for common
clinical chemistry analytes where sound calibration and traceability are in
place. This need is based on good laboratory practice, providing the clinician
with results that allow appropriate and reliable clinical interpretation and
progression further toward the national e-health framework and a single
electronic health record. After reviewing and considering studies related to bias
as well as both a priori and a posteriori RI studies nationally and
internationally and the consideration of flagging rates and clinical relevance,
an initial group of 12 harmonised RIs were endorsed by the Royal College of
Pathologists of Australasia in 2014. In 2015, after further stakeholder
consultation, a second group of six harmonised RIs for common chemistry analytes
has been proposed for adults which includes ALT and AST where methods do not use
pyridoxal-5'-phosphate as an activator and lipase excluding the Ortho Clinical
Diagnostics and Siemens Dimension assays.
PMID- 27872507
TI - Calculated Chemistry Parameters - do they need to be harmonised?
AB - In clinical chemistry, harmonisation of the testing process is a global
initiative with the purpose of improving patient safety, allowing better
integration of research data and enabling the use of national electronic heath
records. In Australia, as in other countries, the initial focus has been on the
harmonisation of the more commonly measured analytes. There are also a number of
calculated parameters, derived from these measured analytes, which could also be
considered for harmonisation. Calculated parameters that are reported by
laboratories and used for clinical decision-making should undergo the same robust
process of harmonisation as is the case for the measured analytes. Aspects that
should be considered for harmonisation are: terminology, the formulae used and
where possible the use of common reference intervals. To investigate pathways
towards the harmonisation of calculated parameters, three commonly reported
parameters are considered. Calculated osmolality, the anion gap and albumin
adjusted calcium are all derived from common analytes which have individually
been considered for harmonisation. They present different methodological,
measurement uncertainty and terminological hurdles to harmonisation and are
likely to require different pathways and solutions.
PMID- 27872508
TI - Hidden diversity in Thyridaria and a new circumscription of the Thyridariaceae.
AB - A multigene analysis of a combined ITS-LSU-SSU-rpb2-tef1 sequence data matrix was
applied to infer the phylogenetic position of the genus Thyridaria in the
Pleosporales. The generic type of Thyridaria, T. broussonetiae (syn. T.
incrustans), is situated in a clade currently named Roussoellaceae, which becomes
a synonym of Thyridariaceae. However, Thyridaria rubronotata does not belong to
this clade, but is here recognised as Cyclothyriella rubronotata in its own
family Cyclothyriellaceae. The Thyridariaceae contain the genera Thyridaria,
Roussoella, Roussoellopsis, Neoroussoella and the new genus Parathyridaria.
Roussoella acaciae is combined in Thyridaria and Roussoella percutaenea in
Parathyridaria. Ohleria modesta and an additional new thyridaria-like genus,
Hobus, are found to represent isolated lineages with unresolved phylogenetic
affinites within the Pleosporales. For Ohleria the new family Ohleriaceae is
established. Melanomma fuscidulum belongs to Nigrograna, and three new species
are described in this genus. A strain named Biatriospora marina clusters with
Nigrograna. Based on the newly recognised species in Nigrograna, morphology and
ecology do in no way correlate among these genera, therefore we erect the new
family Nigrogranaceae for Nigrograna and recommend to discontinue the use of the
family name Biatriosporaceae until fresh material of B. marina becomes available
for sequencing.
PMID- 27872509
TI - Diagnostic Utility of ANG in Coronary Heart Disease Complicating Chronic Heart
Failure: A Cross-Sectional Study.
AB - Angiogenin (ANG) has been shown to be elevated in several cardiovascular
diseases. To detect its levels and diagnostic capacity in coronary heart disease
(CHD) patients complicating chronic heart failure (CHF), we performed this cross
sectional study and enrolled 616 CHD patients and 53 healthy controls. According
to complicating CHF or not, the patients were divided into CHF group (n = 203)
and CHD disease controls (n = 413), in which the CHF group was subdivided as
heart failure with reduced ejection fraction (HFrEF) group or heart failure with
preserved ejection fraction (HFpEF) group on the basis of left ventricular
ejection fraction (LVEF), or as different NYHA class group. Their plasma ANG
levels were detected using enzyme-linked immunosorbent assay (ELISA). Plasma ANG
was 342.8 (IQR [273.9,432.9]), 304.5 (IQR [254.0,370.5]), and 279.7 (IQR
[214.4,344.0]) ng/mL in the CHF group, CHD disease controls, and healthy
controls, respectively, significantly higher in the CHF group compared with the
others. Furthermore, among CHF group, ANG is dramatically higher in the HFrEF
patients compared with the HFpEF patients. As for the diagnostic capacity of ANG,
the area under the receiver operating characteristic curve was 0.71 (95% CI 0.63
0.78). We concluded that plasma ANG is elevated in CHD complicating CHF patients
and may be a moderate discriminator of CHF from CHD or the healthy.
PMID- 27872511
TI - Synthesis of Trifluoromethoxylated (Hetero)Arenes via OCF3 Migration.
AB - Incorporation of the OCF3 group into organic molecules, especially aromatic and
heteroaromatic compounds, is recognized as one of the major challenges in
synthetic organic chemistry. Although many attempts have been made to develop
efficient trifluoromethoxylation strategies, most of the current approaches still
require use of highly toxic, thermally unstable reagents, or impractical reaction
conditions. Herein, we highlight a recent contribution from our group towards the
synthesis of (hetero)aryltrifluoromethyl ethers. Our protocol is scalable,
operationally simple, and allows an easy access to a wide range of synthetically
useful ortho-OCF3 aniline derivatives, as well as functionalized
trifluoromethoxylated pyridines and pyrimidines under mild reaction conditions.
PMID- 27872510
TI - Association of the Aspartate Aminotransferase to Alanine Aminotransferase Ratio
with BNP Level and Cardiovascular Mortality in the General Population: The
Yamagata Study 10-Year Follow-Up.
AB - Background. Early identification of high risk subjects for cardiovascular disease
in health check-up is still unmet medical need. Cardiovascular disease is
characterized by the superior increase in aspartate aminotransferase (AST) to
alanine aminotransferase (ALT). However, the association of AST/ALT ratio with
brain natriuretic peptide (BNP) levels and cardiovascular mortality remains
unclear in the general population. Methods and Results. This longitudinal cohort
study included 3,494 Japanese subjects who participated in a community-based
health check-up, with a 10-year follow-up. The AST/ALT ratio increased with
increasing BNP levels. And multivariate logistic analysis showed that the AST/ALT
ratio was significantly associated with a high BNP (>=100 pg/mL). There were 250
all-cause deaths including 79 cardiovascular deaths. Multivariate Cox
proportional hazard regression analysis revealed that a high AST/ALT ratio (>90
percentile) was an independent predictor of all-cause and cardiovascular
mortality after adjustment for confounding factors. Kaplan-Meier analysis
demonstrated that cardiovascular mortality was higher in subjects with a high
AST/ALT ratio than in those without. Conclusions. The AST/ALT ratio was
associated with an increase in BNP and was predictive of cardiovascular mortality
in a general population. Measuring the AST/ALT ratio during routine health check
ups may be a simple and cost-effective marker for cardiovascular mortality.
PMID- 27872512
TI - A Systematic Review of Research on Autism Spectrum Disorders in Sub-Saharan
Africa.
AB - The burden of autism spectrum disorders (ASDs) in sub-Saharan Africa (SSA) is not
well known. We carried out a systematic review of the literature to identify
published work from SSA. We have systematically searched four databases, namely,
Medline, PsycINFO, CINAHL, and Child Development & Adolescent Studies, through
EBSCO and identified studies from across SSA. Based on predefined inclusion
criteria, 47 studies were included in this review. Most of the identified studies
(74%) were conducted in only 2 African countries, that is, South Africa and
Nigeria. Additionally, most of these studies (83%) were carried out in the last
decade. These studies had four major themes: development of measurement tools of
ASD in Africa, examining the prevalence of ASD, identifying risk factors and risk
markers, and examining psychosocial issues. We identified only a single
population level study aimed at documenting the prevalence of ASD and could not
identify a single case-control study aimed at examining a comprehensive set of
potential risk factors. All intervention studies were based on very small sample
sizes. Put together, our findings suggest that current evidence base is too
scanty to provide the required information to plan adequately for effective
intervention strategies for children with ASD in Africa.
PMID- 27872513
TI - Vaginal Lactoferrin Modulates PGE2, MMP-9, MMP-2, and TIMP-1 Amniotic Fluid
Concentrations.
AB - Inflammation plays an important role in pregnancy, and cytokine and matrix
metalloproteases (MMPs) imbalance has been associated with premature rupture of
membranes and increased risk of preterm delivery. Previous studies have
demonstrated that lactoferrin (LF), an iron-binding protein with anti
inflammatory properties, is able to decrease amniotic fluid (AF) levels of IL-6.
Therefore, we aimed to evaluate the effect of vaginal LF administration on
amniotic fluid PGE2 level and MMP-TIMP system in women undergoing genetic
amniocentesis. One hundred and eleven women were randomly divided into controls
(n = 57) or treated with LF 4 hours before amniocentesis (n = 54). Amniotic fluid
PGE2, active MMP-9 and MMP-2, and TIMP-1 and TIMP-2 concentrations were
determined by commercially available assays and the values were normalized by AF
creatinine concentration. PGE2, active MMP-9, and its inhibitor TIMP-1 were lower
in LF-treated group than in controls (p < 0.01, p < 0.005, and p < 0.001, resp.).
Conversely, active MMP-2 (p < 0.0001) and MMP-2/TIMP-2 molar ratio (p < 0.001)
were increased, whilst TIMP-2 was unchanged. Our data suggest that LF
administration is able to modulate the inflammatory response following
amniocentesis, which may counteract cytokine and prostanoid imbalance that leads
to abortion. This trial is registered with Clinical Trial number NCT02695563.
PMID- 27872514
TI - The Role of Interleukin-17 in Lung Cancer.
AB - Tumour-associated inflammation is a hallmark of malignant carcinomas, and lung
cancer is a typical inflammation-associated carcinoma. Interleukin-17 (IL-17) is
an important inflammatory cytokine that plays an important role in chronic
inflammatory and autoimmune diseases and in inflammation-associated tumours.
Numerous studies have shown that IL-17 directly or indirectly promotes tumour
angiogenesis and cell proliferation and that it inhibits apoptosis via the
activation of inflammatory signalling pathways. Therefore, IL-17 contributes to
the metastasis and progression of lung cancer. Research advances with respect to
the role of IL-17 in lung cancer will be presented as a review in this paper.
PMID- 27872515
TI - Therapeutic Treatment of Arthritic Mice with 15-Deoxy Delta12,14-Prostaglandin J2
(15d-PGJ2) Ameliorates Disease through the Suppression of Th17 Cells and the
Induction of CD4+CD25-FOXP3+ Cells.
AB - The prostaglandin, 15-deoxy Delta12,14-prostaglandin J2 (15d-PGJ2), is a lipid
mediator that plays an important role in the control of chronic inflammatory
disease. However, the role of prostanoid in rheumatoid arthritis (RA) is not well
determined. We demonstrated the therapeutic effect of 15d-PGJ2 in an experimental
model of arthritis. Daily administration of 15d-PGJ2 attenuated the severity of
CIA, reducing the clinical score, pain, and edema. 15d-PGJ2 treatment was
associated with a marked reduction in joint levels of proinflammatory cytokines.
Although the mRNA expression of ROR-gammat was profoundly reduced, FOXP3 was
enhanced in draining lymph node cells from 15d-PGJ2-treated arthritic mice. The
specific and polyclonal CD4+ Th17 cell responses were limited during the addition
of prostaglandin to cell culture. Moreover, in vitro 15d-PGJ2 increased the
expression of FOXP3, GITR, and CTLA-4 in the CD4+CD25- population, suggesting the
induction of Tregs on conventional T cells. Prostanoid addition to CD4+CD25-
cells selectively suppressed Th17 differentiation and promoted the enhancement of
FOXP3 under polarization conditions. Thus, 15d-PGJ2 ameliorated symptoms of
collagen-induced arthritis by regulating Th17 differentiation, concomitant with
the induction of Tregs, and, consequently, protected mice from diseases
aggravation. Altogether, these results indicate that 15d-PGJ2 may represent a
potential therapeutic strategy in RA.
PMID- 27872517
TI - How does the brain keep information "in mind"?
PMID- 27872516
TI - Proliferative Effects of Histamine on Primary Human Pterygium Fibroblasts.
AB - Purpose. It has been confirmed that inflammatory cytokines are involved in the
progression of pterygium. Histamine can enhance proliferation and migration of
many cells. Therefore, we intend to investigate the proliferative and migratory
effects of histamine on primary culture of human pterygium fibroblasts (HPFs).
Methods. Pterygium and conjunctiva samples were obtained from surgery, and
toluidine blue staining was used to identify mast cells. 3-[4, 5-Dimethylthiazol
2-yl]-2,5-diphenyltetrazolium bromide (MTT) was performed to evaluate the
proliferative rate of HPFs and human conjunctival fibroblasts (HCFs); ki67
expression was also measured by immunofluorescence analysis. Histamine receptor-1
(H1R) antagonist (Diphenhydramine Hydrochloride) and histamine receptor-2 (H2R)
antagonist (Nizatidine) were added to figure out which receptor was involved.
Wound healing model was used to evaluate the migratory ability of HPFs. Results.
The numbers of total mast cells and degranulated mast cells were both higher in
pterygium than in conjunctiva. Histamine had a proliferative effect on both HPFs
and HCFs, the effective concentration (10 MUmol/L) on HPFs was lower than on HCFs
(100 MUmol/L), and the effect could be blocked by H1R antagonist. Histamine
showed no migratory effect on HPFs. Conclusion. Histamine may play an important
role in the proliferation of HPFs and act through H1R.
PMID- 27872518
TI - Spinal fusion surgery: A historical perspective.
AB - The vast majority of technological advances in spinal fusion surgery have
occurred within the past 50 years. Despite this, there existed a rich history of
innovation, ingenuity, and resourcefulness among the spine surgeons of centuries
before. Here, we pay tribute to this history, highlighting the important
characters, their devices, and their thoughts, as they sought to alleviate human
suffering from spinal deformity.
PMID- 27872520
TI - Desk of the Editor.
PMID- 27872521
TI - Comments on Radical Esophagectomy after Neoadjuvant Chemoradiation: Single
Institutional Experience from Tertiary Cancer Centre in India.
PMID- 27872519
TI - The inflatable intramedullary nail for humeral shaft fractures.
AB - BACKGROUND: We assessed the results of humeral shaft fracture fixation using the
inflatable intramedullary nail using radiological and clinical findings. METHODS:
From 2012 to 2015, we treated 14 patients with humeral shaft fractures using
inflatable intramedullary nail after closed reduction. RESULTS: The mean follow
up time was 14.1 months. The mean time to bone union was 4.5 months. None of the
patients had major perioperative mechanical complications or postoperative
complications, except for the occurrence of fixation loss and non-union in one
patient. CONCLUSION: Inflatable intramedullary nails seem to be applicable, safe
and effective for humeral AO/OTA type A midshaft fractures.
PMID- 27872522
TI - Sentinel Lymph Node Biopsy in N0 Neck for Squamous Cell Carcinoma of Oral Cavity:
a Prospective Study.
AB - Oral cancers in India are very common. SLNB (sentinel lymph node biopsy) for the
management of the cN0 neck provides proper staging with less morbidity. The study
aims at assessment of the technical feasibility and accuracy of SLNB. Two by two
table and Kappa statistic was used to compare SLN and END. In 14 cases out of 16
cases, SLN was identified. Sensitivity and specificity of 100 % were found. One
hundred percent agreement was observed between SLN and END using kappa
statistics. A meta-analysis of 19 studies showed 97.7 % sentinel node
identification rate and 92.6 % sensitivity with a false negative rate of 3 %. In
patients with N0 neck and negative SLN, neck dissection can be avoided decreasing
morbidity of SOND. SLN biopsy has potential to become standard of care for
managing N0 neck; however, long-term oncological results need to be evaluated.
PMID- 27872523
TI - Impact of Molecular Predictors on the Response Rates in Head and Neck Cancer
Patients - an Observational Study.
AB - Squamous cell carcinoma of head and neck region account for more than 25 % of
male and more than 10 % of female cancers in India (1). Head and neck cancer
treatment includes a multidisciplinary approach involving all specialties.
Concurrent chemo-radiation is the standard of care in most of the subsites (2).
Inspite of the multi-disciplinary approach, a plateau has been reached in terms
of results with 5 year survival of locally advanced disease of around 30 % (3).
In order to improve outcomes, there has been considerable interest in molecular
profiling of head and neck cancers 4-10. However there is still significant
paucity in terms of Indian data, hence the need for the study. The objectives are
to assess the HPV-p16, EGFR and p53 status, to correlate HPV-p16, EGFR and p53
status with the response rates, to correlate HPV-p16,EGFR and p53 status with
other factors like age, sex, tobacco use. Twenty five consecutive cases of
histopathologically proven head and neck cancers were accrued. All patients were
treated with external radiation to a dose of 66Gy in 33 fractions along with
concurrent weekly cisplatin chemotherapy at a dose of 40mg/sqm. HPV-p16, EGFR and
p53 mutation analysis was done on paraffin embedded histopathological blocks. PCR
technique used for HPV-p16, EGFR and p53 status detection. Response assessment
was done based on RECIST criteria. Correlation of HPV, EGFR and p53 status on
response was done. The EGFR positivity rate was 84 %, the p53 positivity rate was
76 % and the HPV p-16 positivity rate was 28 %. Out of 25 patients, 13(52%) had
complete response, 7(28 %) had partial response, 3(12 %) had stable disease and
2(8 %) had progressive disease. On correlation of molecular profile with
response, there was no statistical significance between EGFR status and response
(p 0.5) or HPV-p16 and response (p 0.8). However, p53 positivity was approaching
significance with respect to good response (p 0.07).
PMID- 27872525
TI - Experiences of Breast Cancer Survivors with Oncology Settings in Urban India:
Qualitative Findings.
AB - The experience of diagnosis and treatment of cancer leads to significant changes
within an individual. In the course of survivorship trajectory, very often cancer
survivors are left with the sense, improvements could be done to enhance the
quality of cancer care. This article focuses on experiences of breast cancer
survivors with oncology setting in urban India. Data was collected from 15 women
in South and East India using in-depth interview method. Qualitative interview
data was analysed using descriptive phenomenology method. Areas of importance
that emerged broadly included informational and emotional categories. Findings
reveal quality of cancer care in India can be improved through developing an
orientation towards patient-centred style rather than doctor/disease centred
approach which has major influence on physical and mental health outcomes. This
would require further research and increased emphasis on training of medical as
well as paramedical professionals.
PMID- 27872526
TI - Progesterone Receptors, Pathological Complete Response and Early Outcome for
Locally Advanced Breast Cancer - a Single Centre Study. (PPLB - 01).
AB - Neoadjuvant chemotherapy (NACT) for locally advanced breast cancer (LABC), apart
from increasing breast conservation rates, also provides an opportunity to assess
tumour response to chemotherapy, with Pathological Complete Response (pCR)
described as an independent prognostic factor and a surrogate marker for better
outcome and survival. Our primary aim was to identify clinical and pathological
factors associated with pCR following NACT in patients with LABC treated at our
institution. Our secondary aim was to analyze the impact of pCR and associated
factors on disease free survival (DFS) and overall survival (OS). A retrospective
analysis of LABC patients treated with NACT between Jun 2011 and Dec 2013.
Clinical and histological variables were analyzed for association with pCR (no
invasive or in situ carcinoma in breast or axillary lymph nodes). Kaplan-Meier
curves and Cox regression model was used for survival analysis. All values were
twosided, and statistical significance was defined as p < 0.05. 240 patients were
included. The median tumor size was 6 cm, with T4 disease in 49.8 %. 45 % of
tumors were of low grade (G1 + G2) and 53.8 % of high grade (G3). Estrogen
Receptor (ER) was positive in 70.8 %, progesterone receptor (PR) in 53.3 % and
Her2 in 38.8 %. The preferred NACT regimen was sequential anthracycline and
taxane and 88.8 % of patients received this regimen. Of 93 potential Her2
Positive patients, only 23 received trastuzumab. Overall 23.2 % patients had pCR.
At median follow up of 21 months (range, 3-42), 16.3 % of patients had recurrent
disease, and 6.7 % had died. High tumor grade (p = 0.04), PR negative status (p <
0.01) and trastuzumab treatment (p = 0.01) were significant predictors of pCR in
univariate analysis. On multivariate analysis PR negativity (OR 3.2, 95 % CI =
1.6 to 6.04, p = 0.001) and Trastuzumab use (OR 0.24, 95 % CI = 0.1 to 0.6, p =
0.004) were significant. Patients with pCR had positive associations with
survival (p < .02,OS& .02,DFS) and interestingly PR positivity had positive
association with DFS (p = 0.02) in Kaplan-Meier curves. On Cox regression, PR
positivity (HR = 0.3, p < 0.01) and pCR (HR = 0.2, p < 0.01) correlated with DFS,
though not with early OS. for the PR positive patients were paradoxical. Though
less likely to have pCR (15 %, vs 32 % if PR negative), they had better DFS (p =
0.02), and achieving pCR had no survival benefit in this group. In contrast, PR
negative patients, irrespective of ER status, had a high pCR rate, and achieving
pCR had survival advantage (p < 0.05,DFS& p < 0.02,OS). PR negative patients
without pCR had the worst DFS (p < 0.01) among all. High grade and Trastuzumab
treatment as predictors of pCR, and pCR as a surrogate marker for survival are
well recognized, and are supported by our findings. In present cohort, PR
negativity showed prognostic importance independent of ER status. However these
results were derived from sub-group, post-hoc analysis of data from a pre
existing cohort, without 'a-priori' hypothesis for survival analysis in relation
to PR. These "hypothesis generating" results need confirmation by a well-designed
prospective cohort or a randomized trial.
PMID- 27872524
TI - Human Papilloma Virus in Oral Cavity Cancer and Relation to Change in Quality of
Life Following Treatment-a Pilot Study from Northern India.
AB - Human papilloma virus (HPV)-associated head and neck cancer (HNC) has generated
significant amount of research interest in recent times with focus shifted to
oral cavity squamous cell cancer (OCSCC) after oropharyngeal cancer. Due to high
incidence of OCSCC and anecdotal reports on association of HPV infection from
northern region of India, this study was conceived to investigate HPV infection
and establish its association with lifestyle habits such as tobacco, alcohol
consumption, oro-genital sex, number of sexual contacts, and change in quality of
life posttreatment. A total of 43 primary OCSCC biopsy specimens were collected.
These samples were analyzed for HPV DNA genotyping which was done by using 13
high-risk HPV real-time PCR kits. Quality of life was assessed using University
of Washington questionnaire for HNC patients, which was administered pretreatment
and 3-months posttreatment. HPV presence was confirmed in only three patients
(7.0 %). HPV positivity did not find any statistical correlation with age,
gender, residence, addiction habit, stage, tumor size, nodal status, tumor grade,
and number of sexual contacts. There was no significant (p > 0.05) difference in
the average percent change in QOL parameters from pretreatment to posttreatment
when correlated with HPV status.
PMID- 27872527
TI - Role of Axillary Ultrasound, Fine Needle Aspiration Cytology and Sentinel Lymph
Node Biopsy in clinically N0 Breast Cancer.
AB - This study evaluates the combined role of axillary ultrasound, fine needle
aspiration cytology and sentinel lymph node biopsy in clinically N0 axilla.
Between January 2014 and June 2015, 150 women with early breast cancer underwent
axillary ultrasound as a first investigation for nodal status. Suspicious nodes
were subjected to image guided fine needle aspiration cytology. Non-suspicious
and fine needle aspiration cytology negative axillary nodes proceeded to sentinel
lymph node biopsy at time of primary breast surgery. All confirmed positive
(cytology and frozen) cases proceeded to axillary lymph node dissection. 52 women
had positive axillary nodes at final histology. Axillary ultrasound with fine
needle aspiration cytology identified 27 patients with positive axillary nodal
status and had a sensitivity of 84.36 % (27/32) and specificity of 87.5 %
(14/16). Intraoperative frozen analysis identified a further 13 cases with
sensitivity of 56.52 % (13/23) and specificity of 97.56 % (80/82). Overall 76.92
% (40/52) patients with positive axillary metastasis were identified peri
operatively using combination of axillary ultrasound, cytology and sentinel lymph
node biopsy.
PMID- 27872528
TI - Evaluation of Surgical Outcomes of Oncoplasty Breast Surgery in Locally Advanced
Breast Cancer and Comparison with Conventional Breast Conservation Surgery.
AB - The purpose of this study was to compare early oncologic outcomes of oncoplastic
breast surgery and conventional breast conservation surgery in patients of
locally advanced breast cancer. A single-center, prospective, non-randomized
study enrolled select cases of locally advanced breast cancer (TNM T3/T4, N0/1/2)
who after neoadjuvant chemotherapy, were considered for breast conservation
surgery with oncoplasty techniques. The specimen volume resected, the mean
margins and mean closest margin obtained were noted. The re-surgery rates,
complication rates, and incidence of locoregional recurrence were also noted.
Variables were compared with a retrospective cohort of similar patients who had
undergone conventional breast conservation surgery. Fifty-seven patients
underwent OBS (group 1) and were compared with 43 cases that had undergone
conventional BCS (group 2). Majority of the patients in group 1 (73 %) had cT3
with N0 or N+ and a minority (17 %) were with limited skin involvement (cT4 and
N0/N+). Relatively larger sized, post-NACT tumors could undergo OBS(4.4 vs 2.3
cm). Relatively greater proportion of tumors in central and lower quadrants were
addressed by oncoplasty than traditional BCS (17/57, 29 % vs 4/43, 9 %, p =
0.04). The mean specimen volume excised in group 1 was more than that in group 2.
(187.54 vs 125.19; p = 0.01). The mean of the margins were obtained more in group
1 (1.04 vs 0.69 cm); p < 0.01) as also the mean closest margin (0.86 vs 0.49 cm;
p < 0.01). The incidence of close or involved margins was lesser in the OBS group
(8 vs 24 %). Overall incidence of complications was similar in both groups (8/57,
14 % vs 4/43, 9 %; p = 0.34 NS). The median follow-up period of group 1 is 18
months (range 06-30 months) while group 2 is 34 months (14-44 months. There was
no recurrence in group 1, but there were 5 cases (11 %) in group 2. Oncoplasty
breast surgery offers more opportunity for breast conservation and oncologic
safety than conventional breast conserving surgery.
PMID- 27872529
TI - Oncological Safety of Submental Artery Island Flap in Oral Reconstruction -
Analysis of 229 Cases.
AB - Submental artery island flap (SMIF) is gaining popularity as a viable alternative
to free flaps in carefully selected oral cavity defects after surgical
extirpation of cancers.The current paper looks into the overall efficacy and
oncological safety of the flap based on a prospective audit of 229 cases done at
a high volume cancer center from october 2004 to september 2012 and concludes
that SMIF is a oncologically safe,reliable reconstructive option in carefully
selected oral cancers undergoing surgical resections.
PMID- 27872530
TI - Deep Vein Thrombosis in Indian Cancer Patients Undergoing Major Thoracic and
Abdomino-Pelvic Surgery.
AB - The aim of the study was to determine the incidence of postoperative deep vein
thrombosis (DVT) in Indian patients undergoing surgery for thoracic and abdomino
pelvic malignancies. A prospective observational study was conducted in a
tertiary care cancer centre in North India. Two hundred and fifty consecutive
patients who underwent curative surgery for thoracic and abdomino-pelvic
malignancies during the period March 2014 to March 2015 were enrolled in the
study. Perioperative pharmacological antithrombotic prophylaxis was not
prescribed to any of the patient as per the institutional protocol. All the
patients underwent colour duplex ultrasound of the bilateral lower limbs -
preoperatively to determine the baseline status, and on 7th and 28th day
postoperatively to look for presence of DVT. None of the patient in the study
cohort showed clinical or radiological evidence of lower limb deep vein
thrombosis. Our study suggests very low incidence of deep vein thrombosis in
Indian patients undergoing surgery for thoracic and abdomino-pelvic malignancy.
PMID- 27872531
TI - Positive Peritoneal Lavage Cytology -Implications for Staging and Management of
Gastric Cancer.
AB - The survival rates of gastric cancer patients with cytology-positive peritoneal
lavage fluid without macroscopic dissemination (CY+/P-) is the same as that of
patients with overt peritoneal metastasis.The 5-year survival rate of such
patients is only 2%. The current study aims to highlight its significance in the
staging of gastrointestinal malignancies and its implications for patient care.
Prospective nonrandom analysis of peritoneal wash cytology in patients with
gastrointestinal malignancies was conducted in the department of Surgical
Gastroenterology, Nizams Institute of Medical Sciences, Hyderabad from January
2012 to June 2013. Descriptive statistics and ANOVA variance analysis was
performed to estimate incidence, risk factors and the effect of surgery in
causing peritoneal dissemination of malignancy. A total of 60 patients with
operable gastric cancer underwent peritoneal lavage for evaluation of malignant
cells. The incidence of Positive peritoneal lavage cytology was 8.3% (5/60).Four
patients with positive lavage fluid belong to T3 stage (11.7%, p-0.309).Poorly
differentiating and mucinous tumors had a higher incidence of positive cytology
(18.1% and 25%).None of the patients with positive cytology had positive
resection margin. Tumors with advanced T stage, lymph nodal involvement, lympho
vascular and perineural invasion have higher incidence of positive peritoneal
cytology. Surgical handling has a negligible effect in peritoneal dissemination
of tumor. Large scale studies are warranted to validate the findings and define
it's role in management of gastric cancer.
PMID- 27872532
TI - Presentation and Management of Inguinal Lymphadenopathy in Ovarian Cancer.
AB - The symptoms in ovarian cancer are often missed leading to dubious diagnosis and
staging. Inguinal lymphadenopathy (ILAP) is reported to be rare and occurring via
lymphatic or hematogenous route. The paucity of studies on ILAP in ovarian cancer
indicates a scope of refining its staging and management. The present study aims
to document the presentation and management of ILAP in ovarian cancer, which may
also reflect its incidence and mechanism of spread. All patients of ovarian
cancer with inguinal lymphadenopathy presenting to our institute from 1 January
2015 to 31 December 2015 were included. All clinical, treatment, and pathological
details were analyzed. Seven patients of ovarian cancer presented with ILAP. The
mean age and BMI were 53.29 +/- 8.38 years and 26.23 +/- 3.03 kg/m2. Presentation
varied from advanced disease (adnexal, omental, peritoneal, and nodal) to
isolated ILAP even without adnexal mass (n = 4). Mean CA 125 was 229.64 +/- 322
(20-924) and ovarian primary was confirmed on microscopy or immunohistochemistry.
Six patients underwent surgery with (n = 4) or without neoadjuvant chemotherapy
(n = 2). Complete cytoreduction could be achieved in all patients with acceptable
operative and perioperative outcomes. Peritoneal surface spread, along hernia
track to the groin, was seen in two patients. Histopathology showed advanced
disease, isolated ILAP and no residual disease in 3, 2, and 1 patient,
respectively. ILAP has diverse clinical presentation in ovarian cancers and is
not that uncommon. ILAP may also occur by peritoneal surface spread and shows
good results with cytoreductive surgery and chemotherapy.
PMID- 27872534
TI - Use of Combined PMMC and Nasolabial Flap for Reconstruction of Full Thickness
Cheek Defect Involving Lip Commisure.
AB - Head neck cancer constitute significant cancer burden and among it carcinoma of
oral cavity involving buccal mucosa is most common entity in India. Very often it
involves lip commisure. Radical surgery along with radiotherapy still remains
treatment of choice. Reconstruction of composite defect of oral cavity fallowing
ablative surgery remains difficult task. Reconstruction of lip commisure defect
after primary tumor excision is still a big challenge. Micro vascular technique
primary repair is main modality of treatment which needs great surgical expertise
in plastic surgery which is not possible in every institution. We propose a novel
surgical reconstructive technique of use of combine pectoralis major myocutaneous
flap (PMMC) with nasolabial flap for full thickness cheek defect involving large
lip commisure. Both flaps having distinct advantage of relative ease in elevating
flap and robust vascularity with acceptable aesthetic and functional outcome.
PMID- 27872535
TI - Femoral Arterial Blowout Post Groin Recurrence in Vulvar Carcinoma - Novel
Endovascular Management.
PMID- 27872536
TI - Phrenic Nerve Palsy as Initial Presentation of Large Retrosternal Goitre.
AB - Unilateral phrenic nerve palsy as initial presentation of the retrosternal goitre
is extremely rare event. This is a case report of a 57-year-old woman with
history of cough and breathlessness of 3 months duration, unaware of the thyroid
mass. She had large cervico-mediastinal goiter and chest radiograph revealed
raised left sided hemidiaphragm. Chest CT scan did not reveal any lung
parenchymal or mediastinal pathology. The patient underwent a total thyroidectomy
through a cervical approach. The final pathology was in favor of multinodular
goitre. Even after 1 year of follow up, phrenic nerve palsy did not improve
indicating permanent damage. Phrenic nerve palsy as initial presentation of the
retrosternal goitre is unusual event. This case is reported not only because of
the rare nature of presentation, but also to make clinicians aware of the entity
so that early intervention may prevent attendant morbidity.
PMID- 27872533
TI - Role of Imaging in Peritoneal Surface Malignancies.
AB - Imaging plays a vital role in the evaluation of peritoneal malignancies. The
presence of peritoneal metastases (PM) alters tumor staging, with direct
implications in treatment choice and prognosis. Cytoreductive surgery (CRS) and
Hyperthermic intraperitoneal chemotherapy (HIPEC) as a combined modality
treatment have led to prolonged survival and even cure in selected patients with
PM. Better outcomes are seen in patients with limited disease spread. Therefore,
early diagnosis of peritoneal tumor seeding is essential. Despite significant
advancement of technology, assessment of the origin of PM is often difficult, due
partly to the complex peritoneal anatomy and partly due to the complex overlap of
imaging features. Multidetector CT (MDCT) is the main stay due to its wide
availbility, rapid evaluation, robust technique and good resolution. Imaging
plays a vital role in selecting patients for the combined modality treatment. MRI
is not as popular as CT due to limited availability, time required for the study
and lack of experience with interpreting the results. PET-CT is useful in ruling
out extra peritoneal disease and it is the CT component that is more reliable for
predicting the disease extent. This article reviews the current use of various
imaging modalities in various stages of treatment of patients with PM especially
those undergoing CRS and HIPEC.
PMID- 27872537
TI - Large Retroperitoneal Extraosseous Osteosarcoma Invading into the Spine: a Case
Report.
AB - Extraosseous osteogenic sarcoma is a very rare malignant neoplasm. The most
common sites are the extremities, thorax, and the abdomen. Retroperitoneal
osteosarcomas are rare and very few cases have been reported. They are similar in
their biology to high-grade soft tissue sarcomas. R0 resection appears to be the
best possible treatment for these tumors but there are no published cases on how
to manage them when it involves posterior and intra-spinal regions. We report a
62-year-old male who presented with a backache, and investigations revealed a
large retroperitoneal fibrosarcoma invading into the lumbar spine, but was found
to be an extra osseous osteosarcoma on final histopathological examination. It is
important to emphasize that due to the rarity of soft tissue sarcomas as well as
the uniqueness of the multimodal treatment plan for each subtype, soft tissue
sarcomas involving the spine are best managed by a multi disciplinary team.
Overall, patients with soft tissue sarcomas involving the spine usually present a
poor long-term prognosis. Therefore, whenever feasible, "en bloc" resection of
such lesions has been shown to play a crucial role in improving the overall and
recurrence-free survival rates.
PMID- 27872538
TI - Primary Squamous Cell Carcinoma of Thyroid - A Rare Malignant Goitre.
AB - Primary squamous cell carcinoma of thyroid is a very rare malignant disease
because thyroid gland lacks squamous cells. The disease is almost fatal. Only
around 60 cases have been reported in literature. Its incidence is less than 1 %
of all thyroid malignancies. The overall survival rate is less than 1 year after
the diagnosis. Here we report a rare case of a 70 year old lady who presented
with sudden increase in the size of swelling associated with pain and obstructive
symptoms in long standing thyroid swelling. Considering patient's age and
inoperable nature of disease we treated the patient with concurrent
chemoradiation.
PMID- 27872539
TI - Adenosquamous Carcinoma of the Duodenum: a Rare Entity.
AB - Adenosquamous carcinomas (ASC) of the duodenum are extremely rare neoplasms. They
have been reported throughout gastrointestinal tract, including the
gastroesophageal junction and the anal canal. Only a few cases of ASC of the
small bowel and duodenum have been reported in the literature. Here in we report
a case ASC of the second part of the duodenum in a 78-year-old man.
PMID- 27872540
TI - Glomangiopericytoma Versus Solitary Fibrous Tumor: an Omental Tumor with Unusual
Diagnostic Dilemma.
PMID- 27872541
TI - Splenic Metastasis from Cancer of Uterine Cervix-a Rare Case.
AB - Cancer of uterine cervix is one of the most common malignancies in women.
Metastatic recurrence of cervical cancer in spleen is rare, mostly seen in
autopsies. Splenic metastasis from cervical cancer in a living patient without
disseminated metastases is rarer. Here, we present the case of a lady aged 46
years with cervical cancer-squamous cell carcinoma-who had undergone radical
hysterectomy and postoperative 50 Gy of intensity modulated radiation therapy in
25 fractions and 50 mg of weekly cisplatin for 6 weeks. She was doing well for 17
months. Then, she developed fever and anorexia, and was detected to have splenic
lesion and a lesion in the pelvic mesentery in PET/CT (positron emission
tomography-computed tomography). Laparoscopy showed enlarged spleen with a mass
lesion and a mass in ileal mesentery adherent to the peritoneum over the fundus
of bladder and greater omentum. She underwent resection of a segment of ileum
with the mesenteric lesion and omentum and bladder peritoneum laparoscopically
and splenectomy. Histopathological examination revealed both the mesenteric and
splenic lesions to be metastases from poorly differentiated carcinoma, which was
from the cervical cancer. Immunohistochemistry was suggestive of squamous cell
carcinoma associated with high-risk human papilloma virus. After the
postoperative recovery, patient was started on ciplatin with paclitaxel
chemotherapy.
PMID- 27872542
TI - Pulmonary Lymphomatoid Granulomatosis- a Case Report with Review of Literature.
AB - Lymphomatoid granulomatosis is a rare, Epstein Barr Virus (EBV)-associated
systemic angiodestructive disorder that may progress to a diffuse large B cell
lymphoma. Pulmonary involvement occurs in over 90 % cases followed by kidney,
skin and brain. WHO classifies lymphomatoid granulomatosis under the generic
heading of B cell proliferations of uncertain malignant potential.
Radiologically, pulmonary lymphomatoid granulomatosis (PLG) presents with non
specific findings making histopathology the gold standard for diagnosis. The
histological diagnosis of PLG includes a triad of polymorphic lymphoid
infiltrates, transmural infiltration of arteries and veins by lymphoid cells
("angiitis"), and focal areas of necrosis within the lymphoid infiltrates. PLG
should be distinguished from granulomatosis with polyangitis, as well as other
forms of malignant lymphoma, like extranodal NK/T cell lymphoma, secondary
diffuse large B-cell lymphoma and primary Non Hodgkin lymphomas of lung.
PMID- 27872543
TI - Extra Mammary Paget's Disease of Vulva-a Case Report.
AB - Extra mammary Paget's disease (EMPD) is a rare condition involving the vulva,
anogenital region, and axilla. Vulvar disease usually presents as a slow growing
well-defined itchy plaque with crustations or ulcerations over the affected area
in postmenopausal women. Well-established guidelines for diagnosis and management
are not available for this rare condition. Our patient is a 64-year-old
postmenopausal woman with a history of similar complaints of 2 years duration,
not responding to multiple topical treatments. She was diagnosed with EMPD on
incisional biopsy and treated with surgery at our centre.
PMID- 27872544
TI - Breast Lumps That Do Not Arise from the Breast.
AB - An elderly lady presented to the surgical outpatient with a lump in her breast.
On examination, there was a well-defined large lump measuring about 12 * 10 cm,
hard in consistency and fixed to the chest wall, the skin appeared to be free,
and the nipple-areola complex was normal. A provisional diagnosis of phylloides
tumor was made, but digital rectal examination revealed a circumferential rectal
growth. To our surprise, biopsy of the rectal growth and the chest wall mass
revealed similar adenocarcinoma cells. This image illustrates the possibility
that a common clinical entity such as a breast lump can sometimes surprise even
the most seasoned clinicians.
PMID- 27872545
TI - How effective the problem-based learning (PBL) in dental education. A critical
review.
AB - The purpose of this critical review is to explore the research supporting the
effectiveness of problem-based learning (PBL) as a teaching method in dental
education. PBL was developed more than 40 years ago in reaction to the problems
and limitations of traditional teaching approaches. Here, aspects of the PBL
teaching approach are reviewed, and the reasons for the substantial effect of
this approach on dental education are discussed. Evidence shows that students in
PBL-based courses exhibit superior professional skills and effective learning
compared with those instructed using traditional approaches.
PMID- 27872546
TI - Evaluation of root canal morphology of maxillary second premolars in a Saudi
Arabian sub-population: An in vitro microcomputed tomography study.
AB - AIM: To investigate the root canal morphology of maxillary second premolars in a
Saudi Arabian subpopulation using microcomputed tomography (micro-CT).
METHODOLOGY: Micro-CT analysis was performed on 100 maxillary second premolars.
The anatomy of each tooth (number of roots, canals, orifices, and apical
foramina, and the presence of apical deltas and accessory canals) was analyzed
from reconstructed three-dimensional images. RESULTS: The most common morphology
was a single root (67%), followed by two roots (30%), and three roots (3%).
Regarding the canal morphology, most teeth (65%) contained two canals, followed
by 30% with one canal, and 5% with three canals. One orifice was observed in 55%
of teeth, and two orifices were detected in 45% of teeth. According to the
Vertucci classification, the most common canal types were IV and V (both found in
23% of teeth), followed by type I (17%), type III (9%), type II (7%), and type
VII (2%). Additional types that were inconsistent with the Vertucci
classification were recorded in 19% of teeth. CONCLUSION: The root canal
morphology of maxillary second premolars in the Saudi Arabian subpopulation is
complex and requires cautious evaluation prior to endodontic treatment.
PMID- 27872547
TI - Measuring dental students' preference: A comparison of light microscopy and
virtual microscopy as teaching tools in oral histology and pathology.
AB - OBJECTIVES: Light microscopy used to be the traditional modality of teaching
histology and pathology disciplines. Recent advances and innovations in the
information technology field have revolutionized the use of hard- and software in
medical education. An example of such an innovation is the so-called virtual
microscopy. Many schools have started to adopt virtual microscopy as a new method
aimed at enhancing student learning. Nonetheless, few reports have described the
experiences of introducing virtual microscopy in dental education. We conducted
this study to evaluate student perceptions of virtual microscopy use. MATERIALS
AND METHODS: A survey of 9 items with a five-point Likert scale was designed to
assess student perceptions of different aspects of virtual microscopy use
compared with light microscopy. Eighty-seven 2nd year dental students answered
the survey for a response rate of 80%. RESULTS: The majority of the students
(85.1%) reported positive feedback for the use of virtual slides as a method of
learning. Students reported significantly higher scores in virtual microscopy
compared with light microscopy (t test: t86 = 9.832, P < 0.0001); however, a few
students reported some technical difficulties when using computers to view the
virtual slides. CONCLUSIONS: Although light microscopy is the classical tool of
teaching histology and pathology, virtual microscopy is a highly preferred
substitute. We believe that virtual microscopy is a valuable teaching tool that
enhances student educational experiences.
PMID- 27872548
TI - Perception of altered smile esthetics among Moroccan professionals and lay
people.
AB - OBJECTIVE: To evaluate and compare the impact of altered smile characteristics on
the perception of smile esthetics between Moroccan dentists and lay people.
MATERIALS AND METHODS: Thirty-four digital smile photographs displaying
alterations in crown length and width, lateral incisor gingival margin position,
gingival exposition, midline diastema, and upper midline deviation were presented
to a sample of 30 dentists and 30 lay people. The ratings were assessed with a
visual analog scale. RESULTS: Compared to that of lay people, Moroccan dentists'
evaluation of the gingival smile was more critical when the decrease in central
incisor crown length was 2.5 mm (p < 0.001) or greater and when the increase in
gingival exposition was 4 mm or greater (p < 0.01). Moroccan dentists were also
critical in their evaluation of maxillary lateral incisor crown width alterations
(p < 0.05) and incisal midline deviations (p < 0.05). However, the professionals
and lay people similarly evaluated irregularities in the incisor gingival margin
position. Increases in the midline diastema were judged critically by both
Moroccan dentists and lay people. CONCLUSIONS: In this sample, Moroccan dentists
evaluate smile esthetic alterations more critically than Moroccan lay people.
This difference in perception of smile discrepancies must be taken into account
during the finishing phases of orthodontic treatment and restoration of the
anterior teeth in Moroccan patients.
PMID- 27872549
TI - Tooth Numbering System in Saudi Arabia: Survey.
AB - OBJECTIVE: There were four aims of the current study: (1) to find the most
commonly used Tooth Numbering System (TNS) in Saudi Arabia in both academic and
non-academic institutions, (2) to identify the most commonly taught TNS in dental
colleges, (3) to understand the reasons why dental practitioners prefer to use a
specific TNS, and (4) the consequences of using more than one TNS. MATERIALS AND
METHOD: Between May 2014 and May 2015, a self-administered questionnaire
containing 21 questions was randomly distributed to 121 individuals (20 deans of
dental colleges and 101 heads of governmental dental centers). RESULTS: The most
commonly used TNS is the Federation Dentaire Internationale (FDI) TNS for both
primary and permanent dentitions in both academic and non-academic institutions,
followed by the Palmer TNS and then the Universal TNS. CONCLUSION: The FDI TNS
proved to be the most taught TNS in dental colleges in Saudi Arabia. It is
advised that the FDI TNS be implemented as a unified system in Saudi Arabia due
to the advantages of this particular TNS and the benefits of using one single
TNS.
PMID- 27872550
TI - Waterpipe smoking among health sciences university students: Knowledge, attitude
and patterns of use.
AB - INTRODUCTION: Although waterpipe smoking is common in Gulf counties, its
prevalence in Saudi Arabia is uncertain. The purposes of this study were (a) to
assess the prevalence of waterpipe smoking among healthcare university students
in Saudi Arabia and (b) to determine their attitudes and practices of waterpipe
smoking. MATERIALS AND METHODS: A cross-sectional survey was conducted among
university students of three different health sciences colleges, namely medical,
dental, and pharmacy, of a public university, through random cluster sampling.
The questionnaire was designed to ask specific questions related to smoking in
general and to waterpipe smoking specifically. The study was approved by the
institutional research & ethics committees. RESULTS: A total of 535 participants
were included in the study. More than one-third of the participants that reported
having ever smoked a waterpipe (n = 198, 37%), and the majority of these were
current smokers (62.1%, n = 123); dental students were the most common (45.5%, n
= 90). Curiosity and pleasure-seeking were the main factors associated with
starting waterpipe smoking. About one-sixth (14.9%, n = 80) of the participants
failed to identify a single harmful effect, while a vast majority of participants
considered waterpipe smoking to be less unhealthy than cigarette smoking.
CONCLUSION: Waterpipe smoking is very popular among Saudi university students,
and knowledge among university students about the dangers of waterpipe smoking is
alarmingly low.
PMID- 27872551
TI - Evaluation of fluoride levels in bottled water and their contribution to health
and teeth problems in the United Arab Emirates.
AB - Fluoride is needed for better health, yet if ingested at higher levels it may
lead to health problems. Fluoride can be obtained from different sources, with
drinking water being a major contributor. In the United Arab Emirates (UAE),
bottled water is the major source for drinking. The aim of this research is to
measure fluoride levels in different bottled water brands sold in UAE, to
determine whether fluoride contributes to better health or health problems. The
results were compared to international and local standards. Fluoride was present
in seven out of 23 brands. One brand exhibited high fluoride levels, which
exceeded all standards, suggesting it may pose health problems. Other brands were
either below or above standards, suggesting either contribution to better health
or health problems, depending on ingested amount. A risk assessment suggested a
potential for non-cancer effects from some brands. The results were compared to
fluoride levels in bottled water sold in UAE and neighboring countries (e.g.
Saudi Arabia, Qatar, Kuwait, and Bahrain), over 24 years, to reflect on changes
in fluoride levels in bottled water in this region. The research presents the
need for creating, stricter regulations that require careful fluoride monitoring
and new regulations that require listing fluoride level on the bottled water
label, internationally and regionally. The research will have local and global
health impact, as bottled water sold in UAE and neighboring countries, is
produced locally and imported from international countries, e.g. Switzerland, the
USA, France, Italy, New Zealand, and Fiji.
PMID- 27872552
TI - Preserving esthetics, occlusion and occlusal vertical dimension in a patient with
fixed prostheses seeking dental implant treatment.
AB - The preservation of esthetics and occlusal vertical dimension is critical in
patients with existing full-arch tooth-retained fixed prostheses. This clinical
report describes the provision of a maxillary immediate complete denture in a
patient with a maxillary full-arch fixed dental prosthesis over nonviable teeth.
The existing fixed dental prosthesis was used in the fabrication of the maxillary
immediate complete denture to preserve esthetics. The technique involved
recording and preservation of the occlusal vertical dimension and occlusion of
the existing prosthesis. The technique is simple, quick, cost-effective and less
challenging clinically and technically.
PMID- 27872554
TI - Planning for Bike Share Connectivity to Rail Transit.
AB - Bike sharing can play a role in providing access to transit stations and then to
final destinations, but early implementation of these systems in North America
has been opportunistic rather than strategic. This study evaluates local
intermodal plan goals using trip data and associated infrastructure such as
transit stops and bike share station locations in Austin, Texas, and Chicago,
Illinois. Bike sharing use data from both cities suggest a weak relationship with
existing rail stations that could be strengthened through collaborative,
intermodal planning. The study suggests a planning framework and example language
that could be tailored to help address the linkage between bike sharing and
transit. Rather than an exhaustive study of the practice, this study provides
evidence from these two cities that identify opportunities to improve intermodal
planning. Cities that are planning or expanding a bike sharing system should
consider carefully how to leverage this mode with existing modes of transport.
Regardless of a city's status in implementing a bike sharing system, planners can
leverage information on existing transport systems for planning at regional and
local levels.
PMID- 27872553
TI - Development of a Novel Test for Simultaneous Bacterial Identification and
Antibiotic Susceptibility.
AB - Background. Elucidation of a pathogen's antimicrobial susceptibility requires
subculture after the organism is first isolated. This takes several days,
requiring patients to be treated with broad-spectrum antibiotics. This approach
contributes to the development of bacterial resistance. Methods. Microtiter wells
were coated with a polyclonal antibody targeting the pathogen of interest.
Bacterial suspensions were added in the presence/absence of selected antibiotics.
After washing, captured bacteria were detected. Findings. Group B streptococcus
(GBS), Enterococcus faecalis, and Neisseria gonorrhoeae were each detected at 105
bacteria/mL following a 20-minute incubation period. Susceptibility to select
antibiotics was discernable following a 6-hour incubation period (GBS and
Enterococcus). Sensitivity was increased to 10-2 bacteria/mL for GBS, 10-1
bacteria/mL for E. faecalis, and 101 bacteria/mL for N. gonorrhoeae following 18
24-hour culture. Conclusion. This novel assay allows for the highly sensitive and
specific identification of a pathogen and simultaneous determination of its
antimicrobial susceptibility in a reduced time.
PMID- 27872555
TI - Effect of Intravenous High Dose Vitamin C on Postoperative Pain and Morphine Use
after Laparoscopic Colectomy: A Randomized Controlled Trial.
AB - Background and Objective. Vitamin C has antioxidant, neuroprotective, and
neuromodulating effects. Recently, it showed antinociceptive effect as a result
of the antioxidant properties. Therefore, we designed this study to assess the
effect of intravenous vitamin C on opiate consumption and pain in patients
undergoing laparoscopic colectomy. Methods. A total of 100 patients were enrolled
and allocated to receive 50 mg/kg vitamin C or placebo by intravenous infusion
immediately after induction of anesthesia. Morphine consumption and scores of
pain were assessed at 2, 6, and 24 h after completion of surgery. Results. There
were 97 patients included in the analysis. Patients who received vitamin C had
higher plasma concentrations of vitamin C at the end of surgery, significantly
lower morphine consumption at the 2 h after end of surgery, and significantly
lower pain scores at rest during first 24 h postoperatively. There was no
significant difference between groups in side effects, fatigue score, or pain
score during cough. Conclusion. This study shows high dose vitamin C infusion
decreased postoperative pain during the first 24 h and reduced morphine
consumption in the early postoperative period. Additional research needed to
examine whether higher doses of vitamin C and longer infusion times can amplify
these effects.
PMID- 27872556
TI - Strongylodon juangonzalezii, a remarkable new species of Strongylodon (Fabaceae)
from Mulanay, Quezon Province, Philippines.
AB - A new species, Strongylodon juangonzalezii Hadsall, Alejado & Cajano, collected
from Buenavista Protected Landscape, Mulanay, Quezon, is hereby described. The
new species is remarkable for its plagiotropic dense inflorescence made up of 27
31 flowers per cluster in a lateral branch. Flowers are lilac when young, then
gradually turn blue when mature. A comparison of the morphology of Strongylodon
juangonzalezii and related species of Strongylodon in the Philippines is
provided. Detailed illustration based on the holotype and photos from its natural
habitat are also included. With this new species, the Philippines now harbors
eight endemic species of Strongylodon. A key to distinguish the species is
provided.
PMID- 27872557
TI - Checklist for the crop weeds of Paraguay.
AB - Paraguay, a country whose economy is based mainly on agriculture and livestock
for export, has experienced a major expansion in mechanized crops during the last
few decades. Despite being heavily dependent on agriculture, Paraguay has very
limited research on crop weeds, in spite of these having a high economic impact
on production. This work aims to update and enhance the knowledgebase on the most
common weeds affecting productive fields throughout the different ecoregions of
Paraguay. We present here the first checklist of crop weeds for the country,
which includes a total of 256 taxa (189 species, 10 subspecies, 54 varieties and
3 forms), with the most species-rich families being Poaceae and Asteraceae
followed by Malvaceae, Amaranthaceae, Fabaceae and Solanaceae. The list includes
three new records for the country. Synonyms, distribution details within
Paraguay, habit and a voucher specimen are provided for each taxon.
PMID- 27872558
TI - Taxonomic revision and distribution of herbaceous Paramollugo (Molluginaceae) in
the Eastern Hemisphere.
AB - The genus Paramollugo with the type species Paramollugo nudicaulis (=Mollugo
nudicaulis) has recently been described after molecular investigations. Here we
report two new endemic Malagasy species: Paramollugo simulans and Paramollugo
elliotii, and transfer a forgotten New Caledonian endemic Mollugo digyna to
Paramollugo (Paramollugo digyna). Consequently, the number of Paramollugo species
in the Eastern Hemisphere is increased from three to six. Almost all genus
representatives (except Paramollugo nudicaulis, which has a wide distribution in
Southern Asia, Arabia and tropical Africa) are endemic to Madagascar, Somalia, or
New Caledonia. Since the type of seed coat ornamentation is crucial for species
delimitation, a diagnostic key with new taxonomically significant carpological
characters and other new traits is provided for all the herbaceous Paramollugo.
The distribution patterns of Paramollugo nudicaulis s.str., Paramollugo simulans
and Paramollugo elliotii are presented.
PMID- 27872559
TI - A new Globba with large white floral bracts from Peninsular Malaysia.
AB - Globba magnibracteata Y.Y.Sam, sp. nov. is described and illustrated. Colour
plates, a preliminary conservation assessment and a discussion of its closely
related taxa are provided.
PMID- 27872560
TI - Lecanorchis tabugawaensis (Orchidaceae, Vanilloideae), a new mycoheterotrophic
plant from Yakushima Island, Japan.
AB - A new species, Lecanorchis tabugawaensis Suetsugu & Fukunaga, sp. nov. from
Yakushima Island, Kagoshima Prefecture, Japan, is described and illustrated.
Lecanorchis tabugawaensis is similar to Lecanorchis taiwaniana, but it is easily
distinguished by the straight column, the glabrous status of the base of the
column, the almost entire and narrow labellum morphology, the shorter part of the
column fused with the labellum and the glabrous status of the apical part of the
adaxial labellum surface. The new species appears to be restricted to two
locations, each consisting of only dozens of mature individuals, and is assessed
as Critically Endangered [CR D1] according to IUCN Red List Categories and
Criteria.
PMID- 27872561
TI - Antioxidant effects of captopril against lead acetate-induced hepatic and splenic
tissue toxicity in Swiss albino mice.
AB - Considering that lead caused a lot of health problems in the world, the present
study was carried out to investigate the protective effect of captopril as
antioxidants to reduce liver and spleen toxicity induced by lead. Animals were
divided into 3 groups, the 1st group served as control group, the 2nd group
received 20 mg/kg of lead acetate and the 3rd group received 50 mg/kg of
captopril one hour prior to lead administration for 5 days. Results showed that
lead intake caused severe alterations in the liver and spleen manifested by
hepatocytes degeneration, leukocytic infiltration, fibrosis in liver and moderate
to severe liver pathological score. Spleen showed ill-defined architecture,
presence of large macrophages and lymphoid necrosis. Administration of captopril
reduced hepatotoxicity, liver fibrosis and decrease in pathological scoring
system. Moreover, reduced toxicity in spleen is represented by reduction in
necrotic areas, more or less healthy lymphoid follicles and decreasing in
pathological scoring system.
PMID- 27872562
TI - Development of quality standards of medicinal mistletoe - Helicanthes elastica
(Desr.) Danser employing Pharmacopoeial procedures.
AB - Helicanthes elastica (Desr.) Danser (Loranthaceae), commonly known as Indian
mango mistletoe, is a parasitic shrub found widely growing on mango trees in
southern India. Development of monographic quality standards is need of the hour
for Pharmacopoeial/extra-Pharmacopoeial and folk medicinal plants. Systematic
pharmacognostical evaluation of leaves of H. elastica has been carried out
employing Pharmacopoeial procedures of testing herbal drugs. Macro-microscopic
features of H. elastica leaf were recorded. Ethanolic extract was tested positive
for alkaloids, steroids, carbohydrates, tannins, saponins and phenols. HPTLC
fingerprint profile was developed for the identification of extracts using
reference standard beta-sitosterol glucoside. Results of the present
investigation would serve as a source of pharmacognostical information and a
document to control the quality of H. elastica (Desr.) Danser.
PMID- 27872563
TI - Main vegetation types and plant species diversity along an altitudinal gradient
of Al Baha region, Saudi Arabia.
AB - Plant species composition patterns and vegetation types were investigated along
Elevational Gradients in Al Baha region, Saudi Arabia. Sandy plain, wadis,
drainage lines, rocky outcrops, hills and fallow lands occur over a wide
geographic range encompassing variation in plant species and communities among
these different ecological sites. To provide a quantitatively based
classification of the vegetation we used Multi Variant Statistical Package (MVSP)
software, followed by the re-arrangement of a matrix of the similar plant species
in rows and similar sample sites in columns. Plant density and environmental
variables were measured and recorded in each quadrat. Two-way indicator species
analysis and Canonical Correspondence Analysis (CCA) were used to analyze the
relationships between vegetation and environmental variables, while Arc Map was
used to analyze the pattern of plant species density. A total of 59 sample plots
(25 * 25 m), stratified, randomly-placed releves were collected in Al Baha
region, along a cross section running from south-west to north-west. About 190
plant species belonging to 59 families were recognized. This study showed that
these plant species formed 15 vegetation types that primarily correspond mainly
to different combinations of elevation, and topography. The study concluded that
this research has provided the first quantitative and systematic survey of the
vegetation in Al Baha region.
PMID- 27872564
TI - Effect of 28-homobrassinolide on the performance of sensitive and resistant
varieties of Vigna radiata.
AB - A study was undertaken to examine the morpho-physiological alterations under
different concentrations of 28-homobrassinolide (HBL) in two contrasting
varieties of Vigna radiata. Sterilized seeds of V. radiata (T-44 and PDM-139)
were inoculated with specific Rhizobium and allowed to grow and then 14 day old
seedlings were exposed to different concentrations (0, 10-10, 10-8, or 10-6 M) of
HBL and allowed to grow under natural environmental conditions. At the 15 and 21
day stage, plants were harvested to evaluate various parameters. Results clearly
indicated that growth bio-markers, accumulation of proline and activities of
various antioxidant enzymes increased significantly in T-44 at a later stage of
growth in the presence of HBL whereas, 10-8 M showed the most promising response.
It is concluded that HBL modifies the physiological functions and biochemical
metabolism of V. radiata by increasing photosynthetic efficiency at an early
stage of growth and antioxidant system in T-44 at a later stage of plant growth
that are manifested in growth at later stages. It is believed that increased
accumulation of proline and enhanced antioxidant system provide strength to the
plants to withstand environmental cues.
PMID- 27872565
TI - Evaluation of radical scavenging system in two microalgae in response to
interactive stresses of UV-B radiation and nitrogen starvation.
AB - The effects of UV-B radiation and/or deprivation of nitrogen stresses on growth
rate, some antioxidant compounds, and activities of some antioxidant enzymes,
superoxide dismutase (SOD; EC1.15.1.1), ascorbate peroxidase (APx; EC1.11.1.11),
guaiacol peroxidase (GUPx; EC1.11.1.7) and glutathione reductase (GR, EC
1.6.4.2), as well as the levels of total glutathione pool, UV-B absorbing
pigments, malondialdehyde (MDA) and H2O2 concentrations were studied in Spirulina
platensis and Dunaliella salina. Less damage was observed in response to the
combined UV-B and nitrogen deprivation as shown by growth rate and photosynthetic
pigments especially in Dunaliella salina. A significant increase in flavonoids
and phenolics under dual stress was observed. Conversely, a great reduction in
malondialdehyde (MDA) and H2O2 concentrations were recorded under the combined
stress compared to the effect of each stress. Furthermore, a significant increase
in GSH/GSSG ratio toward the control was recorded in response to combined
stresses, whereas a significant reduction in this ratio was observed in both
microalgae in response to each stress. Increased activities of antioxidant
enzymes were recorded under UV-B and nitrogen deprivation stresses.
PMID- 27872566
TI - Phenotypic and genotypic analysis of pathogenic Escherichia coli virulence genes
recovered from Riyadh, Saudi Arabia.
AB - The current study was carried out to evaluate the phenotypic and genotypic
characterization of avian pathogenic Escherichia coli recovered from Riyadh,
Saudi Arabia. During the period of 10th February-30th May 2015, 70 E. coli
strains were isolated from chicken farms located in Riyadh, Saudi Arabia. All
strains were tested phenotypically by standard microbiological techniques,
serotyped and the virulence genes of such strains were detected by polymerase
chain reaction (PCR). Most of the recovered strains from chickens belonged to
serotype O111:K58 25 strains (35.7%), followed by serotype O157:H7 13 strains
(18.57%), followed by serotype O114:K90 10 strains (14.29%), then serotype
O126:K71 9 strains (12.9%), serotype O78:K80 8 strains (11.43%) and in lower
percentage serotype O114:K90 and O119:K69 5 strains (7.14%). The virulence
genotyping of E. coli isolates recovered from broilers revealed the presence of
the uidA gene in all the field isolates (6 serovars) examined in an incidence of
100%, as well as the cvaC gene was also present in all field isolates (6
serovars), while the iutA gene and the iss gene were detected in 5 out of 6 field
serovars in an incidence of 81.43% and 64.29%, respectively. Phenotypical
examination of the other virulence factors revealed that 65 isolates were
hemolytic (92.9%), as well as 15 isolates (21.42%) were positive for enterotoxin
production. Meanwhile, 21 isolates (30%) were positive for verotoxin production,
58 isolates (82.86%) for the invasiveness and 31 isolates (44.29%) for Congo red
binding activities of the examined serotypes.
PMID- 27872567
TI - Vaccination against Corynebacterium pseudotuberculosis infections controlling
caseous lymphadenitis (CLA) and oedematousskin disease.
AB - Corynebacterium pseudotuberculosis (C. pseudotuberculosis) is a causative
organism of caseous lymphadenitis (CLA) in sheep and acute disease in buffaloes
known as oedematous skin disease (OSD). Human affected with the disease show
liver abscess and abscess in the internal lymph nodes. The vaccination against
CLA up till now occurs by using formalin inactivated whole cells of biovar 1
(sheep strain). Combined vaccine composed of formalin inactivated whole cells of
sheep strain and recombinant phospholipase D (rPLD) and another vaccine composed
of formalin inactivated whole cells (buffalo origin) and rPLD were prepared in
Biotechnology center for services and Researches laboratory at Cairo university
and applied for protection against CLA. Both vaccines induced complete protection
(100%) against challenge with virulent biovar 1 or biovar 2. Also vaccination
against OSD was performed by two types of vaccines. Vaccine-1 was composed of
formalin inactivated whole cell biovar 1 combined with rPLD and the second
vaccine was composed of formalin inactivated whole cells of biovar 2 combined
with rPLD. No lesions developed in vaccinated and non vaccinated buffaloes
challenged with C. pseudotuberculosis biovar revealing that biovar 1 C.
pseudotuberculosis is not infective for buffaloes. Buffaloes vaccinated with the
second vaccine and control non vaccinated animals challenged with biovar 2
(buffalo origin) resulted in development of OSD in all animals. This indicates
that OSD results due to production of toxin (s) other than PLD. Discovering this
toxin (s) is of value in formulation of a future vaccine against OSD.
PMID- 27872568
TI - Weekly iron folic acid supplementation plays differential role in maintaining
iron markers level in non-anaemic and anaemic primigravida: A randomized
controlled study.
AB - : Anaemia during pregnancy is most commonly observed and highly prevalent in
South-East Asia. Various effective programmes have been laid down for its
management, mainly daily supplementation of iron folic acid (IFA) tablets.
Following the same, standard obstetrical practice has included the IFA
supplementation without requiring the determination of iron deficiency. In this
study, a total of 120 primigravida (N = 60; non-anaemic (Hb > 11 g/dl) and N = 60
anaemic (Hb = 8-11 g/dl)) were selected among those attending the Antenatal
Clinic in Department of Obstetrics and Gynaecology, All India Institute of
Medical Sciences, Ansari Nagar, New Delhi, India. They were supplemented with
daily and weekly IFA tablets till 6 weeks postpartum. Corresponding changes in
haemoglobin level on advance of pregnancy, side effects and compliance associated
with daily and weekly IFA supplementation and its associations with iron status
markers were studied. The inflammatory markers were also estimated. The
statistical significance level (p < 0.05) between the groups were assessed by
applying unpaired t-test using SPSS (version 16.0). The obtained results
publicized the salutary role of daily IFA supplementation in improving the
haemoglobin level and iron status markers in anaemic pregnant women though the
levels could not reach up to the non-anaemic haemoglobin levels. However, weekly
IFA supplementation seems to be a better approach in non-anaemic pregnant women
where almost comparable results were obtained in terms of haematological
parameters, gestation length and birth weight. CONCLUSION: Weekly IFA
supplementation found to be as effective as daily supplementation in iron
sufficient non-anaemic pregnant women whereas anaemic pregnant women should be
prescribed daily IFA supplementation irrespective of iron replete/deplete state.
PMID- 27872569
TI - New antimicrobial anthraquinone 6,61-bis (1,5,7-trihydroxy-3
hydroxymethylanthraquinone) isolated from Streptomyces sp. isolate ERI-26.
AB - The present report is about Streptomyces sp. isolate ERI-26 isolated from the
soil sample of Nilgiri forest, Western Ghats. The methanol extract of ERI-26
showed good antimicrobial activity against tested microbes. The antimicrobial
novel anthraquinones were purified by bioactivity-guided fractionation using a
silica gel column and preparative HPLC. The compound was characterized and
identified by UV, IR, NMR and MASS spectral data. The compound named as 6,61-bis
(1,5,7-trihydroxy-3-hydroxymethylanthraquinone), showed significant antimicrobial
activities against tested microbes. The isolated compound inhibited the tested
bacterial growth, Staphylococcus aureus at 62.5 MUg/ml, Staphylococcus
epidermidis at 15.62 MUg/m, Bacillus subtilis at 62.5 MUg/ml, fungi; Trichophyton
mentagrophytes at 15.62 MUg/m Trichophyton simii at 15.62 MUg/ml, Aspergillus
niger at. 7.81 MUg/ml, Aspergiller flavus at 3.90 MUg/ml, Trichophyton rubrum 296
at 62.5 MUg/ml, T. rubrum 57/01 at 7.81 MUg/ml, Magnaporthe grisea at 15.62
MUg/ml. and Botrytis cinerea at 3.90 MUg/ml. Isolated anthraquinone compound and
its antimicrobial activity were newly reported.
PMID- 27872570
TI - Influence of premolar extraction or non-extraction orthodontic therapy on the
angular changes of mandibular third molars.
AB - AIM: To compare the angular changes of the third molars relative to the occlusal
plane and to the second molar long axis in extraction group and compare these
changes with a non extraction group. MATERIALS AND METHODS: The study included
pre and post treatment panoramic radiograph records of 90 subjects treated by
first premolar extractions and 90 subjects who had been treated with non
extraction orthodontic therapy (n = 90). Two angular variables were measured.
Firstly, the angle between the long axis of the third molar and the occlusal
plane (M3-OP) and secondly, the angle between the long axis of the third molar
and the long axis of the second molar (M3-M2). Data were analyzed by paired and
student's t-test. RESULT: The analyzed data to assess the changes in the third
molar angulation from pretreatment to post treatment did not vary significantly
in both the groups (p < 0.05). Both the groups showed decreased angular values.
The M3-OP angular difference was (-7.3 +/- 2.45) in extraction group as compared
to (-5.85 +/- 1.77) in non extraction group. The M3-M2 angular difference of (
4.26 +/- 3.11) in extraction group and (-2.98 +/- 1.74) in non-extraction group
was observed. CONCLUSION: Extraction of premolars did not demonstrate
considerable changes on the angulation of the third molars. The factors other
than premolar extractions may influence the angulation of the third molars.
PMID- 27872571
TI - Weed species composition and distribution pattern in the maize crop under the
influence of edaphic factors and farming practices: A case study from Mardan,
Pakistan.
AB - Weeds are unwanted plant species growing in ordinary environment. In nature there
are a total of 8000 weed species out of which 250 are important for agriculture
world. The present study was carried out on weed species composition and
distribution pattern with special reference to edaphic factor and farming
practices in maize crop of District Mardan during the months of August and
September, 2014. Quadrates methods were used to assess weed species distribution
in relation to edaphic factor and farming practices. Phytosociological attributes
such as frequency, relative frequency, density, relative density and Importance
Values were measured by placing 9 quadrates (1 * 1 m2) randomly in each field.
Initial results showed that the study area has 29 diverse weed species belonging
to 27 genera and 15 families distributed in 585 quadrats. Presence and absence
data sheet of 29 weed species and 65 fields were analyzed through PC-ORD version
5. Cluster and Two Way Cluster Analyses initiated four different weed communities
with significant indicator species and with respect to underlying environmental
variables using data attribute plots. Canonical Correspondence Analyses (CCA) of
CANOCO software version 4.5 was used to assess the environmental gradients of
weed species. It is concluded that among all the edaphic factors the strongest
variables were higher concentration of potassium, organic matter and sandy nature
of soil. CCA plots of both weed species and sampled fields based on questionnaire
data concluded the farming practices such as application of fertilizers,
irrigation and chemical spray were the main factors in determination of weed
communities.
PMID- 27872572
TI - Evaluation of the diuretic and urinary electrolyte effects of methanolic extract
of Peganum harmala L. in Wistar albino rats.
AB - The use of traditional medicines as a diuretic agent has been increasing in
recent years. The diuretic activity of a number of plant extracts used as
diuretic agents in ethnomedicine has been confirmed in experimental animals.
However, despite the widespread use of Peganum harmala in traditional medicine,
there is a paucity of data supporting its use as a diuretic agent. Therefore, the
present study aimed to envisage the true effect and magnitude of diuresis of
methanolic extract of P. harmala (MEPH) in comparison with a well-known diuretic
drug furosemide using Wistar albino rats. MEPH was administered orally in three
different doses (150, 300 and 450 mg/kg) to experimentally dehydrated rats.
Furosemide (10 mg/kg orally) was used as a reference drug. The diuretic effect of
the MEPH was evaluated by measuring urine volume, urine pH, urinary electrolyte
levels, natriuretic and saliuretic effects. The urine volume (in mL) measured at
5 h and 24 h and electrolyte excretion (Na+, K+, and Cl-) at 24 h duration were
measured. The urine output and urinary electrolyte excretion were found to be
significantly higher in rats treated with MEPH as compared to normal rats in a
dose dependent manner (P < 0.05). The results of our study were comparable to
furosemide drug. Based on observed results, we can recommend that P. harmala may
be an effective diuretic, however, toxicity studies should be conducted before
administration.
PMID- 27872573
TI - Toxicity of silver nanoparticles on the brain of Oreochromis niloticus and
Tilapia zillii.
AB - BACKGROUND: Silver nanoparticles (Ag-NPs) are widely used nowadays in a variety
of commercial applications including medical, health care, textiles and household
supplies. OBJECTIVES: The current study was designed to determine the median
lethal dose (LC50) of Ag-NPs on Oreochromis niloticus and Tilapia zillii.
METHODS: Acute and sub-acute toxicity study of the Ag-NPs on brain tissues was
carried out using different concentrations of the NPs at 2 mg L and 4 mg L. These
concentrations were dispersed in deionized water with the exception of the
control groups in the experiments. Biochemical and molecular analysis were
conducted on tissue homogenates in order to evaluate the potential effects of NPs
on the antioxidant system. RESULTS: The Ag-NP acute toxicity (96 h LC50) values
of 19.5 +/- 2 and 20 +/- 2.4 mg/L were reported for O. niloticus and T. zillii
respectively. Fish exposed to 2 mg/L Ag-NPs did not show any significant change
in the levels of reduced glutathione (GSH), total glutathione (tGSH) levels,
superoxide dismutase (SOD), catalase (CAT), glutathione reductase (GR),
glutathione peroxidase (GPx) and glutathione-S-transferase (GST) activity or
genes expression and malondialdehyde (MDA) level. In contrary, a dose of 4 mg/L
showed a significant reduction in the levels all the above-mentioned parameters
except in MDA level where it was significantly induced. CONCLUSION: Results
indicate that exposure of O. niloticus and T. zillii to Ag-NPs (4 mg/L) has
deleterious effects on brain antioxidant system, whereas a dose of 2 mg/L has no
effects.
PMID- 27872574
TI - Prevalence and pattern of dyslipidemia in hyperglycemic patients and its
associated factors among Pakistani population.
AB - In diabetes mellitus dyslipidemia is one of the major risk factors for
cardiovascular disease. In type 2 diabetes mellitus early detection and treatment
of dyslipidemia can avoid risk for cardiovascular disorder. The present study was
carried to determine the prevalence and pattern of hyperlipidemia in patients
with hyperglycemia. The cross sectional study was done in different laboratories
of Pakistan, the laboratories served patients referred from different government
and private hospitals between July 2014 and June 2015. All known cases of
diabetes mellitus were evaluated for their lipid profile. Totally 200 diabetic
patients were included in the study in which 120 (60%) were males and 80 (40%)
were females. Prevalence of dyslipidemia among diabetic males was 97.18% while
for females 87.15%. Among dyslipidemic male the proportion with mixed
dyslipidemic patients was 17.5%, combined two parameters dyslipidemia was 47.5%
and isolated single parameter dyslipidemia was 35%. In females these proportions
in mixed, combined two parameters and isolated single parameter were 16.25%,
51.25% and 32.5%, respectively. Majority of hyperglycemic patients were
dyslipidemic. The most prevalent pattern among male was combined dyslipidemia
with high triglycerides (TG) and low High Density Lipoprotein (HDL) and in female
it was high Low Density Lipoprotein (LDL) and low HDL. The most prevalent lipid
abnormality in our study was low HDL followed by high TG.
PMID- 27872575
TI - The significance and occurrence of TNF receptor polymorphisms in the Saudi
population.
AB - Background and objective: On the basis that the inflammatory effects of TNF
(tumour necrosis factor) are predominantly mediated through interaction with the
TNF receptor-1 (TNFRSF1A), the current study was designed to establish the
prevalence of the mutations, R92Q and P46L TNFRSF1A polymorphisms both in the
general healthy Saudi population, and in Saudi patients carrying inflammatory
diseases such as atherosclerosis or rheumatoid arthritis. We felt it important to
report the frequency of the mutations, R92Q and P46L TNFRSF1A polymorphisms in
healthy Saudi individuals, and those with inflammatory conditions, as well as to
describe the pattern of immunological factors in individuals expressing R92Q or
P46L TNFRSF1A. Patients and methods: We collected in PAX gene blood RNA tubes
(for RT-PCR and sequencing) 500 blood samples from normal healthy individuals
from the West and Center of Saudi Arabia, as well as 100 from patients with
atherosclerosis, and 100 patients diagnosed with rheumatoid arthritis. All were
screened for the levels of soluble TNF, C-reactive protein (CRP), interleukin6
(IL-6) and sTNFR1. In addition, they were screened for R92Q and P46L TNFRSF1A by
RT-PCR. Moreover, phenotype and expression of peripheral blood mononuclear cells
(PBMCs) was performed by flow cytometry (FACS). Results: Across 500 normal
individuals, 8 (1.6%) expressed both R92Q and P46L mutations. By contrast, of the
100 patients in our study with atherosclerosis, 34% expressed both the R92Q and
P46L mutations, whilst 42% of patients with rheumatoid arthritis expressed both
mutations R92Q and P46L. No significant differences were observed between cell
markers of normal individuals (CD3, 4, 8, 16, 56, 19, 25, ICAM-1, VLA-4 & l
selectin) and patients with atherosclerosis. There were significantly high values
of cell markers in patients with rheumatoid arthritis compared with normal
individuals both in terms of percentage and absolute counts (p < 0.05). Soluble
IL-6 and sTNFR1 showed significant decreases in atherosclerosis and rheumatoid
arthritis when compared with controls (p < 0.05). In addition, CRP and sTNF
showed significant increases in the atherosclerosis and rheumatoid arthritis
groups when compared to controls (p < 0.05). Conclusion: Our findings reasonably
anticipate the presence of TRAPS disease (low penetrance mutations) amongst the
Saudi population although further studies are needed to confirm these results.
PMID- 27872576
TI - The alteration of mRNA expression of SOD and GPX genes, and proteins in tomato
(Lycopersicon esculentum Mill) under stress of NaCl and/or ZnO nanoparticles.
AB - Five cultivars of tomato having different levels of salt stress tolerance were
exposed to different treatments of NaCl (0, 3 and 6 g L-1) and ZnO-NPs (0, 15 and
30 mg L-1). Treatments with NaCl at both 3 and 6 g L-1 suppressed the mRNA levels
of superoxide dismutase (SOD) and glutathione peroxidase (GPX) genes in all
cultivars while plants treated with ZnO-NPs in the presence of NaCl, showed
increments in the mRNA expression levels. This indicated that ZnO-NPs had a
positive response on plant metabolism under salt stress. Superior expression
levels of mRNA were observed in the salt tolerant cultivars, Sandpoint and Edkawy
while the lowest level was detected in the salt sensitive cultivar, Anna Aasa.
SDS-PAGE showed clear differences in patterns of protein expression among the
cultivars. A negative protein marker for salt sensitivity and ZnO-NPs was
detected in cv. Anna Aasa at a molecular weight of 19.162 kDa, while the tolerant
cultivar Edkawy had two positive markers at molecular weights of 74.991 and
79.735 kDa.
PMID- 27872577
TI - Sex determination using discriminant function analysis in Indigenous (Kurubas)
children and adolescents of Coorg, Karnataka, India: A lateral cephalometric
study.
AB - Aim: To test the validity of sex discrimination using lateral cephalometric
radiograph and discriminant function analysis in Indigenous (Kuruba) children and
adolescents of Coorg, Karnataka, India. Methods and materials: Six hundred and
sixteen lateral cephalograms of 380 male and 236 females of age ranging from 6.5
to 18 years of Indigenous population of Coorg, Karnataka, India called Kurubas
having a normal occlusion were included in the study. Lateral cephalograms were
obtained in a standard position with teeth in centric occlusion and lips relaxed.
Each radiograph was traced and cephalometric landmarks were measured using
digital calliper. Calculations of 24 cephalometric measurements were performed.
Results: Males exhibited significantly greater mean angular and linear
cephalometric measurements as compared to females (p < 0.05) (Table 5). Also,
significant differences (p < 0.05) were observed in all the variables according
to age (Table 6). Out of 24 variables, only ULTc predicts the gender. The
reliability of the derived discriminant function was assessed among study
subjects; 100% of males and females were recognized correctly. Conclusion: The
final outcome of this study validates the existence of sexual dimorphism in the
skeleton as early as 6.5 years of age. There is a need for further research to
determine other landmarks that can help in sex determination and norms for
Indigenous (Kuruba) population and also other Indigenous population of Coorg,
Karnataka, India.
PMID- 27872578
TI - Morphology and morphogenesis of a new oxytrichid ciliate, Notohymena limus n. sp.
(Ciliophora, Oxytrichidae) from Delhi, India.
AB - The morphology and morphogenesis of a new oxytrichid ciliate, Notohymena limus n.
sp. were studied in vivo and after protargol impregnation. The new ciliate was
isolated from the sewage sludge at Delhi Jal Board Sewage Treatment Plant located
at Rithala, Delhi, India, using the non-flooded Petri dish method. N. limus n.
sp. is characterized as follows: flexible dorsoventrally flattened ellipsoidal
body; Notohymena-pattern undulating membranes; adoral zone of membranelles (AZM)
occupied about 39% of the body length, and consists of around 26 membranelles;
large and deep buccal cavity; colorless subpellicular granules present in groups
and arranged around the bases of dorsal bristles; 4 macronuclear nodules; 2
micronuclei; 18 fronto-ventral-transverse (FVT) cirri in typical Oxytricha
pattern; 6 dorsal rows of bristles; 3 caudal cirri; about 16 right and 15 left
marginal cirri; N. limus n. sp. is a new species on the basis of the combination
of morphological, morphometric and morphogenetic characteristic features.
PMID- 27872579
TI - Simultaneous dual targeting of Par-4 and G6PD: a promising new approach in cancer
therapy? Quintessence of a literature review on survival requirements of tumor
cells.
AB - : The aim of this hypothesis is to propose a new approach in targeted therapy of
cancer: The simultaneous, dual targeting of two single molecules, Par-4 and G6PD,
rather than inhibition of full-length signaling pathways. RATIONALE: Targeted
inhibition of especially two survival signaling pathways (PI3K/AKT/mTOR and
MAPK/ERK) is frequently tried, however, a major breakthrough has not yet been
reported. Inhibition of complete pathways naturally goes along with a variety of
dose-limiting side effects thus contributing to poor efficacy of the administered
drugs. This essay offers a synopsis of relevant studies to support the above
mentioned idea-targeting of two single molecules which either are crucial for
tumor growth and cancer-cell-survival: on one side, Par-4-activation selectively
triggers apoptosis of tumor cells thus reversing their characteristic feature
immortality. On the other side inhibition of G6PD breaks the energy supply of
tumor cells, weakens their defence against oxidative stress and thereby enhances
the sensitivity of tumor cells to oxidative agents (e.g. chemotherapy). Advantage
of the proposed dual Par-4/G6PD-therapy is good tolerability and-especially when
administered along with conventional therapy-less frequent emergence of
resistance.
PMID- 27872580
TI - Untargeted polar metabolomics of transformed MDA-MB-231 breast cancer cells
expressing varying levels of human arylamine N-acetyltransferase 1.
AB - INTRODUCTION: Human arylamine N-acetyltransferase 1 (NAT1) is a phase II
xenobiotic metabolizing enzyme found in almost all tissues. Expression of NAT1 is
elevated in several cancers including breast cancer. However, the exact mechanism
by which NAT1 expression affects cancer risk and progression remains unclear.
OBJECTIVE: This study explored polar metabolome differences between MDA-MB-231
breast cancer cells expressing varying levels of NAT1 activity using an
untargeted approach. METHODS: Three MDA-MB-231 breast adenocarcinoma cell lines
that stably express wild-type, increased, and decreased levels of human NAT1 were
investigated for differences in polar metabolic profile using a comprehensive two
dimensional gas chromatography time-of-flight mass spectrometry (GC*GC-TOF MS)
system. RESULTS: Increased levels of human NAT1 in the transformed cell lines
resulted in a statistically significant decreased abundance of the metabolite
palmitoleic acid (q = 0.0006), when compared to normal and decreased levels of
human NAT1. The fatty acid synthesis pathway utilizes acetyl coenzyme A (acetyl
CoA) in the first two reactions of the pathway and eventually leads to the
synthesis of palmitoleic acid. CONCLUSION: These data suggest a link between
increased levels of NAT1 activity and decreased flux of acetyl-CoA through this
portion of the fatty acid synthesis pathway.
PMID- 27872583
TI - Ablation of Sphingosine 1-Phosphate Receptor Subtype 3 Impairs Hippocampal Neuron
Excitability In vitro and Spatial Working Memory In vivo.
AB - Understanding the role of the bioactive lipid mediator sphingosine 1-phosphate
(S1P) within the central nervous system has recently gained more and more
attention, as it has been connected to major diseases such as multiple sclerosis
and Alzheimer's disease. Even though much data about the functions of the five
S1P receptors has been collected for other organ systems, we still lack a
complete understanding for their specific roles, in particular within the brain.
Therefore, it was the aim of this study to further elucidate the role of S1P
receptor subtype 3 (S1P3) in vivo and in vitro with a special focus on the
hippocampus. Using an S1P3 knock-out mouse model we applied a range of behavioral
tests, performed expression studies, and whole cell patch clamp recordings in
acute hippocampal slices. We were able to show that S1P3 deficient mice display a
significant spatial working memory deficit within the T-maze test, but not in
anxiety related tests. Furthermore, S1p3 mRNA was expressed throughout the
hippocampal formation. Principal neurons in area CA3 lacking S1P3 showed
significantly increased interspike intervals and a significantly decreased input
resistance. Upon stimulation with S1P CA3 principal neurons from both wildtype
and [Formula: see text] mice displayed significantly increased evoked EPSC
amplitudes and decay times, whereas rise times remained unchanged. These results
suggest a specific involvement of S1P3 for the establishment of spatial working
memory and neuronal excitability within the hippocampus.
PMID- 27872584
TI - Editorial: Anatomy and Plasticity in Large-Scale Brain Models.
PMID- 27872581
TI - A Trigger for Opioid Misuse: Chronic Pain and Stress Dysregulate the Mesolimbic
Pathway and Kappa Opioid System.
AB - Pain and stress are protective mechanisms essential in avoiding harmful or
threatening stimuli and ensuring survival. Despite these beneficial roles,
chronic exposure to either pain or stress can lead to maladaptive hormonal and
neuronal modulations that can result in chronic pain and a wide spectrum of
stress-related disorders including anxiety and depression. By inducing allostatic
changes in the mesolimbic dopaminergic pathway, both chronic pain and stress
disorders affect the rewarding values of both natural reinforcers, such as food
or social interaction, and drugs of abuse. Despite opioids representing the best
therapeutic strategy in pain conditions, they are often misused as a result of
these allostatic changes induced by chronic pain and stress. The kappa opioid
receptor (KOR) system is critically involved in these neuronal adaptations in
part through its control of dopamine release in the nucleus accumbens. Therefore,
it is likely that changes in the kappa opioid system following chronic exposure
to pain and stress play a key role in increasing the misuse liability observed in
pain patients treated with opioids. In this review, we will discuss how chronic
pain and stress-induced pathologies can affect mesolimbic dopaminergic
transmission, leading to increased abuse liability. We will also assess how the
kappa opioid system may underlie these pathological changes.
PMID- 27872582
TI - Separate Ionotropic and Metabotropic Glutamate Receptor Functions in
Depotentiation vs. LTP: A Distinct Role for Group1 mGluR Subtypes and NMDARs.
AB - Depotentiation (DP) is a mechanism by which synapses that have recently undergone
long-term potentiation (LTP) can reverse their synaptic strengthening within a
short time-window after LTP induction. Group 1 metabotropic glutamate receptors
(mGluRs) were shown to be involved in different forms of LTP and long-term
depression (LTD), but little is known about their roles in DP. Here, we generated
DP by applying low-frequency stimulation (LFS) at 5 Hz after LTP had been induced
by a single train of theta-burst-stimulation (TBS). While application of LFS for
2 min (DP2') generated only a short-lasting DP that was independent of the
activation of N-methyl-D-aspartate receptors (NMDARs) and group 1 mGluRs, LFS
given for 8 min (DP8') induced a robust DP that was maintained for at least 2 h.
This strong form of DP was contingent on NMDAR activation. Interestingly, DP8'
appears to include a metabotropic NMDAR function because it was blocked by the
competitive NMDAR antagonist D-AP5 but not by the use-dependent inhibitor MK-801
or high Mg2+. Furthermore, DP8' was enhanced by application of the mGluR1
antagonist (YM 298198, 1 MUM). The mGluR5 antagonist 2-Methyl-6(phenylethynyl)
pyridine (MPEP, 40 MUM), in contrast, failed to affect it. The induction of LTP,
in turn, was NMDAR dependent (as tested with D-AP5), and blocked by MPEP but not
by YM 298198. These results indicate a functional dissociation of mGluR1 and
mGluR5 in two related and consecutively induced types of NMDAR-dependent synaptic
plasticity (LTP -> DP) with far-reaching consequences for their role in
plasticity and learning under normal and pathological conditions.
PMID- 27872586
TI - Loss of Ensemble Segregation in Dentate Gyrus, but not in Somatosensory Cortex,
during Contextual Fear Memory Generalization.
AB - The details of contextual or episodic memories are lost and generalized with the
passage of time. Proper generalization may underlie the formation and
assimilation of semantic memories and enable animals to adapt to ever-changing
environments, whereas overgeneralization of fear memory evokes maladaptive fear
responses to harmless stimuli, which is a symptom of anxiety disorders such as
post-traumatic stress disorder (PTSD). To understand the neural basis of fear
memory generalization, we investigated the patterns of neuronal ensemble
reactivation during memory retrieval when contextual fear memory expression is
generalized using transgenic mice that allowed us to visualize specific neuronal
ensembles activated during memory encoding and retrieval. We found preferential
reactivations of neuronal ensembles in the primary somatosensory cortex (SS),
when mice were returned to the conditioned context to retrieve their memory 1 day
after conditioning. In the hippocampal dentate gyrus (DG), exclusively separated
ensemble reactivation was observed when mice were exposed to a novel context.
These results suggest that the DG as well as the SS were likely to distinguish
the two different contexts at the ensemble activity level when memory is not
generalized at the behavioral level. However, 9 days after conditioning when
animals exhibited generalized fear, the unique reactivation pattern in the DG,
but not in the SS, was lost. Our results suggest that the alternations in the
ensemble representation within the DG, or in upstream structures that link the
sensory cortex to the hippocampus, may underlie generalized contextual fear
memory expression.
PMID- 27872585
TI - The Pedunculopontine Tegmental Nucleus as a Motor and Cognitive Interface between
the Cerebellum and Basal Ganglia.
AB - As an important component of ascending activating systems, brainstem cholinergic
neurons in the pedunculopontine tegmental nucleus (PPTg) are involved in the
regulation of motor control (locomotion, posture and gaze) and cognitive
processes (attention, learning and memory). The PPTg is highly interconnected
with several regions of the basal ganglia, and one of its key functions is to
regulate and relay activity from the basal ganglia. Together, they have been
implicated in the motor control system (such as voluntary movement initiation or
inhibition), and modulate aspects of executive function (such as motivation). In
addition to its intimate connection with the basal ganglia, projections from the
PPTg to the cerebellum have been recently reported to synaptically activate the
deep cerebellar nuclei. Classically, the cerebellum and basal ganglia were
regarded as forming separated anatomical loops that play a distinct functional
role in motor and cognitive behavioral control. Here, we suggest that the PPTg
may also act as an interface device between the basal ganglia and cerebellum. As
such, part of the therapeutic effect of PPTg deep brain stimulation (DBS) to
relieve gait freezing and postural instability in advanced Parkinson's disease
(PD) patients might also involve modulation of the cerebellum. We review the
anatomical position and role of the PPTg in the pathway of basal ganglia and
cerebellum in relation to motor control, cognitive function and PD.
PMID- 27872587
TI - The Association between Anomalous Self-experiences, Self-esteem and Depressive
Symptoms in First Episode Schizophrenia.
AB - Background: Anomalous self-experiences (ASEs) aggregate in schizophrenia spectrum
disorders, but the relationship between ASEs, and depression has been studied to
a limited extent. Lower self-esteem has been shown to be associated with
depression in early psychosis. Our hypothesis is that ASEs in early phases of
schizophrenia are linked to lower levels of self-esteem, which in turn is
associated with depression. Aim: The aim is to examine the relationship between
ASEs, self-esteem and depression in first-episode schizophrenia spectrum
disorders. Method: ASEs were assessed in 55 patients with first-episode
schizophrenia by means of the Examination of anomalous Self-Experience (EASE)
instrument. Assessment of depression was based on the Calgary Depression Scale
for Schizophrenia (CDSS). Self-esteem was measured using the Rosenberg Self
Esteem Scale (RSES). Symptom severity was assessed using the Structured Clinical
Interview for the Positive and Negative Syndrome Scale (SCI-PANSS). Substance
misuse was measured with the Drug Use Disorder Identification Test (DUDIT), and
alcohol use was measured with the Alcohol Use Disorder Identification Test
(AUDIT). Data on childhood adjustment were collected using the Premorbid
Adjustment Scale (PAS). Data on childhood trauma were collected using the
Norwegian version of the Childhood Trauma Questionnaire, short form (CTQ-SF).
Results: Analyses detected a significant association between current depression
and ASEs as measured by the EASE in women, but not in men. The effect of ASEs on
depression appeared to be mediated by self-esteem. No other characteristics
associated with depression influenced the relationship between depression, self
esteem and ASEs. Conclusion: Evaluating ASEs can assist clinicians in
understanding patients' experience of self-esteem and depressive symptoms. The
complex interaction between ASEs, self-esteem, depression and suicidality could
be a clinical target for the prevention of suicidality in this patient group.
PMID- 27872589
TI - Corrigendum: No Effect of Anodal Transcranial Direct Current Stimulation Over the
Motor Cortex on Response-Related ERPs during a Conflict Task.
AB - [This corrects the article on p. 384 in vol. 10, PMID: 27547180.].
PMID- 27872588
TI - Conversation Therapy with People with Aphasia and Conversation Partners using
Video Feedback: A Group and Case Series Investigation of Changes in Interaction.
AB - Conversation therapies employing video for feedback and to facilitate outcome
measurement are increasingly used with people with post-stroke aphasia and their
conversation partners; however the evidence base for change in everyday
interaction remains limited. We investigated the effect of Better Conversations
with Aphasia (BCA), an intervention that is freely available online at
https://extend.ucl.ac.uk/. Eight people with chronic agrammatic aphasia, and
their regular conversation partners participated in the tailored 8 week program
involving significant video feedback. We explored changes in: (i) conversation
facilitators (such as multi-modal turns by people with aphasia); and (ii)
conversation barriers (such as use of test questions by conversation partners).
The outcome of intervention was evaluated directly by measuring change in video
recorded everyday conversations. The study employed a pre-post design with
multiple 5 minute samples of conversation before and after intervention, scored
by trained raters blind to the point of data collection. Group level analysis
showed no significant increase in conversation facilitators. There was, however,
a significant reduction in the number of conversation barriers. The case series
data revealed variability in conversation behaviors across occasions for the same
dyad and between different dyads. Specifically, post-intervention there was a
significant increase in facilitator behaviors for two dyads, a decrease for one
and no significant change for five dyads. There was a significant decrease in
barrier behaviors for five dyads and no significant change for three dyads. The
reduction in barrier behaviors was considerable; on average change from over
eight to fewer than three barrier behaviors in 5 minutes of conversation. The pre
post design has the limitation of no comparison group. However, change occurs in
targeted conversational behaviors and in people with chronic aphasia and their
partners. The findings suggest change can occur after eight therapy sessions and
have implications for clinical practice. A reduction in barrier behaviors may be
easier to obtain, although the controlled case series results demonstrate a
significant increase in conversation facilitators is also possible. The
rehabilitation tool is available online and video technology was central to
delivering intervention and evaluating change.
PMID- 27872590
TI - Test-Retest Reliability of a Serious Game for Delirium Screening in the Emergency
Department.
AB - Introduction: Cognitive screening in settings such as emergency departments (ED)
is frequently carried out using paper-and-pencil tests that require
administration by trained staff. These assessments often compete with other
clinical duties and thus may not be routinely administered in these busy
settings. Literature has shown that the presence of cognitive impairments such as
dementia and delirium are often missed in older ED patients. Failure to recognize
delirium can have devastating consequences including increased mortality (Kakuma
et al., 2003). Given the demands on emergency staff, an automated cognitive test
to screen for delirium onset could be a valuable tool to support delirium
prevention and management. In earlier research we examined the concurrent
validity of a serious game, and carried out an initial assessment of its
potential as a delirium screening tool (Tong et al., 2016). In this paper, we
examine the test-retest reliability of the game, as it is an important criterion
in a cognitive test for detecting risk of delirium onset. Objective: To
demonstrate the test-retest reliability of the screening tool over time in a
clinical sample of older emergency patients. A secondary objective is to assess
whether there are practice effects that might make game performance unstable over
repeated presentations. Materials and Methods: Adults over the age of 70 were
recruited from a hospital ED. Each patient played our serious game in an initial
session soon after they arrived in the ED, and in follow up sessions conducted at
8-h intervals (for each participant there were up to five follow up sessions,
depending on how long the person stayed in the ED). Results: A total of 114
adults (61 females, 53 males) between the ages of 70 and 104 years (M = 81 years,
SD = 7) participated in our study after screening out delirious patients. We
observed a test-retest reliability of the serious game (as assessed by
correlation r-values) between 0.5 and 0.8 across adjacent sessions. Conclusion:
The game-based assessment for cognitive screening has relatively strong test
retest reliability and little evidence of practice effects among elderly
emergency patients, and may be a useful supplement to existing cognitive
assessment methods.
PMID- 27872592
TI - A Pyrazolo[3,4-d]pyrimidine Compound Reduces Cell Viability and Induces Apoptosis
in Different Hematological Malignancies.
AB - Molecular targeted therapies are based upon drugs acting on tumors by interfering
with specific targets involved in growth and spread of cancer. Many targeted
therapies were approved by Food and Drug Administration as standard treatment,
others were introduced into preclinical or clinical studies on hematological
malignancies (HMs). The development of drug-resistance in some HMs and the lack
of effective treatments in other ones emphasized the need for searching new
molecular targets and therapeutic agents. The aim of this study was to evaluate
the effects of 4c pyrazolo[3,4-d]pyrimidine compound, a Src inhibitor, on
lymphoid and myeloid neoplasms. Here, we demonstrated its ability to reduce cell
viability, induce apoptosis and cell cycle arrest in lymphoid cell lines such as
Jurkat, SKMM1, Derl-2/7, and myeloid cell lines, such as Jurl-MK1. Moreover, we
reported a high expression of a Src kinase, Fyn, in these cell lines compared to
healthy subjects. This study was a starting point to investigate 4c pyrazolo[3,4
d]pyrimidine compound as a drug for HMs and Src kinases as its potential
molecular targets.
PMID- 27872593
TI - Length of Hospital Stay and Bed Occupancy Rates in Former Yugoslav Republics 1989
2015.
PMID- 27872591
TI - Mild Cognitive Impairment Is Not "Mild" at All in Altered Activation of Episodic
Memory Brain Networks: Evidence from ALE Meta-Analysis.
AB - The present study conducted a quantitative meta-analysis aiming at assessing
consensus across the functional neuroimaging studies of episodic memory in
individuals with amnestic mild cognitive impairment (aMCI) and elucidating
consistent activation patterns. An activation likelihood estimation (ALE) was
conducted on the functional neuroimaging studies of episodic encoding and
retrieval in aMCI individuals published up to March 31, 2015. Analyses covered 24
studies, which yielded 770 distinct foci. Compared to healthy controls, aMCI
individuals showed statistically significant consistent activation differences in
a widespread episodic memory network, not only in the bilateral medial temporal
lobe and prefrontal cortex, but also in the angular gyrus, precunes, posterior
cingulate cortex, and even certain more basic structures. The present ALE meta
analysis revealed that the abnormal patterns of widespread episodic memory
network indicated that individuals with aMCI may not be completely "mild" in
nature.
PMID- 27872594
TI - Modafinil Induces Rapid-Onset Behavioral Sensitization and Cross-Sensitization
with Cocaine in Mice: Implications for the Addictive Potential of Modafinil.
AB - There is substantial controversy about the addictive potential of modafinil, a
wake-promoting drug used to treat narcolepsy, proposed as pharmacotherapy for
cocaine abuse, and used indiscriminately by healthy individuals due to its
positive effects on arousal and cognition. The rapid-onset type of behavioral
sensitization (i.e., a type of sensitization that develops within a few hours
from the drug priming administration) has been emerged as a valuable tool to
study binge-like patterns of drug abuse and the neuroplastic changes that occur
quickly after drug administration that ultimately lead to drug abuse. Our aim was
to investigate the possible development of rapid-onset behavioral sensitization
to modafinil and bidirectional rapid-onset cross-sensitization with cocaine in
male Swiss mice. A priming injection of a high dose of modafinil (64 mg/kg)
induced rapid-onset behavioral sensitization to challenge injections of modafinil
at the doses of 16, 32, and 64 mg/kg, administered 4 h later. Furthermore, rapid
onset cross-sensitization was developed between modafinil and cocaine (64 mg/kg
modafinil and 20 mg/kg cocaine), in a bidirectional way. These results were not
due to residual levels of modafinil as the behavioral effects of the priming
injection of modafinil were no longer present and modafinil plasma concentration
was reduced at 4 h post-administration. Taken together, the present findings
provide preclinical evidence that modafinil can be reinforcing per se and can
enhance the reinforcing effects of stimulants like cocaine within hours after
administration.
PMID- 27872596
TI - Different Relationship between hsp70 mRNA and hsp70 Levels in the Heat Shock
Response of Two Salmonids with Dissimilar Temperature Preference.
AB - The heat shock response (HSR) refers to the rapid production of heat shock
proteins (hsps) in response to a sudden increase in temperature. Its regulation
by heat shock factors is a good example of how gene expression is
transcriptionally regulated by environmental stresses. In contrast, little is
known about post-transcriptional regulation of the response. The heat shock
response is often used to characterize the temperature tolerance of species with
the rationale that whenever the response sets on, a species is approaching its
lethal temperature. It has commonly been considered that an increase in hsp mRNA
gives an accurate indication that the same happens to the protein level, but this
need not be the case. With climate change, understanding the effects of
temperature on gene expression of especially polar organisms has become
imperative to evaluate how both biodiversity and commercially important species
respond, since temperature increases are expected to be largest in polar areas.
Here we studied the HSR of two phylogenetically related Arctic species, which
differ in their temperature tolerance with Arctic charr having lower maximally
tolerated temperature than Atlantic salmon. Arctic charr acclimated to 15 degrees
C and exposed to 7 degrees C temperature increase for 30 min showed both an
increase in hsp70 mRNA and hsp70 whereas in salmon only hsp70 mRNA increased. Our
results indicate that the temperature for transcriptional induction of hsp can be
different from the one required for a measurable change in inducible hsp level.
The species with lower temperature tolerance, Arctic charr, are experiencing
temperature stress already at the higher acclimation temperature, 15 degrees C,
as their hsp70 mRNA and hsp70 levels were higher, and they grow less than fish at
8 degrees C (whereas for salmon the opposite is true). Consequently, charr
experience more drastic heat shock than salmon. Although further studies are
needed to establish the temperature range and length of exposure where hsp mRNA
and hsp level are disconnected, the observation suggests that by measuring both
hsp mRNA and hsp level, one can evaluate if a species is approaching the higher
end of its temperature tolerance, and thus evaluate the vulnerability of an
organism to the challenges imposed by elevated water temperature.
PMID- 27872597
TI - Commentary: The Spinal Cord Has an Intrinsic System for the Control of pH.
PMID- 27872600
TI - Optimal Experience and Personal Growth: Flow and the Consolidation of Place
Identity.
AB - This study examined the relationship between flow experience and place identity,
based on eudaimonistic identity theory (EIT) which prioritizes self-defining
activities as important for an individual's identification of his/her goals,
values, beliefs, and interests corresponding to one's own identity development or
enhancement. This study focuses on place identity, the identity's features
relating to a person's relation with her/his place. The study is also based on
flow theory, according to which some salient features of an activity experience
are important for happiness and well-being. Questionnaire surveys on Italian and
Greek residents focused on their perceived flow and place identity in relation to
their own specific local place experiences. The overall findings revealed that
flow experience occurring in one's own preferred place is widely reported as
resulting from a range of self-defining activities, irrespective of gender or
age, and it is positively and significantly associated with one's own place
identity. Such findings provide the first quantitative evidence about the link
between flow experienced during meaningfully located self-defining activities and
identity experienced at the place level, similarly to the corresponding personal
and social levels that had been previously already empirically tested. Results
are also discussed in terms of their implications for EIT's understanding and
enrichment, especially by its generalization from the traditional, personal
identity level up to that of place identity. More generally, this study has
implications for maintaining or enhancing one's own place identity, and therefore
people-place relations, by means of facilitating a person's flow experience
within psychologically meaningful places.
PMID- 27872595
TI - Redox Mechanism of Reactive Oxygen Species in Exercise.
AB - It is well known that regular exercise can benefit health by enhancing
antioxidant defenses in the body. However, unaccustomed and/or exhaustive
exercise can generate excessive reactive oxygen species (ROS), leading to
oxidative stress-related tissue damages and impaired muscle contractility. ROS
are produced in both aerobic and anaerobic exercise. Mitochondria, NADPH oxidases
and xanthine oxidases have all been identified as potential contributors to ROS
production, yet the exact redox mechanisms underlying exercise-induced oxidative
stress remain elusive. Interestingly, moderate exposure to ROS is necessary to
induce body's adaptive responses such as the activation of antioxidant defense
mechanisms. Dietary antioxidant manipulation can also reduce ROS levels and
muscle fatigue, as well as enhance exercise recovery. To elucidate the complex
role of ROS in exercise, this review updates on new findings of ROS origins
within skeletal muscles associated with various types of exercises such as
endurance, sprint and mountain climbing. In addition, we will examine the
corresponding antioxidant defense systems as well as dietary manipulation against
damages caused by ROS.
PMID- 27872599
TI - Confirmatory Factor Analysis of the 12-Item Center for Epidemiologic Studies
Depression Scale among Blacks and Whites.
AB - BACKGROUND: The Center for Epidemiologic Studies Depression (CES-D) scale is one
of the most widely used tools to measure depressive symptoms in epidemiological
studies. Given the importance of cross-racial measurement equivalence of the CES
D scale for research, we performed confirmatory factor analysis (CFA) of the 12
item CES-D in a nationally representative sample of Black and White adults in the
United States. METHODS: We used data from the National Survey of American Life
(NSAL), 2001-2003. A total number of 3570 Blacks (African-Americans) and 891 non
Hispanic Whites were included in the present study. CFA was carried out on the 12
item CES-D scale using multi-group structural equation modeling. RESULTS: For
both Blacks and Whites, the best fitting model was found to be the 3-factor
model, indicating invariance of factor structure between Blacks and Whites. A
statistically different fit of the models with and without constraints indicated
lack of invariance of factor loadings between Blacks and Whites. Some of the
positive (i.e., "as good" and "hopeful") and interpersonal (i.e., "people were
unfriendly") items showed poor loadings, even in the 3-factor solution that
allowed separate domains for positive affect, negative affect, and interpersonal
problems. Despite the good fit of our final model, more items (i.e., "as good,"
"hopeful," "keeping mind," and "everything effort") had poorer loadings in Blacks
than Whites (i.e., "as good"). CONCLUSION: There is invariance in factor
structure but lack of invariance in factor/item loadings between Blacks and
Whites. These findings have implications for cross-racial studies of depressive
symptoms using CES-D scale among Blacks and Whites. Further research is warranted
to scrutinize the role of socioeconomics and culture in explaining the lack of
invariance of the CES-D scale between Blacks and Whites.
PMID- 27872598
TI - Futsal Match-Related Fatigue Affects Running Performance and Neuromuscular
Parameters but Not Finishing Kick Speed or Accuracy.
AB - Purpose: The aim of the present study was to investigate the influence of futsal
match-related fatigue on running performance, neuromuscular variables, and
finishing kick speed and accuracy. Methods: Ten professional futsal players
participated in the study (age: 22.2 +/- 2.5 years) and initially performed an
incremental protocol to determine maximum oxygen uptake ([Formula: see text]:
50.6 +/- 4.9 mL.kg-1.min-1). Next, simulated games were performed, in four
periods of 10 min during which heart rate and blood lactate concentration were
monitored. The entire games were video recorded for subsequent automatic
tracking. Before and immediately after the simulated game, neuromuscular function
was measured by maximal isometric force of knee extension, voluntary activation
using twitch interpolation technique, and electromyographic activity. Before, at
half time, and immediately after the simulated game, the athletes also performed
a set of finishing kicks for ball speed and accuracy measurements. Results: Total
distance covered (1st half: 1986.6 +/- 74.4 m; 2nd half: 1856.0 +/- 129.7 m, P =
0.00) and distance covered per minute (1st half: 103.2 +/- 4.4 m.min-1; 2nd half:
96.4 +/- 7.5 m.min-1, P = 0.00) demonstrated significant declines during the
simulated game, as well as maximal isometric force of knee extension (Before:
840.2 +/- 66.2 N; After: 751.6 +/- 114.3 N, P = 0.04) and voluntary activation
(Before: 85.9 +/- 7.5%; After: 74.1 +/- 12.3%, P = 0.04), however ball speed and
accuracy during the finishing kicks were not significantly affected. Conclusion:
Therefore, we conclude that despite the decline in running performance and
neuromuscular variables presenting an important manifestation of central fatigue,
this condition apparently does not affect the speed and accuracy of finishing
kicks.
PMID- 27872601
TI - The Role of Father Involvement and Marital Satisfaction in the Development of
Family Interactive Abilities: A Multilevel Approach.
AB - The study aims to investigate the development of family interactions from
pregnancy to preschool age in a longitudinal perspective, using multilevel
analysis. Also, it explored the impact of couple relationship and father
involvement in childcare on the developmental trend of the quality of mother
father-child interactions. One hundred and three primiparous families were
assessed at 7th month of pregnancy, 4th, 9th, and 18th months of child's life and
during preschool age (36-48th), using the observational procedure named, Lausanne
Trilogue Play. Parents' perception of marital satisfaction was assessed with the
Dyadic Adjustment Scale at each point of measure; moreover, in the postnatal
assessment, parents completed the Father Involvement Questionnaire. Results
showed that family interactions increase over time. Secondly, a decrease of
marital adjustment is associated with an improvement of the quality of family
interactions. Moreover, father involvement predicts the quality of family
interactions from the earliest stages of child's life. In a longitudinal
perspective, family interactions and marital quality show opposite developmental
trends and father's involvement represents a particularly important feature of
the family.
PMID- 27872603
TI - Age Differences in Consumer Decision Making under Option Framing: From the
Motivation Perspective.
AB - Option framing effect is the phenomena that participants often accept more
options when they are asked to delete undesired options from a full model
(subtractive framing) than they do when they are instructed to add desired
options to a base model (additive framing). Whether the same effect exists in
different age groups is less well known. To explore the roles of age and purchase
motivations on the option framing effect for automobiles purchases, this study
adopted a 3 (age group: younger, middle-aged, vs. older) * 2 (option framing:
additive vs. subtractive) * 2 (focus condition: information vs. emotion) mixed
design. To manipulate purchase motivations, participants in the three age groups
were instructed to focus on the ratio of utility and price of options
(information-focus) or the extent of pleasure induced by the options (emotion
focus) when they made purchase decisions in two framing conditions. The results
revealed similar option framing effect across all age groups in the information
focus condition regarding the total price paid for accepted options. In contrast,
the framing effect was not found in the emotion-focus condition. In addition,
older adults accepted more options and an overall higher price than younger and
middle-aged adults in both focus conditions. This difference was more obvious in
the emotion-focus condition than in the information-focus condition. Moreover,
both the number of accepted options and the total accepted price of the younger
group in the information-focus condition were higher than those in the emotion
focus condition, whereas the older and middle-aged groups accepted same number of
options and price between two focus conditions. These results imply that purchase
motivation is a moderator of the option framing effect and age characteristics
linked with motivations must be considered in sales.
PMID- 27872604
TI - Editorial: Cognitive Event-Related Potentials in Psychopathology: New
Experimental and Clinical Perspectives.
PMID- 27872602
TI - Perceptual Averaging in Individuals with Autism Spectrum Disorder.
AB - There is mounting evidence that observers rely on statistical summaries of visual
information to maintain stable and coherent perception. Sensitivity to the mean
(or other prototypical value) of a visual feature (e.g., mean size) appears to be
a pervasive process in human visual perception. Previous studies in individuals
diagnosed with Autism Spectrum Disorder (ASD) have uncovered characteristic
patterns of visual processing that suggest they may rely more on enhanced local
representations of individual objects instead of computing such perceptual
averages. To further explore the fundamental nature of abstract statistical
representation in visual perception, we investigated perceptual averaging of mean
size in a group of 12 high-functioning individuals diagnosed with ASD using
simplified versions of two identification and adaptation tasks that elicited
characteristic perceptual averaging effects in a control group of neurotypical
participants. In Experiment 1, participants performed with above chance accuracy
in recalling the mean size of a set of circles (mean task) despite poor accuracy
in recalling individual circle sizes (member task). In Experiment 2, their
judgments of single circle size were biased by mean size adaptation. Overall,
these results suggest that individuals with ASD perceptually average information
about sets of objects in the surrounding environment. Our results underscore the
fundamental nature of perceptual averaging in vision, and further our
understanding of how autistic individuals make sense of the external environment.
PMID- 27872606
TI - An Exploratory Study to Detect Meniere's Disease in Conventional MRI Scans Using
Radiomics.
AB - OBJECTIVE: The purpose of this exploratory study was to investigate whether a
quantitative image analysis of the labyrinth in conventional magnetic resonance
imaging (MRI) scans using a radiomics approach showed differences between
patients with Meniere's disease (MD) and the control group. MATERIALS AND
METHODS: In this retrospective study, MRI scans of the affected labyrinths of 24
patients with MD were compared to the MRI scans of labyrinths of 29 patients with
an idiopathic asymmetrical sensorineural hearing loss. The 1.5- and 3-T MRI scans
had been previously made in a clinical setting between 2008 and 2015. 3D Slicer
4.4 was used to extract several substructures of the labyrinth. A quantitative
analysis of the normalized radiomic image features was performed in Mathematica
10. The image features of the two groups were statistically compared. RESULTS:
For numerous image features, there was a statistically significant difference (p
value <0.05) between the MD group and the control group. The statistically
significant differences in image features were localized in all the substructures
of the labyrinth: 43 in the anterior semicircular canal, 10 in the vestibule, 22
in the cochlea, 12 in the posterior semicircular canal, 24 in the horizontal
semicircular canal, 11 in the common crus, and 44 in the volume containing the
reuniting duct. Furthermore, some figures contain vertical or horizontal bands
(three or more statistically significant image features in the same image
feature). Several bands were seen: 9 bands in the anterior semicircular canal, 1
band in the vestibule, 3 bands in the cochlea, 0 bands in the posterior
semicircular canal, 5 bands in the horizontal semicircular canal, 3 bands in the
common crus, and 10 bands in the volume containing the reuniting duct.
CONCLUSION: In this exploratory study, several differences were found in image
features between the MD group and the control group by using a quantitative
radiomics approach on high resolution T2-weighted MRI scans of the labyrinth.
Further research should be aimed at validating these results and translating them
in a potential clinical diagnostic method to detect MD in MRI scans.
PMID- 27872605
TI - Dissociating the Electrophysiological Correlates between Item Retrieval and
Associative Retrieval in Associative Recognition: From the Perspective of
Directed Forgetting.
AB - Although many behavioral studies have reported associative memory was different
from item memory, evidence coming from ERP researches has been in debate. In
addition, directed forgetting effect for items has been fully discussed, but
whether association between items can be directed-forgotten was unclear. The
directed forgetting effect was important for dissociating the item retrieval and
associative retrieval because of the one-to-one mapping relationship both between
item retrieval and familiarity and between associative retrieval and
recollection. Thus, the aim of this study was to investigate the dissociation
between item retrieval and associative retrieval and test directed forgetting
effect for associative information. Associative recognition paradigm combined
with directed forgetting paradigm by ERP recording was employed. Old/rearranged
effect in to-be-remembered condition, which was associated with associative
memory, was significant at 500-800 ms (LPC) but not at 300-500 ms interval
(FN400), indicating that item information was retrieved prior to associative
information. The ERP wave calculated by subtracting the to-be-forgotten old pairs
with "old" response from those with "rearranged" response, which reflected
associative retrieval in the to-be-forgotten condition, was negative from 500 to
800 ms (reversed old/new effect), indicating that association between items can
be directed-forgotten. Similar evidence was obtained by contrasting "rearranged"
responses aimed to the to-be-forgotten old pairs with those aimed to the to-be
remembered rearranged pairs, which actually represented the complete failure of
associative retrieval. Therefore, item retrieval and associative retrieval were
indexed by FN400 and LPC respectively, with associative retrieval more inhibited
than item retrieval.
PMID- 27872608
TI - Clinical Assessment of Fatigability in Multiple Sclerosis: A Shift from
Perception to Performance.
PMID- 27872607
TI - Disparate Changes in Plasma and Brainstem Cytokine Levels in Adult and Ageing
Rats Associated with Age-Related Changes in Facial Motor Neuron Number, Snout
Muscle Morphology, and Exploratory Behavior.
AB - An overall increase in inflammatory cytokines with age in both the blood and the
central nervous system (CNS) has been proposed to explain many aspects of ageing,
including decreased motor function and neurodegeneration. This study tests the
hypothesis that age-related increases in inflammatory cytokines in the blood and
CNS lead to facial motor neuron degeneration. Groups of 3-5 female Sprague-Dawley
rats aged 3, 12-18, and 24 months were used. Twelve cytokines interleukin (IL)
1alpha, IL-beta, IL-2, IL-4, IL-5, IL-6, IL-10, IL-12p70, IL-13, tumor necrosis
factor-alpha (TNFalpha), interferon-gamma, and granulocyte macrophage-colony
stimulating factor were measured in blood plasma and compared with those in the
brainstem after first flushing blood from its vessels. The open-field test was
used to measure exploratory behavior, and the morphology of the peripheral target
muscle of facial motor neurons quantified. Total numbers of facial motor neurons
were determined stereologically in separate groups of 3- and 24-month-old rats.
Ageing rats showed a significant 30-42% decrease in blood plasma (peripheral)
concentrations of IL-12p70 and TNFalpha and a significant 43-49% increase in
brainstem (central) concentrations of IL-1alpha, IL-2, IL-4, IL-10, and TNFalpha.
They also showed significant reductions in motor neuron number in the right but
not left facial nucleus, reduced exploratory behavior, and increase in peripheral
target muscle size. Marginal age-related facial motoneuronal loss occurs in the
ageing rat and is characterized by complex changes in the inflammatory signature,
rather than a general increase in inflammatory cytokines.
PMID- 27872609
TI - Panitumumab-Associated Encephalopathy after Accidental Intra-arterial Application
through Dislocated Central Venous Access Device.
AB - Acute central nervous system (CNS) toxicity and immune-related side effects are
increasingly recognized with the use of monoclonal antibodies for cancer therapy.
Here, we report a patient who developed of acute-onset encephalopathy and coma,
which began shortly after administration of panitumumab for the treatment of
metastatic colorectal cancer. Echocardiography revealed that the drug had been
infused into the left cardiac ventricle via a dislocated central venous line.
Diffusion-weighted magnetic resonance imaging disclosed multiple cortical
hyperintensities, which were preferentially located in the frontal lobes. While
the neurological condition improved within a few days, the patient died 4 weeks
later. It seems likely that the administration of the antibody via the intra
arterial route contributed to the development of this condition. Toxic
encephalopathy may be a hitherto unrecognized complication of panitumumab
treatment and should be taken into consideration in patients developing CNS
symptoms undergoing this therapy.
PMID- 27872611
TI - Hypocholesterolemic and Prebiotic Effects of a Whole-Grain Oat-Based Granola
Breakfast Cereal in a Cardio-Metabolic "At Risk" Population.
AB - Meta-analyses of randomized controlled trials (RTC) have confirmed the
hypocholesterolaemic effect of oats and oat based fibers. However, the mechanisms
by which oats or oat fractions lower cholesterol is not totally clear.
Recognizing the important role of the gut microbiome in metabolism and metabolic
disease risk, we examined the impact of whole grain oat Granola (WGO) on the
human gut microbiota and cardio-metabolic risk factors using a randomized
crossover dietary intervention in at risk individuals (ClinicalTrials.gov
Identifier: NCT01925365). We randomized 32 individuals at risk of developing
cardio-metabolic disease by virtue of mild hypercholesterolaemia or glucose
intolerance, into two groups consuming either 45 g of WGO or non-whole grain
(NWG) breakfast cereals daily for two 6-week intervention periods separated by a
4-week wash out period in a randomized, controlled, crossover, double-blinded
design. Confirming the cholesterol lowering effect of WGO, we observed a
significant time by treatment interaction, for total cholesterol (TC) (P =
0.0001) and LDL-cholesterol (LDL-C) (P = 0.02) compared to NWG. A significant
time by treatment interaction was also observed for the relative abundance of
fecal bifidobacteria (P = 0.0001), lactobacilli (P = 0.001) and total bacterial
count (P = 0.008), which were all elevated after consumption of WGO. Daily
consumption of WGO resulted in a prebiotic effect on the human gut microbiota
composition and significant reductions in TC and LDL-C concentrations. Prebiotic
modulation of the human gut microbiota may thus constitute a previously
unrecognized mechanism contributing to the hypocholesterolaemic effects of whole
grain oat Granola.
PMID- 27872610
TI - Relational Stability in the Expression of Normality, Variation, and Control of
Thyroid Function.
AB - Thyroid hormone concentrations only become sufficient to maintain a euthyroid
state through appropriate stimulation by pituitary thyroid-stimulating hormone
(TSH). In such a dynamic system under constant high pressure, guarding against
overstimulation becomes vital. Therefore, several defensive mechanisms protect
against accidental overstimulation, such as plasma protein binding, conversion of
T4 into the more active T3, active transmembrane transport, counter-regulatory
activities of reverse T3 and thyronamines, and negative hypothalamic-pituitary
thyroid feedback control of TSH. TSH has gained a dominant but misguided role in
interpreting thyroid function testing in assuming that its exceptional
sensitivity thereby translates into superior diagnostic performance. However, TSH
dependent thyroid disease classification is heavily influenced by statistical
analytic techniques such as uni- or multivariate-defined normality. This demands
a separation of its conjoint roles as a sensitive screening test and accurate
diagnostic tool. Homeostatic equilibria (set points) in healthy subjects are less
variable and do not follow a pattern of random variation, rather indicating signs
of early and progressive homeostatic control across the euthyroid range. In the
event of imminent thyroid failure with a reduced FT4 output per unit TSH,
conversion efficiency increases in order to maintain FT3 stability. In such
situations, T3 stability takes priority over set point maintenance. This suggests
a concept of relational stability. These findings have important implications for
both TSH reference limits and treatment targets for patients on levothyroxine.
The use of archival markers is proposed to facilitate the homeostatic
interpretation of all parameters.
PMID- 27872613
TI - Rhamnolipids Produced by Indigenous Acinetobacter junii from Petroleum Reservoir
and its Potential in Enhanced Oil Recovery.
AB - Biosurfactant producers are crucial for incremental oil production in microbial
enhanced oil recovery (MEOR) processes. The isolation of biosurfactant-producing
bacteria from oil reservoirs is important because they are considered suitable
for the extreme conditions of the reservoir. In this work, a novel biosurfactant
producing strain Acinetobacter junii BD was isolated from a reservoir to reduce
surface tension and emulsify crude oil. The biosurfactants produced by the strain
were purified and then identified via electrospray ionization-Fourier transform
ion cyclotron resonance mass spectrometry (ESI FT-ICR-MS). The biosurfactants
generated by the strain were concluded to be rhamnolipids, the dominant
rhamnolipids were C26H48O9, C28H52O9, and C32H58O13. The optimal carbon source
and nitrogen source for biomass and biosurfactant production were NaNO3 and
soybean oil. The results showed that the content of acid components increased
with the progress of crude oil biodegradation. A glass micromodel test
demonstrated that the strain significantly increased oil recovery through
interfacial tension reduction, wettability alteration and the mobility of
microorganisms. In summary, the findings of this study indicate that the newly
developed BD strain and its metabolites have great potential in MEOR.
PMID- 27872614
TI - Bioluminescent Vibrio fischeri Assays in the Assessment of Seasonal and Spatial
Patterns in Toxicity of Contaminated River Sediments.
AB - Several bacteria-based assays, notably Vibrio fischeri luminescence assays, are
often used as environmental monitoring tool for toxicity in sediments that may
serve as both sinks and secondary source of contamination in aquatic ecosystems.
In this study, we used 30-s kinetic bioassays based on V. fischeri to evaluate
the toxicity associated to sediments from five localities with different
contamination inputs (Morava River and its tributary Drevnice River in the south
eastern part of the Czech Republic). Toxicity assessed as half maximal inhibitory
concentration (IC50) over the course of a year-long sampling was compared in
bottom sediments and freshly trapped particulate material. Standard approach
based on testing of aqueous elutriates was compared with toxicity of whole
sediments (contact suspension toxicity). Bottom sediments showed lower toxicity
compared to freshly trapped suspended materials in all cases. On the other hand,
standardized elutriates induced generally weaker effects than suspended sediments
likely due to losses during the extraction process. Toxicity generally increased
during winter reaching maximum peaks in early spring months in all five sites.
Total organic carbon (TOC) was found to be highly correlated with toxic effects.
Toxicity from sites with direct industrial and agricultural water inputs also
correlated with concentrations of metals, polycyclic aromatic hydrocarbons
(PAHs), and polychlorinated biphenyls (PCBs). Single time point sampling followed
by the extraction and testing of elutriates, do not truly reflect the spatial and
temporal variability in natural sediments and may lead to underestimation of
ecotoxic risks.
PMID- 27872612
TI - Systems Biomedicine of Rabies Delineates the Affected Signaling Pathways.
AB - The prototypical neurotropic virus, rabies, is a member of the Rhabdoviridae
family that causes lethal encephalomyelitis. Although there have been a plethora
of studies investigating the etiological mechanism of the rabies virus and many
precautionary methods have been implemented to avert the disease outbreak over
the last century, the disease has surprisingly no definite remedy at its late
stages. The psychological symptoms and the underlying etiology, as well as the
rare survival rate from rabies encephalitis, has still remained a mystery. We,
therefore, undertook a systems biomedicine approach to identify the network of
gene products implicated in rabies. This was done by meta-analyzing whole
transcriptome microarray datasets of the CNS infected by strain CVS-11, and
integrating them with interactome data using computational and statistical
methods. We first determined the differentially expressed genes (DEGs) in each
study and horizontally integrated the results at the mRNA and microRNA levels
separately. A total of 61 seed genes involved in signal propagation system were
obtained by means of unifying mRNA and microRNA detected integrated DEGs. We then
reconstructed a refined protein-protein interaction network (PPIN) of infected
cells to elucidate the rabies-implicated signal transduction network (RISN). To
validate our findings, we confirmed differential expression of randomly selected
genes in the network using Real-time PCR. In conclusion, the identification of
seed genes and their network neighborhood within the refined PPIN can be useful
for demonstrating signaling pathways including interferon circumvent, toward
proliferation and survival, and neuropathological clue, explaining the intricate
underlying molecular neuropathology of rabies infection and thus rendered a
molecular framework for predicting potential drug targets.
PMID- 27872615
TI - Microbial Communities of Conducting and Respiratory Zones of Lung-Transplanted
Patients.
AB - Background: Lung transplantation (LT) is a recognized treatment for end-stage
pulmonary disease. Bacteria from the recipient nasopharynx seed the new lungs
leading to infections and allograft damage. Understanding the characteristics and
topological variations of the microbiota may be important to apprehend the
pathophysiology of allograft dysfunction. Objectives: To examine the
characteristics and relationship of bacterial compositions between conducting and
respiratory zones of the allograft. Methods: We performed 16S rRNA gene
sequencing on bronchial aspirates (BAs) and bronchoalveolar lavages (BALs)
collected in pairs in 19 patients at several time-points post-LT. Results: The
respiratory zone was characterized independently of the time post-LT by a higher
bacterial richness than the conducting zone (p = 0.041). The phyla Firmicutes and
Proteobacteria dominated both sampling zones, with an inverse correlation between
these two phyla (Spearman r = -0.830). Samples of the same pair, as well as pairs
from the same individual clustered together (Pseudo-F = 3.8652, p < 0.01).
Microbiota of BA and BAL were more closely related in samples from the same
patient than each sample type across different patients, with variation in
community structure being mainly inter-individual (p < 0.01). Both number of
antibiotics administered (p < 0.01) and time interval post-LT (p < 0.01)
contributed to the variation in global microbiota structure. Longitudinal
analysis of BA-BAL pairs of two patients showed dynamic wave like fluctuations of
the microbiota. Conclusions: Our results show that post-transplant respiratory
zones harbor higher bacterial richness, but overall similar bacterial profiles as
compared to conductive zones. They further support an individual microbial
signature following LT.
PMID- 27872616
TI - Novel Approach for Evaluation of Bacteroides fragilis Protective Role against
Bartonella henselae Liver Damage in Immunocompromised Murine Model.
AB - Bartonella henselae is a gram-negative facultative intracellular bacterium and is
the causative agent of cat-scratch disease. Our previous data have established
that Bacteroides fragilis colonization is able to prevent B. henselae damages
through the polysaccharide A (PSA) in an experimental murine model. In order to
determine whether the PSA is essential for the protection against pathogenic
effects of B. henselae in immunocompromised hosts, SCID mice were co-infected
with B. fragilis wild type or its mutant B. fragilis DeltaPSA and the effects of
infection on murine tissues have been observed by High-Frequency Ultrasound
(HFUS), histopathological examination, and Transmission Electron Microscopy
(TEM). For the first time, echostructure, hepatic lobes length, vascular
alterations, and indirect signs of hepatic dysfunctions, routinely used as signs
of disease in humans, have been analyzed in an immunocompromised murine model.
Our findings showed echostructural alterations in all infected mice compared with
the Phosphate Buffer Solution (PBS) control group; further, those infected with
B. henselae and co-infected with B. henselae/B. fragilis DeltaPSA presented the
major echostructural alterations. Half of the mice infected with B. henselae and
all those co-infected with B. henselae/B. fragilis DeltaPSA have showed an
altered hepatic echogenicity compared with the renal cortex. The echogenicity
score of co-infected mice with B. henselae/B. fragilis DeltaPSA differed
significantly compared with the PBS control group (p < 0.05). Moreover the
inflammation score of the histopathological evaluation was fairly concordant with
ultrasound findings. Ultrastructural analysis performed by TEM revealed no
significant alterations in liver samples of SCID mice infected with B. fragilis
wild type while those infected with B. fragilis DeltaPSA showed the presence of
collagen around the main vessels compared with the PBS control group. The liver
samples of mice infected with B. henselae showed macro-areas rich in collagen,
stellate cells, and histiocytic cells. Interestingly, our data demonstrated that
immunocompromised SCID mice infected with B. henselae and co-infected with B.
henselae/B. fragilis DeltaPSA showed the most severe morpho-structural liver
damage. In addition, these results suggests that the HFUS together with
histopathological evaluation could be considered good imaging approach to
evaluate hepatic alterations.
PMID- 27872618
TI - Time Series Analysis of the Bacillus subtilis Sporulation Network Reveals Low
Dimensional Chaotic Dynamics.
AB - Chaotic behavior refers to a behavior which, albeit irregular, is generated by an
underlying deterministic process. Therefore, a chaotic behavior is potentially
controllable. This possibility becomes practically amenable especially when chaos
is shown to be low-dimensional, i.e., to be attributable to a small fraction of
the total systems components. In this case, indeed, including the major drivers
of chaos in a system into the modeling approach allows us to improve
predictability of the systems dynamics. Here, we analyzed the numerical
simulations of an accurate ordinary differential equation model of the gene
network regulating sporulation initiation in Bacillus subtilis to explore whether
the non-linearity underlying time series data is due to low-dimensional chaos.
Low-dimensional chaos is expectedly common in systems with few degrees of
freedom, but rare in systems with many degrees of freedom such as the B. subtilis
sporulation network. The estimation of a number of indices, which reflect the
chaotic nature of a system, indicates that the dynamics of this network is
affected by deterministic chaos. The neat separation between the indices obtained
from the time series simulated from the model and those obtained from time series
generated by Gaussian white and colored noise confirmed that the B. subtilis
sporulation network dynamics is affected by low dimensional chaos rather than by
noise. Furthermore, our analysis identifies the principal driver of the networks
chaotic dynamics to be sporulation initiation phosphotransferase B (Spo0B). We
then analyzed the parameters and the phase space of the system to characterize
the instability points of the network dynamics, and, in turn, to identify the
ranges of values of Spo0B and of the other drivers of the chaotic dynamics, for
which the whole system is highly sensitive to minimal perturbation. In summary,
we described an unappreciated source of complexity in the B. subtilis sporulation
network by gathering evidence for the chaotic behavior of the system, and by
suggesting candidate molecules driving chaos in the system. The results of our
chaos analysis can increase our understanding of the intricacies of the
regulatory network under analysis, and suggest experimental work to refine our
behavior of the mechanisms underlying B. subtilis sporulation initiation control.
PMID- 27872617
TI - Bacterial Genomics Reveal the Complex Epidemiology of an Emerging Pathogen in
Arctic and Boreal Ungulates.
AB - Northern ecosystems are currently experiencing unprecedented ecological change,
largely driven by a rapidly changing climate. Pathogen range expansion, and
emergence and altered patterns of infectious disease, are increasingly reported
in wildlife at high latitudes. Understanding the causes and consequences of
shifting pathogen diversity and host-pathogen interactions in these ecosystems is
important for wildlife conservation, and for indigenous populations that depend
on wildlife. Among the key questions are whether disease events are associated
with endemic or recently introduced pathogens, and whether emerging strains are
spreading throughout the region. In this study, we used a phylogenomic approach
to address these questions of pathogen endemicity and spread for Erysipelothrix
rhusiopathiae, an opportunistic multi-host bacterial pathogen associated with
recent mortalities in arctic and boreal ungulate populations in North America. We
isolated E. rhusiopathiae from carcasses associated with large-scale die-offs of
muskoxen in the Canadian Arctic Archipelago, and from contemporaneous mortality
events and/or population declines among muskoxen in northwestern Alaska and
caribou and moose in western Canada. Bacterial genomic diversity differed
markedly among these locations; minimal divergence was present among isolates
from muskoxen in the Canadian Arctic, while in caribou and moose populations,
strains from highly divergent clades were isolated from the same location, or
even from within a single carcass. These results indicate that mortalities among
northern ungulates are not associated with a single emerging strain of E.
rhusiopathiae, and that alternate hypotheses need to be explored. Our study
illustrates the value and limitations of bacterial genomic data for
discriminating between ecological hypotheses of disease emergence, and highlights
the importance of studying emerging pathogens within the broader context of
environmental and host factors.
PMID- 27872620
TI - Fungal Enolase, beta-Tubulin, and Chitin Are Detected in Brain Tissue from
Alzheimer's Disease Patients.
AB - Recent findings provide evidence that fungal structures can be detected in brain
tissue from Alzheimer's disease (AD) patients using rabbit polyclonal antibodies
raised against whole fungal cells. In the present work, we have developed and
tested specific antibodies that recognize the fungal proteins, enolase and beta
tubulin, and an antibody that recognizes the fungal polysaccharide chitin.
Consistent with our previous studies, a number of rounded yeast-like and hyphal
structures were detected using these antibodies in brain sections from AD
patients. Some of these structures were intracellular and, strikingly, some were
found to be located inside nuclei from neurons, whereas other fungal structures
were detected extracellularly. Corporya amylacea from AD patients also contained
enolase and beta-tubulin as revealed by these selective antibodies, but were
devoid of fungal chitin. Importantly, brain sections from control subjects were
usually negative for staining with the three antibodies. However, a few fungal
structures can be observed in some control individuals. Collectively, these
findings indicate the presence of two fungal proteins, enolase and beta-tubulin,
and the polysaccharide chitin, in CNS tissue from AD patients. These findings are
consistent with our hypothesis that AD is caused by disseminated fungal
infection.
PMID- 27872619
TI - Ebola VP40 in Exosomes Can Cause Immune Cell Dysfunction.
AB - Ebola virus (EBOV) is an enveloped, ssRNA virus from the family Filoviridae
capable of causing severe hemorrhagic fever with up to 80-90% mortality rates.
The most recent outbreak of EBOV in West Africa starting in 2014 resulted in over
11,300 deaths; however, long-lasting persistence and recurrence in survivors has
been documented, potentially leading to further transmission of the virus. We
have previously shown that exosomes from cells infected with HIV-1, HTLV-1 and
Rift Valley Fever virus are able to transfer viral proteins and non-coding RNAs
to naive recipient cells, resulting in an altered cellular activity. In the
current manuscript, we examined the effect of Ebola structural proteins VP40, GP,
NP and VLPs on recipient immune cells, as well as the effect of exosomes
containing these proteins on naive immune cells. We found that VP40-transfected
cells packaged VP40 into exosomes, and that these exosomes were capable of
inducing apoptosis in recipient immune cells. Additionally, we show that presence
of VP40 within parental cells or in exosomes delivered to naive cells could
result in the regulation of RNAi machinery including Dicer, Drosha, and Ago 1,
which may play a role in the induction of cell death in recipient immune cells.
Exosome biogenesis was regulated by VP40 in transfected cells by increasing
levels of ESCRT-II proteins EAP20 and EAP45, and exosomal marker proteins CD63
and Alix. VP40 was phosphorylated by Cdk2/Cyclin complexes at Serine 233 which
could be reversed with r-Roscovitine treatment. The level of VP40-containing
exosomes could also be regulated by treated cells with FDA-approved
Oxytetracycline. Additionally, we utilized novel nanoparticles to safely capture
VP40 and other viral proteins from Ebola VLPs spiked into human samples using
SDS/reducing agents, thus minimizing the need for BSL-4 conditions for most
downstream assays. Collectively, our data indicates that VP40 packaged into
exosomes may be responsible for the deregulation and eventual destruction of the
T-cell and myeloid arms of the immune system (bystander lymphocyte apoptosis),
allowing the virus to replicate to high titers in the immunocompromised host.
Moreover, our results suggest that the use of drugs such as Oxytetracycline to
modulate the levels of exosomes exiting EBOV-infected cells may be able to
prevent the devastation of the adaptive immune system and allow for an improved
rate of survival.
PMID- 27872621
TI - Combining Theoretical and Experimental Techniques to Study Murine Heart
Transplant Rejection.
AB - The quality of life of organ transplant recipients is compromised by
complications associated with life-long immunosuppression, such as hypertension,
diabetes, opportunistic infections, and cancer. Moreover, the absence of
established tolerance to the transplanted tissues causes limited long-term graft
survival rates. Thus, there is a great medical need to understand the complex
immune system interactions that lead to transplant rejection so that novel and
effective strategies of intervention that redirect the system toward transplant
acceptance (while preserving overall immune competence) can be identified. This
study implements a systems biology approach in which an experimentally based
mathematical model is used to predict how alterations in the immune response
influence the rejection of mouse heart transplants. Five stages of conventional
mouse heart transplantation are modeled using a system of 13 ordinary
differential equations that tracks populations of both innate and adaptive
immunity as well as proxies for pro- and anti-inflammatory factors within the
graft and a representative draining lymph node. The model correctly reproduces
known experimental outcomes, such as indefinite survival of the graft in the
absence of CD4+ T cells and quick rejection in the absence of CD8+ T cells. The
model predicts that decreasing the translocation rate of effector cells from the
lymph node to the graft delays transplant rejection. Increasing the starting
number of quiescent regulatory T cells in the model yields a significant but
somewhat limited protective effect on graft survival. Surprisingly, the model
shows that a delayed appearance of alloreactive T cells has an impact on graft
survival that does not correlate linearly with the time delay. This computational
model represents one of the first comprehensive approaches toward simulating the
many interacting components of the immune system. Despite some limitations, the
model provides important suggestions of experimental investigations that could
improve the understanding of rejection. Overall, the systems biology approach
used here is a first step in predicting treatments and interventions that can
induce transplant tolerance while preserving the capacity of the immune system to
protect against legitimate pathogens.
PMID- 27872622
TI - An Evaluation of 20 Years of EU Framework Programme-Funded Immune-Mediated
Inflammatory Translational Research in Non-Human Primates.
AB - Aging western societies are facing an increasing prevalence of chronic
inflammatory and degenerative diseases for which often no effective treatments
exist, resulting in increasing health-care expenditure. Despite high investments
in drug development, the number of promising new drug candidates decreases. We
propose that preclinical research in non-human primates can help to bridge the
gap between drug discovery and drug prescription. Translational research covers
various stages of drug development of which preclinical efficacy tests in valid
animal models is usually the last stage. Preclinical research in non-human
primates may be essential in the evaluation of new drugs or therapies when a
relevant rodent model is not available. Non-human primate models for life
threatening or severely debilitating diseases in humans are available at the
Biomedical Primate Research Centre (BPRC). These have been instrumental in
translational research for several decades. In order to stimulate European health
research and innovation from bench to bedside, the European Commission has
invested heavily in access to non-human primate research for more than 20 years.
BPRC has hosted European users in a series of transnational access programs
covering a wide range of research areas with the common theme being immune
mediated inflammatory disorders. We present an overview of the results and give
an account of the studies performed as part of European Union Framework Programme
(EU FP)-funded translational non-human primate research performed at the BPRC.
These data illustrate the value of translational non-human primate research for
the development of new therapies and emphasize the importance of EU FP funding in
drug development.
PMID- 27872624
TI - Diagnostics of Primary Immunodeficiencies through Next-Generation Sequencing.
AB - BACKGROUND: Recently, a growing number of novel genetic defects underlying
primary immunodeficiencies (PIDs) have been identified, increasing the number of
PID up to more than 250 well-defined forms. Next-generation sequencing (NGS)
technologies and proper filtering strategies greatly contributed to this rapid
evolution, providing the possibility to rapidly and simultaneously analyze large
numbers of genes or the whole exome. OBJECTIVE: To evaluate the role of targeted
NGS and whole exome sequencing (WES) in the diagnosis of a case series,
characterized by complex or atypical clinical features suggesting a PID,
difficult to diagnose using the current diagnostic procedures. METHODS: We
retrospectively analyzed genetic variants identified through targeted NGS or WES
in 45 patients with complex PID of unknown etiology. RESULTS: Forty-seven
variants were identified using targeted NGS, while 5 were identified using WES.
Newly identified genetic variants were classified into four groups: (I)
variations associated with a well-defined PID, (II) variations associated with
atypical features of a well-defined PID, (III) functionally relevant variations
potentially involved in the immunological features, and (IV) non-diagnostic
genotype, in whom the link with phenotype is missing. We reached a conclusive
genetic diagnosis in 7/45 patients (~16%). Among them, four patients presented
with a typical well-defined PID. In the remaining three cases, mutations were
associated with unexpected clinical features, expanding the phenotypic spectrum
of typical PIDs. In addition, we identified 31 variants in 10 patients with
complex phenotype, individually not causative per se of the disorder. CONCLUSION:
NGS technologies represent a cost-effective and rapid first-line genetic approach
for the evaluation of complex PIDs. WES, despite a moderate higher cost compared
to targeted, is emerging as a valuable tool to reach in a timely manner, a PID
diagnosis with a considerable potential to draw genotype-phenotype correlation.
Nevertheless, a large fraction of patients still remains without a conclusive
diagnosis. In these patients, the sum of non-diagnostic variants might be proven
informative in future studies with larger cohorts of patients.
PMID- 27872623
TI - Malaria Parasites: The Great Escape.
AB - Parasites of the genus Plasmodium have a complex life cycle. They alternate
between their final mosquito host and their intermediate hosts. The parasite can
be either extra- or intracellular, depending on the stage of development. By
modifying their shape, motility, and metabolic requirements, the parasite adapts
to the different environments in their different hosts. The parasite has evolved
to escape the multiple immune mechanisms in the host that try to block parasite
development at the different stages of their development. In this article, we
describe the mechanisms reported thus far that allow the Plasmodium parasite to
evade innate and adaptive immune responses.
PMID- 27872626
TI - Antigen-Presenting Cells and Antigen Presentation in Tertiary Lymphoid Organs.
AB - Tertiary lymphoid organs (TLOs) form in territorialized niches of peripheral
tissues characterized by the presence of antigens; however, little is known about
mechanism(s) of antigen handling by ectopic lymphoid structures. In this mini
review, we will discuss the role of antigen-presenting cells and mechanisms of
antigen presentation in TLOs, summarizing what is currently known about this
facet of the formation and function of these tissues as well as identifying
questions yet to be addressed.
PMID- 27872625
TI - Effect of CMV and Aging on the Differential Expression of CD300a, CD161, T-bet,
and Eomes on NK Cell Subsets.
AB - Natural killer (NK) cells are innate lymphoid cells involved in the defense
against virus-infected cells and tumor cells. NK cell phenotype and function is
affected with age and cytomegalovirus (CMV) latent infection. Aging affects the
frequency and phenotype of NK cells, and CMV infection also contributes to these
alterations. Thus, a reduction of CD56bright NK cell subpopulation associated
with age and an expansion of memory-like NK cells CD56dimCD57+NKG2C+ probably
related to CMV seropositivity have been described. NK cells express T-bet and
Eomes transcription factors that are necessary for the development of NK cells.
Here, we analyze the effect of age and CMV seropositivity on the expression of
CD300a and CD161 inhibitory receptors, and T-bet and Eomes transcription factors
in NK cell subsets defined by the expression of CD56 and CD57. CD300a is
expressed by the majority of NK cells. CD56bright NK cells express higher levels
of CD300a than CD56dim NK cells. An increase in the expression of CD300a was
associated with age, whereas a decreased expression of CD161 in CD56dim NK cells
was associated with CMV seropositivity. In CD56dim NK cells, an increased
percentage of CD57+CD300a+ and a reduction in the percentage of CD161+CD300a+
cells were found to be associated with CMV seropositivity. Regarding T-bet and
Eomes transcription factors, CMV seropositivity was associated with a decrease of
T-bethi in CD56dimCD57+ NK cells from young individuals, whereas Eomes expression
was increased with CMV seropositivity in both CD56bright and CD56dimCD57+/- (from
middle age and young individuals, respectively) and was decreased with aging in
all NK subsets from the three group of age. In conclusion, CMV infection and age
induce significant changes in the expression of CD300a and CD161 in NK cell
subsets defined by the expression of CD56 and CD57. T-bet and Eomes are
differentially expressed on NK cell subsets, and their expression is affected by
CMV latent infection and aging.
PMID- 27872628
TI - Proteomics and SSH Analyses of ALA-Promoted Fruit Coloration and Evidence for the
Involvement of a MADS-Box Gene, MdMADS1.
AB - Skin color is a key quality attribute of fruits and how to improve fruit
coloration has long been a major concern. 5-Aminolevulinic acid (ALA), a natural
plant growth regulator, can significantly increase anthocyanin accumulation in
fruit skin and therefore effectively improve coloration of many fruits, including
apple. However, the molecular mechanism how ALA stimulates anthocyanin
accumulation in fruit skin remains unknown. Here, we investigated the impact of
ALA on apple skin at the protein and mRNA levels. A total of 85 differentially
expressed proteins in apple skins between ALA and water treatment (control) were
identified by complementary gel-based and gel-free separation techniques. Most of
these differentially expressed proteins were up-regulated by ALA. Function
analysis suggested that 87.06% of the ALA-responsive proteins were associated
with fruit ripening. To further screen ALA-responsive regulators, we constructed
a subtracted cDNA library (tester: ALA treatment; driver: control) and obtained
104 differentially expressed unigenes, of which 38 unigenes were indicators for
the fruit ripening-related genes. The differentially changed proteins and
transcripts did not correspond well at an individual level, but showed similar
regulated direction in function at the pathway level. Among the identified fruit
ripening-related genes, the expression of MdMADS1, a developmental transcription
regulator of fruit ripening, was positively correlated with expression of
anthocyanin biosynthetic genes (MdCHS, MdDFR, MdLDOX, and MdUFGT) in apple skin
under ALA treatment. Moreover, overexpression of MdMADS1 enhanced anthocyanin
content in transformed apple calli, which was further enhanced by ALA. The
anthocyanin content in MdMADS1-silenced calli was less than that in the control
with ALA treatment, but higher than that without ALA treatment. These results
indicated that MdMADS1 is involved in ALA-induced anthocyanin accumulation. In
addition, anthocyanin-related verification in apple calli suggested that the
regulation of MdMADS1 on anthocyanin biosynthesis was partially independent of
fruit ripening process. Taken together, our findings provide insight into the
mechanism how ALA regulates anthocyanin accumulation and add new information on
transcriptase regulators of fruit coloration.
PMID- 27872627
TI - Stress and Non-Stress Roles of Inflammatory Signals during HSC Emergence and
Maintenance.
AB - Hematopoietic stem cells (HSCs) are a rare population that gives rise to almost
all cells of the hematopoietic system, including immune cells. Until recently, it
was thought that immune cells sense inflammatory signaling and HSCs respond only
secondarily to these signals. However, it was later shown that adult HSCs could
directly sense and respond to inflammatory signals, resulting in a higher output
of immune cells. Recent studies demonstrated that inflammatory signaling is also
vital for HSC ontogeny. These signals are thought to arise in the absence of
pathogens, are active during development, and indispensable for HSC formation. In
contrast, during times of stress and disease, inflammatory responses can be
activated and can have devastating effects on HSCs. In this review, we summarize
the current knowledge about inflammatory signaling in HSC development and
maintenance, as well as the endogenous molecular cues that can trigger
inflammatory pathway activation. Finally, we comment of the role of inflammatory
signaling in hematopoietic diseases.
PMID- 27872629
TI - QTL Analysis of Spike Morphological Traits and Plant Height in Winter Wheat
(Triticum aestivum L.) Using a High-Density SNP and SSR-Based Linkage Map.
AB - Wheat yield can be enhanced by modifying the spike morphology and the plant
height. In this study, a population of 191 F9 recombinant inbred lines (RILs) was
developed from a cross between two winter cultivars Yumai 8679 and Jing 411. A
dense genetic linkage map with 10,816 markers was constructed by incorporating
single nucleotide polymorphism (SNP) and simple sequence repeat (SSR) marker
information. Five spike morphological traits and plant height were evaluated
under nine environments for the RILs and parental lines, and the number of
detected environmentally stable QTLs were 18 and three, respectively. The 1RS/1BL
(rye) translocation increased both spike length and spikelet number with constant
spikelet compactness. The QPht.cau-2D.1 was identical to gene Rht8, which
decreased spike length without modifying spikelet number. Notably, four novel
QTLs locating on chromosomes 1AS (QSc.cau-1A.1), 2DS (QSc.cau-2D.1), and 7BS
(QSl.cau-7B.1 and QSl.cau-7B.2) were firstly identified in this study, which
provide further insights into the genetic factors that shaped the spike
morphology in wheat. Moreover, SNP markers tightly linked to previously reported
QTLs will eventually facilitate future studies including their positional cloning
or marker-assisted selection.
PMID- 27872630
TI - Control of Wilt and Rot Pathogens of Tomato by Antagonistic Pink Pigmented
Facultative Methylotrophic Delftia lacustris and Bacillus spp.
AB - The studies on the biocontrol potential of pink pigmented facultative
methylotrophic (PPFM) bacteria other than the genus Methylobacterium are scarce.
In the present study, we report three facultative methylotrophic isolates; PPO-1,
PPT-1, and PPB-1, respectively, identified as Delftia lacustris, Bacillus
subtilis, and Bacillus cereus by 16S rRNA gene sequence analysis. Hemolytic
activity was tested to investigate the potential pathogenicity of isolates to
plants and humans, the results indicates that the isolates PPO-1, PPT-1, and PPB
1 are not pathogenic strains. Under in vitro conditions, D. lacustris PPO-1, B.
subtilis PPT-1, and B. cereus PPB-1 showed direct antagonistic effect by
inhibiting the mycelial growth of fungal pathogens; Fusarium oxysporum f. sp.
lycopersici (2.15, 2.05, and 1.95 cm), Sclerotium rolfsii (2.14, 2.04, and 1.94
cm), Pythium ultimum (2.12, 2.02, and 1.92 cm), and Rhizoctonia solani (2.18,
2.08, and 1.98 cm) and also produced volatile inhibitory compounds. Under plant
growth chamber condition methylotrophic bacterial isolates; D. lacustris PPO-1,
B. subtilis PPT-1, and B. cereus PPB-1 significantly reduced the disease
incidence of tomato. Under greenhouse condition, D. lacustris PPO-1, B. subtilis
PPT-1, and B. cereus PPB-1 inoculated tomato plants, when challenged with F.
oxysporum f. sp. lycopersici, S. rolfsii, P. ultimum, and R. solani, increased
the pathogenesis related proteins (beta-1,3-glucanase and chitinase) and defense
enzymes (phenylalanine ammonia lyase, peroxidase, polyphenol oxidase, and
catalase) on day 5 after inoculation. In the current study, we first report the
facultative methylotrophy in pink pigmented D. lacustris, B. subtilis, and B.
cereus and their antagonistic potential against fungal pathogens. Direct
antagonistic and ISR effects of these isolates against fungal pathogens of tomato
evidenced their possible use as a biocontrol agent.
PMID- 27872631
TI - Identification and Characterization of CYC-Like Genes in Regulation of Ray Floret
Development in Chrysanthemum morifolium.
AB - Chrysanthemum morifolium, one of the most economically important ornamental crops
worldwide, is well-known for the elaborate and complex inflorescence which is
composed of both bilaterally symmetrical ray florets and radially symmetrical
disc florets. Despite continuing efforts, the molecular mechanisms underlying
regulation of the two flower types are still unclear so far. CYC-like proteins
have been shown to control flower symmetry or regulate flower-type identity in
several angiosperm plant lineages. In this study, we conducted comparative
analysis of the CmCYC2 genes in two chrysanthemum cultivars and their F1
progenies with various whorls of ray florets. Six CmCYC genes were identified and
sequenced, all of which were grouped into the CYC2 subclade. All the six CmCYC2
genes were predominantly expressed in reproductive organs, and in particular in
the petal of ray florets. Of these genes, the transcription level of CmCYC2c was
highly up-regulated in ray florets of the double-ray flowered heads. In addition,
the result that CmCYC2c was highly expressed at key developing stages indicates
its role in regulating petal development. Furthermore, overexpression of CmCYC2c
in C. lavandulifolium, one of the original species of C. morifolium, led to
significant increase in flower numbers and petal ligule length of ray florets.
Besides CmCYC2c, the expression of CmCYC2f was also significantly up-regulated in
transgenic lines, implying a possible role in regulating development of ray
florets. Both results of expression patterns and transgenic phenotypes suggest
that CmCYC2c is involved in regulating ray floret identity in the chrysanthemum.
This study will be useful for genetic manipulation of flower shape in
chrysanthemum and hence promote the process of molecular breeding.
PMID- 27872632
TI - Three Rice NAC Transcription Factors Heteromerize and Are Associated with Seed
Size.
AB - NACs are plant-specific transcription factors (TFs) involved in multiple aspects
of development and stress. In rice, three NAC TF encoding genes, namely ONAC020,
ONAC026, and ONAC023 express specifically during seed development, at extremely
high levels. They exhibit significantly strong association with seed size/weight
with the sequence variations located in the upstream regulatory region.
Concomitantly, their expression pattern/levels during seed development vary
amongst different accessions with variation in seed size. The alterations in the
promoter sequences of the three genes, amongst the five rice accessions,
correlate with the expression levels to a certain extent only. In terms of
transcriptional properties, the three NAC TFs can activate and/or suppress
downstream genes, though to different extents. Only ONAC026 is localized to the
nucleus while ONAC020 and ONAC023 are targeted to the ER and cytoplasm,
respectively. Interestingly, these two proteins interact with ONAC026 and the
dimers localize in the nucleus. Trans-splicing between ONAC020 and ONAC026
results in three additional forms of ONAC020. The transcriptional properties
including activation, repression, subcellular localization and heterodimerization
of trans-spliced forms of ONAC020 and ONAC026 are different, indicating toward
their role as competitors. The analysis presented in this paper helps to conclude
that the three NAC genes, which are associated with seed size, have independent
as well as overlapping roles during the process and can be exploited as potential
targets for crop improvement.
PMID- 27872633
TI - A Factor Linking Floral Organ Identity and Growth Revealed by Characterization of
the Tomato Mutant unfinished flower development (ufd).
AB - Floral organogenesis requires coordinated interactions between genes specifying
floral organ identity and those regulating growth and size of developing floral
organs. With the aim to isolate regulatory genes linking both developmental
processes (i.e., floral organ identity and growth) in the tomato model species, a
novel mutant altered in the formation of floral organs was further characterized.
Under normal growth conditions, floral organ primordia of mutant plants were
correctly initiated, however, they were unable to complete their development
impeding the formation of mature and fertile flowers. Thus, the growth of floral
buds was blocked at an early stage of development; therefore, we named this
mutant as unfinished flower development (ufd). Genetic analysis performed in a
segregating population of 543 plants showed that the abnormal phenotype was
controlled by a single recessive mutation. Global gene expression analysis
confirmed that several MADS-box genes regulating floral identity as well as other
genes participating in cell division and different hormonal pathways were
affected in their expression patterns in ufd mutant plants. Moreover, ufd mutant
inflorescences showed higher hormone contents, particularly ethylene precursor 1
aminocyclopropane-1-carboxylic acid (ACC) and strigol compared to wild type. Such
results indicate that UFD may have a key function as positive regulator of the
development of floral primordia once they have been initiated in the four floral
whorls. This function should be performed by affecting the expression of floral
organ identity and growth genes, together with hormonal signaling pathways.
PMID- 27872634
TI - CHLH/GUN5 Function in Tetrapyrrole Metabolism Is Correlated with Plastid
Signaling but not ABA Responses in Guard Cells.
AB - Expression of Photosynthesis-Associated Nuclear Genes (PhANGs) is controlled by
environmental stimuli and plastid-derived signals ("plastid signals")
transmitting the developmental and functional status of plastids to the nucleus.
Arabidopsis genomes uncoupled (gun) mutants exhibit defects in plastid signaling,
leading to ectopic expression of PhANGs in the absence of chloroplast
development. GUN5 encodes the plastid-localized Mg-chelatase enzyme subunit
(CHLH), and recent studies suggest that CHLH is a multifunctional protein
involved in tetrapyrrole biosynthesis, plastid signaling and ABA responses in
guard cells. To understand the basis of CHLH multifunctionality, we investigated
15 gun5 missense mutant alleles and transgenic lines expressing a series of
truncated CHLH proteins in a severe gun5 allele (cch) background (tCHLHs, 10
different versions). Here, we show that Mg-chelatase function and plastid
signaling are generally correlated; in contrast, based on the analysis of the
gun5 missense mutant alleles, ABA-regulated stomatal control is distinct from
these two other functions. We found that none of the tCHLHs could restore plastid
signaling or Mg-chelatase functions. Additionally, we found that both the C
terminal half and N-terminal half of CHLH function in ABA-induced stomatal
movement.
PMID- 27872636
TI - Analyzing Brain Functions by Subject Classification of Functional Near-Infrared
Spectroscopy Data Using Convolutional Neural Networks Analysis.
AB - Functional near-infrared spectroscopy (fNIRS) is suitable for noninvasive mapping
of relative changes in regional cortical activity but is limited for quantitative
comparisons among cortical sites, subjects, and populations. We have developed a
convolutional neural network (CNN) analysis method that learns feature vectors
for accurate identification of group differences in fNIRS responses. In this
study, subject gender was classified using CNN analysis of fNIRS data. fNIRS data
were acquired from male and female subjects during a visual number memory task
performed in a white noise environment because previous studies had revealed that
the pattern of cortical blood flow during the task differed between males and
females. A learned classifier accurately distinguished males from females based
on distinct fNIRS signals from regions of interest (ROI) including the inferior
frontal gyrus and premotor areas that were identified by the learning algorithm.
These cortical regions are associated with memory storage, attention, and task
motor response. The accuracy of the classifier suggests stable gender-based
differences in cerebral blood flow during this task. The proposed CNN analysis
method can objectively identify ROIs using fNIRS time series data for machine
learning to distinguish features between groups.
PMID- 27872635
TI - Rhizosphere Organic Anions Play a Minor Role in Improving Crop Species' Ability
to Take Up Residual Phosphorus (P) in Agricultural Soils Low in P Availability.
AB - Many arable lands have accumulated large reserves of residual phosphorus (P) and
a relatively large proportion of soil P is less available for uptake by plants.
Root released organic anions are widely documented as a key physiological
strategy to enhance P availability, while limited information has been generated
on the contribution of rhizosphere organic anions to P utilization by crops grown
in agricultural soils that are low in available P and high in extractable Ca, Al,
and Fe. We studied the role of rhizosphere organic anions in P uptake from
residual P in four common crops Triticum aestivum, Avena sativa, Solanum
tuberosum, and Brassica napus in low- and high-P availability agricultural soils
from long-term fertilization field trials in a mini-rhizotron experiment with
four replications. Malate was generally the dominant organic anion. More
rhizosphere citrate was detected in low P soils than in high P soil. B. napus
showed 74-103% increase of malate in low P loam, compared with clay loam. A.
sativa had the greatest rhizosphere citrate concentration in all soils (5.3-15.2
MUmol g-1 root DW). A. sativa also showed the highest level of root colonization
by arbuscular mycorrhizal fungi (AMF; 36 and 40%), the greatest root mass ratio
(0.51 and 0.66) in the low-P clay loam and loam respectively, and the greatest
total P uptake (5.92 mg P/mini-rhizotron) in the low-P loam. B. napus had 15-44%
more rhizosphere acid phosphatase (APase) activity, ~0.1-0.4 units lower
rhizosphere pH than other species, the greatest increase in rhizosphere water
soluble P in the low-P soils, and the greatest total P uptake in the low-P clay
loam. Shoot P content was mainly explained by rhizosphere APase activity, water
soluble P and pH within low P soils across species. Within species, P uptake was
mainly linked to rhizosphere water soluble P, APase, and pH in low P soils. The
effects of rhizosphere organic anions varied among species and they appeared to
play minor roles in improving P availability and uptake.
PMID- 27872637
TI - Key Technology of Real-Time Road Navigation Method Based on Intelligent Data
Research.
AB - The effect of traffic flow prediction plays an important role in routing
selection. Traditional traffic flow forecasting methods mainly include linear,
nonlinear, neural network, and Time Series Analysis method. However, all of them
have some shortcomings. This paper analyzes the existing algorithms on traffic
flow prediction and characteristics of city traffic flow and proposes a road
traffic flow prediction method based on transfer probability. This method first
analyzes the transfer probability of upstream of the target road and then makes
the prediction of the traffic flow at the next time by using the traffic flow
equation. Newton Interior-Point Method is used to obtain the optimal value of
parameters. Finally, it uses the proposed model to predict the traffic flow at
the next time. By comparing the existing prediction methods, the proposed model
has proven to have good performance. It can fast get the optimal value of
parameters faster and has higher prediction accuracy, which can be used to make
real-time traffic flow prediction.
PMID- 27872639
TI - Histogram of Oriented Gradient Based Gist Feature for Building Recognition.
AB - We proposed a new method of gist feature extraction for building recognition and
named the feature extracted by this method as the histogram of oriented gradient
based gist (HOG-gist). The proposed method individually computes the normalized
histograms of multiorientation gradients for the same image with four different
scales. The traditional approach uses the Gabor filters with four angles and four
different scales to extract orientation gist feature vectors from an image. Our
method, in contrast, uses the normalized histogram of oriented gradient as
orientation gist feature vectors of the same image. These HOG-based orientation
gist vectors, combined with intensity and color gist feature vectors, are the
proposed HOG-gist vectors. In general, the HOG-gist contains four
multiorientation histograms (four orientation gist feature vectors), and its
texture description ability is stronger than that of the traditional gist using
Gabor filters with four angles. Experimental results using Sheffield Buildings
Database verify the feasibility and effectiveness of the proposed HOG-gist.
PMID- 27872638
TI - A Cognitive Model Based on Neuromodulated Plasticity.
AB - Associative learning, including classical conditioning and operant conditioning,
is regarded as the most fundamental type of learning for animals and human
beings. Many models have been proposed surrounding classical conditioning or
operant conditioning. However, a unified and integrated model to explain the two
types of conditioning is much less studied. Here, a model based on neuromodulated
synaptic plasticity is presented. The model is bioinspired including multistored
memory module and simulated VTA dopaminergic neurons to produce reward signal.
The synaptic weights are modified according to the reward signal, which simulates
the change of associative strengths in associative learning. The experiment
results in real robots prove the suitability and validity of the proposed model.
PMID- 27872640
TI - Nucleotide Analogue-Related Proximal Renal Tubular Dysfunction during Long-Term
Treatment of Chronic Hepatitis B: A Cross-Sectional Study.
AB - Background. There have been few reports of nucleotide analogue-related renal
tubular dysfunction (RTD) in CHB patients. We assessed the prevalence and
presentation of nucleotide analogue-related proximal RTD. Methods. A cross
sectional study was performed in CHB patients taking nucleotide analogues.
Inclusion criteria were patients who were on adefovir or tenofovir as mono- or
add-on therapy with lamivudine (LAM) >1 year. Serum and urine were collected.
Fractional excretion of phosphate (FEPO4), uric acid (FEUA), and potassium was
calculated. Renal losses were defined based on the criteria: protein (24-hour
urine protein >150 mg), glucose (glycosuria with normoglycemia), phosphate (FEPO4
>18%), uric acid (FEUA >15%), potassium (renal potassium losses with
hypokalemia), and bicarbonate (normal gap acidosis). Subclinical and overt
proximal RTD were defined when 2 and >=3 criteria presented. Results. Ninety-two
patients were enrolled. The mean duration of nucleotide analogue taking was 55.1
+/- 29.6 months. Proximal RTD was found in 24 (26.1%) patients (subclinical 15
(16.3%) and overt 9 (9.8%)). The severity of RTD was associated with the duration
of nucleotide analogue (P = 0.01). Conclusions. The prevalence of proximal RTD in
CHB patients taking nucleotide analogues was 26%. The severity of RTD was
associated with the treatment duration. Comprehensive testing is necessary for
early detecting nucleotide analogue-related nephrotoxicity.
PMID- 27872641
TI - Muscle Functions and Functional Performance among Older Persons with and without
Low Back Pain.
AB - This study aims to compare muscle functions and functional performances between
older persons with and without low back pain (LBP) and to determine the
association between muscle functions and functional performances. This is a cross
sectional study, involving 95 older persons (age = 70.27 +/- 7.26 years).
Anthropometric characteristics, muscle functions, and functional performances
were measured. Data were analyzed using ANOVA, Pearson's correlation, and
multiple linear regression. The functional performances showed no significant
differences (females LBP versus non-LBP, males LBP versus non-LBP) (p < 0.05).
For muscle functions, significant differences were found (females LBP versus non
LBP) for abdominal muscle strength (p = 0.006) and back muscle strength (p =
0.07). In the LBP group, significant correlations were found between back and
abdominal muscle strength and hand grip strength (r = 0.377 and r = 0.396,
resp.), multifidus control and lower limb function (r = 0.363) in females, and
back muscle strength and lower limb function (r = 0.393) in males (all p < 0.05).
Regression analysis showed that abdominal and back muscle strengths were
significant predictors of hand grip strength (p = 0.041 and p = 0.049, resp.),
and multifidus control was a significant predictor of lower limb function in
females (p = 0.047). This study demonstrates that older women with LBP exhibit
poorer muscle functions compared to older women without LBP.
PMID- 27872642
TI - Rationale and Design of RNAFH Study: Effect of Rosuvastatin (10 mg/d) on
Nonalcoholic Fatty Liver in Metabolic Syndrome Patients without Overt Diabetes
Evaluated by 1H-Magnetic Resonance Spectroscopy.
AB - Objective. The RNAFH study (effect of rosuvastatin on nonalcoholic fatty liver
disease in metabolic syndrome patients without overt diabetes evaluated by 1H
MRS) is a prospective randomized, single-center, open-label trail designed to
assess the effect of rosuvastatin on the intrahepatocellular lipid (IHCL) level
of nonalcoholic fatty liver disease (NAFLD). Methods. 40 NAFLD patients meeting
inclusion and exclusion criteria with metabolic syndrome (MS) but without overt
diabetes mellitus will be included. Patients will be randomized to 52-week
treatment with either rosuvastatin (10 mg/d) or blank control. The primary end
point is IHCL evaluated by 1H-MRS, which was considered to be the most accurate
noninvasive method for the evaluation of NAFLD. Secondary end points include
homeostasis model assessment of insulin resistance (HOMA-IR) index on behalf of
insulin resistance level and lipid parameters. Safety indicators will be
monitored such as liver function, renal function, muscle stability, and glucose
metabolism. The aims of the present study are noteworthy in respect that (1) IHCL
is a quantitative indicator for evaluating the degree of fatty liver disease and
1H-MRS is a noninvasive technique to provide this specific index precisely, (2)
meanwhile the HOMA-IR index and lipid parameters will be monitored, and (3) the
safety of rosuvastatin treatment for 52 weeks will be evaluated including glucose
metabolism, muscle stability, liver function, and renal function.
PMID- 27872643
TI - Antibiotic Susceptibility Patterns of Bacterial Isolates from Pus Samples in a
Tertiary Care Hospital of Punjab, India.
AB - We determined the prevalence and antibiotic susceptibilities patterns of
bacterial isolates from pus samples collected from patients in a tertiary care
hospital of Punjab, India. E. coli was the most prevalent pathogen (51.2%)
followed by Staphylococcus aureus (21%), Klebsiella pneumoniae (11.6%),
Pseudomonas aeruginosa (5.8%), Citrobacter spp. (3.5%), Acinetobacter baumannii
(2.3%), Proteus mirabilis (2.3%), and Streptococcus spp. (2.3%). E. coli, K.
pneumoniae, A. baumannii, and Citrobacter isolates were resistant to multiple
antibiotics including higher generation cephalosporins. S. aureus and
Streptococcus isolates were sensitive to cloxacillin and vancomycin. However, P.
aeruginosa, P. mirabilis, and Streptococcus isolates were found to be less
resistant to the spectrum of antibiotics tested. Overall, our findings indicate
the prevalence of resistance to different classes of antibiotics in bacterial
isolates from pus infections and hence highlight the need for effective
surveillance, regulator reporting, and antibiogram-guided antibiotic
prescription.
PMID- 27872644
TI - Rosai-Dorfman Disease Involving Multiple Organs: An Unusual Case with Poor
Prognosis.
AB - Rosai-Dorfman disease is a rare, benign histiocytic proliferative disorder that
usually affects the lymph nodes. Although extranodal involvement has been
reported in diverse sites, manifestation in the cardiovascular system is
extremely rare. Specifically, cardiac involvement in Rosai-Dorfman disease is an
extraordinarily infrequent event. We describe a case of a 36-year-old female who
presented Rosai-Dorfman disease of multiple organs including the heart, with poor
prognosis.
PMID- 27872645
TI - Traumatic Lung Herniation following Skateboard Fall.
AB - Lung herniation (LH) is a rare clinical entity involving the protrusion of lung
outside the thoracic cage. It has a variety of etiologies and clinical
presentations, making diagnosis difficult. We present a case of a 20-year-old
male who reported pleuritic pain after falling from a skateboard. Evaluation
through computed tomography (CT) scanning of the chest revealed an anterior lung
hernia associated with rib fractures. This case emphasizes the need for
clinicians to include lung herniation in the differential diagnosis of patients
with trauma and inexplicable or persistent pulmonary issues.
PMID- 27872646
TI - Mercury Vapour Long-Lasting Exposure: Lymphocyte Muscarinic Receptors as
Neurochemical Markers of Accidental Intoxication.
AB - Introduction. Chronic poisoning may result in home setting after mercury (Hg)
vapours inhalation from damaged devices. We report a chronic, nonoccupational Hg
poisoning due to 10-year indoor exposure to mercury spillage. Case Report. A 72
year-old man with polyneuropathy of suspected toxic origin. At hospitalization,
toxicological clinical evaluations confirmed the altered neurological picture
documented across the last decade. Periodic blood and urine Hg levels (BHg, UHg)
monitoring were performed from admission (t0), until 1 year later (t2),
paralleled by blood neurochemical markers assessment, that is, lymphocytes
muscarinic receptors (l-MRs). At t0: BHg and UHg were 27 and 1.4 microg/L,
respectively (normal values: BHg 1-4.5; UHg 0.1-4.5), associated with l-MRs
increase, 185.82 femtomoL/million lymphocytes (normal range: 8.0-16.0). At t1
(two days after DMSA-mobilization test), BHg weak reduction, paralleled by UHg
3.7-fold increase, was measured together with further l-MRs enhancement (205.43
femtomoL/million lymphocytes). At t2 (eight months after two cycles of DMSA
chelating therapy ending), gradual improving of clinical manifestations was
accompanied by progressive decrease of BHg and UHg (4.0 and 2.8 microg/L, resp.)
and peripheral l-MRs neurochemical marker (24.89 femtomoL/million lymphocytes).
Conclusion. l-MRs modulatory effect supports their use as peripheral
neurochemical marker in Hg poisoning diagnosis and chelation therapy monitoring.
PMID- 27872648
TI - A 58-Year-Old Female with Progressive Cough and Right Shoulder Pain.
AB - Cavitary pneumonia in immunocompromised patients is a challenging entity.
Establishing accurate diagnosis and starting effective antibiotics are essential
steps towards improving outcome. A 58-year-old stem cell transplant patient was
admitted to the hospital with necrotizing pneumonia caused by nocardia. The
disease progressed despite of aggrieve antimicrobial therapy. Nocardiosis
continues to be a difficult disease to diagnose and treat.
PMID- 27872649
TI - A Green Antioxidant Activity-Integrated Dual-Standard Method for Rapid Evaluation
of the Quality of Traditional Chinese Medicine Xuebijing Injection by On-Line
DPPH-CE-DAD.
AB - Much attention has been focused on treatment of sepsis which leads to high
mortality all over the world in every year. Antioxidant activity seems to play a
prominent role in the treatment of sepsis exhibited by Xuebijing injection. The
aim of the present research was to develop an on-line 1, 1-diphenyl-2
picrylhydrazyl- (DPPH-) capillary electrophoresis-diode array detector (on-line
DPPH-CE-DAD) method for rapidly assessing antioxidant properties and efficacious
material basis of antioxidant activity as a way of quality control of Xuebijing
injection. Several parameters affecting the separation were investigated,
including the pH and concentrations of buffer, SDS, beta-CD, and organic modifier
as well as voltage and cassette temperature. Compared to previous traditional
method, this improved method shortened the experimental cycle and became more
efficient because it was successfully applied to analyze total antioxidant
activity and contents of twelve antioxidants of Xuebijing injection under the
same condition. The results revealed that the on-line DPPH-CE-DAD method was a
reagent-saving, rapid, feasible, and green technique for quality control of
Xuebijing injection in terms of pharmacological activity and contents of active
ingredients. It also offered new opportunities for the analysis of antioxidant
activity of complex matrix.
PMID- 27872647
TI - Antimalarial Properties of Aqueous Crude Extracts of Gynostemma pentaphyllum and
Moringa oleifera Leaves in Combination with Artesunate in Plasmodium berghei
Infected Mice.
AB - Due to the emergence and spread of malaria parasite with resistance to
antimalarial drugs, discovery and development of new, safe, and affordable
antimalarial are urgently needed. In this respect, medicinal plant extracts are
targets to optimize antimalarial actions and restore efficacy of standard
antimalarial drugs. The present study was aimed at determining the antimalarial
activities of Gynostemma pentaphyllum and Moringa oleifera leaf extracts in
combination with artesunate against Plasmodium berghei-infected mice. P. berghei
ANKA maintained by serial passage in ICR mice were used based on intraperitoneal
injection of 1 * 107 parasitized erythrocytes and subsequent development of
parasitemia. These infected mice were used to investigate the antimalarial
activity of artesunate (6 mg/kg) in combination with 500, 1,000, and 2,000 mg/kg
of G. pentaphyllum and M. oleifera leaf extracts using 4-day suppressive test. It
was found that these extracts showed significant (P < 0.05) antimalarial activity
in dose-dependent manner with percentage of suppression of 45, 50, and 55% for G.
pentaphyllum leaf extract and 35, 40, and 50% for M. oleifera leaf extract.
Additionally, artesunate combined with these extracts presented higher
antimalarial activity, compared to extract treated alone with percentage of
suppression of 78, 91, and 96% for G. pentaphyllum leaf extract and 73, 82, and
91% for M. oleifera leaf extract. The results indicated that combination
treatment of G. pentaphyllum or M. oleifera leaf extracts with artesunate was
able to increase the antimalarial activity by using low dose of artesunate.
Hence, these results justified the combination of these extracts and artesunate
in antimalarial herbal remedies.
PMID- 27872650
TI - The Cholesterol-Lowering Effect of Alisol Acetates Based on HMG-CoA Reductase and
Its Molecular Mechanism.
AB - This study measured the impact of alisol B 23-acetate and alisol A 24-acetate,
the main active ingredients of the traditional Chinese medicine Alismatis
rhizoma, on total cholesterol (TC), triglyceride (TG), high density lipoprotein
cholesterol (HDL-C), and low density lipoprotein-cholesterol (LDL-C) levels of
hyperlipidemic mice. The binding of alisol B 23-acetate and alisol A 24-acetate
to the key enzyme involved in the metabolism of TC, 3-hydroxy-3-methylglutary
coenzyme A (HMG-CoA) reductase, was studied using the reagent kit method and the
western blotting technique combined with a molecular simulation technique.
According to the results, alisol acetates significantly lower the TC, TG, and LDL
C concentrations of hyperlipidemic mice, while raising HDL-C concentrations.
Alisol acetates lower HMG-CoA reductase activity in a dose-dependent fashion,
both in vivo and in vitro. Neither of these alisol acetates significantly lower
the protein expression of HMG-CoA. This suggests that alisol acetates lower the
TC level via inhibiting the activity of HMG-CoA reductase by its prototype drug,
which may exhibit an inhibition effect via directly and competitively binding to
HMG-CoA. The side chain of the alisol acetate was the steering group via
molecular simulation.
PMID- 27872652
TI - Corrigendum to "Effects of an Aqueous Extract of Dangguijagyagsan on Serum Lipid
Levels and Blood Flow Improvement in Ovariectomized Rats".
AB - [This corrects the article DOI: 10.1155/2014/497836.].
PMID- 27872651
TI - A Review of Western and Traditional Chinese Medical Approaches to Managing
Nonalcoholic Fatty Liver Disease.
AB - Nonalcoholic fatty liver disease (NAFLD) is a disease of attention because of
increase in prevalence from 20% to 41%. The clinical and pathological conditions
in patients with NAFLD range from steatosis alone to nonalcoholic steatohepatitis
(NASH) with or without fibrosis to hepatic cancer. In the United States, NAFLD
was the second-leading indication for liver transplant between 2004 and 2013.
Although imaging studies such as magnetic resonance elastography and the use of
diagnostic panels and scoring systems can provide a fairly accurate diagnosis of
NAFLD, there are few treatment options for patients with mild to moderate disease
other than lifestyle modification. Many of the currently used medical treatments
have been shown to cause severe side effects and some have been shown to be
associated with increased risk for certain types of cancer. In recent years, a
number of traditional Chinese herbal treatments have been examined for their
potential uses as treatment for NAFLD. In this review, we provide a general
overview of NAFLD and a survey of Western pharmacologic drugs currently used to
treat the disease as well as the results of recent studies on the effectiveness
of traditional Chinese herbal remedies for managing nonalcoholic fatty liver
disease.
PMID- 27872653
TI - The Zuo Jin Wan Formula Induces Mitochondrial Apoptosis of Cisplatin-Resistant
Gastric Cancer Cells via Cofilin-1.
AB - Despite the status of cisplatin (DDP) as a classical chemotherapeutic agent in
the treatment of cancer, the development of multidrug resistance often leads to a
failure of DDP therapy. Here we found that phosphorylated cofilin-1 (p-cofilin-1)
was overexpressed in the DDP-resistant human gastric cancer cell lines
SGC7901/DDP and BGC823/DDP, relative to the respective parent cell lines (SGC7901
and BGC823), and that DDP induced the dephosphorylation of p-cofilin-1 in both
parent lines but not in the DDP-resistant lines. However, we noted that the
traditional Chinese medicine formula Zuo Jin Wan (ZJW) could induce the
dephosphorylation of p-cofilin-1 and promote cofilin-1 translocation from the
cytoplasm into the mitochondria in both SGC7901/DDP and BGC823/DDP cells. This
mitochondrial translocation of cofilin-1 was found to induce the conversion of
filamentous actin to globular-actin, activate mitochondrial damage and calcium
overloading, and induce the mitochondrial apoptosis pathway. We further observed
that these effects of ZJW on DDP-resistant human gastric cancer cell lines could
be reversed via transfection with cofilin-1-specific siRNA, or treatment with a
PP1 and PP2A inhibitor. These results suggest that ZJW is an effective drug
therapy for patients with DDP-resistant gastric cancer.
PMID- 27872654
TI - Chlorophyll a fluorescence, under half of the adaptive growth-irradiance, for
high-throughput sensing of leaf-water deficit in Arabidopsis thaliana accessions.
AB - BACKGROUND: Non-invasive and high-throughput monitoring of drought in plants from
its initiation to visible symptoms is essential to quest drought tolerant
varieties. Among the existing methods, chlorophyll a fluorescence (ChlF) imaging
has the potential to probe systematic changes in photosynthetic reactions;
however, prerequisite of dark-adaptation limits its use for high-throughput
screening. RESULTS: To improve the throughput monitoring of plants, we have
exploited their light-adaptive strategy, and investigated possibilities of
measuring ChlF transients under low ambient irradiance. We found that the ChlF
transients and associated parameters of two contrasting Arabidopsis thaliana
accessions, Rsch and Co, give almost similar information, when measured either
after ~20 min dark-adaptation or in the presence of half of the adaptive growth
irradiance. The fluorescence parameters, effective quantum yield of PSII
photochemistry (PhiPSII) and fluorescence decrease ratio (RFD) resulting from
this approach enabled us to differentiate accessions that is often not possible
by well-established dark-adapted fluorescence parameter maximum quantum
efficiency of PSII photochemistry (FV/FM). Further, we screened ChlF transients
in rosettes of well-watered and drought-stressed six A. thaliana accessions,
under half of the adaptive growth-irradiance, without any prior dark-adaptation.
Relative water content (RWC) in leaves was also assayed and compared to the ChlF
parameters. As expected, the RWC was significantly different in drought-stressed
from that in well-watered plants in all the six investigated accessions on day-10
of induced drought; the maximum reduction in the RWC was obtained for Rsch (16%),
whereas the minimum reduction was for Co (~7%). Drought induced changes were
reflected in several features of ChlF transients; combinatorial images obtained
from pattern recognition algorithms, trained on pixels of image sequence,
improved the contrast among drought-stressed accessions, and the derived images
were well-correlated with their RWC. CONCLUSIONS: We demonstrate here that ChlF
transients and associated parameters measured even in the presence of low ambient
irradiance preserved its features comparable to that of measured after dark
adaptation and discriminated the accessions having differential geographical
origin; further, in combination with combinatorial image analysis tools, these
data may be readily employed for early sensing and mapping effects of drought on
plant's physiology via easy and fully non-invasive means.
PMID- 27872655
TI - Novel Burst Suppression Segmentation in the Joint Time-Frequency Domain for EEG
in Treatment of Status Epilepticus.
AB - We developed a method to distinguish bursts and suppressions for EEG burst
suppression from the treatments of status epilepticus, employing the joint time
frequency domain. We obtained the feature used in the proposed method from the
joint use of the time and frequency domains, and we estimated the decision as to
whether the measured EEG was a burst segment or suppression segment by the
maximum likelihood estimation. We evaluated the performance of the proposed
method in terms of its accordance with the visual scores and estimation of the
burst suppression ratio. The accuracy was higher than the sole use of the time or
frequency domains, as well as conventional methods conducted in the time domain.
In addition, probabilistic modeling provided a more simplified optimization than
conventional methods. Burst suppression quantification necessitated precise burst
suppression segmentation with an easy optimization; therefore, the excellent
discrimination and the easy optimization of burst suppression by the proposed
method appear to be beneficial.
PMID- 27872656
TI - Nutritional situation among Syrian refugees hosted in Iraq, Jordan, and Lebanon:
cross sectional surveys.
AB - BACKGROUND: Ongoing armed conflict in Syria has caused large scale displacement.
Approximately half of the population of Syria have been displaced including the
millions living as refugees in neighboring countries. We sought to assess the
health and nutrition of Syrian refugees affected by the conflict. METHODS:
Representative cross-sectional surveys of Syrian refugees were conducted between
October 2 and November 30, 2013 in Lebanon, April 12 and May 1, 2014 in Jordan,
and May 20 and 31, 2013 in Iraq. Surveys in Lebanon were organized in four
geographical regions (North, South, Beirut/Mount Lebanon and Bekaa). In Jordan,
independent surveys assessed refugees residing in Za'atri refugee camp and
refugees residing among host community nationwide. In Iraq, refugees residing in
Domiz refugee camp in the Kurdistan region were assessed. Data collected on
children aged 6 to 59 months included anthropometric indicators, morbidity and
feeding practices. In Jordan and Lebanon, data collection also included
hemoglobin concentration for children and non-pregnant women aged 15 to 49 years,
anthropometric indicators for both pregnant and non-pregnant women, and household
level indicators such as access to safe water and sanitation. RESULTS: The
prevalence of global acute malnutrition among children 6 to 59 months of age was
less than 5 % in all samples (range 0.3-4.4 %). Prevalence of acute malnutrition
among women 15 to 49 years of age, defined as mid-upper arm circumference less
than 23.0 cm, was also relatively low in all surveys (range 3.5-6.5 %). For both
children and non-pregnant women, anemia prevalence was highest in Za'atri camp in
Jordan (48.4 % and 44.8 %, respectively). Most anemia was mild or moderate;
prevalence of severe anemia was less than or equal to 1.1 % in all samples of
children and women. CONCLUSIONS: Despite the ongoing conflict, results from all
surveys indicate that global acute malnutrition is relatively low in the assessed
Syrian refugee populations. However, prevalence of anemia suggests a serious
public health problem among women and children, especially in Za'atri camp. Based
on these findings, nutrition partners in the region have reprioritized response
interventions, focusing on activities to address micronutrient deficiencies such
as food fortification.
PMID- 27872657
TI - A pilot test of the acceptability and efficacy of narrative and non-narrative
health education materials in a low health literacy population.
AB - Although entertainment-education narratives are increasingly being used to
communicate health information to a diversity of populations, there is limited
evidence examining the use of narrative health education videos in low compared
with adequate health literacy populations. There are also very few studies
directly comparing narrative materials to more traditional, non-narrative
materials. Because individuals with low health literacy are less likely than
those with adequate health literacy to benefit from health communication
interventions, it is especially important to develop an evidence base supporting
the use of narrative health education materials in low literacy populations. This
study extends knowledge on the use of narrative health education materials in
populations with low health literacy by conducting a randomized trial comparing
the acceptability and efficacy (knowledge gain) of two fact-equivalent films, one
in a narrative and one in a non-narrative format, on individuals with adequate
and low health literacy. This study finds that while both films were well
accepted and produced knowledge gains, the narrative film was more effective in
this regard. This effect occurred regardless of health literacy level, indicating
that narrative health communication materials are appropriate for individuals
with low health literacy and do not exacerbate existing health disparities. These
findings add to a small but growing body of evidence testing narrative health
education materials in individuals with low health literacy, and provide new
evidence supporting narrative, entertainment-education style video as a health
communication tool to help reduce health literacy-related health disparities.
PMID- 27872658
TI - Exploiting the dynamic properties of covalent modification cycle for the design
of synthetic analog biomolecular circuitry.
AB - BACKGROUND: Cycles of covalent modification are ubiquitous motifs in cellular
signalling. Although such signalling cycles are implemented via a highly concise
set of chemical reactions, they have been shown to be capable of producing
multiple distinct input-output mapping behaviours - ultrasensitive, hyperbolic,
signal-transducing and threshold-hyperbolic. RESULTS: In this paper, we show how
the set of chemical reactions underlying covalent modification cycles can be
exploited for the design of synthetic analog biomolecular circuitry. We show that
biomolecular circuits based on the dynamics of covalent modification cycles allow
(a) the computation of nonlinear operators using far fewer chemical reactions
than purely abstract designs based on chemical reaction network theory, and (b)
the design of nonlinear feedback controllers with strong performance and
robustness properties. CONCLUSIONS: Our designs provide a more efficient route
for translation of complex circuits and systems from chemical reactions to DNA
strand displacement-based chemistry, thus facilitating their experimental
implementation in future Synthetic Biology applications.
PMID- 27872660
TI - Improvement of catalytic performance of lignin peroxidase for the enhanced
degradation of lignocellulose biomass based on the imbedded electron-relay in
long-range electron transfer route.
AB - BACKGROUND: Although lignin peroxidase is claimed as a key enzyme in enzyme
catalyzed lignin degradation, in vitro enzymatic degradation of lignin was not
easily observed in lab-scale experiments. It implies that other factors may
hinder the enzymatic degradation of lignin. Irreversible interaction between
phenolic compound and lignin peroxidase was hypothesized when active enzyme could
not be recovered after the reaction with degradation product (guaiacol) of lignin
phenolic dimer. RESULTS: In the study of lignin peroxidase isozyme H8 from white
rot fungi Phanerochaete chrysosporium (LiPH8), W251 site was revealed to make the
covalent coupling with one moiety of monolignolic radical (guaiacol radical) by
LC-MS/MS analysis. Hypothetical electron-relay containing W251 residue was newly
suggested based on the observation of repressed radical coupling and remarkably
lower electron transfer rate for W215A mutant. Furthermore, the retardation of
the suicidal radical coupling between the W251 residue and the monolignolic
radical was attempted by supplementing the acidic microenvironment around the
W251 residue to engineer radical-robust LiPH8. Among many mutants, mutant A242D
showed exceptional catalytic performances by yielding 21.1- and 4.9-fold higher
increases of kcat and kcat/KM values, respectively, in the oxidation of non
phenolic model lignin dimer. CONCLUSIONS: A mechanism-based suicide inhibition of
LiPH8 by phenolic compounds was firstly revealed and investigated in this work.
Radical-robust LiPH8 was also successfully engineered by manipulating the
transient radical state of radical-susceptible electron-relay. Radical-robust
LiPH8 will play an essential role in degradation of lignin, which will be
consequently linked with improved production of sugars from lignocellulose
biomass.
PMID- 27872661
TI - Production of l(+)-lactic acid from acid pretreated sugarcane bagasse using
Bacillus coagulans DSM2314 in a simultaneous saccharification and fermentation
strategy.
AB - BACKGROUND: Sugars derived from lignocellulose-rich sugarcane bagasse can be used
as feedstock for production of l(+)-lactic acid, a precursor for renewable
bioplastics. In our research, acid-pretreated bagasse was hydrolysed with the
enzyme cocktail GC220 and fermented by the moderate thermophilic bacterium
Bacillus coagulans DSM2314. Saccharification and fermentation were performed
simultaneously (SSF), adding acid-pretreated bagasse either in one batch or in
two stages. SSF was performed at low enzyme dosages of 10.5-15.8 FPU/g DW
bagasse. RESULTS: The first batch SSF resulted in an average productivity of 0.78
g/l/h, which is not sufficient to compete with lactic acid production processes
using high-grade sugars. Addition of 1 g/l furfural to precultures can increase
B. coagulans resistance towards by-products present in pretreated lignocellulose.
Using furfural-containing precultures, productivity increased to 0.92 g/l/h, with
a total lactic acid production of 91.7 g in a 1-l reactor containing 20% W/W DW
bagasse. To increase sugar concentrations, bagasse was solubilized with a liquid
fraction, obtained directly after acid pretreatment. Solubilizing the bagasse
fibres with water increased the average productivity to 1.14 g/l/h, with a total
lactic acid production of 84.2 g in a 1-l reactor. Addition of bagasse in two
stages reduced viscosity during SSF, resulting in an average productivity in the
first 23 h of 2.54 g/l/h, similar to productivities obtained in fermentations
using high-grade sugars. Due to fast accumulation of lactic acid, enzyme activity
was repressed during two-stage SSF, resulting in a decrease in productivity and a
slightly lower total lactic acid production of 75.6 g. CONCLUSIONS: In this
study, it is shown that an adequate production of lactic acid from lignocellulose
was successfully accomplished by a two-stage SSF process, which combines acid
pretreated bagasse, B. coagulans precultivated in the presence of furfural as
microorganism, and GC220 as enzyme cocktail. The process may be further improved
by enhancing enzyme hydrolysis activities at high lactic acid concentrations.
PMID- 27872659
TI - Whole-genome de novo sequencing, combined with RNA-Seq analysis, reveals unique
genome and physiological features of the amylolytic yeast Saccharomycopsis
fibuligera and its interspecies hybrid.
AB - BACKGROUND: Genomic studies on fungal species with hydrolytic activity have
gained increased attention due to their great biotechnological potential for
biomass-based biofuel production. The amylolytic yeast Saccharomycopsis
fibuligera has served as a good source of enzymes and genes involved in
saccharification. Despite its long history of use in food fermentation and
bioethanol production, very little is known about the basic physiology and
genomic features of S. fibuligera. RESULTS: We performed whole-genome (WG) de
novo sequencing and complete assembly of S. fibuligera KJJ81 and KPH12, two
isolates from wheat-based Nuruk in Korea. Intriguingly, the KJJ81 genome (~38 Mb)
was revealed as a hybrid between the KPH12 genome (~18 Mb) and another
unidentified genome sharing 88.1% nucleotide identity with the KPH12 genome. The
seven chromosome pairs of KJJ81 subgenomes exhibit highly conserved synteny,
indicating a very recent hybridization event. The phylogeny inferred from WG
comparisons showed an early divergence of S. fibuligera before the separation of
the CTG and Saccharomycetaceae clades in the subphylum Saccharomycotina.
Reconstructed carbon and sulfur metabolic pathways, coupled with RNA-Seq
analysis, suggested a marginal Crabtree effect under high glucose and activation
of sulfur metabolism toward methionine biosynthesis under sulfur limitation in
this yeast. Notably, the lack of sulfate assimilation genes in the S. fibuligera
genome reflects a unique phenotype for Saccharomycopsis clades as natural sulfur
auxotrophs. Extended gene families, including novel genes involved in
saccharification and proteolysis, were identified. Moreover, comparative genome
analysis of S. fibuligera ATCC 36309, an isolate from chalky rye bread in
Germany, revealed that an interchromosomal translocation occurred in the KPH12
genome before the generation of the KJJ81 hybrid genome. CONCLUSIONS: The
completely sequenced S. fibuligera genome with high-quality annotation and RNA
Seq analysis establishes an important foundation for functional inference of S.
fibuligera in the degradation of fermentation mash. The gene inventory
facilitates the discovery of new genes applicable to the production of novel
valuable enzymes and chemicals. Moreover, as the first gapless genome assembly in
the genus Saccharomycopsis including members with desirable traits for
bioconversion, the unique genomic features of S. fibuligera and its hybrid will
provide in-depth insights into fungal genome dynamics as evolutionary adaptation.
PMID- 27872663
TI - From the Collective Unconscious to the Narrative Unconscious: Re-Imagining the
Sources of Selfhood.
PMID- 27872662
TI - Similar compounds versus similar conformers: complementarity between PubChem 2-D
and 3-D neighboring sets.
AB - BACKGROUND: PubChem is a public repository for biological activities of small
molecules. For the efficient use of its vast amount of chemical information,
PubChem performs 2-dimensional (2-D) and 3-dimensional (3-D) neighborings, which
precompute "neighbor" relationships between molecules in the PubChem Compound
database, using the PubChem subgraph fingerprints-based 2-D similarity and the
Gaussian-shape overlay-based 3-D similarity, respectively. These neighborings
allow PubChem to provide the user with immediate access to the list of 2-D and 3
D neighbors (also called "Similar Compounds" and "Similar Conformers",
respectively) for each compound in PubChem. However, because 3-D neighboring is
much more time-consuming than 2-D neighboring, how different the results of the
two neighboring schemes are is an important question, considering limited
computational resources. RESULTS: The present study analyzed the complementarity
between the PubChem 2-D and 3-D neighbors. When all compounds in PubChem were
considered, the overlap between 2-D and 3-D neighbors was only 2% of the total
neighbors. For the data sets containing compounds with annotated information, the
overlap increased as the data sets became smaller. However, it did not exceed 31%
and substantial fractions of neighbors were still recognized by either PubChem 2
D or 3-D similarity, but not by both. The Neighbor Preference Index (NPI) of a
molecule for a given data set was introduced, which quantified whether a molecule
had more 2-D or 3-D neighbors in the data set. The NPI histogram for all PubChem
compounds had a bimodal shape with two maxima at NPI = +/-1 and a minimum at NPI
= 0. However, the NPI histograms for the subsets containing compounds with
annotated information had a greater fraction of compounds with a strong
preference for one neighboring method to the other (at NPI = +/-1) as well as
compounds with a neutral preference (at NPI = 0). CONCLUSION: The results of our
study indicate that, for the majority of the compounds in PubChem, their
structural similarity to other compounds can be recognized predominantly by
either 2-D or 3-D neighborings, but not by both, showing a strong complementarity
between 2-D and 3-D neighboring results. Therefore, despite its heavy
requirements for computational resources, 3-D neighboring provides an alternative
way in which the user can instantly access structurally similar molecules that
cannot be detected if only 2-D neighboring is used.Graphical AbstractThe binned
distribution of the neighbor preference indices (NPIs) for all compounds in
PubChem (left) has a bimodal shape with two maxima at NPI = +/-1 and a minimum at
NPI = 0, indicating that structural similarity between compounds in PubChem can
be recognized predominantly by either 2-D or 3-D neighborings, but not by both.
The NPI histogram for the drug space (right) has a greater fraction of compounds
with a strong preference for one neighboring method to the other (at NPI ~ +/-1)
as well as compounds with a neutral preference (at NPI ~ 0), indicating that the
drug space is very different from the PubChem space.
PMID- 27872664
TI - General and Domain-Specific Contributions to Creative Ideation and Creative
Performance.
AB - The general objective of this study was to reexamine two views of creativity, one
positing that there is a general creative capacity or talent and the other that
creativity is domain-specific. These two views were compared by (a) testing
correlations among measures of domain-general and domain-specific creativity and
(b) examining how the general and the specific measures was each related to
indices of knowledge, motivation, and personality. Participants were 147 college
students enrolled in a foreign language course. Data were collected on
participants' domain knowledge, motivation, and creative personality, as well as
four measures representing "General or Domain-Specific Creative Ideation" or
"Creative Performance and Activity". Results indicated that the four measures of
creativity were correlated with one another, except for "General Performance and
Activity" and "Domain-Specific Ideation." A canonical correlation indicated that
knowledge, motivation, and personality were significantly correlated with the
four creativity measures (Rc = .49, p < .01). Multiple regressions uncovered
particular relationships consistent with the view that creativity has both
general and domain-specific contributions. Limitations, such as the focus on one
domain, and future directions are discussed.
PMID- 27872665
TI - If Stigmatized, Self-Esteem Is not Enough: Effects of Sexism, Self-Esteem and
Social Identity on Leadership Aspiration.
AB - Ambivalent sexism has many pernicious consequences. Since gender stereotypes also
affect leadership roles, the present research investigated the effects of
ambivalent sexism on envisioning oneself as a leader. Our studies tested the
influence of sexist attitudes (toward women - Study 1 - and men - Study 2) on
leadership aspiration, taking into account the interaction among ambivalent
attitudes, personal characteristics (e.g. self-esteem), and group processes (e.g.
level of identification with gender). Specifically, the current study used a 3
(sexism: hostile, benevolent, control) x 2 (social identification: high, low) x 2
(self-esteem: high, low) factorial design. 178 women participated in Study 1.
Results showed that, although sexism was not recognised as a form of prejudice
and did not trigger negative emotions, in sexist conditions high-identified women
increase their leadership aspiration. In Study 2 men (N = 184) showed to
recognise hostility as a form of prejudice, to experience more negative emotions,
but to be not influenced in leadership aspiration. For both men and women self
esteem had a significant main effect on leadership aspiration.
PMID- 27872666
TI - Fifty Shades of Unsaid: Women's Explicit and Implicit Attitudes Towards Sexual
Morality.
AB - The movie Fifty Shades of Grey has created a great deal of controversy which has
reignited the debate on unusual and alternative sexual practices such as bondage.
Erotophobic individuals have negative affect towards the type of sexual
libertinism conveyed by the movie, while erotophilic persons have a positive
attitude and emotional feelings towards this kind of sexual emancipation. Using
the Implicit Association Test, this study aimed to explore the extent to which
there is a difference in women's attitudes towards sexual morality on an explicit
and implicit level. Our findings found that erotophobic and erotophilic women
differed only on an explicit level of sex guilt and moral evaluation, while no
difference in the implicit measure was found.
PMID- 27872667
TI - The Relationship Between Accuracy of Numerical Magnitude Comparisons and
Children's Arithmetic Ability: A Study in Iranian Primary School Children.
AB - The relationship between children's accuracy during numerical magnitude
comparisons and arithmetic ability has been investigated by many researchers.
Contradictory results have been reported from these studies due to the use of
many different tasks and indices to determine the accuracy of numerical magnitude
comparisons. In the light of this inconsistency among measurement techniques, the
present study aimed to investigate this relationship among Iranian second grade
children (n = 113) using a pre-established test (known as the Numeracy Screener)
to measure numerical magnitude comparison accuracy. The results revealed that
both the symbolic and non-symbolic items of the Numeracy Screener significantly
correlated with arithmetic ability. However, after controlling for the effect of
working memory, processing speed, and long-term memory, only performance on
symbolic items accounted for the unique variances in children's arithmetic
ability. Furthermore, while working memory uniquely contributed to arithmetic
ability in one-and two-digit arithmetic problem solving, processing speed
uniquely explained only the variance in single-digit arithmetic skills and long
term memory did not contribute to any significant additional variance for one
digit or two-digit arithmetic problem solving.
PMID- 27872668
TI - With a Little Help From My Family: A Mixed-Method Study on the Outcomes of Family
Support and Workload.
AB - Our aim was to investigate some predictors and outcomes of family-to-work
enrichment (FWE) via a mixed-method approach. We sampled 447 married employees of
an Italian factory. Survey results from Study 1 showed that emotional support
from family positively predicted FWE, while this latter mediated the associations
between the former on one side, and work engagement and life satisfaction on the
other. Moreover, extra-household support directly associated positively with life
satisfaction. Evidence from 20 anthropological in-depth interviews (Study 2)
returned a more complex picture, highlighting the gendered role of partners
inside couples, the importance of kinship support, the sense and the value of
filiation and parenthood in their connection with job roles, the complex and
continuous interplay between family and life domains. In combination, results
from both studies stressed the importance of family support; additionally,
evidences from Study 2 suggested that FWE could be better understood taking into
account crossover dynamics and the compresence of work-to-family enrichment and
conflict. In sum, these studies contributed to shed light on FWE dynamics, an
under-researched topic in Italy, whose knowledge could be of great empirical and
practical value.
PMID- 27872669
TI - Mothers and Fathers in NICU: The Impact of Preterm Birth on Parental Distress.
AB - Preterm birth is a stressful event for families. In particular, the unexpectedly
early delivery may cause negative feelings in mothers and fathers. The aim of
this study was to examine the relationship between preterm birth, parental stress
and negative feelings, and the environmental setting of NICU. 21 mothers (age =
36.00 +/- 6.85) and 19 fathers (age = 34.92 +/- 4.58) of preterm infants (GA =
30.96 +/- 2.97) and 20 mothers (age = 40.08 +/- 4.76) and 20 fathers (age = 40.32
+/- 6.77) of full-term infants (GA = 39.19 +/- 1.42) were involved. All parents
filled out the Parental Stressor Scale: Neonatal Intensive Care Unit, the Impact
of Event Scale Revised, Profile of Mood States, the Multidimensional Scale of
Perceived Social Support and the Post-Partum Bonding Questionnaire. Our data
showed differences in emotional reactions between preterm and full-term parents.
Results also revealed significant differences between mothers and fathers'
responses to preterm birth in terms of stress, negative feelings, and perceptions
of social support. A correlation between negative conditions at birth (e.g.,
birth weight and Neonatal Intensive Care Unit stay) and higher scores in some
scales of Impact of Event Scale Revised, Profile of Mood States and Post-Partum
Bonding Questionnaire were found. Neonatal Intensive Care Unit may be a stressful
place both for mothers and fathers. It might be useful to plan, as soon as
possible, interventions to help parents through the experience of the premature
birth of their child and to begin an immediately adaptive mode of care.
PMID- 27872671
TI - Effects of Religious Priming Concepts on Prosocial Behavior Towards Ingroup and
Outgroup.
AB - Several studies show that there is a connection between religion and prosociality
(e.g., Saroglou, 2013). To investigate whether there is a causal relationship
between these two variables, a growing number of scholars employed priming
religious concepts and measure its influence on prosocial behavior (e.g., Pichon,
Boccato, & Saroglou, 2007). In the recent development of religious priming,
Ritter and Preston (2013) argued that different primes (agent prime,
spiritual/abstract prime, and institutional prime) may also have varying
influence on prosocial behavior specifically helping an ingroup or an outgroup
target. With this in mind, a 2 (social categorization of the target of help) by 3
(agent prime, institutional prime, spiritual prime) experiment was conducted to
directly investigate this hypothesis. Results suggest that priming religious
concepts especially the spiritual prime can increase prosocial behaviors.
However, no significant effect was found on the social categorization which
implies that Filipino participants elicit prosocial behavior regardless of the
social categorization (be it ingroup or outgroup) of the target of help. The
present study's findings contribute to further the literature on religious
priming and its influence on prosocial behavior.
PMID- 27872670
TI - Meaning-Making Process Related to Temporality During Breast Cancer Traumatic
Experience: The Clinical Use of Narrative to Promote a New Continuity of Life.
AB - Previous research has agreed that meaning-making is a key element in the
promotion of patients' well-being during and after a traumatic event such as
cancer. In this paper, we focus on an underestimated key element related to the
crisis/rupture of this meaning-making process with respect to the time
perspective. We consider 40 narratives of breast cancer patients at different
times of treatment, undergoing chemotherapy and biological therapy. We collected
data through writing technique. We performed an interpretative thematic analysis
of the data and highlighted specific ways to signify time during the different
treatment phases. Our central aspect "the time of illness, the illness of time"
demonstrates that the time consumed by illness has the risk of becoming an
illness of time, which transcends the end of the illness and absorbs a patient's
past, present, and future, thus saturating all space for thought and meaning. The
study suggests that narrative can become a therapeutic and preventive tool for
women with breast cancer in a crisis of temporality, and enable the promotion of
new semiotic connections and a specific functional resynchronization with the
continuity/discontinuity of life. This is useful during the illness and medical
treatment and also after the treatment.
PMID- 27872672
TI - Measuring the Effects of Self-Awareness: Construction of the Self-Awareness
Outcomes Questionnaire.
AB - Dispositional self-awareness is conceptualized in several different ways,
including insight, reflection, rumination and mindfulness, with the latter in
particular attracting extensive attention in recent research. While self
awareness is generally associated with positive psychological well-being, these
different conceptualizations are also each associated with a range of unique
outcomes. This two part, mixed methods study aimed to advance understanding of
dispositional self-awareness by developing a questionnaire to measure its
outcomes. In Study 1, expert focus groups categorized and extended an initial
pool of potential items from previous research. In Study 2, these items were
reduced to a 38 item self-report questionnaire with four factors representing
three beneficial outcomes (reflective self-development, acceptance and
proactivity) and one negative outcome (costs). Regression of these outcomes
against self-awareness measures revealed that self-reflection and insight
predicted beneficial outcomes, rumination predicted reduced benefits and
increased costs, and mindfulness predicted both increased proactivity and costs.
These studies help to refine the self-awareness concept by identifying the unique
outcomes associated with the concepts of self-reflection, insight, reflection,
rumination and mindfulness. It can be used in future studies to evaluate and
develop awareness-raising techniques to maximize self-awareness benefits while
minimizing related costs.
PMID- 27872674
TI - Cognition About the Creative Process - Interview With Dr Andrew P. Allen.
AB - What is the relationship between the creative process and cognition and
perception? Lynda Loughnane, a master's student in Art and Process in Crawford
College of Art and Design, Cork, Ireland interviewed Dr Andrew P. Allen about the
subject. Areas covered include mindfulness, Type 1 and Type 2 thinking, stage
theories of creativity, engagement with the art process and the artwork,
phenomenology and consciousness with and without self report. The interview was
constructed to cover a wide range of subject matter, so as to gather as much
information as possible in layman's language about the cognitive process in
relation to creativity and interaction with art.
PMID- 27872673
TI - The Relationship Between Neurocognitive Functioning and Occupational Functioning
in Bipolar Disorder: A Literature Review.
AB - Neurocognitive impairment in Bipolar Disorder (BD) has been widely reported, even
during remission. Neurocognitive impairment has been identified as a contributing
factor towards unfavourable psychosocial functioning within this population. The
objective of this review was to investigate the association between
neurocognitive impairment and occupational functioning in BD. A literature review
of English-language journal articles from January 1990 to November 2013 was
undertaken utilising the PsychINFO, Scopus and Web of Knowledge databases.
Studies that made specific reference to occupational outcomes were included, and
those that reported on global psychosocial measures were excluded. Majority of
the papers reviewed (20 out of 23) identified an association between
neurocognitive impairment (particularly in executive functioning, verbal learning
and memory, processing speed and attention) and occupational functioning. Several
methodological issues were identified. There was a discrepancy in the measures
used to assess neurocognitive function across studies and also the definition and
measurement of occupational functioning. The clinical features of the samples
varied across studies, and confounding variables were intermittently controlled.
The review focused on English-language papers only and hence there is a bias
toward the Western labour market. These limitations therefore influence the
generalizability of the interpreted findings and the reliability of comparisons
across studies. Neurocognitive impairment in BD appears to play a role in
occupational outcomes. The findings of this review highlight the challenges for
future research in this area, particularly in the measurement of neurocognitive
and occupational functioning. Incorporating neurocognitive interventions in the
treatment of BD, which has traditionally focussed solely on symptomatic recovery,
may advance the vocational rehabilitation of these patients.
PMID- 27872675
TI - Erratum: "Humidity assay for studying plant-pathogen interactions in miniature
controlled discrete humidity environments with good throughput" [Biomicrofluidics
10, 034108 (2016)].
AB - [This corrects the article DOI: 10.1063/1.4950998.].
PMID- 27872676
TI - Progressive and self-limiting neurodegenerative disorders in Africa: a new
prominent field of research led by South Africa but without strong health policy.
AB - INTRODUCTION: Neurodegenerative disorders are involved in mortality and morbidity
of every country. A high prevalence is estimated in Africa. Neurodegenerative
disorders are defined by a progressive or self-limiting alteration of neurons
implied in specific functional and anatomical functions. It encompasses a various
range of clinical disorders from self-limiting to progressive. Focus on public
health policies and scientific research is needed to understand the mechanisms to
reduce this high prevalence. We use bibliometrics and mapping tools to explore
the area studies and countries involved in scientific research on
neurodegenerative disorders in Africa. METHODS: We used two databases: Web of
Science and Pubmed. We analyzed the journals, most cited articles, authors,
publication years, organizations, funding agencies, countries and keywords in Web
of Science Core collection database and publication years and Medical Subject
Headings in Pubmed database. We mapped the data using VOSviewer. RESULTS: We
accessed 44 articles published between 1975 and 2014 in Web of Science Core
collection Database and 669 from Pubmed database. The majority of which were
after 2006. The main countries involved in research on neurodegenerative
disorders in Africa the USA, the United Kingdom, France and South Africa
representing the main network collaboration. Clinical neurology and Genetics
hereditary are the main Web of Science categories whereas Neurosciences and
Biochemistry and Molecular Biology are the main Web of Science categories for the
general search "neurodegenerative disorders" not restrained to Africa. This is
confirmed by Medical Subject Headings analysis from Pubmed with one more area
study: Treatment. CONCLUSION: Neurodegenerative disorders research is leaded by
South Africa with a network involving the USA, the UK, as well as African
countries such Zambia. The chief field that emerged was on patient and hereditary
as well as treatment. Public health policies were lacking fields in research
whereas prevalence is estimated to be important in every country. New 17
sustainable development goals of the United Nations could help in this way.
PMID- 27872677
TI - [Erratum: predictors of success among first-year medical students at the
university of Parakou].
AB - [This corrects the article DOI: 10.11604/pamj.2016.23.87.8527.].
PMID- 27872678
TI - Erratum: antithyroid drug induced agranulocytosis: what still we need to learn?
AB - [This corrects the article DOI: 10.11604/pamj.2016.23.27.8365.].
PMID- 27872679
TI - The Oxidative and Inflammatory State in Patients with Acute Renal Graft
Dysfunction Treated with Tacrolimus.
AB - Objective. To determine the oxidative stress/inflammation behavior in patients
with/without acute graft dysfunction (AGD) with Tacrolimus. Methods. Cross
sectional study, in renal transplant (RT) recipients (1-yr follow-up). Patients
with AGD and without AGD were included. Serum IL-6, TNF-alpha, 8-isoprostanes (8
IP), and Nitric Oxide (NO) were determined by ELISA; C-reactive protein (CRP) was
determined by nephelometry; lipid peroxidation products (LPO) and superoxide
dismutase (SOD) were determined by colorimetry. Results. The AGD presentation was
at 5.09 +/- 3.07 versus 8.27 +/- 3.78 months (p < 0.001); CRP >3.19 mg/L was
found in 21 versus 19 in the N-AGD group (p = 0.83); TNF-alpha 145.53 +/- 18.87
pg/mL versus 125.54 +/- 15.92 pg/mL in N-AGD (p = 0.64); IL-6 2110.69 +/- 350.97
pg/mL versus 1933.42 +/- 235.38 pg/mL in N-AGD (p = 0.13). The LPO were higher in
AGD (p = 0.014): 4.10 +/- 0.69 uM versus 2.41 +/- 0.29 uM; also levels of 8-IP
were higher in AGD 27.47 +/- 9.28 pg/mL versus 8.64 +/- 1.54 pg/mL (p = 0.01).
Serum levels of NO in AGD were lower 138.44 +/- 19.20 umol/L versus 190.57 +/-
22.04 umol/L in N-AGD (p = 0.042); antioxidant enzyme SOD activity was
significantly diminished in AGD with 9.75 +/- 0.52 U/mL versus 11.69 +/- 0.55
U/mL in N-AGD (p = 0.012). Discussion. Patients with RT present with a similar
state of the proinflammatory cytokines whether or not they have AGD. The patients
with AGD showed deregulation of the oxidative state with increased LPO and 8-IP
and decreased NO and SOD.
PMID- 27872680
TI - Downregulation of Glutathione Biosynthesis Contributes to Oxidative Stress and
Liver Dysfunction in Acute Kidney Injury.
AB - Ischemia-reperfusion is a common cause for acute kidney injury and can lead to
distant organ dysfunction. Glutathione is a major endogenous antioxidant and its
depletion directly correlates to ischemia-reperfusion injury. The liver has high
capacity for producing glutathione and is a key organ in modulating local and
systemic redox balance. In the present study, we investigated the mechanism by
which kidney ischemia-reperfusion led to glutathione depletion and oxidative
stress. The left kidney of Sprague-Dawley rats was subjected to 45 min ischemia
followed by 6 h reperfusion. Ischemia-reperfusion impaired kidney and liver
function. This was accompanied by a decrease in glutathione levels in the liver
and plasma and increased hepatic lipid peroxidation and plasma homocysteine
levels. Ischemia-reperfusion caused a significant decrease in mRNA and protein
levels of hepatic glutamate-cysteine ligase mediated through the inhibition of
transcription factor Nrf2. Ischemia-reperfusion inhibited hepatic expression of
cystathionine gamma-lyase, an enzyme responsible for producing cysteine (an
essential precursor for glutathione synthesis) through the transsulfuration
pathway. These results suggest that inhibition of glutamate-cysteine ligase
expression and downregulation of the transsulfuration pathway lead to reduced
hepatic glutathione biosynthesis and elevation of plasma homocysteine levels,
which, in turn, may contribute to oxidative stress and distant organ injury
during renal ischemia-reperfusion.
PMID- 27872682
TI - Prophylactic liver transplantation for high-risk recurrent hepatocellular
carcinoma.
AB - Hepatocellular carcinoma (HCC) is the second most common cause of cancer-related
death in the world. Radical treatment of HCC in early stages results in a long
disease-free period and improved overall survival. The choice of optimal
management strategy for HCC mainly depends on the severity of the underlying
liver disease. For patients with decompensated liver cirrhosis and HCC within
Milan criteria (MC), liver transplant (LT) is the choice of treatment. However,
for patients with good residual liver reserve and HCC within MC, selection of
other curative treatments such as liver resection (LR) or radiofrequency ablation
may be a reasonable alternative. For patients without cirrhosis, LR can result in
an overall survival similar to that provided by LT. Therefore, it is an accepted
alternative to LT especially in areas with organ shortage. However, the
cumulative 5-year recurrence rate of HCC post LR might be as high as 70%. For
initial transplant-eligible (within MC) patients with recurrent HCC post LR,
salvage liver transplant (SLT) was first proposed in 2000. However, most patients
with recurrent HCC considered for SLT are untransplantable cases due to HCC
recurrence beyond MC or comorbidity. Thus, the strategy of opting for SLT results
in the loss of the opportunity of LT for these patients. Some authors proposed
the concept of "de principe liver transplant" (i.e., prophylactic LT before HCC
recurrence) to prevent losing the chance of LT for these potential candidates.
Factors associated with the failure of SLT will be dissected and discussed in
three parts: Patient, tumor, and underlying liver disease. Regarding patient
related factors, the rate of transplantability depends on patient compliance.
Patients without regular follow-up tend to develop HCC recurrence beyond MC at
the time of tumor detection. Advancing age is another factor related to severe
comorbidities when LT is considered for HCC recurrence, and these elderly
candidates become ineligible as time goes by. Regarding tumor-related factors,
histopathological features of the resected specimen are used mostly for
determining the prognosis of early HCC recurrences. Such prognostic factors
include the presence of microvascular invasion, poor tumor differentiation, the
presence of microsatellites, the presence of multiple tumors, and the presence of
the gene-expressing signature associated with aggressive HCC. These prognostic
factors might be used as a selection tool for SLT or prophylactic LT, while
remaining mindful of the fact that most of them are also prognostic factors for
post-transplant HCC recurrence. Regarding underlying liver disease-related
factors, progression of chronic viral hepatitis and high viral load may
contribute to the development of late (de novo) HCC recurrence as a consequence
of sustained inflammatory reaction. However, correlation between the severity of
liver fibrosis and tumor recurrence is still controversial. Some prognostic
scoring systems that integrate these three factors have been proposed to predict
recurrence patterns after LR for HCC. Theoretically, after excluding patients
with high risk of post-transplant HCC recurrence, either by observation of a
cancer-free period or by measurement of biological factors (such as alpha
fetoprotein), prophylactic LT following curative resection of HCC could be
considered for selected patients with high risk of recurrence to provide longer
survival.
PMID- 27872683
TI - Safe and effective sofosbuvir-based therapy in patients with mental health
disease on hepatitis C virus treatment.
AB - AIM: To study impact of baseline mental health disease on hepatitis C virus (HCV)
treatment; and Beck's Depression Inventory (BDI) changes with sofosbuvir- and
interferon-based therapy. METHODS: This is a retrospective cohort study of
participants from 5 studies enrolled from single center trials conducted at the
Clinical Research Center of the National Institutes of Health, Bethesda, MD,
United States. All participants were adults with chronic HCV genotype 1 infection
and naive to HCV therapy. Two of the studies included HCV mono-infected
participants only (SPARE, SYNERGY-A), and 3 included human immunodeficiency virus
(HIV)/HCV co-infected participants only (ERADICATE, PFINPK, and ALBIN). Patients
were treated for HCV with 3 different regimens: Sofosbuvir and ribavirin in the
SPARE trial, ledipasvir and sofosbuvir in SYNERGY-A and ERADICATE trials, and
pegylated interferon (IFN) and ribavirin for 48 wk in the PIFNPK and ALBIN
trials. Participants with baseline mental health disease (MHD) were identified
(defined as either a DSM IV diagnosis of major depression, bipolar disorder,
schizophrenia, generalized anxiety, and post-traumatic stress disorder or
requiring anti-depressants, antipsychotics, mood stabilizers or psychotropics
prescribed by a psychiatrist). For our first aim, we compared sustained virologic
response (SVR) and adherence (pill counts, study visits, and in 25 patients,
blood levels of the sofosbuvir metabolite, GS-331007) within each study. For our
second aim, only patients with HIV coinfection were evaluated. BDI scores were
obtained pre-treatment, during treatment, and post-treatment among participants
treated with sofosbuvir-based therapy, and compared to scores from participants
treated with interferon-based therapy. Statistical differences for both aims were
analyzed by Fisher's Exact, and t-test with significance defined as a P value
less than 0.05. RESULTS: Baseline characteristics did not differ significantly
between all participants with and without MHD groups treated with sofosbuvir
based therapy. Among patients treated with sofosbuvir-based therapy, the
percentage of patients with MHD who achieved SVR was the same as those without
(SPARE: 60.9% of those MHD compared to 67.6% in those without, P = 0.78; SYNERGY
A: 100% of both groups; ERADICATE: 100% compared to 97.1%). There was no
statistically significant difference in pill counts, adherence to study visits
between groups, nor mean serum concentrations of GS-331007 for each group at week
2 of treatment (P = 0.72). Among patients with HIV co-infection, pre-treatment
BDI scores were similar among patients treated with sofosbuvir, and those treated
with interferon (sofosbuvir-based 5.24, IFN-based 6.96; P = 0.14); however, a
dichotomous effect on was observed during treatment. Among participants treated
with directly acting antiviral (DAA)-based therapy, mean BDI scores decreased
from 5.24 (pre-treatment) to 3.28 during treatment (1.96 decrease, P = 0.0034)
and 2.82 post-treatment. The decrease in mean score from pre- to post-treatment
was statistically significant (-2.42, P = 0.0012). Among participants treated
with IFN-based therapy, mean BDI score increased from 6.96 at pre-treatment to
9.19 during treatment (an increase of 2.46 points, P = 0.1), and then decreased
back to baseline post-treatment (mean BDI score 6.3, P = 0.54). Overall change in
mean BDI scores from pre-treatment to during treatment among participants treated
with DAA-based and IFN-therapy was statistically significant (-1.96 and +2.23,
respectively; P = 0.0032). This change remained statistically significant when
analysis was restricted to participants who achieved SVR (-2.0 and +4.36,
respectively; P = 0.0004). CONCLUSION: Sofosbuvir-based therapy is safe and well
tolerated in patients with MHD. A decline in BDI associated with sofosbuvir-based
HCV treatment suggests additional MHD benefits, although the duration of these
effects is unknown.
PMID- 27872684
TI - Liver resection for early hepatocellular cancer: Comparison of centers in 3
different countries.
AB - AIM: To compare patients who underwent resection of early stage hepatocellular
cancer (HCC) in three different countries. METHODS: This retrospective study
characterizes 573 stage I/II HCC patients treated with liver resection in 3
tertiary-referral centers: Tokyo (n = 250), Honolulu (n = 146) and Shanghai (n =
177). RESULTS: Shanghai patients were younger, predominantly male, hepatitis-B
seropositive (94%) and cirrhotic (93%). Tokyo patients were older and more likely
to have hepatitis-C (67%), smaller tumors, low albumin, and normal alpha
fetoprotein. The Honolulu cohort had the largest tumors and 30% had no viral
hepatitis. Age-adjusted mortality at 1 and 5-years were lower in the Tokyo cohort
compared to Honolulu and there was no difference in mortality between Shanghai
and Honolulu cohorts. Elevated alpha-fetoprotein, low albumin and tumor > 5 cm
were associated with increased 1-year mortality. These factors and cirrhosis were
independently associated with increased 5-year mortality. Independent risk
factors of survival varied when examined separately by center. CONCLUSION: The
profile of early-stage HCC patients is strikingly different across countries and
likely contributes to survival differences. Underlying differences in patient
populations including risk factors/comorbidities influencing disease progression
may also account for variation in outcomes.
PMID- 27872681
TI - Alcohol use disorder and its impact on chronic hepatitis C virus and human
immunodeficiency virus infections.
AB - Alcohol use disorder (AUD) and hepatitis C virus (HCV) infection frequently co
occur. AUD is associated with greater exposure to HCV infection, increased HCV
infection persistence, and more extensive liver damage due to interactions
between AUD and HCV on immune responses, cytotoxicity, and oxidative stress.
Although AUD and HCV infection are associated with increased morbidity and
mortality, HCV antiviral therapy is less commonly prescribed in individuals with
both conditions. AUD is also common in human immunodeficiency virus (HIV)
infection, which negatively impacts proper HIV care and adherence to
antiretroviral therapy, and liver disease. In addition, AUD and HCV infection are
also frequent within a proportion of patients with HIV infection, which
negatively impacts liver disease. This review summarizes the current knowledge
regarding pathological interactions of AUD with hepatitis C infection, HIV
infection, and HCV/HIV co-infection, as well as relating to AUD treatment
interventions in these individuals.
PMID- 27872685
TI - Mortality and rebleeding following variceal haemorrhage in liver cirrhosis and
periportal fibrosis.
AB - AIM: To investigate mortality and rebleeding rate and identify associated risk
factors at 6 wk and 5 d following acute variceal haemorrhage in patients with
liver cirrhosis and schistosomal periportal fibrosis. METHODS: This is a
prospective study conducted during the period from March to December 2014.
Patients with portal hypertension presenting with acute variceal haemorrhage
secondary to either liver cirrhosis (group A) or schistosomal periportal fibroses
(group B) presenting within 24 h of the onset of the bleeding were enrolled in
the study and followed for a period of 6 wk. Analysis of data was done by
Microsoft Excel and comparison between groups was done by Statistical Package of
Social Sciences version 20 to calculate means and find the levels of statistical
differences and define the mortality rates, the P value of < 0.05 was considered
to be significant. RESULTS: A total of 94 patients were enrolled in the study.
Thirty-two patients (34%) had liver cirrhosis (group A) and 62 (66%) patients had
periportal fibrosis (group B). Mortality: The 6-wk and 5-d mortality were 53% and
16% respectively in group A compared to 10% and 0% in group B (P value < 0.000
and < 0.004). In group A; a Child-Turcotte-Pugh class C and rebleeding within 5 d
were significantly associated with 5-d mortality (P value < 0.029 and < 0.049
respectively) and Child- Turcotte-Pugh class C was also a significant risk factor
for 6-wk mortality (P value < 0.018). In group B; mortality was significantly
associated with rebleeding within the 6-wk follow-up period and requirement for
blood transfusion on admission (P value < 0.005 and < 0.049). Rebleeding: The 6
wk and 5-d rebleeding rate in group A were 56% and 25% respectively compared to
32% and 3% in group B (P value < 0.015 and < 0.002). Clinical presentation with
encephalopathy was a significant risk factor for 5 d rebleeding in group A (P
value < 0.005) while grade III periportal fibrosis and requirement for blood
transfusion on admission were significant risk factors for 6-wk rebleeding in
group B (P value < 0.004 and < 0.02). CONCLUSION: The 6-wk and 5-d mortality and
rebleeding rate were significantly higher in patients with liver cirrhosis
compared to patients with schistosomal periportal fibrosis.
PMID- 27872687
TI - Determining the Molecular Pathways Underlying the Protective Effect of Non
Steroidal Anti-Inflammatory Drugs for Alzheimer's Disease: A Bioinformatics
Approach.
AB - Alzheimer's disease (AD) represents a substantial unmet need, due to increasing
prevalence in an ageing society and the absence of a disease modifying therapy.
Epidemiological evidence shows a protective effect of non steroidal anti
inflammatory (NSAID) drugs, and genome wide association studies (GWAS) show
consistent linkage to inflammatory pathways; both observations suggesting anti
inflammatory compounds might be effective in AD therapy although clinical trials
to date have not been positive. In this study, we use pathway enrichment and
fuzzy logic to identify pathways (KEGG database) simultaneously affected in both
AD and by NSAIDs (Sulindac, Piroxicam, Paracetamol, Naproxen, Nabumetone,
Ketoprofen, Diclofenac and Aspirin). Gene expression signatures were derived for
disease from both blood (n = 344) and post-mortem brain (n = 690), and for drugs
from immortalised human cell lines exposed to drugs of interest as part of the
Connectivity Map platform. Using this novel approach to combine datasets we find
striking overlap between AD gene expression in blood and NSAID induced changes in
KEGG pathways of Ribosome and Oxidative Phosphorylation. No overlap was found in
non NSAID comparison drugs. In brain we find little such overlap, although
Oxidative Phosphorylation approaches our pre-specified significance level. These
findings suggest that NSAIDs might have a mode of action beyond inflammation and
moreover that their therapeutic effects might be mediated in particular by
alteration of Oxidative Phosphorylation and possibly the Ribosome pathway. Mining
of such datasets might prove increasingly productive as they increase in size and
richness.
PMID- 27872686
TI - Engineering Translation in Mammalian Cell Factories to Increase Protein Yield:
The Unexpected Use of Long Non-Coding SINEUP RNAs.
AB - Mammalian cells are an indispensable tool for the production of recombinant
proteins in contexts where function depends on post-translational modifications.
Among them, Chinese Hamster Ovary (CHO) cells are the primary factories for the
production of therapeutic proteins, including monoclonal antibodies (MAbs). To
improve expression and stability, several methodologies have been adopted,
including methods based on media formulation, selective pressure and cell- or
vector engineering. This review presents current approaches aimed at improving
mammalian cell factories that are based on the enhancement of translation. Among
well-established techniques (codon optimization and improvement of mRNA secondary
structure), we describe SINEUPs, a family of antisense long non-coding RNAs that
are able to increase translation of partially overlapping protein-coding mRNAs.
By exploiting their modular structure, SINEUP molecules can be designed to target
virtually any mRNA of interest, and thus to increase the production of secreted
proteins. Thus, synthetic SINEUPs represent a new versatile tool to improve the
production of secreted proteins in biomanufacturing processes.
PMID- 27872688
TI - Functional Roles for Exosomal MicroRNAs in the Tumour Microenvironment.
AB - Extracellular microRNAs are released from cells both passively and actively. The
presence of these microRNAs in the tumour microenvironment (TME) can
significantly impact on the plasticity of cancer cells leading to the promotion
of metastatic and angiogenic processes. These extracellular microRNAs can act not
only on other cancer cells, but also cells present in the TME, such as immune
cells, endothelial cells, fibroblasts, and others acting to subvert the host
immune system and drive tumour progression. In this review we highlight the
current understanding of both the mechanisms by which microRNAs are released from
tumour cells and the downstream functional effects that extracellular microRNAs
have on recipient cells.
PMID- 27872689
TI - Role of Nitric Oxide on Dopamine Release and Morphine-Dependency.
AB - The catastrophic effects of opioids use on public health and the economy are
documented clearly in numerous studies. Repeated morphine administration can lead
to either a decrease (tolerance) or an increase (sensitization) in its behavioral
and rewarding effects. Morphine-induced sensitization is a major problem and
plays an important role in abuse of the opioid drugs. Studies reported that
morphine may exert its effects by the release of nitric oxide (NO). NO is a
potent neuromodulator, which is produced by nitric oxide synthase (NOS). However,
the exact role of NO in the opioid-induced sensitization is unknown. In this
study, we reviewed the role of NO on opioid-induced sensitization in 2 important,
rewarding regions of the brain: nucleus accumbens and ventral tegmentum. In
addition, we focused on the contribution of NO on opioid-induced sensitization in
the limbic system.
PMID- 27872690
TI - The Effects of Kainic Acid-Induced Seizure on Gene Expression of Brain
Neurotransmitter Receptors in Mice Using RT2 PCR Array.
AB - INTRODUCTION: Kainic acid (KA) induces neuropathological changes in specific
regions of the mouse hippocampus comparable to changes seen in patients with
chronic temporal lobe epilepsy (TLE). According to different studies, the
expression of a number of genes are altered in the adult rat hippocampus after
status epilepticus (SE) induced by KA. This study aimed to quantitatively
evaluate changes in the gene expression of brain neurotransmitter receptors one
week after administration of kainic acid in the mouse hippocampus. METHODS: We
used 12 BALB/c mice in this study and randomly divided them into 2 groups. To
both groups, saline (IP) was administered for 7 days, and on the last day, KA (10
mg/kg, IP) was injected 30 minutes after administration of saline. Subsequently,
behavioural changes were observed in mice. Then, in one group (1 day group), 2
hours and in another group (7 days group), 7 days after KA administration, the
hippocampus tissue of mice was removed and used for gene expression analyses.
Total brain RNA was isolated and reversely transcribed. We performed qPCR using
RT2 Profiler TMPCR Array Mouse Neurotransmitter Receptors and Regulators (QIAGEN)
containing primers for 84 genes. In this regard, we selected 50 related genes for
KA model. RESULTS: Our results showed significant changes in the gene expression
of GABAA subunits receptors, including alpha1-alpha3, alpha5, alpha6, beta2,
beta3, gamma1, rho, and rho1-2 on day 7 compared with the day 1. CONCLUSION:
Expression of both inhibitory and excitatory receptors changed after one week.
Further studies are needed to find more molecular changes in the gene expression
of brain neurotransmitter receptors and regulators over longer periods of time in
KA models using RT2 PCR array.
PMID- 27872691
TI - Locally Estimated Hemodynamic Response Function and Activation Detection
Sensitivity in Heroin-Cue Reactivity Study.
AB - INTRODUCTION: A fixed hemodynamic response function (HRF) is commonly used for
functional magnetic resonance imaging (fMRI) analysis. However, HRF may vary from
region to region and subject to subject. We investigated the effect of locally
estimated HRF (in functionally homogenous parcels) on activation detection
sensitivity in a heroin cue reactivity study. METHODS: We proposed a novel
exploratory method for brain parcellation based on a probabilistic model to
segregate the brain into spatially connected and functionally homogeneous
components. Then, we estimated HRF and detected activated regions in response to
an experimental task in each parcel using a joint detection estimation (JDE)
method. We compared the proposed JDE method with the general linear model (GLM)
that uses a fixed HRF and is implemented in FEAT (as a part of FMRIB Software
Library, version 4.1). RESULTS: 1) Regions detected by JDE are larger than those
detected by fixed HRF, 2) In group analysis, JDE found areas of activation not
detected by fixed HRF. It detected drug craving a priori "regions-of-interest" in
the limbic lobe (anterior cingulate cortex [ACC], posterior cingulate cortex
[PCC] and cingulate gyrus), basal ganglia, especially striatum (putamen and head
of caudate), and cerebellum in addition to the areas detected by the fixed HRF
method, 3) JDE obtained higher Z-values of local maxima compared to those
obtained by fixed HRF. CONCLUSION: In our study of heroin cue reactivity, our
proposed method (that estimates HRF locally) outperformed the conventional GLM
that uses a fixed HRF.
PMID- 27872692
TI - Protective Effects of Vitamin E Consumption against 3MT Electromagnetic Field
Effects on Oxidative Parameters in Substantia Nigra in Rats.
AB - INTRODUCTION: Electromagnetic fields (EMFs) can influence the biological system
by the formation of free radicals in cells. The EMFs are able to deteriorate
defense system against free radicals that leads to oxidative stress (OS). Lipid
peroxidation process (LPO) is an index of oxidative stress, and the
Malandialdehyde (MDA) is the final product of LPO. Vitamin E is the most
important antioxidant which inhibits the LPO process. The aim of this study was
to evaluate the effects of 3MT EMF exposure on oxidative stress parameters in
substantia nigra and the role of vitamin E in reducing oxidative stress and
preventing of LPO process. METHODS: 40 male Wistar rats were randomly divided
into 4 groups: 1) Control group: received standard food without exposure to EMF
and without consumption of vitamin E, 2) Experimental group 1: was exposed to EMF
(3MT) 4 h/day for 50 days, 3) The experimental group 2: received 200 mg/kg
vitamin E with gavage every day and also was exposed to EMF (3MT) 4 h/day for 50
days, 4) Sham group: received water with gavage for 50 days. RESULTS: A
significant increase in MDA levels and Glutation peroxidase (GSH-Px) activity of
the substantia nigra following 50 days exposure to EMF was detected, but the
superoxide dismutase (SOD) activity was decreased. Exposure did not change total
antioxidant capacity (TAC) levels in plasma. Vitamin E treatment significantly
prevented the increase of the MDA levels and GSHPx activity and also prevented
the decrease of SOD activity in tissue but did not alter TAC levels. The GSH-Px
activity increased because the duration and intensity of exposure were not enough
to decrease it. CONCLUSION: We demonstrated two important findings; that 50 days
exposure to 3 MT electromagnetic field caused oxidative stress by increasing the
levels of MDA, and decreasing SOD activity in the substantia nigra; and that
treatment with the vitamin E significantly prevented the oxidative stress and
lipid peroxidation.
PMID- 27872693
TI - Obsessive-Compulsive Disorder in Hospitalized Patients with Schizophrenia.
AB - INTRODUCTION: Comorbid obsessive-compulsive disorder (OCD) has been reported
among patients with schizophrenia in other countries. But, the literature is not
well-documented on this issue in Iran (Persia). The present study aimed to
investigate the prevalence and severity of OCD and some of its related factors in
a group of patients with schizophrenia in Iran. METHODS: This is a cross
sectional study. A total of 150 hospitalized patients with schizophrenia were
recruited at Razi Psychiatric Hospital in Tehran, Iran. Demographic and clinical
checklists, as well as the Yale-Brown obsessive-compulsive scale (Y-BOCS), scale
for assessment of negative symptoms (SANS), and scale for assessment of positive
symptoms (SAPS) were administered to collect data. OCD was the dependent variable
and independent variables included age, sex, severity of positive and negative
symptoms, duration of schizophrenic disorder, the number of hospitalizations, and
antipsychotic medications administered to them. Data were analyzed by analysis of
variance (ANOVA), Chi-square, and T-test. RESULTS: Overall, 31.3% of patients had
OCD with an average severity of 12.81(SD=10.27). The prevalence of OCD was not
affected by the number of psychiatric hospitalizations for schizophrenia or the
duration of schizophrenic disorder. The severity of OCD significantly reduced as
the duration of schizophrenia and the severity of negative symptoms increased.
CONCLUSION: OCD was found among a considerable proportion of the study sample.
OCD may be associated with exacerbating schizophrenic symptoms. Therefore,
psychiatrists should consider the simultaneous treatment of OCD and
schizophrenia. Further studies are suggested in this issue.
PMID- 27872694
TI - Long-Term Treatment by Vitamin B1 and Reduction of Serum Proinflammatory
Cytokines, Hyperalgesia, and Paw Edema in Adjuvant-Induced Arthritis.
AB - INTRODUCTION: Immune system is involved in the etiology and pathophysiology of
inflammation and vitamins are important sources of substances inducing
nonspecific immunomodulatory effects. Given the proinflammatory role of cytokines
in the inflammation and pain induction, this study aimed to assess the effects of
long-term administration of vitamin B1 on the proinflammatory cytokines, edema,
and hyperalgesia during the acute and chronic phases of adjuvant-induced
arthritis. METHODS: On the first day of study, inflammation was induced by
intraplantar injection of complete Freund's adjuvant (CFA) in the hindpaws of
rats. Vitamin B1 at doses of 100, 150, and 200 mg/kg was administrated
intraperitoneally during 21 days of the study. Antinociceptive and anti
inflammatory effects of vitamin B1 were also compared to indomethacin (5 mg/kg).
Inflammatory symptoms such as thermal hyperalgesia and paw edema were measured by
radiant heat and plethysmometer, respectively. Serum TNF-alpha and IL-1beta
levels were checked by rat standard enzyme-linked immune sorbent assay (ELISA)
specific kits. RESULTS: The results indicated that vitamin B1(150 and 200 mg/kg)
attenuated the paw edema, thermal hyperalgesia, and serum levels of TNF-alpha and
IL-1beta during both phases of CFA-induced inflammation in a dose-dependent
manner. Effective dose of vitamin B1(150 mg/kg) reduced inflammatory symptoms and
serum levels of TNF-alpha and IL-1beta compare to indomethacin during the chronic
phase of inflammation. CONCLUSION: Anti-inflammatory and antihyperalgesic effects
of vitamin B1 during CFA-induced arthritis, more specifically after chronic
vitamin B1 administration, suggest its therapeutic property for inflammation.
PMID- 27872695
TI - Reduction of the Morphine Maintenance by Blockade of the NMDA Receptors during
Extinction Period in Conditioned Place Preference Paradigm of Rats.
AB - INTRODUCTION: Activation of N-methyl-d-aspartate (NMDA) glutamate receptors in
the nucleus accumbens is a component of drug-induced reward mechanism. In
addition, NMDA receptors play a major role in brain reward system and activation
of these receptors can change firing pattern of dopamine neurons. Blockade of
glutamatergic neurotransmission reduces the expression of conditioned place
preference (CPP) induced by morphine. Therefore, in this study, by using an NMDA
receptor antagonist, DL-2-Amino-5-phosphonopentanoic acid sodium salt (AP5), the
role of NMDA receptors on the maintenance and reinstatement of morphine-CPP was
investigated. METHODS: Forty-three adult male albino Wistar rats were used in
this study. After subcutaneous administration of effective dose of morphine (5
mg/kg) during CPP paradigm, the animals received intracerebroventricular doses of
AP5(1, 5, and 25 mM/5MUL saline) during extinction period (free morphine stage).
Conditioning score was recorded during extinction period and reinstatement phase.
Besides, another group of the animals received a single dose administration of
AP5(5 mM) just before the administration of ineffective dose of morphine (1
mg/kg) in reinstatement phase. RESULTS: The results revealed that two doses of
this antagonist (5 and 25 mM) significantly shortened the extinction period of
morphine-CPP but did not reduce reinstatement induced by priming dose of
morphine. Moreover, the single dose administration of AP5(5 mM) just before prime
morphine injection decreased reinstatement of morphine-CPP. CONCLUSION: These
findings indicate that blockade of NMDA receptors during extinction period
reduces maintenance but not reinstatement of morphine. In addition, blocking
these receptors in reinstatement phase decreases reinstatement to extinguished
morphine.
PMID- 27872696
TI - Incidence and Clinical Outcome of Patients with Hypertensive Acute Ischemic
Stroke: An Update from Tertiary Care Center of Central India.
AB - INTRODUCTION: We evaluated the incidence and clinical outcome of patients with
hypertensive acute ischemic stroke (AIS) admitted to a tertiary care center in
Central India. In addition, we examined the status of stroke biomarkers namely
neuron-specific enolase (NSE), glial specific protein (S-100betabeta), and inter
alpha-trypsin inhibitor heavy chain 4(ITIH4) in the serum of patients suffering
from AIS with hypertension (HTN) and without HTN. METHODS: A total of 104
patients with AIS were enrolled for the study. Clinical outcome and stroke
biomarker levels were evaluated in them at the time of hospital discharge and
then followed at 12 months and 18 months after hospital discharge. RESULTS: HTN
is a major risk factor associated with 67%(70.104) of patients with AIS.
Multivariate analysis suggests higher odds of 4.088(95%Cl, 0.721-23.179) and
2.437(95%Cl, 0.721-23.179) for 12 and 18 months outcome in patients with AIS and
HTN, respectively. Serum NSE and S-100betabeta decreased at the time of discharge
as compared to admission level in improved patients suffering from AIS with or
without HTN, whereas levels of ITIH4 peptides 2 and 7 increased at the time of
discharge (compared to its admission level) only in improved patients with AIS
regardless of HTN or non-HTN condition. CONCLUSION: HTN is one of the major risk
factors associated with higher risk of AIS as well as long-term unfavourable
outcome after AIS in Central India region. NSE, S-100betabeta, and ITIH4 were
found to be independent predictors of outcome in patients with AIS irrespective
of HTN and non-HTN condition.
PMID- 27872697
TI - Co-occurrence of Pituitary Adenoma with Suprasellar and Olfactory Groove
Meningiomas.
AB - INTRODUCTION: The co-existence of pituitary adenoma and meningioma is extremely
rare. It is even rarer in patients with no previous known risk factors for either
tumour. Here, we present a case of synchronous non-functioning pituitary adenoma
with suprasellar and olfactory groove meningiomas in a patient without previous
irradiation. METHODS: The tumours were diagnosed on MRI in the 65-year-old
patient who presented with patchy visual deficits. The decision was made to
undergo surgery for resection of the suprasellar meningioma and the pituitary
adenoma, leaving the small olfactory groove meningioma intact. Extended
endoscopic transsphenoidal surgery was performed. RESULTS: Macroscopic clearance
was achieved for pituitary macroadenoma and suprasellar meningioma.
Postoperatively, visual field tsting and pituitary axis hormonal levels were
normal. The pituitary macroadenoma was confirmed to be a non-functioning
pituitary adenoma. The meningioma was diagnosed to be of WHO grade 1. CONCLUSION:
The rationale for choosing such management option, including its risks and
benefits in this challenging patient is discussed.
PMID- 27872698
TI - The role of exosomes contents on genetic and epigenetic alterations of recipient
cancer cells.
AB - Exosomes, as a mediator of cell-to-cell transfer of genetic information, act an
important role in intercommunication between tumor cells and their niche
including fibroblasts, endothelial cells, adipocytes and monocytes. Several
studies have shown that tumor cells can influence their neighboring cells by
releasing exosomes. These exosomes provide signaling cues for stimulation,
activation, proliferation and differentiation of cells. Exosomes contain mRNAs,
microRNAs (miRNA), and proteins that could be transferred to target cells
inducing genetic and epigenetic changes. By facilitating the horizontal transfer
of bioactive molecules such as proteins, RNAs and microRNAs, they are now thought
to have vital roles in tumor invasion and metastases, inflammation, coagulation,
and stem cell renewal and expansion. The aim of this review article is to discuss
the significance of exosome-mediated intercellular communication within the tumor
biology.
PMID- 27872699
TI - The effects of fenvalerate on hepatic and cerebral xenobiotic metabolizing
enzymes in selenium and/or iodine deficient rats.
AB - OBJECTIVES: Particularly in developing countries, selenium and/or iodine
deficiencies are encountered and use of pesticides in agriculture are not well
controlled. Fenvalerate is a pyrethroid insectide used in agriculture and has
applications against a wide range of pests. This study was designed to evaluate
the effects of fenvalerate on hepatic and cerebral xenobiotic metabolizing enzyme
activities in the presence of iodine and/or selenium deficiency on a rat model.
MATERIALS AND METHODS: Iodine and/or selenium deficiency was induced by feeding
three-week-old Wistar rats with a diet containing <0.005 mg selenium kg-1, and/or
administering 1% sodium perchlorate in drinking water for 7 weeks. Test groups
received fenvalerate (100 mg kg-1 BW IP) for the last 7 days. Hepatic and
cerebral microsomal aniline hydroxylase (CYP2E1) and cytosolic glutathione S
transferase (GST) activities were determined. Besides, hepatic NADPH-cytochrome
P450 reductase (P450R), ethoxyresorufin O-deethylase (EROD, CYP1A1/1A2) and
penthoxyresorufin O-depenthylase (PROD, CYP2B1/2B2), activities were also
measured. RESULTS: Fenvalerate had a general inductive effect on the hepatic and
cerebral xenobiotic metabolizing enzyme activities. Moreover, enzyme activities
were also altered by iodine and/or selenium deficiency, but the effects seemed to
be enzyme- and tissue-specific. CONCLUSION: The inductive effect of fenvalerate,
particularly in high dose exposures, may change the metabolism of several
xenobiotics, including drugs, as well as endogenous substrates. The effects may
vary depending on the selenium and/or iodine status of individual.
PMID- 27872700
TI - Pharmacokinetic study of furosemide incorporated PLGA microspheres after oral
administration to rat.
AB - OBJECTIVES: The purpose of the current study was to assess the feasibility of
microspheres from biocompatible polymer for oral bioavailability (BA) enhancement
of potent sulfonamide- type loop diuretic- Furosemide - which used in the
treatment of congestive heart failure, caused edema, cirrhosis, renal disease and
as an adjunct in acute pulmonary edema. The comparatively poor and inconstant BA
of furosemide, which occurs site-specifically in the stomach and upper small
intestine, has been ascribed to the poor dissolution of furosemide. MATERIALS AND
METHODS: In attempt to enhance the drug BA, poly (dl-lactic-co-glycolic acid)
(PLGA) microspheres of furosemide were obtained using solvent-evaporation method
and the carrier characteristics were investigated subsequently. RESULTS: The in
vivo performance of optimum formulation was assessed by pharmacokinetic
evaluation of drug after orally administration of free and loaded in microspheres
to rats (4 mg/Kg). For this reason, the concentration of drug in plasma was
measured by a new developed and sensitive method of HPLC. Acceptable drug loading
and encapsulation efficiency of microspheres were obtained to be 70.43 and 85.21
%, respectively. Microspheres provided improved pharmacokinetic parameters (Cmax
= 147.94 ng/ml, Tmax = 1.92 hr) in rats as compared with pure drug (Cmax = 75.69
ng/ml, Tmax = 1.5 hr). The obtained AUC of drug in microsphere was 10 fold higher
than of the free drug. CONCLUSION: The results showed that the prepared
microspheres successfully improved BA of the poorly water-soluble drug
effectively.
PMID- 27872701
TI - Development of 153Sm-DTPA-SPION as a theranostic dual contrast agents in
SPECT/MRI.
AB - OBJECTIVES: This study describes the preparation, biodistribution of 153Sm-DTPA
SPION after intravenous injection in rats. MATERIALS AND METHODS: The chelator
DTPA dianhydride was conjugated to SPION using a small modification of the well
known cyclic anhydride method. Conjugation was done at a 1: 4 (SPION:ccDTPA)
molar ratio. Conjugation reaction was purified with magnetic assorting column
(MACs) using high gradient magnetic field following incubation, the radio labeled
conjugate was checked using RTLC method for labeling and purity checked. RESULTS:
The RTLC showed that labeling yield was above 99% after purification and the
compound have good in vitro stabilities until 48 hr post injection in the
presence of human serum. The biodistribution of 153Sm-DTPA-SPION in rats showed
dramatic uptake in the reticuloendothelial system (RES) and their clearance is so
fast in other organs especially in the blood. Biodistribution results show that
after 30 min post injection more than 84% of injected activities were taken up by
the liver and spleen (about 64% and 20%, respectively). CONCLUSION: Due to
magnificent uptakes of this radiotracer in the liver and spleen and their fast
clearance from other tissues, especially in blood, it is suggested that this
radiotracer would be a potential candidate for RES theranostic purposes.
PMID- 27872702
TI - Gamma reactivation using the spongy effect of KLF1-binding site sequence: an
approach in gene therapy for beta-thalassemia.
AB - OBJECTIVES: beta-thalassemia is one of the most common genetic disorders in the
world. As one of the promising treatment strategies, fetal hemoglobin (Hb F) can
be induced. The present study was an attempt to reactivate the gamma-globin gene
by introducing a gene construct containing KLF1 binding sites to the K562 cell
line. MATERIALS AND METHODS: A plasmid containing a 192 bp sequence with two
repeats of KLF1 binding sites on beta-globin and BCL11A promoters was constructed
and used to transfect the K562 cell line. Positive selection was performed under
treatment with 150 MUg/ml hygromycin B. The remaining cells were expanded and
harvested on day 28, and genomic DNA was extracted. The PCR was carried out to
verify insertion of DNA fragment to the genome of K562 cells. The cells were
differentiated with 15 MUg/ml cisplatin. Flowcytometry was performed to identify
erythroid differentiation by detection of CD235a+ cells. Real-time RT-PCR was
performed to evaluate gamma-globin expression in the transfected cells. RESULTS:
A 1700 bp fragment was observed on agarose gel as expected and insertion of DNA
fragment to the genome of K562 cells was verified. Totally, 84% of cells were
differentiated. The transfected cells significantly increased gamma-globin
expression after differentiation compared to untransfected ones. CONCLUSION: The
findings demonstrate that the spongy effect of KLF1-binding site on BCL11A and
beta-globin promoters can induce gamma-globin expression in K562 cells. This
novel strategy can be promising for the treatment of beta-thalassemia and sickle
cell disease.
PMID- 27872704
TI - Effects of sex steroid hormones on neuromedin S and neuromedin U2 receptor
expression following experimental traumatic brain injury.
AB - OBJECTIVES: Neuroprotective effects of female gonadal steroids are mediated
through several pathways involving multiple peptides and receptors after
traumatic brain injury (TBI). Two of these peptides are including the regulatory
peptides neuromedin U (NMU) and neuromedin S (NMS), and their common receptor
neuromedin U2 receptor (NMUR2). This study investigates the effects of
physiological doses of estradiol and progesterone on brain edema, NMS and NMU as
well as NMUR2 expression following TBI. MATERIALS AND METHODS: Ovariectomized
female rats were given high-and low-dose of female sex steroid hormones through
implantation of capsules for a week before trauma. The brain NMUR2 expression,
prepro-NMS expression, NMU content, and water content (brain edema) were
evaluated 24 hr after TBI induced by Marmarou's method. RESULTS: Percentage of
brain water content in high- and low-dose estradiol, and in high- and low- dose
progesterone was less than vehicle (P<0.01). Results show high expression of
prepro-NMS in high dose progesterone (TBI-HP) rats compared to the high dose
estrogen (TBI-HE), as well as vehicle (P<0.01). NMU content in low-dose
progesterone (TBI-LP) group was more than that of vehicle group (P<0.001).
Furthermore a difference in NMU content observed between TBI-HP compared to TBI
HE, and vehicle (P<0.05). The NMUR2 mRNA expression revealed an upregulation in
TBI-HP rats compared to the TBI-HE group (P<0.001). CONCLUSION: Findings indicate
that progesterone attenuates brain edema and induces an increase in NMS and its
receptor which may mediate the anti-edematous effect of progesterone after TBI.
PMID- 27872705
TI - Sophora alopecuroides L. var. alopecuroides alleviates morphine withdrawal
syndrome in mice: involvement of alkaloid fraction and matrine.
AB - OBJECTIVES: Evaluation of the Sophora alopecuroides var. alopecuroides seed
effects on morphine withdrawal syndrome in mice and determination of the alkaloid
composition of the seed total extract. MATERIALS AND METHODS: The effects of the
seed total extract, alkaloid fraction and major compound matrine on the mice
morphine withdrawal syndrome were compared to saline and methadone. Mice were
made dependent on morphine by morphine sulfate injection 3 times a day for 3
days. The withdrawal jumping and diarrhea were induced by administration of
naloxone 2 hr after the 10th injection of morphine sulfate on the day 4. The
total extract (100, 200, 300 mg/kg), alkaloid fraction (5, 10, 20 mg/kg), matrine
(5, 15, 30 mg/kg), methadone (10 mg/kg) or saline were injected 30 min before
naloxone. All drugs were administered by subcutaneous injection. The total
extract alkaloid composition was also determined by gas chromatography (GC) and
GC-MS analysis. RESULTS: All doses of the total extract, alkaloid fraction and
matrine as well as methadone decreased jumping and diarrhea significantly
compared to the saline. The effects of the total extract and alkaloid fraction
were not significantly different from methadone. But, there were significant
differences between the effects of matrine and methadone. Matrine, cytisine,
sophoridine, n-methyl cytisine, sophocarpine and sophoramine were the major
alkaloids. There was no nicotine in the total extract. CONCLUSION: S.
alopecuroides var. alopecuroides suppresses opioid withdrawal with efficacy
comparable to methadone. Matrine may be one of the alkaloids responsible for the
effect of the plant.
PMID- 27872703
TI - Crocin prevents haloperidol-induced orofacial dyskinesia: possible an antioxidant
mechanism.
AB - OBJECTIVES: Long-term treatment with antipsychotics causes serious side effects
such as tardive dyskinesia that characterized by abnormal movements in the
orofacial region. Oxidative stress in the brain specific area is implicated in
the pathophysiology of tardive dyskinesia. In this study the protective effect of
crocin on haloperidol-induced orofacial dyskinesia was evaluated. MATERIALS AND
METHODS: Haloperidol (1 mg/kg, IP) and crocin (10, 20 and 40 mg/kg, IP) were
administrated to rats for 21 days. Behavioral assessments such as orofacial
dyskinesia movements, open field test and elevated plus maze (EPM) were evaluated
every week. Malondealdehyde (MDA) and glutathione (GSH) levels in the
hippocampus, cortex and striatum were also measured. RESULTS: Haloperidol
increased vacuous chewing movements (VCMs) and tongue protrusions (TPs) in rats
and co-administration of crocin (20 and 40 mg/kg) significantly reduced them.
Furthermore, haloperidol decreased the locomotor and exploratory activities
(rearing) in the open field test and decreased the percentage of entries into
open arms and the percentage of the time spent on open arms in the EPM.
Pretreatment with crocin (10 mg/kg) modified haloperidol effects on these
behavioral parameters. Haloperidol induced lipid peroxidation in three brain
regions, whereas crocin co-administration reduced the MDA and restored the
decreased GSH levels. CONCLUSION: Our finding suggests that oxidative stress has
an important role in the development of tardive dyskinesia. Crocin showed
protective effect against haloperidol induced tardive dyskinesia and as a potent
naturally antioxidant could be a new and useful drug and a possible therapeutic
option for the treatment of tardive dyskinesia.
PMID- 27872706
TI - Alkyl cross-linked low molecular weight polypropyleneimine dendrimers as
efficient gene delivery vectors.
AB - OBJECTIVES: In recent years, polypropyleneimine (PPI) dendrimers have attracted
great interest as non-viral gene delivery systems because of their attractive
features including highly branched architecture with number of reactive end
groups. However, without being structurally modified, they are not efficient gene
carriers. In the present study, generation 2 and 3 (G2 and G3) of PPI dendrimers
were conjugated with alkylcarboxylate groups as linker to enhance the
transfection efficiency while maintaining their low cell toxicity. MATERIALS AND
METHODS: First, 10-bromodecanoic acid was covalently attached to all available
surface primary amines of PPI G2 and G3 to increase their lipophilicity. In the
subsequent step, PPIs were conjugated to the alkylcarboxylate groups of
alkylcarboxylate-PPI derivatives to increase the number of surface primary
amines. Physicochemical properties of modified PPIs were determined. Transfection
experiments (using both luciferase and green fluorescent protein (GFP)-
expressing plasmids) and cytotoxicity assay were performed to evaluate the
efficiency of the final derivatives. RESULTS: Fabricated vectors condensed DNA
effectively so that polyplexes with appropriate size (below 155 nm) and positive
surface charge were constructed. Cross-linked low molecular weight PPIs (G2 or
G3) with decanoate linkage increased transfection efficiency significantly while
maintaining the low cytotoxicity. PPI G2 derivative exhibited increased buffering
capacity which is believed to be responsible for better proton sponge mechanism
leading to higher transfection efficiency. CONCLUSION: Our results indicated that
oligomerization of low molecular weight PPI (PPI G2-alkyl-PPI G2 conjugate) could
be an approach to increase the transfection efficiency and to lower the
cytotoxicity of low molecular weight polycations.
PMID- 27872707
TI - Biochemical characterization of PE_PGRS61 family protein of Mycobacterium
tuberculosis H37Rv reveals the binding ability to fibronectin.
AB - OBJECTIVES: The periodic binding of protein expressed by Mycobacterium
tuberculosis H37Rv with the host cell receptor molecules i.e. fibronectin (Fn) is
gaining significance because of its adhesive properties. The genome sequencing of
M. tuberculosis H37Rv revealed that the proline-glutamic (PE) proteins contain
polymorphic GC-rich repetitive sequences (PGRS) which have clinical importance in
pathogenesis events when the host encounters M. tuberculosis H37Rv. The
functional parts of PE_PGRS family proteins, have not been extensively studied in
tuberculosis biology. MATERIALS AND METHODS: Fibronectin (10 ng and 20 ng) were
used for FnBP assay and its enzymatic activities were observed by using various
protein concentrations. RESULTS: Therefore, in the present work, we cloned,
expressed, purified and identified a novel PE_PGRS61 (Rv3653) family protein in
M. tuberculosis H37Rv. Our experiment, observation suggested that at particular
concentrations of 10 ng and 20 ng of Fn exhibits optimum binding to the purified
Fibronectin Binding Protein (FnBP), a PE_PGRS61 family protein at 0.20 MUg and
0.25 MUg concentrations, respectively. Moreover, for better understanding the
computational analysis, the B-cell and T-cell epitopes prediction prospect some
amino acid propensity scales with hydrophilicity and antigenic variation index at
their respective locations. CONCLUSION: Thus, the current findings provide an
opportunity to illuminate the functions of PE_PGRS61 family protein. So, in this
point of view, it could be useful to develop a novel therapeutic approach or
diagnostic pipeline through targeting these fibronectin binding protein (FnBP)
expressing genes.
PMID- 27872708
TI - Anti-hyperglycaemic and antioxidant effects of Bidens tripartita and quantitative
analysis on its active principles.
AB - OBJECTIVES: Bidens species are used for their antidiabetic properties
traditionally in many countries. Aim of this study is to evaluate hypoglycaemic
and antidiabetic activity of Bidens tripartita extract and to identify its active
compounds through bioactivity guided isolation technique. MATERIALS AND METHODS:
Hypoglycaemic effects of B. tripartita extract and its sub-extracts were
investigated in normal and glucose-hyperglycaemic rats. Streptozotocin induced
diabetic rats were used to examine antidiabetic activity of the extract and its
sub-extracts after acute and sub-acute administration. Additionally, in vitro
enzyme inhibitory and antioxidant activities were evaluated. HPLC analyses were
carried out to determine the active constituents of the extract and its sub
extracts. RESULTS: Through in vivo bioactivity-guided fractionation process,
ethyl acetate and n-buthanol sub-extracts were found to have potent antidiabetic
activity. In vitro enzyme inhibitory activities of the same sub-extracts were
found to be potent. The highest total phenol, flavonoid contents and radical
scavenging activity was determined in ethyl acetate sub-extract. According to LC
MS analyses, chlorogenic acid, luteolin and 7-O-glucoside of luteolin
(cynaroside) were determined as the main components of the active sub-extracts.
CONCLUSION: According to our results, B. tripartita has potent antidiabetic
activity and its active constituents might be beneficial for diabetes and its
complications.
PMID- 27872709
TI - The effect of sugar cane molasses on the immune and male reproductive systems
using in vitro and in vivo methods.
AB - OBJECTIVES: Sugar cane molasses is a commonly used ingredient in several food
products. Contrasting reports suggest that molasses may have potential adverse or
beneficial effects on human health. However, little evidence exists that examines
the effects of molasses on the different physiological systems. This study
investigated the effects of sugar cane molasses on various physiological systems
using in vivo and in vitro methods. MATERIALS AND METHODS: Molasses was
administered orally to BALB/c, male mice and animals were randomly assigned into
either a treatment or control group. General physiological changes, body weight
and molasses intake of animals were monitored. At the end of the exposure period,
collected blood samples were evaluated for potential toxicity using plasma
biomarkers and liver enzyme activity. Immunised treated and untreated mice were
evaluated for antibody titre to determine the effect of molasses on the immune
response. To investigate the impact of molasses on testicular steroidogenesis,
testes from both treated and control groups were harvested, cultured and assayed
for testosterone synthesis. RESULTS: Findings suggest that fluid intake by
molasses-treated animals was significantly increased and these animals showed
symptoms of loose faeces. Molasses had no significant effect on body weight,
serum biomarkers or liver enzyme activity (P>0.05). Immunoglobulin-gamma anti
antigen levels were significantly suppressed in molasses-treated groups
(P=0.004). Animals subjected to molasses exposure also exhibited elevated levels
of testosterone synthesis (P=0.001). CONCLUSION: Findings suggests that molasses
adversely affects the humoral immune response. The results also promote the use
of molasses as a supplement to increase testosterone levels.
PMID- 27872710
TI - Linc-ROR and its spliced variants 2 and 4 are significantly up-regulated in
esophageal squamous cell carcinoma.
AB - OBJECTIVES: Similar characteristics of molecular pathways between cellular
reprogramming events and tumorigenesis have been accentuated in recent years.
Reprogramming-related transcription factors, also known as Yamanaka factors
(OCT4, SOX2, KLF4, and c-MYC), are also well-known oncogenes promoting cancer
initiation, progression, and cellular transformation into cancer stem cells. Long
non-coding RNAs (lncRNAs) are a major class of RNA molecules with emerging roles
in stem cell pluripotency, cellular reprogramming, cellular transformation, and
tumorigenesis. The long intergenic non-coding RNA ROR (lincRNA-ROR, linc-ROR)
acts as a regulator of cellular reprograming through sponging miR-145 that
normally negatively regulates the expression of the stemness factors NANOG, OCT4,
and SOX2. MATERIALS AND METHODS: Here, we employed a real-time PCR approach to
determine the expression patterns of linc-ROR and its two novel spliced variants
(variants 2 and 4) in esophageal squamous cell carcinoma (ESCC). RESULTS: The
quantitative real-time RT-PCR results revealed a significant up-regulation of
linc-ROR (P=0.0098) and its variants 2 (P=0.0250) and 4 (P=0.0002) in tumor
samples of ESCC, compared to their matched non-tumor tissues obtained from the
margin of same tumors. Our data also demonstrated a significant up-regulation of
variant 4 in high-grade tumor samples, in comparison to the low-grade ones
(P=0.04). Moreover, the ROC curve analysis demonstrated that the variant 4 of ROR
has a potential to discriminate between tumor and non-tumor samples (AUC=0.66,
P<0.05). CONCLUSION: Our data suggest a significant up-regulation of linc-ROR and
its variants 2 and 4 in ESCC tissue samples.
PMID- 27872711
TI - Ozone therapy could attenuate tubulointerstitial injury in adenine-induced CKD
rats by mediating Nrf2 and NF-kappaB.
AB - OBJECTIVES: This study aims to determine the effects of ozone therapy on
restoring impaired Nrf2 activation to ameliorate chronic tubulointerstitial
injury in rats with adenine-induced CKD. MATERIALS AND METHODS: Sprague-Dawley
rats were fed with 0.75% adenine-containing diet to induce CKD and chronic
tubulointerstitial injury. Ozone therapy was administered by rectal insufflation.
After 4 weeks, serum and kidney samples were collected and analyzed. Renal
function and systemic electrolyte level were detected. Pathological changes in
kidney were assessed by hematoxylin-eosin staining and Masson trichrome staining.
Nrf2 activation was detected by immunohistochemistry and Western blot analyses.
The levels of SOD, CAT, GSH, PCO, and MDA were detected in the kidney.
Immunohistochemistry, Western blot, and real-time PCR analyses were performed to
evaluate the activation of the nuclear factor kappa B (NF-kappaB) P65 pathway and
inflammation infiltration in the tubulointerstitium of the rats. RESULTS: Ozone
therapy improved severe renal insufficiency and tubulointerstitial morphology
injury as well as restored Nrf2 activation and inhibited the NF-kappaB pathway in
rats with adenine-induced CKD. Ozone therapy also up-regulated anti-oxidation
enzymes (SOD, CAT, and GSH) and down-regulated oxidation products (PCO and MDA),
as well as inflammatory cytokines (IL-1beta, IL-6, TNF-alpha, and ICAM-1) in the
kidney. CONCLUSION: These findings indicated that ozone therapy could attenuate
tubulointerstitial injury in rats with adenine-induced CKD by mediating Nrf2 and
NF-kappaB.
PMID- 27872712
TI - The effect of Quercus brantii gall extract on burn wound healing in rat.
AB - OBJECTIVES: The aim of the present study was to evaluate the effect of Quercus
brantii galls extract on the rat skin burn wound healing. MATERIALS AND METHODS:
Ethanol extract of the galls of Q. brantii was used to treat the induced burn
wounds on the back of 32 Wistar rats divided into 4 groups. The groups were
treated by placebo, 1%, 2% and 4% concentration gall extract gels for 14 days and
the efficacy of treatment was assessed based on reduction of burn wound area, as
well as histological and molecular characteristics. RESULTS: The mean wound
surface in the 14th day, in all groups treated by Q. brantii gall extracts were
larger than control group and the differences were statistically significant
(P=0.043). The mean histological wound healing scores were not statistically
different. Analysis of nitric oxide and platelet derived growth factor
concentration in wound fluids in the 5th day of study showed that there was not
any significant difference between groups (P=0.468 and 0.312 respectively).
Fibroblast growth factor (bFGF) concentration in the wound fluids, was
significantly higher in group treated with 1% gall extract gel in comparison to
the control group (P=0.026). CONCLUSION: Our results could not prove the
significant positive effect of Q. brantii galls extract on the burning wound
healing. More studies with more groups treated with different doses of the Q.
brantii extract are recommended.
PMID- 27872713
TI - Expression of pluripotent stem cell markers in mouse uterine tissue during
estrous cycle.
AB - It was assumed that uterine stem cells are responsible for the unique
regenerative capacity of uterine. Therefore, the aim of the present study was to
investigate the expression of the pluripotent stem cell markers in the mice
uterine tissue during different stages of estrous cycles. Twelve virgin female
NMRI mice (6 to 8 weeks old) were considered at proestrus, estrus, metestrus and
diestrus according to the cell types observed in the vaginal smear and underwent
hysterectomy operation. Quantitative real-time polymerase chain reaction (PCR)
and immunohistochemical staining for pluripotent stem cell markers (SOX2, OCT4,
KLF4, and NANOG) were performed. Immunofluorescence staining revealed that
expression and localization of the pluripotency markers SOX2, OCT4, KLF4, and
NANOG at the protein level were not different throughout estrous cycle. Also,
mRNA of pluripotency markers was detected in all tested samples. However, there
were no significant differences in their genes expression at each stage and
during the estrous cycle. Different hormonal profile during the estrous cycle
could not affect expression of pluripotent stem cell markers in uterine tissue.
PMID- 27872714
TI - Effects of dietary supplementation of organic acids and phytase on performance
and intestinal histomorphology of broilers.
AB - The present experiment was conducted to evaluate the effects of organic acids and
phytase enzyme supplementation on performance and intestinal histomorphology of
broilers. The experiment was done in a factorial arrangement 2 * 2 * 2 based on
completely randomized design with eight treatments, five replicates with 12
chicks in each until 42 days of age. Diets included natural vinegar (0 and 2%),
citric acid (CA; 0.00 and 1.00%) and phytase enzyme (PHY; 0.00 and 500 FTU
phytase per kg of feed). One bird from each treatment replicate was randomly
selected and slaughtered to evaluate the small intestinal morphology on 42 days
of age. Analysis of results showed that vinegar increased feed consumption and
body weight gain in total experimental period (p ? 0.05), while CA significantly
decreased feed consumption on 0-14 days of age (p ? 0.05). No effect was observed
on performance in interaction of organic acids together and with PHY group (p >
0.05). In duodenum CA increased the villus height and width (p ? 0.05) and PHY
enzyme increased villus width (p ? 0.05) and decreased crypt depth (p ? 0.05). On
the other hand, CA along with PHY significantly decreased crypt depth (p ? 0.05).
In jejunum PHY alone and in combination with vinegar increased the goblet cells
numbers (p ? 0.05), whereas vinegar significantly increased the goblet cells
numbers in ileum (p ? 0.05). The muscular thickness in duodenum, jejunum, and
ileum was not affected among different treatment groups. The results showed that
supplementation of organic acids and phytase together in this experiment, with no
negative effects on each other, improved their effects on some parameters.
PMID- 27872715
TI - Molecular characterization of Mycoplasma synoviae isolated from broiler chickens
of West Azarbaijan province by PCR of vlhA gene.
AB - Mycoplasma synoviae (MS) is a pathogen responsible for respiratory and locomotor
disorders and causes major economic losses in poultry industry. Early and
accurate diagnosis of MS infection plays a major role in control of the
infection. This study was conducted to characterize Iranian field isolates of MS
isolated from broiler chickens of West Azarbaijan province (Northwest of Iran),
and differentiate them from vaccine strain MS-H. Two encoding genes, 16S rRNA and
vlhA were employed. PCR results using primers related to 16s rRNA and vlhA genes
were analyzed and compared. Out of 21 field samples, eight samples (38.0%) were
positive using both sets of primers. Amplified products of vlhA gene were
sequenced for MS strain identification. The results showed that Iranian field
isolates of MS had high nucleotide and amino acid similarity. Iranian field
isolates were distinct from vaccine strain MS-H. Results presented in this study
showed that characterization of field isolates of MS by sequencing of vlhA gene
and is beneficial for strain typing and differentiating them from vaccine strain.
To our knowledge, this is the first study characterizing vlhA gene of MS isolates
from broiler chickens in the West Azarbaijan province.
PMID- 27872716
TI - The comparison of digestibility of treated sugarcane tops silage by bacteria or
whole microorganisms of Holstein cow and buffalo rumen.
AB - The aim of this study was to evaluate the effects of adding sulfuric acid to
sugarcane tops silage on rumen bacteria and whole rumen microorganisms (WRM) and
compare the digestibility of sugarcane tops treated with different amount of
urea, molasses and sulfuric acid between Holstein cow and Khouzestan buffalo.
Regardless of the type of the treatment, potential of gas production (B) by cow
WRM (130.670 mL) was more than buffalo (104.060 mL) (p < 0.05), but the rate of
gas production (C) by buffalo WRM was greater than cow (0.021 and 0.014 mL per
hr, respectively) (p < 0.05). The C in treatment containing only 2.40% sulfuric
acid (0.033 mL per hr) was significantly highest (p < 0.05). Regardless of the
type of the treatment, the B by cow rumen bacteria (75.040 mL) was more than
buffalo (67.150 mL), (p < 0.05), while the C by rumen bacteria of buffalo (0.030
mL per hr) was more than cow (0.017 mL per hr), (p < 0.05). Regardless of the
type of the animal, the B coefficient of rumen bacteria in treatment only
containing 2.40% sulfuric acid was higher than control (p < 0.05). Therefore, the
addition of sulfuric acid not only had no negative effect on microorganisms
particularly bacteria, but also probably due to present of sulfur in acid, had
positive effect on nutrients digestibility, and growth of microorganisms. The
digestibility of sugarcane tops silage treated by cow rumen bacteria and whole
microorganisms was higher than buffalo.
PMID- 27872717
TI - The antibacterial effect of Ziziphora clinopodioides essential oil and
nisinagainst Salmonella typhimurium and Staphylococcus aureus in doogh, a yoghurt
based Iranian drink.
AB - Doogh is the most popular and commonly consumed yoghurt-based Iranian drink. The
aim of this study was to investigate the antibacterial effects of Ziziphora
clinopodioides essential oil (ZEO) at 0.10 and 0.20% concentrations, nisin at 250
and 500 IU mL-1, and their combination against Salmonella typhimurium and
Staphylococcusaureus in doogh during storage at 4 C for 9 days. Nine batches
were studied as follows: control: no ZEO or nisin added, A: 0.10% ZEO, B: 0.20%
ZEO, C: 250 IU mL-1 nisin, D: 500 IU mL-1 nisin, E: 0.10% ZEO + 250 IU mL-1
nisin, F: 0.10% ZEO + 500 IU mL-1 nisin, G: 0.20% ZEO + 250 IU mL-1 nisin and H:
0.20% ZEO + 500 IU mL-1 nisin. Based on gas-chromatography and mass spectrometry,
carvacrol (65.22%), thymol (19.51%), p-cymene (4.86%) and gamma-terpinene (4.63%)
were the major components of ZEO. The populations of S. typhimurium and S.aureus
in samples treated with all concentrations of the ZEO and nisin were kept below 1
log CFU mL-1 on day 5 of storage, while the count of S. typhimurium and S.aureus
was found as 2.72 +/- 0.02 and 2.21 +/- 0.00 log CFU mL-1 on day 5 for untreated
samples, respectively. The ZEO separately and in combination with nisin, was very
effective against these two common food-borne pathogens. The ZEO alone and in
combination with nisin could be considered as a potential strong antimicrobial
agent that can be used for the growth inhibition of aforementioned bacteria in
food products especially doogh.
PMID- 27872718
TI - Histoanatomical study of the lens and ciliary body in ostrich eye.
AB - In the present study, the lenses and ciliary bodies of 20 ostrich eyes were
studied macroscopically and microscopically. The histological slides were studied
after staining by hematoxylin and eosin, Verhoeff, Van Gieson, and periodic acid
Schiff (PAS). Posterior surface of lens was more convex than its anterior
surface. The average lens diameter and thickness were respectively measured as
1.43 +/- 0.00 and 0.85 +/- 0.00 cm. The average ciliary body thickness was
measured as 1.48 +/- 0.01 cm. In addition, the ciliary body was seen annular with
mean horizontal and vertical external diameters as 4.80 +/- 0.07 and 4.36 +/-
0.06 cm, respectively. The retina is extended on ciliary body in this bird. The
number of ciliary body processes was about 120. The epithelium of lens was
cuboidal and the lens capsule had intense positive PAS reaction. Also, the
anterior surface of capsule was thicker than its posterior surface. The lens
fibers in the central part were thicker than other parts. Elastic and collagen
fibers were not observed in the lens. The epithelium of ciliary processes had two
layers; superficial cuboidal non-pigmented layer, and deep heavily pigmented
layer. The ciliary body was supported by a hyaline cartilage. In addition to the
smooth muscle fibers, many isolated skeletal muscle fibers were also seen in
ciliary body. In conclusion, the lens and ciliary body of ostrich were similar to
other birds, although there were little differences in anatomical dimensions and
histological characteristics.
PMID- 27872720
TI - A comparative study on the effects of different cryoprotectants on the quality of
canine sperm during vitrification process.
AB - Cryopreservation has the capacity to extend spermatozoa's lifespan and viability.
In addition, the semen samples can be collected, preserved and stored or sent to
distant locations and still be used long after the death of the semen donor. In
this study for the vitrification of dog sperm (fresh and swum-up sperm),
different cryopreservation mediums on the basis of glycerol, milk and egg yolk
were used. Then, all of the samples were vitrified in the liquid nitrogen and
thawed at least 48 hr later for re-examination of sperm parameters. The sperm
parameters before and after cryopreservation in all groups were compared. It was
found that during vitrification process, spermatozoa were damaged by the
mechanical blows in centrifugation during swim-up processing, so they had less
resistance than fresh semen. The examination of different cryoprotectants
revealed that milk has better effects on the cryopreservation of semen than
glycerol and egg yolk. With the comparison of the effects of glycerol and egg
yolk as cryoprotectants, it was found that glycerol had better effects than egg
yolk on the cryopreservation of the semen. In conclusion, milk might be used as a
cryoprotectant instead of glycerol for canine sperm cryopreservation.
PMID- 27872719
TI - Phylogenetic typing and detection of extended-spectrum beta-lactamases in
Escherichia coli isolates from broiler chickens in Ahvaz, Iran.
AB - This study was conducted to reveal the phylogenetic background, to detect the
genes encoding TEM, SHV and CTX-M-15 extended-spectrum beta-lactamases (ESBL),
and to analyze their distribution in phylo-groups of 150 Escherichia coli
isolates from broiler chickens in Ahvaz (Southwest of Iran). Seventy- five
cloacal swabs from healthy birds (fecal isolates), and 75 heart blood samples
from birds with colibacillosis (septicemic isolates) were obtained. All isolates
were phylotyped and screened for ESBL genes by polymerase chain reaction (PCR).
The fecal isolates belonged to four main phylo-groups, including 41 isolates
(54.67%) to A, 9 (12.00%) to B1, 5 (6.67%) to B2, and 20 (26.67%) to D. Of
septicemic isolates, 37 isolates (49.33%) were classified as phylotype A, 5
(6.67%) as B1, 10 (13.33%) as B2, and 23 (30.67%) as D. In molecular analysis, a
total of 72 isolates (35 fecal and 37 septicemic) were identified to harbor ESBL
genes, which were distributed in phylo-groups A, B1, B2, and D. Regardless of the
type of isolate, blaCTX-M-15 gene was the most common genotype, followed by
blaTEM and blaSHV genes. This study suggests that broiler chickens in Iran are
infected to ESBL genes- harboring Escherichia coli strains which may be spread to
the food chain through fecal contamination of carcasses during slaughtering.
PMID- 27872721
TI - Prevalence and antibiotic resistance profile of thermophilic Campylobacter spp.
of slaughtered cattle and sheep in Shiraz, Iran
AB - Although poultry meat is considered as the main source for human Campylobacter
infections, there is limited information about non-poultry sources. The present
study was aimed to investigate the prevalence and the antibiotic resistance of
thermophilic Campylobacter spp. in fecal samples of the cattle and sheep in
Shiraz, Iran. A total of 302 fecal samples were obtained from clinically healthy,
slaughtered cattle and sheep from Shiraz slaughterhouse. The animals were
clinically healthy before being slaughtered. The samples were cultured according
to the specific cultivation method under thermophilic conditions. The
susceptibility of Campylobacter isolates were determined for 13 antimicrobial
agents. All enriched samples and cultured isolates were targeted for polymerase
chain reaction (PCR) detection of 16S rRNA and multiplex PCR for determining
their species. Among 302 fecal samples, 65 (21.5%) and 205 (67.8%) samples were
positive for the presence of Campylobacter species with the cultivation and PCR
techniques, respectively. All 65 distinct isolates were susceptible to neomycin
and colistin and the isolates showed high resistance to cephalotin (83.0%) and
ciprofloxacin (67.7%). After the multiplex PCR, 78.5% of total positive samples
showed the simultaneous presence of Campylobacter jejuni and Campylobacter coli.
In conclusion, the results emphasized that non-poultry farms are important as a
possible source of Campylobacter infections.
PMID- 27872723
TI - A human reproductive approach to the study of infertility in chimpanzees: An
experience at Leon's Zoological Park, Mexico.
AB - Great apes are mammals close to humans in their genetic, behavioral, social and
evolutionary characteristics and new genomic information is revolutionizing our
understanding of evolution in primates. However, all these species are
endangered. While there are many global programs to protect these species, the
International Union for Conservation of Nature (IUCN) projects that in a near
future the wild populations will decrease significantly. Nowadays, the relevance
of captive populations of great apes is becoming critical for research and
understanding of pathophysiology of diseases. In this report, the evaluation of
infertility in a group of captive chimpanzees maintained at Leon's Zoological
Park using a human infertility protocol is described. Our results suggested that
infertility in this group was due to low hormonal levels and sperm alterations in
the male characterized by hormonal assessment and a sperm sample obtained by
electroejaculation and cryopreserved using human protocols. In the females, it
was demonstrated that it is possible to follow the follicular cycle using non
invasive methods based on morphological changes in genitalia, detection of blood
in urine and measurement of hormones in saliva samples; concluding that fertility
in females was normal. Also, we demonstrate that human artificial insemination
procedures may be applied. Our human approach was successful in finding the
infertility cause in this group of captive chimpanzees. In countries with limited
resources, collaboration of zoos with human infertility clinics can be beneficial
for research and management of reproductive aspects of great apes.
PMID- 27872722
TI - Production of monoclonal antibody against recombinant NS3 protein of bovine viral
diarrhea virus (NADL strain).
AB - This study was conducted to investigate the prevalence of subclinical mastitis
caused by Staphylococcus spp. in ewes in West-Azerbaijan province of Iran.
Molecular characterization of isolated Staphylococcus spp. from diseased ewes
were performed using polymerase chain reaction (PCR) followed by restriction
fragment length polymorphism (RFLP) and DNA sequencing of glyceraldehyde-3
phosphate dehydrogenase (gap) gene. Also, antibiotic resistance of staphylococcal
isolates against different antibiotics was investigated. A total number of 900
milk samples from 450 native ewes in their mid-lactation period were examined by
the California mastitis test (CMT). The CMT positive samples were cultured and
bacteria were isolated from 86 (9.50%) glands and 74 (16.40%) ewes. The
prevalence of subclinical mastitis in the examined ewes was 16.40%.
Microbiological analysis of milk samples revealed that 27 out of 74 sheep with
subclinical mastitis were infected with Staphylococcus spp. Amplification of gap
gene of 27 Staphylococcus isolates generated a single amplicon of 933 bp in size
confirming that isolates were belonged to Staphylococcus genus. Digestion of PCR
products by AluI endonuclease generated different RFLP patterns for each species.
Nucleotide sequencing of gap gene followed by phylogenetic analysis showed that
the most dominant Staphylococcus species were S. epidermidis, S. xylosus and S.
chromogenes. Staphylococcal isolates showed the highest resistance to penicillin
and ampicillin. In conclusion, Staphylococcus species, except for the southern
parts of the province, play an important role in the development of subclinical
mastitis in sheep in West-Azerbaijan province of Iran. Also, chloramphenicol,
ciprofloxacin and neomycin are the most effective antibiotics for treatment of
this disease.
PMID- 27872724
TI - Are magnetic resonance imaging or radiographic findings correlated with clinical
prognosis in spinal cord neuropathy?
AB - Dogs presented to the Small Animal Hospital of Veterinary Medicine, University of
Tehran were included in the present study if spinal or intervertebral disc
involvement was suspected. Clinical signs were recorded as well as general
information of the patient such as age, breed and sex. Sixty dogs were examined
radiographically and two standard orthogonal lateral and ventrodorsal projections
were taken from the suspected region. Then magnetic resonance imaging (MRI) was
performed for all patients. Agreement between MRI and radiographic findings,
comparison of sex and breed with diagnostic imaging grades, comparison between
diagnostic imaging grades and mean age, recovery rate after surgery or medical
treatment, effects of diagnostic imaging severity grades on surgical or medical
referrals were evaluated statistically. There were no significant association
between age, sex and breed and frequency of the intervertebral disk disease.
Intervertebral disc involvements between L2-L3 and T13-L1 were estimated as the
most frequent sites of involvements. Sensitivity and specificity of radiography
were evaluated 90.0% and 46.0%, respectively, by considering the MRI as a gold
standard modality. There was a significant association between severity of
disease in the MRI with referral to surgery and medical treatment. The recovery
rate after surgery was significantly higher than medical treatment. These results
can be used as a foundation for other studies with more focuses on details of
injury and larger group of patients.
PMID- 27872725
TI - A case of monocephalusrachipagus tribrachius tetrapus in a puppy.
AB - Caudal duplication (dipygus) is an uncommon pathologic of conjoined twinning. The
conjoined malformation is classified according to the nature and site of the
union. We report the presence of this malformation in a female crossbreed puppy.
The puppy was delivered by caesarean section following a prolonged period of
dystocia. External findings showed a single head (monocephalus) and a normal
cranium with no fissure in the medial line detected. The thorax displayed a
caudal duplication arising from the lumbosacral region (rachipagus). The puppy
had three upper limbs, a right and left, and a third limb in the dorsal region
where the bifurcation began. The subsequent caudal duplication appeared
symmetrical. Necropsy revealed internal abnormalities consisting of a complete
duplication of the urogenital system and a duplication of the large intestines
arising from a bifurcation of the caudal ileum . Considering the
morphophysiological description the malformation described would be classified as
the first case in the dog of a monocephalusrachipagustribrachius tetrapus.
PMID- 27872726
TI - Reconstruction of long digital extensor tendon by cranial tibial muscle fascia
graft in a dog.
AB - Tendon rupture in dogs is generally the result of a direct trauma. This report
described the use of adjacent muscle autogenic fascial graft for reconstruction
of distal rupture of long digital extensor tendon in a dog. A two-year-old male
mix breed dog, was presented with a non-weight bearing lameness of the right hind
limb and a deep rupture of lateral side of right tarsus. History taking revealed
that this rupture appeared without any apparent cause, when walking around the
farm, three days before. Radiography was done and no fracture was observed.
Hyperextension of right tarsal joint compared to left limb was observed. Under
general anesthesia, after dissections of the ruptured area, complete rupture of
long digital extensor tendon was revealed. Then, we attempted to locate the edge
of the tendon, however, the tendon length was shortened approximately 1 cm.
Hence, a strip of 1 cm length from fascia of cranial tibial muscle was harvested
to fill the defect. The graft was sutured to the two ends of tendon using locking
loop pattern. Subcutaneous layers and the skin were sutured routinely. Ehmer
sling bandage was applied to prevent weight bearing on the surgical region. Re
examination and phone contact with the owner eight weeks and six months
postoperatively revealed a poor lameness and excellent function of the dog,
respectively. It could be concluded that the fascia of adjacent muscles can be
used as an autogenic graft for reconstruction of some tendon ruptures.
PMID- 27872728
TI - What Unrelated Hematopoietic Stem Cell Transplantation in Thalassemia Taught us
about Transplant Immunogenetics.
AB - Although the past few decades have shown an improvement in the survival and
complication-free survival rates in patients with beta-thalassemia major and gene
therapy is already at an advanced stage of experimentation, hematopoietic stem
cell transplantation (HSCT) continues to be the only effective and realistic
approach to the cure of this chronic non-malignant disease. Historically, human
leukocyte antigen (HLA)-matched siblings have been the preferred source of donor
cells owing to superior outcomes compared with HSCT from other sources. Nowadays,
the availability of an international network of voluntary stem cell donor
registries and cord blood banks has significantly increased the odds of finding a
suitable HLA matched donor. Stringent immunogenetic criteria for donor selection
have made it possible to achieve overall survival (OS) and thalassemia-free
survival (TFS) rates comparable to those of sibling transplants. However, acute
and chronic graft-versus-host disease (GVHD) remains the most important
complication in unrelated HSCT in thalassemia, leading to significant rates of
morbidity and mortality for a chronic non-malignant disease. A careful
immunogenetic assessment of donors and recipients makes it possible to
individualize appropriate strategies for its prevention and management. This
review provides an overview of recent insights about immunogenetic factors
involved in GVHD, which seem to have a potential role in the outcome of
transplantation for thalassemia.
PMID- 27872730
TI - Diagnosis of del(5q) MDS, 14 Years after JAK-2 Positive PV Appearance: Complete
Remission of both Diseases with Lenalidomide Monotherapy.
AB - This is the report of the clinical case of a patient who presents the association
of a JAK-2 positive chronic myeloproliferative neoplasia to a subsequent 5q-
myelodysplastic syndrome, developed after about 14 years from the first
diagnosis. Patient's symptoms had rapidly worsened, and she became transfusion
dependent. Therapy with low-dose Lenalidomide quickly reduced the splenomegaly
and completely brought white cells counts, haemoglobin, and platelets back to
normal. After more than one year from the start, blood cell count is still
normal. As far as we know, this is the first case of an effective treatment with
Lenalidomide reported in this clinical setting.
PMID- 27872729
TI - Mild Bleeders: Diagnosis is Elusive in Large Number of Patients.
AB - BACKGROUND: Bleeding is a common clinical presentation. Even patients with mild
bleeding disorders are extensively investigated for ascertaining the cause. The
present study was conducted in order to evaluate the extent of the possibility of
diagnosis in mild bleeding disorders. MATERIAL AND METHODS: This was a
prospective study of patients referred for work up of mild bleeding for a period
of 13 months. A complete blood count, peripheral smear examination, Prothrombin
time, Partial Thromboplastin time and Thrombin Time, Platelet Aggregometry test,
tests for von Willebrand's disease and Platelet Factor 3 availability were
measured. RESULTS: 164 patients presented with mild bleeding, in 114 of the
patients a single site of bleeding was present. Epistaxis was the most common
presentation (39%). Cutaneous bleeding (petechiae and purpura) was the next
common site. History of a major bleeding tendency in the family was present only
in 11 patients. The investigations showed that VWD (17/164), followed by clotting
disorders (CD) mainly mild hemophilia (15/164) were the most common diagnosable
cause. There were also 4 cases of hypofibrinogenemia. The disorders of platelets
(Platelet function defects/PFD) were the least common (9/164). Rest 123 (75%)
patients could not be diagnosed on the basis of these investigations and were
labeled as Bleeding disorders - Unclassified (BDC). CONCLUSION: n our study, 75%
of the patients with mild bleeding remained undiagnosed even after extensive
laboratory workup, thus raising a very pertinent question that is it necessary
that all mild bleeders submit to a broad battery of investigations, as the
diagnosis continues to be elusive despite extensive workup.
PMID- 27872727
TI - Identifying High-Risk Chronic Lymphocytic Leukemia: A Pathogenesis-Oriented
Appraisal of Prognostic and Predictive Factors in Patients Treated with
Chemotherapy with or without Immunotherapy.
AB - Chronic lymphocytic leukemia (CLL) displays an extremely variable clinical
behaviour. Accurate prognostication and prediction of response to treatment are
important in an era of effective first-line regimens and novel molecules for high
risk patients. Because a plethora of prognostic biomarkers were identified, but
few of them were validated by multivariable analysis in comprehensive prospective
studies, we applied in this survey stringent criteria to select papers from the
literature in order to identify the most reproducible prognostic/predictive
markers. Each biomarker was analysed in terms of reproducibility across the
different studies with respect to its impact on time to first treatment (TTFT),
progression free survival (PFS), overall survival (OS) and response to treatment.
We were able to identify the following biomarkers as the most reliable in guiding
risk stratification in the daily clinical practice: 17p-/TP53 mutations, IGHV
unmutated configuration, short telomeres and 11q-. However, the method for
measuring telomere length was not validated yet and 11q- was predictive of
inferior OS only in those patients who did not receive FCR-like combinations.
Stage and lymphocytosis were predictive of shorter TTFT and age, high serum
thymidine kinase levels and poor performance status were predictive of shorter
OS. Using our criteria no parameter was found to independently predict for
inferior response to treatment.
PMID- 27872733
TI - Hyperhemolytic Syndrome Complicating a Delayed Hemolytic Transfusion Reaction due
to anti-P1 Alloimmunization, in a Pregnant Woman with HbO-Arab/beta-Thalassemia.
AB - BACKGROUND: Hyperhemolytic Syndrome or Hyperhemolytic Transfusion Reaction
(HHTR), a life-threatening subset of Delayed Hemolytic Transfusion Reaction
(DHTR) is characterized by destruction of both transfused and autologous
erythrocytes evidenced by a fall in post transfusion hemoglobin below the pre
transfusion level. CASE REPORT: We describe a case of DHTR due to anti-P1
alloimmunization manifesting with hyperhemolysis in a 30-year-old Greek Pomak
woman with thalassemia intermedia (HbO-Arab/beta-thalassemia), during the11th
week of her first gestation. She was successfully managed with avoidance of
further transfusions and administration of IVIG and corticosteroids. CONCLUSION:
A high index of suspicion for HHTR is of vital importance among clinicians
especially since optimal methods for its prevention and treatment remain yet to
be defined. Early recognition of HHTR leading to prompt cessation of additional
transfusions and initiation of immunosuppressive treatment can be life-saving,
especially in clinical settings where limited therapeutic options are available,
such as in pregnancy.
PMID- 27872734
TI - Allogeneic Hematopoietic Stem Cell Transplantation for Adult Patients with
Fanconi Anemia.
AB - BACKGROUND AND OBJECTIVES: Fanconi anemia (FA) is a rare genetic disorder caused
by an impaired DNA repair mechanism which leads to an increased tendency toward
malignancies and progressive bone marrow failure. The only curative management
available for hematologic abnormalities in FA patients is hematopoietic stem cell
transplantation (HSCT). This study aimed to report the results of HSCT in adult
or adolescent FA patients. PATIENTS AND METHODS: Twenty FA patients with ages of
16 or more who underwent HSCT between 2002 and 2015 enrolled in this study. The
stem cell source was peripheral blood, and all patients had a full human
leukocyte antigen (HLA) matched donor, 19 patients had a sibling donor, and one
had full matched other related. Indications for HSCT were severe bone marrow
failure or dependence on blood products transfusion and failure of medical
treatment to sustain peripheral blood elements at an acceptable level. RESULTS:
Eleven patients were female and 9 male (55% and 45%). Mean age was 24.05 years.
Mortality rate was 50% (n=10), and the leading cause of death was graft versus
host disease (GVHD) which occurred in 5 patients (4 cases from acute GVHD and one
from chronic GVHD). Survival analysis showed an overall 5-year survival of 53.63%
(95% confidence interval: 29.53%-72.74%) and 13 year survival of 45.96 % (95%
confidence interval: 22.08%-67.03%) among patients. CONCLUSION: HSCT is the only
curative management for bone marrow failure in FA patients. But the high rate of
mortality and morbidity in adolescent and adult patients makes it a challenging
issue.
PMID- 27872732
TI - Minimal Residual Disease in Acute Myeloid Leukemia of Adults: Determination,
Prognostic Impact and Clinical Applications.
AB - Pretreatment assessment of cytogenetic/genetic signature of acute myeloid
leukemia (AML) has been consistently shown to play a major prognostic role but
also to fail at predicting outcome on individual basis, even in low-risk AML.
Therefore, we are in need of further accurate methods to refine the patients'
risk allocation process, distinguishing more adequately those who are likely to
recur from those who are not. In this view, there is now evidence that the
submicroscopic amounts of leukemic cells (called minimal residual disease, MRD),
measured during the course of treatment, indicate the quality of response to
therapy. Therefore, MRD might serve as an independent, additional biomarker to
help to identify patients at higher risk of relapse. Detection of MRD requires
the use of highly sensitive ancillary techniques, such as polymerase chain
reaction (PCR) and multiparametric flow cytometry(MPFC). In the present
manuscript, we will review the current approaches to investigate MRD and its
clinical applications in AML management.
PMID- 27872731
TI - Infectious Complications after Umbilical Cord-Blood Transplantation from
Unrelated Donors.
AB - Umbilical cord-blood (UCB) is a well-recognized alternative source of stem cells
for unrelated donor hematopoietic stem cell transplantation (HSCT). As compared
with other stem cell sources from adult donors, it has the advantages of
immediate availability of cells, absence of risk to the donor and reduced risk of
graft-versus-host disease despite donor-recipient HLA disparity. However, the use
of UCB is limited by the delayed post-transplant hematologic recovery due, at
least in part, to the reduced number of hematopoietic cells in the graft and the
delayed or incomplete immune reconstitution. As a result, severe infectious
complications continue to be a leading cause of morbidity and mortality following
UCB transplantation (UCBT). We will address the complex differences in the immune
properties of UCB and review the incidence, characteristics, risk factors, and
severity of bacterial, fungal and viral infectious complications in patients
undergoing UCBT.
PMID- 27872735
TI - Fetal Hemoglobin Modifies the Disease Manifestation of Severe Plasmodium
Falciparum Malaria in Adult Patients with Sickle Cell Anemia.
PMID- 27872736
TI - Zika Virus: a Review from the Virus Basics to Proposed Management Strategies.
AB - This review aims to summarize the body of knowledge available on Zika virus to
date. A comprehensive review of the scientific literature on Zika virus was
performed with the aim to stress relevant aspects for healthcare professionals in
the non-endemic areas. For several years, the Zika virus infection was considered
an extremely rare exotic disease with poor clinical relevance. However, Zika
virus has recently gained the attention of the scientific community and public
opinion since the virus spread to the Pacific islands and the South America in an
unprecedented epidemic, and additionally due to the definitive evidence that the
infection could be complicated by Guillain-Barre syndrome, passed through
vertical transmission, and result in central nervous system abnormalities
(including microcephaly) of the fetus. Studies and scientific evidence on the
complications associated with Zika virus infection are growing day by day. It is
advisable that the healthcare professionals working in non-endemic areas maintain
full awareness on this issue in order to practice proper management of the
imported cases of Zika virus infection.
PMID- 27872739
TI - 30 Years Retrospective Review of Tuberculosis Cases in a Tuberculosis Dispensary
in Bursa/Nilufer, Turkey (1985-2014): Changes of Epidemics.
AB - OBJECTIVE: The aim of this study is to describe the epidemiological and clinical
aspects of patients who applied to the Bursa Nilufer Tuberculosis Dispensary by
investigating the trends in epidemics over three decades. METHOD: In this
retrospective observational study, the records of all tuberculosis cases (1630
patients) treated in the last 30 years (1985-2014) at the Bursa Nilufer
Tuberculosis Dispensary were examined and statistically analyzed. RESULTS: Males
comprised 65.2% of the patients. The ages of the patients ranged from 1 to 87
years, and the mean age was 37.4 (95% CI: 36.6-38.2). Among the cases, 86.7% were
new infections and 74.1% were pulmonary tuberculosis. In the last decade, the
education level, the percentage of patients who had received a BCG vaccination,
the proportion of women and active employees among them increased (p<0.05), while
it decreased among men (p<0.05). Clinical symptoms accompanying TB such as
weakness, anorexia, weight loss, and cough, decreased to a statistically
significant degree (p<0.05). In the last decade, the mortality rate was 3.6% and
increased compared with previous decades (p<0.05). Mortality was higher among
patients who were elderly, male, did not have a BCG scar or had a chronic disease
(p<0.05). CONCLUSION: This study adds information about the change of TB
epidemics in Turkey in the last 30 years. Further studies are needed to determine
the risk factors associated with tuberculosis mortality and to evaluate the
effectiveness control programs of this disease.
PMID- 27872738
TI - The ICET-A Recommendations for the Diagnosis and Management of Disturbances of
Glucose Homeostasis in Thalassemia Major Patients.
AB - Iron overload in patients with thalassemia major (TM) affects glucose regulation
and is mediated by several mechanisms. The pathogenesis of glycaemic
abnormalities in TM is complex and multifactorial. It has been predominantly
attributed to a combination of reduced insulin secretory capacity and insulin
resistance. The exact mechanisms responsible for progression from norm glycaemia
to overt diabetes in these patients are still poorly understood but are
attributed mainly to insulin deficiency resulting from the toxic effects of iron
deposited in the pancreas and insulin resistance. A group of endocrinologists,
haematologists and paediatricians, members of the International Network of
Clinicians for Endocrinopathies in Thalassemia and Adolescence Medicine (ICET-A)
convened to formulate recommendations for the diagnosis and management of
abnormalities of glucose homeostasis in thalassemia major patients on the basis
of available evidence from clinical and laboratory data and consensus practice.
The results of their work and discussions are described in this article.
PMID- 27872740
TI - Follicular Lymphoma: A Clinicopathological Analysis from a Tertiary Care
Institute in Southern India.
AB - INTRODUCTION: Follicular lymphoma (FL) is an indolent lymphoproliferative
disorder of B-cells with variable clinical behavior. It is the second most common
subtype of Non-Hodgkin lymphoma in western countries but reported to have a lower
incidence in Asia. MATERIALS AND METHODS: Cases of FL diagnosed in the Department
of Pathology of our Institute from January 2009 to June 2015 were included in the
study. The clinicopathological parameters including staging, histological
details, and immunohistochemical markers CD20, CD10 and BCL-2 were recorded in
all the cases. RESULTS: Of the 497 cases of Non-Hodgkin Lymphoma reported during
the study period, 36 (7.2%) cases were follicular lymphoma. The mean age was 50
years with male to female ratio of 3.2:1. Grade 1/2 was seen in 70% cases. 22 %
cases had low grade with high proliferation index (Ki67 > 40%). Granulomatous
response was seen in two cases. Diffuse large cell lymphoma component was present
in four cases. Bone marrow involvement and peripheral blood spill were seen in 12
(37.5%) and six cases (18.8%) respectively. 72% cases were in stage 3 or 4.
CONCLUSION: The incidence of FL was lower in our study than other Indian studies.
FL presented in the elderly, with male predominance and disseminated stage. The
study highlights features of low grade with high proliferation index,
granulomatous response, leukemic involvement, and transformation to high grade
lymphoma.
PMID- 27872741
TI - Novel Drugs in Follicular Lymphoma.
AB - Follicular lymphoma(FL) is the most common indolent non-Hodgkin lymphoma and
constitutes 15% to 30% of lymphoma diagnoses. The natural history of the disease
is characterized by recurrent relapses and progressively shorter remissions with
a median survival of 10yrs. The impossibility of achieving a definite cure, have
prompted investigations into the possible role of more active and less toxic
strategies with innovative therapeutic agents. Recently Casulo et al.
demonstrated that approximately 20% of patients with FL relapse within two years
after achieving remission with R-CHOP and have a poor prognosis. It is
conceivable that this particularly chemoresistant population would benefit from
specifically targeting the biologic and genetic factors that likely contribute to
their poor prognosis. Evolving strategies for difficult to treat FL patients have
recently considered immunomodulatory agents, new monoclonal antibodies as well as
drugs targeting selective intracellular pathways. The importance of targeting the
microenvironment together with the malignant FL cell has been particularly
underscored. We review the most promising approaches, such as combining anti-CD20
antibodies with immunomodulatory drugs (Lenalidomide), mAbs directed against
other surface antigens such as CD22 and CD23 (Epratuzumab, Lumiliximab),
immunomodulatory antibodies such as PD-1, or inhibitors of key steps in the B
cell receptor pathway signaling such as PI3K inhibitors (Idelalisib, Duvelisib).
Another highly attractive approach is the application of the bi-specific T-cell
engaging (BiTE) antibody blinatumomab which targets both CD19 and CD3 antigens.
Moreover, we highlight the potential of these therapies, taking into account
their toxicity. Of course, we must wait for Phase III trials results to confirm
the benefit of these new treatment strategies toward a new era of chemotherapy
free treatment for follicular lymphoma.
PMID- 27872737
TI - Immunity to Infections after Haploidentical Hematopoietic Stem Cell
Transplantation.
AB - The advantage of using a Human Leukocyte Antigen (HLA)-mismatched related donor
is that almost every patient who does not have an HLA-identical donor or who
urgently needs hematopoietic stem cell transplantation (HSCT) has at least one
family member with whom shares one haplotype (haploidentical) and who is promptly
available as a donor. The major challenge of haplo-HSCT is intense bi-directional
alloreactivity leading to high incidences of graft rejection and graft-versus
host disease (GVHD). Advances in graft processing and pharmacologic prophylaxis
of GVHD have reduced these risks and have made haplo-HSCT a viable alternative
for patients lacking a matched donor. Indeed, the haplo-HSCT has spread to
centers worldwide even though some centers have preferred an approach based on T
cell depletion of G-CSF-mobilized peripheral blood progenitor cells (PBPCs),
others have focused on new strategies for GvHD prevention, such as G-CSF priming
of bone marrow and robust post-transplant immune suppression or post-transplant
cyclophosphamide (PTCY). Today, the graft can be a megadose of T-cell depleted
PBPCs or a standard dose of unmanipulated bone marrow and/or PBPCs. Although
haplo-HSCT modalities are based mainly on high intensity conditioning regimens,
recently introduced reduced intensity regimens (RIC) showed promise in decreasing
early transplant-related mortality (TRM), and extending the opportunity of HSCT
to an elderly population with more comorbidities. Infections are still mostly
responsible for toxicity and non-relapse mortality due to prolonged
immunosuppression related, or not, to GVHD. Future challenges lie in determining
the safest preparative conditioning regimen, minimizing GvHD and promoting rapid
and more robust immune reconstitution.
PMID- 27872743
TI - The Slopes Remain the Same: Reply to Wolfe (2016).
AB - Wolfe (2016) responds to my article (Kristjansson, 2015), arguing among other
things, that the differences in slope by response method in my data reflect speed
accuracy trade-offs. But when reaction times and errors are combined in one score
(inverse efficiency) to sidestep speed accuracy trade-offs, slope differences
still remain. The problem that slopes, which are thought to measure search speed,
differ by response type therefore remains.
PMID- 27872744
TI - Association between sports type and overuse injuries of extremities in children
and adolescents: a systematic review.
AB - BACKGROUND: Sporting activities can cause injuries and overuse injuries of the
extremities (OIE) in children have been shown to be more common than injuries
caused by trauma. The lower extremity is more frequently affected than the upper
extremity in OIE, but it is not known whether injury site and diagnosis vary in
different sporting activities. PURPOSE: To identify any differences between
sports in relation to diagnoses and anatomical areas most likely to be injured.
METHODS: A search was made in November 2014 and again in June 2016 in PubMed,
SportDiscus, PsycInfo and Web of Sciences. Search terms were: " overuse injuries
OR cumulative trauma disorders OR musculoskeletal injuries " AND " extremity OR
limb " AND " physical activity OR sport OR risk factor OR predictors OR exercises
" AND " child OR adolescent OR young adults ". Inclusion criteria were: 1)
prospective, retrospective, or cross-sectional study design; 2) age <=19 years;
3) the articles must clearly state if reported cases were classified as traumatic
or overuse injuries; 4) reporting on OIE in relation to a particular sports type,
and 5) sample size >50. A blinded systematic review was conducted. RESULTS: In
all, nine of the 736 identified articles were included, studying soccer,
handball, orienteering, running, dance, and gymnastics. The incidence of OIE was
given only in a few articles but at least the site and diagnosis of OIE were
identifiable. The lower limb is more often affected than the upper in all sports
covered, and, in general, the lower leg and knee are the two most often affected
areas. However, in handball, the elbow was the second most often reported area,
and in gymnastics injuries of the foot appeared to be more frequent than in the
other sports. No differences in diagnoses were observed between sports types.
CONCLUSION: Our work contributes new information, namely that the site of OIE in
children and adolescents appears to vary only somewhat between different types of
sports. Further well-designed surveillance studies are needed to improve
knowledge that can help prevent injuries in children and adolescents
participating in sports activities.
PMID- 27872742
TI - Systemic Front Line Therapy of Follicular Lymphoma: When, to Whom and How.
AB - The natural history of follicular lymphoma is usually characterized by an
indolent course with a high response rate to the first line therapy followed by
recurrent relapses, with a time to next treatment becoming shorter after each
subsequent treatment line. More than 80% of patients have advanced stage disease
at diagnosis. The time of initiation and the nature of the treatment is mainly
conditioned by symptoms, tumor burden, lymphoma grading, co-morbidities and
patients preference. A number of clinical and biological factors have been
determined to be prognostic in this disease, but the majority of them could not
show to be predictive of response to treatment, and therefore can't be used to
guide the treatment choice. CD20 expression is the only predictive factor
recognized in the treatment of FL and justifies the use of "naked" or
"conjugated" anti-CD20 monoclonal antibodies as a single agent or in combination
with chemo- or targeted therapy. Nevertheless, as this marker is almost
universally found in FL, it has little role in the choice of treatment. The
outcome of patients with FL improved significantly in the last years, mainly due
to the widespread use of rituximab, autologous and allogeneic transplantation in
young and fit relapsed patients, the introduction of new drugs and the
improvement in diagnostic accuracy and management of side effects. Agents as new
monoclonal antibodies, immuno-modulating drugs, and target therapy have recently
been developed and approved for the relapsed setting, while studies to evaluate
their role in first line treatment are still ongoing. Here we report our
considerations on first line treatment approach and on the potential factors
which could help in the choice of therapy.
PMID- 27872745
TI - Critical review of sham surgery clinical trials: Confounding factors analysis.
AB - OBJECTIVE: Sham surgery (placebo surgery) is an intervention that omits the step
thought to be therapeutically necessary. In surgical clinical trials, sham
surgery serves an analogous purpose to placebo drugs, neutralizing biases such as
the placebo effect. A critical review was performed to study the statistical
relevance of the clinical trials about sham surgery in the light of potential
confounding factors. MATERIALS AND METHODS: For the critical review 52 articles
were included. The possible confounding factors have been studied using a
structured interpretative research form designed by the authors. This form
includes the following ten confounding factors: I), lack of homogeneity among
inclusion/exclusion criteria. II), false double blind. III), lack of post-surgery
double blind. IV), power of the study. V), sample characteristics. VI), lost
patients to Follow-up. VII), gender distribution. VIII), age equilibrium. IX),
lack of psychological patient evaluation. X), lack of psychiatric patient
evaluation. In most of the studies, at least one confounding factor was present.
RESULTS: The analysis of the confounding factors showed that they could influence
the reliability of the surgical placebo effects. CONCLUSIONS: The validity of
sham surgery should be reconsidered.
PMID- 27872746
TI - A prospective cohort study to assess the role of FDG-PET in differentiating
benign and malignant follicular neoplasms.
AB - BACKGROUND: Follicular and Hurthle cell neoplasms are diagnostic challenges. This
prospective study was designed to evaluate the efficacy of [18F]-2-fluoro-2-deoxy
d-glucose (FDG) positron emission tomography/computed tomography (PET/CT) in
predicting the risk of malignancy in follicular/Hurthle cell neoplasms. MATERIALS
AND METHODS: Fifty thyroid nodules showing follicular/Hurthle cell neoplasm on
prior ultrasonography guided fine needle aspiration cytology (FNAC) were
recruited into this study. A FDG-PET/CT scan, performed for neck and superior
mediastinum, was reported by a single observer, blinded to the surgical and
pathology findings. Receiver operating characteristic (ROC) curve analysis of
maximum standardized uptake value (SUVmax) and the area under the curve (AUROC)
were used to assess discrimination between benign from malignant nodules. Youden
index was used to identify the optimal cut-off SUVmax for diagnosing malignancy.
Sensitivity, specificity, predictive values and overall accuracy were used as
measures of performance. RESULTS: Our study group comprises of 31 benign and 19
malignant thyroid nodules. After excluding all Hurthle cell adenomas, the AUROC
for discriminating benign and malignant non-Hurthle cell neoplasms was 0.79 (95%
CI, 0.64-0.94; p = 0.001); with SUVmax of 3.25 as the best cut-off for the
purpose. PET/CT had sensitivity of 79% (95% CI, 54-93%), specificity of 83% (95%
CI, 60-94%), positive predictive value (PPV) of 79% (95% CI, 54-93%), and
negative predictive value (NPV) of 83% (95% CI, 60-94%). The overall accuracy was
81%. CONCLUSIONS: FDG-PET/CT can help in differentiating benign and malignant non
Hurthle cell neoplasms. SUVmax of 3.25 was found to be the best for identifying
malignant non-Hurthle cell follicular neoplasms.
PMID- 27872747
TI - Promoting public health through nutrition labeling - a study in Brazil.
AB - BACKGROUND: Food and nutrition education allows individuals to build knowledge
and values, reframe their food practices, and develop strategies for a healthy
diet. Food choices within the diet represent a determinant of individual health
status. Regardless of the food quality, the consumption of calorie-dense foods
does not promote better health conditions for the population and can worsen
emerging health problems. The present study aimed to describe and analyze the
effectiveness of educational activities related to nutrition information for
enabling healthy food choices, as a tool to promote public health. METHODS: To
describe and analyze the effectiveness of an educational intervention regarding
nutrition labeling as a tool to promote healthy food choices, 702 individuals
were enrolled in the present quasi-experimental study. The Wilcoxon and McNemar
tests were used to compare the pre- and post-intervention data, and a p value
<0.05 was considered statistically significant. RESULTS: Of the 702 participants
(mean age, 26.6 years), 17.4 % were male, and 82.6 % were female. The education
level was high school for 53.2 % of the participants. The mean income was R$
1969.54 (about 500 USD). In the pre-test, 55.8 % of the respondents reported
consulting the nutrition information provided on packaged foods. At the post
test, 72.0 % of respondents reported consulting this information (p < 0.001;
Table 1). However, the change in the response regarding the purchase of packaged
products was borderline significant. CONCLUSIONS: The results indicate that the
intervention was feasible and acceptable and improved knowledge regarding the
role of nutrition labeling in promoting healthy eating. These results support the
importance of an educational intervention to reinforce healthy food choices.
PMID- 27872748
TI - Hemothorax following lung transplantation: incidence, risk factors, and effect on
morbidity and mortality.
AB - BACKGROUND: Hemothorax after lung transplantation may result in increased post
operative morbidity and mortality. Risk factors for developing hemothorax and the
outcomes of patients who develop hemothorax have not been well studied. METHODS:
A retrospective chart review was performed on all patients who underwent lung
transplantation at a single center between March 2009 and July 2014. Comparison
was made between patients with and without hemothorax post-transplant. RESULTS:
There were 132 lung transplantations performed during the study period.
Hemothorax was a complication in 17 (12.9 %) patients, occurring an average of 9
days after transplant. No difference was found between the hemothorax and non
hemothorax groups with respect to age, preoperative anticoagulation, lung
allocation score, prior thoracotomy, coagulation profile, use of cardiopulmonary
bypass, ischemic time, or postoperative P/F ratio. There was a trend towards a
higher incidence of hemothorax in patients with underlying sarcoidosis and re
transplantation (p = 0.13 and 0.17, respectively). Hemothorax developed early
(<48 h post-operatively) in 5 patients and presented in a delayed manner (>=48 h
post-operatively) in 12 patients. Delayed hemothorax occurred primarily in the
setting of anticoagulation (10 out of 12 patients). The hemothorax group had
decreased ventilator-free days (p = 0.006), increased ICU length of stay (p =
0.01) and increased hospital length of stay (p = 0.005). Hemothorax was also
associated with reduced 90-day survival (p = 0.001), but similar 1, 3, and 5-year
survival (p = 0.63, p = 0.30, and p = 0.25), respectively). CONCLUSION: The
development of hemothorax is associated with increased morbidity and decreased
short-term survival. Hemothorax may present either within the first 48 h after
surgery or in a delayed fashion, most commonly in the setting of anticoagulation.
PMID- 27872749
TI - "Vaginella massiliensis" gen. nov., sp. nov., a new genus cultivated from human
female genital tract.
AB - We relate the main characteristics of "Vaginella massiliensis" strain Marseille
P2517 (= DSM 102346 = CSUR P2517), a new member of the Flavobacteriaceae family.
The strain Marseille P2517 was cultivated from a vaginal swab from a healthy 22
year-old woman.
PMID- 27872750
TI - "Corynebacterium urinapleomorphum" sp. nov., isolated from a urine sample of a 2
month-old boy affected by rotavirus gastroenteritis.
AB - We report the main characteristics of "Corynebacterium urinapleomorphum" strain
Marseille-P2799T (CSURP2799), isolated from a urine sample from a 2-month-old boy
with rotavirus gastroenteritis.
PMID- 27872751
TI - Novel gene (TMEM230) linked to Parkinson's disease.
AB - Mutations in six genes are known to cause Parkinson's disease (PD) (autosomal
dominant: alpha-synuclein, LRRK2, VPS35 and autosomal recessive: Parkin, PINK1
and DJ1) and number of other genes are implicated. In a recent article Deng and
colleagues studied a large four generation American family of European descent
and linked mutations in a novel gene, transmembrane-protein 230 gene (TMEM230)
with lewy body confirmed PD. The authors demonstrated that pathogenic TMEM230
variants in primary mouse neurons affected movement of synaptic vesicles
suggesting that TMEM230 may slow vesicular transport. Further experiments in
HEK293 cells (carrying the pathogenic TMEM230 variants) showed increased alpha
synuclein levels. This study indicated that the impaired vesicular trafficking
may contribute to the pathogenesis of PD. Understanding the various cellular
mechanisms leading to PD may lead to the development of novel, much needed
therapeutic options. These mechanisms could include: enhanced clearance of
damaged mitochondria, development of kinase inhibitors, VPS35/retromer function
enhancers or now the possibility of vesicular transport modification.
PMID- 27872752
TI - In-vitro toxicity study of poly(alkylphenol) as vulcanizing agent.
AB - In this study, cytotoxicity of various novel poly(alkylpehnol) derivatives which,
one of constituent for vulcanizing agent, could be adjusted in medical elastic
rubber applications were investigated under various conditions of cytotoxicity
test. By MTT-assay which according to ISO 10993-5 regulation, we could figure out
cell viability of mouse fibroblast in various sample conditions. Furthermore, by
Live & Dead Cell assay, we could get colorimetric cell viability via fluorescence
images.
PMID- 27872754
TI - Evaluation of Contrast Sensitivity, Chromatic Vision, and Reading Ability in
Patients with Primary Open Angle Glaucoma.
AB - Purpose. To compare contrast sensitivity, acquired color vision deficiency, and
reading ability in patients with glaucoma at different stages of the disease and
to establish correlations between visual field parameters and visual function
scores. Methods. This prospective cross-sectional study included 121 glaucoma
patients. Subjects with a diagnosis of chronic open angle glaucoma were recruited
and classified according to Hodapp-Parrish-Anderson criteria. Patients with
severe visual field defects were excluded because they were older, which could
bias the interpretation of visual function tests. Contrast sensitivity was
measured using the Pelli-Robson Chart and the CSV1000E test. Chromatic vision was
evaluated using the Farnsworth-panel D15 and the L'Anthony D15 tests of Vision
Color Recorder software. Reading ability was measured using Radner-Vissum test.
Results. Contrast sensitivity (with photopic and mesopic luminance with glare)
differed significantly between patients with early and moderate visual field
defects (p < 0.05). Reading ability scores and results of the chromatic vision
tests did not differ significantly between the two groups. Significant and
moderate Spearman correlations between visual field indexes and contrast
sensitivity tests were detected. Conclusions. Contrast sensitivity was
significantly worse in patients with moderate glaucoma compared to those with
early-stage glaucoma. Evaluation of visual function in clinical practice provides
important information to address a glaucoma patient's vision complaints.
PMID- 27872753
TI - Multifunctional Thioredoxin-Like Protein from the Gastrointestinal Parasitic
Nematodes Strongyloides ratti and Trichuris suis Affects Mucosal Homeostasis.
AB - The cellular redox state is important for the regulation of multiple functions
and is essential for the maintenance of cellular homeostasis and antioxidant
defense. In the excretory/secretory (E/S) products of Strongyloides ratti and
Trichuris suis sequences for thioredoxin (Trx) and Trx-like protein (Trx-lp) were
identified. To characterize the antioxidant Trx-lp and its interaction with the
parasite's mucosal habitat, S. ratti and T. suis Trx-lps were cloned and
recombinantly expressed. The primary antioxidative activity was assured by
reduction of insulin and IgM. Further analysis applying an in vitro mucosal 3D
cell culture model revealed that the secreted Trx-lps were able to bind to
monocytic and intestinal epithelial cells and induce the time-dependent release
of cytokines such as TNF-alpha, IL-22, and TSLP. In addition, the redox proteins
also possessed chemotactic activity for monocytic THP-1 cells and fostered
epithelial wound healing activity. These results confirm that the parasite
secreted Trx-lps are multifunctional proteins that can affect the host intestinal
mucosa.
PMID- 27872755
TI - Changes in Anterior Segment Morphology of Iris Bombe before and after Laser
Peripheral Iridotomy in Patients with Uveitic Secondary Glaucoma.
AB - Purpose. To quantify changes in anterior segment (AS) parameters after laser
peripheral iridotomy (LPI) using AS-optical coherence tomography (OCT) of iris
bombe. Method. AS images of eight eyes were captured before and after iris bombe
and more than 2 weeks after LPI (post-LPI) using AS-OCT. We compared the
following AS parameters: anterior chamber depth (ACD), anterior chamber volume
(ACV), iris curvature (IC), iris thickness at 500 MUm from the scleral spur (IT
1) in the middle between the iris root and pupillary margin (IT-2) and 500 MUm
from the pupillary margin (IT-3) to the anterior chamber angle (ACA) (angle
opening distance [AOD750]), and trabecular iris space area. Results. Mean IT-1
and IT-3, but not IT-2, were lower after iris bombe (IT-1, P = 0.001; IT-2, P =
0.081; and IT-3, P = 0.001). There were no significant differences between ACD at
pre-LPI and before iris bombe (P = 0.096). The mean ACV and AOD750 of iris bombe
increased at post-LPI (ACV, P < 0.01, and AOD750, P < 0.05). The mean IT-1, IT-2,
and IT-3 increased at post-LPI (all, P <= 0.01). IC decreased at post-LPI (P <
0.001), and ACD at post-LPI did not change. Conclusions. The iris extends and
becomes thinner during iris bombe. LPI during bombe decreases the IC and
increases the ACV and ACA.
PMID- 27872757
TI - Sports Nutrition Knowledge among Mid-Major Division I University Student
Athletes.
AB - Competitive athletes have goals to optimize performance and to maintain healthy
body composition. Sports nutrition is a component of training programs often
overlooked by student-athletes and their coaches. The purpose of this study was
to examine student-athletes' sports nutrition knowledge across sex, class level,
team, and completion of prior nutrition coursework. Participants included 123 mid
major Division I university student-athletes (47 females and 76 males) from
baseball, softball, men's soccer, track and field, and tennis. The student
athletes completed a survey questionnaire to determine adequate sports nutrition
knowledge (mean >= 75%). The overall mean sports nutrition knowledge score for
the student-athletes was 56.9% which was considered inadequate sports nutrition
knowledge (mean < 75%). Only 12 student-athletes achieved adequate sports
nutrition knowledge score of 75% or higher. There were no differences by sex,
class level, team, and completion of prior nutrition coursework. Student
athletes' inadequate sports nutrition knowledge may place them at nutrition risk,
lead to impaired performance, and affect their lean body mass and energy levels.
Athletics personnel should not assume student-athletes have adequate sports
nutrition knowledge. Athletic departments may make available a board certified
Sports Dietitian or Registered Dietitian and offer classroom or online courses
facilitating student-athletes to optimize nutrition knowledge and behaviors.
PMID- 27872756
TI - Prevalence of Hypertension and Its Associated Risk Factors among 34,111 HAART
Naive HIV-Infected Adults in Dar es Salaam, Tanzania.
AB - Background. Elevated blood pressure has been reported among treatment naive HIV
infected patients. We investigated prevalence of hypertension and its associated
risk factors in a HAART naive HIV-infected population in Dar es Salaam, Tanzania.
Methods. A cross-sectional analysis was conducted among HAART naive HIV-infected
patients. Hypertension was defined as systolic blood pressure (SBP) >= 140 mmHg
and/or diastolic blood pressure (DBP) >= 90 mmHg. Overweight and obesity were
defined as body mass index (BMI) between 25.0-29.9 kg/m2 and >=30 kg/m2,
respectively. We used relative risks to examine factors associated with
hypertension. Results. Prevalence of hypertension was found to be 12.5%. After
adjusting for possible confounders, risk of hypertension was 10% more in male
than female patients. Patients aged >=50 years had more than 2-fold increased
risk for hypertension compared to 30-39-years-old patients. Overweight and
obesity were associated with 51% and 94% increased risk for hypertension compared
to normal weight patients. Low CD4+ T-cell count, advanced WHO clinical disease
stage, and history of TB were associated with 10%, 42%, and 14% decreased risk
for hypertension. Conclusions. Older age, male gender, and overweight/obesity
were associated with hypertension. Immune suppression and history of TB were
associated with lower risk for hypertension. HIV treatment programs should screen
and manage hypertension even in HAART naive individuals.
PMID- 27872758
TI - Thrombosis of iliac vessels, a rare complication of endometriosis: Case report
and review of literature.
AB - A young women presented with iliac vein thrombosis, as extrapelvic endometriosis
complication. Endometriosis mass had a subfascial position at the level of
external oblique muscle and extended to the iliac vein. This paper reviewed the
literature on endometriosis cases localized into striated muscles and cases of
deep vein thrombosis due to this disease. There are not similar cases in the
literature. The diagnostic role of ultrasound, in obtaining the definitive
histological diagnosis, may be further enhanced through Elasticity Imaging
Techniques and ultrasound-guided biopsy.
PMID- 27872759
TI - Impact of moderate intensity aerobic exercise on chemotherapy-induced anemia in
elderly women with breast cancer: A randomized controlled clinical trial.
AB - Exercises are often recommended for patients suffering from anemia to improve
physical conditioning and hematologic parameters. Hence, the present study aimed
to investigate the impact of moderate intensity aerobic exercise on chemotherapy
induced anemia. Thirty elderly women with breast cancer underwent chemotherapy
and were randomly assigned into two equal groups; Group A received aerobic
exercise for 25-40 min at 50-70% of the maximum heart rate, 3 times/week for 12
weeks in addition to usual daily living activities, medication and nutritional
support. Group B who did not train served as controls. Hemoglobin (Hb), and red
blood cell count (RBCs) were evaluated pre-treatment and after 12 weeks of
training. There were significant declines of both Hb (t = 16.30; P < 0.001) and
RBCs (t = 10.38; P < 0.001) in group B relative to group A. Regarding group A, Hb
increased from 11.52 +/- 0.62 to 12.10 +/- 0.59 g/dL with a 5.03% change, while
RBCs increased from 4.24 +/- 0.37 to 4.49 +/- 0.42 million cells/MUL with a 5.89%
change. Between-group differences were noteworthy regarding Hb (t = -5.34; P <
0.001) and RBCs (t = -5.314; P < 0.001). The results indicate that regular
participation in moderate intensity aerobic exercise can enhance chemotherapy
induced anemia.
PMID- 27872760
TI - Factors Associated with PMTCT Cascade Completion in Four African Countries.
AB - Background. Many countries are working to reduce or eliminate mother-to-child
transmission (MTCT) of HIV. Prevention efforts have been conceptualized as steps
in a cascade but cascade completion rates during and after pregnancy are low.
Methods. A cross-sectional survey was performed across 26 communities in
Cameroon, Cote d'Ivoire, South Africa, and Zambia. Women who reported a pregnancy
within two years were enrolled. Participant responses were used to construct the
PMTCT cascade with all of the following steps required for completion: at least
one antenatal visit, HIV testing performed, HIV testing result received,
initiation of maternal prophylaxis, and initiation of infant prophylaxis. Factors
associated with cascade completion were identified using multivariable logistic
regression modeling. Results. Of 976 HIV-infected women, only 355 (36.4%)
completed the PMTCT cascade. Although most women (69.2%) did not know their
partner's HIV status; awareness of partner HIV status was associated with cascade
completion (aOR 1.4, 95% CI 1.01-2.0). Completion was also associated with
receiving an HIV diagnosis prior to pregnancy compared with HIV diagnosis during
or after pregnancy (aOR 14.1, 95% CI 5.2-38.6). Conclusions. Pregnant women with
HIV infection in Africa who were aware of their partner's HIV status and who were
diagnosed with HIV before pregnancy were more likely to complete the PMTCT
cascade.
PMID- 27872761
TI - Effective Concentration of Lidocaine Plus Fentanyl for Caudal Block in Patients
Undergoing Transrectal Ultrasound Guided Prostate Biopsy.
AB - Objective. This study determined the effective concentration (EC) of lidocaine
plus 75 MUg fentanyl for caudal block in patients undergoing transrectal
ultrasound (TRUS) guided prostate biopsy. Methods. Consecutive male patients
scheduled for TRUS guided prostate biopsy were enrolled. The mixed solution for
caudal block contained lidocaine and 75 MUg fentanyl, in total 20 mL. The
concentration of lidocaine was determined using the up-and-down method, starting
at 0.8% (a step size of 0.1%). A successful caudal block was defined by no pain
perception during biopsy. The EC50 of lidocaine for successful caudal block was
calculated and side effects were evaluated. Results. A total of 23 patients were
recruited. The EC50 of lidocaine for successful caudal block was 0.53%.
Conclusions. Lidocaine of 0.53% combined with 75 MUg fentanyl resulted in
excellent caudal block in 50% of male patients undergoing transrectal ultrasound
guided prostate biopsy.
PMID- 27872763
TI - Effects of Microtubule Stabilization by Epothilone B Depend on the Type and Age
of Neurons.
AB - Several studies have demonstrated the therapeutic potential of applying
microtubule- (MT-) stabilizing agents (MSAs) that cross the blood-brain barrier
to promote axon regeneration and prevent axonal dystrophy in rodent models of
spinal cord injury and neurodegenerative diseases. Paradoxically, administration
of MSAs, which have been widely prescribed to treat malignancies, is well known
to cause debilitating peripheral neuropathy and axon degeneration. Despite the
growing interest of applying MSAs to treat the injured or degenerating central
nervous system (CNS), consequences of MSA exposure to neurons in the central and
peripheral nervous system (PNS) have not been thoroughly investigated. Here, we
have examined and compared the effects of a brain-penetrant MSA, epothilone B, on
cortical and sensory neurons in culture and show that epothilone B exhibits both
beneficial and detrimental effects, depending on not only the concentration of
drug but also the type and age of a neuron, as seen in clinical settings.
Therefore, to exploit MSAs to their full benefit and minimize unwanted side
effects, it is important to understand the properties of neuronal MTs and
strategies should be devised to deliver minimal effective concentration directly
to the site where needed.
PMID- 27872762
TI - Understanding the Functional Plasticity in Neural Networks of the Basal Ganglia
in Cocaine Use Disorder: A Role for Allosteric Receptor-Receptor Interactions in
A2A-D2 Heteroreceptor Complexes.
AB - Our hypothesis is that allosteric receptor-receptor interactions in homo- and
heteroreceptor complexes may form the molecular basis of learning and memory.
This principle is illustrated by showing how cocaine abuse can alter the
adenosine A2AR-dopamine D2R heterocomplexes and their receptor-receptor
interactions and hereby induce neural plasticity in the basal ganglia. Studies
with A2AR ligands using cocaine self-administration procedures indicate that
antagonistic allosteric A2AR-D2R heterocomplexes of the ventral striatopallidal
GABA antireward pathway play a significant role in reducing cocaine induced
reward, motivation, and cocaine seeking. Anticocaine actions of A2AR agonists can
also be produced at A2AR homocomplexes in these antireward neurons, actions in
which are independent of D2R signaling. At the A2AR-D2R heterocomplex, they are
dependent on the strength of the antagonistic allosteric A2AR-D2R interaction and
the number of A2AR-D2R and A2AR-D2R-sigma1R heterocomplexes present in the
ventral striatopallidal GABA neurons. It involves a differential cocaine-induced
increase in sigma1Rs in the ventral versus the dorsal striatum. In contrast, the
allosteric brake on the D2R protomer signaling in the A2AR-D2R heterocomplex of
the dorsal striatopallidal GABA neurons is lost upon cocaine self-administration.
This is potentially due to differences in composition and allosteric plasticity
of these complexes versus those in the ventral striatopallidal neurons.
PMID- 27872765
TI - Cardiac Arrest after Local Anaesthetic Toxicity in a Paediatric Patient.
AB - We report a case of a paediatric patient undergoing urological procedure in which
a possible inadvertent intravascular or intraosseous injection of bupivacaine
with adrenaline in usual doses caused subsequent cardiac arrest, completely
reversed after administration of 20% intravenous lipid emulsion. Early diagnosis
of local anaesthetics toxicity and adequate cardiovascular resuscitation
manoeuvres contribute to the favourable outcome.
PMID- 27872764
TI - Genetic Regulation of Maternal Oxytocin Response and Its Influences on Maternal
Behavior.
AB - We interrogated the genetic modulation of maternal oxytocin response and its
association with maternal behavior using genetic risk scores within the oxytocin
receptor (OXTR) gene. We identified a novel SNP, rs968389, to be significantly
associated with maternal oxytocin response after a challenging mother-infant
interaction task (Still Face Paradigm) and maternal separation anxiety from the
infant. Performing a multiallelic analysis across OXTR by calculating a
cumulative genetic risk score revealed a significant gene-by-environment (G * E)
interaction, with OXTR genetic risk score interacting with adult separation
anxiety to modulate levels of maternal sensitivity. Mothers with higher OXTR
genetic risk score and adult separation anxiety showed significantly reduced
levels of maternal sensitivity during free play with the infant. The same G * E
interaction was also observed for the extended OXTR cumulative genetic risk score
that included rs968389. Moreover, the extended cumulative OXTR genetic risk score
itself was found to be significantly associated with maternal separation anxiety
as it specifically relates to the infant. Our results suggest a complex montage
of individual and synergistic genetic mediators of maternal behavior. These
findings add to specific knowledge about genetic regulation of maternal oxytocin
response in relation to maternal adjustment and infant bonding through the first
few months of life.
PMID- 27872766
TI - An Atypical Case of Myxedema Coma with Concomitant Nonconvulsive Seizure.
AB - Hypothyroidism is a prevalent condition in the general population that is
treatable with appropriately dosed thyroid hormone replacement medication.
Infrequently, patients will present with myxedema coma, characterized by
hypothermia, hypotension, bradycardia, and altered mental status in the setting
of severe hypothyroidism. Myxedema coma has also been known to manifest in a
number of unusual and dangerous forms. Here, we present the case of a woman we
diagnosed with an uncharacteristic expression of myxedema coma and nonconvulsive
seizure complicated by a right middle cerebral artery infarct.
PMID- 27872767
TI - Diffuse Alveolar Hemorrhage Associated with Edoxaban Therapy.
AB - Introduction. The main adverse effect of anticoagulant therapy is bleeding, and
major bleeding, including intracranial, gastrointestinal, and retroperitoneal
bleeding, has been reported as an adverse effect of edoxaban, a direct oral
anticoagulant (DOAC). Bleeding during systemic anticoagulation with edoxaban
presents a therapeutic conundrum, because there is currently no safe or
efficacious reversal agent to stop major bleeding. Case Report. A 51-year-old
woman had multiple traumatic injuries, including lower limb fractures. On day 8,
she developed deep venous thrombosis, and edoxaban was administered orally. On
day 38, she developed fungemia, which was treated with an antifungal drug. On day
43, she presented with dyspnea. Chest computed tomography scan showed bilateral
diffuse ground-glass opacities in the whole lung fields. The results of the
subsequent workup (i.e., serum levels of the antineutrophil cytoplasmic antibody,
antinuclear antibody, and antiglomerular basement membrane antibody) and
microbiological study were unremarkable. Based on these findings, her condition
was diagnosed as diffuse alveolar hemorrhage (DAH) associated with edoxaban
therapy. The lung opacities disappeared spontaneously after edoxaban therapy was
discontinued. Conclusion. DAH is a dangerous complication associated with
edoxaban therapy. DOACs, including edoxaban, should be prescribed with caution,
especially for patients in a critical condition.
PMID- 27872768
TI - Hip Replacement Surgery in 14-Year-Old Girl with Factor V Deficiency: Haemostatic
Treatment and Thromboprophylaxis.
AB - Factor V (FV) is a pivotal coagulation factor present in plasma and platelets. It
plays an essential role in secondary haemostasis acting as a cofactor in the
prothrombinase complex, catalysing the conversion of prothrombin to thrombin.
There is little evidence on the management of mayor orthopaedic surgery in
paediatric or adolescents subjects with this coagulopathy and almost no
information about thromboprophylaxis in these situations. We report a case of a
hip replacement in a 14-year-old girl with moderate FV deficiency (0.07 IU mL-1).
As haemostatic replacement, inactivated fresh frozen plasma (FFP) was transfused
at doses of 600 mL (15 mL kg-1, 45 kg weight) 2 hours before surgery and then
sequential FFP infusions of 250 mL (7 mL kg-1) every 12 hours for 7 days. Plasma
factor VIII, von Willebrand factor antigen, and von Willebrand ristocetin
cofactor were monitored to avoid supranormal levels. Since the patient was
sexually mature (Marshall and Tanner stage 5) with the hormone replacement
therapy, she was immobilized and the surgery was considered as a high thrombotic
risk. Thus, low molecular weight heparin was administered at doses of
intermediate risk (Enoxaparin 20 mg daily, by weight) after finishing the daily
infusion of plasma: 24 hours and during the 7 days after intervention. No
tranexamic acid was used. No haemorrhagic or thrombotic adverse event was
described.
PMID- 27872769
TI - Mantle Cell Hyperplasia of Peripheral Lymph Nodes as Initial Manifestation of
Sickle Cell Disease.
AB - Sickle cell disease (SCD) is a well known hemoglobinopathy with usual
manifestations including anemia, hyperbilirubinemia, and vasoocclusive
complications. Despite presence of mild splenomegaly in early phase of the
disease, lymphadenopathy is not an often finding of SCD. We introduce an
undiagnosed case of SCD who presented in third decade of his life with multiple
cervical lymphadenopathies and mild splenomegaly persistent for about five years.
Histopathologic examination of the resected lymph nodes showed expansion of the
mantle cell layers of secondary follicles as well as several monomorphic mantle
cell nodules. To rule out possibility of a malignant process involving lymph
nodes, an immunohistochemical panel was ordered which was in favor of benign
mantle cell hyperplasia. Immunoglobulin gene rearrangement study showed no clonal
bands and confirmed benign nature of the process. Respecting mild abnormalities
on Complete Blood Count, peripheral blood smear was reviewed revealing some
typical sickle red blood cells as well as rare nucleated red blood cells.
Solubility test for hemoglobin (HB) S was positive. Hemoglobin electrophoresis
confirmed diagnosis of homozygous HbS disease.
PMID- 27872770
TI - Herpes Simplex Virus Hepatitis in an Immunocompetent Host Resembling Hepatic
Pyogenic Abscesses.
AB - Herpes simplex virus (HSV) hepatitis represents a rare complication of HSV
infection, which can progress to acute liver failure and, in some cases, death.
We describe an immunocompetent 67-year-old male who presented with one week of
fever and abdominal pain. Computed tomography (CT) scan and magnetic resonance
imaging (MRI) of the abdomen showed multiple bilobar hepatic lesions, some with
rim enhancement, compatible with liver abscesses. Subsequent liver biopsy,
however, revealed hepatocellular necrosis, HSV-type intranuclear inclusions, and
immunostaining positive for herpes virus type 2 (HSV-2). Though initially treated
with broad-spectrum antibiotics, following histologic diagnosis of HSV hepatitis,
the patient was transitioned to intravenous acyclovir for four weeks and he
achieved full clinical recovery. Given its high mortality and nonspecific
presentation, one should consider HSV hepatitis in all patients with acute
hepatitis with multifocal hepatic lesions of unknown etiology. Of special note,
this is only the second reported case of HSV liver lesions mimicking pyogenic
abscesses on CT and MRI.
PMID- 27872771
TI - Tranexamic Acid: An Exceedingly Rare Cause of Anaphylaxis during Anaesthesia.
AB - Tranexamic acid (TXA) allergy is extremely rare. An 80-year-old woman without
prior exposure to TXA underwent elective knee replacement. Shortly after
induction of anaesthesia and intravenous TXA, she developed hypotension,
tachycardia, and facial erythema accompanied by a raised serum tryptase. Later,
skin prick and intradermal testing confirmed positive responses to TXA in high
dilution and with negative results to the other drugs used. While neuromuscular
blocking agents, opiates, and antibiotics remain the most frequent cause of
anaphylaxis during anaesthesia, allergy to TXA should always be borne in mind and
requires skin testing for confirmation as there are presently no blood tests
available.
PMID- 27872772
TI - An Uncommon Feature of Chronic Granulomatous Disease in a Neonate.
AB - Chronic Granulomatous Disease (CGD) represents recurrent life-threatening
bacterial and fungal infections and granuloma formation with a high mortality
rate. CGD's sign and symptoms usually appear in infancy and children before the
age of five; therefore, its presentation in neonatal period with some uncommon
features may be easily overlooked. Here we describe a case of CGD in a 24-day-old
boy, presenting with a diffuse purulent vesiculopustular rash and multiple
osteomyelitis.
PMID- 27872774
TI - Pulmonary Kaposi Sarcoma: An Uncommon Cause of Respiratory Failure in the Era of
Highly Active Antiretroviral Therapy-Case Report and Review of the Literature.
AB - Kaposi Sarcoma (KS) is the most common malignancy associated with Acquired Immune
Deficiency Syndrome (AIDS) and is caused by Human Herpesvirus 8 (HHV 8) or Kaposi
Sarcoma Herpesvirus (KSHV). In about 90% of cases Kaposi Sarcoma is associated
with cutaneous lesions; however visceral disease can occur in the absence of
cutaneous involvement. In the era of Highly Active Antiretroviral Therapy
(HAART), the incidence of KS has declined. Clinical features of pulmonary KS
might be difficult to distinguish from pneumonia in the immunocompromised
patients and could lead to diagnostic challenges. First-line treatment of KS is
with HAART and the incidence has declined with its use. Systemic chemotherapy may
play a role depending on the extent of the disease. We report the case of a young
man who presented with pulmonary symptoms and was later found to have pulmonary
KS. Interestingly this diagnosis was made in the absence of the classic skin
lesions. His disease was complicated by progressive respiratory failure and he
eventually died.
PMID- 27872773
TI - Bacteremia Caused by Kocuria kristinae from Egypt: Are There More? A Case Report
and Review of the Literature.
AB - Kocuria kristinae is opportunistic Gram-positive cocci from the family
Micrococcaceae. It is usually considered part of the normal flora that rarely is
isolated from clinical specimens. Here, we report a case of Kocuria kristinae
bacteremia; to the best of our knowledge, this is the first report from Egypt.
PMID- 27872775
TI - Infrarenal Aorta Thrombosis Associated with H1N1 Influenza A Virus Infection.
AB - Influenza viruses are members of the Orthomyxoviridae family, of which influenza
A, B, and C viruses constitute three separate genera. Arterial thrombosis
associated with H1N1 influenza A virus infection has rarely been reported. A
Turkish man aged 28 years was admitted to our emergency department with dyspnea,
bilateral lower extremity insensitivity, and cold. He reported symptoms of fever,
myalgia, and cough, which he had had for fifteen days before being admitted to
our hospital. The patient was tested for pandemic influenza A (H1N1) virus using
polymerase chain reaction (PCR) tests, which were positive. Abdominal
computerized tomography with contrast revealed a large occlusive thrombus within
the infrarenal aorta.
PMID- 27872776
TI - Cerebral Venous Sinus Thrombosis during Everest Expedition: A Case Report and
Review of the Literature.
AB - Cerebral venous sinus thrombosis (CVST) is a rare but serious disorder that is
associated with a poor clinical outcome. We report a 35-year-old man who had a
severe headache and diplopia while climbing Mount Everest. His MR venography
showed right transverse and right sigmoid sinus thrombosis. He improved on
anticoagulant and symptomatic measures. Cerebral venous sinus thrombosis at high
altitude is discussed.
PMID- 27872777
TI - Optic Disk Pit with Sudden Central Visual Field Scotoma.
AB - Purpose. To describe a case of optic disk pit (ODP) with sudden central visual
field scotoma. Methods. A 49-year-old woman presented, reporting sudden painless
central visual field loss 3 months prior to presentation. Neuroophthalmologic,
systematic, and laboratory evaluation and full imaging processes were performed.
Results. Fundoscopy and color photography demonstrated an optic disk pit
inferotemporally. Perimetry identified central visual field horizontal scotoma.
OCT revealed absence of serous retinal detachment, but disclosed inner retina
thinning corresponding to the area of the visual field loss. Fluorescein
angiography demonstrated delay in the cilioretinal arteries and also disclosed a
relative delay in the perfusion of an arterial branch off the inferior retinal
arcade. Clinical and laboratory evaluations were negative for any related
pathology. Conclusion. Sudden central visual field scotoma in patients with ODP
may be associated with delayed vascular filling of CRA and retinal arterioles
within the optic disc anomaly region.
PMID- 27872778
TI - A Case of Secondary Epiretinal Membrane Spontaneous Release.
AB - Purpose. To report a rare case of secondary epiretinal membrane (ERM) spontaneous
separation with subsequent visual restoration. Case Summary. We are reporting a
case with the history of branch retinal vein occlusion, peripheral retinal
neovascularization, and retinal photocoagulation. Our examination revealed
secondary ERM associated with relatively high visual acuity (0.6), and a watchful
waiting strategy was chosen. During the follow-up, slight visual deterioration,
progressive deformation of the retinal profile, and an increase in diffuse
retinal edema were observed. No surgical or laser treatment was performed. On the
next visit, the spontaneous ERM separation with residual parapapillary fixation,
the increase in visual acuity (0.9), and the decrease in retinal thickness were
revealed. Conclusion. Such cases present additional evidence to a deferral
surgical strategy for the management of patients with ERM and relatively high
visual acuity.
PMID- 27872779
TI - Ultra-Wide-Field Fluorescein Angiography in Microscopic Polyangiitis.
AB - A 25-year-old Hispanic female presented with 5 months of dry eyes and 2 months of
bilateral photophobia and decreased vision. On examination, she had bilateral
anterior uveitis and mild disc edema of the left eye. A complete infectious and
inflammatory work-up was positive for elevated antinuclear antibodies and p-ANCA,
leading to a diagnosis of microscopic polyangiitis. One year after initial
treatment and steroid taper, an ultra-wide-field fluorescein angiography revealed
peripheral vasculitis, outside of the standard traditional field of view, leading
to an increase in immunomodulatory therapy and illustrating the utility of wide
field angiography for managing patients with uveitis.
PMID- 27872780
TI - Primary Lymphangioma of the Palatine Tonsil in a 9-Year-Old Boy: A Case
Presentation and Literature Review.
AB - Primary lymphangiomas or lymphangiomatous polyps of the palatine tonsil are rare
benign lesions that are described infrequently in the literature. The majority of
the published cases concern adults. We report a case of a lymphangiomatous lesion
of the right palatine tonsil of a 9-year-old boy. Our clinical suspicion was
confirmed by the histological examination after tonsillectomy and the diagnosis
of primary lymphangioma of the tonsil was made. In this case we discuss the
clinical and histopathological features of this lesion and present a short review
of the current literature.
PMID- 27872781
TI - Two Invasive Thymomas Incidentally Found during Coronary Artery Bypass Graft
Surgery.
AB - Thymoma, the most common neoplasm of the anterior mediastinum, is a rare tumor of
thymic epithelium that can be locally invasive. We reported 2 cases of invasive
thymoma incidentally found during routine coronary artery bypass graft (CABG)
surgery at Faghihee Hospital of Shiraz University of Medical Sciences of Iran in
a period of about 6 months. The 2 patients were male and above 60 years old. They
had no clinical symptoms and radiological evidence of mediastinal mass before
detection of the tumor during operation. For both patients mass was completely
excised and sent to the laboratory. The ultimate pathological diagnosis of both
masses was invasive thymoma (stage 2). There are few reports in which thymomas
were found incidentally during cardiac surgery. In spite of rare coincidence, due
to being asymptomatic and possibly invasive, special attention to thymus gland
during cardiac surgery or other mediastinal surgery and preoperative imaging
studies seem to be reasonable approach.
PMID- 27872782
TI - Angiosarcoma Arising in Ovarian Mucinous Tumor: A Challenge in Intraoperative
Frozen Section Diagnosis.
AB - Angiosarcoma of the ovary is rare but represents an aggressive type of malignant
ovarian neoplasms. The purpose of this report is to describe the features of
angiosarcoma arising in mucinous tumor that was misinterpreted as a benign
vascular proliferation during the intraoperative consultation. A 45-year-old
woman presented with an abdominal mass for 1 month. Exploratory laparotomy was
performed. A 35 cm right ovarian mass submitted for intraoperative consultation
was a multicystic mucinous tumor with an 8 cm area of hemorrhagic lesion between
cystic locules. The frozen section diagnosis was at least mucinous borderline
tumor. The hemorrhagic area, which was intraoperatively interpreted as organizing
vessels associated with previous hemorrhage, represented angiosarcoma in
permanent sections. Angiosarcoma may present a challenge in intraoperative frozen
section diagnosis of an ovarian mass. The presence of ectatic anastomosing
vessels with dissecting growth appears to be the clue to a suspicion of
angiosarcoma. The presence of endothelial atypia provides further support for the
diagnosis. A macroscopic hemorrhagic area in an ovarian mucinous tumor should be
evaluated with care, and the possibility of angiosarcoma should be borne in mind.
PMID- 27872783
TI - Multimodality Cardiac Imaging in a Patient with Kawasaki Disease and Giant
Aneurysms.
AB - Kawasaki disease is a well-known cause of acquired cardiac disease in the
pediatric and adult population, most prevalent in Japan but also seen commonly in
the United States. In the era of intravenous immunoglobulin (IVIG) treatment, the
morbidity associated with this disease has decreased, but it remains a serious
illness. Here we present the case of an adolescent, initially diagnosed with
Kawasaki disease as an infant, that progressed to giant aneurysm formation and
calcification of the coronary arteries. We review his case and the literature,
focusing on the integral role of multimodality imaging in managing Kawasaki
disease.
PMID- 27872784
TI - Ciprofloxacin and Clozapine: A Potentially Fatal but Underappreciated
Interaction.
AB - Objective. Clozapine provides a 50%-60% response rate in refractory schizophrenia
but has a narrow therapeutic index and is susceptible to pharmacokinetic
interactions, particularly with strong inhibitors or inducers of cytochrome P450
(CYP) 1A2. Case Report. We report the case of a 28-year-old nonsmoking female
with intellectual disability who was maintained for 3 years on clozapine 100 mg
orally twice daily. The patient was treated for presumptive urinary tract
infection with ciprofloxacin 500 mg orally twice daily and two days later
collapsed and died despite resuscitation efforts. The postmortem femoral
clozapine plasma level was dramatically elevated at 2900 ng/mL, and the cause of
death was listed as acute clozapine toxicity. Conclusion. Given the potentially
fatal pharmacokinetic interaction between clozapine and ciprofloxacin, clinicians
are advised to monitor baseline clozapine levels prior to adding strong CYP450
1A2 inhibitors, reduce the clozapine dose by at least two-thirds if adding a 1A2
inhibitor such as ciprofloxacin, check subsequent steady state clozapine levels,
and adjust the clozapine dose to maintain levels close to those obtained at
baseline.
PMID- 27872786
TI - Congenital Diaphragmatic Hernia with Delayed Presentation.
AB - Congenital diaphragmatic hernia (CDH) is caused due to abnormal formation of the
muscular parts of diaphragm. The incidence of CDH in common births ranges from
1/25000 to 1/30000. Pulmonary hypoplasia and pulmonary hypertension are factors
that associate with the increase of mortality and morbidity due to CDH. We
presented a 68-year-old Iranian woman with abdominal pain and tenderness in right
upper quadrant who was diagnosed as having CDH. The disease was detected using
chest X-ray and chest and abdomen sonography and confirmed with chest and abdomen
CT scan with and without oral contrast. A defect was revealed in posterolateral
right diaphragm with omentum and transverse colon herniated through it. Right
posterolateral thoracotomy was performed to cure the disease. CT and CXR were the
two useful methods in diagnosis of CDH in this patient, although CDH detection
prior to surgery is too challenging because of rare cases and different types of
CDH. In order to improve clinical cares in adult CDH patients, investigating more
cases and long term follow-up are recommended.
PMID- 27872785
TI - Rebleeding of a Splenic Artery Aneurysm after Coil Embolisation.
AB - Background. Splenic artery aneurysm (SAA) is an uncommon and difficult diagnosis.
SAA is more common in females. Only 20% of SAA is symptomatic and may present as
a rupture. A ruptured SAA is associated with a 25% mortality rate. Case
Presentation. We present a case of a male patient with a bleeding SAA that
rapidly increased in size. Distal coiling was technically impossible and despite
proximal coil embolisation the SAA continued to bleed. A laparotomy including
splenectomy and partial pancreatectomy was performed with an uneventful patient
recovery. Discussion. Endovascular management is currently considered the optimal
treatment of SAA. However, careful monitoring and follow-up is needed after
embolisation as rapid recanalization of the SAA may possibly occur, especially
when distal coiling of the aneurysm is unsuccessful. Conclusion. Endovascular
treatment of an SAA is not necessarily effective. Surgeons must be prepared to
perform open procedures to further reduce mortality rates.
PMID- 27872787
TI - Synchronous Malignant Peripheral Nerve Sheath Tumor and Adenocarcinoma of the
Prostate: Case Report and Literature Review.
AB - Malignant Peripheral Nerve Sheath Tumors (MPNSTs) of the prostate are extremely
rare. A very unusual case of simultaneous adenocarcinoma and MPNST of the
prostate is reported. A 60-year-old Caucasian male presented for annual urologic
examination. Digital rectal examination revealed a painless, toughish, and
asymmetrically enlarged prostate. Serum prostate-specific antigen was 1 ng/mL.
Radiologic examinations demonstrated a large mass, which was arising from the
left peripheral lobe of the prostate. The patient underwent transrectal
ultrasound-guided biopsy of the prostate which revealed a smooth muscle tumor of
uncertain malignant potential. Radical retropubic prostatectomy with en bloc
removal of the mass and the seminal vesicles was performed and histology
demonstrated low-grade MPNST and adenocarcinoma of the prostate. To the best of
our knowledge, this is the first report of simultaneous prostatic adenocarcinoma
and MPNST in the English literature.
PMID- 27872788
TI - Concomitant Laparoscopic Burch Urethropexy and Combined Vaginal-Laparoscopic Mesh
Sling Removal (x2) for Pain and Persistent Stress Urinary Incontinence.
AB - Although midurethral mesh tape slings are considered the standard of care in the
treatment of female stress urinary incontinence (SUI), complications such as
pain, dyspareunia, or erosion are known to occur in addition to persistent
incontinence. The management of these types of mesh sling complications can be
very complex, especially when the pain is not just isolated to the vagina but
extends into other areas, such as the abdomen which requires a much more
extensive dissection. Additionally, if a mesh sling needs to be removed, the
patient will most likely have a return of her SUI that often necessitates
subsequent treatment. Vaginal and/or laparoscopic removal or revision of mesh
tape slings should be considered in patients presenting with complications such
as vaginal pain, abdominal pain, dyspareunia, or urinary obstructive symptoms. In
those patients who demonstrate persistent SUI, concomitant laparoscopic Burch
urethropexy can be considered and can safely be performed at the time mesh
removal. In this case report we present a patient who required a dual-approach
removal of two painful midurethral slings in addition to concomitant treatment of
persistent SUI with a laparoscopic Burch urethropexy procedure.
PMID- 27872789
TI - Malignant Peripheral Nerve Sheath Tumor of Prostate: A Rare Case Report and
Literature Review.
AB - A mid-aged male presented with progressive lower urinary tract symptoms (LUTS)
for years. Huge prostate with low serum prostate-specific antigen (PSA) level was
detected. The specimen from transurethral resection revealed surprising pathology
finding as malignant peripheral nerve sheath tumor (MPNST). Considering its huge
size (more than 300 gm) and location, we prescribed neoadjuvant chemotherapy
firstly. The tumor became regressive and then radical surgical resection was
achieved. Adjuvant multimodality treatment including concurrent chemoradiotherapy
(CCRT) and target therapy was given. However, he expired about one year later.
MPNST originating from prostate is very rare and seldom reported before. We here
present this extremely rare disease and share our treatment experience.
PMID- 27872791
TI - Antioxidant and Antihyperglycemic Properties of Three Banana Cultivars (Musa
spp.).
AB - Background. This study sought to investigate the antioxidant and
antihyperglycemic properties of Musa sapientum (Latundan banana) (MSL), Musa
acuminata (Cavendish banana) (MAC), and Musa acuminate (Red Dacca) (MAR).
Materials and Methods. The sugar, starch, amylose, and amylopectin contents and
glycemic index (GI) of the three banana cultivars were determined. Furthermore,
total phenol and vitamin C contents and alpha-amylase and alpha-glucosidase
inhibitory effects of banana samples were also determined. Results. MAC and MAR
had the highest starch, amylose, and amylopectin contents and estimated glycemic
index (eGI) with no significant different while MSL had the lowest. Furthermore,
MAR (1.07 mg GAE/g) had a higher total phenol content than MAC (0.94 mg GAE/g)
and MSL (0.96 mg GAE/g), while there was no significant difference in the vitamin
C content. Furthermore, MAR had the highest alpha-amylase (IC50 = 3.95 mg/mL)
inhibitory activity while MAC had the least (IC50 = 4.27 mg/mL). Moreover, MAC
and MAR inhibited glucosidase activity better than MSL (IC50 3.47 mg/mL).
Conclusion. The low sugar, GI, amylose, and amylopectin contents of the three
banana cultivars as well as their alpha-amylase and alpha-glucosidase inhibitory
activities could be possible mechanisms and justification for their
recommendation in the management of type-2 diabetes.
PMID- 27872790
TI - Kansas City Cardiomyopathy Questionnaire Utility in Prediction of 30-Day
Readmission Rate in Patients with Chronic Heart Failure.
AB - Background. Heart failure (HF) is one of the most common diagnoses associated
with hospital readmission. We designed this prospective study to evaluate whether
Kansas City Cardiomyopathy Questionnaire (KCCQ) score is associated with 30-day
readmission in patients hospitalized with decompensated HF. Methods and Results.
We enrolled 240 patients who met the study criteria. Forty-eight (20%) patients
were readmitted for decompensated HF within thirty days of hospital discharge,
and 192 (80%) patients were not readmitted. Compared to readmitted patients,
nonreadmitted patients had a higher average KCCQ score (40.8 versus 32.6, P =
0.019) before discharge. Multivariate analyses showed that a high KCCQ score was
associated with low HF readmission rate (adjusted OR = 0.566, P = 0.022). The c
statistic for the base model (age + gender) was 0.617. The combination of home
medication and lab tests on the base model resulted in an integrated
discrimination improvement (IDI) increase of 3.9%. On that basis, the KCQQ
further increased IDI of 2.7%. Conclusions. The KCCQ score determined before
hospital discharge was significantly associated with 30-day readmission rate in
patients with HF, which may provide a clinically useful measure and could
significantly improve readmission prediction reliability when combined with other
clinical components.
PMID- 27872792
TI - Porcine Pancreatic Lipase Inhibitory Agent Isolated from Medicinal Herb and
Inhibition Kinetics of Extracts from Eleusine indica (L.) Gaertner.
AB - Eleusine indica (Linnaeus) Gaertner is a traditional herb known to be depurative,
febrifuge, and diuretic and has been reported with the highest inhibitory
activity against porcine pancreatic lipase (PPL) among thirty two plants screened
in an earlier study. This study aims to isolate and identify the active
components that may possess high potential as an antiobesity agent. Of the
screened solvent fractions of E. indica, hexane fraction showed the highest
inhibitory activity of 27.01 +/- 5.68% at 100 MUg/mL. Bioactivity-guided
isolation afforded three compounds from the hexane fraction of E. indica, namely,
beta-sitosterol, stigmasterol, and lutein. The structures of these compounds were
elucidated using spectral techniques. Lutein showed an outstanding inhibitory
activity against PPL (55.98 +/- 1.04%), with activity 60% higher than that of the
reference drug Orlistat. The other compounds isolated and identified were beta
sitosterol (2.99 +/- 0.80%) and stigmasterol (2.68 +/- 0.38%). The enzyme
kinetics of E. indica crude methanolic extract on PPL showed mixed inhibition
mechanism.
PMID- 27872793
TI - Improved Methods to Produce Tissue-Engineered Skin Substitutes Suitable for the
Permanent Closure of Full-Thickness Skin Injuries.
AB - There is a clinical need for skin substitutes to replace full-thickness skin
loss. Our group has developed a bilayered skin substitute produced from the
patient's own fibroblasts and keratinocytes referred to as Self-Assembled Skin
Substitute (SASS). After cell isolation and expansion, the current time required
to produce SASS is 45 days. We aimed to optimize the manufacturing process to
standardize the production of SASS and to reduce production time. The new
approach consisted in seeding keratinocytes on a fibroblast-derived tissue sheet
before its detachment from the culture plate. Four days following keratinocyte
seeding, the resulting tissue was stacked on two fibroblast-derived tissue sheets
and cultured at the air-liquid interface for 10 days. The resulting total
production time was 31 days. An alternative method adapted to more contractile
fibroblasts was also developed. It consisted in adding a peripheral frame before
seeding fibroblasts in the culture plate. SASSs produced by both new methods
shared similar histology, contractile behavior in vitro and in vivo evolution
after grafting onto mice when compared with SASSs produced by the 45-day standard
method. In conclusion, the new approach for the production of high-quality human
skin substitutes should allow an earlier autologous grafting for the treatment of
severely burned patients.
PMID- 27872795
TI - Heterogeneous autoregressive model with structural break using nearest neighbor
truncation volatility estimators for DAX.
AB - High frequency financial data modelling has become one of the important research
areas in the field of financial econometrics. However, the possible structural
break in volatile financial time series often trigger inconsistency issue in
volatility estimation. In this study, we propose a structural break heavy-tailed
heterogeneous autoregressive (HAR) volatility econometric model with the
enhancement of jump-robust estimators. The breakpoints in the volatility are
captured by dummy variables after the detection by Bai-Perron sequential multi
breakpoints procedure. In order to further deal with possible abrupt jump in the
volatility, the jump-robust volatility estimators are composed by using the
nearest neighbor truncation approach, namely the minimum and median realized
volatility. Under the structural break improvements in both the models and
volatility estimators, the empirical findings show that the modified HAR model
provides the best performing in-sample and out-of-sample forecast evaluations as
compared with the standard HAR models. Accurate volatility forecasts have direct
influential to the application of risk management and investment portfolio
analysis.
PMID- 27872796
TI - Some symmetric identities for the generalized Bernoulli, Euler and Genocchi
polynomials associated with Hermite polynomials.
AB - In 2008, Liu and Wang established various symmetric identities for Bernoulli,
Euler and Genocchi polynomials. In this paper, we extend these identities in a
unified and generalized form to families of Hermite-Bernoulli, Euler and Genocchi
polynomials. The procedure followed is that of generating functions. Some
relevant connections of the general theory developed here with the results
obtained earlier by Pathan and Khan are also pointed out.
PMID- 27872794
TI - In silico Identification of Potential Peptides or Allergen Shot Candidates
Against Aspergillus fumigatus.
AB - Aspergillus fumigatus is capable of causing invasive aspergillosis or acute
bronchopulmonary aspergillosis, and the current situation is alarming. There are
no vaccine or allergen shots available for Aspergillus-induced allergies. Thus, a
novel approach in designing of an effective vaccine or allergen shot candidate
against A. fumigatus is needed. Using immunoinformatics approaches from the
characterized A. fumigatus allergens, we have mapped epitopic regions to predict
potential peptides that elicit both Aspergillus-specific T cells and B cell
immune response. Experimentally derived immunodominant allergens were retrieved
from www.allergen.org. A total of 23 allergenic proteins of A. fumigatus were
retrieved. Out of 23 allergenic proteins, 13 of them showed high sequence
similarity to both human and mouse counterparts and thus were eliminated from
analysis due to possible cross-reactivity. Remaining allergens were subjected to
T cell (major histocompatibility complex class I and II alleles) and B cell
epitope prediction using immune epitope database analysis resource. Only five
allergens have shown a common B and T cell epitopic region between human and
mouse. They are Asp f1 {147-156 region (RVIYTYPNKV); Mitogillin}, Asp f2 {5-19
region (LRLAVLLPLAAPLVA); Hypothetical protein}, Asp f5 {305-322 region
(LNNYRPSSSSLSFKY); Metalloprotease}, Asp f17 {98-106 region (AANAGGTVY);
Hypothetical protein}, and Asp f34 {74-82 region (YIQDGSLYL); PhiA cell wall
protein}. The epitopic region from these five allergenic proteins showed
potential for development of single peptide- or multipeptide-based vaccine or
allergen shots for experimental prioritization.
PMID- 27872797
TI - Lovastatin lowers the risk of breast cancer: a population-based study using
logistic regression with a random effects model.
AB - BACKGROUND: Laboratory studies have demonstrated statin-induced apoptosis of
cancer cells, including breast cancer cells, and evidence is accumulating on the
mechanism of statin-induced apoptosis. However, despite numerous epidemiological
studies, no consensus has been reached regarding the relationship between statin
use and breast cancer risk. METHODS: This retrospective case-control study
enrolled 4332 breast cancer patients and 21,660 age-matched controls registered
in the National Health Insurance program of Taiwan, which covers approximately
99% of the population. The study cases were women for whom a diagnosis of breast
cancer (ICD-9-CM code 174.X) had been recorded in LHID2005 between January 1,
2004 and December 31, 2010. A logistic regression model was adjusted for
potential confounding factors, including the level of urbanization, and the
Charlson Comorbidity Index was applied to assess potential comorbidities. We also
considered possible bias caused by random urbanization, because nutrition and
lifestyle factors are related to breast cancer incidence. RESULTS: Our results
showed that lovastatin was associated with a lower risk of breast cancer
(adjusted OR 0.596; 95% CI 0.497-0.714; p < 0.001), and atorvastatin exhibited a
protective tendency against breast cancer (adjusted OR 0.887; 95% CI 0.776-1.013;
p < 0.077). CONCLUSIONS: Although no consensus has been established regarding the
relationship between statin use and breast cancer risk, our study indicated that
lovastatin is a potential chemopreventive agent against breast cancer. Further
detailed research is warranted.
PMID- 27872798
TI - Factors affecting executive functions in obstructive sleep apnea syndrome and
volumetric changes in the prefrontal cortex.
AB - PURPOSE: Obstructive sleep apnea syndrome (OSAS) is associated with cognitive
changes and executive functions are among the cognitive domains most affected.
However, it is not completely understood which of the factor(s) among hypoxemia,
repeated arousal, and sleepiness affect the executive functions. This study aims
to evaluate the possible relationship between the executive functions and
nocturnal parameters, Epworth Sleepiness Scale (ESS) scores, and prefrontal
cortex (PFC) volumes. PATIENTS AND METHODS: A total of 28 patients aged between
18 and 60 years who were newly diagnosed with OSAS were included in this study.
The Wisconsin Card Sorting Test (WCST) and Stroop test which were used in the
evaluation of executive functions were applied to all patients. Cranial magnetic
resonance imaging (MRI) and volumetric measurements of the PFC were performed.
Polysomnography (PSG), WCST, Stroop test, and cranial MRI were also applied to
the control group which consisted of age- and education status-matched 15 healthy
subjects. The correlation of WCST and Stroop tests and PFC volume, PSG
parameters, and ESS scale was examined. RESULTS: The WCST-6 test scores were
statistically significantly higher in the patient group (p = 0.022; p < 0.05).
Additionally, the Stroop test 5 (p = 0.043) and Stroop test-5 correction (p =
0.005) measurements were statistically significantly higher in the patient group
(p < 0.05). A negative and statistically significant correlation was found
between the WCST-4 and WCST-10 and ESS measurements in the patient group (r
0.452; p 0.016; p < 0.05; r -0.437; p 0.020; p < 0.05). However, there was no
correlation between the PSG parameters and WCST and Stroop test scores. No
statistically significant differences in the MRI volumetric measurements of the
PFC were found between the patient and control groups. CONCLUSIONS: Impairment in
the attentive and executive functions in OSAS is evident. The most influential
factor is excessive daytime sleepiness, rather than hypoxemia and severity of the
disease.
PMID- 27872799
TI - A systematic literature review of open source software quality assessment models.
AB - BACKGROUND: Many open source software (OSS) quality assessment models are
proposed and available in the literature. However, there is little or no adoption
of these models in practice. In order to guide the formulation of newer models so
they can be acceptable by practitioners, there is need for clear discrimination
of the existing models based on their specific properties. Based on this, the aim
of this study is to perform a systematic literature review to investigate the
properties of the existing OSS quality assessment models by classifying them with
respect to their quality characteristics, the methodology they use for
assessment, and their domain of application so as to guide the formulation and
development of newer models. Searches in IEEE Xplore, ACM, Science Direct,
Springer and Google Search is performed so as to retrieve all relevant primary
studies in this regard. Journal and conference papers between the year 2003 and
2015 were considered since the first known OSS quality model emerged in 2003.
RESULTS: A total of 19 OSS quality assessment model papers were selected. To
select these models we have developed assessment criteria to evaluate the quality
of the existing studies. Quality assessment models are classified into five
categories based on the quality characteristics they possess namely: single
attribute, rounded category, community-only attribute, non-community attribute as
well as the non-quality in use models. Our study reflects that software selection
based on hierarchical structures is found to be the most popular selection method
in the existing OSS quality assessment models. Furthermore, we found that
majority (47%) of the existing models do not specify any domain of application.
CONCLUSIONS: In conclusion, our study will be a valuable contribution to the
community and helps the quality assessment model developers in formulating newer
models and also to the practitioners (software evaluators) in selecting suitable
OSS in the midst of alternatives.
PMID- 27872801
TI - "Beauty contest" indicator of cognitive ability and free riding strategies.
Results from a scenario experiment about pandemic flu immunization.
AB - High immunization coverage rates are desirable in order to reduce total morbidity
and mortality rates, but it may also provide an incentive for herd immunity free
riding strategies. The aim of this paper was to investigate the link between
cognitive ability and vaccination intention in a hypothetical scenario experiment
about Avian Flu immunization. A between-subject scenario experiment was utilized
to examine the willingness to undergo vaccination when the vaccination coverage
was proclaimed to be 36, 62 and 88%. Respondents were later assigned to a "Beauty
contest" experiment, an experimental game commonly used to investigate
individual's cognitive ability. Results show that there was a significant
negative effect of the proclaimed vaccination uptake among others on the
vaccination intention. However, there were no significant association between the
"Beauty contest" indicator of cognitive ability and the use of herd immunity free
riding strategies.
PMID- 27872800
TI - Invisible seams: Preventing childhood obesity through an improved obstetrics
pediatrics care continuum.
AB - Progress in altering the current obesity epidemic among children and adolescents
remains elusive. Evidence continues to underscore the challenges of altering
weight status as children age. Further, weight loss interventions among children
and adults alike tend to demonstrate efficacy in the short-term, however
individuals tend to slowly revert back to their original weight status over time.
New understanding of obesity's early origins suggests the need to rethink current
approaches, particularly within healthcare. Instead of a predominant focus on
"mid-flight course corrections," healthcare should consider the "take-off" time
period for health trajectories. This means improved support and promotion of
healthy behaviors before and after birth, and with both the mother and infant. To
meet the challenge, greater continuity will be required across obstetrics and
pediatrics, which often operate independently, focused on different clinical
outcomes. Likewise, there is an urgent need to remedy a significant skills gap
within both practices. Through its connection with almost every new mother,
healthcare plays a unique and vital role in maternal and child health outcomes. A
more seamless obstetrics-pediatrics care continuum could better address the early
origins of obesity, factors that we are coming to learn have life-long
consequences.
PMID- 27872802
TI - Park use is associated with less sedentary time among low-income parents and
their preschool child: The NET-Works study.
AB - INTRODUCTION: Parks are an important component of the neighborhood environment,
and their presence is believed to support higher levels of physical activity
among residents. The present study examined park use frequency among a sample of
534 low-income parents of preschool aged children. Associations with child and
parent physical activity, neighborhood characteristics and physical
characteristics of the block immediately surrounding the home were examined.
METHODS: Data are from baseline measurements completed in 2012-2014 as part of
larger study (NET-Works: Now Everybody Together for Amazing and Healthy Kids)
targeting low-income preschool children and their parents (N = 534 parent-child
dyads). Physical activity was measured in parent and child using accelerometry.
Parents reported their frequency of use with their child of parks within a half
kilometer from their residence. Block audits were performed by trained research
staff to describe the quality and walkability of the streets around the home.
STATISTICAL ANALYSIS: Bivariate associations between demographic variables,
perceptions of the neighborhood environment, parent support for child physical
activity, and physical activity were examined using regression or Chi square
analysis. RESULTS: Park use frequency was not significantly associated with child
accelerometry light, moderate or vigorous physical activity. However, it was
marginally significantly inversely associated with child accelerometry sedentary
time (p < 0.06). Television viewing hours on weekend days (but not on weekdays)
were significantly fewer among children in the high park use group compared with
children who visited the park less frequently (p < 0.01). Park use frequency was
significantly positively associated with parent accelerometry moderate physical
activity (p < 0.004), and was significantly inversely associated with parent
accelerometry sedentary time (p < 0.002). Frequent park use was significantly
positively associated with parent report of the child frequency of being taken to
a park or playground outside the home (p < 0.0001), past week visit to park and
recreation center (p < 0.0001) and parent-reported supportive behaviors for child
physical activity (p < 0.0001). Parents who reported having to cross busy streets
to reach play areas reported less frequent park use (p < 0. 02). Walkable
neighborhoods (p < 0.003) and more incivilities (p < 0.02) in the immediate block
surrounding the home were significantly associated with more frequent park use.
CONCLUSIONS: Frequent park use with their preschool child may support higher
levels of physical activity among low-income parents and reduce sedentary time
for both child and parent.
PMID- 27872804
TI - Pulmonary hypertension secondary to pulmonary veno-occlusive disease complicated
by right heart failure, hypotension and acute kidney injury.
AB - Pulmonary veno-occlusive disease (PVOD) is rare condition which can lead to
severe pulmonary hypertension, right ventricular dysfunction, and cardiopulmonary
failure. The diagnosis of PVOD can be challenging due to its nonspecific symptoms
and its similarity to idiopathic pulmonary arterial hypertension and interstitial
lung disease in terms of diagnostic findings. This case describes a 57 year old
female patient who presented with a 5-month history of progressive dyspnea on
exertion and nonproductive cough. Workup at another hospital was nonspecific and
the patient underwent surgical lung biopsy due to concern for interstitial lung
disease. She subsequently became hemodynamically unstable and was transferred to
our hospital where she presented with severe hypoxemia, hypotension, and
suprasystemic pulmonary artery pressures. Preliminary lung biopsy results
suggested idiopathic pulmonary arterial hypertension and the patient was started
on vasodilating agents, including continuous epoprostenol infusion. Pulmonary
artery pressures decreased but remained suprasystemic and the patient did not
improve. Final review of the biopsy by a specialized laboratory revealed a
diagnosis of PVOD after which vasodilating therapy was immediately weaned off.
Evaluation for dual heart-lung transplantation was begun. The patient's hospital
course was complicated by hypotension requiring vasopressors, worsening right
ventricular dysfunction, and acute kidney injury. During the transplantation
evaluation, the patient decided that she did not want to undergo continued
attempts at stabilization of her progressive multi-organ dysfunction and she was
transitioned to comfort care. She expired hours after removing inotropic support.
PMID- 27872803
TI - Local food environment and fruit and vegetable consumption: An ecological study.
AB - Ecological studies are essential for understanding the environment-diet
relationship. The purpose of this study was to describe environmental conditions
and their relationship with fruit and vegetable (FV) consumption among Brazilian
public health service users in the city of Belo Horizonte. We evaluated food
stores contained within 1600 m buffer zones at 18 Health Academy Programme sites,
from 2013 to 2014. Variables at the community (density, proximity and type) and
the consumer (sectional location of FV; availability, quality, variety, price and
advertising of FV and ultra-processed foods) nutrition environment were measured
by direct observation, while aggregate data from users (income and FV
consumption) were obtained by interview. Data were analysed using the Kernel
intensity estimator, average nearest neighbour value and Local Moran's Index for
local spatial autocorrelation. We interviewed 3414 users and analysed 336 food
stores. Major geographical variations in the FV consumption were identified.
Average consumption was higher (site 2A: 410.5 +/- 185.7 g vs. site 4B: 311.2 +/-
159.9 g) in neighbourhoods with higher income and concentration of food stores,
and better index of access to healthy foods. Sites with poor FV consumption had
the most stores with poor access to healthy foods (index in the first tertile, <=
10). In conclusion, negative characteristics of the food environment, as seen in
the present study, may contribute to low FV consumption, suggesting the need for
the development and consolidation of public policies aimed at creating healthy
environments through built environment interventions that increase access to and
consumption of healthy foods like FV.
PMID- 27872805
TI - Sevoflurane Induced Diffuse Alveolar Hemorrhage in a young patient.
AB - We report a very rare case of Sevoflurane Induced Diffuse Alveolar Hemorrhage in
a previously healthy young adult in the post-operative period following general
anesthesia. Diffuse alveolar hemorrhage (DAH) associated with inhalation injury
from halogenated gases is a unique entity in the literature that practicing
clinicians should be cognizant of and considered in post-operative cases of acute
respiratory distress whereby other etiologies have been excluded.
PMID- 27872806
TI - Case report: A rare case of eosinophilic cholecystitis presenting after talc
pleurodesis for recurrent pneumothorax.
AB - Eosinophilic cholecystitis (EC) is a rare inflammatory condition of the
gallbladder, confirmed by a cellular infiltrate comprised of more than 90%
eosinophils in the gallbladder wall on histological examination. Although the
etiology of EC is largely unknown, local autoimmune reactions within the
gallbladder wall to inflammatory mediators from distal sites of inflammation have
been hypothesized. Talc pleurodesis (TP) is a common clinical procedure used
within respiratory medicine. However, it is associated with activation of
systemic acute inflammatory responses including an increase in serum interleukin
8 (IL-8), which is a potent mediator of eosinophil chemotaxis. We report a case
of EC following a TP procedure for persistent, secondary pneumothorax.
PMID- 27872807
TI - Identification of the stria medullaris thalami using diffusion tensor imaging.
AB - BACKGROUND: Deep brain stimulation (DBS) via anatomical targeting of white matter
tracts defined by diffusion tensor imaging (DTI) may be a useful tool in the
treatment of pathologic neurophysiologic circuits implicated in certain disease
states like treatment resistant depression (TRD). We sought to determine if DTI
could be used to define the stria medullaris thalami (SM), the major afferent
white matter pathway to the lateral habenula (LHb), a thalamic nucleus implicated
in the pathophysiology of TRD. METHODS: Probabilistic DTI was performed on ten
cerebral hemispheres in five patients who underwent preoperative MRI for DBS
surgery. Manual identification of the LHb on axial T1 weighted MRI was used for
the initial seed region for tractography. Variations in tractography depending on
chosen axial slice of the LHb and chosen voxel within the LHb were also assessed.
RESULTS: In all hemispheres the SM was reliably visualized. Variations in chosen
axial seed slice as well as variations in single seed placement did not lead to
significant changes in SM tractography. CONCLUSIONS: Probabilistic DTI can be
used to visualize the SM which may ultimately provide utility for direct anatomic
targeting in DBS surgery.
PMID- 27872808
TI - Delineation of cortical pathology in multiple sclerosis using multi-surface
magnetization transfer ratio imaging.
AB - The purpose of our study was to evaluate the utility of measurements of cortical
surface magnetization transfer ratio (csMTR) on the inner, mid and outer cortical
boundaries as clinically accessible biomarkers of cortical gray matter pathology
in multiple sclerosis (MS). Twenty-five MS patients and 12 matched controls were
recruited from the MS Clinic of the Montreal Neurological Institute. Anatomical
and magnetization transfer ratio (MTR) images were acquired using 3 Tesla MRI at
baseline and two-year time-points. MTR maps were smoothed along meshes
representing the inner, mid and outer neocortical boundaries. To evaluate csMTR
reductions suggestive of sub-pial demyelination in MS patients, a mixed model
analysis was carried out at both the individual vertex level and in anatomically
parcellated brain regions. Our results demonstrate that focal areas of csMTR
reduction are most prevalent along the outer cortical surface in the superior
temporal and posterior cingulate cortices, as well as in the cuneus and
precentral gyrus. Additionally, age regression analysis identified that
reductions of csMTR in MS patients increase with age but appear to hit a plateau
in the outer caudal anterior cingulate, as well as in the precentral and
postcentral cortex. After correction for the naturally occurring gradient in
cortical MTR, the difference in csMTR between the inner and outer cortex in focal
areas in the brains of MS patients correlated with clinical disability. Overall,
our findings support multi-surface analysis of csMTR as a sensitive marker of
cortical sub-pial abnormality indicative of demyelination in MS patients.
PMID- 27872809
TI - Abnormal visuomotor processing in schizophrenia.
AB - Subtle disturbances of visual and motor function are known features of
schizophrenia and can greatly impact quality of life; however, few studies
investigate these abnormalities using simple visuomotor stimuli. In healthy
people, electrophysiological data show that beta band oscillations in
sensorimotor cortex decrease during movement execution (event-related beta
desynchronisation (ERBD)), then increase above baseline for a short time after
the movement (post-movement beta rebound (PMBR)); whilst in visual cortex, gamma
oscillations are increased throughout stimulus presentation. In this study, we
used a self-paced visuomotor paradigm and magnetoencephalography (MEG) to
contrast these responses in patients with schizophrenia and control volunteers.
We found significant reductions in the peak-to-peak change in amplitude from ERBD
to PMBR in schizophrenia compared with controls. This effect was strongest in
patients who made fewer movements, whereas beta was not modulated by movement in
controls. There was no significant difference in the amplitude of visual gamma
between patients and controls. These data demonstrate that clear abnormalities in
basic sensorimotor processing in schizophrenia can be observed using a very
simple MEG paradigm.
PMID- 27872810
TI - The association between intra- and juxta-cortical pathology and cognitive
impairment in multiple sclerosis by quantitative T2* mapping at 7 T MRI.
AB - Using quantitative T2* at 7 Tesla (T) magnetic resonance imaging, we investigated
whether impairment in selective cognitive functions in multiple sclerosis (MS)
can be explained by pathology in specific areas and/or layers of the cortex.
Thirty-one MS patients underwent neuropsychological evaluation, acquisition of 7
T multi-echo T2* gradient-echo sequences, and 3 T anatomical images for cortical
surfaces reconstruction. Seventeen age-matched healthy subjects served as
controls. Cortical T2* maps were sampled at various depths throughout the cortex
and juxtacortex. Relation between T2*, neuropsychological scores and a cognitive
index (CI), calculated from a principal component analysis on the whole battery,
was tested by a general linear model. Cognitive impairment correlated with T2*
increase, independently from white matter lesions and cortical thickness, in
cortical areas highly relevant for cognition belonging to the default-mode
network (p < 0.05 corrected). Dysfunction in different cognitive functions
correlated with longer T2* in selective cortical regions, most of which showed
longer T2* relative to controls. For most tests, this association was strongest
in deeper cortical layers. Executive dysfunction, however, was mainly related
with pathology in juxtameningeal cortex. T2* explained up to 20% of the variance
of the CI, independently of conventional imaging metrics (adjusted-R2: 52-67%, p
< 5.10- 4). Location of pathology across the cortical width and mantle showed
selective correlation with impairment in differing cognitive domains. These
findings may guide studies at lower field strength designed to develop surrogate
markers of cognitive impairment in MS.
PMID- 27872812
TI - Anti-Inflammatory Effects of the Essential Oils of Ginger (Zingiber officinale
Roscoe) in Experimental Rheumatoid Arthritis.
AB - Ginger and its extracts have been used traditionally as anti-inflammatory
remedies, with a particular focus on the medicinal properties of its phenolic
secondary metabolites, the gingerols. Consistent with these uses, potent anti
arthritic effects of gingerol-containing extracts were previously demonstrated by
our laboratory using an experimental model of rheumatoid arthritis, streptococcal
cell wall (SCW)-induced arthritis. In this study, anti-inflammatory effects of
ginger's other secondary metabolites, the essential oils (GEO), which contain
terpenes with reported phytoestrogenic activity, were assessed in female Lewis
rats with SCW-induced arthritis. GEO (28 mg/kg/d ip) prevented chronic joint
inflammation, but altered neither the initial acute phase of joint swelling nor
granuloma formation at sites of SCW deposition in liver. Pharmacologic doses of
17-beta estradiol (200 or 600 MUg/kg/d sc) elicited the same pattern of anti
inflammatory activity, suggesting that GEO could be acting as a phytoestrogen.
However, contrary to this hypothesis, GEO had no in vivo effect on classic
estrogen target organs, such as uterus or bone. En toto, these results suggest
that ginger's anti-inflammatory properties are not limited to the frequently
studied phenolics, but may be attributable to the combined effects of both
secondary metabolites, the pungent-tasting gingerols and as well as its aromatic
essential oils.
PMID- 27872813
TI - Complete genome sequence of the biofilm-forming Curtobacterium sp. strain BH-2-1
1, isolated from lettuce (Lactuca sativa) originating from a conventional field
in Norway.
AB - Here, we present the 3,795,952 bp complete genome sequence of the biofilm-forming
Curtobacterium sp. strain BH-2-1-1, isolated from conventionally grown lettuce
(Lactuca sativa) from a field in Vestfold, Norway. The nucleotide sequence of
this genome was deposited into NCBI GenBank under the accession CP017580.
PMID- 27872811
TI - Failure to mobilize cognitive control for challenging tasks correlates with
symptom severity in schizophrenia.
AB - Deficits in the adaptive, flexible control of behavior contribute to the clinical
manifestations of schizophrenia. We used functional MRI and an antisaccade
paradigm to examine the neural correlates of cognitive control deficits and their
relations to symptom severity. Thirty-three chronic medicated outpatients with
schizophrenia and 31 healthy controls performed an antisaccade paradigm. We
examined differences in recruitment of the cognitive control network and task
performance for Hard (high control) versus Easy (low control) antisaccade trials
within and between groups. We focused on the key regions involved in 'top-down'
control of ocular motor structures - dorsal anterior cingulate cortex,
dorsolateral and ventrolateral prefrontal cortex. In patients, we examined
whether difficulty implementing cognitive control correlated with symptom
severity. Patients made more errors overall, and had shorter saccadic latencies
than controls on correct Hard vs. Easy trials. Unlike controls, patients failed
to increase activation in the cognitive control network for Hard vs. Easy trials.
Reduced activation for Hard vs. Easy trials predicted higher error rates in both
groups and increased symptom severity in schizophrenia. These findings suggest
that patients with schizophrenia are impaired in mobilizing cognitive control
when presented with challenges and that this contributes to deficits suppressing
prepotent but contextually inappropriate responses, to behavior that is stimulus
bound and error-prone rather than flexibly guided by context, and to symptom
expression. Therapies aimed at increasing cognitive control may improve both
cognitive flexibility and reduce the impact of symptoms.
PMID- 27872814
TI - Transcriptional profiles of WNV neurovirulence in a genetically diverse
Collaborative Cross population.
AB - West Nile Virus (WNV) is a mosquito-transmitted virus from the Flaviviridae
family that causes fever in 1 in 5 infected people. WNV can also become neuro
invasive and cross the blood-brain barrier leading to severe neurological
symptoms in a subset of WNV infected individuals [1]. WNV neuro-invasion is
believed to be influenced by a number of factors including host genetics. In
order to explore these effects and recapitulate the complex immune genetic
differences among individuals, we studied gene expression following WNV infection
in the Collaborative Cross (CC) model. The CC is a mouse genetics resource
composed of > 70 independently bred, octo-parental recombinant inbred mouse lines
[2]. To identify the individual host gene expression signatures influencing
protection or susceptibility to WNV disease and WNV neuroinvasion, we used the
nanostring nsolver platform to quantify gene expression in brain tissue isolated
from WNV-infected CC mice at days 4, 7 and 12 post-infection [3]. This nanostring
technology provided a high throughput, non-amplification based mRNA quantitation
method to detect immune genes involved in neuro-invasion. Data was deposited into
the Gene Expression Omnibus (GEO) under accession GSE85999.
PMID- 27872815
TI - The complete mitochondrial genome of the acid-tolerant fungus Penicillium ShG4C.
AB - Complete mitochondrial genome of the acid-tolerant fungus Penicillium ShG4C,
isolated from oxidized sediments of an abandoned polymetallic mine site, has been
sequenced using high-throughput sequencing approach. The mitochondrial genome
represents a circular DNA molecule with size of 26,725 bp. It encodes a usual set
of mitochondrial genes, including 15 protein coding genes, large and small
ribosomal RNAs and 27 tRNA genes. All genes are located on H-strand DNA and
transcribed in one direction. Taxonomic analysis based on concatenated sequences
of mitochondrial proteins confirmed taxonomic position of this fungus within the
genus Penicillium. The sequence of the complete mitochondrial genome of
Penicillium ShG4C was deposited in DBBJ/EMBL/GenBank under accession number
KX931017.
PMID- 27872816
TI - Evidence of triple mutant Pfdhps ISGNGA haplotype in Plasmodium falciparum
isolates from North-east India: An analysis of sulfadoxine resistant haplotype
selection.
AB - BACKGROUND: North-east region of India has consistent role in the spread of multi
drug resistant Plasmodium (P.) falciparum to other parts of Southeast Asia. After
rapid clinical treatment failure of Artemisinin based combination therapy
Sulphadoxine/Pyrimethamine (ACT-SP) chemoprophylaxis, Artemether-Lumefantrine
(ACT-AL) combination therapy was introduced in the year 2012 in this region for
the treatment of uncomplicated P. falciparum malaria. In a DNA sequencing based
polymorphism analysis, seven codons of P. falciparum dihydropteroate synthetase
(Pfdhps) gene were screened in a total of 127 P. falciparum isolates collected
from Assam, Arunachal Pradesh and Tripura of North-east India during the year
2014 and 2015 to document current sulfadoxine resistant haplotypes. MATERIALS AND
METHODS: Sequences were analyzed to rearrange both nucleotide and protein
haplotypes. Molecular diversity indices were analyzed in DNA Sequence
Polymorphism software (DnaSP) on the basis of Pfdhps gene sequences.
Disappearance from selective neutrality was assessed based on the ratio of non
synonomous to synonomous nucleotide substitutions [dN/dS ratio]. Moreover, two
tailed Z test was performed in search of the significance for probability of
rejecting null hypothesis of strict neutrality [dN = dS]. Presence of mutant P.
falciparum multidrug resistance protein1 (Pfmdr1) was also checked in those
isolates that were present with new Pfdhps haplotypes. Phylogenetic relationship
based on Pfdhps gene was reconstructed in Molecular Evolutionary Genetics
Analysis (MEGA). RESULTS: Among eight different sulfadoxine resistant haplotypes
found, IS GNG A haplotype was documented in a total of five isolates from Tripura
with association of a new mutant M538 R allele. Sequence analysis of Pfmdr1 gene
in these five isolates came to notice that not all but only one isolate was
mutant at codon 86 (N86 Y ; Y YSND) in the multidrug resistance protein.
Molecular diversity based on Pfdhps haplotypes revealed that P. falciparum
populations in Assam and Tripura were under balancing selection for sulfadoxine
resistant haplotypes but population from Arunachal Pradesh was under positive
selection with comparatively high haplotype diversity (h = 0.870). In
reconstructed phylogenetic analysis, isolates having IS GNG A haplotype were
grouped into two separate sub-clusters from the other isolates based on their
genetic distances and diversities. CONCLUSION: This study suggests that
sulfadoxine resistant isolates are still migrating from its epicenter to the
other parts of Southeast Asia and hence control and elimination of the drug
resistant isolates have become impedimental. Moreover, P. falciparum populations
in different areas may undergo selection of particular sulfadoxine resistant
haplotypes either in the presence of drug or after its removal to maintain their
plasticity.
PMID- 27872817
TI - Draft whole-genome sequence of the Diaporthe helianthi 7/96 strain, causal agent
of sunflower stem canker.
AB - Diaporthe helianthi is a fungus pathogenic to sunflower. Virulent strains of this
fungus cause stem canker with important yield losses and reduction of oil
content. Here we present the first draft whole-genome sequence of the highly
virulent isolate D. helianthi strain 7/96, thus providing a useful platform for
future research on stem canker of sunflower and fungal genomics. The genome
sequence of the D. helianthi isolate 7/96 was deposited at DDBJ/ENA/GenBank under
the accession number MAVT00000000 (BioProject PRJNA327798).
PMID- 27872818
TI - De novo transcriptome assembly of Schisandra chinensis Turcz. (Baill.).
AB - The fruit of Schisandra chinensis Turcz. (Baill.), namely "Wuweizi" in China, is
a well-known herbal medicine and health food. At present, research focused on the
extraction of effective chemical component and function identification. Little
known about the secondary metabolism gene pathway of chemical composition. Its
fruit color usually red, however, the white fruit color variation has been found.
It made us interested in exploring which gene change lead to this result. In
order to understand the genetic background of S. chinensis, we performed a
transcriptome analysis of S. chinensis, including red fruit and skin of 'Yanhong'
cultivar and white fruit and skin of 'Jinwuwei'. We obtained 26.4 GB raw data
(NCBI accession number: SSR4449123). De novo transcriptome assembly using Trinity
revealed 92,415 transcripts and generated 71,443 unigenes. All unigenes were
annotated in database. This study provides transcriptome of S. chinensis, which
might be useful for comparative transcriptome analyses and understand gene
expression of secondary metabolites.
PMID- 27872821
TI - Isolated Non-ascitic Peritoneal Carcinomatosis from Metastatic Prostate Cancer.
AB - Prostate cancer most commonly metastasizes to bone, lung and liver. Omental
metastasis of prostate cancer is extremely rare, with only a few cases reported
in the literature, many of which have associated ascites. We present a case of
non-ascitic omental metastasis of prostate cancer without any bone metastases.
Furthermore, this patient has had two negative measurements of circulating tumor
cells (CTCs) in the blood, suggesting a non-hematogenous route of metastasis to
the omentum.
PMID- 27872820
TI - Nine-year experience in Gaucher disease diagnosis at the Spanish reference center
Fundacion Jimenez Diaz.
AB - BACKGROUND: Fundacion Jimenez Diaz (FJD) is a reference center for genetic
diagnosis of Gaucher disease (GD) in Spain. Genetic analyses of acid beta
glucosidase (GBA) gene using different techniques were performed to search for
new mutations, in addition to those previously and most frequently found in the
Spanish population. Additionally, the study of the chitotriosidase (CHIT1) gene
was used to assess the inflammatory status of patients in the follow-up of enzyme
replacement therapy (ERT). We present the genetic data gathered during the last
nine years at FJD. METHODS: Blood samples from patients with suspected GD were
collected for enzymatic and genetic analyses. The genetic analysis was performed
on DNA from 124 unrelated suspected cases and 57 relatives from 2007 to 2015,
starting with a mutational screening kit, followed by Sanger sequencing of the
entire gene and other techniques to look for deletions. CHIT1 was also studied to
assess the reliability of this biomarker. RESULTS: In 46 out of 93 GD patients
(49.5%) the two mutant alleles were found. We detected 21 different mutations.
The most common mutation was N370S (c.126A > G; p.Asp409Ser current nomenclature)
(in 50.5% of patients), followed by L444P (c.1448T > C; p.Leu483Pro current
nomenclature) (in 24.7%). The most common heterozygous compound genotype observed
(18.3%) was c.1226A > G/c.1448T > C (N370S/L444P). Two novel mutations were found
(del. Ex.4-11 and c.1296G > T; pW432C), as well as p.S146L, only once previously
reported. Two patients showed the homozygous state for the duplication of CHIT1.
CONCLUSION: N370S and L444P are the most common mutations and other mutations
associated to Parkinson's disease have been observed. This should be taken into
account in the genetic counseling of GD patients.
PMID- 27872819
TI - Diagnosis of a mild peroxisomal phenotype with next-generation sequencing.
AB - Peroxisomal biogenesis disorders (PBD) are caused by mutations in PEX genes, and
are typically diagnosed with biochemical testing in plasma followed by
confirmatory testing. Here we report the unusual diagnostic path of a child
homozygous for PEX1 p.G843D. The patient presented with sensorineural hearing
loss, pigmentary retinopathy, and normal intellect. After testing for Usher
syndrome was negative, he was found to have PBD through a research sequencing
panel. When evaluating a patient with hearing loss and pigmentary retinopathy,
mild PBD should be on the differential regardless of cognitive function.
PMID- 27872822
TI - Automating hESC differentiation with 3D printing and legacy liquid handling
solutions.
AB - Historically, the routine use of laboratory automation solutions has been
prohibitively expensive for many laboratories. As legacy hardware has begun to
emerge on the secondary market, automation is becoming an increasingly affordable
option to augment workflow in virtually any laboratory. To assess the utility of
legacy liquid handling in stem cell differentiation, a used liquid handling robot
was purchased at auction to automate a stem cell differentiation protocol that
gives rise to CD14 + CD45+ mononuclear cells. To maintain sterility, the
automated liquid handling robot was housed in a custom constructed HEPA filtered
enclosure. A custom cell scraper and a disposable filter box were designed and 3D
printed to permit the robot intricate cell culture actions required by the
protocol. All files for the 3D printed labware are uploaded and are freely
available. *A used liquid handling robot was used to automate an hESC to monocyte
differentiation protocol.*The robot-performed protocol induced monocytes as
effectively as human technicians.*Custom 3D printed labware was made to permit
certain cell culture actions and are uploaded for free access.
PMID- 27872823
TI - Serial physical examinations, a simple and reliable tool for managing neonates at
risk for early-onset sepsis.
AB - AIM: To investigate whether serial physical examinations (SPEs) are a safe tool
for managing neonates at risk for early-onset sepsis (EOS). METHODS: This is a
retrospective cohort study of neonates (>= 34 wks' gestation) delivered in three
high-volume level IIIbirthing centres in Emilia-Romagna (Italy) during a 4-mo
period (from September 1 to December 31, 2015). Neonates at risk for EOS were
managed according to the SPEs strategy, these were carried out in turn by bedside
nursing staff and physicians. A standardized form detailing general wellbeing,
skin colour and vital signs was filled in and signed at standard intervals (at
age 3, 6, 12, 18, 36 and 48 h) in neonates at risk for EOS. Three independent
reviewers reviewed all charts of neonates and abstracted data (gestational age,
mode of delivery, group B streptococcus status, risk factors for EOS, duration of
intrapartum antibiotic prophylaxis, postpartum evaluations, therapies and
outcome). Rates of sepsis workups, empirical antibiotics and outcome of neonates
at-risk (or not) for EOS were evaluated. RESULTS: There were 2092 live births and
1 culture-proven EOS (Haemophilus i) (incidence rates of 0.48/1000 live births).
Most newborns with signs of illness (51 out of 101, that is 50.5%), and most of
those who received postpartum antibiotics (17 out of 29, that is 58.6%) were not
at risk for EOS. Compared to neonates at risk, neonates not at risk for EOS were
less likely to have signs of illness (51 out of 1442 vs 40 out of 650, P = 0.009)
or have a sepsis workup (25 out of 1442 vs 28 out of 650, P < 0.001). However,
they were not less likely to receive empirical antibiotics (17 out of 1442 vs 12
out of 650, P = 0.3). Thirty-two neonates were exposed to intrapartum fever or
chorioamnionitis: 62.5% (n = 20) had a sepsis workup and 21.9% (n = 7) were given
empirical antibiotics. Among 216 neonates managed through the SPEs strategy, only
5.6% (n = 12) had subsequently a sepsis workup and only 1.9% (n = 4) were given
empirical antibiotics. All neonates managed through SPEs had a normal outcome.
Among 2092 neonates, only 1.6% (n = 34) received antibiotics; 1.4% (n = 29) were
ill and 0.2% (n = 5) were asymptomatic (they were treated because of risk factors
for EOS). CONCLUSION: The SPEs strategy reduces unnecessary laboratory
evaluations and antibiotics, and apparently does not worsen the outcome of
neonates at-risk or neonates with mild, equivocal, transient symptoms.
PMID- 27872824
TI - Packed red blood cell transfusions as a risk factor for parenteral nutrition
associated liver disease in premature infants.
AB - AIM: To determine if packed red blood cell transfusions contribute to the
development of parenteral nutrition associated liver disease. METHODS: A
retrospective chart review of 49 premature infants on parenteral nutrition for >
30 d who received packed red blood cell (PRBC) transfusions was performed.
Parenteral nutrition associated liver disease was primarily defined by direct
bilirubin (db) > 2.0 mg/dL. A high transfusion cohort was defined as receiving >
75 mL packed red blood cells (the median value). Kaplan-Meier plots estimated the
median volume of packed red blood cells received in order to develop parenteral
nutrition associated liver disease. RESULTS: Parenteral nutritional associated
liver disease (PNALD) was noted in 21 (43%) infants based on db. Among the 27
high transfusion infants, PNALD was present in 17 (64%) based on elevated direct
bilirubin which was significantly greater than the low transfusion recipients.
About 50% of the infants, who were transfused 101-125 mL packed red blood cells,
developed PNALD based on elevation of direct bilirubin. All infants who were
transfused more than 200 mL of packed red blood cells developed PNALD. Similar
results were seen when using elevation of aspartate transaminase or alanine
transaminase to define PNALD. CONCLUSION: In this retrospective, pilot study
there was a statistically significant correlation between the volume of PRBC
transfusions received by premature infants and the development of PNALD.
PMID- 27872825
TI - Clinical profile and outcomes of pediatric endogenous endophthalmitis: A report
of 11 cases from South India.
AB - AIM: To study the clinical profile and outcomes of pediatric endogenous
endophthalmitis from a tertiary eye hospital in South India. METHODS: A total of
13 eyes of 11 children presented to us with varied symptoms and presentations of
endogenous endophthalmitis, over a five-year period from January 2010 to December
2015 were studied. Except for two eyes of a patient, vitreous aspirates were
cultured from all 11 eyes to isolate the causative organism. These eleven eyes
also received intravitreal injections. All patients were treated with systemic
antibiotics. RESULTS: Two cases had bilateral endophthalmitis. Ages ranged from 4
d to 11 years. Five cases were undiagnosed and treated, before being referred to
our center. Ten of the 13 eyes underwent a core vitrectomy. The vitrectomy was
done at an average on the second day after presenting (range 0-20 d). Five of the
11 vitreous aspirates showed isolates. The incriminating organisms were bacteria
in three and fungus in two. An underlying predisposing factor was found in seven
patients. At a mean follow-up 21.5 mo, outcome was good in 7 eyes of 6 cases
(54%), five eyes of four cases (38%) ended up with phthisis bulbi while one child
died of systemic complications. CONCLUSION: Endogenous endophthalmitis is a
challenge for ophthalmologists. Early diagnosis and intervention is the key for a
better outcome.
PMID- 27872826
TI - Pandemic influenza 2009: Impact of vaccination coverage on critical illness in
children, a Canada and France observational study.
AB - AIM: To study the impact of vaccination critical illness due to H1N1pdm09, we
compared the incidence and severity of H1N1pdm09 infection in Canada and France.
METHODS: We studied two national cohorts that included children with documented
H1N1pdm09 infection, admitted to a pediatric intensive care unit (PICU) in Canada
and in France between October 1, 2009 and January 31, 2010. RESULTS: Vaccination
coverage prior to admission to PICUs was higher in Canada than in France (21% vs
2% of children respectively, P < 0.001), and in both countries, vaccination
coverage prior to admission of these critically ill patients was substantially
lower than in the general pediatric population (P < 0.001). In Canada, 160
children (incidence = 2.6/100000 children) were hospitalized in PICU compared to
125 children (incidence = 1.1/100000) in France (P < 0.001). Mortality rates were
similar in Canada and France (4.4% vs 6.5%, P = 0.45, respectively), median
invasive mechanical ventilation duration and mean PICU length of stay were
shorter in Canada (4 d vs 6 d, P = 0.02 and 5.7 d vs 8.2 d, P = 0.03,
respectively). H1N1pdm09 vaccination prior to PICU admission was associated with
a decreased risk of requiring invasive mechanical ventilation (OR = 0.30, 95%CI:
0.11-0.83, P = 0.02). CONCLUSION: The critical illness due to H1N1pdm09 had a
higher incidence in Canada than in France. Critically ill children were less
likely to have received vaccination prior to hospitalization in comparison to
general population and children vaccinated had lower risk of ventilation.
PMID- 27872827
TI - Zinc supplementation as an adjunct to standard therapy in childhood nephrotic
syndrome - a systematic review.
AB - AIM: To evaluate the role of zinc as add on treatment to the "recommended
treatment" of nephrotic syndrome (NS) in children. METHODS: All the published
literature through the major databases including Medline/Pubmed, Embase, and
Google Scholar were searched till 31st December 2015. Reference lists from the
articles were reviewed to identify additional pertinent articles. Retrieved
papers concerning the role of zinc in childhood NS were reviewed by the authors,
and the data were extracted using a standardized data collection tool. Randomized
trials (RCTs) comparing zinc vs placebo was included. Effect of zinc was studied
in both steroid sensitive and steroid dependent/frequent relapsing NS. The
primary outcome measure was the risk of relapse in 12 mo. The secondary outcome
measures were mean relapse rate per patient in 12 mo, mean relapse rate per
patient in 6 mo, risk of infection associated relapse in 12 mo, cumulative dose
of steroids in two groups, mean length of time to next relapse, adverse effects
of therapy, and change in serum zinc levels. RESULTS: Of 54 citations retrieved,
a total of 6 RCTs were included. Zinc was used at a dose of 10-20 mg/d, for the
duration that varied from 6-12 mo. Compared to placebo, zinc reduced the
frequency of relapses, induced sustained remission/no relapse, reduced the
proportion of infection episodes associated with relapse with a mild adverse
event in the form of metallic taste. The GRADE evidence generated was of "very
low-quality". CONCLUSION: Zinc may be a useful additive in the treatment of
childhood NS. The evidence generated mostly was of "very low-quality". We need
more good quality RCTs in different country setting as well different subgroups
of children before any firm recommendation can be made.
PMID- 27872828
TI - Middle East respiratory syndrome coronavirus disease is rare in children: An
update from Saudi Arabia.
AB - AIM: To summarize the reported Middle East respiratory syndrome-coronavirus (MERS
CoV) cases, the associated clinical presentations and the outcomes. METHODS: We
searched the Saudi Ministry of Health website, the World Health Organization
website, and the Flutracker website. We also searched MEDLINE and PubMed for the
keywords: Middle East respiratory syndrome-coronavirus, MERS-CoV in combination
with pediatric, children, childhood, infancy and pregnancy from the initial
discovery of the virus in 2012 to 2016. The retrieved articles were also read to
further find other articles. Relevant data were placed into an excel sheet and
analyzed accordingly. Descriptive analytic statistics were used in the final
analysis as deemed necessary. RESULTS: From June 2012 to April 19, 2016, there
were a total of 31 pediatric MERS-CoV cases. Of these cases 13 (42%) were
asymptomatic and the male to female ratio was 1.7:1. The mean age of patients was
9.8 +/- 5.4 years. Twenty-five (80.6%) of the cases were reported from the
Kingdom of Saudi Arabia. The most common source of infection was household
contact (10 of 15 with reported source) and 5 patients acquired infection within
a health care facility. Using real time reverse transcriptase polymerase chain
reaction of pediatric patients revealed that 9 out of 552 (1.6%) was positive in
the Kingdom of Saudi Arabia. CONCLUSION: Utilizing serology for MERS-CoV
infection in Jordan and Saudi Arabia did not reveal any positive patients. Thus,
the number of the pediatric MERS-CoV is low; the exact reason for the low
prevalence of the disease in children is not known.
PMID- 27872829
TI - Can language acquisition be facilitated in cochlear implanted children?
Comparison of cognitive and behavioral psychologists' viewpoints.
AB - AIM: To study how language acquisition can be facilitated for cochlear implanted
children based on cognitive and behavioral psychology viewpoints? METHODS: To
accomplish this objective, literature related to behaviorist and cognitive
psychology prospects about language acquisition were studied and some relevant
books as well as Medline, Cochrane Library, Google scholar, ISI web of knowledge
and Scopus databases were searched. Among 25 articles that were selected, only 11
met the inclusion criteria and were included in the study. Based on the inclusion
criteria, review articles, expert opinion studies, non-experimental and
experimental studies that clearly focused on behavioral and cognitive factors
affecting language acquisition in children were selected. Finally, the selected
articles were appraised according to guidelines of appraisal of medical studies.
RESULTS: Due to the importance of the cochlear implanted child's language
performance, the comparison of behaviorist and cognitive psychology points of
view in child language acquisition was done. Since each theoretical basis, has
its own positive effects on language, and since the two are not in opposition to
one another, it can be said that a set of behavioral and cognitive factors might
facilitate the process of language acquisition in children. Behavioral
psychologists believe that repetition, as well as immediate reinforcement of
child's language behavior help him easily acquire the language during a language
intervention program, while cognitive psychologists emphasize on the relationship
between information processing, memory improvement through repetitively using
words along with "associated" pictures and objects, motor development and
language acquisition. CONCLUSION: It is recommended to use a combined approach
based on both theoretical frameworks while planning a language intervention
program.
PMID- 27872830
TI - Bacteremia in hemodialysis patients.
AB - Infection is a common complication and is the second leading cause of death in
hemodialysis patients. The risk of bacteremia in hemodialysis patients is 26-fold
higher than in the general population, and 1/2-3/4 of the causative organisms of
bacteremia in hemodialysis patients are Gram-positive bacteria. The ratio of
resistant bacteria in hemodialysis patients compared to the general population is
unclear. Several reports have indicated that hemodialysis patients have a higher
risk of methicillin-resistant Staphylococcus aureus infection. The most common
site of infection causing bacteremia is internal prostheses; the use of a
hemodialysis catheter is the most important risk factor for bacteremia. Although
antibiotic lock of hemodialysis catheters and topical antibiotic ointment can
reduce catheter-related blood stream infection (CRBSI), their use should be
limited to necessary cases because of the emergence of resistant organisms.
Systemic antibiotic administration and catheter removal is recommended for
treating CRBSI, although a study indicated the advantages of antibiotic lock and
guidewire exchange of catheters over systemic antibiotic therapy. An infection
control bundle recommended by the Center for Disease Control and Prevention
succeeded in reducing bacteremia in hemodialysis patients with either a catheter
or arteriovenous fistula. Appropriate infection control can reduce bacteremia in
hemodialysis patients.
PMID- 27872831
TI - Outcomes of renal transplant recipients with BK virus infection and BK virus
surveillance in the Auckland region from 2006 to 2012.
AB - AIM: To evaluate incidence, risk factors and treatment outcome of BK polyomavirus
nephropathy (BKVN) in a cohort of renal transplant recipients in the Auckland
region without a formal BK polyomavirus (BKV) surveillance programme. METHODS: A
cohort of 226 patients who received their renal transplants from 2006 to 2012 was
retrospectively reviewed. RESULTS: Seventy-six recipients (33.6%) had a BK viral
load (BKVL) test and 9 patients (3.9%) developed BKVN. Cold ischaemia time (HR =
1.18, 95%CI: 1.04-1.35) was found to be a risk factor for BKVN. Four recipients
with BKVN had complete resolution of their BKV infection; 1 recipient had BKVL
less than 625 copies/mL; 3 recipients had BKVL more than 1000 copies/mL and 1 had
graft failure from BKVN. BKVN has a negative impact on graft function [median
estimated glomerular filtration rate (eGFR) 22.5 (IQR 18.5-53.0) mL/min per 1.73
m2, P = 0.015), but no statistically significant difference (P = 0.374) in renal
allograft function was found among negative BK viraemia group [median eGFR 60.0
(IQR 48.5-74.2) mL/min per 1.73 m2), positive BK viraemia without BKVN group
[median eGFR 55.0 (IQR 47.0-76.0) mL/min per 1.73 m2] and unknown BKV status
group [median eGFR 54.0 (IQR 43.8-71.0) mL/min per 1.73 m2]. The incidence and
treatment outcomes of BKVN were similar to some centres with BKV surveillance
programmes. CONCLUSION: Recipients with BVKN have poorer graft function. Although
active surveillance for BKV has been shown to be effective in reducing incidence
of BKVN, it should be tailored specifically to that transplant centre based on
its epidemiology and outcomes of BKVN, particularly in centres with limited
resources.
PMID- 27872832
TI - Prevalence of risk factors for cardiovascular and kidney disease in Brazilian
healthy preschool children.
AB - AIM: To investigate the prevalence of nutritional parameters of risk for
cardiovascular disease (CVD) and kidney diseases in healthy preschool children.
METHODS: This is an observational cross-sectional study with 60 healthy children,
of both genders, aged two to six years old and 56 mothers, in Belo Horizonte,
Minas Gerais, Brazil. Preschool children and their families with regular
activities at public schools were invited to paticipate in the study. The
following characteristics were assessed: Socio-demographic condictions, clinical
health, anthropometric, biochemical, lifestyle and data on food consumption. The
56 healthy children were divided into two groups, overweight (C1) and non
overweight (C2), as well as their mothers, respectively, in overweight (M1) and
non-overweight (M2). Nutritional status was defined according to results obtained
through the Anthro(r) Software for nutritional analysis. RESULTS: Thirty-five
children were male, with mean age of 4.44 +/- 1.0 years old. Eighty-nine percent
of them were eutrophic, 86.7% were sedentary and they had five meals a day. Body
mass index (BMI) for age and total cholesterol (TC) was higher on C1 (P = 0.0001)
and high density lipoprotein cholesterol (HDL-c) was higher on C2. Mothers were
32.5 +/- 7.1 years old, mostly married and employed. Eighty-six percent of them
were sedentary and 62.5% were overweight with BMI = 26.38 +/- 5.07 kg/m2.
Eighteen percent of the overweight mothers had isolated total
hypercholesterolemia (TC levels elevated) and 12.5% had low HDL-c levels. The
present study showed an association between overweight and obesity during the
preschool years and the correspondent mothers' nutritional status of overweight
and obesity (OR = 4.96; 95%CI: 0.558-44.17). There was a positive correlation
between the food risk associated with CVD by children and mothers when their
consumption was 4 times/wk (P = 0.049; r = 0.516) or daily (P = 0.000008; r =
0.892). CONCLUSION: Analyzed children showed high rates of physical inactivity,
high serum cholesterol levels and high consumption of food associated with risk
for CVD and renal disease. Changes in habits should be encouraged early in
kindergarten.
PMID- 27872833
TI - Impact of renal transplantation on cardiac morphological and functional
characteristics in children and adults.
AB - AIM: To compare the effects of renal transplantation on cardiac functions in
children and adults. METHODS: One hundred and ten patients attending the
nephrology outpatient clinic were enrolled in this study and were divided into
six groups. The first two groups consisted each of 30 renal transplant patients
who had a successful renal transplantation more than six months, but less than
one year. Group I were less than 18 years and group II were more than 18 years.
The third and fourth groups, each were 20 chronic renal failure patients on
regular hemodialysis. Again, group III were less than 18 years and group IV were
more than 18 years. Group V and VI (The control Groups) consisted each of 5
subjects below and above 18 years of age, respectively with normal kidney
functions. All patients were subjected to history and examination. The kidney
functions and the hemoglobin were analyzed. After obtaining informed consent,
echocardiography was done to all patients. RESULTS: There was a statistically
significant improvement (P < 0.0001) in all cardiac parameters. A regression in
left ventricular end diastolic volume (LVED) both in children (4.7 +/- 0.8 to 4.2
+/- 0.5) and in adults (5.9 +/- 0.7 to 4.9 +/- 0.6) were found. There was a
regression in left ventricular end systolic volume (LVES) both in children (3.1
+/- 0.6 to 2.4 +/- 0.4) and in adults (4.1 +/- 0.9 to 3.1 +/- 0.5). Fractional
shortening improves both in children (32.6 +/- 5.3 to 41.7 +/- 7.6) and in adults
(29.0 +/- 6.6 to 36.5 +/- 4.1). The improvement in ejection fraction (EF) was
higher in children (59.7 +/- 7.0 to 71.9 +/- 6.1) than in adults (52.0 +/- 12.5
to 64.8 +/- 5.9). However, this degree of improvement (in children: 12.2 +/- 5.1)
did not show statistical difference (P-value 0.8), when compared to adults (12.7
+/- 9.8). CONCLUSION: After renal transplantation cardiac functions and
morphology (EF/LVED/LVES) do improve markedly and rapidly in both children and
adults.
PMID- 27872834
TI - Factors associating with oxygenation of lower-limb muscle tissue in hemodialysis
patients.
AB - AIM: To evaluate the lower-limb muscle oxygenation in hemodialysis (HD) patients
and identify the factors associating with muscle oxygenation. METHODS: Sixty
seven HD patients (53 men and 14 women; mean age, 67.1 +/- 1.2 years; mean HD
duration, 5.6 +/- 0.9 years) were recruited. In addition, 15 healthy individuals
(nine men and six women; mean age, 38.2 +/- 4.6 years) were recruited as the
control group. Lower-limb muscle regional saturation of oxygen (rSO2) was
monitored on the lateral side of the gastrocnemius muscle before HD using an
INVOS 5100C (Covidien Japan, Tokyo, Japan), which utilizes near-infrared
spectroscopy. Here, we evaluated the association between lower-limb muscle rSO2
and clinical parameters. RESULTS: The rSO2 values were significantly lower in
patients undergoing HD than in healthy individuals (50.0% +/- 1.7% vs 76.8% +/-
2.5%, P < 0.001). Lower-limb muscle rSO2 showed significant positive correlations
with diastolic blood pressure, blood urea nitrogen concentration, serum
creatinine concentration, serum potassium concentration, serum inorganic
phosphate concentration, and serum albumin concentration as well as negative
correlation with HD duration. We conducted a multiple linear regression analysis
using parameters that were significantly correlated with the lower-limb muscle
rSO2 in a simple linear regression analysis. Multiple regression analysis
demonstrated that lower-limb muscle rSO2 was independently associated with serum
inorganic phosphate (standardized coefficient: 0.27) and serum albumin
concentrations (standardized coefficient: 0.24). In addition, there were no
differences in lower-limb muscle rSO2 between diabetic and non-diabetic HD
patients. This study has several limitations. Firstly, its sample size was
relatively small. Secondly, we could not evaluate the association between lower
limb muscle rSO2 and calculated nutritional markers, including normalized protein
catabolic rate and body mass index, anthropometric measurements representing
nutritional status, and the severity of protein-energy wasting. Finally, we did
not routinely examine the arterial vascular status of HD patients without
symptoms of peripheral artery disease. As such, it is possible that some HD
patients with subclinical peripheral artery disease may have been included in
this study. CONCLUSION: In HD patients, the oxygenation of lower-limb muscle
tissue was associated with serum inorganic phosphate and albumin concentrations,
both of which represent nutritional status.
PMID- 27872835
TI - Six end-stage renal disease patients benefited from first non-simultaneous single
center 6-way kidney exchange transplantation in India.
AB - AIM: To avoid desensitization protocols and ABO incompatible kidney
transplantation (KT) due to high costs and increased risk of infections from
intense immunosuppression. METHODS: We present institutional ethical review board
- approved study of single center 6-way kidney exchange transplantation. The
participants comprised ABO incompatibility (n = 1); positive cross-match and/or
presence of donor specific antibody (n = 5). The average time required from
registration in kidney paired donation (KPD) registry to find suitable donors was
45 d and time required to perform transplants after legal permission was 2 mo.
RESULTS: Graft and patient survival were 100%, and 100%, respectively. One
patient had biopsy-proven acute borderline T cell rejection (Banff update 2013,
type 3). Mean serum creatinine was 0.8 mg/dL at 9 mo follow-up. The waiting time
in KPD was short as compared to deceased donor KT. CONCLUSION: We report first
non-simultaneous, single center, 6-way kidney exchange transplantation from
India. Our experience will encourage other centers in India to undertake this
practice.
PMID- 27872837
TI - Antineutrophil cytoplasmic antibodies crescentic allograft glomerulonephritis
after sofosbuvir therapy.
AB - Antineutrophil cytoplasmic antibodies (ANCA) are well known to be associated with
several types of vasculitis, including pauci-immune crescentic
glomerulonephritis, a form of rapid progressive glomerular nephritis (RPGN). ANCA
vasculitis has also been reported after administration of propylthiouracil,
hydralazine, cocaine (adulterated with levimasole), allopurinol, penicillamine
and few other drugs. All previously reported cases of drug-associated ANCA
glomerulonephritis were in native kidneys. Sofosbuvir is a new and effective drug
for hepatitis C virus infection. Here, we report a case of ANCA vasculitis and
RPGN following sofosbuvir administration in a kidney transplant recipient. It
also represents the first case of drug-associated ANCA vasculitis in a
transplanted kidney. Further drug monitoring is necessary to elucidate the degree
of association and possible causal effect of sofosbuvir and perinuclear ANCA
vasculitis.
PMID- 27872836
TI - Urolithiasis in inflammatory bowel disease and bariatric surgery.
AB - AIM: To analyse current literature focusing on pathogenesis and therapeutic
aspects of urolithiasis with inflammatory bowel disease (IBD) and following
bariatric surgery. METHODS: A systematic literature search was performed using
PubMed, supplemented with additional references. Studies assessing the
association of IBD or bariatric surgery with renal stones in both paediatric and
adulthood were included. RESULTS: Certain types of stones are seen more
frequently with IBD. Hyperoxaluria and hypocitraturia are the main metabolic
changes responsible for urolithiasis. The incidence of renal stones in
malabsorptive types of bariatric surgery such as gastric bypass is high; this is
not as common in modern restrictive surgical methods. Preventative methods and
urine alkalinisation have been shown to be beneficial. CONCLUSION: Both
conditions are associated with renal stones. Patients' counselling and prevention
strategies are the mainstay of urolithiasis management in these patients.
PMID- 27872838
TI - New SLC12A3 disease causative mutation of Gitelman's syndrome.
AB - Gitelman's syndrome (GS) is a salt-losing tubulopathy with an autosomal recessive
inheritance caused by mutations of SLC12A3, which encodes for the thiazide
sensitive NaCl cotransporter. In this study we report a new mutation of SLC12A3
found in two brothers affected by GS. Hypokalemia, hypocalciuria and hyper
reninemia were present in both patients while hypomagnesemia was detected only in
one. Both patients are compound heterozygotes carrying one well known GS
associated mutation (c.2581 C > T) and a new one (c.283delC) in SLC12A3 gene. The
new mutation results in a possible frame-shift with a premature stop-codon
(pGln95ArgfsX19). The parents of the patients, heterozygous carriers of the
mutations found in SLC12A3, have no disease associated phenotype. Therefore, the
new mutation is causative of GS.
PMID- 27872839
TI - Insight into the Exoproteome of the Tissue-Derived Trypomastigote form of
Trypanosoma cruzi.
AB - The protozoan parasite Trypanosoma cruzi causes Chagas disease, one of the major
neglected infectious diseases. It has the potential to infect any nucleated
mammalian cell. The secreted/excreted protein repertoire released by T. cruzi
trypomastigotes is crucial in host-pathogen interactions. In this study,
mammalian tissue culture-derived trypomastigotes (Y strain) were used to
characterize the exoproteome of the infective bloodstream life form. Proteins
released into the serum-free culture medium after 3 h of incubation were
harvested and digested with trypsin. NanoLC-MS/MS analysis resulted in the
identification of 540 proteins, the largest set of released proteins identified
to date in Trypanosoma spp. Bioinformatic analysis predicted most identified
proteins as secreted, predominantly by non-classical pathways, and involved in
host-cell infection. Some proteins possess predicted GPI-anchor signals, these
being mostly trans-sialidases, mucin associated surface proteins and surface
glycoproteins. Moreover, we enriched phosphopeptides and glycopeptides from
tryptic digests. The majority of identified glycoproteins are trans-sialidases
and surface glycoproteins involved in host-parasite interaction. Conversely, most
identified phosphoproteins have no Gene Ontology classification. The existence of
various proteins related to similar functions in the exoproteome likely reflects
this parasite's enhanced mechanisms for adhesion, invasion, and internalization
of different host-cell types, and escape from immune defenses.
PMID- 27872841
TI - Novel Graphical Analyses of Runs of Homozygosity among Species and Livestock
Breeds.
AB - Runs of homozygosity (ROH), uninterrupted stretches of homozygous genotypes
resulting from parents transmitting identical haplotypes to their offspring, have
emerged as informative genome-wide estimates of autozygosity (inbreeding). We
used genomic profiles based on 698 K single nucleotide polymorphisms (SNPs) from
nine breeds of domestic cattle (Bos taurus) and the European bison (Bison
bonasus) to investigate how ROH distributions can be compared within and among
species. We focused on two length classes: 0.5-15 Mb to investigate ancient
events and >15 Mb to address recent events (approximately three generations). For
each length class, we chose a few chromosomes with a high number of ROH,
calculated the percentage of times a SNP appeared in a ROH, and plotted the
results. We selected areas with distinct patterns including regions where (1) all
groups revealed an increase or decrease of ROH, (2) bison differed from cattle,
(3) one cattle breed or groups of breeds differed (e.g., dairy versus meat
cattle). Examination of these regions in the cattle genome showed genes
potentially important for natural and human-induced selection, concerning, for
example, meat and milk quality, metabolism, growth, and immune function. The
comparative methodology presented here permits visual identification of regions
of interest for selection, breeding programs, and conservation.
PMID- 27872840
TI - Analysis of Uncertainty and Variability in Finite Element Computational Models
for Biomedical Engineering: Characterization and Propagation.
AB - Computational modeling has become a powerful tool in biomedical engineering
thanks to its potential to simulate coupled systems. However, real parameters are
usually not accurately known, and variability is inherent in living organisms. To
cope with this, probabilistic tools, statistical analysis and stochastic
approaches have been used. This article aims to review the analysis of
uncertainty and variability in the context of finite element modeling in
biomedical engineering. Characterization techniques and propagation methods are
presented, as well as examples of their applications in biomedical finite element
simulations. Uncertainty propagation methods, both non-intrusive and intrusive,
are described. Finally, pros and cons of the different approaches and their use
in the scientific community are presented. This leads us to identify future
directions for research and methodological development of uncertainty modeling in
biomedical engineering.
PMID- 27872842
TI - Transcriptome-Based Analysis of Dof Family Transcription Factors and Their
Responses to Abiotic Stress in Tea Plant (Camellia sinensis).
AB - Tea plant (Camellia sinensis (L.) O. Kuntze) is affected by abiotic stress during
its growth and development. DNA-binding with one finger (Dof) transcription
factors (TFs) play important roles in abiotic stress tolerance of plants. In this
study, a total of 29 putative Dof TFs were identified based on transcriptome of
tea plant, and the conserved domains and common motifs of these CsDof TFs were
predicted and analyzed. The 29 CsDof proteins were divided into 7 groups (A, B1,
B2, C1, C2.1, C2.2, and D2), and the interaction networks of Dof proteins in C.
sinensis were established according to the data in Arabidopsis. Gene expression
was analyzed in "Yingshuang" and "Huangjinya" under four experimental stresses by
qRT-PCR. CsDof genes were expressed differentially and related to different
abiotic stress conditions. In total, our results might suggest that there is a
potential relationship between CsDof factors and tea plant stress resistance.
PMID- 27872843
TI - An Engineering Perspective of External Cardiac Loop Recorder: A Systematic
Review.
AB - External cardiac loop recorder (ELR) is a kind of ECG monitoring system that
records cardiac activities of a subject continuously for a long time. When the
heart palpitations are not the frequent and nonspecific character, it is
difficult to diagnose the disease. In such a case, ELR is used for long-term
monitoring of heart signal of the patient. But the cost of ELR is very high.
Therefore, it is not prominently available in developing countries like India.
Since the design of ELR includes the ECG electrodes, instrumentation amplifier,
analog to digital converter, and signal processing unit, a comparative review of
each part of the ELR is presented in this paper in order to design a cost
effective, low power, and compact kind of ELR. This review will also give
different choices available for selecting and designing each part of the ELR
system. Finally, the review will suggest the better choice for designing a cost
effective external cardiac loop recorder that helps to make it available even for
rural people in India.
PMID- 27872844
TI - Fabrication of Poly(epsilon-caprolactone) Scaffolds Reinforced with Cellulose
Nanofibers, with and without the Addition of Hydroxyapatite Nanoparticles.
AB - Biomaterial properties and controlled architecture of scaffolds are essential
features to provide an adequate biological and mechanical support for tissue
regeneration, mimicking the ingrowth tissues. In this study, a bioextrusion
system was used to produce 3D biodegradable scaffolds with controlled
architecture, comprising three types of constructs: (i) poly(epsilon
caprolactone) (PCL) matrix as reference; (ii) PCL-based matrix reinforced with
cellulose nanofibers (CNF); and (iii) PCL-based matrix reinforced with CNF and
hydroxyapatite nanoparticles (HANP). The effect of the addition and/or
combination of CNF and HANP into the polymeric matrix of PCL was investigated,
with the effects of the biomaterial composition on the constructs (morphological,
thermal, and mechanical performances) being analysed. Scaffolds were produced
using a single lay-down pattern of 0/90 degrees , with the same processing
parameters among all constructs being assured. The performed morphological
analyses showed a satisfactory distribution of CNF within the polymer matrix and
high reliability was obtained among the produced scaffolds. Significant effects
on surface wettability and thermal properties were observed, among scaffolds.
Regarding the mechanical properties, higher scaffold stiffness in the reinforced
scaffolds was obtained. Results from the cytotoxicity assay suggest that all the
composite scaffolds presented good biocompatibility. The results of this first
study on cellulose and hydroxyapatite reinforced constructs with controlled
architecture clearly demonstrate the potential of these 3D composite constructs
for cell cultivation with enhanced mechanical properties.
PMID- 27872846
TI - Effect of Static Load on the Nucleus Pulposus of Rabbit Intervertebral Disc
Motion Segment in an Organ Culture.
AB - The development of mechanically active culture systems helps in understanding of
the role of mechanical stress in intervertebral disc (IVD) degeneration. Motion
segment cultures facilitate the application and control of mechanical loads. The
purpose of this study was to establish a culturing method for rabbit IVD motion
segments to observe the effect of static load on the whole disc organ. Segments
were cultured in custom-made apparatuses under a constant, compressive load (3
kg) for 2 weeks. Tissue integrity, matrix synthesis, and matrix gene expression
profile were assessed and compared with fresh one. The results showed ex vivo
culturing of samples gradually destroyed the morphology. Proteoglycan contents
and gene expression were decreased and downregulated obviously. However,
immunohistochemical staining intensity and collagen type II gene expression were
significantly enhanced and upregulated. In contrast, these trends were reversed
under constant compression. These results indicated short-term static load
stimulated the synthesis of type II collagen; however, constant compression led
to progressive degeneration and specifically to proteoglycan. Through this study
a loading and organ-culturing system for ex vivo rabbit IVD motion segments was
developed, which can be used to study the effects of mechanical stimulation on
the biology of IVDs and the pathomechanics of IVD degeneration.
PMID- 27872847
TI - Strengths, Pitfalls, and Lessons from Longitudinal Childhood Asthma Cohorts of
Children Followed Up into Adult Life.
AB - Asthma is a common problem worldwide and longitudinal studies of children
followed up into adult life enable the assessment of clinical outcomes, examine
the pattern of lung function outcomes, and importantly provide insight into
aetiology and prognosis for patients with asthma. The aim of this review is to
examine the major childhood asthma cohort studies which have continued into adult
life, describing the strengths and weaknesses and the lessons that can be learnt
regarding pathophysiology and potential future directions for research.
PMID- 27872850
TI - Frontiers in the Expansion of Bioproducts.
PMID- 27872849
TI - Automated Segmentation of Coronary Arteries Based on Statistical Region Growing
and Heuristic Decision Method.
AB - The segmentation of coronary arteries is a vital process that helps
cardiovascular radiologists detect and quantify stenosis. In this paper, we
propose a fully automated coronary artery segmentation from cardiac data volume.
The method is built on a statistics region growing together with a heuristic
decision. First, the heart region is extracted using a multi-atlas-based
approach. Second, the vessel structures are enhanced via a 3D multiscale line
filter. Next, seed points are detected automatically through a threshold
preprocessing and a subsequent morphological operation. Based on the set of
detected seed points, a statistics-based region growing is applied. Finally,
results are obtained by setting conservative parameters. A heuristic decision
method is then used to obtain the desired result automatically because parameters
in region growing vary in different patients, and the segmentation requires full
automation. The experiments are carried out on a dataset that includes eight
patient multivendor cardiac computed tomography angiography (CTA) volume data.
The DICE similarity index, mean distance, and Hausdorff distance metrics are
employed to compare the proposed algorithm with two state-of-the-art methods.
Experimental results indicate that the proposed algorithm is capable of
performing complete, robust, and accurate extraction of coronary arteries.
PMID- 27872848
TI - Role of Membrane Lipids in the Regulation of Erythrocytic Oxygen-Transport
Function in Cardiovascular Diseases.
AB - The composition and condition of membrane lipids, the morphology of erythrocytes,
and hemoglobin distribution were explored with the help of laser interference
microscopy (LIM) and Raman spectroscopy. It is shown that patients with
cardiovascular diseases (CVD) have significant changes in the composition of
their phospholipids and the fatty acids of membrane lipids. Furthermore, the
microviscosity of the membranes and morphology of the erythrocytes are altered
causing disordered oxygen transport by hemoglobin. Basic therapy carried out with
the use of antiaggregants, statins, antianginals, beta-blockers, and calcium
antagonists does not help to recover the morphofunctional properties of
erythrocytes. Based on the results the authors assume that, for the relief of the
ischemic crisis and further therapeutic treatment, it is necessary to include, in
addition to cardiovascular disease medicines, medication that increases the
ability of erythrocytes' hemoglobin to transport oxygen to the tissues. We assume
that the use of LIM and Raman spectroscopy is advisable for early diagnosis of
changes in the structure and functional state of erythrocytes when cardiovascular
diseases develop.
PMID- 27872845
TI - Recent Nanotechnology Approaches for Prevention and Treatment of Biofilm
Associated Infections on Medical Devices.
AB - Bacterial colonization in the form of biofilms on surfaces causes persistent
infections and is an issue of considerable concern to healthcare providers. There
is an urgent need for novel antimicrobial or antibiofilm surfaces and biomedical
devices that provide protection against biofilm formation and planktonic
pathogens, including antibiotic resistant strains. In this context, recent
developments in the material science and engineering fields and steady progress
in the nanotechnology field have created opportunities to design new biomaterials
and surfaces with anti-infective, antifouling, bactericidal, and antibiofilm
properties. Here we review a number of the recently developed nanotechnology
based biomaterials and explain underlying strategies used to make antibiofilm
surfaces.
PMID- 27872852
TI - Modelling Seasonal Brucellosis Epidemics in Bayingolin Mongol Autonomous
Prefecture of Xinjiang, China, 2010-2014.
AB - Brucellosis is one of the severe public health problems; the cumulative number of
new human brucellosis cases reached 211515 from 2010 to 2014 in China. Bayingolin
Mongol Autonomous Prefecture is situated in the southeast of Xinjiang, where
brucellosis infection occurs every year. Based on the reported data of newly
acute human brucellosis cases for each season in Bayingolin Mongol Autonomous
Prefecture, we proposed a susceptible, exposed, infected, and vaccinated (SEIV)
model with periodic transmission rates to investigate the seasonal brucellosis
transmission dynamics among sheep/cattle and from sheep/cattle to humans.
Compared with the criteria of MAPE and RMSPE, the model simulations agree to the
data on newly acute human brucellosis. We predict that the number of newly acute
human brucellosis is increasing and will peak 15325 [95% CI: 11920-18242] around
the summer of 2023. We also estimate the basic reproduction number R0 = 2.5524
[95% CI: 2.5129-2.6225] and perform some sensitivity analysis of the newly acute
human brucellosis cases and the basic reproduction number R0 in terms of model
parameters. Our study demonstrates that reducing the birth number of
sheep/cattle, raising the slaughter rate of infected sheep/cattle, increasing the
vaccination rate of susceptible sheep/cattle, and decreasing the loss rate of
vaccination are effective strategies to control brucellosis epidemic.
PMID- 27872851
TI - Developmental Toxicity of Carbon Quantum Dots to the Embryos/Larvae of Rare
Minnow (Gobiocypris rarus).
AB - The toxic effects of CDs on rare minnow (Gobiocypris rarus) embryos at different
developmental stages were investigated. The results showed that rare minnow
embryos had decreased spontaneous movements, body length, increased heart rate,
pericardial edema, yolk sac edema, tail/spinal curvature, various morphological
malformations, and decreased hatching rate. Biochemical analysis showed the CDs
exposure significantly inhibited the activity of Na+/K+-ATPase and Ca2+-ATPase
and increased the MDA contents and the activity of SOD, CAT, and GPX. Further
examination suggested that the CDs exposure induced serious embryonic cellular
DNA damage. Moreover, the CDs exposure induced upregulation of development
related genes (Wnt8a and Mstn) along with the downregulation of Vezf1. Overall,
the present study revealed that the CDs exposure has significant development
toxicity on rare minnow embryos/larvae. Mechanistically, this toxicity might
result from the pressure of induced oxidative stress coordinate with the
dysregulated development related gene expression mediated by the CDs exposure.
PMID- 27872853
TI - Controlled Release of Interleukin-1 Receptor Antagonist from Hyaluronic Acid
Chitosan Microspheres Attenuates Interleukin-1beta-Induced Inflammation and
Apoptosis in Chondrocytes.
AB - This paper investigates the protective effect of interleukin-1 receptor
antagonist (IL-1Ra) released from hyaluronic acid chitosan (HA-CS) microspheres
in a controlled manner on IL-1beta-induced inflammation and apoptosis in
chondrocytes. The IL-1Ra release kinetics was characterized by an initial burst
release, which was reduced to a linear release over eight days. Chondrocytes were
stimulated with 10 ng/ml IL-1beta and subsequently incubated with HA-CS-IL-1Ra
microspheres. The cell viability was decreased by IL-1beta, which was attenuated
by HA-CS-IL-1Ra microspheres as indicated by an MTT assay. ELISA showed that HA
CS-IL-1Ra microspheres inhibited IL-1beta-induced inflammation by attenuating
increases in NO2- and prostaglandin E2 levels as well as increase in
glycosaminoglycan release. A terminal deoxyribonucleotide transferase
deoxyuridine triphosphate nick-end labeling assay revealed that the IL-1beta
induced chondrocyte apoptosis was decreased by HA-CS-IL-1Ra microspheres.
Moreover, HA-CS-IL-1Ra microspheres blocked IL-1beta-induced chondrocyte
apoptosis by increasing B-cell lymphoma 2 (Bcl-2) and decreasing Bcl-2-associated
X protein and caspase-3 expressions at mRNA and protein levels, as indicated by
reverse-transcription quantitative polymerase chain reaction and western blot
analysis, respectively. The results of the present study indicated that HA-CS-IL
1Ra microspheres as a controlled release system of IL-1Ra possess potential anti
inflammatory and antiapoptotic properties in rat chondrocytes due to their
ability to regulate inflammatory factors and apoptosis associated genes.
PMID- 27872854
TI - Identification of Novel Inhibitors against Coactivator Associated Arginine
Methyltransferase 1 Based on Virtual Screening and Biological Assays.
AB - Overexpression of coactivator associated arginine methyltransferase 1 (CARM1), a
protein arginine N-methyltransferase (PRMT) family enzyme, is associated with
various diseases including cancers. Consequently, the development of small
molecule inhibitors targeting PRMTs has significant value for both research and
therapeutic purposes. In this study, together with structure-based virtual
screening with biochemical assays, two compounds DC_C11 and DC_C66 were
identified as novel inhibitors of CARM1. Cellular studies revealed that the two
inhibitors are cell membrane permeable and effectively blocked proliferation of
cancer cells including HELA, K562, and MCF7. We further predicted the binding
mode of these inhibitors through molecular docking analysis, which indicated that
the inhibitors competitively occupied the binding site of the substrate and
destroyed the protein-protein interactions between CARM1 and its substrates.
Overall, this study has shed light on the development of small-molecule CARM1
inhibitors with novel scaffolds.
PMID- 27872855
TI - Partial Portal Vein Arterialization Attenuates Acute Bile Duct Injury Induced by
Hepatic Dearterialization in a Rat Model.
AB - Hepatic infarcts or abscesses occur after hepatic artery interruption. We
explored the mechanisms of hepatic deprivation-induced acute liver injury and
determine whether partial portal vein arterialization attenuated this injury in
rats. Male Sprague-Dawley rats underwent either complete hepatic arterial
deprivation or partial portal vein arterialization, or both. Hepatic ischemia was
evaluated using biochemical analysis, light microscopy, and transmission electron
microscopy. Hepatic ATP levels, the expression of hypoxia- and inflammation
associated genes and proteins, and the expression of bile transporter genes were
assessed. Complete dearterialization of the liver induced acute liver injury, as
evidenced by the histological changes, significantly increased serum biochemical
markers, decreased ATP content, increased expression of hypoxia- and inflammation
associated genes and proteins, and decreased expression of bile transporter
genes. These detrimental changes were extenuated but not fully reversed by
partial portal vein arterialization, which also attenuated ductular reaction and
fibrosis in completely dearterialized rat livers. Collectively, complete hepatic
deprivation causes severe liver injury, including bile infarcts and biloma
formation. Partial portal vein arterialization seems to protect against acute
ischemia-hypoxia-induced liver injury.
PMID- 27872856
TI - Altered Expression of EPO Might Underlie Hepatic Hemangiomas in LRRK2 Knockout
Mice.
AB - Parkinson's disease (PD) is a severe neurodegenerative disorder caused by
progressive loss of dopaminergic neurons in the substantia nigra pars compacta of
the midbrain. The molecular mechanism of PD pathogenesis is unclear. Mutations in
the leucine-rich repeat kinase 2 (LRRK2) gene are a common genetic cause of
familial and sporadic PD. However, studies on LRRK2 mutant mice revealed no
visible dopaminergic neuronal loss in the midbrain. While surveying a LRRK2
knockout mouse strain, we found that old animals developed age-dependent hepatic
vascular growths similar to cavernous hemangiomas. In livers of these hemangioma
positive LRRK2 knockout mice, we detected an increased expression of the HIF
2alpha protein and significant reactivation of the expression of the HIF-2alpha
target gene erythropoietin (EPO), a finding consistent with a role of the HIF
2alpha pathway in blood vessel vascularization. We also found that the kidney EPO
expression was reduced to 20% of the wild-type level in 18-month-old LRRK2
knockout mice. Unexpectedly, this reduction was restored to wild-type levels when
the knockout mice were 22 months to 23 months old, implying a feedback mechanism
regulating kidney EPO expression. Our findings reveal a novel function of LRRK2
in regulating EPO expression and imply a potentially novel relationship between
PD genes and hematopoiesis.
PMID- 27872857
TI - Visual and Quantitative Analysis Methods of Respiratory Patterns for Respiratory
Gated PET/CT.
AB - We integrated visual and quantitative methods for analyzing the stability of
respiration using four methods: phase space diagrams, Fourier spectra, Poincare
maps, and Lyapunov exponents. Respiratory patterns of 139 patients were grouped
based on the combination of the regularity of amplitude, period, and baseline
positions. Visual grading was done by inspecting the shape of diagram and
classified into two states: regular and irregular. Quantitation was done by
measuring standard deviation of x and v coordinates of Poincare map (SD x , SD v
) or the height of the fundamental peak (A1) in Fourier spectrum or calculating
the difference between maximal upward and downward drift. Each group showed
characteristic pattern on visual analysis. There was difference of quantitative
parameters (SD x , SD v , A1, and MUD-MDD) among four groups (one way ANOVA, p =
0.0001 for MUD-MDD, SD x , and SD v , p = 0.0002 for A1). In ROC analysis, the
cutoff values were 0.11 for SD x (AUC: 0.982, p < 0.0001), 0.062 for SD v (AUC:
0.847, p < 0.0001), 0.117 for A1 (AUC: 0.876, p < 0.0001), and 0.349 for MUD-MDD
(AUC: 0.948, p < 0.0001). This is the first study to analyze multiple aspects of
respiration using various mathematical constructs and provides quantitative
indices of respiratory stability and determining quantitative cutoff value for
differentiating regular and irregular respiration.
PMID- 27872858
TI - 17beta-Estradiol Promotes Schwann Cell Proliferation and Differentiation,
Accelerating Early Remyelination in a Mouse Peripheral Nerve Injury Model.
AB - Estrogen induces oligodendrocyte remyelination in response to demyelination in
the central nervous system. Our objective was to determine the effects of 17beta
estradiol (E2) on Schwann cell function and peripheral nerve remyelination after
injury. Adult male C57BL/6J mice were used to prepare the sciatic nerve
transection injury model and were randomly categorized into control and E2
groups. To study myelination in vitro, dorsal root ganglion (DRG) explant culture
was prepared using 13.5-day-old mouse embryos. Primary Schwann cells were
isolated from the sciatic nerves of 1- to 3-day-old Sprague-Dawley rats.
Immunostaining for myelin basic protein (MBP) expression and toluidine blue
staining for myelin sheaths demonstrated that E2 treatment accelerates early
remyelination in the "nerve bridge" region between the proximal and distal stumps
of the transection injury site in the mouse sciatic nerve. The 5-bromo-2'
deoxyuridine incorporation assay revealed that E2 promotes Schwann cell
proliferation in the bridge region and in the primary culture, which is blocked
using AKT inhibitor MK2206. The in vitro myelination in the DRG explant culture
determined showed that the MBP expression in the E2-treated group is higher than
that in the control group. These results show that E2 promotes Schwann cell
proliferation and myelination depending on AKT activation.
PMID- 27872859
TI - Mapping QTLs for Fertility Restoration of Different Cytoplasmic Male Sterility
Types in Rice Using Two Oryza sativa *O. rufipogon Backcross Inbred Line
Populations.
AB - Hybrid rice breeding using cytoplasmic male sterility/fertility restoration
(CMS/Rf) systems plays an important role in ensuring global food security. Two
backcross inbred line (BIL) populations derived from either Xieqingzao B
(XB)//XB/Dongxiang wild rice (DWR) (XXD) or XB//DWR/XB (XDX) were used to detect
quantitative trait loci (QTLs) for fertility restoration of Dwarf wild abortive-
(DA-), Indonesia Paddy- (ID-), and DWR-type CMS in rice. Lines with ID- and DA
type CMS were testcrossed with both the XXD- and XDX-BILs, while the line with
DWR-type CMS was testcrossed with the XDX-BILs only. A total of 16 QTLs for
fertility restoration of CMS systems were identified, including three for DWR
type CMS, six for DA-type CMS, and seven for ID-type CMS. All of the additive
alleles in the QTLs were derived from Oryza rufipogon. Eleven QTLs were clustered
in five chromosomal regions, indicating that common Rf loci restored different
CMS systems, and the favorable O. rufipogon alleles could be used to develop
restorer lines for various CMS types by marker-assisted selection.
PMID- 27872860
TI - Danggui Buxue Tang Attenuates Tubulointerstitial Fibrosis via Suppressing NLRP3
Inflammasome in a Rat Model of Unilateral Ureteral Obstruction.
AB - Inflammation significantly contributes to the progression of chronic kidney
disease (CKD). This study aimed to characterize Danggui Buxue Tang (DBT)
renoprotection and relationship with NOD-like receptors family pyrin domain
containing 3 (NLRP3) inflammasome expression in rats with unilateral ureteral
obstruction (UUO). Sprague-Dawley rats were subjected to UUO and randomly
assigned to untreated UUO, enalapril-treated (10 mg/kg/day), and DBT-treated (9
g/kg/day) groups. Sham-operated rats served as controls, with 8 rats in each
group. All rats were sacrificed for blood and renal specimen collection at 14
days after UUO. Untreated UUO rats exhibited azotemia, intense tubulointerstitial
collagen deposition, upregulations of tubulointerstitial injury index,
augmentation levels of collagen I (Col I), alpha-smooth muscle actin (alpha-SMA),
NLRP3, apoptosis-associated speck-like protein containing a caspase recruitment
domain (ASC), pro-caspase-1, caspase-1, IL-1beta, and pro-IL-1beta. DBT treatment
significantly attenuated interstitial collagen deposition and tubulointerstitial
injury, lowering Col I and alpha-SMA levels. Synchronous expressions of NLRP3,
ASC, pro-caspase-1, caspase-1, pro-IL-1beta, and IL-1beta decreased in renal
tissue. In comparison to enalapril, DBT significantly reduced tubulointerstitial
injury, interstitial collagen deposition, and expressions of Col I and IL-1beta.
Thus, DBT offers renoprotection in UUO rats, which was associated with
suppressing NLRP3 inflammasome expression and following reduction of the
secretion of cytokine IL-1beta. The mechanisms of multitargets of traditional
Chinese medicine can be better used for antifibrotic treatment.
PMID- 27872861
TI - Danish Nationwide Data Reveal a Link between Diabetes Mellitus, Diabetic
Retinopathy, and Glaucoma.
AB - Aims. To determine the association between treatment against diabetes mellitus
(DM) and treatment with antiglaucomatous drugs in the entire Danish population
and to investigate the comorbidity between DM and its complications with
antiglaucomatous treatment. Methods. Retrospective nationwide cohort study with
data over a 16-year follow-up period. The National Danish Registry of Medicinal
Products Statistics was used to identify all claimed prescriptions for
antiglaucomatous medication and DM drugs. ICD-10 classifications were furthermore
used to identify comorbidities between antiglaucomatous medication and the DM
complications, diabetic retinopathy (DR), and nephropathy. Results. A total of
6,343,747 individuals in the period between 1996 and 2012 were analyzed. The
overall incidence rate of new-onset glaucoma patients was 0.07 per 1000 person
years for the reference population compared to 36 per 1000 person-years for all
diagnosed DM cases. Patients treated with DM drugs had about two times higher
relative risk of glaucoma, when adjusting for a range of factors. The presence of
DR alone or in combination with nephropathy increased the risk of glaucoma.
Conclusions. The present study reports a strong association between DM and onset
of glaucoma treatment in the entire Danish population.
PMID- 27872863
TI - Attention Deficit Disorder and Allergic Rhinitis: Are They Related?
AB - The association between ADHD and allergy remains controversial. Our previous
findings suggest that nerve growth factor may link the nervous and immune
systems. The primary objective of this study was to determine if a combination of
cetirizine + methylphenidate is effective in children with comorbid ADHD and
allergic rhinitis. We also examined the role of nerve growth factor in these
comorbidities. Our randomized, double-blind, placebo-controlled, crossover study
enrolled 38 children diagnosed with comorbid ADHD and allergy using cetirizine (n
= 12), sustained-release methylphenidate (n = 12), or cetirizine +
methylphenidate (n = 14). Endpoints compared baseline to posttreatment
evaluations for allergic rhinitis and ADHD scores. Serum nerve growth factor
levels were measured using ELISA. For allergy endpoints, combination therapy
produced results superior to individual therapy. For ADHD, similar scores were
achieved for individual therapy; however, combination therapy resulted in
improved scores. Nerve growth factor levels were downregulated following this
trend. We conclude that ADHD and allergic rhinitis may have common mechanism and
represent a comorbid condition that links the nervous system to the immune
system. Further studies are needed.
PMID- 27872862
TI - Oxygenation of the Intraportally Transplanted Pancreatic Islet.
AB - Intraportal islet transplantation (IT) is not widely utilized as a treatment for
type 1 diabetes. Oxygenation of the intraportally transplanted islet has not been
studied extensively. We present a diffusion-reaction model that predicts the
presence of an anoxic core and a larger partly functional core within
intraportally transplanted islets. Four variables were studied: islet diameter,
islet fractional viability, external oxygen partial pressure (P) (in surrounding
portal blood), and presence or absence of a thrombus on the islet surface.
Results indicate that an islet with average size and fractional viability
exhibits an anoxic volume fraction (AVF) of 14% and a function loss of 72% at a
low external P. Thrombus formation increased AVF to 30% and function loss to 92%,
suggesting that the effect of thrombosis may be substantial. External P and islet
diameter accounted for the greatest overall impact on AVF and loss of function.
At our institutions, large human alloislets (>200 MUm diameter) account for ~20%
of total islet number but ~70% of total islet volume; since most of the total
transplanted islet volume is accounted for by large islets, most of the
intraportal islet cells are likely to be anoxic and not fully functional.
PMID- 27872864
TI - F4/80+ Host Macrophages Are a Barrier to Murine Embryonic Stem Cell-Derived
Hematopoietic Progenitor Engraftment In Vivo.
AB - Understanding how embryonic stem cells and their derivatives interact with the
adult host immune system is critical to developing their therapeutic potential.
Murine embryonic stem cell-derived hematopoietic progenitors (ESHPs) were
generated via coculture with the bone marrow stromal cell line, OP9, and then
transplanted into NOD.SCID.Common Gamma Chain (NSG) knockout mice, which lack B,
T, and natural killer cells. Compared to control mice transplanted with adult
lineage-negative bone marrow (Lin- BM) progenitors, ESHP-transplanted mice
attained a low but significant level of donor hematopoietic chimerism. Based on
our previous studies, we hypothesized that macrophages might contribute to the
low engraftment of ESHPs in vivo. Enlarged spleens were observed in ESHP
transplanted mice and found to contain higher numbers of host F4/80+ macrophages
compared to BM-transplanted controls. In vivo depletion of host macrophages using
clodronate-loaded liposomes improved the ESHP-derived hematopoietic chimerism in
the spleen but not in the BM. F4/80+ macrophages demonstrated a striking
propensity to phagocytose ESHP targets in vitro. Taken together, these results
suggest that macrophages are a barrier to both syngeneic and allogeneic ESHP
engraftment in vivo.
PMID- 27872865
TI - CXCR3, CCR5, and CRTH2 Chemokine Receptor Expression in Lymphocytes Infiltrating
Thyroid Nodules with Coincident Hashimoto's Thyroiditis Obtained by Fine Needle
Aspiration Biopsy.
AB - Objective. To determine the expression of chemokine receptors in lymphocytes from
thyroid nodules and peripheral blood in patients with and without Hashimoto's
thyroiditis (HT). Patients and Methods. The study included 46 women with thyroid
nodules and HT and 60 women with thyroid nodules without HT (controls) who
underwent a fine needle aspiration biopsy (FNAB). Expression of chemokine
receptors CXCR3, CCR5, and CRTH2 was assessed by flow cytometry in lymphocytes
from FNAB samples and from peripheral blood. Results. The percentage of CRTH2+
lymphocytes was higher in nodules with HT in comparison with controls, both in
FNAB samples (13.95 versus 6.7%, p = 0.008) and in peripheral blood (6.7 versus
5.13%, p = 0.047), and positively correlated with serum antibodies to thyroid
peroxidase (r = 0.243; p = 0.026) and negatively correlated with thyroid volume
(r = -0.346; p = 0.008). Lymphocytes from neoplastic nodules showed a higher
expression of both CXCR3 and CCR5 than those from hyperplastic ones. Conclusion.
Flow cytometry performed in FNAB samples may serve as a good tool in
investigation of intrathyroidal expression of immunological parameters. In our
study, the CRTH2 expression on thyroid-infiltrating lymphocytes as well as on
lymphocytes from peripheral blood was increased in HT as compared to controls.
PMID- 27872868
TI - Minor Antigen Disparities Impede Induction of Long Lasting Chimerism and
Tolerance through Bone Marrow Transplantation with Costimulation Blockade.
AB - Mixed chimerism and tolerance can be successfully induced in rodents through
allogeneic bone marrow transplantation (BMT) with costimulation blockade (CB),
but varying success rates have been reported with distinct models and protocols.
We therefore investigated the impact of minor antigen disparities on the
induction of mixed chimerism and tolerance. C57BL/6 (H2b) mice received
nonmyeloablative total body irradiation (3 Gy), costimulation blockade (anti
CD40L mAb and CTLA4Ig), and 2 * 107 bone marrow cells (BMC) from either of three
donor strains: Balb/c (H2d) (MHC plus multiple minor histocompatibility antigen
(mHAg) mismatched), B10.D2 (H2d) or B10.A (H2a) (both MHC mismatched, but mHAg
matched). Macrochimerism was followed over time by flow cytometry and tolerance
was tested by skin grafting. 20 of 21 recipients of B10.D2 BMC but only 13 of 18
of Balb/c BMC and 13 of 20 of B10.A BMC developed stable long-term multilineage
chimerism (p < 0.05 for each donor strain versus B10.D2). Significantly superior
donor skin graft survival was observed in successfully established long-term
chimeras after mHAg matched BMT compared to mHAg mismatched BMT (p < 0.05). Both
minor and major antigen disparities pose a substantial barrier for the induction
of chimerism while the maintenance of tolerance after nonmyeloablative BMT and
costimulation blockade is negatively influenced by minor antigen disparities. .
PMID- 27872867
TI - Bone Marrow Mesenchymal Stem Cells Enhance the Differentiation of Human Switched
Memory B Lymphocytes into Plasma Cells in Serum-Free Medium.
AB - The differentiation of human B lymphocytes into plasma cells is one of the most
stirring questions with regard to adaptive immunity. However, the terminal
differentiation and survival of plasma cells are still topics with much to be
discovered, especially when targeting switched memory B lymphocytes. Plasma cells
can migrate to the bone marrow in response to a CXCL12 gradient and survive for
several years while secreting antibodies. In this study, we aimed to get closer
to niches favoring plasma cell survival. We tested low oxygen concentrations and
coculture with mesenchymal stem cells (MSC) from human bone marrow. Besides, all
cultures were performed using an animal protein-free medium. Overall, our model
enables the generation of high proportions of CD38+CD138+CD31+ plasma cells
(>=50%) when CD40-activated switched memory B lymphocytes were cultured in direct
contact with mesenchymal stem cells. In these cultures, the secretion of CXCL12
and TGF-beta, usually found in the bone marrow, was linked to the presence of
MSC. The level of oxygen appeared less impactful than the contact with MSC. This
study shows for the first time that expanded switched memory B lymphocytes can be
differentiated into plasma cells using exclusively a serum-free medium.
PMID- 27872866
TI - Roles of Zinc Signaling in the Immune System.
AB - Zinc (Zn) is an essential micronutrient for basic cell activities such as cell
growth, differentiation, and survival. Zn deficiency depresses both innate and
adaptive immune responses. However, the precise physiological mechanisms of the
Zn-mediated regulation of the immune system have been largely unclear. Zn
homeostasis is tightly controlled by the coordinated activity of Zn transporters
and metallothioneins, which regulate the transport, distribution, and storage of
Zn. There is growing evidence that Zn behaves like a signaling molecule,
facilitating the transduction of a variety of signaling cascades in response to
extracellular stimuli. In this review, we highlight the emerging functional roles
of Zn and Zn transporters in immunity, focusing on how crosstalk between Zn and
immune-related signaling guides the normal development and function of immune
cells.
PMID- 27872869
TI - Dynamic association of calcium channel subunits at the cellular membrane.
AB - High voltage gated calcium channels (VGCCs) are composed of at least three
subunits, one pore forming [Formula: see text]-subunit, an intracellular
[Formula: see text]-variant, and a mostly extracellular [Formula: see text]
variant. Interactions between these subunits determine the kinetic properties of
VGCCs. It is unclear whether these interactions are stable over time or rather
transient. Here, we used single-molecule tracking to investigate the surface
diffusion of [Formula: see text]- and [Formula: see text]-subunits at the cell
surface. We found that [Formula: see text]-subunits show higher surface mobility
than [Formula: see text]-subunits, and that they are only transiently confined
together, suggesting a weak association between [Formula: see text]- and
[Formula: see text]-subunits. Moreover, we observed that different [Formula: see
text]-subunits engage in different degrees of association with the [Formula: see
text]-subunit, revealing the tighter interaction of [Formula: see text] with
[Formula: see text]. These data indicate a distinct regulation of the [Formula:
see text] interaction in VGCC subtypes. We modeled their membrane dynamics in a
Monte Carlo simulation using experimentally determined diffusion constants. Our
modeling predicts that the ratio of associated [Formula: see text]- and [Formula:
see text]-subunits mainly depends on their expression density and confinement in
the membrane. Based on the different motilities of particular [Formula: see text]
subunit combinations, we propose that their dynamic assembly and disassembly
represent an important mechanism to regulate the signaling properties of VGCC.
PMID- 27872870
TI - Nanoscale organization of synaptic adhesion proteins revealed by single-molecule
localization microscopy.
AB - The advent of superresolution imaging has created a strong need for both
optimized labeling strategies and analysis methods to probe the nanoscale
organization of complex biological structures. We present a thorough description
of the distribution of synaptic adhesion proteins at the nanoscopic scale, namely
presynaptic neurexin-[Formula: see text] ([Formula: see text]), and its two
postsynaptic binding partners neuroligin-1 (Nlg1) and leucine-rich-repeat
transmembrane protein 2 (LRRTM2). We monitored these proteins in the membrane of
neurons by direct stochastic optical reconstruction microscopy, after live
surface labeling with Alexa647-conjugated monomeric streptavidin. The small probe
([Formula: see text]) efficiently penetrates into crowded synaptic junctions and
reduces the distance to target. We quantified the organization of the single
molecule localization data using a tesselation-based analysis technique. We show
that Nlg1 exhibits a fairly disperse organization within dendritic spines, while
LRRTM2 is organized in compact domains, and [Formula: see text] in presynaptic
terminals displays a dual-organization pattern intermediate between that of Nlg1
and LRRTM2. These results suggest that part of [Formula: see text] interacts
transsynaptically with Nlg1 and the other part with LRRTM2.
PMID- 27872871
TI - Microscopic medical image classification framework via deep learning and shearlet
transform.
AB - Cancer is the second leading cause of death in US after cardiovascular disease.
Image-based computer-aided diagnosis can assist physicians to efficiently
diagnose cancers in early stages. Existing computer-aided algorithms use hand
crafted features such as wavelet coefficients, co-occurrence matrix features, and
recently, histogram of shearlet coefficients for classification of cancerous
tissues and cells in images. These hand-crafted features often lack
generalizability since every cancerous tissue and cell has a specific texture,
structure, and shape. An alternative approach is to use convolutional neural
networks (CNNs) to learn the most appropriate feature abstractions directly from
the data and handle the limitations of hand-crafted features. A framework for
breast cancer detection and prostate Gleason grading using CNN trained on images
along with the magnitude and phase of shearlet coefficients is presented.
Particularly, we apply shearlet transform on images and extract the magnitude and
phase of shearlet coefficients. Then we feed shearlet features along with the
original images to our CNN consisting of multiple layers of convolution, max
pooling, and fully connected layers. Our experiments show that using the
magnitude and phase of shearlet coefficients as extra information to the network
can improve the accuracy of detection and generalize better compared to the state
of-the-art methods that rely on hand-crafted features. This study expands the
application of deep neural networks into the field of medical image analysis,
which is a difficult domain considering the limited medical data available for
such analysis.
PMID- 27872872
TI - Quantitative analysis of hypertrophic myocardium using diffusion tensor magnetic
resonance imaging.
AB - Systemic hypertension is a causative factor in left ventricular hypertrophy
(LVH). This study is motivated by the potential to reverse or manage the
dysfunction associated with structural remodeling of the myocardium in this
pathology. Using diffusion tensor magnetic resonance imaging, we present an
analysis of myocardial fiber and laminar sheet orientation in ex vivo
hypertrophic (6 SHR) and normal (5 WKY) rat hearts using the covariance of the
diffusion tensor. First, an atlas of normal cardiac microstructure was formed
using the WKY b0 images. Then, the SHR and WKY b0 hearts were registered to the
atlas. The acquired deformation fields were applied to the SHR and WKY heart
tensor fields followed by the preservation of principal direction (PPD)
reorientation strategy. A mean tensor field was then formed from the registered
WKY tensor images. Calculating the covariance of the registered tensor images
about this mean for each heart, the hypertrophic myocardium exhibited
significantly increased myocardial fiber derangement ([Formula: see text]) with a
mean dispersion of 38.7 deg, and an increased dispersion of the laminar sheet
normal ([Formula: see text]) of 54.8 deg compared with 34.8 deg and 51.8 deg,
respectively, in the normal hearts. Results demonstrate significantly altered
myocardial fiber and laminar sheet structure in rats with hypertensive LVH.
PMID- 27872874
TI - Preterm neonatal lateral ventricle volume from three-dimensional ultrasound is
not strongly correlated to two-dimensional ultrasound measurements.
AB - The aim of this study is to compare longitudinal two-dimensional (2-D) and three
dimensional (3-D) ultrasound (US) estimates of ventricle size in preterm neonates
with posthemorrhagic ventricular dilatation (PHVD) using quantitative
measurements of the lateral ventricles. Cranial 2-D US and 3-D US images were
acquired from neonatal patients with diagnosed PHVD within 10 min of each other
one to two times per week and analyzed offline. Ventricle index, anterior horn
width, third ventricle width, and thalamo-occipital distance were measured on the
2-D images and ventricle volume (VV) was measured from 3-D US images. Changes in
the measurements between successive image sets were also recorded. No strong
correlations were found between VV and 2-D US measurements ([Formula: see text]
between 0.69 and 0.36). Additionally, weak correlations were found between
changes in 2-D US measurements and 3-D US VV ([Formula: see text] between 0.13
and 0.02). A trend was found between increasing 2-D US measurements and 3-D US
based VV, but this was not the case when comparing changes between 3-D US VV and
2-D US measurements. If 3-D US-based VV provides a more accurate estimate of
ventricle size than 2-D US measurements, moderate-weak correlations with 3-D US
suggest that monitoring preterm patients with PHVD using 2-D US measurements
alone might not accurately represent whether the ventricles are progressively
dilating. A volumetric measure (3-D US or MRI) could be used instead to more
accurately represent changes.
PMID- 27872873
TI - Postediting prostate magnetic resonance imaging segmentation consistency and
operator time using manual and computer-assisted segmentation: multiobserver
study.
AB - Prostate segmentation on T2w MRI is important for several diagnostic and
therapeutic procedures for prostate cancer. Manual segmentation is time
consuming, labor-intensive, and subject to high interobserver variability. This
study investigated the suitability of computer-assisted segmentation algorithms
for clinical translation, based on measurements of interoperator variability and
measurements of the editing time required to yield clinically acceptable
segmentations. A multioperator pilot study was performed under three pre- and
postediting conditions: manual, semiautomatic, and automatic segmentation. We
recorded the required editing time for each segmentation and measured the editing
magnitude based on five different spatial metrics. We recorded average editing
times of 213, 328, and 393 s for manual, semiautomatic, and automatic
segmentation respectively, while an average fully manual segmentation time of 564
s was recorded. The reduced measured postediting interoperator variability of
semiautomatic and automatic segmentations compared to the manual approach
indicates the potential of computer-assisted segmentation for generating a
clinically acceptable segmentation faster with higher consistency. The lack of
strong correlation between editing time and the values of typically used error
metrics ([Formula: see text]) implies that the necessary postsegmentation editing
time needs to be measured directly in order to evaluate an algorithm's
suitability for clinical translation.
PMID- 27872876
TI - Dataset of plasmid DNA extraction using different magnetic nanoparticles (MNPs).
AB - In this dataset we integrated figures related to bacterial transformation using
pBI121 plasmid and complementary analysis for magnetic nanoparticles (MNPs)
characterizations. The structural map of pBI121 plasmid was drawn by Vector NTI
software using the complete sequence of binary vector pBI121. Escherichia coli
bacteria transformed using pBI121 plasmid and were grown on the selection media
containing kanamycin. MNPs were characterized by energy dispersive spectroscopy
(EDS) and transmission electron microscopy (TEM). Finally, the overall efficiency
of different MNPs (Fe3O4, Fe3O4/SiO2, Fe3O4/SiO2/TiO2) in plasmid DNA isolation
was compared using gel electrophoresis analysis. The data supplied in this
article supports the accompanying publication "Comparative study of three
magnetic nano-particles (FeSO4, FeSO4/SiO2, FeSO4/SiO2/TiO2) in plasmid DNA
extraction" (H. Rahnama, A. Sattarzadeh, F. Kazemi, N. Ahmadi, F. Sanjarian, Z.
Zand, 2016) [1].
PMID- 27872875
TI - A comparison of the innate flexibilities of six chains in F1-ATPase with
identical secondary and tertiary folds; 3 active enzymes and 3 structural
proteins.
AB - The alpha and beta subunits comprising the hexameric assembly of F1-ATPase share
a high degree of structural identity, though low primary identity. Each subunit
binds nucleotide in similar pockets, yet only beta subunits are catalytically
active. Why? We re-examine their internal symmetry axes and observe interesting
differences. Dividing each chain into an N-terminal head region, a C-terminal
foot region, and a central torso, we observe (1) that while the foot and head
regions in all chains obtain high and similar mobility, the torsos obtain
different mobility profiles, with the beta subunits exhibiting a higher motility
compared to the alpha subunits, a trend supported by the crystallographic B
factors. The beta subunits have greater torso mobility by having fewer
distributed, nonlocal packing interactions providing a spacious and soft
connectivity and offsetting the resultant softness with local stiffness elements,
including an additional beta sheet. (2) A loop near the nucleotide binding-domain
of the beta subunits, absent in the alpha subunits, swings to create a large
variation in the occlusion of the nucleotide binding region. (3) A combination of
the softest three eigenmodes significantly reduces the root mean square
difference between the open and closed conformations of the beta subunits. (4)
Comparisons of computed and observed crystallographic B-factors suggest a
suppression of a particular symmetry axis in an alpha subunit. (5) Unexpectedly,
the soft intra-monomer oscillations pertain to distortions that do not create
inter-monomer steric clashes in the assembly, suggesting that structural
optimization of the assembly evolved at all levels of complexity.
PMID- 27872877
TI - Data on spermatogenesis in rat males gestationally exposed to bisphenol A and
high fat diets.
AB - This data article contains supporting information regarding the research article
entitled "High butter-fat diet and bisphenol A additively impair male rat
spermatogenesis" (P. Tarapore, M. Hennessy, D. Song, J. Ying, B. Ouyang, V.
Govindarajah, et al.,) [1]. Sprague-Dawley females were fed AIN, high fat butter,
17alpha-ethinyl estradiol, or high fat butter plus four bisphenol A doses (2500
ug/kg bw-d, 250 ug/kg bw-d, 25 ug/kg bw-d, and 2.5 ug/kg bw-d) before and during
pregnancy. All diets were switched to AIN after the pups were born. Male
offspring received testosterone (T)- and estradiol-17beta (E2)-filled implants
from postnatal day 70-210 for 20 weeks (T+E2 rat model). The testes were weighed,
and examined for impairments in spermatogenesis.
PMID- 27872878
TI - Serum antioxidant capacity and peroxide level of seven healthy subjects after
consumption of different foods.
AB - This article reports experimental data related to the research article entitled
"Different effectiveness of two pastas supplemented with either lipophilic or
hydrophilic/phenolic antioxidants in affecting serum as evaluated by the novel
Antioxidant/Oxidant Balance approach" (M.N. Laus, M. Soccio, M. Alfarano, A.
Pasqualone, M.S. Lenucci, G. Di Miceli, D. Pastore, 2016) [1]. Antioxidant status
of blood serum of seven healthy subjects was evaluated during four hours after
consumption of two functional pastas, supplemented with either bran oleoresin or
bran water extract obtained from durum wheat. For comparison, the effect of a non
supplemented reference pasta was also evaluated, as well as the effects of
glucose, of the wheat grain dietary supplement Lisosan G, and of the reference
pasta consumed together with Lisosan G. Serum antioxidant status was evaluated by
measuring both the serum antioxidant capacity, using LOX-FL, ORAC and TEAC
methods, and the serum oxidant status, assessed as peroxide level.
PMID- 27872879
TI - Data on Fe (II) biosorption onto Sargassum hystrix algae obtained from the
Persian Gulf in Bushehr Port, Iran.
AB - In this article, we used Sargassum hystrix algae as biosorbent for removal of Fe
(II) from aqueous solutions that was collected along the Persian Gulf coastline,
Bushehr, Iran. The concentration level of remaining Fe (II) in the samples was
measured by using flame atomic absorption spectrometry (FAAS, Varian AA240,
Australia). The isotherms, kinetics and modeling data of Fe (II) biosorption onto
Sargassum hystrix were also presented.
PMID- 27872880
TI - Data on quantification of signaling pathways activated by KIT and PDGFRA mutants.
AB - The present data are related to the article entitled "Insights into ligand
stimulation effects on gastro-intestinal stromal tumors signaling" (C. Bahlawane,
M. Schmitz, E. Letellier, K. Arumugam, N. Nicot, P.V. Nazarov, S. Haan, 2016)
[1]. Constitutive and ligand-derived signaling pathways mediated by KIT and
PDGFRA mutated proteins found in gastrointestinal stromal tumors (GIST) were
compared. Expression of mutant proteins was induced by doxycycline in an isogenic
background (Hek293 cells). Kit was identified by FACS at the cell surface and
found to be quickly degraded or internalized upon SCF stimulation for both Kit
Wild type and Kit mutant counterparts. Investigation of the main activated
pathways in GIST unraveled a new feature specific for oncogenic KIT mutants,
namely their ability to be further activated by Kit ligand, the stem cell factor
(scf). We were also able to identify the MAPK pathway as the most prominent
target for a common inhibition of PDGFRA and KIT oncogenic signaling. Western
blotting and micro-array analysis were applied to analyze the capacities of the
mutant to induce an effective STATs response. Among all Kit mutants, only Kit
Ex11 deletion mutant was able to elicit an effective STATs response whereas all
PDGFRA were able to do so.
PMID- 27872881
TI - Infodemiological data of West-Nile virus disease in Italy in the study period
2004-2015.
AB - Google Trends (GT) was mined from 2004 to 2015, searching for West-Nile virus
disease (WNVD) in Italy. GT-generated data were modeled as a time series and were
analyzed using classical time series analyses. In particular, correlation between
GT-based Relative Search Volumes (RSVs) related to WNVD and "real-world"
epidemiological cases in the same study period resulted r=0.76 (p<0.0001) on a
monthly basis and r=0.80 (p<0.0001) on a yearly basis. The partial
autocorrelation analysis and the spectral analysis confirmed that a 1-year
regular pattern could be detected. Correlation between GT-based RSVs related to
WNVD yielded a r=0.54 (p<0.05) on a regional basis. Summarizing, GT-generated
data concerning WNVD well correlated with epidemiology and could be exploited for
complementing traditional surveillance.
PMID- 27872882
TI - Data of cost-optimal solutions and retrofit design methods for school renovation
in a warm climate.
AB - "Efficient Solutions and Cost-Optimal Analysis for Existing School Buildings"
(Paolo Maria Congedo, Delia D'Agostino, Cristina Baglivo, Giuliano Tornese,
Ilaria Zaca) [1] is the paper that refers to this article. It reports the data
related to the establishment of several variants of energy efficient retrofit
measures selected for two existing school buildings located in the Mediterranean
area. In compliance with the cost-optimal analysis described in the Energy
Performance of Buildings Directive and its guidelines (EU, Directive, EU 244,)
[2], [3], these data are useful for the integration of renewable energy sources
and high performance technical systems for school renovation. The data of cost
efficient high performance solutions are provided in tables that are explained
within the following sections. The data focus on the describe school
refurbishment sector to which European policies and investments are directed. A
methodological approach already used in previous studies about new buildings is
followed (Baglivo Cristina, Congedo Paolo Maria, D'Agostino Delia, Zaca Ilaria,
2015; IlariaZaca, Delia D'Agostino, Paolo Maria Congedo, Cristina Baglivo;
Baglivo Cristina, Congedo Paolo Maria, D'Agostino Delia, Zaca Ilaria, 2015;
Ilaria Zaca, Delia D'Agostino, Paolo Maria Congedo, Cristina Baglivo, 2015; Paolo
Maria Congedo, Cristina Baglivo, IlariaZaca, Delia D'Agostino,2015) [4], [5],
[6], [7], [8]. The files give the cost-optimal solutions for a kindergarten
(REF1) and a nursery (REF2) school located in Sanarica and Squinzano (province of
Lecce Southern Italy). The two reference buildings differ for construction
period, materials and systems. The eleven tables provided contain data about the
localization of the buildings, geometrical features and thermal properties of the
envelope, as well as the energy efficiency measures related to walls, windows,
heating, cooling, dhw and renewables. Output values of energy consumption, gas
emission and costs are given for a financial and a macro-economic analysis. This
data article provides 288 and 96 combinations for REF1 and REF2, respectively.
The output values are obtained using the software ProCasaClima 2015v.2.0.
PMID- 27872883
TI - Model fitting data from syllogistic reasoning experiments.
AB - The data presented in this article are related to the research article entitled
"Probabilistic representation in syllogistic reasoning: A theory to integrate
mental models and heuristics" (M. Hattori, 2016) [1]. This article presents
predicted data by three signature probabilistic models of syllogistic reasoning
and model fitting results for each of a total of 12 experiments (N=404) in the
literature. Models are implemented in R, and their source code is also provided.
PMID- 27872884
TI - Data on clinical characteristics of a heart failure patients' cohort with reduced
ejection fraction and analysis of the circulating values of five different heart
failure biomarkers; high sensitivity troponin T, galectin-3, C-terminal
propeptide of type I procollagen, soluble AXL and BNP.
AB - In this article, the full description of a heart failure with reduced ejection
fraction (HF_REF) cohort of 192 patients is provided. Tables with the baseline
demographic, prior history, ECG parameters, echocardiographic parameters,
laboratory values and pharmacological treatment of these patients are included.
Also, the quartile values of the analyzed circulating biomarkers: high
sensitivity Troponin T (hs-TnT), galectin-3 (Gal-3), C-terminal propeptide of
type I procollagen (CICP), soluble AXL (sAXL) and Brain Natriuretic Peptide (BNP)
are given. The main demographic and clinical features of the patients' subgroups
that have hs-TnT, Gal-3, CICP or BNP above the third quartile are described.
Tables with Pearson correlation analysis of the HF_REF patients' biomarker levels
are included. And Pearson correlation analysis of the HF_REF patients' hs-TnT,
Gal-3, CICP levels with patients' biochemical parameters, blood count and
inflammation parameters are also described. These data are related to the
research articles (AXL receptor tyrosine kinase is increased in patients with
heart failure (M. Batlle, P. Recarte-Pelz, E. Roig, M.A. Castel, M. Cardona, M.
Farrero, et al., 2014) [1] and Use of serum levels of high sensitivity troponin
T, galectin-3 and C-terminal propeptide of type I procollagen at long term follow
up in Heart Failure patients with reduced ejection fraction: comparison with
soluble AXL and BNP (M. Batlle, B. Campos, M. Farrero, M. Cardona, B. Gonzalez,
M.A. Castel, et al., 2016) [2].
PMID- 27872885
TI - Data comparing the kinetics of procollagen type I processing by bone
morphogenetic protein 1 (BMP-1) with and without procollagen C-proteinase
enhancer 1 (PCPE-1).
AB - This article provides kinetic constants for C-terminal processing of procollagen
type I by bone morphogenetic protein 1 (BMP-1; the major procollagen C
proteinase), a reaction stimulated by the connective tissue glycoprotein
procollagen C-proteinase enhancer 1 (PCPE-1). Reported are Km , Vmax , Kcat and
Kcat /Km (catalytic coefficient) values for BMP-1 alone, BMP-1 with intact PCPE
1, BMP-1 with the CUB (Complement C1r/C1s, Uegf, BMP-1) domains fragment of PCPE
1 as well as its NTR (netrin-like) domain.
PMID- 27872886
TI - Data set of Aspergillus flavus induced alterations in tear proteome:
Understanding the pathogen-induced host response to fungal infection.
AB - Fungal keratitis is one of the leading causes of blindness in the tropical
countries affecting individuals in their most productive age. The host immune
response during this infection is poorly understood. We carried out comparative
tear proteome analysis of Aspergillus flavus keratitis patients and uninfected
controls. Proteome was separated into glycosylated and non-glycosylated fractions
using lectin column chromatography before mass spectrometry. The data revealed
the major processes activated in the human host in response to fungal infection
and reflected in the tear. Extended analysis of this dataset presented here
complements the research article entitled "Aspergillus flavus induced alterations
in tear protein profile reveal pathogen-induced host response to fungal infection
[1]" (Jeyalakhsmi Kandhavelu, Naveen Luke Demonte, Venkatesh Prajna
Namperumalsamy, Lalitha Prajna, Chitra Thangavel, Jeya Maheshwari Jayapal,
Dharmalingam Kuppamuthu, 2016). The mass spectrometry proteomics data have been
deposited in the ProteomeXchange Consortium via the PRIDE partner repository with
the dataset identifier PRIDE:PXD003825.
PMID- 27872887
TI - Mapping cycling patterns and trends using Strava Metro data in the city of
Johannesburg, South Africa.
AB - Plans for smart mobility through cycling are often hampered by lack of
information on cycling patterns and trends, particularly in cities of the
developing world such as Johannesburg. Similarly, traditional methods of data
collection such as bicycle counts are often expensive, cover a limited spatial
extent and not up-to-date. Consequently, the dataset presented in this paper
illustrates the spatial and temporal coverage of cycling patterns and trends in
Johannesburg for the year 2014 derived from the geolocation based mobile
application Strava. To the best knowledge of the authors, there is little or no
comprehensive dataset that describes cycling patterns in Johannesburg. Perhaps
this dataset is a tool that will support evidence based transportation planning
and smart mobility.
PMID- 27872888
TI - Newly listed firms' M&A activities data and their VC-backing data.
AB - This article contains hand collected and matched data on the VC investment
situation in newly listed firms in SME and ChiNext board from 2009 to 2012 and
the corresponding M&A activities data undertaken by these newly listed firms as
acquirers in three years following initial public offering. Mentioned data are
related to the research article "Heterogeneous Venture Capital, M&A Activity, and
Market Response" (W. Li, T. Cao, Z. Feng, 2016) [1].
PMID- 27872889
TI - Commentary on "A new drug with a nasty bite: A case of krokodil-induced skin
necrosis in an intravenous drug user".
PMID- 27872890
TI - Koebner phenomenon in pemphigus vulgaris patients.
PMID- 27872891
TI - Dengue with a morbilliform rash and a positive tourniquet test.
PMID- 27872892
TI - Reply to: "Commentary on 'A new drug with a nasty bite: A case of krokodil
induced skin necrosis in an intravenous drug user'".
PMID- 27872894
TI - Perspective from the Alzheimer's Association: Neuroimaging Professional Interest
Area of ISTAART continues impact on the field.
PMID- 27872895
TI - The Alzheimer's Imaging Consortium: Celebrating 20 years of creativity and
progress.
PMID- 27872893
TI - Response to sunitinib (Sutent) in chemotherapy refractory clear cell ovarian
cancer.
AB - *Case describes a response to sunitinib in clear cell ovarian cancer.*Discussion
of unique molecular characteristics of clear cell ovarian cancers*Practical
points regarding dosing and toxicity when using sunitinib discussed.
PMID- 27872897
TI - Physiotherapy Practice Patterns for Management of Patients Undergoing Thoracic
Surgeries in India: A Survey.
AB - Aim. The aim of the current study is to determine the practice patterns of
physiotherapists for patients undergoing thoracic surgeries in India. Materials
and Methodology. A cross-sectional survey was conducted across India in which 600
questionnaires were sent in emails to physiotherapists. The questionnaire
addressed assessment and treatment techniques of thoracic surgery. Results. A
total of 234 completed questionnaires were returned with a response rate of 39%,
with the majority of responses received from Telangana, Karnataka, and Andhra
Pradesh. More than 90% of the responders practiced physical examination, chest
expansion, chest X-ray, ABG analysis, pulmonary function test, and SpO2 (oxygen
saturation) as the assessment measures in both the pre- and the postoperative
phase. Breathing exercises, incentive spirometry, thoracic expansion exercises,
coughing and huffing, positioning, and modified postural drainage are found to be
commonly used physiotherapy interventions, both pre- and postoperatively, with a
response rate of more than 90%. A response rate of more than 84.6% indicated that
patients are made to dangle their lower limbs over the edge of the bed on the 1st
postoperative day. Mobilization, such as walking up to a chair, sit to stand
exercises, and perambulation within the patient's room, was started on the 2nd
postoperative day, as stated by more than 65% of the physiotherapists. Staircase
climbing was started on the 5th postoperative day. The most commonly used
functional evaluation prior to discharge was 6-minute walk test. This was, in
fact, practiced by 77.4% of the physiotherapists in their clinical settings.
Conclusion. The most predominantly employed assessment measures included were
physical examination, chest expansion, ABG analysis, pulmonary function test,
chest X-ray, SpO2 (oxygen saturation), peripheral muscle strength, and
cardiopulmonary exercise. The physiotherapy interventions most commonly used were
breathing exercises, thoracic expansion exercises, incentive spirometry, and
coughing and huffing techniques, in both the pre- and the postoperative phase.
PMID- 27872898
TI - The Impact of Variable Wind Shear Coefficients on Risk Reduction of Wind Energy
Projects.
AB - Estimation of wind speed at proposed hub heights is typically achieved using a
wind shear exponent or wind shear coefficient (WSC), variation in wind speed as a
function of height. The WSC is subject to temporal variation at low and high
frequencies, ranging from diurnal and seasonal variations to disturbance caused
by weather patterns; however, in many cases, it is assumed that the WSC remains
constant. This assumption creates significant error in resource assessment,
increasing uncertainty in projects and potentially significantly impacting the
ability to control gird connected wind generators. This paper contributes to the
body of knowledge relating to the evaluation and assessment of wind speed, with
particular emphasis on the development of techniques to improve the accuracy of
estimated wind speed above measurement height. It presents an evaluation of the
use of a variable wind shear coefficient methodology based on a distribution of
wind shear coefficients which have been implemented in real time. The results
indicate that a VWSC provides a more accurate estimate of wind at hub height,
ranging from 41% to 4% reduction in root mean squared error (RMSE) between
predicted and actual wind speeds when using a variable wind shear coefficient at
heights ranging from 33% to 100% above the highest actual wind measurement.
PMID- 27872896
TI - Comparison of Clinical and Radiologic Outcome of Adolescent Idiopathic Scoliosis
Treated with Hybrid Hook-Screw Instrumentation versus Universal Clamp System.
AB - Background. In surgical treatment of adolescent idiopathic scoliosis (AIS),
hybrid universal clamp system has been used by some authors. We aimed to compare
the clinical and radiologic outcome of hybrid universal clamp with hybrid
thoracic hook lumbar screw. Methods. A prospective study was performed on 56
consecutive patients with AIS, who had alternatively undergone a posterior spinal
fusion and instrumentation with hybrid thoracic hook lumbar screw system (28
patients: group A) and hybrid universal clamp system (28 patients: group B)
between June 2006 and January 2014 at Imam Reza University Hospital and had been
followed up for more than two years. The comparison was according to radiographic
changes, operative time, intraoperative blood loss, complications, and Scoliosis
Research Society (SRS-22) outcome scores. Results. The preoperative mean curve
Cobb angle was 58 degrees +/- 7 degrees (42 degrees -74 degrees ) in group A
and 60 degrees +/- 9 degrees (46 degrees -75 degrees ) in group B. The mean
final coronal curve correction was 60.4% and 75.5% in groups A and B,
respectively (P = 0.001). Postoperative SRS outcome scores were also comparable.
Conclusion. Universal clamp instrumentation had a significantly better curve
correction and lower complication rate compared with hybrid thoracic hook lumbar
screw. Both instrumentation methods had similar operative time, intraoperative
blood loss, and postoperative SRS outcome scores.
PMID- 27872899
TI - De novo FGF12 mutation in 2 patients with neonatal-onset epilepsy.
AB - OBJECTIVE: We describe 2 additional patients with early-onset epilepsy with a de
novo FGF12 mutation. METHODS: Whole-exome sequencing was performed in 2 unrelated
patients with early-onset epilepsy and their unaffected parents. Genetic variants
were assessed by comparative trio analysis. Clinical evolution, EEG, and
neuroimaging are described. The phenotype and response to treatment was reviewed
and compared to affected siblings in the original report. RESULTS: We identified
the same FGF12 de novo mutation reported previously (c.G155A, p.R52H) in 2
additional patients with early-onset epilepsy. Similar to the original brothers
described, both presented with tonic seizures in the first month of life. In the
first patient, seizures responded to sodium channel blockers and her development
was normal at 11 months. Patient 2 is a 15-year-old girl with treatment-resistant
focal epilepsy, moderate intellectual disability, and autism. Carbamazepine
(sodium channel blocker) was tried later in her course but not continued due to
an allergic reaction. CONCLUSIONS: The identification of a recurrent de novo
mutation in 2 additional unrelated probands with early-onset epilepsy supports
the role of FGF12 p.R52H in disease pathogenesis. Affected carriers presented
with similar early clinical phenotypes; however, this report expands the
phenotype associated with this mutation which contrasts with the progressive
course and early mortality of the siblings in the original report.
PMID- 27872900
TI - Robot-Assisted Laparoscopic Renal Schwannoma Excision.
AB - Background: To report the first case of a renal schwannoma excised with robot
assisted laparoscopy. Case Presentation: A 43-year-old Caucasian female patient
with vague abdominal symptoms was noted to have incidental right renal mass.
Physical examination and laboratory tests were within normal limits. CT revealed
a 4.6 cm heterogeneous enhancing right renal mass arising near the hilum. RENAL
nephrometry score was 11a. She was treated by right robot-assisted laparoscopic
nephrectomy. She recovered well without complications. Pathology analysis
revealed a benign renal schwannoma. Conclusion: Renal schwannoma is a rare kidney
tumor. We report the first known case of this tumor excised by robot-assisted
laparoscopic nephrectomy.
PMID- 27872901
TI - Reperfusion and Compartment Syndrome After Flexible Ureteroscopy in a Patient
with an Iliac Vascular Graft.
AB - Background: Flexible ureteroscopy (fURS) is one of the main treatment options for
urolithiasis less than 2 cm. Although fURS has no relative contraindication, some
anatomical factors may need to be considered, as not all patients are suitable
for the regular lithotomy position (LP). We report the case of a patient with a
right iliac vascular graft that after an fURS without intraoperative incidences
developed a reperfusion syndrome of the right lower limb. Case Presentation: A 46
year-old male patient was referred for treatment and follow-up in the cystinuric
clinic after being found to have a 3 cm pelvic stone with a Double-J catheter in
place after two failed sessions of shockwave lithotripsy. The patient was placed
in the LP and a standard ureteroscopy was done with no intraoperative
complications. During the first hour in the recovery room, the patient developed
severe pain in the right calf muscle stiffness, edema, and increased volume. A
postreperfusion and compartment syndrome diagnosis was made with emergency
fasciotomy. Conclusion: To perform fURS, each case must be assessed individually.
If a patient with an iliac vascular graft has to undergo fURS, the patient
positioning must be modified by keeping the ipsilateral (or both) legs straight
to avoid graft complications.
PMID- 27872902
TI - Wind energy potential assessment of Cameroon's coastal regions for the
installation of an onshore wind farm.
AB - For the future installation of a wind farm in Cameroon, the wind energy
potentials of three of Cameroon's coastal cities (Kribi, Douala and Limbe) are
assessed using NASA average monthly wind data for 31 years (1983-2013) and
compared through Weibull statistics. The Weibull parameters are estimated by the
method of maximum likelihood, the mean power densities, the maximum energy
carrying wind speeds and the most probable wind speeds are also calculated and
compared over these three cities. Finally, the cumulative wind speed
distributions over the wet and dry seasons are also analyzed. The results show
that the shape and scale parameters for Kribi, Douala and Limbe are 2.9 and 2.8,
3.9 and 1.8 and 3.08 and 2.58, respectively. The mean power densities through
Weibull analysis for Kribi, Douala and Limbe are 33.7 W/m2, 8.0 W/m2 and 25.42
W/m2, respectively. Kribi's most probable wind speed and maximum energy carrying
wind speed was found to be 2.42 m/s and 3.35 m/s, 2.27 m/s and 3.03 m/s for Limbe
and 1.67 m/s and 2.0 m/s for Douala, respectively. Analysis of the wind speed and
hence power distribution over the wet and dry seasons shows that in the wet
season, August is the windiest month for Douala and Limbe while September is the
windiest month for Kribi while in the dry season, March is the windiest month for
Douala and Limbe while February is the windiest month for Kribi. In terms of mean
power density, most probable wind speed and wind speed carrying maximum energy,
Kribi shows to be the best site for the installation of a wind farm. Generally,
the wind speeds at all three locations seem quite low, average wind speeds of all
the three studied locations fall below 4.0m/s which is far below the cut-in wind
speed of many modern wind turbines. However we recommend the use of low cut-in
speed wind turbines like the Savonius for stand alone low energy needs.
PMID- 27872903
TI - Energy behavior on side structure in event of ship collision subjected to
external parameters.
AB - The safety of ships in regards to collisions and groundings, as well as the
navigational and structural aspects of ships, has been improved and developed up
to this day by technical, administrative and nautical parties. The damage
resulting from collisions could be reduced through several techniques such as
designing appropriate hull structures, ensuring tightness of cargo tanks as well
as observation and review on structural behaviors, whilst accounting for all
involved parameters. The position during a collision can be influenced by the
collisions' location and angle as these parts are included in the external
dynamics of ship collisions. In this paper, the results of several collision
analyses using the finite element method were used and reviewed regarding the
effect of location and angle on energy characteristic. Firstly, the capabilities
of the structure and its ability to resist destruction in a collision process
were presented and comparisons were made to other collision cases. Three types of
collisions were identified based on the relative location of contact points to
each other. From the results, it was found that the estimation of internal energy
by the damaged ships differed in range from 12%-24%. In the second stage, the
results showed that a collision between 30 to 60 degrees produced higher level
energy than a collision in the perpendicular position. Furthermore, it was
concluded that striking and struck objects in collision contributed to energy and
damage shape.
PMID- 27872904
TI - Exploring informed consent in HIV clinical trials: A case study in Uganda.
AB - INTRODUCTION: In settings with low literacy levels ensuring that participants are
fully-informed before they consent to participate in clinical trials is a
challenge. We explored the experiences and concerns of key actors in the informed
consent process in two HIV clinical trials. METHOD: Semi-structured interviews
were conducted with 46 respondents including trial participants, research study
team and research ethics committee members about their experiences during the
informed consent process. Three focus group discussions were conducted with 14
Community Advisory Board (CAB) members and 17 trial participants. Data were
analysed to identify key themes. FINDINGS: The consent process was highlighted as
an important procedure by all the key actors however each group had a particular
area of emphasis. Signing a consent form was given importance by research team
and ethics committee members, because it provided documented evidence of a
participant's willingness to join a clinical trial. Participants did not welcome
the presence of a witness for a non-literate participant because understanding
study information was not closely related to an ability to read and write.
CONCLUSION: This study's findings indicated that obtaining a volunteer's
signature or thumbprint on a consent form did not necessarily mean that the
participant was fully-informed about the information relevant to their taking
part nor that they understood all the information shared with them. Informed
consent requires sufficient time in the research process to have staff trained
well enough before research begins. Ensuring and gaining informed consent should
be understood and treated as a relation-centred, dynamic supportive process
throughout the duration of a research study.
PMID- 27872906
TI - Sequence-specific recognition of methylated DNA by an engineered transcription
activator-like effector protein.
AB - A 5mC-selective TALE-repeat was created by screening a TALE repeat library
containing randomized amino acids at repeat variable diresidues and their
neighboring residues. The new repeat showed high 5mC discrimination ability. An
artificial TALE containing the new repeat activated an endogenous gene in a
genomic methylation status-dependent manner.
PMID- 27872912
TI - Chirality sensing and discrimination of lysine derivatives in water with a
dyn[4]arene.
AB - The asymmetric deformation of a dyn[4]arene upon the binding of various lysine
derivatives leads to distinct induced circular dichroism outputs in buffered
water, which can be exploited not only for the determination of their
enantiomeric excesses, but also for their classification by linear discriminant
analysis.
PMID- 27872913
TI - Direct synthesis of ethanol via CO2 hydrogenation using supported gold catalysts.
AB - An efficient titania supported Au nanocluster (NC) has been prepared for the
direct synthesis of useful EtOH from CO2 and H2. The unique creation of an
excellent synergistic effect between Au NCs and the underlying TiO2 support,
especially the anatase crystal phase with abundant oxygen vacancies, can achieve
the high performance for EtOH synthesis under moderate and practical conditions.
PMID- 27872914
TI - The role of water in the synthesis of indium nanoparticles.
AB - We report the water-assisted synthesis of indium nanoparticles (In NPs). We found
that a precise amount of water was necessary to allow the formation of the
desired 7 nm In NPs: the oxidation of the In surface by water inhibits the growth
of NPs as well as subsequent reactivity with white phosphorus (P4). A novel
surface activation method based on the use of organosilanes is presented.
PMID- 27872915
TI - Silica core/conjugated polymer shell particles via seeded Knoevenagel dispersion
polymerization - laser action in whispering gallery mode resonators.
AB - Here, we present a seeded Knoevenagel dispersion polymerization to generate
hybrid particles with a conjugated polymer shell on inorganic silica cores. This
seeded dispersion polymerization facilitates the generation of core-shell
particles, which exhibit whispering gallery mode lasing. The lasing threshold
decreases while the spectral range of emission increases with increasing shell
thickness. This novel seeded Knoevenagel dispersion polymerization opens up a
facile and metal free pathway towards single particle conjugated polymer lasers
on the micrometer scale.
PMID- 27872905
TI - Interferon-driven deletion of antiviral B cells at the onset of chronic
infection.
AB - Inadequate antibody responses and perturbed B cell compartments represent
hallmarks of persistent microbial infections, but the mechanisms whereby
persisting pathogens suppress humoral immunity remain poorly defined. Using
adoptive transfer experiments in the context of a chronic lymphocytic
choriomeningitis virus (LCMV) infection of mice, we have documented rapid
depletion of virus-specific B cells that coincided with the early type I
interferon response to infection. We found that the loss of activated B cells was
driven by type I interferon (IFN-I) signaling to several cell types including
dendritic cells, T cells and myeloid cells. Intriguingly, this process was
independent of B cell-intrinsic IFN-I sensing and resulted from biased
differentiation of naive B cells into short-lived antibody-secreting cells. The
ability to generate robust B cell responses was restored upon IFN-I receptor
blockade or, partially, when experimentally depleting myeloid cells or the IFN-I
induced cytokines interleukin 10 and tumor necrosis factor alpha. We have termed
this IFN-I-driven depletion of B cells "B cell decimation". Strategies to counter
"B cell decimation" should thus help us better leverage humoral immunity in the
combat against persistent microbial diseases.
PMID- 27872916
TI - Reversible pH-independent optical potassium sensor with lipophilic solvatochromic
dye transducer on surface modified microporous nylon.
AB - A reversible and pH-independent fluorescent ion optode is introduced with an
ionophore and surface confined solvatochromic dye transducer doped onto
microporous nylon membranes. The resulting film responds to K+ with excellent
selectivity over the range of 10-7 to 10-2 M and a response time of t95 < 60 s
above 10-6 M.
PMID- 27872918
TI - Distance mediated electrochemiluminescence enhancement of CdS thin films induced
by the plasmon coupling of gold nanoparticle dimers.
AB - Gold nanoparticle dimers assembled on the surface of CdS QD thin films served as
nano-antennas to mediate the distance-dependent plasmon enhanced
electrochemiluminescence of QDs.
PMID- 27872917
TI - Oxygen vacancy induced Bi2WO6 for the realization of photocatalytic CO2 reduction
over the full solar spectrum: from the UV to the NIR region.
AB - Photocatalytic CO2 reduction over the UV-Vis-NIR broad spectrum was realized for
the first time. The presence of surface oxygen vacancy defects on Bi2WO6 resulted
in significant photocatalytic enhancement over the pristine counterpart under UV
and visible light irradiation. Meanwhile, the photocatalytic responsiveness of
Bi2WO6-OV was successfully extended to the NIR region.
PMID- 27872919
TI - Core expansion of bis-calix[4]arene-supported clusters.
AB - Calix[4]arenes are excellent ligand supports for the synthesis of polymetallic
clusters of transition and lanthanide metal ions, as well as 3d-4f ion mixtures.
Bis-calix[4]arene, a recent addition to the calixarene family, forms structurally
related cages that mirror the metal ion binding preferences of calix[4]arene.
Here we show that stoichiometric control causes remarkable expansion in the cores
of two known bis-calix[4]arene-supported clusters, with concomitant changes to
the magnetic properties observed.
PMID- 27872921
TI - Synthesis of stilbene derivatives via visible-light-induced cross-coupling of
aryl diazonium salts with nitroalkenes using -NO2 as a leaving group.
AB - The straightforward visible-light-induced synthesis of stilbene compounds via the
cross-coupling of nitroalkenes and diazonium tetrafluoroborates under transition
metal-free conditions is described. The protocol uses green LEDs as light sources
and eosin Y as an organophotoredox catalyst. Broad substrate scope and exclusive
selectivity for the (E)-configuration of stilbenes are observed. This protocol
proceeds via a radical pathway, with nitroalkenes serving as the radical
acceptor, and the nitro group is cleaved during the process.
PMID- 27872920
TI - Ultrafast chiral separations for high throughput enantiopurity analysis.
AB - Recent developments in fast chromatographic enantioseparations now make high
throughput analysis of enantiopurity on the order of a few seconds achievable.
Nevertheless, routine chromatographic determinations of enantiopurity to support
stereochemical investigations in pharmaceutical research and development,
synthetic chemistry and bioanalysis are still typically performed on the 5-20 min
timescale, with many practitioners believing that sub-minute enantioseparations
are not representative of the molecules encountered in day to day research. In
this study we develop ultrafast chromatographic enantioseparations for a variety
of pharmaceutically-related drugs and intermediates, showing that sub-minute
resolutions are now possible in the vast majority of cases by both supercritical
fluid chromatography (SFC) and reversed phase liquid chromatography (RP-LC).
Examples are provided illustrating how such methods can be routinely developed
and used for ultrafast high throughput analysis to support enantioselective
synthesis investigations.
PMID- 27872922
TI - Birnessite-type MnO2 nanosheet arrays with interwoven arrangements on vapor grown
carbon fibers as hybrid nanocomposites for pseudocapacitors.
AB - Manganese dioxide nanosheet arrays with interconnected arrangements are easily
synthesized on vapor grown carbon fibers (MnO2 NSAs@VCFs) by a simple wet
chemical method at low temperature. The conductive nature of the VCFs serves as a
scaffold and easily reduces potassium permanganate species for the formation of
hierarchical MnO2 NSAs@VCFs. When utilized as an electroactive material for
pseudocapacitors, the sophisticated configuration of the nanocomposite provides
an effective electrochemical activity and an electron pathway for higher
electrochemical performance in 1 M Na2SO4 aqueous solution. The hierarchical MnO2
NSAs@VCFs exhibit a maximum specific capacitance of 115.3 F g-1 at a current
density of 0.5 A g-1 with an excellent cycling stability of 85.6% after 2000
cycles at a current density of 5 A g-1. Such facile and cost-effective
fabrication of a metal oxide nanocomposite with improved electrochemical
performance allows it to be considered as a promising electroactive material for
energy storage devices.
PMID- 27872923
TI - The stepwise generation of multimetallic complexes based on a vinylbipyridine
linkage and their photophysical properties.
AB - The versatile rhenium complex [ReCl(CO)3(bpyC[triple bond, length as m-dash]CH)]
(HC[triple bond, length as m-dash]Cbpy = 5-ethynyl-2,2'-bipyridine) is used to
generate a series of bimetallic complexes through the hydrometallation of
[MHCl(CO)(BTD)(PPh3)2] (M = Ru, Os; BTD = 2,1,3-benzothiadiazole). The ruthenium
complex [Ru{CH[double bond, length as m-dash]CH-bpyReCl(CO)3}Cl(BTD)(CO)(PPh3)2]
was characterised structurally. Ligand exchange reactions with bifunctional
linkers bearing oxygen and sulfur donors provide access to tetra- and
pentametallic complexes such as [{M{CH[double bond, length as m-dash]CH
bpyReCl(CO)3}(CO)(PPh3)2}2(S2CNC4H8NCS2)] and Fe[C5H4CO2M{CH[double bond, length
as m-dash]CH-bpyReCl(CO)3}(CO)(PPh3)2]2. The effect of the group 8 metal on the
photophysical properties of the rhenium centre was investigated using the
complexes [Ru{CH[double bond, length as m-dash]CH
bpyReCl(CO)3}Cl(BTD)(CO)(PPh3)2] and [M{CH[double bond, length as m-dash]CH
bpyReCl(CO)3}{S2P(OEt)2}(CO)(PPh3)2] (M = Ru, Os). This revealed the quenching of
the rhenium-based emission in favour of weak radiative processes based on the Ru
and Os centres. The potential for exploiting this effect is illustrated by the
reaction of [Ru{CH[double bond, length as m-dash]CH
bpyReCl(CO)3}Cl(CO)(BTD)(PPh3)2] with carbon monoxide, which results in a 5-fold
fluorescence enhancement in the dicarbonyl product, [Ru{CH[double bond, length as
m-dash]CH-bpyReCl(CO)3}Cl(CO)2(PPh3)2], as the quenching effect is disrupted.
PMID- 27872924
TI - One dimensional metal dithiolene (M = Ni, Fe, Zn) coordination polymers for the
hydrogen evolution reaction.
AB - Immobilization of metal complexes to electrode surfaces has emerged as an
attractive strategy to combine homogeneous and heterogeneous catalysis. We
recently reported the immobilization of cobalt dithiolene catalytic units via
incorporation into extended one and two dimensional (1D and 2D) frameworks. We
extend here this methodology to the formation of 1D nickel, iron, and zinc
dithiolene coordination polymers based on benzene-1,2,4,5-tetrathiolate (BTT)
frameworks and investigate their catalytic H2-evolving activities under fully
aqueous conditions. The nickel dithiolene coordination polymer is an active
electrocatalyst for the hydrogen evolution reaction (HER). An overpotential of
470 mV was required to reach a current density of 10 mA cm-2 at pH 1.3, making
this system one of the best performing heterogenized molecular catalysts for HER.
This overpotential is 90 mV lower than that of the cobalt analogue, suggesting
that the nickel coordination polymer is a more efficient H2-evolving catalyst.
Additionally, no decrease in activity is observed for the nickel polymer during
the first hour of electrolysis, indicating that it is stable under prolonged
electrolysis.
PMID- 27872925
TI - New dinuclear ruthenium arene complexes containing thiosemicarbazone ligands:
synthesis, structure and cytotoxic studies.
AB - A series of mononuclear ruthenium arene complexes with thiosemicarbazone (TSC)
ligands (A-type, 1-8) and their corresponding di-nuclear analogues (B-type, 9-16)
were synthesized and characterized by NMR, elemental analysis and HR-ESI-mass
spectrometry. The molecular structures of 1, 2, 6, 9-11 and 13-16 were determined
using single-crystal X-ray diffraction analysis. The Gibbs free energy of the two
examples of the two types of complexes (1 and 9) and the bonding order in their
single-crystals were studied using density functional theory (DFT) calculations.
The compounds were further evaluated for their in vitro antiproliferative
activities against CNE-2 human nasopharyngeal carcinoma, KB human oral epithelial
carcinoma, SGC-7901 human gastric carcinoma, HepG2 human liver carcinoma, HeLa
human cervical carcinoma and HEK-293T noncancerous cell lines. Furthermore, the
interactions between the compounds and DNA were studied by electrophoretic
mobility spectrometry studies.
PMID- 27872926
TI - Copper-catalyzed synthesis of 2-aminobenzothiazoles from 2-iodophenyl
isocyanides, potassium sulfide and amines.
AB - A simple and practically useful synthetic method for the synthesis of a variety
of 2-aminobenzothiazoles was developed. This methodology could construct one C-N
bond and two C-S bonds in a step reaction and provide the desired products in
good to perfect yields.
PMID- 27872927
TI - Highly efficient extraction of actinides with pillar[5]arene-derived
diglycolamides in ionic liquids via a unique mechanism involving competitive host
guest interactions.
AB - Actinide partitioning is considered as one of the most challenging issues in
nuclear waste remediation. Herein, we unravel a novel extraction mode pertinent
to the competitive host-guest interactions for highly efficient actinide
extraction. The host-guest recognition event involves binding of a room
temperature ionic liquid (RTIL), 1-n-octyl-3 methylimidazolium
bis(trifluoromethane)sulfonamide (C8mimNTf2), as both the guest and the solvent
by the hosts pillar[5]arene-based diglycolamides (P5DGAs) and the subsequent
displacement of the guest by a metal ion. This two-step process suggests a unique
competitive ion-mediated displacement mechanism for the metal ion partitioning in
the extraction process. The supramolecular extraction system is evaluated for its
extraction abilities towards actinide ions such as UO22+, PuO22+, Pu4+, Am3+, and
fission product elements such as Eu3+, Sr2+, Cs+. The results demonstrate the
exceedingly high distribution ratios and favorable separation of Am3+ and Pu4+ in
nitric acid media. All the three P5DGAs form 1 : 1 complexes with Am3+. Time
resolved laser fluorescence spectroscopic (TRLFS) studies reveal a strong
complexation involving no inner-sphere water molecules in the Eu3+-P5DGA
complexes when C8mimNTf2 is used as the diluent. With high efficiency in the
extraction of actinides and a quantitative back extraction outcome, the RTIL
based solvent systems containing pillar[5]arene-DGA ligands developed in this
work hold potential as promising candidates for nuclear waste remediation in a
more sustainable fashion.
PMID- 27872928
TI - Drop morphologies on flexible fibers: influence of elastocapillary effects.
AB - Various materials are made of long thin fibers that are randomly oriented to form
a complex network in which drops of wetting liquid tend to accumulate at the
nodes. The capillary force exerted by the liquid can bend flexible fibers, which
in turn influences the morphology adopted by the liquid. In this paper, we
investigate through a model situation the role of the fiber flexibility on the
shape of a small volume of liquid on a pair of crossed flexible fibers. We
characterize the liquid morphologies as we vary the volume of liquid, the angle
between the fibers, and the length of the fibers. The drop morphologies
previously reported for rigid crossed fibers, i.e., a drop, a column and a mixed
morphology, are also observed on flexible crossed fibers with modified domains of
existence. In addition, at small tilt angles between the fibers, a new behavior
is observed: the fibers bend and collapse. Depending on the volume of liquid, a
thin column with or without a drop is reported on the collapsed fibers. Our study
suggests that the fiber flexibility adds a rich variety of behaviors that may be
important for some applications.
PMID- 27872930
TI - The inhibition effect of starch nanoparticles on tyrosinase activity and its
mechanism.
AB - The objective of the current research was to investigate the effects of starch
nanoparticles (SNPs) prepared from waxy maize, potato, normal corn, and tapioca
starches on the activity of tyrosinase. As a main polyphenol oxidase, tyrosinase
not only induces fruit and vegetable browning but also causes skin diseases by
overproducing melanin. Herein, for the first time, we evaluated the inhibitory
kinetics of SNPs on tyrosinase. It turned out that SNPs inhibited tyrosinase
activity reversibly. The IC50 values of hollow nanoparticles, amylopectin
nanoparticles, corn starch nanoparticles, and tapioca starch nanoparticles were
0.308, 0.669, 1.490, and 4.774 MUM, respectively. Assay of fluorescence spectra
demonstrated that SNPs quenched the tyrosinase intrinsic fluorescence. Moreover,
binding constant and binding sites found that SNPs were bound to tyrosinase
through van der Waals forces, hydrogen bonds, as well as electrostatic
interactions. Analysis of circular dichroism indicated that the incorporation of
SNPs into tyrosinase prompted conformational alteration of the enzyme.
Furthermore, inhibition of browning by SNPs loading with l-dopa compound
indicated that not only the tyrosinase activity was inhibited, but also SNPs
decreased free dopa content by adsorption. This research on SNPs as potential
inhibitors could give rise to advancement in the realm of anti-tyrosinase and
have versatile applications in medicine, food, cosmetics, materials and drugs.
PMID- 27872929
TI - Magnetic iron oxide modified pyropheophorbide-a fluorescence nanoparticles as
photosensitizers for photodynamic therapy against ovarian cancer (SKOV-3) cells.
AB - Magnetic iron oxide modified pyropheophorbide-a fluorescence nanoparticles,
Fe3O4@SiO2@APTES@PPa (FSAP), were designed as magnetically targeted photodynamic
antineoplastic agents and prepared through continuous covalent chemical
modification on the surface of Fe3O4 nanoparticles. The properties of the
intermediates and the final product were comprehensively characterized by
transmission electron microscopy, powder X-ray diffraction analysis, Fourier
transform infrared spectroscopy, vibrating sample magnetometry, zeta potential
measurement, ultraviolet-visible absorption spectroscopy, fluorescence emission
spectroscopy, and thermogravimetric analysis. In this work, we demonstrated the
in vitro photodynamic therapy (PDT) of FSAP against ovarian cancer (SKOV-3)
cells, which indicated that FSAP could be taken up successfully and showed low
dark toxicity without irradiation, but remarkable phototoxicity after
irradiation. Meanwhile, FSAP had showed good biocompatibility and low dark
toxicity against normal cells in the biological experiments on mouse normal
fibroblast cell lines (L929 cells). In addition, in the photochemical process of
FSAP mediated photodynamic therapy, the Type-II photo-oxygenation process
(generated singlet oxygen) played an important role in the induction of cell
damage.
PMID- 27872931
TI - A diarylethene-derived probe for colorimetric detection of CN- and highly
selective fluorescent recognition of I.
AB - A new photochromic diarylethene derivative with 2-hydrazinobenzothiazole was
synthesized, and its multi-controllable switch behavior was investigated in
detail when triggered by light and anions. When triggered by CN-, the absorption
spectra of the diarylethene showed a new band at 474 nm with an obvious color
change from colorless to bright yellow. Upon addition of I-, the diarylethene
compound displayed a new absorption band at 297 nm and the original absorption at
370 nm also increased, and the fluorescence intensity exhibited obvious
fluorescence quenching with fluorescence color change from a light royal blue to
dark. The results indicated that the diarylethene derivative exhibited naked-eye
detection of CN- and the fluorescent recognition of I-.
PMID- 27872932
TI - Phytochemical profiles of marine phytoplanktons: an evaluation of their in vitro
antioxidant and anti-proliferative activities.
AB - Marine microorganisms such as phytoplanktons are a rich resource of bioactive
components with antioxidant and anti-proliferative activities that can act as
novel functional food ingredients. In this study, the pigment profiles, total
mycosporine-like amino acids (MAAs) and total phenolic contents (TPCs) in solvent
extracts including 90% acetone and methanol from five marine phytoplanktons
including Nitzschia closterium (Bacillariophyta), Isochrysis zhangjiangensis
(Haptophyta), Platymonas subcordiformis (Chlorophyta), Porphyridium cruentum
(Rhodophyta) and Synechocystis pevalekii (Cyanobacteria) were analyzed. Each
phytoplankton from different phyla had its unique compositions of carotenoids and
chlorophylls. The 90% acetone extract from I. zhangjiangensis had the highest MAA
content (508.30 MUg per g DW) while the methanol extract from N. closterium had
the highest level of TPCs (6.15 mg GAE per g DW) among all the phytoplanktons
investigated. The amounts of total carotenoids in all the 90% acetone extracts
from the five phytoplanktons as well as total MAAs in those from within the four
microalgae except S. pevalekii were found to be strongly correlated with their
antioxidant activities evaluated by the DPPH, TEAC and FRAP assays. Only the
level of total carotenoids in the phytoplanktons was correlated with their anti
proliferative activities assessed by the MTT assays using MCF-7 cells. Therefore,
individual carotenoid pigments seemed to be mainly responsible for the
antioxidant and anti-proliferative (or anticancer) activities found in the
solvent extracts of the five phytoplanktons. Hence these phytoplanktons have the
potential as novel sources of natural food antioxidants and anticancer agents to
be used as active ingredients in functional food products.
PMID- 27872933
TI - Assembly of NHC-stabilized 2-hydrophosphasilenes from Si(iv) precursors: a Lewis
acid-base complex.
AB - NHC-stabilized 2-hydrophosphasilenes are obtained from 1,2-dihydro-2
chlorophosphasilanes as Si(iv) precursors by a NHC-assisted 1,2-elimination of
HCl. The NHC-exchange of these compounds is demonstrated as a proof of donor
acceptor bonding between NHC and the silicon centre of the "Si[double bond,
length as m-dash]P" moiety. We have also explored the possibility of similar
exchanges in NHC-stabilized Si2 and P2 compounds. Theoretical DFT calculations
were performed to address the nature of Si-P bonding in the NHC-stabilized 2
hydrophosphasilenes.
PMID- 27872934
TI - Indirect synthesis of a pair of formal methane activation products at a
phosphane/borane frustrated Lewis pair.
AB - The regioisomeric formal Mes2PCH2CH2B(C6F5)2 FLP methane splitting products
Mes2P(H)CH2CH2B(CH3)(C6F5)2 and Mes2P(CH3)CH2CH2B(H)(C6F5)2 were produced by
indirect stepwise reactions. They were stable at 60 degrees C and were both
characterized by X-ray diffraction. A DFT analysis revealed that their formation
from the FLP and CH4 would be endergonic by +6.9 and +3.6 kcal mol-1,
respectively, and it indicated that methane elimination from the zwitterionic
products is kinetically hindered by barriers of 54.0 and 72.3 kcal mol-1,
respectively.
PMID- 27872935
TI - The social transformation of Singapore medicine through 55 years of the SMJ.
AB - The Singapore Medical Journal (SMJ) has in the past 55 years reflected the
phenomenal socioeconomic progress of Singapore. Publications in the pre
independent years were mainly on diseases like cholera, diphtheria, leprosy,
tetanus and worm infestation. In the new millennium, the research papers included
molecular genetics, health economics, obesity, Internet medicine, cancer,
cosmetic surgery and palliative medicine. The annual SMA Lecture published in the
SMJ provides an ethical compass for doctors to remind them of primum non nocere.
PMID- 27872936
TI - Clinics in diagnostic imaging (171). Caecal volvulus with underlying intestinal
malrotation.
AB - A 46-year-old Chinese woman with a history of cholecystectomy and appendicectomy
presented to the emergency department with symptoms of intestinal obstruction.
Physical examination revealed central abdominal tenderness but no clinical
features of peritonism. Plain radiography of the abdomen revealed a grossly
distended large bowel loop with the long axis extending from the right lower
abdomen toward the epigastrium, and an intraluminal air-fluid level. These
findings were suspicious for an acute caecal volvulus, which was confirmed on
subsequent contrast-enhanced computed tomography (CT) of the abdomen and pelvis.
CT demonstrated an abnormal positional relationship between the superior
mesenteric vein and artery, indicative of an underlying intestinal malrotation.
This case highlights the utility of preoperative imaging in establishing the
diagnosis of an uncommon cause of bowel obstruction. It also shows the importance
of recognising the characteristic imaging features early, so as to ensure
appropriate and expedient management, thus reducing patient morbidity arising
from complications.
PMID- 27872939
TI - Medicine and diplomacy.
PMID- 27872938
TI - Evaluation of solid breast lesions with power Doppler: value of penetrating
vessels as a predictor of malignancy.
AB - INTRODUCTION: This study aimed to evaluate the vascular pattern of solid breast
lesions using power Doppler ultrasonography (PDUS) and assess whether the
presence of intratumoural penetrating vessels can predict breast cancer
malignancy. METHODS: Greyscale ultrasonography (US) and PDUS were prospectively
performed on 91 women in Malaysia with histopathologically proven breast lesions.
The diagnostic accuracy of greyscale US, PDUS, and both greyscale US and PDUS was
calculated and compared. RESULTS: The 91 women had 102 breast lesions (55 benign,
47 malignant). Of the 47 malignant lesions, 36 demonstrated intratumoural
penetrating vessels. The sensitivity, specificity, positive predictive value
(PPV) and negative predictive value (NPV) of greyscale US findings in diagnosing
malignancy were 100.0%, 71.4%, 74.1% and 100.0%, respectively. The presence of
calcification in the breast lesion and the margin, shape and posterior acoustic
features of the lesion were significant parameters in predicting malignancy (p <
0.01). The sensitivity, specificity, PPV and NPV of the presence of intratumoural
penetrating vessels in predicting malignancy were 76.5%, 80.0%, 76.5% and 80.0%,
respectively. When both greyscale US and PDUS were used, there was a significant
correlation in predicting malignancy (p < 0.05). The specificity and PPV values
of the combined greyscale US and PDUS method (89.0% and 85.7%, respectively) were
higher than those of greyscale US or PDUS alone. CONCLUSION: Flow patterns
revealed by PDUS can be useful for differentiating benign and malignant breast
lesions. The visualisation of penetrating vessels in solid breast lesions can be
used to complement greyscale US findings in predicting malignancy.
PMID- 27872937
TI - Major depression in primary care: making the diagnosis.
AB - Major depression is a common condition seen in the primary care setting, often
presenting with somatic symptoms. It is potentially a chronic illness with
considerable morbidity, and a high rate of relapse and recurrence. Major
depression has a bidirectional relationship with chronic diseases, and a strong
association with increased age and coexisting mental illnesses (e.g. anxiety
disorders). Screening can be performed using clinical tools for major depression,
such as the Patient Health Questionaire-2, Patient Health Questionaire-9 and Beck
Depression Inventory, so that timely treatment can be initiated. An accurate
diagnosis of major depression and its severity is essential for prompt treatment
to reduce morbidity and mortality. This is the first of a series of articles that
illustrates the approach to the management of major depression in primary care.
Our next articles will cover suicide risk assessment in a depressed patient and
outline the basic principles of management and treatment modalities.
PMID- 27872940
TI - Cholestyramine as monotherapy for Graves' hyperthyroidism.
PMID- 27872941
TI - [Supraglottoplasty for pediatric laryngomalacia : Results from 71 cases].
AB - Laryngomalacia is the most common cause of stridor in infants. The stridor is
caused by an inward collapse of supraglottic structures during inspiration
resulting in an inspiratory stridor. The exact etiology still remains unclear.
The surgical procedure of choice for laryngomalacia is supraglottoplasty. From
2009 to 2016 a total of 71 children were treated by supraglottoplasty in the
department for pediatric otorhinolaryngology of the Stuttgart Hospital. The
indications for supraglottoplasty were laryngomalacia with severe inspiratory
stridor, oxygen desaturation, suprasternal or chest retraction, feeding
difficulties and/or failure to thrive. The overall success rate was 86 % but
there was a clear difference between children with isolated laryngomalacia and
the group with associated comorbidities. The success rate for patients with
isolated laryngomalacia was 98 % and for patients with associated comorbidities
57 %. Supraglottoplasty is therefore an effective and safe treatment for
symptomatic laryngomalacia and a reduction in the success rate showed a clear
correlation with the presence of congenital comorbidities.
PMID- 27872942
TI - Graphical genotyping as a method to map Ny (o,n)sto and Gpa5 using a reference
panel of tetraploid potato cultivars.
AB - KEY MESSAGE: The method of graphical genotyping is applied to a panel of
tetraploid potato cultivars to visualize haplotype sharing. The method allowed to
map genes involved in virus and nematode resistance. The physical coordinates of
the amount of linkage drag surrounding these genes are easily interpretable.
Graphical genotyping is a visually attractive and easily interpretable method to
represent genetic marker data. In this paper, the method is extended from
diploids to a panel of tetraploid potato cultivars. Application of filters to
select a subset of SNPs allows one to visualize haplotype sharing between
individuals that also share a specific locus. The method is illustrated with
cultivars resistant to Potato virus Y (PVY), while simultaneously selecting for
the absence of the SNPs in susceptible clones. SNP data will then merge into an
image which displays the coordinates of a distal genomic region on the northern
arm of chromosome 11 where a specific haplotype is introgressed from the wild
potato species S. stoloniferum (CPC 2093) carrying a gene (Ny (o,n)sto )
conferring resistance to two PVY strains, PVYO and PVYNTN. Graphical genotyping
was also successful in showing the haplotypes on chromosome 12 carrying Ry-f sto
, another resistance gene derived from S. stoloniferum conferring broad-spectrum
resistance to PVY, as well as chromosome 5 haplotypes from S. vernei, with the
Gpa5 locus involved in resistance against Globodera pallida cyst nematodes. The
image also shows shortening of linkage drag by meiotic recombination of the
introgression segment in more recent breeding material. Identity-by-descent was
found to be a requirement for using graphical genotyping, which is proposed as a
non-statistical alternative method for gene discovery, as compared with genome
wide association studies. The potential and limitations of the method are
discussed.
PMID- 27872944
TI - [Multiple eccrine hidrocystomas on the lower forehead and nose of a 60-year-old
man].
AB - Multiple eccrine hidrocystomas are benign cystic skin lesions which originate
from the sweat gland ducts and typically affect women's midfacial area. Sweating
may lead to an increase in size of the translucent papules. In some cases
hidrocystomas are associated with other diseases such as Parkinson's disease.
Treatment options include laser, topical and systemic anticholinergic drugs
(glycopyrrolate, clonidine, atropine, and oxybutynin), whereby therapeutic
success is limited in most cases.
PMID- 27872943
TI - [Adverse drug reactions in elderly people : First data from the Leipzig Research
Center for Civilization Diseases (LIFE)].
AB - BACKGROUND: Few data exist on adverse drug reactions (ADR) in elderly people. In
this group, pharmacotherapy represents a challenge with regard to comorbidities,
drug interactions and compliance. OBJECTIVE: The aim of this article is to
highlight the characteristics of ADR in elderly patients. METHODS: In addition to
a literature review we present the first data from the Leipzig Research Center
for Civilization Diseases (LIFE). Between 2011 and 2015 a total of 9537 subjects
aged 40-79 years were randomly included in this population-based, age and sex
standardized investigation in the inhabitants of Leipzig, Germany and special
emphasis was placed on allergies including questions with regard to ADR. RESULTS:
Of the 9537 subjects, data on allergies were available from 8979 subjects. Female
gender, comorbidities and the use of multiple drugs were significantly associated
with an increased risk of ADR. Women also reported ADR significantly more
frequently than men. Of the subjects 22% reported suffering from some form of ADR
as a result of medications, while in 2.3% this reaction had occurred within the
previous 12 months. Less than 15% of LIFE patients with ADR were in possession of
a document giving details of the ADR. DISCUSSION: The occurrence of ADR
significantly contributes to morbidity in elderly patients. For prevention of ADR
knowledge of patient-related factors, underlying diseases, drug characteristics
and drug interactions are necessary.
PMID- 27872945
TI - Ascaris lumbricoides egg die-off in an experimental excreta storage system and
public health implication in Vietnam.
AB - OBJECTIVES: We studied the influence of different additive materials (lime, and
rice husk) and aeration conditions on Ascaris lumbricoides egg die-off in 24
vaults of an experimental excreta storage unit. METHODS: Excreta samples were
collected once every two weeks over a 181-day period. Temperature, pH, and
moisture content were recorded. A. lumbricoides eggs were quantitatively analyzed
by the Romanenko method, which identified and counted live and dead eggs.
RESULTS: From the first sampling (0 storage day) to the final sampling (181
storage days) the average percentage of viable A. lumbricoides eggs decreased
gradually from 76.72 +/- 11.23% (mean +/- SD) to 8.26 +/- 5.20%. The storage time
and the high pH value significantly increased the die-off of helminth eggs. Over
181 storage days, all vaults option effectively reduced A. lumbricoides eggs die
off. CONCLUSIONS: The best vault option, with aeration and 10% lime per total
weight, met the WHO standard for excreta treatment on the 111th storage day.
PMID- 27872948
TI - Glucose concentrations of less than 3.0 mmol/l (54 mg/dl) should be reported in
clinical trials: a joint position statement of the American Diabetes Association
and the European Association for the Study of Diabetes.
PMID- 27872946
TI - Implementation of image-guided intensity-modulated accelerated partial breast
irradiation : Three-year results of a phase II clinical study.
AB - PURPOSE: To report 3-year results of accelerated partial breast irradiation
(APBI) using image-guided intensity-modulated radiotherapy (IG-IMRT) following
breast conserving surgery (BCS) for low-risk early invasive breast cancer.
PATIENTS AND METHODS: Between July 2011 and March 2014, 60 patients with low-risk
early invasive breast cancer underwent BCS and were enrolled in this phase II
prospective study. The total dose was 36.9 Gy (9 fractions of 4.1 Gy, two
fractions/day). Patient setup errors were detected in LAT, LONG and VERT
directions. Local tumour control, survival results, early and late side effects
and cosmetic outcome were assessed. RESULTS: At a median follow-up of 39 months,
all patients were alive and neither locoregional nor distant failure occurred.
One contralateral breast cancer and two new primary malignancies outside the
breast were observed. No grade (G) 3-4 acute toxicity was detected. G1 and G2
erythema occurred in 21 (35%) and 2 (3.3%) patients, respectively; while G1
oedema was observed in 23 (38.8%) cases. G1 and G2 pain was reported by 6 (10%)
and 2 (3.3%) patients, respectively. Among the late radiation side effects, G1
pigmentation or telangiectasia, G1 fibrosis and G1 asymptomatic fat necrosis
occurred in 10 (16.7%), 7 (11.7%) and 3 (5%) patients, respectively. No >= G2
late toxicity was detected. Cosmetic outcome was excellent in 43 (71.7%) and good
in 17 (28.3%) patients. CONCLUSION: IG-IMRT is a reproducible and feasible
technique for delivery of external beam APBI following BCS for treatment of low
risk, early-stage invasive breast carcinoma. In order to avoid toxicity, image
guidance performed before each radiation fraction is necessary to minimize the
PTV. Three-year results are promising, early and late radiation side-effects are
minimal, and cosmetic results are excellent to good.
PMID- 27872947
TI - Design and characterisation of synthetic operons for biohydrogen technology.
AB - Biohydrogen is produced by a number of microbial systems and the commonly used
host bacterium Escherichia coli naturally produces hydrogen under fermentation
conditions. One approach to engineering additional hydrogen production pathways
is to introduce non-native hydrogenases into E. coli. An attractive candidate is
the soluble [NiFe]-hydrogenase from Ralstonia eutropha, which has been shown to
link NADH/NAD+ biochemistry directly to hydrogen metabolism, an activity that E.
coli does not perform. In this work, three synthetic operons were designed that
code for the soluble hydrogenase and two different enzyme maturase systems.
Interestingly, using this system, the recombinant soluble hydrogenase was found
to be assembled by the native E. coli [NiFe]-hydrogenase assembly machinery, and,
vice versa, the synthetic maturase operons were able to complement E. coli
mutants defective in hydrogenase biosynthesis. The heterologously expressed
soluble hydrogenase was found to be active and was shown to produce biohydrogen
in vivo.
PMID- 27872949
TI - Symbiont Diversity of Aphis (Toxoptera) citricidus (Hemiptera: Aphididae) as
Influenced by Host Plants.
AB - Aphids are well known for their association with endosymbiont bacteria. Almost
all aphids harbor Buchnera aphidicola as an obligate symbiont and several other
bacteria as facultative symbionts. Associations of facultative symbionts and
aphids are quite variable in terms of diversity and prevalence across aphid
species. Facultative symbionts can have a major impact on aphid bioecological
traits. A number of factors shape the outcome of the facultative symbiont-aphid
association, including aphid clone, bacterial genotype, geography, and host plant
association. The effects of host plant on aphid-facultative symbiont associations
are the least understood. We performed deep sequencing of the bacterial community
associated with field populations of the oligophagous aphid Aphis (Toxoptera)
citricidus collected from different host plants. We demonstrate that (i) A.
citricidus has low symbiont diversity, (ii) symbiont diversity is affected by
host plant, and (iii) host plants affect the relative abundance of the obligate
symbiont Buchnera and an unknown genus of Enterobacteriaceae.
PMID- 27872950
TI - A new speech enhancement device for critically ill patients with communication
problems: a prospective feasibility study.
PMID- 27872952
TI - Molecular Phylogenetics and the Perennial Problem of Homology.
AB - The concept of homology has a long history, during much of which the issue has
been how to reconcile similarity and common descent when these are not
coextensive. Although thinking molecular phylogeneticists have learned not to say
"percent homology," the problems are deeper than that and unresolved.
PMID- 27872951
TI - Immunogenicity and efficacy of a rationally designed vaccine against vascular
endothelial growth factor in mouse solid tumor models.
AB - Vascular endothelial growth factor (VEGF) plays an important role in the
progression of various cancers. The VEGF-specific antibody bevacizumab combined
with chemotherapy was shown to significantly improve progression-free survival in
certain cancers. However, repeated administration is necessary for effective
suppression of VEGF, thereby making the therapy expensive and cumbersome. Thus,
it is urgent to develop alternative reagents such as VEGF vaccines. Here we
report that DTT-VEGF, a VEGF-based antigen consisting of the receptor-binding
domain of VEGF and diphtheria toxin T domain (DTT), not only stimulated
neutralizing antibody response, but also induced type 1 immune response as well
as anti-tumor cytotoxic T lymphocytes in mice when administered with aluminum
hydroxide adjuvant. The antibodies triggered by DTT-VEGF immunization inhibited
the binding of VEGF to VEGF receptor and downregulated the serum VEGF levels in
tumor-bearing mice. VEGF-specific IgG2a and IgG2b antibodies as well as type 1
cytokines were stimulated by DTT-VEGF vaccination. The splenocytes from DTT-VEGF
immunized mice showed cytotoxic activity against B16-F10 cells expressing VEGF.
Extensive necrosis with severe hemorrhage and enhanced CD8+ T cell infiltration
were observed in tumors from DTT-VEGF-immunized mice. The percentages of CD31+
vascular areas in the tumor sections from DTT-VEGF-immunized mice were
significantly lower than those of control mice. DTT-VEGF significantly inhibited
tumor growth in preventive and therapeutic vaccination settings in mouse models.
Our data suggest that DTT is an effective antigen carrier to break immune self
tolerance and our vaccine design has potential to be used for human cancer
therapy.
PMID- 27872953
TI - A phase I study of afatinib combined with paclitaxel and bevacizumab in patients
with advanced solid tumors.
AB - PURPOSE: The combination of afatinib, an irreversible ErbB family blocker, with
paclitaxel and bevacizumab was assessed in patients with advanced solid tumors.
METHODS: This phase I study used a 3 + 3 design to determine the maximum
tolerated dose (MTD) of afatinib combined with paclitaxel and bevacizumab.
Safety, pharmacokinetics, and anti-tumor activity were also assessed. The
starting dose was oral afatinib 40 mg once daily plus intravenous paclitaxel
(fixed dose 80 mg/m2, Days 1, 8, and 15 of a 4-week cycle) and intravenous
bevacizumab 5 mg/kg every 2 weeks. RESULTS: Twenty-nine patients were enroled.
The afatinib dose was de-escalated to 30 mg and then 20 mg after 2/6 and 2/5
evaluable patients developed dose-limiting toxicities at 40 and 30 mg,
respectively, when combined with paclitaxel and bevacizumab 5 mg/kg. The
bevacizumab dose was subsequently escalated to 10 mg/kg, and MTD was defined as
afatinib 20 mg plus paclitaxel 80 mg/m2 and bevacizumab 10 mg/kg. Frequent (any
grade) treatment-related adverse events (AEs) included diarrhea (83%), rash/acne
(83%), fatigue (79%), mucosal inflammation (59%), and nausea (59%). Based on
overall safety, bevacizumab was amended to 7.5 mg/kg for the recommended phase II
dose. Pharmacokinetic analyses suggested no relevant drug-drug interactions.
Three (10%) confirmed partial responses were observed; 15 (52%) patients had
stable disease. CONCLUSIONS: The recommended phase II dose schedule was afatinib
20 mg/day with paclitaxel 80 mg/m2 (Days 1, 8, and 15 every 4 weeks) and
bevacizumab 7.5 mg/kg every 2 weeks. At this dose schedule, AEs were manageable,
and anti-tumor activity was observed.
PMID- 27872954
TI - Osteitis: a retrospective feasibility study comparing single-source dual-energy
CT to MRI in selected patients with suspected acute gout.
AB - OBJECTIVE: Dual-energy computed tomography detects tophi in patients with chronic
gout. However, other information that can be obtained from the same scan is not
the focus of the current research, e.g., the detection of bone marrow edema (BME)
using virtual bone marrow imaging (VBMI). The aim of this study was to evaluate
if BME in patients with acute arthritis can be detected with VBMI using magnetic
resonance imaging (MRI) as the standard of reference. MATERIALS AND METHODS: This
retrospective study included 11 patients who underwent both MRI and dual-energy
computed tomography (mean interval of 40 days). BME in MRI (standard of
reference) and VBMI was judged independently by two different blinded readers.
phi-correlation coefficient and Cohen's kappa were performed for statistical
analysis. Approval was waived by the IRB. RESULTS: Two patients with a final
diagnosis of RA and one with septic arthritis showed osteitis on MRI and VBMI.
However, in each case, there were individual bones identified with osteitis on
MRI but not VBMI. Three additional patients with the final diagnosis of RA were
identified correctly as negative for BME. There was a good correlation between
both modalities (phi = 0.8; kappa = 0.8). Inter-rater reliability was excellent
for both modalities (kappa = 0.9). CONCLUSIONS: We have shown that detecting
osteitis using VBMI is feasible in patients with inflammatory arthritis. Further
studies are needed on larger, more-targeted populations to better define the
indications, accuracy, and added value of this technique.
PMID- 27872955
TI - Iliopsoas bursal extension of lipohemarthrosis: A novel imaging finding
associated with hip fracture.
AB - Lipohemarthrosis is well known to be associated with intra-articular fractures
throughout the body. Despite the relatively common occurrence of hip fracture and
the frequency of communication between the hip joint and iliopsoas bursa, to the
best of our knowledge, a fat-fluid level involving the iliopsoas bursa secondary
to a hip fracture has not previously been reported. The following is a case
report of a 48-year-old male who sustained an acute femoral neck fracture and was
found to have distension of the iliopsoas bursa with a fat-fluid level resulting
from extra-capsular extension of a lipohemarthrosis. The imaging findings,
relevant anatomy, and potential importance of this finding for the detection of
hip fracture are discussed.
PMID- 27872956
TI - Hip fracture registries: utility, description, and comparison.
AB - : Hip fractures (HF) are prevalent and involve high morbidity and mortality so
improving their management is important. HF registries are a good way to improve
knowledge about this condition and its quality of care, while at the same time
reducing clinical variability, optimizing efficiency, improving outcomes, and
reducing costs. INTRODUCTION: Hip fractures (HF) are a prevalent fragility
fracture secondary to osteoporosis that involves high morbidity and mortality.
They are low-impact fractures, resulting from a fall from a standing or sitting
height. Despite numerous Clinical Practice Guidelines that establish uniform
recommendations for their care, great variability persists regarding clinical and
healthcare outcomes. Fracture registries can help detect deficits and establish
measures to improve care. The objective of this work is to analyze the contents
that a HF registry should have and to compare the characteristics of some
national HF registries. METHODS: A literature search was conducted on several
national hip fracture registries, and those that contain relevant information on
the variables and their outcomes were selected. RESULTS: The selected HF
registries were compared using the parameters they measure as well as the
outcomes in the different countries. The variables collected in the majority of
the databases and those that give useful information are as follows:
sociodemographic variables (age, sex, place of residence), clinical variables
(function before and after HF, anesthesia risk as measured by the ASA score, type
of fracture, type of surgery and anesthesia, and in-hospital and 1-month
mortality), and healthcare variables (pre-operative and overall stay, presence of
collaboration with orthogeriatrics or with any clinician in addition to the
surgeon, secondary prevention of new fractures by assessing the fall risk, and
need for osteoporosis treatment). CONCLUSION: The recording of HF cases in
different countries improves knowledge about handling this condition and its
quality of care, while at the same time reducing clinical variability, optimizing
efficiency, improving outcomes, and reducing costs. The debate on the variables
that should be recorded is timely, such as organizing how to collect each
measurement, and even trying to unify the national and international registries
or using a current proposal such as the one from the Fragility Fracture Network.
PMID- 27872957
TI - Utility of 18F-fluoroestradiol (18F-FES) PET/CT imaging as a pharmacodynamic
marker in patients with refractory estrogen receptor-positive solid tumors
receiving Z-endoxifen therapy.
AB - BACKGROUND: Z-endoxifen is the most potent of the metabolites of tamoxifen, and
has the potential to be more effective than tamoxifen because it bypasses
potential drug resistance mechanisms attributable to patient variability in the
expression of the hepatic microsomal enzyme CYP2D6. 18F-FES is a positron
emission tomography (PET) imaging agent which selectively binds to estrogen
receptor alpha (ER-alpha) and has been used for non-invasive in vivo assessment
of ER activity in tumors. This study utilizes 18F-FES PET imaging as a
pharmacodynamic biomarker in patients with ER+ tumors treated with Z-endoxifen.
METHODS: Fifteen patients were recruited from a parent therapeutic trial of Z
endoxifen and underwent imaging with 18F-FES PET at baseline. Eight had positive
lesions on the baseline scan and underwent follow-up imaging with 18F-FES 1-5
days post administration of Z-endoxifen. RESULTS: Statistically significant
changes (p = 0.0078) in standard uptake value (SUV)-Max were observed between the
baseline and follow-up scans as early as 1 day post drug administration.
CONCLUSION: F-FES PET imaging could serve as a pharmacodynamic biomarker for
patients treated with ER-directed therapy.
PMID- 27872959
TI - Corynebacterium glutamicum for Sustainable Bioproduction: From Metabolic
Physiology to Systems Metabolic Engineering.
AB - Since its discovery 60 years ago, Corynebacterium glutamicum has evolved into a
workhorse for industrial biotechnology. Traditionally well known for its
remarkable capacity to produce amino acids, this Gram-positive soil bacterium,
has become a flexible, efficient production platform for various bulk and fine
chemicals, materials, and biofuels. The central turnstile of all these
achievements is our excellent understanding of its metabolism and physiology.
This knowledge base, together with innovative systems metabolic engineering
concepts, which integrate systems and synthetic biology into strain engineering,
has upgraded C. glutamicum into one of the most successful industrial
microorganisms in the world.
PMID- 27872958
TI - Multisensory integration is independent of perceived simultaneity.
AB - The importance of multisensory integration for perception and action has long
been recognised. Integrating information from individual senses increases the
chance of survival by reducing the variability in the incoming signals, thus
allowing us to respond more rapidly. Reaction times (RTs) are fastest when the
components of the multisensory signals are simultaneous. This response
facilitation is traditionally attributed to multisensory integration. However, it
is unclear if facilitation of RTs occurs when stimuli are perceived as
synchronous or are actually physically synchronous. Repeated exposure to
audiovisual asynchrony can change the delay at which multisensory stimuli are
perceived as simultaneous, thus changing the delay at which the stimuli are
integrated-perceptually. Here we set out to determine how such changes in
multisensory integration for perception affect our ability to respond to
multisensory events. If stimuli perceived as simultaneous were reacted to most
rapidly, it would suggest a common system for multisensory integration for
perception and action. If not, it would suggest separate systems. We measured RTs
to auditory, visual, and audiovisual stimuli following exposure to audiovisual
asynchrony. Exposure affected the variability of the unisensory RT distributions;
in particular, the slowest RTs were either speed up or slowed down (in the
direction predicted from shifts in perceived simultaneity). Additionally, the
multisensory facilitation of RTs (beyond statistical summation) only occurred
when audiovisual onsets were physically synchronous, rather than when they
appeared simultaneous. We conclude that the perception of synchrony is therefore
independent of multisensory integration and suggest a division between
multisensory processes that are fast (automatic and unaffected by temporal
adaptation) and those that are slow (perceptually driven and adaptable).
PMID- 27872960
TI - Branched-Chain Amino Acids.
AB - Branched-chain amino acids (BCAAs), viz., L-isoleucine, L-leucine, and L-valine,
are essential amino acids that cannot be synthesized in higher organisms and are
important nutrition for humans as well as livestock. They are also valued as
synthetic intermediates for pharmaceuticals. Therefore, the demand for BCAAs in
the feed and pharmaceutical industries is increasing continuously. Traditional
industrial fermentative production of BCAAs was performed using microorganisms
isolated by random mutagenesis. A collection of these classical strains was also
scientifically useful to clarify the details of the BCAA biosynthetic pathways,
which are tightly regulated by feedback inhibition and transcriptional
attenuation. Based on this understanding of the metabolism of BCAAs, it is now
possible for us to pursue strains with higher BCAA productivity using rational
design and advanced molecular biology techniques. Additionally, systems biology
approaches using augmented omics information help us to optimize carbon flux
toward BCAA production. Here, we describe the biosynthetic pathways of BCAAs and
their regulation and then overview the microorganisms developed for BCAA
production. Other chemicals, including isobutanol, i.e., a second-generation
biofuel, can be synthesized by branching the BCAA biosynthetic pathways, which
are also outlined.
PMID- 27872961
TI - Boosting Anaplerotic Reactions by Pyruvate Kinase Gene Deletion and
Phosphoenolpyruvate Carboxylase Desensitization for Glutamic Acid and Lysine
Production in Corynebacterium glutamicum.
AB - In the 1980s, Shiio and coworkers demonstrated using random mutagenesis that the
following three phenotypes were effective for boosting lysine production by
Corynebacterium glutamicum: (1) low-activity-level citrate synthase (CSL), (2)
phosphoenolpyruvate carboxylase (PEPC) resistant to feedback inhibition by
aspartic acid (PEPCR), and (3) pyruvate kinase (PYK) deficiency. Here, we
reevaluated these phenotypes and their interrelationship in lysine production
using recombinant DNA techniques.The pyk deletion and PEPCR (D299N in ppc)
independently showed marginal effects on lysine production, but both phenotypes
synergistically increased lysine yield, demonstrating the importance of PEPC as
an anaplerotic enzyme in lysine production. Similar effects were also found for
glutamic acid production. CSL (S252C in gltA) further increased lysine yield.
Thus, using molecular techniques, the combination of these three phenotypes was
reconfirmed to be effective for lysine production. However, a simple CSL mutant
showed instabilities in growth and lysine yield.Surprisingly, the pyk deletion
was found to increase biomass production in wild-type C. glutamicum ATCC13032
under biotin-sufficient conditions. The mutant showed a 37% increase in growth
(based on OD660) compared with the ATCC13032 strain in a complex medium
containing 100 g/L glucose. Metabolome analysis revealed the intracellular
accumulation of excess precursor metabolites. Thus, their conversion into biomass
was considered to relieve the metabolic distortion in the pyk-deleted mutant.
Detailed physiological studies of various pyk-deleted mutants also suggested that
malate:quinone oxidoreductase (MQO) is important to control both the
intracellular oxaloacetic acid (OAA) level and respiration rate. These findings
may facilitate the rational use of C. glutamicum in fermentation industries.
PMID- 27872963
TI - Microbial Production of Amino Acid-Related Compounds.
AB - Corynebacterium glutamicum is the workhorse of the production of proteinogenic
amino acids used in food and feed biotechnology. After more than 50 years of safe
amino acid production, C. glutamicum has recently also been engineered for the
production of amino acid-derived compounds, which find various applications,
e.g., as synthons for the chemical industry in several markets including the
polymer market. The amino acid-derived compounds such as non-proteinogenic omega
amino acids, alpha,omega-diamines, and cyclic or hydroxylated amino acids have
similar carbon backbones and functional groups as their amino acid precursors.
Decarboxylation of amino acids may yield omega-amino acids such as beta-alanine,
gamma-aminobutyrate, and delta-aminovalerate as well as alpha,omega-diamines such
as putrescine and cadaverine. Since transamination is the final step in several
amino acid biosynthesis pathways, 2-keto acids as immediate amino acid precursors
are also amenable to production using recombinant C. glutamicum strains.
Approaches for metabolic engineering of C. glutamicum for production of amino
acid-derived compounds will be described, and where applicable, production from
alternative carbon sources or use of genome streamline will be referred to. The
excellent large-scale fermentation experience with C. glutamicum offers the
possibility that these amino acid-derived speciality products may enter large
volume markets.
PMID- 27872962
TI - L-Cysteine Metabolism and Fermentation in Microorganisms.
AB - L-Cysteine is an important amino acid both biologically and commercially.
Although most amino acids are industrially produced by microbial fermentation, L
cysteine has been mainly produced by protein hydrolysis. Due to environmental and
safety problems, synthetic or biotechnological products have been preferred in
the market. Here, we reviewed L-cysteine metabolism, including biosynthesis,
degradation, and transport, and biotechnological production (including both
enzymatic and fermentation processes) of L-cysteine. The metabolic regulation of
L-cysteine including novel sulfur metabolic pathways found in microorganisms is
also discussed. Recent advancement in biochemical studies, genome sequencing,
structural biology, and metabolome analysis has enabled us to use various
approaches to achieve direct fermentation of L-cysteine from glucose. For
example, worldwide companies began to supply L-cysteine and its derivatives
produced by bacterial fermentation. These companies successfully optimized the
original metabolism of their private strains. Basically, a combination of three
factors should be required for improving L-cysteine fermentation: that is, (1)
enhancing biosynthesis: overexpression of the altered cysE gene encoding feedback
inhibition-insensitive L-serine O-acetyltransferase (SAT), (2) weakening
degradation: knockout of the genes encoding L-cysteine desulfhydrases, and (3)
exploiting export system: overexpression of the gene involved in L-cysteine
transport. Moreover, we found that "thiosulfate" is much more effective sulfur
source than commonly used "sulfate" for L-cysteine production in Escherichia
coli, because thiosulfate is advantageous for saving consumption of NADPH and
relating energy molecules.
PMID- 27872964
TI - Toward Sustainable Amino Acid Production.
AB - Because the global amino acid production industry has been growing steadily and
is expected to grow even more in the future, efficient production by fermentation
is of great importance from economic and sustainability viewpoints. Many systems
biology technologies, such as genome breeding, omics analysis, metabolic flux
analysis, and metabolic simulation, have been employed for the improvement of
amino acid-producing strains of bacteria. Synthetic biological approaches have
recently been applied to strain development. It is also important to use
sustainable carbon sources, such as glycerol or pyrolytic sugars from cellulosic
biomass, instead of conventional carbon sources, such as glucose or sucrose,
which can be used as food. Furthermore, reduction of sub-raw substrates has been
shown to lead to reduction of environmental burdens and cost. Recently, a new
fermentation system for glutamate production under acidic pH was developed to
decrease the amount of one sub-raw material, ammonium, for maintenance of culture
pH. At the same time, the utilization of fermentation coproducts, such as cells,
ammonium sulfate, and fermentation broth, is a useful approach to decrease waste.
In this chapter, further perspectives for future amino acid fermentation from one
carbon compounds are described.
PMID- 27872965
TI - Novel Technologies for Optimal Strain Breeding.
AB - The implementation of a knowledge-based bioeconomy requires the rapid development
of highly efficient microbial production strains that are able to convert
renewable carbon sources to value-added products, such as bulk and fine
chemicals, pharmaceuticals, or proteins at industrial scale. Starting from
classical strain breeding by random mutagenesis and screening in the 1950s via
rational design by metabolic engineering initiated in the 1970s, a range of
powerful new technologies have been developed in the past two decades that can
revolutionize future strain engineering. In particular, next-generation
sequencing technologies combined with new methods of genome engineering and high
throughput screening based on genetically encoded biosensors have allowed for new
concepts. In this chapter, selected new technologies relevant for breeding
microbial production strains with a special emphasis on amino acid producers will
be summarized.
PMID- 27872966
TI - General Template for the FMEA Applications in Primary Food Processing.
AB - Data on the hazards involved in the primary steps of processing cereals, fruit
and vegetables, milk and milk products, meat and meat products, and fats and oils
are compiled with a wide-ranging literature survey. After determining the common
factors from these data, a general FMEA template is offered, and its use is
explained with a case study on pasteurized milk production.
PMID- 27872967
TI - L-Methionine Production.
AB - L-Methionine has been used in various industrial applications such as the
production of feed and food additives and has been used as a raw material for
medical supplies and drugs. It functions not only as an essential amino acid but
also as a physiological effector, for example, by inhibiting fat accumulation and
enhancing immune response. Producing methionine from fermentation is beneficial
in that microorganisms can produce L-methionine selectively using eco-sustainable
processes. Nevertheless, the fermentative method has not been used on an
industrial scale because it is not competitive economically compared with
chemical synthesis methods. Presented are efforts to develop suitable strains,
engineered enzymes, and alternative process of producing L-methionine that
overcomes problems of conventional fermentation methods. One of the alternative
processes is a two-step process in which the L-methionine precursor is produced
by fermentation and then converted to L-methionine by enzymes. Directed efforts
toward strain development and enhanced enzyme engineering will advance industrial
production of L-methionine based on fermentation.
PMID- 27872968
TI - New Functions and Potential Applications of Amino Acids.
AB - Currently, several types of amino acids are being produced and used worldwide.
Nevertheless, several new functions of amino acids have been recently discovered
that could result in other applications. For example, oral stimulation by
glutamate triggers the cephalic phase response to prepare for food digestion.
Further, the stomach and intestines have specific glutamate-recognizing systems
in their epithelial mucosa. Regarding clinical applications, addition of
monosodium glutamate to the medicinal diet has been shown to markedly enhance
gastric secretion in a vagus-dependent manner. Branched-chain amino acids (BCAAs)
are the major components of muscles, and ingestion of BCAAs has been found to be
effective for decreasing muscle pain. BCAAs are expected to be a solution for the
serious issue of aging. Further, ingestion of specific amino acids could be
beneficial. Glycine can be ingested for good night's sleep: glycine ingestion
before bedtime significantly improved subjective sleep quality. Ingestion of
alanine and glutamine effectively accelerates alcohol metabolism, and ingestion
of cystine and theanine effectively prevents colds. Finally, amino acids could be
used in a novel clinical diagnostic method: the balance of amino acids in the
blood could be an indicator of the risk of diseases such as cancer. These newly
discovered functions of amino acids are expected to contribute to the resolution
of various issues.
PMID- 27872970
TI - Neonicotinoids: Systemic Insecticides and Systematic Failure.
PMID- 27872969
TI - Effects of Peanut Shell Biochar on the Adsorption of Cd(II) by Paddy Soil.
AB - Soil from an experimental paddy field in southern China was incubated with peanut
shell biochar to investigate effects of this additive on the adsorption and
desorption characteristics of Cd(II) using batch methods. Incorporation of
biochar increased adsorption of Cd(II) by the paddy soil at 20, 25, and 30
degrees C; this trend was apparent both with increasing quantities of biochar and
rising temperature. Incorporation of biochar primarily enhanced the non
electrostatic adsorption of Cd(II). In addition, supplementation with biochar
decreased the adsorption rate of Cd(II), which decreased with increasing
quantities of biochar. The Langmuir constant b and Freundlich constant kf both
increased with greater quantities of biochar at different temperatures.
Adsorption of Cd(II) was an endothermic process and occurred spontaneously.
Incorporation of biochar decreased availability and mobility of Cd(II) to plants
primarily through increased non-electrostatic adsorption of Cd(II) by paddy soil.
PMID- 27872971
TI - The Influence of Nitrogen on the Biological Properties of Soil Contaminated with
Zinc.
AB - This study analyzed the relationship between nitrogen fertilization and the
biological properties of soil contaminated with zinc. The influence of various
concentrations of zinc and nitrogen on the microbiological and biochemical
activity of soil was investigated. In a laboratory experiment, loamy sand with
pHKCl 5.6 was contaminated with zinc (ZnCl2) and fertilized with urea as a source
of nitrogen. The activity of acid phosphatase, alkaline phosphatase, urease and
beta-glucosidase, and microbial counts were determined in soil samples after 2
and 20 weeks of incubation. Zinc generally stimulated hydrolase activity, but the
highest zinc dose (1250 mg kg-1) led to the inhibition of hydrolases. Nitrogen
was not highly effective in neutralizing zinc's negative effect on enzyme
activity, but it stimulated the growth of soil-dwelling microorganisms. The
changes in soil acidity observed after the addition of urea modified the
structure of microbial communities.
PMID- 27872973
TI - Persistent Mercury Contamination in Shooting Range Soils: The Legacy from Former
Primers.
AB - Mercury (Hg) compounds were used in the past in primers for rifle and handgun
ammunition. Despite its toxicity, little is known about the contamination of
shooting-range soils with this metal. We present new data about the Hg
contamination of surface soils from numerous shooting ranges of Switzerland. Our
study demonstrates that Hg is measurable at high levels in surface soils from the
shooting ranges. In three of the investigated ranges, concentrations above the
maximum Swiss guidance value of Hg in soil of 500 ug kg-1 were measured. Since
the use of mercury-containing ammunition was stopped in the 1960s, our results
demonstrate the high persistence of Hg in soils and their slow recovery by
natural mechanisms.
PMID- 27872972
TI - Characteristics, Sources and Health Risk Assessment of Trace Metals in PM10 in
Panzhihua, China.
AB - Ambient PM10 air samples were collected at two industrial sites and one urban
residential site in the mining city of Panzhihua, China, from April, 2014, to
January, 2015. Mass concentrations of ten trace metals (As, Cd, Cr, Ni, Co, V,
Mn, Cu, Pb, and Zn) in PM10 were determined by inductively coupled plasma-mass
spectrometry. The results showed Zn, Pb, Cu, Mn and V were the most abundant
elements from the industrial sites. Concentrations for Cd, Cr, Co, Ni, Mn and Cu
at industrial sites greatly exceeded the air quality standards of the World
Health Organization and the Chinese Ministry of Environmental Protection.
Principal component analysis indicated that the main sources of the trace metals
were steel smelting, fuel combustion, geological and mineral dust. Four different
clusters of particles (i.e., mineral, calcium-containing, soot and
aluminosilicate) were identified by scanning electron microscopy coupled with
energy dispersive X-ray spectrometry. Chromium (Cr) was found to present the
highest excess cancer risk, implying the potential for carcinogenic health
effects in local inhabitants. Manganese (Mn) presented a non-carcinogenic health
risk to children and adults, while the other metals were within acceptable
limits.
PMID- 27872974
TI - Interest of Using Ropivacaine for Outpatient Laparoscopic Cholecystectomy:
Prospective Randomized Trial.
AB - BACKGROUND: The objective of this study was to evaluate the interest of using
ropivacaine for outpatient laparoscopic cholecystectomy. The use of local
anesthesia by instillation and infiltration could reduce pain and increase the
number of outpatient cholecystectomies. METHODS: A one-center randomized
prospective clinical trial compared the use of ropivacaine during outpatient
laparoscopic cholecystectomy to the control group of outpatients for laparoscopic
cholecystectomy between April 2014 and May 2015. One hundred twenty-four were
eligible, and 100 patients were randomized. Patients with outpatient
cholecystectomy were randomized into 2 groups: ropivacaine group (Rop group) and
control group (control group). We performed a ropivacaine intraperitoneal
instillation and wound infiltration for the ropivacaine group at the end of the
procedure. The primary observation was authorization for home discharge. The
patient was evaluated by the surgeon using the Chung score. Secondary
observations included postoperative pain at 2 h post-surgery, at 6 h post-surgery
and the day following surgery. RESULTS: Ninety-eight were able to leave on the
evening of surgery. At 6 h post-surgery, the Chung score was identical for both
groups (p = 0.73). At 2 and 6 h post-surgery and the day following surgery, there
was no significant difference in pain levels (p = 0.63; p = 0.61; p = 0.98).
Analgesic consumption was no significant difference in the groups. CONCLUSIONS:
The use of ropivacaine does not increase the rate of home discharge and does not
change the postoperative pain of outpatient cholecystectomy.
PMID- 27872975
TI - Identification of Recurrence-Predictive Indicators in Stage I Colorectal Cancer.
AB - BACKGROUND: Patients with stage I colorectal cancer (CRC) rarely experience
recurrences; therefore, few risk factors for recurrence are known. This study was
designed to evaluate oncologic outcomes of patients with stage I CRC and to
identify risk factors for recurrence after curative surgery. METHODS: A
retrospective cohort of 860 patients from a single institution who underwent
curative surgery for stage I CRC between July 1995 and June 2010 was enrolled.
Patients who were diagnosed with hereditary, synchronous, or metachronous cancer
and those who received preoperative chemoradiotherapy were excluded. Patients
from whom fewer than 12 lymph nodes were retrieved were also excluded. RESULTS:
The 860 patients included 402 (46.7%) with colon tumors and 458 (53.3%) with
rectal tumors. Thirty-five patients (4.1%) experienced recurrences; local and
systemic recurrence rates were 1.1 and 3.0%, respectively. The 5-year overall
survival and recurrence-free survival (RFS) rates were 93.5 +/- 0.8% and 95.7 +/-
0.8%, respectively. Multivariate analysis showed that preoperative serum
carcinoembryonic antigen (CEA) concentration >=6 ng/mL (hazard ratio [HR] 3.354,
95% confidence interval [CI] 1.373-8.195, p = 0.008) and lymphovascular invasion
(LVI) (HR 2.676, 95% CI 1.097-6.531, p = 0.031) were independent risk factors for
RFS. CONCLUSIONS: The overall recurrence rate among patients with stage I CRC
after curative surgery was 4.1%. Elevated serum CEA and LVI were significantly
associated with recurrence. Large-scale, multicenter studies are needed to
confirm the prognostic value of these risk factors.
PMID- 27872976
TI - Development and Validation of a Scoring System to Predict Surgical Site Infection
After Ventral Hernia Repair: A Michigan Surgical Quality Collaborative Study.
AB - INTRODUCTION: Surgical site infections (SSIs) are a rare but significant
complication following an elective ventral hernia repair. This study aims to
develop a risk assessment tool in order to predict the risk of developing SSIs
postoperatively. METHODS: All patients undergoing an elective ventral hernia
repair were identified using the Michigan Surgical Quality Collaborative (MSQC)
database. Patients' demographics, comorbidities and technical aspects of the
operations were extracted. Logistic regressions were used to create a predictive
scoring system for SSIs. RESULTS: A total of 4983 were included. SSIs occurred in
3.4% of the patient population. A stepwise forward logistic regression identified
the need to use drains, BMI, wound classification at the end of the surgery,
presence of severe adhesions, a history of CAD, the need for intensive care after
surgery, the use of pressors, EtOH abuse and history of PVD as being
independently associated with the development of postoperative surgical site
infections. CONCLUSION: In patients undergoing an elective hernia repair, the
incidence of SSI is low. Several preoperative and perioperative factors can
contribute to the development of SSIs.
PMID- 27872978
TI - Introduction from the new Editors-in-Chief.
PMID- 27872977
TI - Hypertension Cure Following Laparoscopic Adrenalectomy for Hyperaldosteronism is
not Universal: Trends Over Two Decades.
AB - BACKGROUND: Laparoscopic adrenalectomy has been established as a standard
surgical method for unilateral primary aldosteronism. Meanwhile, the background
characteristics of the patients undergoing adrenalectomy have changed over the
last 20 years. The aim of this study was to investigate the changes in
hypertension cure rates after laparoscopic adrenalectomy during the last two
decades. METHODS: This retrospective clinical study included 176 patients who
underwent unilateral laparoscopic adrenalectomy for primary aldosteronism from
1995 to 2015. The patients were divided into two groups by decade. The patients'
baseline characteristics and the hypertension cure rates were compared between
the two groups. Additionally, the values were re-examined based on predictive
model predicting postoperative hypertension cure. RESULTS: The hypertension cure
rate decreased significantly from 51.8 to 31.1%. The following variables were
significantly different between the two groups: age, sex, body mass index,
history of diabetes mellitus, preoperative systolic and diastolic blood
pressures, potassium level, and plasma renin activity. CONCLUSIONS: This study
showed that the number of patients with unfavorable conditions for hypertension
cure after adrenalectomy has recently increased. The treatment goal for primary
aldosteronism is not only to cure the hypertension but also to prevent organ
disorders due to inappropriate aldosterone levels. Therefore, we recommend
laparoscopic adrenalectomy for unilateral primary aldosteronism, even if
hypertension is not always cured postoperatively. However, clinicians need to
fully explain the postoperative hypertension outcomes to primary aldosteronism
patients.
PMID- 27872979
TI - Evaluation of isolated urinary stress incontinence according to the type of
levator ani muscle lesion using 3/4D transperineal ultrasound 36 months post
partum.
AB - INTRODUCTION: Vaginal delivery can lead to pelvic floor disorders. Many authors
have described pelvic floor injuries that can predict future defects such as
urinary incontinence and pelvic organ prolapse. We propose the assessment of
urinary stress incontinence and its association with levator ani muscle (LAM)
microtrauma (>20% in the levator hiatus area during Valsalva) and macrotraumas
(avulsion) identified by 3/4D transperineal ultrasound (3D-TpUS) 36 months post
partum. MATERIALS AND METHODS: This was a prospective observational study
including 168 nulliparous women. All patients included were nulliparous with
singleton gestation in cephalic presentation, at >=37 weeks and were recruited on
the first day after delivery. Thirty-six months after delivery, 3D-TpUS was
carried out to identify LAM lesions (macro or micro). Clinical assessment of
urinary stress incontinence (USI) was based on the ICIQ-UI-SF test; a simple
stress test and urodynamic test were carried out in the same visit. RESULTS: A
total of 105 nulliparous women were studied (51 spontaneous deliveries [SpD] and
54 vacuum-assisted deliveries [VD]). Microtraumas were identified in 35.3% of SpD
and 20.4% of VD. Macrotraumas (avulsion) were identified in 9.8% of SpD and 35.2%
of VD (p = 0.006). No differences were found in USI between study groups or in
relation to the identification of LAM defects (19.2% in the no lesion group, 25%
in the macrotrauma and 13.8% in the microtrauma groups; p = not significant). Nor
were significant differences found in the results from the different study groups
in the International Consultation on Incontinence Modular Questionnaire Urinary
Incontinence Short Form (ICIQ-UI SF) test (12.7+/-2.2 in the no lesion group,
12.5+/-4.2 in the macrotrauma and 13.25+/-4.8 in the microtrauma groups; p = NS).
CONCLUSION: No difference was observed in USI between patients with and without
LAM lesions (microtrauma or macrotrauma) 36 months post-delivery.
PMID- 27872980
TI - Preliminary results of managing large medial tibial defects in primary total knee
arthroplasty: autogenous morcellised bone graft.
AB - PURPOSE: This study reports a case series of 44 primary total knee arthroplasties
(TKAs) using autogenous morcellised bone grafting for large (>=10-mm-deep) medial
tibial defects, which are generally repaired using metal augmentation. The bone
grafting technique is described in detail and the radiological outcomes are
presented. METHODS: A total of 44 TKAs were followed up for a mean period of 58
months (range 24-139 months). Multiple drill holes were made in the sclerotic
floor of the defect, followed by the impaction of morcellised cancellous bone
grafts to fill the defects. Tibial components were fixed using the cemented or
noncemented technique and no internal fixation devices were used. Stem extension
of the tibial component was only used in one TKA. RESULTS: Radiograms revealed
that the grafted bone was completely incorporated into the host bone within one
year post-operatively. No grafted bone absorption or collapse was detected. A
clear zone between the tibial component and grafted bone was observed in six
knees, but it did not become enlarged thereafter. CONCLUSIONS: The presented
technique provided favourable radiological outcomes and had several advantages:
(1) it enables preservation of as much bone as possible for future revision
surgery; (2) it is cost effective and simple because metal augments, internal
fixation devices and stem extension are not needed; (3) it can be used in the
same manner any defect to a depth >=3 mm. Thus, this is an acceptable and
reproducible alternative technique.
PMID- 27872981
TI - Dual-mobility arthroplasty failure: a rationale review of causes and technical
considerations for revision.
AB - PURPOSE: Dual-mobility arthroplasty is an alternative to conventional total hip
arthroplasty (THA) in appropriately selected, active adults with degenerative,
necrotic or post-traumatic hip disease or with revision hip arthroplasty.
Numerous papers have been published with results of dual-mobility arthroplasty,
but there have been no comprehensive literature reviews that summarise the most
recent findings and help the orthopaedic surgeon facing different scenarios in
which revision of one or both components of a dual-mobility arthroplasty is
indicated. METHODS: We performed a PubMed search for papers published on dual
mobility arthroplasty that provided data on revision and add our experience in
order to describe different revision scenarios. We collected data on revision for
any reason, for aseptic loosening, for infection, or for dislocation. For each
complication, we summarise causes and diagnosis of this complication and describe
the direction of possible therapeutic options. RESULTS: The dual-mobility
arthroplasty offers the benefit of increased stability without compromising
clinical outcomes and implant longevity. However, as with conventional
arthroplasties, complications are also reported, with the most frequent being cup
loosening, dislocation, accelerated wear and infection. Dual-mobility implants
also have some specific complications secondary to their specific design, with
the presence of a third joint. For example, intraprosthetic dislocation due to
retentive failure of the polyethylene (PE) liner on the femoral head is a
complication observed exclusively with this type of implant and involves
articulation failure between the femoral head and the PE liner. Mechanical
conflict with the iliopsoas tendon has also been reported, probably due to
femoral head size, cup design, and/or a dysplastic hip. This systematic review of
the literature identified several options for treating each complication, and in
particular, options regarding conserving or not of one the two articulating
devices. CONCLUSIONS: These findings can inform discussions relating to risks and
benefits of different therapeutic options when performing revision of a dual
mobility arthroplasty.
PMID- 27872983
TI - Robotic-Assisted Versus Manual Prostatic Arterial Embolization for Benign
Prostatic Hyperplasia: A Comparative Analysis.
AB - PURPOSE: Prostatic artery embolization (PAE) is a safe and efficacious procedure
for benign prostatic hyperplasia (BPH), though is technically challenging. We
present our experience of technical and clinical outcomes of robotic and manual
PAE in patients with BPH. MATERIALS AND METHODS: IRB-approved retrospective study
of 40 consecutive patients 49-81 years old with moderate or severe grade BPH from
May 2014 to July 2015: 20 robotic-assisted PAE (group 1), 20 manual PAE (group
2). Robotic-assisted PAE was performed using the Magellan Robotic System.
American Urological Association (AUA-SI) score, cost, technical and clinical
success, radiation dose, fluoroscopy, and procedure time were reviewed.
Statistical analysis was performed within and between each group using paired t
test and one-way analysis of variance respectively, at 1 and 3 months. RESULTS:
No significant baseline differences in age and AUA-SI between groups. Technical
success was 100% (group 1) and 95% (group 2). One unsuccessful subject from group
2 returned for a successful embolization using robotic assistance. Fluoroscopy
and procedural times were similar between groups, with a non-significant lower
patient radiation dose in group 1 (30,632.8 mGy/cm2 vs 35,890.9, p = 0.269).
Disposable cost was significantly different between groups with the robotic
assisted PAE incurring a higher cost (group 1 $4530.2; group 2 $1588.5, p <
0.0001). Clinical improvement was significant in both arms at 3 months: group 1
mean change in AUA-SI of 8.3 (p = 0.006), group 2: 9.6 (p < 0.0001). No minor or
major complications occurred. CONCLUSIONS: Robotic-assisted PAE offers technical
success comparable to manual PAE, with similar clinical improvement with an
increased cost.
PMID- 27872982
TI - Interplay between Top1 and Mms21/Nse2 mediated sumoylation in stable maintenance
of long chromosomes.
AB - Genetic information in cells is encrypted in DNA molecules forming chromosomes of
varying sizes. Accurate replication and partitioning of chromosomes in the
crowded cellular milieu is a complex process involving duplication, folding and
movement. Longer chromosomes may be more susceptible to mis-segregation or DNA
damage and there may exist specialized physiological mechanisms preventing this.
Here, we present genetic evidence for such a mechanism which depends on
Mms21/Nse2 mediated sumoylation and topoisomerase-1 (Top1) for maintaining
stability of longer chromosomes. While mutations inactivating Top1 or the SUMO
ligase activity of Mms21 (mms21sl) individually destabilized yeast artificial
chromosomes (YACs) to a modest extent, the mms21sl top1 double mutant exhibited a
synthetic-sick phenotype, and showed preferential destabilization of the longer
chromosome relative to shorter chromosomes. In contrast, an smc6-56 top1 mutant
defective in Smc6, another subunit of the Smc5/6 complex, of which Mms21 is a
component, did not show such a preferential enhancement in frequency of loss of
the longer YAC, indicating that this defect may be specific to the deficiency in
SUMO ligase activity of Mms21 in the mms21sl top1 mutants. In addition, mms21sl
top1 double mutants harboring a longer fusion derivative of natural yeast
chromosomes IV and XII displayed reduced viability, consistent with enhanced
chromosome instability, relative to single mutants or the double mutant having
the natural (shorter) non-fused chromosomes. Our findings reveal a functional
interplay between Mms21 and Top1 in maintenance of longer chromosomes, and
suggest that lack of sumoylation of Mms21 targets coupled with Top1 deficiency is
a crucial requirement for accurate inheritance of longer chromosomes.
PMID- 27872985
TI - Pharmacokinetics and Histopathological Findings of Chemoembolization Using
Cisplatin Powder Mixed with Degradable Starch Microspheres in a Rabbit Liver
Tumor Model.
AB - PURPOSE: The purpose of this study is to evaluate the pharmacokinetics and
histopathological findings of transarterial chemoembolization (TACE) using
cisplatin powder mixed with degradable starch microspheres (DSM) (Cis/DSM-TACE)
compared with cisplatin arterial infusion (Cis-AI). MATERIALS AND METHODS:
Eighteen rabbits with VX2 liver tumors were divided into two groups: Cis/DSM-TACE
(n = 9) and Cis-AI (n = 9) groups. In the Cis/DSM-TACE group, a mixture of
cisplatin powder and DSM was injected until stasis of hepatic arterial flow was
achieved. In the Cis-AI group, cisplatin solution was infused. RESULTS: The
platinum concentrations in VX2 tumors in the Cis/DSM-TACE group at 24 and 72 h
were significantly elevated compared with those in the Cis-AI group (P = .016 and
.019, respectively). There were no significant differences in the platinum
concentrations in plasma. Histopathological examination revealed the presence of
several microspheres inside the tumors at 1 h, which completely disappeared at 24
h. Tumor cell apoptosis at 1 h in the Cis/DSM-TACE group was more frequently
observed compared with that in the Cis-AI group (P = .006). CONCLUSIONS: TACE
using cisplatin powder mixed with DSM provides a higher drug concentration in
tumors, thereby achieving stronger antitumor effects compared with arterial
infusion of cisplatin solution.
PMID- 27872984
TI - Cinnamic Acid Derivatives Enhance the Efficacy of Transarterial Embolization in a
Rat Model of Hepatocellular Carcinoma.
AB - INTRODUCTION: We hypothesize that the combination of transarterial embolization
(TAE) plus inhibition of lactate export will limit anaerobic metabolism and
reduce tumor survival compared to TAE alone. The purpose of this study was to
test this hypothesis in a rat model of hepatocellular carcinoma (HCC). METHODS:
Rat N1-S1 hepatoma cells were assayed in vitro using the Seahorse XF analyzer to
measure extracellular acidification (lactate excretion) comparing effects of the
addition of caffeic acid (CA) or ferulic acid (FA) or UK-5099 with control.
Monocarboxylate transporter Slc16a3 was knocked down by RNAi. N1S1 tumors were
orthotopically implanted in rats and 4 groups evaluated: (1) Control, (2) TAE
only, (3) TAE plus CA, and (4) TAE plus FA. Tumor size was determined by
ultrasound and analyzed by repeated measures statistics. Tumors harvested at 4
weeks were examined by microscopy. RESULTS: Seahorse assays showed that CA and FA
caused a significant reduction by >90% in lactate efflux by N1S1 tumor cells (p <
0.01). Knockdown of Slc16a3 prevented inhibition by CA. In vivo tumors grew 30
fold in volume over 4 weeks in untreated controls. By comparison, TAE resulted in
near cessation of growth (10% in 4-week time period). However, both TAE + CA and
TAE + FA caused a significant reduction of tumor volumes (87 and 72%,
respectively) compared to control and TAE (p < 0.05). Pathologic evaluation
revealed residual tumor in the TAE group but no residual viable tumor cells in
the TAE + CA and TAE + FA groups. CONCLUSION: Addition of CA or FA enhances the
effectiveness of TAE therapy for HCC in part by blocking lactate efflux.
PMID- 27872987
TI - The IR Evolution in Oncology: Tools, Treatments, and Guidelines.
AB - Early focus of interventional oncologists was developing tools and imaging
guidance, performing "procedures" acting as a skillful technician without
knowledge of clinical patient outcomes, beyond post-treatment image findings.
Interventional oncologists must deliver "treatments" and not "procedures", and
focus on clinically relevant outcomes, provide clinical continuity of care, which
means stand at multidisciplinary tumor boards, see patients in consultation
before treatment and for follow-up. Interventional oncologists have fought for
the same "market" with surgery in a head to head, bloody competition called red
ocean strategy in marketing terms, resulting in many aborted trials. Wide
adoption of interventional oncology is facing the challenge to build evidence
with overall survival as endpoint in randomized trials while the benefits of a
treatment on overall survival are diluted by the effects of possible/inevitable
subsequent therapies. Because interventional oncology is a disruptive force in
medicine achieving same results as others (surgery) using different, less
invasive approaches, patients where surgery is irrelevant can be target with a
blue ocean strategy (to propose treatment where there is no competition).
Recently interventional oncology has been included in the ESMO guidelines for
colorectal cancer with oligometastatic disease with both surgical resection, and
thermal ablation classified in the same category called "local ablative
treatments". Interventional oncologists have to shape the future by publications
in oncologic journal, by being active members of oncology scientific societies,
and use modern public megaphone (blog, video sharing, ...) to disseminate
information and let society know that interventional is not a me-too product but
a disruptive treatment.
PMID- 27872986
TI - Prostate Zonal Volumetry as a Predictor of Clinical Outcomes for Prostate Artery
Embolization.
AB - PURPOSE: To determine prostate baseline zonal volumetry and correlate these
findings with clinical outcomes for patients who underwent prostate artery
embolization (PAE) for lower urinary tract symptoms (LUTS) due to benign
prostatic hyperplasia (BPH). MATERIALS AND METHODS: This is a retrospective study
that included patients treated by PAE from 2010 to 2014. Baseline and 6-month
follow-up evaluations included prostate MRI with whole prostate (WP) and central
gland (CG) volume measurements-as well as prostate zonal volumetry index (ZVi)
calculation, defined as the CG/WP volumes relation-the International Prostate
Symptom Score (IPSS), and the Quality of life (QoL) index. Baseline WP, CG, and
ZVi were statistical compared to IPSS and QoL values at 6 months. RESULTS: A
total of 93 consecutive patients were included, with mean age of 63.4 years
(range, 51-86). Clinical failure, defined as IPSS > 7 or QoL > 2, was seen in
four cases (4.3%). Mean reductions in prostate volumes after PAE were of 30.6%
and 31.2% for WP and CG, respectively (p < 0.0001). Clinical parameters had mean
decrease from 21 to 3.3 points for IPSS, and from 4.7 to 1.2 points for QoL (p <
0.0001). Baseline WP, CG, and ZVi correlated to the degree of clinical
improvement (p < 0.05 for all). The baseline ZVi cut-off calculated for better
clinical outcomes was > 0.45, with 85% sensitivity and 75% specificity.
CONCLUSIONS: Baseline CG and WP volumes as well as ZVi presented strong
correlation with clinical outcomes in patients undergoing PAE, and its assessment
should be considered in pre-treatment evaluation whenever possible. Both patients
and medical team should be aware of the possibility of less favorable outcomes
when ZVi < 0.45.
PMID- 27872988
TI - Prostatic Arterial Embolization Treating Moderate-to-Severe Lower Urinary Tract
Symptoms Related to Benign Prostate Hyperplasia: A Meta-Analysis.
AB - PURPOSE: To evaluate the clinical efficiency and safety of PAE treating moderate
to-severe LUTS related to BPH. MATERIALS AND METHODS: A systematic literature
search was performed using PUBMED, EMBASE, Cochrane database of systematic
review, and Web of Science, up to April 2016, to identify eligible studies. The
Cochrane Collaboration's RevMan 5.3 was used to analyze the extracted data.
Random- or fixed-effect model was selected to fit the pooled out heterogeneity.
RESULTS: 20 eligible studies were included in this meta-analysis. Synthetic data
showed that there were statistically significant improvements in IPSS (MD =
13.25; 95% CI -14.81 to -11.69; P < 0.00001), QoL score (MD = -2.34; 95% CI -2.69
to -2.00, P < 0.00001), PSA level (MD = -1.33; 95% CI -1.86 to -0.80; P <
0.00001), PV (MD = -28.00; 95% CI -35.94 to -20.07; P < 0.00001), Qmax (MD =
5.51; 95% CI 4.62 to 6.40; P < 0.00001), and PVR (MD = -67.8; 95% CI -81.35 to
53.60; P < 0.00001). There was no significant difference in IIEF score (MD =
0.93; 95% CI 0.43-1.42; P = 0.07). Major complications following PAE include pain
in the perineum, retropubic area, and/or urethra (9.4%), and hematuria (9.0%).
CONCLUSIONS: PAE should be considered to be the very promising alternative
treatment for those who do not want or cannot tolerate surgical treatment, with
its benefits on IPSS, QoL score, PSA level, PV, Qmax, and PVR without affecting
erectile function.
PMID- 27872989
TI - Is the contralateral tibia a reliable template for reconstruction: a three
dimensional anatomy cadaveric study.
AB - PURPOSE: The contralateral anatomy is regularly used as a reconstruction template
for corrective osteotomies of several deformities and pathological conditions.
However, there is lack of evidence that the intra-individual differences between
both tibiae are sufficiently small to use the contralateral tibia as a 3D
reconstruction template for complex osteotomies. The aim of this study was to
evaluate the intra-individual side differences of the tibia in length, torsion,
angulation, and translation using 3D measurement techniques. METHODS: 3D surface
models of both tibiae were created from computed tomography data of 51 cadavers.
The (mirrored) models of the right tibiae were divided into two halves at the
centre of the shaft. Thereafter, the proximal and distal segments were aligned to
the left (contralateral) tibia in an automated fashion. The relative 3D
transformation between both aligned segments was measured to quantify the side
difference in 6 degrees of freedom (3D translation vector, 3 angles of
rotation). RESULTS: The mean side difference in tibia length was 2.1 mm (SD 1.3
mm; range 0.2-5.9 mm). The mean side difference in torsion was 4.9 degrees (SD
4.1 degrees ; range 0.2 degrees -17.6 degrees ). The mean side difference in the
coronal and sagittal planes was 1.1 degrees (SD 0.9 degrees ; range 0.0 degrees
4.6 degrees ) and 1.0 degrees (SD 0.8 degrees ; range 0.1 degrees -2.9 degrees
), respectively. CONCLUSION: The present study confirms small side differences in
torsion between the left and right tibia, while the side differences in the
coronal and sagittal plane are probably negligible. The contralateral tibia seems
to be a reliable reconstruction template for the 3D preoperative planning of
complex corrective osteotomies of the tibia. However, torsional differences
should be interpreted with caution, as a single cut-off value of a clinically
relevant torsional side difference cannot be defined. The presented results are
relevant to surgeons considering the contralateral tibia as a 3D reconstruction
template for corrective osteotomies of the tibia. LEVEL OF EVIDENCE: Basic
science.
PMID- 27872990
TI - Blood supply of the subacromial bursa and rotator cuff tendons on the bursal
side.
AB - PURPOSE: Vascularity of the subacromial bursa and rotator cuff tendons is key
factors in the pathogenesis of subacromial bursitis and impingement syndrome,
rotator cuff tendinitis, and rotator cuff tears. The purpose of this study was to
investigate and describe blood supply to the cranial and caudal parts of the
subacromial bursa and the vascularity of the rotator cuff tendons on the bursal
side. METHODS: Fourteen fresh cadaveric shoulders from six females and eight
males with a mean age of 71.7 (+/-10.8) years were studied. Before dissection, an
arterial injection of 10% aqueous dispersion of latex was administered. Post
injection, the shoulders were fixed in an alcohol-formalin-glycerol solution.
RESULTS: The cranial and caudal bursa of all specimens was mainly supplied by the
thoracoacromial, suprascapular, and anterior and posterior circumflex humeral
arteries. The cranial part of the bursa was supplied anteriorly by the
thoracoacromial artery, and posteriorly and medially by the posterior circumflex
humeral artery as far as the medial third. The caudal part received arterial
blood anteriorly from the anterior circumflex humeral artery, and posteriorly and
medially by the posterior circumflex humeral artery as far as the medial third of
the caudal bursa. In addition, the suprascapular artery branched at the upper
surface of the coracohumeral ligament, and the subcoracoid artery branched at the
under surface of the same ligament. CONCLUSION: The subacromial bursa appears
well vascularized. The results of the present investigation showed that blood
supply to the subacromial bursa at the caudal part and rotator cuff tendons on
the bursal side was linked to the same arteries. The subcoracoid artery supplied
interval rotator structures close to the caudal bursa. It is the wish of the
authors that this meticulous anatomical work will help surgeons in their day-to
day clinical work, e.g. to minimize the risk of complications such as
perioperative bleeding.
PMID- 27872991
TI - Shoulder manual muscle resistance test cannot fully detect muscle weakness.
AB - PURPOSE: The shoulder manual resistance test is one of the common clinical
assessments for patients with muscle weakness. However, there have been no
studies investigating the threshold for muscle weakness. The purpose of this
study was to clarify the threshold for muscle weakness in the shoulder manual
muscle resistance test. METHODS: Fifty-three patients (37.9 +/- 20.6 years old)
with either rotator cuff tear (21 patients), superior labrum anterior-to
posterior (SLAP) lesion (7 patients), or Bankart lesion (25 patients) of one
shoulder were administered three manual muscle resistance tests (abduction
strength, external rotation, and belly press tests). Positive results in these
tests were defined as a subjective weakness in the involved shoulder compared to
the opposite shoulder. Based on this result, the patients were divided into
positive and negative groups. Another observer measured isometric strength using
a hand-held dynamometer and calculated the side-to-side ratio. Comparing
instrument measurement with manual measurement, the cut-off point, at which we
can recognise that there is a side-to-side difference, was calculated by receiver
operating characteristic analysis. RESULTS: The cases with less than 60% of the
muscle strength in the contralateral shoulder were judged as positive in all
examinations, whereas among the cases with 60-90% of muscle strength, there was a
mixture of negative and positive determinations. The cut-off point was 78.9% in
the abduction strength test, 73.8% in the external rotation test, and 84.0% in
the belly press test. CONCLUSION: The side-to-side difference could be manually
detected, when muscle strength was less than 75-85% of that on the contralateral
side. This finding suggests that it is necessary to understand the limitation of
these manual tests in the case of clinical examinations. Therefore, care must be
taken for the shoulder manual muscle resistance test as muscle weakness cannot be
fully detected by manual measurement. LEVEL OF EVIDENCE: Case-control study,
Level IV.
PMID- 27872992
TI - Atrial Septal Defect Closure with Occlutech(r) ASD Fenestrated Device in a Child
with Severe Pulmonary Hypertension.
AB - We report a 5-year-old patient with severe pulmonary hypertension and a large
secundum atrial septal defect who benefited from a percutaneous closure of the
defect with an Occlutech(r) custom-made fenestrated device. Whereas the closure
is technically identical to standard atrial defect closure, the immediate and
midterm beneficial results are presented.
PMID- 27872993
TI - Cardiac Catheterization in Pediatric Patients Supported by Extracorporeal
Membrane Oxygenation: A 15-Year Experience.
AB - Cardiac catheterization is commonly performed in patients being supported by
extracorporeal membrane oxygenation (ECMO). We aimed to evaluate the safety,
benefit, and outcomes of catheterization in pediatric patients supported by ECMO.
Retrospective review of cardiac catheterizations performed in patients <=18 years
of age while on ECMO at a large tertiary care center between January 2000 and May
2015. A total of 55 catheterizations were performed on 51 patients during 53
unique ECMO courses. Indications for ECMO include ventricular dysfunction (22),
cardiac arrest (20), inability to wean from cardiopulmonary bypass (7), and
persistent cyanosis (4). Catheterizations included purely diagnostic studies
(11), atrial septostomies (34), stenting of vessels or surgical shunts (6),
adjustment of a stent (1), coil embolization (1), and endomyocardial biopsy (1).
Septostomy was elective in 58.8% of cases (20) and emergent in 41.2% (14). Forty
six catheterizations had either surgical or catheter intervention during the same
or subsequent study (83.6%). High severity complications occurred in three
patients (5.6%), including one death due to hemothorax after pulmonary artery
stent placement. There were no complications during patient transport. In total,
38 out of 53 (71.7%) ECMO courses resulted in decannulation, 29 (54.7%) patients
survived to discharge from the hospital, and 25 (47.2%) were alive at follow-up.
Cardiac catheterization can be safely performed on patients supported by ECMO.
Cardiac catheterization is a critical tool in the early recognition, diagnosis,
and direct treatment of hemodynamic/anatomic abnormalities in patients supported
by ECMO.
PMID- 27872994
TI - Outcomes of Tracheostomy in Children Requiring Surgery for Congenital Heart
Disease.
AB - Outcomes after discharge in children requiring tracheostomy after cardiac surgery
have not been fully described. A retrospective, single-center study was performed
on all children <18 years of age requiring both tracheostomy and surgery for
congenital heart disease from January 2002 to May 2015. Forty-six tracheostomies
were placed after surgery and four before. Single-ventricle anatomy was present
in 12 (33%) patients. Incidence of tracheostomy after heart surgery increased
from 0.8% the first half of the study period to 2% the second half. Median time
between cardiac surgery and tracheostomy was 58 days. The most common indication
for tracheostomy was multifactorial (30%) followed by airway malacia (22%).
Median length to follow-up for survivors was 3.9 years (range 0.4-11.8 years).
Survival to hospital discharge was 72%, and intermediate survival was 48%.
Survival in those with systemic to pulmonary artery shunts at the time of
tracheostomy was 22% compared to 59% for those with biventricular anatomy. Heart
failure and multiple indications for tracheostomy were associated with worse
outcome. There was no difference in survival for those discharged with a
ventilator compared to those that were not. The most common cause of death after
discharge was tracheostomy tube dislodgement/obstruction, accounting for 5 of 11
that died. Survival with a tracheostomy after cardiac surgery is poor, and
children with systemic to pulmonary artery shunts are at especially high risk of
death.
PMID- 27872995
TI - NT-proBNP as Marker of Ventricular Dilatation and Pulmonary Regurgitation After
Surgical Correction of Tetralogy of Fallot: A MRI Validation Study.
AB - The goal of this study is to evaluate whether NT-proBNP plasma levels may help as
a screening biomarker for monitoring right ventricular dilatation, pulmonary
regurgitation and the onset of heart failure in patients with repaired Tetralogy
of Fallot. Our single-centre observational prospective study involved 43 patients
(15.1 years, SD = 8) with corrected Tetralogy of Fallot. Data collection
included: clinical parameters (electrocardiogram, chest X-ray, NYHA scale, time
since last surgery), biochemistry (NT-proBNP levels) and MRI values (ventricular
volumetry, pulmonary flow assessment). Mean time since last surgery was 13.5
years (SD = 7.8). There was a statistically significant correlation between the
NT-proBNP levels (187.4 pg/ml, SD = 154.9) and right ventricular dilatation for
both the right ventricular end-diastolic volume (124.9 ml/m2, SD = 31.2) (Pearson
= 0.19, p < 0.01) and end-systolic volume (56.1 ml/m2, SD = 18.8) (Pearson =
0.21, p < 0.01) and also with the pulmonary regurgitation fraction (36.5%, SD =
16, Pearson = 0.12, p < 0.01). No significant correlation was found between NT
proBNP and right ventricular ejection fraction (54.6%, SD = 10.6, Pearson =
0.07), left ventricular ejection fraction (59.9%, SD = 7.1, Pearson = -0.18) or
any clinical parameters. The receiver operating curve analysis evidenced that a
NT-proBNP cut-off value above 133.2 pg/ml predicted the presence of dilated right
ventricular end-diastolic and end-systolic volumes over centile 95 (sensitivity
82 and 83% and specificity 93 and 79%, respectively). In conclusion, in patients
with surgically corrected Tetralogy of Fallot, NT-proBNP levels correlate with
right ventricular dilatation and the degree of pulmonary regurgitation.
Ambulatory determination of NT-proBNP might be an easy, readily available and
cost-effective alternative for MRI follow-up evaluation of these patients.
PMID- 27872996
TI - Surgical Repair of Ventricular Septal Defect; Contemporary Results and Risk
Factors for a Complicated Course.
AB - Surgical closure of the ventricular septal defect is the most commonly performed
procedure in pediatric cardiac surgery. There are conflicting data on weight at
operation as risk factor for a complicated course. We performed a retrospective
evaluation of mortality and morbidity in all patients undergoing surgical
ventricular septal defect closure at our institution between 2004 and 2012 to
identify risk factor for a complicated course. Multivariate logistic regression
modeling was performed to identify risk factors for a complicated course. 243
patients who underwent surgical ventricular septal defect closure were included.
Median age at operation was 168.0 days (range 17-6898), the median weight 6.0 kg
(range 2.1-102.0). No deaths occurred. Two patients (0.8%) required a pacemaker
for permanent heart block. Five patients (2.1%) underwent reoperation for a
hemodynamically important residual ventricular septal defect. No other major
adverse events occurred. No risk factors for major adverse events could be
established. Multivariate analysis identified a genetic syndrome, long bypass
time and low weight at operation as independent risk factors for a prolonged
intensive care stay (>1 day) and prolonged ventilation time (>6 h). Contemporary
results of surgical VSD closure are excellent with no mortality and low morbidity
in this series. Although it is associated with increased ventilation time and a
longer hospital stay, low bodyweight at operation is not associated with an
increased risk of complications or major adverse events in our series.
PMID- 27872997
TI - Microarray (phylochip) analysis of freshwater pathogens at several sites along
the Northern German coast transecting both estuarine and freshwaters.
AB - Monitoring the quality of drinking water is an important issue for public health.
Two of the main objectives of the European Project MUAQUA were (i) the
development of specific probes to detect and quantify pathogens in drinking water
and (ii) the design of standardized sampling programs of water from different
sources in Europe in order to obtain sufficient material for downstream analysis.
Our phylochip contains barcodes that specifically identify freshwater pathogens
for enabling the detection of organisms that can be risks for human health.
Monitoring for organisms with molecular tools is rapid, more accurate and more
reliable than traditional methods. Rapid detection means that mitigation
strategies come into play faster with less harm to the community and to humans.
Samples were collected from several waters in France, Germany, Ireland, Italy and
Turkey over 2 years. We present microarray results for the presence of freshwater
pathogens from brackish and freshwater sites in Northern Germany, and
cyanobacterial cell numbers inferred from these sites. In a companion study from
the same samples, cyanobacterial toxins were analyzed using two methods and those
sites with highest toxin values also had highest cell numbers as inferred from
this microarray study.
PMID- 27872999
TI - Laccase catalysis for the synthesis of bioactive compounds.
AB - The demand for compounds of therapeutic value is increasing mainly because of new
applications of bioactive compounds in medicine, pharmaceutical, agricultural,
and food industries. This has necessitated the search for cost-effective methods
for producing bioactive compounds and therefore the intensification of the search
for enzymatic approaches in organic synthesis. Laccase is one of the enzymes that
have shown encouraging potential as biocatalysts in the synthesis of bioactive
compounds. Laccases are multicopper oxidases with a diverse range of catalytic
activities revolving around synthesis and degradative reactions. They have
attracted much attention as potential industrial catalysts in organic synthesis
mainly because they are essentially green catalysts with a diverse substrate
range. Their reaction only requires molecular oxygen and releases water as the
only by-product. Laccase catalysis involves the abstraction of a single electron
from their substrates to produce reactive radicals. The free radicals
subsequently undergo homo- and hetero-coupling to form dimeric, oligomeric,
polymeric, or cross-coupling products which have practical implications in
organic synthesis. Consequently, there is a growing body of research focused on
the synthetic applications of laccases such as organic synthesis, hair and
textile dyeing, polymer synthesis, and grafting processes. This paper reviews the
major advances in laccase-mediated synthesis of bioactive compounds, the
mechanisms of enzymatic coupling, structure-activity relationships of synthesized
compounds, and the challenges that might guide future research directions.
PMID- 27872998
TI - PpEst is a novel PBAT degrading polyesterase identified by proteomic screening of
Pseudomonas pseudoalcaligenes.
AB - A novel esterase, PpEst, that hydrolyses the co-aromatic-aliphatic polyester
poly(1,4-butylene adipate-co-terephthalate) (PBAT) was identified by proteomic
screening of the Pseudomonas pseudoalcaligenes secretome. PpEst was induced by
the presence of PBAT in the growth media and had predicted arylesterase (EC
3.1.1.2) activity. PpEst showed polyesterase activity on both whole and milled
PBAT film releasing terephthalic acid and 4-(4-hydroxybutoxycarbonyl)benzoic acid
while end product inhibition by 4-(4-hydroxybutoxycarbonyl)benzoic acid was
observed. Modelling of an aromatic polyester mimicking oligomer into the PpEst
active site indicated that the binding pocket could be big enough to accommodate
large polymers. This is the first report of a PBAT degrading enzyme being
identified by proteomic screening and shows that this approach can contribute to
the discovery of new polymer hydrolysing enzymes. Moreover, these results
indicate that arylesterases could be an interesting enzyme class for
identifications of polyesterases.
PMID- 27873000
TI - Mercury alters the bacterial community structure and diversity in soil even at
concentrations lower than the guideline values.
AB - This study evaluated the effect of inorganic mercury (Hg) on bacterial community
and diversity in different soils. Three soils-neutral, alkaline and acidic-were
spiked with six different concentrations of Hg ranging from 0 to 200 mg kg-1 and
aged for 90 days. At the end of the ageing period, 18 samples from three
different soils were investigated for bacterial community structure and soil
physicochemical properties. Illumina MiSeq-based 16s ribosomal RNA (rRNA)
amplicon sequencing revealed the alteration in the bacterial community between un
spiked control soils and Hg-spiked soils. Among the bacterial groups,
Actinobacteria (22.65%) were the most abundant phyla in all samples followed by
Proteobacteria (21.95%), Bacteroidetes (4.15%), Firmicutes (2.9%) and
Acidobacteria (2.04%). However, the largest group showing increased abundance
with higher Hg doses was the unclassified group (45.86%), followed by
Proteobacteria. Mercury had a considerable negative impact on key soil functional
bacteria such as ammonium oxidizers and nitrifiers. Canonical correspondence
analysis (CCA) indicated that among the measured soil properties, Hg had a major
influence on bacterial community structure. Furthermore, nonlinear regression
analysis confirmed that Hg significantly decreased soil bacterial alpha diversity
in lower organic carbon containing neutral and alkaline soils, whereas in acidic
soil with higher organic carbon there was no significant correlation. EC20 values
obtained by a nonlinear regression analysis indicated that Hg significantly
decreased soil bacterial diversity in concentrations lower than several guideline
values.
PMID- 27873001
TI - 1,2-Dimethylimidazole-4-sulfonyl chloride (DMISC), a novel derivatization
strategy for the analysis of propofol by LC-ESI-MS/MS.
AB - Analysis of the anesthetic agent propofol in biological samples by LC-MS/MS is a
great challenge due to weak fragmentation and poor ionization efficacy of
propofol resulting in weak signal intensities. Improvements of the ionization and
fragmentation efficacy can be achieved by conversion of propofol to its
dimethylimidazolesulfonyl (DMIS) derivative by a derivatization reaction using
1,2-dimethylimidazole-4-sulfonyl chloride (DMISC). This DMIS derivative produced
intense [M + H]+ ions in positive-ion LC-ESI-MS/MS with the dimethylimidazole
moieties representing the most abundant product ions. Derivatization of serum
samples is achieved by direct conversion of the acetonitrile supernatant of a
protein precipitation with DMISC followed by a double liquid-liquid extraction
using n-hexane. Reliability of the method was confirmed under consideration of
the validation parameters selectivity, linearity, accuracy and precision,
analytical limits, and processed sample stability. Linearity was demonstrated
over the whole calibration range from 5 to 1000 ng/ml with the use of a 1/x 2
weighting. Stability of the processed samples was verified for a time period of
up to 25 h. Due to its high sensitivity, appropriate quantification and detection
limits (LLoQ = 5 ng/ml, LoD = 0.95 ng/ml) for toxicological propofol analyses
could be achieved. Applicability of the method to biological samples could be
verified by analysis of a human serum sample collected after propofol-induced
sedation. Graphical abstract A novel derivatization strategy using 1,2
dimethylimidazole-4-sulfonyl chloride (DMISC) was developed to improve the
ionization and fragmentation efficacy of propofol for LC-ESI-MS/MS analysis.
PMID- 27873002
TI - Multimodal detection of GM2 and GM3 lipid species in the brain of
mucopolysaccharidosis type II mouse by serial imaging mass spectrometry and
immunohistochemistry.
AB - Mucopolysaccharidosis type II (Hunter's disease) mouse model (IdS-KO) was
investigated by both imaging mass spectrometry (IMS) and immunohistochemistry
(IHC) performed on the same tissue sections. For this purpose, IdS-KO mice brain
sections were coated with sublimated 1,5-diaminonaphtalene and analyzed by high
spatial resolution IMS (5 MUm) and anti-GM3 IHC on the same tissue sections to
characterize the ganglioside monosialated ganglioside (GM) deposits found in
Hunter's disease. IMS analysis have found that two species of GM3 and GM2 that
are only different due to the length of their fatty acid residue (stearic or
arachidic residue) were overexpressed in the IdS-KO mice compared to a control
mouse. GM3 and GM2 were characterized by on-tissue exact mass and MS/MS compared
to a GM3 standard. Realignment of both IMS and IHC data sets further confirmed
the observed regioselective signal previously detected by providing direct
correlation of the IMS image for the two GM3 overly expressed MS signals with the
anti-GM3 IHC image. Furthermore, these regioselective GM MS signals were also
found to have highly heterogeneous distributions within the GM3-IHC staining.
Some deposits showed high content in GM3 and GM2 stearic species (r = 0.74) and
others had more abundant GM3 and GM2 arachidic species (r = 0.76). Same-section
analysis of Hunter's disease mouse model by both high spatial resolution IMS and
IHC provides a more in-depth analysis of the composition of the GM aggregates
while providing spatial distribution of the observed molecular species. Graphical
Abstract Ganglioside imaging mass spectrometry followed by immunohistochemistry
performed on the same tissue section.
PMID- 27873003
TI - Localization of ergot alkaloids in sclerotia of Claviceps purpurea by matrix
assisted laser desorption/ionization mass spectrometry imaging.
AB - The fungus Claviceps purpurea produces highly toxic ergot alkaloids and
accumulates these in the hardened bodies of fungal mycelium. These so-called
sclerotia, or ergot bodies, replace the crop seed of infected plants, which can
include numerous important food- and feedstuff such as rye and wheat. While
several studies have explored details of the infection process and development of
ergot bodies, little information is available on the spatial distribution of the
mycotoxins in the sclerotia. Here we used matrix-assisted laser
desorption/ionization mass spectrometry imaging (MALDI-MSI) at a lateral
resolution of 35 MUm to visualize the distribution of two representative
alkaloids, ergocristine and ergometrine, produced by Ecc93 and Gal 310 variants
of C. purpurea, respectively, after infection of rye. To improve cryosectioning
of this fragile biological material tissue with complex texture, we developed a
practical embedding protocol based on cellulose polymers. The MALDI-MS images
recorded from the so produced intact tissues sections revealed that ergometrine
exhibited a relatively homogeneous distribution throughout the ergot body,
whereas ergocristine was found to be enriched in the proximal region. This
finding can be correlated to the morphological development of sclerotia as ergot
alkaloids are only produced in the sphacelial stage. The ability to localize
toxins and other secondary metabolites in intact sections of crop-infecting fungi
with high lateral resolution renders MALDI-MSI a powerful tool for investigating
biosynthetic pathways and for obtaining a deeper understanding of the parasite
host interaction. Graphical abstract Workflow for identification and spatial
localization of ergot alkaloids in infected rye grains.
PMID- 27873004
TI - Use of a novel metal indicator to judge loop-mediated isothermal amplification
for detecting the 35S promoter.
AB - Loop-mediated isothermal amplification (LAMP) is a widely used isothermal nucleic
acid amplification method. Here we developed a new closed-tube colorimetric
method for judging LAMP with a novel metal indicator. First, the metal indicator,
acid chrome blue K (ACBK), was evaluated in the LAMP reaction with various
combinations of reaction reagents, such as reaction buffer, dNTP mixtures, primer
mixtures, or Mg2+. We found that the solution color of the LAMP reaction with
ACBK changed from red to blue based on a decrease in the Mg2+ concentration in
the reaction solution. We then optimized the LAMP with ACBK method for detecting
the Cauliflower Mosaic Virus 35S promoter. Further, the specificity of the new
colorimetric assay using ACBK in the LAMP reaction for detecting the 35S promoter
was tested with diverse transgenic events in different crops, and the sensitivity
threshold of the assay was ~50 copies for transgenic rice genomic DNA and 100 ng
of 0.1 % DNA from rice, soybean, rapeseed, and maize. Finally, the applicability
of the LAMP assay was successfully validated using practical maize samples. All
the detection results could be easily discerned either by UV-vis spectroscopy or
the naked eye. Graphical Abstract The visual detect LAMP amplification by the
addition of ACBK as a signal indicator. The color of the LAMP-ACBK solution
turned from red to blue as the concentration of free Mg2+ decreases. The
detection results could be easily discerned either by UV-vis spectroscopy or the
naked eye.
PMID- 27873005
TI - Photoreceptor spectral tuning by colorful, multilayered facet lenses in long
legged fly eyes (Dolichopodidae).
AB - The facet lenses of the compound eyes of long-legged flies (Dolichopodidae)
feature a striking, interlaced coloration pattern, existing of alternating rows
of green-yellow and orange-red reflecting facets, due to dielectric multilayers
located distally in the facet lenses (Bernard and Miller. Invest Ophthalmol 7:416
434 (1968). We investigated this phenomenon in the dolichopodid Dolichopus
nitidus by applying microspectrophotometry, electron microscopy and optical
modeling. The measured narrow-band reflectance spectra, peaking at ~540 and ~590
nm with bandwidth ~105 nm, are well explained by a refractive index oscillating
sinusoidally in six periods around a mean value of about 1.44 with amplitude 0.6.
The facet lens reflectance spectra are associated with a spectrally restricted,
reduced transmittance, which causes modified spectral sensitivities of the
underlying photoreceptors. Based on the modeling and electroretinography of the
dolichopodid Condylostylus japonicus we conjecture that the green and orange
facets narrow the spectral bandwidths of blue and green central photoreceptors,
respectively, thus possibly improving color and/or polarization vision.
PMID- 27873006
TI - Feasibility of omitting provocation test with 50 MUg of acetylcholine in left
coronary artery.
AB - According to the Japanese Circulation Society guideline of vasospastic angina,
incremental doses of acetylcholine (ACh) are prescribed for coronary spasm
provocation: 20 and 50 MUg for the right coronary artery (RCA), and 20, 50 and
100 MUg for the left coronary artery (LCA). However, provocation by low doses of
ACh in patients with low vasoreactivity may be less needed, and the requirement
of 50 MUg of ACh for the LCA in these patients has not been evaluated. In the
present study, patients who underwent ACh provocation test for both the RCA and
LCA were included. The positive diagnosis of intracoronary ACh provocation test
was defined as total or subtotal coronary artery narrowing (i.e., angiographic
coronary artery spasm) accompanied by chest pain and/or ischemic
electrocardiographic changes. Coronary artery constriction was visually evaluated
and defined as coronary artery diameter reduction <25 or 25-90% in patients
without angiographic coronary artery spasm by 20 ug of ACh in the LCA. There were
33 out of 249 patients (13%) with LCA spasm by 20 ug of ACh. In subjects without
LCA spasm by 20 ug of ACh, patients with coronary constriction <25% (n = 101) by
20 ug of ACh in the LCA rarely showed coronary artery spasm induced by 50 MUg of
ACh in the LCA, in comparison to those with coronary constriction 25-90% (n =
115) (2.6 vs. 32.7%, p < 0.001). None of the patients with coronary constriction
<25% by 20 ug of ACh in the LCA had cardiac complications associated with
administration of ACh. In conclusion, omission of 50 ug of ACh in the LCA may be
possible when there is little coronary artery constriction by 20 ug of ACh in the
LCA during provocation test, leading to less contrast and shortens overall
procedure time.
PMID- 27873007
TI - Difference in capsaicinoid biosynthesis gene expression in the pericarp reveals
elevation of capsaicinoid contents in chili peppers (Capsicum chinense).
AB - KEY MESSAGE: This research reveals that the up-regulated expression of multiple
capsaicinoid biosynthetic genes in pericarp tissue leads to the elevation of
total capsaicinoid content in chili pepper fruit. Capsaicinoids are health
functional compounds that are produced uniquely in chili pepper fruits. A high
capsaicinoid level is one of the major parameters determining the commercial
quality and health-promoting properties of chili peppers. To investigate the
mechanisms responsible for its high contents, we compared an extremely pungent
cultivar 'Trinidad Moruga Scorpion Yellow' (MY) with other cultivars of different
pungency levels (Fushimi-amanaga, Takanotsume, Red Habanero). Capsaicinoid
concentrations were markedly higher in MY fruit (23.9 mg/g DW) than in other
pungent cultivars including 'Red Habanero' (HB) fruit (14.3 mg/g DW). Comparative
analysis of MY and HB reveals that both cultivars accumulated similar
capsaicinoid concentrations in the placental septum, with that in the HB pericarp
(1.8 mg/g DW) being markedly lower than that in the placental septum (69.1 mg/g
DW). The capsaicinoid concentration in HB fruit is dependent on the placental
septum, as reported in other accessions. Therefore, even though placental septum
tissue contains high capsaicinoid concentrations, those in the pericarp and seeds
attenuated its total content. In contrast, the MY pericarp exhibited a markedly
higher concentration (23.2 mg/g DW). A qRT-PCR analysis revealed that multiple
capsaicinoid biosynthetic pathway genes (Pun1, pAMT, KAS, and BCAT) were strongly
up-regulated in placental septum of pungent cultivars. The genes were expressed
exclusively in the MY pericarp, but were barely detected in the pericarps of
other pungent cultivars. Collectively, the present study indicates that the up
regulated expression of these genes not only in placental septum but also in
pericarp plays an important role in driving capsaicinoid accumulation in the
whole fruit.
PMID- 27873008
TI - Arterial stiffness and peripheral arterial disease in patients with systemic
lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is associated with increased cardiovascular
risk. We aimed to evaluate arterial stiffness and the ankle brachial index (ABI),
two markers of subclinical cardiovascular disease, in SLE. We studied 55 patients
with SLE (12.7% males, age 53.3 +/- 15.3 years) and 61 age- and gender-matched
controls. Arterial stiffness was evaluated by measuring pulse wave velocity
(PWV), augmentation index (AIx) and central systolic, diastolic, pulse and mean
blood pressure (BP). Peripheral arterial disease was defined as ABI <= 0.90.
Regarding markers of arterial stiffness, patients with SLE had lower PWV and AIx
than controls (p < 0.01 and p < 0.05, respectively). However, after adjusting for
differences in cardiovascular risk factors between patients with SLE and
controls, PWV and AIx did not differ between the two groups. Central systolic,
diastolic, pulse and mean BP also did not differ between the two groups. In
patients with SLE, PWV correlated independently with systolic BP (B = 0.05, p <
0.001) and waist/hip ratio (B = 6.72, p < 0.05). Regarding ABI, the lowest ABI
was lower in patients with SLE than in controls (p < 0.005). However, after
adjusting for differences in cardiovascular risk factors between patients with
SLE and controls, the lowest ABI did not differ between the two groups. The
prevalence of PAD also did not differ between patients with SLE and controls
(10.0 and 5.4%, respectively; p = NS). Markers of arterial stiffness and the ABI
do not appear to differ between patients with SLE and age- and gender-matched
controls. However, given the small sample size, larger studies are needed to
clarify whether SLE promotes arterial stiffness and PAD.
PMID- 27873010
TI - Regional block via continuous caudal infusion as sole anesthetic for inguinal
hernia repair in conscious neonates.
AB - PURPOSE: The use of general anesthesia in young children has come under
increasing scrutiny due to its potential long-term neurotoxic effects. Meanwhile,
regional anesthesia for surgical procedures in neonates has many advantages,
including preservation of respiratory status and faster return to feeding. We
describe the successful use of 3% 2-chloroprocaine administered via continuous
caudal infusion as the sole anesthetic agent during elective surgical procedures
in infants. METHODS: A retrospective chart review of all patients who underwent
elective surgical procedures under continuous caudal regional anesthetic at a
single institution was performed. Thirty patients (27 males, three females) were
identified: 28 patients underwent inguinal hernia repairs. Caudal anesthesia was
established via continuous infusion of 3% 2-chloroprocaine through an indwelling
catheter. RESULTS: Successful analgesia by regional block alone was achieved in
all patients for the duration of each surgical procedure without need for rescue
anesthesia. Mean operative time was 49 min. Patients were able to return to
feeding immediately after surgery and were ready for discharge home within that
day. CONCLUSION: Continuous caudal infusion of chloroprocaine is a safe and
effective way to maintain adequate analgesia for elective surgeries in infants.
This successful regional approach obviates the use of general anesthetic which
reduces post-operative recovery time and avoids concerns for neurotoxicity.
PMID- 27873011
TI - Laparoscopic resection of choledochal cyst with Roux-en-Y hepaticojejunostomy: a
case report and review of the literature.
AB - BACKGROUND: Choledochal cysts are associated with ductal strictures, stone
formation, cholangitis, rupture, secondary biliary cirrhosis and increased
incidence of cholangiocarcinoma. The surgical approach to choledochal cysts has
evolved from the cyst-enterostomy to a complete excision with more recent use of
minimally invasive approaches. We report a complete minimally invasive approach
to a Type 1 choledochal cyst and summarize the literature containing large case
series of similar approaches. METHODS AND OPERATIVE TECHNIQUE: A 38-year-old
female with a history of vague epigastric pain for multiple years was diagnosed
with a Type 1 choledochal cyst on MRCP. The operative approach was an elective
laparoscopic resection of choledochal cyst and Roux-en-Y hepaticojejunostomy.
There were no intraoperative complications and discharge occurred on
postoperative day three. Approximately 1 month after resection, she was diagnosed
with a small retrohepatic fluid collection which was treated percutaneously and
was diagnosed as a hematoma. A PubMed literature review focusing on surgical
approaches to Type 1 choledochal cysts methods of repair and postoperative
complications was performed and summarized. RESULTS AND DISCUSSION: The
literature search performed on the subject of choledochal cyst management in
adults and laparoscopic approaches resulted in a review of twenty-one articles.
Ten of the articles were review articles regarding surgical approach and
management of the disease. An additional two were case reviews, and eight
reported on laparoscopic approaches to management of choledochal cysts. In this
paper, we summarize the eight articles that provide information on the
laparoscopic management and outcomes for choledochal cysts. While operative times
were longer on the laparoscopic procedures, hospital stay was shorter and there
was no increase in complication rates. The most common complications reported
were postoperative bile leak followed by anastomotic stricture. CONCLUSION: This
case highlights the management of laparoscopic resection of choledochal cyst as a
viable, safe and feasible approach based on this case and a literature review.
PMID- 27873009
TI - Systematic review and need assessment of pediatric trauma outcome benchmarking
tools for low-resource settings.
AB - INTRODUCTION: Trauma is a leading cause of mortality and disability in children
worldwide. The World Health Organization reports that 95% of all childhood injury
deaths occur in Low-Middle-Income Countries (LMIC). Injury scores have been
developed to facilitate risk stratification, clinical decision making, and
research. Trauma registries in LMIC depend on adapted trauma scores that do not
rely on investigations that require unavailable material or human resources. We
sought to review and assess the existing trauma scores used in pediatric
patients. Our objective is to determine their wideness of use, validity, setting
of use, outcome measures, and criticisms. We believe that there is a need for an
adapted trauma score developed specifically for pediatric patients in low
resource settings. MATERIALS AND METHODS: A systematic review of the literature
was conducted to identify and compare existing injury scores used in pediatric
patients. We constructed a search strategy in collaboration with a senior
hospital librarian. Multiple databases were searched, including Embase, Medline,
and the Cochrane Central Register of Controlled Trials. Articles were selected
based on predefined inclusion criteria by two reviewers and underwent qualitative
analysis. RESULTS: The scores identified are suboptimal for use in pediatric
patients in low-resource settings due to various factors, including reliance on
precise anatomic diagnosis, physiologic parameters maladapted to pediatric
patients, or laboratory data with inconsistent accessibility in LMIC. CONCLUSION:
An important gap exists in our ability to simply and reliably estimate injury
severity in pediatric patients and predict their associated probability of
outcomes in settings, where resources are limited. An ideal score should be easy
to calculate using point-of-care data that are readily available in LMIC, and can
be easily adapted to the specific physiologic variations of different age groups.
PMID- 27873012
TI - Robotic cholecystectomy using Revo-i Model MSR-5000, the newly developed Korean
robotic surgical system: a preclinical study.
AB - BACKGROUND: Laparoscopic surgery has become the standard option for
gastrointestinal surgeries. However, laparoscopic procedures require extended
training times and are difficult for inexperienced surgeons. Robot-assisted
laparoscopic surgery facilitates easy adaptation of laparoscopic procedures, but
robotic surgical systems are expensive. In addition, their cost has remained high
because there is currently only one manufacturer of commercially available
systems. Recently, a new Korean robotic surgical system, Revo-i, has been
developed. The aim of this study was to evaluate the feasibility and safety of
Revo-i by performing robotic cholecystectomy in a porcine model. METHODS: After
approval by the Institutional Animal Care and Use Committee of Yonsei University
Health System, cholecystectomy was performed in four pigs using the Revo-i
robotic surgical system. Operative time and perioperative complications were
recorded, and all animals were observed for postoperative complications for 2
weeks after surgery RESULTS: Robotic cholecystectomy was completed successfully
and without gallbladder perforation in all cases. The mean operative time was 78
+/- 12 min, the mean docking time was 4.5 +/- 2.52 min, and the mean console time
was 49.8 +/- 14.17 min. There were no perioperative complications, and none of
the animal used for the in vivo models exhibited abnormal behavior during the
postoperative observation period. CONCLUSIONS: These preliminary results verify
the safety and efficacy of robotic cholecystectomy using the Revo-i robotic
surgical system. Human trials are slated to begin accordingly.
PMID- 27873013
TI - Neonatal L-glutamine modulates anxiety-like behavior, cortical spreading
depression, and microglial immunoreactivity: analysis in developing rats suckled
on normal size- and large size litters.
AB - In mammals, L-glutamine (Gln) can alter the glutamate-Gln cycle and consequently
brain excitability. Here, we investigated in developing rats the effect of
treatment with different doses of Gln on anxiety-like behavior, cortical
spreading depression (CSD), and microglial activation expressed as Iba1
immunoreactivity. Wistar rats were suckled in litters with 9 and 15 pups (groups
L 9 and L 15; respectively, normal size- and large size litters). From postnatal
days (P) 7-27, the animals received Gln per gavage (250, 500 or 750 mg/kg/day),
or vehicle (water), or no treatment (naive). At P28 and P30, we tested the
animals, respectively, in the elevated plus maze and open field. At P30-35, we
measured CSD parameters (velocity of propagation, amplitude, and duration).
Fixative-perfused brains were processed for microglial immunolabeling with anti
IBA-1 antibodies to analyze cortical microglia. Rats treated with Gln presented
an anxiolytic behavior and accelerated CSD propagation when compared to the water
and naive control groups. Furthermore, CSD velocity was higher (p < 0.001) in
the L 15 compared to the L 9 condition. Gln treatment increased Iba1
immunolabeling both in the parietal cortex and CA1 hippocampus, indicating
microglial activation. The Gln effect was dose-dependent for anxiety-like
behavior and CSD in both litter sizes, and for microglial activation in the L 15
groups. Besides confirming previous electrophysiological findings (CSD
acceleration after Gln), our data demonstrate for the first time a behavioral and
microglial activation that is associated with early Gln treatment in developing
animals, and that is possibly operated via changes in brain excitability.
PMID- 27873014
TI - Binocular function changes produced in response to overnight orthokeratology.
AB - PURPOSE: To analyze the binocular function changes produced on subjects
undergoing overnight orthokeratology (OK) treatment over short-term (3 months)
and long-term (3 years) wear. METHODS: A prospective, longitudinal study on young
adult subjects with low to moderate myopia was carried out. Binocular function
was assessed by the following sequence of tests: Distance and near horizontal
phoria (Von Graefe technique), distance and near horizontal vergence ranges
(Risley rotary prisms), accommodative convergence/accommodation (AC/A) ratio
(gradient method) and the near point of convergence (standard push-up technique).
The short-term sample consisted of: 21 subjects in the control group, 26 in a
corneal refractive therapy (CRT) treatment lenses group and 25 in a Seefree
treatment lenses group. Those subjects were evaluated at baseline and at a 3
month follow-up visit. Twenty one subjects were old CRT wearers that attended a 3
year follow-up visit (long-term group). RESULTS: A statistically significant
difference over the 3-month treatment was found for divergence at distance: the
break point decreased 1.4 Delta (p = 0.0006) in the CRT group and the recovery
point increased 1.2 Delta (p = 0.001) in the Seefree group. Also, the Seefree
group had an exophoric trend of 2.3 Delta at near (p = 0.02) and a base-out break
decrease of 2.3 Delta (p = 0.03). For the long-term group, only the base-out
break point at distant vision showed a statistically significant difference of
4.9 Delta (p = 0.02). CONCLUSIONS: OK induces minimal changes in the binocular
function for either short-term or long-term periods, apart from a near exophoric
trend over the short-term period.
PMID- 27873015
TI - Muscarinic receptor binding changes in postmortem Parkinson's disease.
AB - Parkinson's disease (PD) is a devastating disorder, affecting approximately 2% of
people aged 60 and above. It is marked by progressive neurodegeneration that has
long been known to impact dopaminergic cells and circuits, but more recently the
acetylcholine system has also been implicated in the complex aetiology and
symptomatology of the disease. While broad changes in cholinergic markers have
been described, insight into the contribution of specific acetylcholine receptors
is less clear. To address this important unknown, in this study we performed [3H]
pirenzepine, [3H] 4DAMP, and [3H] AF-DX 384 in situ radioligand binding on
postmortem tissues from Brodmann's area 6, 9, 46, and the caudate putamen, from
PD and matched controls to detect muscarinic M1, M3, and M1/2/4 receptors,
respectively. We found no difference in [3H] pirenzepine binding between PD and
controls across all regions assessed. [3H] 4DAMP binding was found to be higher
in PD CPu and BA9 than in controls. [3H] AF-DX 384 was higher in BA9 of PD
compared with controls. In sum, we show selective increase in M3 receptors in
cortical and subcortical regions, as well as increased M2/M4 in cortical area
BA9, which together support a role for cholinergic dysfunction in PD.
PMID- 27873017
TI - Erratum to: No evidence for shared representations of task sets in joint task
switching.
PMID- 27873016
TI - Return to work among breast cancer survivors: A literature review.
AB - PURPOSE: Breast cancer survivors in their employment years are likely to try to
go back to work after the primary treatment. Because the literature on return to
work among breast cancer survivors is limited, we have undertaken a review of the
literature to summarize what is known, including identifying important
contributing variables and outcomes. This knowledge may be used to develop
hypotheses and potential interventions to support breast cancer survivors who
wish to return to work. METHOD: We searched the following databases: CINAHL,
MEDLINE, SCOUP, and PUBMED, within a 10-year timeframe (2004 to 2014). RESULTS:
The majority of reviewed articles (N = 25) focused on three outcomes: return-to
work period, work ability, and work performance. The most frequently studied
independent variables were collapsed into the following groups: health and well
being, symptoms and functioning, work demands and work environment, individual
characteristics, and societal and cultural factors. Gaps in the literature
include evidence of effective interventions to support return to work among
breast cancer survivors and research to better understand the roles of government
and business-related policy. CONCLUSION: All the studies reported a reduced work
engagement and work ability. Employment status and work performance is associated
with a combination of individual factors, work environment, culture, and
resources. IMPLICATIONS: Significant gaps are apparent in the literature
addressing breast cancer survivorship and return to work. This is a complex
problem and it will likely require interdisciplinary research teams to develop
effective and feasible interventions for this population.
PMID- 27873019
TI - Arterial stiffness in young adult swimmers.
AB - PURPOSE: Habitual Aerobic exercise reduces arterial stiffness, but effects of
habitual swimming on arterial stiffness are not yet fully understood. Swimming
can also increase systolic blood pressure (BP) in normotensive individuals.
Accordingly, this cross-sectional study aimed to investigate arterial stiffness
in young adult swimmers after considering the influence of BP. METHODS:
Participants comprised 41 men (18-21 years), including 15 untrained controls (C),
11 competitive cyclists (aerobic-trained athletes; A), and 15 competitive
swimmers (S). Arterial stiffness was assessed by brachial-ankle pulse-wave
velocity (baPWV), heart-ankle pulse-wave velocity (haPWV), and cardio-ankle
vascular index (CAVI). CAVI is the measurement of arterial stiffness that is
theoretically adjusted by BP. RESULTS: Although physical characteristics and
handgrip strength did not differ between groups, peak oxygen uptake was
significantly greater in A and S than in C. A tendency towards higher systolic BP
and a significantly higher pulse pressure were found in S as compared to C and A.
Most importantly, baPWV was significantly lower in A than in C or S, and no
significant difference in baPWV was observed between C and S (C, 1027 +/- 25; A,
852 +/- 23; S, 1032 +/- 24 cm/s). No significant difference in haPWV was
observed. However, CAVI was significantly lower in A and S than in C, and did not
differ significantly between A and S (C, 5.8 +/- 0.2; A, 5.1 +/- 0.2; S, 5.3 +/-
0.2 unit). CONCLUSION: These findings indicate that arterial stiffness in young
adult swimmers is lower than in age-matched sedentary controls and similar to
land-based aerobic-exercise individuals, after considering the influences of BP.
PMID- 27873021
TI - ?
PMID- 27873018
TI - Soft tissue augmentation around osseointegrated and uncovered dental implants: a
systematic review.
AB - OBJECTIVES: The aim was to compile the current knowledge about the efficacy of
different soft tissue correction methods around osseointegrated, already
uncovered and/or loaded (OU/L) implants with insufficient soft tissue conditions.
Procedures to increase peri-implant keratinized mucosa (KM) width and/or soft
tissue volume were considered. MATERIALS AND METHODS: Screening of two databases:
MEDLINE (PubMed) and EMBASE (OVID), and manual search of articles were performed.
Human studies reporting on soft tissue augmentation/correction methods around
OU/L implants up to June 30, 2016, were considered. Quality assessment of
selected full-text articles to weight risk of bias was performed using the
Cochrane collaboration's tool. RESULTS: Overall, four randomized controlled
trials (risk of bias = high/low) and five prospective studies (risk of bias =
high) were included. Depending on the surgical techniques and graft materials,
the enlargement of keratinized tissue (KT) ranged between 1.15 +/- 0.81 and 2.57
+/- 0.50 mm. The apically positioned partial thickness flap (APPTF), in
combination with a free gingival graft (FGG), a subepithelial connective tissue
graft (SCTG), or a xenogeneic graft material (XCM) were most effective. A
coronally advanced flap (CAF) combined with SCTG in three, combined with
allogenic graft materials (AMDA) in one, and a split thickness flap (STF)
combined with SCTG in another study showed mean soft tissue recession coverage
rates from 28 to 96.3 %. STF combined with XCM failed to improve peri-implant
soft tissue coverage. CONCLUSIONS: The three APPTF-techniques combined with FGG,
SCTG, or XCM achieved comparable enlargements of peri-implant KT. Further, both
STF and CAF, both in combination with SCTG, are equivalent regarding recession
coverage rates. STF + XCM and CAF + AMDA did not reach significant coverage.
CLINICAL RELEVANCE: In case of soft tissue deficiency around OU/L dental
implants, the selection of both an appropriate surgical technique and a suitable
soft tissue graft material is of utmost clinical relevance.
PMID- 27873020
TI - Beneficial and limiting factors for return to work following anterior cruciate
ligament reconstruction: a retrospective cohort study.
AB - PURPOSE: Evidence-based advice for return to work (RTW) after anterior cruciate
ligament (ACL) reconstruction is not available. Therefore, the objectives of this
study were to determine when patients achieve full RTW, and to explore the
beneficial and limiting factors for fully RTW after ACL reconstruction. METHODS:
A retrospective cohort study was performed after ACL reconstruction among 185
patients in one hospital. Data from patient files and a questionnaire were used
to explore whether patient-, injury-, surgery-, sports-, work- and rehabilitation
related factors are beneficial or limiting for fully RTW after ACL
reconstruction, using a backward stepwise logistic regression analysis. RESULTS:
Of the 125 (68%) patients that returned the questionnaire, 36 were not part of
the working population. Of the remaining 89 patients, 82 patients (92%) had
returned fully to work at follow-up. The median time to fully RTW was 78 days. In
the final regression model, which explained 29% of the variance, a significant OR
of 5.4 (90% CI 2.2-13.1) for RTW > 78 days was observed for patients performing
heavy knee-demanding work compared to patients performing light knee-demanding
work. In addition, a significant and positive OR (1.6, 90% CI 1.2-1.9) for the
number of weeks walking with the aid of crutches for RTW > 78 days was observed
in the final model. CONCLUSION: After ACL reconstruction, 92% of the patients
fully return to work at a median time of 78 days. The significant predictors for
fully RTW > 78 days are performing heavy knee-demanding work and a longer period
of walking aided with crutches after ACL reconstruction.
PMID- 27873022
TI - Current rare indications and future directions for implantable loop recorders.
AB - The scope of application for implantable loop recorders has shifted away from the
evaluation of unclear palpitations and syncope episodes to more complex
conditions. This article focuses on rare indications of growing importance such
as rhythm monitoring after ablation of atrial fibrillation or after cryptogenic
stroke. Furthermore, forthcoming applications in various clinical settings are
described, e. g., arrhythmia detection after myocardial infarction, after
catheter-based valve interventions, in heart failure, and in cardiomyopathies.
Enhancement of the capabilities of implantable loop recorders could broaden their
fields of use.
PMID- 27873024
TI - P4 medicine and osteoporosis: a systematic review.
AB - BACKGROUND: Osteoporosis is the most frequent bone metabolic disease. In order to
improve early detection, prediction, prevention, diagnosis, and treatment of the
disease, a new model of P4 medicine (personalized, predictive, preventive, and
participatory medicine) could be applied. The aim of this work was to
systematically review the publications of four different types of "omics" studies
related to osteoporosis, in order to discover novel predictive, preventive,
diagnostic, and therapeutic targets for better management of the geriatric
population. METHODS: To systematically search the PubMed database, we created
specific groups of criteria for four different types of "omics" information on
osteoporosis: genomic, transcriptomic, proteomic, and metabolomic. We then
analyzed the intersections between them in order to find correlations and common
pathways or molecules with important roles in osteoporosis, and with a potential
application in disease prediction, prevention, diagnosis, or treatment. RESULTS:
Altogether, 180 publications of "omics" studies in the field of osteoporosis were
found and reviewed at first selection. After introducing the inclusion and
exclusion criteria (the secondary selection), 46 papers were included in the
systematic review. CONCLUSIONS: The intersection of reviewed papers identified
five genes (ESR1, IBSP, CTNNB1, SOX4, and IDUA) and processes like the Wnt
pathway, JAK/STAT signaling, and ERK/MAPK, which should be further validated for
their predictive, diagnostic, or other clinical value in osteoporosis. Such
molecular insights will enable us to fit osteoporosis into the P4 strategy and
could increase the effectiveness of disease prediction and prevention, with a
decrease in morbidity in the geriatric population.
PMID- 27873023
TI - Therapeutic efficacy of azithromycin and acetylcysteine in chronic otitis media
with effusion.
AB - Otitis media with effusion (OME) is a common disease in childhood. There is no
consensus on the optimal therapeutic option for OME. Considering the known
efficacy of acetylcysteine (AC) and azithromycin (AZ) in the treatment of middle
ear mucosa, the aim of the study was to assess their efficacy in the management
of chronic OME. The study included 90 children with OME, both ears. They are
divided into three groups of 30 children. Group 1 (AC) patients were treated with
acetylcysteine per os, 3 * 100 mg, for 3 weeks; group 2 (AZ) with body weight
adjusted dose of azithromycin for 3 days; and group 3 (AC + AZ) with a
combination of acetylcysteine and azithromycin at doses described above. Three
measurements were performed. On second measurement, tympanogram improvement was
recorded in 45% of 60 ears in group I, 53.3% of 60 ears in group II, and 61.7% of
60 ears in group III. The percentage of improvement was highest in group III.
Although between-group differences did not reach statistical significance, the
results obtained appear to be clinically relevant. In conclusion, conservative
therapy for chronic OME is reasonable. Although study results don't have a strong
statistical differences and may not refer clinical improvement results suggest
that this combination of drugs (antibiotics, bronchosecretolytics) can be useful
in the treatment of OME.
PMID- 27873025
TI - The relativity of age or geriatric medicine at the crossroads.
PMID- 27873026
TI - Long-term efficacy and side effects of low-dose tacrolimus for the treatment of
Myasthenia Gravis.
AB - The study evaluated the efficacy of low-dose tacrolimus for treating Myasthenia
Gravis (MG). Data were collected from 97 patients treated with low-dose
tacrolimus from February 2011 to April 2015. Metabolic analysis was performed to
determine more accurate tacrolimus dosing and patients were followed-up within
clinic every 6 months for up to 4 years. The myasthenia gravis-specific
activities of daily living scale was used to assess MG symptoms and their effects
on patients' daily activities. All side effects and adverse reactions were
thoroughly documented. At the end of follow-up, 6 patients were in complete
stable remission, 17 patients were in pharmacological remission, 26 patients were
in minimal manifestation status, 32 patients were improved, 2 patients were
unchanged, 11 patients had worsening symptoms, and 3 patients died. Side effects
were reported and/or observed in 24 patients, of which 7 patients experienced
elevated blood glucose, 2 patients developed neoplasms, 3 patients developed
gastrointestinal symptoms, 3 showed mild increases in aminotransferases, 3
patients suffered from bone marrow suppression, 2 patients suffered from skin
rashes and erythema, and 1 patient required discontinuation of therapy. Transient
renal insufficiency was also observed in 1 patient and 3 other patients had minor
miscellaneous side effects. This study adds some knowledge on the efficacy and
side effects of low-dose tacrolimus in the treatment of MG. Tacrolimus
immunotherapy is a valid option for the management of MG, and can be gradually
reduced in dose once symptoms are improved until complete withdrawal is achieved.
PMID- 27873027
TI - Assessing Clinical Improvement in School-Based Treatment for Social Anxiety
Disorder: Agreement Between Adolescents, Parents, and Independent Evaluators.
AB - The Clinical Global Impressions of Improvement (CGI-I) scale is widely used in
clinical trials to monitor clinically meaningful change during treatment.
Although it is standard practice in research to have independent evaluators (IEs)
complete the CGI-I, this approach is not practical in school and community
settings. Few studies have explored the potential utility of other informants,
such as youth and parents. Therefore, this study aimed to investigate agreement
between IEs and both adolescents and parents in CGI-I improvement ratings in the
context of a randomized controlled trial of cognitive-behavioral therapy for
social anxiety disorder, as delivered by psychologists and school counselors.
Multilevel growth models indicated that IEs were generally more conservative in
their ratings of positive treatment response across time and treatment
conditions, though greater agreement was observed between parents and IEs by post
intervention and 5-month follow-up. Possible explanations for these findings and
suggestions for alternative approaches are discussed.
PMID- 27873029
TI - Induction of pluripotency in long-term cryopreserved human neonatal fibroblasts
in feeder-free condition.
AB - A novel approach for stem cell generation is the attempt to induce conversion of
the adult somatic cells into pluripotent stem cells so called induced pluripotent
stem cells (iPSCs) by introducing specific transcription factors. iPSCs have two
essential cell characteristics, they are pluripotent and posses long term cell
renewal capacity. Additionally, iPSCs can be derived from patient-specific
somatic cells, thus bypassing ethical and immunological issues. The aim of our
study was to reprogram long-term cryopreserved human neonatal fibroblasts by new
method using lipid nano-particle technology (Lipofectamine 3000 reagent
transfection system) in combination with Epi 5 reprogramming vectors. Obtained
iPSCs were characterized by several sophisticated methods of molecular biology
and microscopy. Distinct colonies of iPSCs started to appear by day 20 after
reprogramming. The presence of iPSCs colonies was proved by alkaline phosphatase
(AP) live staining. After manual picking the colonies and their subsequent
passaging, they did not lose ability to form embryoid bodies, they were positive
for AP, Tra-1-60, and SSEA-5. Moreover, obtained iPSCs expressed pluripotency
markers Oct4, Sox2 and Nanog, and the expression levels of chondrogenic,
osteogenic and adipogenic markers were significantly higher in comparison to
control (p < 0.05). In summary, we have demonstrated that long-term cryopreserved
human neonatal fibroblasts can be reprogrammed into iPSCs and after further
analysis concerns on their biological safety they may be used as patient-specific
cells in regenerative medicine.
PMID- 27873030
TI - Chloroplast DNA sequencing and detailed microsatellite genotyping of all remnant
populations suggests that only a single genet survives of the critically
endangered plant Rehmannia japonica.
AB - Rehmannia japonica (Thunb.) Makino ex T. Yamaz. is an endangered perennial herb
species in Japan. Although earlier the Japanese considered it a variety of R.
glutinosa, recent Japanese taxonomists have consistently regarded it as an
independent species. According to the historical literature, Rehmannia japonica
seems to have been known in China and Japan in the past. However, Chinese
taxonomists do not recognize R. japonica at present. In Japan, only two
populations are known, and although these populations flower every year, seed
reproduction has not been observed. In this study, we aimed to reveal the
phylogenetic relationships and levels of genetic diversity of R. japonica. A
haplotype network based on two chloroplast DNA regions (trnL-trnF and rps16)
showed that the sequences of R. japonica were distinguishable by three or four
sites of indels from the most closely related species, R. chingii, consistent
with the separate species status of R. japonica. An analysis of genetic diversity
using twelve microsatellite loci showed that all of the ramets of R. japonica
collected from two geographically isolated populations had an identical
multilocus genotype, including identical heterozygous genotypes at six loci. This
result indicated asexual origin of all sampled ramets. This study also suggests
that the absence of sexual reproduction of R. japonica is explained by self
incompatibility combined with only a single genet remaining in the R. japonica
populations.
PMID- 27873028
TI - MCR: modern colistin resistance.
AB - Recently, plasmid-mediated and, therefore, transferable bacterial polymyxin
resistance was discovered in strains from both humans and animals. Such a trait
may widely spread geographically, while simultaneously crossing microbial species
barriers. This may ultimately render the "last resort" polymyxin antibiotics
therapeutically useless. Colistin is currently used to treat infections caused by
Gram-negative carbapenemase producers and colistin resistance may lead to
practical pan-antibiotic resistance. We here analyzed the medical and diagnostic
consequences of (emerging) colistin resistance and propose pathways toward
adequate diagnostics for timely detection of both asymptomatic carriage and
infection. Culture-based testing using chromogenic and selective media for
screening clinical (and veterinary) specimens may constitute key tools for that
purpose. Relevant molecular tests are also discussed.
PMID- 27873031
TI - Stability of Sexual Attractions Across Different Timescales: The Roles of
Bisexuality and Gender.
AB - We examined the stability of same-sex and other-sex attractions among 294
heterosexual, lesbian, gay, and bisexual men and women between the ages of 18 and
40 years. Participants used online daily diaries to report the intensity of each
day's strongest same-sex and other-sex attraction, and they also reported on
changes they recalled experiencing in their attractions since adolescence. We
used multilevel dynamical systems models to examine individual differences in the
stability of daily attractions (stability, in these models, denotes the tendency
for attractions to "self-correct" toward a person-specific setpoint over time).
Women's attractions showed less day-to-day stability than men's, consistent with
the notion of female sexual fluidity (i.e., heightened erotic sensitivity to
situational and contextual influences). Yet, women did not recollect larger post
adolescent changes in sexual attractions than did men, and larger recollected
post-adolescent changes did not predict lower day-to-day stability in the sample
as a whole. Bisexually attracted individuals recollected larger post-adolescent
changes in their attractions, and they showed lower day-to-day stability in
attractions to their "less-preferred" gender, compared to individuals with
exclusive same-sex or exclusive other-sex attractions. Our results suggest that
both gender and bisexuality have independent influences on sexual fluidity, but
these influences vary across short versus long timescales, and they also differ
for attractions to one's "more-preferred" versus "less-preferred" gender.
PMID- 27873032
TI - Linking Crime to Paraphilia: Be Careful with Label.
PMID- 27873033
TI - Stability of Bisexual Behavior and Extent of Viral Bridging Behavior Among Men
Who Have Sex with Men and Women.
AB - Bisexual men experience significant health disparities likely related to
biphobia. Biphobia presents via several preconceptions, including that
bisexuality is transitory, and that bisexual men act as viral bridges between men
who have sex with men and heterosexual populations. We analyzed data from a
prospective cohort of gay and bisexual men, the Multicenter AIDS Cohort Study, to
test these preconceptions. Men reporting both male and female sexual partners
(MSMW) between 2002 and 2009 (n = 111) were classified as behaviorally bisexual.
We assessed five hypotheses over two domains (transience of bisexual behavior and
viral bridging). No evidence was found supporting the transitory nature of
bisexuality. Trajectories of bisexual behavior were not transient over time. We
found little evidence to support substantial viral bridging behavior. Notably,
HIV-positive MSMW reported lower proportions of female partners than HIV-negative
MSMW. Our results provide no empirical support for bisexual transience and scant
support for viral bridging hypotheses. Our results provide key data showing that
male bisexual behavior may be stable over long time periods and that behaviorally
bisexual men's risk to female sexual partners may be lower than expected.
PMID- 27873036
TI - List of referees.
PMID- 27873035
TI - DMFC (3,5-dimethyl-7H-furo[3,2-g]chromen-7-one) regulates Bim to trigger Bax and
Bak activation to suppress drug-resistant human hepatoma.
AB - 3,5-Dimethyl-7H-furo[3,2-g]chromen-7-one (DMFC) is a coumarin derivative with
anti-cancer activity against human hepatoma cells, but the mechanisms underlying
DMFC function in cancer suppression is unknown. In this study, we aimed at
elucidating the molecular mechanisms underlying DMFC anti-cancer activity and
determining whether DMFC is effective in suppression of drug-resistant human
hepatocellular carcinoma. We show here that DMFC effectively suppresses both the
parent and the multidrug-resistant hepatoma cell growth in vitro and DMFC
suppresses hepatoma cell growth at least in part through inducing tumor cell
apoptosis. In the molecular level, we observed that DMFC treatment decreases Bcl
2 level by a post-transcriptional mechanism and activates Bim transcription to
increase Bim mRNA and protein level in hepatoma cells. Furthermore, co
immunoprecipitation studies revealed that DMFC-induced Bim interrupts
interactions between Bcl-2 and Bax and between Mcl-1 and Bak, resulting in
dissociation of Bax from Bcl-2 and Bak from Mcl-1 and subsequent activation of
both Bax and Bak. Activation of Bax and Bak leads to mitochondrial outer membrane
permeabilization and cytochrome c release. Consistent with its potent apoptosis
inducing activity, DMFC exhibited potent activity against the multidrug-resistant
hepatoma xenograft growth in vivo. Therefore, we determine that DMFC suppresses
hepatoma growth through decreasing Bcl-2 and increasing Bim to induce tumor cell
apoptosis and hold great promise for further development as a therapeutic agent
to treat chemoresistant hepatoma.
PMID- 27873037
TI - The true distribution volume and bioavailability of mizoribine in children with
chronic kidney disease.
AB - BACKGROUND: Mizoribine (MZR) is used kidney transplant and various kidney
diseases. However, few studies reported the association between pharmacokinetics
and pharmacodynamics. The Pharmacokinetics Study Group for Pediatric Kidney
Disease (PSPKD) used population pharmacokinetics (PPK) analysis and Bayesian
analysis to investigate the usefulness of MZR. In this study, the fact that
almost all MZR are excreted unchanged in urine was used to calculate its
bioavailability (F) and true distribution volume (V d), and analyzed these
correlation with age. METHODS: Ishida et al. reported a PPK analysis by the
PSPKD. In the present study, 71 samples extracted from their study population of
105 pediatric chronic kidney disease patients aged between 1 and 20 years were
investigated. The bioavailability was calculated by measuring total excreted MZR
in 24 h urine samples, and this was compared to the oral dosage. The apparent
distribution volume (V d/F) obtained from Bayesian analysis was then used to
calculate true distribution volume (V d), and the correlation of each parameter
with age was investigated. RESULTS: The median dose of MZR per weight was 5.17
mg/kg/day. Median bioavailability was 32.02%. Median V d per weight was 0.46
L/kg. There was a significant, weakly positive correlation between
bioavailability and age (p = 0.026). There was also a significant, weakly
negative correlation between V d per weight and age (p = 0.003). CONCLUSION:
Bioavailability and V d per weight tended to decrease depending on age. The
younger patient required larger dose required to obtain the maximum effect from
MZR, and this is important for immunosuppressive therapy.
PMID- 27873034
TI - On the Mechanics of Transcatheter Aortic Valve Replacement.
AB - Transcatheter aortic valves (TAVs) represent the latest advances in prosthetic
heart valve technology. TAVs are truly transformational as they bring the benefit
of heart valve replacement to patients that would otherwise not be operated on.
Nevertheless, like any new device technology, the high expectations are dampened
with growing concerns arising from frequent complications that develop in
patients, indicating that the technology is far from being mature. Some of the
most common complications that plague current TAV devices include malpositioning,
crimp-induced leaflet damage, paravalvular leak, thrombosis, conduction
abnormalities and prosthesis-patient mismatch. In this article, we provide an in
depth review of the current state-of-the-art pertaining the mechanics of TAVs
while highlighting various studies guiding clinicians, regulatory agencies, and
next-generation device designers.
PMID- 27873039
TI - Erratum to: Improvement of gamete quality by stimulating and feeding the
endogenous antioxidant system: mechanisms, clinical results, insights on gene
environment interactions and the role of diet.
PMID- 27873038
TI - The importance of nonlinear tissue modelling in finite element simulations of
infant head impacts.
AB - Despite recent efforts on the development of finite element (FE) head models of
infants, a model capable of capturing head responses under various impact
scenarios has not been reported. This is hypothesized partially attributed to the
use of simplified linear elastic models for soft tissues of suture, scalp and
dura. Orthotropic elastic constants are yet to be determined to incorporate the
direction-specific material properties of infant cranial bone due to grain fibres
radiating from the ossification centres. We report here on our efforts in
advancing the above-mentioned aspects in material modelling in infant head and
further incorporate them into subject-specific FE head models of a newborn, 5-
and 9-month-old infant. Each model is subjected to five impact tests (forehead,
occiput, vertex, right and left parietal impacts) and two compression tests. The
predicted global head impact responses of the acceleration-time impact curves and
the force-deflection compression curves for different age groups agree well with
the experimental data reported in the literature. In particular, the newly
developed Ogden hyperelastic model for suture, together with the nonlinear
modelling of scalp and dura mater, enables the models to achieve more realistic
impact performance compared with linear elastic models. The proposed approach for
obtaining age-dependent skull bone orthotropic material constants counts both an
increase in stiffness and decrease in anisotropy in the skull bone-two essential
biological growth parameters during early infancy. The profound deformation of
infant head causes a large stretch at the interfaces between the skull bones and
the suture, suggesting that infant skull fractures are likely to initiate from
the interfaces; the impact angle has a profound influence on global head impact
responses and the skull injury metrics for certain impact locations, especially
true for a parietal impact.
PMID- 27873043
TI - Proceedings of the World Molecular Imaging Congress 2016, New York, New York,
September 7-10, 2016: Late-Breaking Abstracts.
PMID- 27873041
TI - The diagnostic challenge of the sequelae of acute pancreatitis on CT imaging: a
pictorial essay.
AB - PURPOSE: The purpose of the study was to present a pictorial review of the long
term sequelae of acute pancreatitis on CT imaging as these findings can cause
diagnostic confusion in the absence of a proper clinical history and/or prior CT
imaging. METHODS: We retrospectively identified 81 patients who had an episode of
acute pancreatitis with diagnostic findings on CT and also underwent one or more
follow-up CT scans at least 1 month beyond the acute episode. The residual
findings on all follow-up CT scans were tabulated, including the time interval
since the initial bout of acute pancreatitis. RESULT: Residual inflammatory
changes were present in 19.8% of cases, with a median time period lasting 86 days
since the initial episode of acute pancreatitis. Residual fluid collections were
seen in 27.2% and persisted for a median of 132 days. Three patients had residual
solid-appearing inflammatory masses, which could be mistaken for neoplasms. Other
long-term sequelae were also tabulated, including pancreatic ductal dilatation,
pancreatic atrophy, new or increased pancreatic calcifications, biliary tract
dilatation, central portal venous occlusion, and pseudoaneurysm formation. These
residual findings and long-term complications are presented as a pictorial essay.
CONCLUSION: Recognizing the spectrum of residual findings of acute pancreatitis,
some of which can be long term, is important in the correct interpretation of a
pancreatic CT. These findings can mimic acute pancreatitis or a
pancreatic/peripancreatic neoplasm and often cause diagnostic confusion,
especially in the absence of prior CT imaging.
PMID- 27873040
TI - Can MRI predict meningioma consistency?: a correlation with tumor pathology and
systematic review.
AB - Tumor consistency is a critical factor that influences operative strategy and
patient counseling. Magnetic resonance imaging (MRI) describes the concentration
of water within living tissues and as such, is hypothesized to predict aspects of
their biomechanical behavior. In meningiomas, MRI signal intensity has been used
to predict the consistency of the tumor and its histopathological subtype, though
its predictive capacity is debated in the literature. We performed a systematic
review of the PubMed database since 1990 concerning MRI appearance and tumor
consistency to assess whether or not MRI can be used reliably to predict tumor
firmness. The inclusion criteria were case series and clinical studies that
described attempts to correlate preoperative MRI findings with tumor consistency.
The relationship between the pre-operative imaging characteristics,
intraoperative findings, and World Health Organization (WHO) histopathological
subtype is described. While T2 signal intensity and MR elastography provide a
useful predictive measure of tumor consistency, other techniques have not been
validated. T1-weighted imaging was not found to offer any diagnostic or
predictive value. A quantitative assessment of T2 signal intensity more reliably
predicts consistency than inherently variable qualitative analyses. Preoperative
knowledge of tumor firmness affords the neurosurgeon substantial benefit when
planning surgical techniques. Based upon our review of the literature, we
currently recommend the use of T2-weighted MRI for predicting consistency, which
has been shown to correlate well with analysis of tumor histological subtype.
Development of standard measures of tumor consistency, standard MRI
quantification metrics, and further exploration of MRI technique may improve the
predictive ability of neuroimaging for meningiomas.
PMID- 27873044
TI - Proceedings of the World Molecular Imaging Congress 2016, New York, New York,
September 7-10, 2016: General Abstracts.
PMID- 27873045
TI - The Interplay Between Early Father Involvement and Neonatal Medical Risk in the
Prediction of Infant Neurodevelopment.
AB - The current study examines the association between early father involvement and
infant neurodevelopment, and whether neonatal medical risk moderates this
association. Data from approximately 6000 fathers and their children were
obtained from the Early Childhood Longitudinal Study: Birth Cohort (ECLS-B).
Hierarchical regression was employed to analyze the data. The findings reveal
that the association between early father involvement and infant neurodevelopment
is contingent on both the timing of involvement (i.e., prenatal/perinatal or
infancy) and offspring medical status at birth. The neurodevelopment of medically
at-risk neonates was enhanced when fathers were involved during the gestational
period and at the time of their birth. This relationship was not detected,
however, in the case of infants who did not experience medical risks as neonates.
Neonatal medical risk appears to be an important moderating factor in the link
between father involvement during pregnancy and childbirth and infant
neurodevelopment. Practitioners should continue to make efforts to involve
fathers during gestation and childbirth. The findings of the present study
suggest that doing so may protect against neurodevelopmental delays in neonates
with medical risks.
PMID- 27873047
TI - Subarachnoidal migration of intraocular silicone oil.
PMID- 27873046
TI - Commercially available lifestyle modification program: randomized controlled
trial addressing heart and bone health in BRCA1/2+ breast cancer survivors after
risk-reducing salpingo-oophorectomy.
AB - PURPOSE: The goal of this RCT was to examine the efficacy and safety of a web
based program to improve cardiovascular and bone health outcomes, among 35
BRCA1/2+ breast cancer survivors who underwent prophylactic oophorectomy and thus
experienced premature surgical menopause. METHODS: A 12-month commercially
available web-based lifestyle modification program (Precision Nutrition Coaching)
was utilized. Cardiovascular fitness, dietary intake, leisure time activity, body
composition, bone mineral density, bone structure, and muscle strength were
assessed. RESULTS: Average adherence to all program components was 74.8 %. Women
in the intervention group maintained their cardiovascular fitness level over the
12 months (1.1 +/- 7.9 %), while the control group significantly decreased
fitness capacity (-4.0 +/- 7.5 %). There was a significant difference between
groups in percent change of whole body bone area (-0.8 +/- 2.5 control and 0.5 +/
1.30 intervention). We also observed decreased BMI (-4.7 +/- 6.2 %) and fat mass
(-8.6 +/- 12.7 %) in the intervention group due to significant concomitant
decreases in caloric intake and increases in caloric expenditure. The control
group demonstrated decreased caloric intake and decreased lean tissue mass.
CONCLUSIONS: In this population at high risk for detrimental cardiovascular and
bone outcomes, a commercially available lifestyle intervention program mitigated
a decline in cardiovascular health, improved bone health, and decreased weight
through fat loss. IMPLICATIONS FOR CANCER SURVIVORS: Precision Nutrition Coaching
has shown benefit in breast cancer survivors for reduced risk of deleterious
cardiovascular and bone outcomes.
PMID- 27873049
TI - The quality of articles and challenges ahead.
PMID- 27873048
TI - The usefulness of radiological grading scales to predict pain intensity,
functional impairment, and health-related quality of life after surgery for
lumbar degenerative disc disease.
AB - PURPOSE: The goal of this study is to determine the relationship of radiological
grading scales of lumbar degenerative disc disease (DDD) with postoperative pain
intensity, functional impairment, and health-related quality of life (HRQoL).
METHODS: Response to surgical treatment at 6 weeks (W6) on the visual analogue
scale (VAS) for back and leg pain, Oswestry-Disability (ODI) and Roland-Morris
Disability Index (RMDI), Timed Up and Go (TUG) test, EuroQol (EQ) 5D, and Short
Form Health-Survey (SF-12) physical component summary (PCS) was compared between
patients with different Modic (MOD) and Pfirrmann (PFI) grades. Longitudinal
outcomes at day 3 (D3), W6, 6 months (M6), and 1 year (Y1) were compared.
RESULTS: The study included 338 patients (mean age, 58.6 years), of which n = 202
(59.8%) had MOD 1-3 and n = 217 (64.2%) PFI 4-5 changes. Patients with MOD 1-3
were as likely as patients without MOD changes to be treatment-responders at W6
in terms of VAS leg pain, ODI, RMDI, TUG, EQ5D, and SF-12 PCS. Similarly,
patients with PFI 4-5 were as likely as patients with PFI 1-3 changes to be
treatment-responders at W6. Longitudinal outcomes were similar at D3, W6, M6, and
Y1 between patients with and without MOD changes. Patients with PFI 4-5 fared
similar to those with PFI 1-3 except for inferior HRQoL on the SF-12 PCS metric
at Y1. CONCLUSIONS: There was no distinct relationship between commonly used
radiological grading scales of lumbar DDD with clinical outcome. Therefore, no
prognosis should be made on the grounds of preoperative PFI and MOD
classifications for patients undergoing spine surgery for lumbar DDD.
PMID- 27873050
TI - Spontaneous regression of a parafalcine meningioma in a multiple sclerosis
patient being treated with interferon beta-1a.
AB - Regression of meningioma after haemorrhage and the cessation of hormone treatment
is well reported. However, spontaneous regression is very rarely observed. Here,
we report the spontaneous regression of a parafalcine meningioma in a 56-year-old
woman with multiple sclerosis, who was referred to our department after an
incidental finding on magnetic resonance imaging. She was being treated with
interferon beta-1a to manage the symptoms. To our knowledge, this is the first
report of spontaneous regression of meningioma in a patient receiving interferon
beta-1a therapy and just the second report of spontaneous regression in general.
PMID- 27873051
TI - Clinical and radiological course of intracerebral haemorrhage associated with the
new non-vitamin K anticoagulants.
AB - BACKGROUND: Clinical outcome and mortality in intracerebral haemorrhage (ICH)
associated with anticoagulant treatment is poor. Novel direct oral anticoagulant
drugs (NOACs) are increasingly prescribed. Management of NOAC-associated ICH
might be more challenging. The aim of this study was to compare the clinical and
radiological course of ICH patients being treated with different forms of oral
anticoagulant drugs. METHOD: The study is a retrospective observational study.
Haemorrhage in other intracranial compartments except the ventricular system were
explicitly excluded. Four groups were categorised and compared with regard to
their clinical and radiological course (NOACs, vitamin K antagonists [VKAs],
platelet inhibitors and patients without anticoagulant/antiplatelet drugs).
Clinical as well as radiological parameters were analysed. RESULTS: Overall, 182
patients were included (2011 to early 2016). Twenty-five patients with NOAC
associated ICH were included (47 with VKAs, 50 with platelet inhibitors and 60
patients without anticoagulant/antiplatelet drugs). The frequency of NOAC
associated ICH increased over the years. Diabetes was found significantly more
often in the NOAC patients (p = 0.05). The clinical and radiological courses in
the three different patient groups with impaired coagulation were similar.
Mortality was significantly higher in patient groups with impaired coagulation (p
= 0.04) compared to those without anticoagulant/antiplatelet drugs. Multivariate
analysis revealed the Glasgow Coma Scale (GCS) score as a strong predictor for
worse outcome and mortality. CONCLUSIONS: The frequency of NOAC-associated ICH
increased in the last 5 years. Diabetes might be a risk factor for ICH when
receiving NOACs. Clinical outcome in NOAC-associated ICH is poor and mortality is
as high as in patients with other oral anticoagulant/antiplatelet drugs.
PMID- 27873052
TI - Symptoms of uterine myomas: data of an epidemiological study in Germany.
AB - PURPOSE: Currently, no reliable data are available concerning the type and
frequency of symptoms in premenopausal women with uterine myomas. METHODS: 2296
women were examined by means of vaginal ultrasound for the presence of myomas in
seven gynaecological outpatient departments in Germany. From this population,
1314 premenopausal women between the ages of 30 and 55 years were evaluated to
determine the type and frequency of myoma-related symptoms and their relationship
to anamnestic factors, and the number, size, and location of the myomas.
Standardised questionnaires were used to record the symptoms. RESULTS:
Prevalence: In almost every second premenopausal woman (n = 639; 48.6%), uterine
myomas were diagnosed. The frequency of myomas increased continuously with age
and was highest in women between 46 and 50 years (65.2%). Age itself was found to
be the main risk factor for the presence of myomas (p < 0.001). SYMPTOMS: 54.3%
(n = 347) of the women suffered from myoma-related symptoms. The four main
symptoms were identified as: Heavy menstrual bleeding (40.7%), dysmenorrhoea
(28.2%), lower abdominal pain (14.9%), and intermenstrual bleeding (14.1%). In
the majority of cases, the symptoms occurred simultaneously. Determinants for
symptoms: Symptoms did not follow a clear age-related trend, whilst the number
and size of the myomas did determine the presence of symptoms. The main
influencing factor for the presence of intermenstrual bleeding was the location
of the myomas. CONCLUSIONS: The high prevalence of uterine myomas highlights the
importance of the diagnosis uterine myomas in standard gynaecological practice:
The presence of only one myoma caused symptoms in 46.5% and small myomas of up to
2 cm in diameter resulted in symptoms in 39.5%.
PMID- 27873053
TI - Relationship between the mechanism of hepatitis B virus father-infant
transmission and pregnancy outcome.
AB - PURPOSE: This study examined the relationship between the mechanism of hepatitis
B virus (HBV) father-infant transmission via reproductive cells and pregnancy
outcome. METHODS: Abandoned in vitro fertilization (IVF) embryos of fathers with
chronic HBV infection were taken as study objects. HBV mRNA in embryos was
detected, and successfully transplanted embryos were followed up to determine the
relationship between HBV-infected embryos and pregnancy outcome. RESULTS: HBV
mRNA signals were detected in one embryo in the group with HBV-positive fathers;
the positive rate was 1/18 (5.5%). IVF embryos of HBV-positive fathers with HBV
mRNA signals were successfully implanted, but early abortion occurred.
CONCLUSIONS: HBV mRNA was found in abandoned IVF embryos of HBV-infected fathers,
which confirmed that HBV could not only enter early cleavage embryos via sperm
but also replicate in embryos, resulting in HBV father-infant transmission. HBV
may interfere with embryonic development and thus affect pregnancy outcome.
PMID- 27873054
TI - Expectant management may reduce overtreatment in women affected by unexplained
infertility confirmed by diagnostic laparoscopy.
AB - PURPOSE: To determine whether the mini-invasive surgery still play a role in the
diagnostic workup and in the management of the couples affected by unexplained
infertility. METHODS: 170 infertile women (age range 25-38 years) with documented
normal ovarian, tubal and uterine function underwent combined hysteroscopic and
laparoscopic surgery; 100 women refused surgery or ART treatment (control group)
choosing expectant management. A retrospective assessment questionnaire was
proposed to enrolled women to collect the rate of spontaneous or ART-induced
pregnancies. RESULTS: The combined surgery revealed pelvic pathologies in 49.4%
of patients, confirming the diagnosis of unexplained infertility only in 86 of
studied patients. In this group of 86 selected women, 28 of them achieved a
spontaneous pregnancy and 23 women obtained pregnancy after ART. The Chi-square
analysis shows that the pregnancy rate was not influenced by the employment of
ART. In the group of 100 control women, only 14 (14%) achieved a spontaneous
pregnancy after 18 months of expectant management. CONCLUSIONS: Combined
laparoscopy and hysteroscopy in women with unexplained infertility may reveal
previously undiagnosed pathologies that could require ART, and in those without
abnormal surgical finding, ART does not improve pregnancy rate.
PMID- 27873055
TI - HIV-Related Stress and Life Chaos Mediate the Association Between Poverty and
Medication Adherence Among People Living with HIV/AIDS.
AB - HIV treatment depends on high-levels of antiretroviral therapy (ART) adherence,
which is severely impeded by poverty. Men and women living with HIV infection (N
= 92) completed computerized interviews of demographic and health
characteristics, poverty markers, stressful life events, and life chaos, as well
as unannounced pill counts to determine prospective medication adherence and
medical record chart abstractions for HIV viral load. Poverty markers were
associated with both stressors and chaos, and the direct effects of all three
factors predicted ART non-adherence. The multiple mediation model showed that
accounting for stressors and chaos resulted in a non-significant association
between poverty markers and ART adherence. The indirect effect of poverty markers
on adherence through life chaos was significant, whereas the indirect effect of
poverty markers on adherence through stressors was not significant. Factors that
render HIV-related stress and create chaos offer intervention targets that are
more amenable to change than poverty itself.
PMID- 27873056
TI - Individual Pheromone Signature in Males: Prerequisite for Pheromone-Mediated Mate
Assessment in the Central American Locust, Schistocerca Piceifrons.
AB - Living in high-density groups of animals has advantages and disadvantages for
mating. The advantage of facilitated mate finding is compromised by difficulties
in protecting a suitable partner from competitors. Thus, males regularly are
faced with increased competition for sperm, and females with harassment by males
at high population densities. To cope with these problems, mating tactics and
mate choice mechanisms have to be adjusted. An adaptation to gregarious condition
observed in locusts includes the use of male-emitted pheromones. Males of the
Central American locust, Schistocerca piceifrons, release sex-specific volatiles,
which were identified as phenethyl alcohol (synonym: phenyl-ethyl-alcohol, 2
phenyl-1-ethanol, 2-phenylethanol, PEA), (Z)-3-nonen-1-ol (3-Nol), and (Z)-2
octen-1-ol (2-Ool). The emission of the two major compounds, PEA and 3-Nol, was
restricted to crowded conditions. Furthermore, the release of both volatiles was
coupled to males reaching sexual maturity, indicating a function in reproductive
behavior. However, neither the single substances nor their mixtures were
attractive or repellent to the locusts. Instead, females prefer the sperm of high
pheromone-emitting males to fertilize their ova. In this way, the male-specific
volatiles act as mate assessment pheromones utilized in a context of cryptic
female choice. This function is well supported by the highly variable but
individual-specific emission rates of the three compounds. Schistocerca
piceifrons males release a virtually unique personal pheromone signature, a
prerequisite for mate assessment pheromones.
PMID- 27873058
TI - Prediction of the Reverse Micellar Extraction of Papain Using Dissipative
Particle Dynamics Simulation.
AB - Reverse micellar extraction is a promising technology for large-scale protein
purification, but its molecular interaction mechanisms have not been thoroughly
characterized. In this study, a dissipative particle dynamics (DPD) molecular
simulation method was employed to study the interactions among the surfactant,
organic phase, water, and proteins on the mesoscopic scale. This study simulated
the self-assembly process of the reverse micelle extraction of papain. The
results showed that the papain could be extracted by a CTAB/isooctane/n-hexanol
system, which was validated by extraction experiments. The optimized extraction
recovery was 76.9 %. This study elucidates the molecular process of the reverse
micellar extraction of proteins and provides a method to predict its efficacy.
PMID- 27873057
TI - Threat of Deportation as Proximal Social Determinant of Mental Health Amongst
Migrant Workers.
AB - While migration health studies traditionally focused on socioeconomic
determinants of health, an emerging body of literature is exploring migration
status as a proximate cause of health outcomes. Study 1 is a path analysis of the
predictors of mental health amongst 582 documented migrant workers in Singapore,
and shows that threat of deportation is one of the most important proximate
social determinants of predicted mental illness, and a mediator of the impact of
workplace conflict on mental health. Study 2 is a qualitative study of the
narratives of 149 migrant workers who were in workplace conflict with their
employers, and demonstrates that workers believed threats were used as a
negotiating strategy during workplace conflicts. Findings suggest that migration
status places workers who come into workplace conflict with their employers at
heightened risk of mental illness because migration status can be used as a tool
by employers in workplace negotiations.
PMID- 27873059
TI - The effect of Daikenchuto on postoperative intestinal motility in patients with
right-side colon cancer.
AB - PURPOSE: Daikenchuto (DKT) has a stimulant effect on intestinal motility and
reportedly has a positive effect on postoperative intestinal motility in patients
with sigmoid colon cancer. In this study, we investigated the effects of DKT in
patients with right-side colon cancer. METHODS: This retrospective study included
88 patients with right-side colon cancer. We orally administered 7.5 g of DKT in
the DKT group and did not administer any DKT to patients in the no-DKT group. All
patients ingested radiopaque markers 2 h before surgery, which were used to
assess intestinal motility. The postoperative intestinal motility was
radiologically assessed by counting the numbers of residual markers in the large
and small intestines. RESULTS: The DKT and no-DKT groups showed no marked
differences in the total number of residual markers or number of residual markers
in the small intestine. However, in the elderly subgroup, the total number of
residual markers in the DKT group was significantly less than in the no-DKT
group. CONCLUSION: Although DKT had some small effect on the postoperative
intestinal motility for most patients, it may have positive effects in elderly
patients.
PMID- 27873060
TI - Reinforced mitral valve replacement using a xenopericardium collared prosthetic
valve for a heavily calcified or disrupted mitral annulus: a simple "Dumpling
technique".
AB - Heavy mitral annular calcification or severe destruction of the mitral annulus in
the setting of mitral valve replacement may result in fatal complications, such
as atrioventricular disruption, ventricular rupture, valve dehiscence, and
perivalvular leakage. Collar-reinforced mitral valve replacements with
xenopericardium have been reported to prevent perivalvular leakage and valve
detachment. We herein describe our experience with an easy method of handling the
modified collar-reinforced prosthetic valve, which we call the "Dumpling
technique", in six patients.
PMID- 27873062
TI - [Masquerades and Trojans : When tumors disguise as uveitis].
PMID- 27873061
TI - [Opacification of a hydrophilic acrylic intraocular lens after DMEK : A material
analysis].
AB - OBJECTIVE: Calcification of a hydrophilic intraocular lens (IOL) is a rare
complication. We report on the analysis of an opacified IOL, which was explanted
2 years after Descemet membrane endothelial keratoplasty (DMEK), using light and
scanning electron microscopy, X-ray spectroscopy and investigations on the
optical bench. METHODS: In October 2012 a patient with pseudophakic keratopathy
and Fuchs endothelial dystrophy underwent DMEK with double rebubbling. Due to
primary graft failure the patient underwent penetrating keratoplasty in January
2013. The initial postoperative visual acuity was 0.2. Increasing opacification
of the IOL lowered visual acuity down to hand movement, so that in November 2014
the patient underwent IOL replacement. The explanted IOL was first natively
examined with an Olympus BX50 light microscope. In addition, image quality was
determined on the optical bench. Subsequently, the explanted IOL was divided into
two and one half was stained with Alizarin red and von Kossa and examined by
light microscopy and the other half was analyzed by scanning electron microscopy.
The composition of the deposits was examined by X-ray spectroscopy. RESULTS: The
macroscopic view showed opacification of the IOL only in the central area of the
lens where contact between the IOL and the gas bubble had taken place. Light and
scanning electron microscopy revealed numerous fine granular, crystal-like
deposits under the anterior IOL surface, which were linearly arranged parallel to
the surface. Using energy dispersive X-ray spectroscopy the deposits were shown
to be composed of calcium phosphate. No deposits were detected on the posterior
surface. CONCLUSION: The cause of the opacification of hydrophilic IOL is not
clearly understood; however, the injection of gas/air into the anterior chamber
during DMEK appears to increase the risk of IOL opacification by changing the
lens surface or by alterations to the blood-aqueous humor barrier. Granular
deposits under the anterior IOL surface can cause such a strong decrease in
visual acuity that IOL exchange becomes neccessary.
PMID- 27873063
TI - [A new technique to facilitate donor preparation for DMEK surgery].
AB - BACKGROUND: Descemet membrane endothelial keratoplasty (DMEK) is becoming more
and more the method of choice to treat corneal endothelial diseases in
specialized centers. The reasons that prevent this technique from becoming
widespread are the delicate donor tissue preparation. By inverting the curvature
of the cornea from convex to concave after mounting onto an artificial anterior
chamber, we developed a combined manual delamination and hydrodissection
technique, which allows a rapid and endothelium-preserving method of separating
donor Descemet membranes from the underlying stroma. MATERIAL AND METHODS:
Experiments were perfomed with 60 donor corneas that were not suitable for
transplantation. Donor age ranged between 42 and 94 years. Two experimental
groups were formed: 1 inverse manual delamination (n = 16) and 2 combined manual
delamination and hydrodissection (n = 44). All experiments were undertaken by an
experienced surgeon who was, however, not experienced with these techniques. We
examined the frequency of Descemet membrane rupture as well as the amount of
induced endothelial damage (trypan blue staining with quantitative image
analysis). RESULTS: Significant lesions of Descemet's membrane that would have
led to a loss of the graft occurred in 25% of the manual delamination cases and
in 4.5% using the combined technique. Endothelial damage induced by both
techniques was low (6 and 5.2%, respectively). CONCLUSION: For DMEK donor
preparation, a combination of manual delamination and hydrodissection was shown
to be a safe and endothelium-protective technique to separate Descemet membranes
from the underlying stroma. A very rapid learning curve for the combination
technique is of specific additional interest for beginners in DMEK surgery.
PMID- 27873065
TI - Decline in territory size and fecundity as a response to carrying capacity in an
endangered songbird.
AB - Density-dependent processes are fundamental mechanisms for the regulation of
populations. Ecological theories differ in their predictions on whether
increasing population density leads to individual adjustments of survival and
reproductive output or to dominance and monopolization of resources. Here, we use
a natural experiment to examine which factors limit population growth in the only
remaining population of the endangered pale-headed brush finch (Atlapetes
pallidiceps). For three distinct phases (a phase of population suppression, 2001
2002; expansion due to conservation management, 2003-2008; and equilibrium phase,
2009-2014), we estimated demographic parameters with an integrated population
model using population size, the proportion of successfully breeding pairs and
their productivity, territory size, and mark-recapture data of adult birds. A low
proportion of successful breeders due to brood parasitism (0.42, 95% credible
interval 0.26-0.59) limited population growth before 2003; subsequent culling of
the brood parasite resulted in a two-fold increase of the proportion of
successful breeders during the 'expansion phase'. When the population approached
the carrying capacity of its habitat, territory size declined by more than 50%
and fecundity declined from 1.9 (1.54-2.27) to 1.3 (1.12-1.53) chicks per
breeding pair, but the proportion of successful breeders remained constant
(expansion phase: 0.85; 0.76-0.93; equilibrium phase: 0.86; 0.79-0.92). This
study demonstrates that limiting resources can lead to individual adjustments
instead of despotic behavior, and the individual reduction of reproductive output
at high population densities is consistent with the slow life-history of many
tropical species.
PMID- 27873066
TI - Diverse foraging opportunities drive the functional response of local and
landscape-scale bear predation on Pacific salmon.
AB - The relationship between prey abundance and predation is often examined in single
habitat units or populations, but predators may occupy landscapes with diverse
habitats and foraging opportunities. The vulnerability of prey within populations
may depend on habitat features that hinder predation, and increased density of
conspecifics in both the immediate vicinity and the broader landscape. We
evaluated the relative effects of physical habitat, local, and neighborhood prey
density on predation by brown bears on sockeye salmon in a suite of 27 streams
using hierarchical Bayesian functional response models. Stream depth and width
were inversely related to the maximum proportion of salmon killed, but not the
asymptotic limit on total number killed. Interannual variation in predation was
density dependent; the number of salmon killed increased with fish density in
each stream towards an asymptote. Seven streams in two geographical groups with
>=23 years of data in common were then analyzed for neighborhood density effects.
In most (12 of 18) cases predation in a stream was reduced by increasing salmon
abundance in neighboring streams. The uncertainty in the estimates for these
neighborhood effects may have resulted from interactions between salmon abundance
and habitat that influenced foraging by bears, and from bear behavior (e.g.,
competitive exclusion) and abundance. Taken together, the results indicated that
predator-prey interactions depend on density at multiple spatial scales, and on
habitat features of the surrounding landscape. Explicit consideration of this
context dependency should lead to improved understanding of the ecological
impacts of predation across ecosystems and taxa.
PMID- 27873064
TI - Development of diffuse large B-cell lymphoma from follicular lymphoma of the
duodenum: changes in endoscopic findings during a 6-year follow-up.
AB - A 71-year-old Japanese man was diagnosed as having stage I primary follicular
lymphoma (FL) of the duodenum according to Lugano International Conference
Classification and began receiving annual checkups. Endoscopic examination
disclosed white villi swelling with depressed red mucosal lesions. Biopsy
specimens from the area of white villi exhibited histopathological features that
met the diagnostic criteria for low-grade FL. The depressed red lesions gradually
enlarged over six years of follow-up. A biopsy of the white villi swelling
revealed distinct well-circumscribed follicles with attenuated mantles in the
lamina propria that were positive for CD20, bcl-2, and CD10. Histological
findings from the depressed red lesions at 5.5 years after the initial diagnosis
were compatible for FL. However, biopsy specimens 6 months later obtained from
the same lesions showed a mixture of larger mononuclear cells. These follicular
cells were positive for CD20 and bcl-2, but not for CD10, indicating the presence
of diffuse large B-cell lymphoma (DLBCL). This case shows altered endoscopic
findings in the course of DLBCL development from FL. When depressed red lesions
are detected in the background of white villi swelling, repeated biopsies should
be performed from both lesions.
PMID- 27873067
TI - Effectiveness of baseline corticosterone as a monitoring tool for fitness: a meta
analysis in seabirds.
AB - Many ecosystems have experienced anthropogenically induced changes in
biodiversity, yet predicting these patterns has been difficult. Recently,
individual behavioural and physiological measures have been proposed as more
rapid links between environmental variation and fitness compared to demographics.
Glucocorticoid hormones have received much attention given that they mediate
energetic demands, metabolism, and foraging behaviour. However, it is currently
unclear whether glucocorticoids can reliably predict environmental and fitness
related traits and whether they may be useful in specific groups of taxa. In
particular, seabirds are a well-studied avian group often employed as
biomonitoring tools for environmental change given their wide distribution and
reliance on large oceanic patterns. Despite the increase in studies attempting to
link variation in baseline corticosterone (the primary avian glucocorticoid) to
variation in fitness-related traits in seabirds, there has been no comprehensive
review of the relationship in this taxon. We present a phylogenetically
controlled systematic review and meta-analysis of correlative and experimental
studies examining baseline corticosterone as a predictor of fitness-related
traits relevant to predicting seabird population health. Our results suggest
that, while variation in baseline corticosterone may be a useful predictor of
larger-scale environmental traits such as overall food availability and fitness
related traits such as reproductive success, this hormone may not be sensitive
enough to detect variation in body condition, foraging effort, and breeding
effort. Overall, our results support recent work suggesting that the use of
baseline glucocorticoids as conservation biomarkers is complex and highly context
dependent, and we suggest caution in their use and interpretation as simplified,
direct biomarkers of fitness.
PMID- 27873068
TI - Theoretical insights into [NiFe]-hydrogenases oxidation resulting in a slowly
reactivating inactive state.
AB - [NiFe]-hydrogenases catalyse the relevant H2 -> 2H+ + 2e- reaction. Aerobic
oxidation or anaerobic oxidation of this enzyme yields two inactive states called
Ni-A and Ni-B. These states differ for the reactivation kinetics which are slower
for Ni-A than Ni-B. While there is a general consensus on the structure of Ni-B,
the nature of Ni-A is still controversial. Indeed, several crystallographic
structures assigned to the Ni-A state have been proposed, which, however, differ
for the nature of the bridging ligand and for the presence of modified cysteine
residues. The spectroscopic characterization of Ni-A has been of little help due
to small differences of calculated spectroscopic parameters, which does not allow
to discriminate among the various forms proposed for Ni-A. Here, we report a DFT
investigation on the nature of the Ni-A state, based on systematic explorations
of conformational and configurational space relying on accurate energy
calculations, and on comparisons of theoretical geometries with the X-ray
structures currently available. The results presented in this work show that,
among all plausible isomers featuring various protonation patterns and oxygenic
ligands, the one corresponding to the crystallographic structure recently
reported by Volbeda et al. (J Biol Inorg Chem 20:11-22, 19)-featuring a bridging
hydroxide ligand and the sulphur atom of Cys64 oxidized to bridging sulfenate-is
the most stable. However, isomers with cysteine residues oxidized to terminal
sulfenate are very close in energy, and modifications in the network of H-bond
with neighbouring residues may alter the stability order of such species.
PMID- 27873071
TI - Development of a fluorescence resonance energy transfer-based intracellular assay
to identify novel enterovirus 71 antivirals.
AB - Enterovirus 71 (EV71) is considered one of the most virulent pathogens in the
family Picornaviridae. However, there have been no effective treatments for the
severe complications caused by EV71. Development of new drugs against targets
that are essential for viral replication often requires screening large
collections of compounds, for which a high-throughput screening platform is
needed. In this study, a drug-screening platform was developed based on a
genetically engineered cell line that displays fluorescence resonance energy
transfer (FRET) and shows a real-time and quantifiable impairment of FRET upon
EV71 infection. A library of small molecules consisting of 1280 compounds with
defined bioactivities was used for screening drugs with anti-EV71 activity;
accurate, rapid, and robust results were obtained from this screening procedure.
Ten drugs were identified in the primary screening, and their antiviral
activities were indicated by dose-dependent elevation of FRET. Among these, AC
93253, mitoxantrone and N-bromoacetamide had not been reported as enterovirus
inhibitors, and it was confirmed that they were able to suppress viral yields in
a dose-dependent manner. Taken together, these studies demonstrate the
feasibility of this FRET-based platform for efficient screening and
identification of novel compounds with activity against EV71 infection.
PMID- 27873070
TI - Highly pathogenic avian influenza (H5N1) in Nigeria in 2015: evidence of
widespread circulation of WA2 clade 2.3.2.1c.
AB - Genetic analysis of the complete haemagglutinin (HA) gene of fourteen Nigerian
avian influenza isolates showed multiple basic amino acids at the cleavage site
(321PQRERRRK del R*GLF333), characteristic of highly pathogenic avian influenza
(HPAI). Substitution of Gln to Lys at position 322 (H5-specific numbering) was
identified in one isolate. In some isolates, amino acid substitutions were
observed across the HA gene, however the receptor binding, antigenic and
glycosylation sites were conserved in all. Phylogenetic analysis revealed two
clusters of the HPAI H5N1 clade 2.3.2.1c. Cluster I has close genetic relatedness
(97.8-99.8%) with viruses circulating in some West Africa countries. Cluster II
shared close identity (98.9-100.0%) with isolates from Europe, Cote d'Ivoire and
Niger and viruses from this cluster were detected in five of the eleven states
investigated in Nigeria. In view of the continuous HPAI outbreaks being recorded
in Nigerian poultry and the zoonotic potential of the virus, extensive and
continued characterization of HPAI isolates is advocated.
PMID- 27873069
TI - Estimated dietary intake and major food sources of polyphenols in elderly of
Vicosa, Brazil: a population-based study.
AB - PURPOSE: Epidemiological studies have remarked the beneficial role that
polyphenols may have in the elderly population such as cancer and cardiovascular
disease prevention. This is particularly relevant considering the global tendency
of population aging. Data on polyphenol intake in the elderly population are
scarce and usually provide partial information-only for some polyphenol classes.
The aim of this study was to estimate the intake of polyphenols and its major
dietary contributors in the population of Vicosa. METHODS: A cross-sectional
population-based survey including 620 elderly was conducted in Vicosa, Brazil.
Food intake was estimated by recall of habitual consumption (RHC). Polyphenol
intake was calculated by matching food consumption data from the RHC with the
polyphenol content in foods listed in the Phenol-Explorer database. RESULTS: The
average total polyphenol intake was 1198.6 mg/day (533.7 mg/day as aglycone). The
main polyphenol classes were phenolic acids (729.5 mg/day) and flavonoids (444.7
mg/day). The main dietary contributors for total polyphenols were coffee (45.8%),
beans (32.8%) and polenta (1.3%). A total of 292 polyphenols divided in 14
classes and 23 subclasses were found. The individual compounds with the highest
intake were isomers of chlorogenic acid (i.e., 5-caffeoylquinic acid, 4
caffeoylquinic acid and 3-caffeoylquinic acid) among hydroxycinnamic acids that
largely originated from coffee. CONCLUSIONS: The data reported here can be used
to evaluate the association between the amount and type of ingested polyphenols
and health outcomes in epidemiological studies in order to eventually establish
nutritional recommendations.
PMID- 27873072
TI - Cinacalcet hydrochloride relieves hypercalcemia in Japanese patients with
parathyroid cancer and intractable primary hyperparathyroidism.
AB - Pharmacological treatment of hypercalcemia is essential for patients with
parathyroid carcinoma and intractable primary hyperparathyroidism (PHPT). Use of
the calcimimetic cinacalcet hydrochloride (cinacalcet) is an option to treat such
patients. We investigated the efficacy and safety of cinacalcet in Japanese
patients with parathyroid carcinoma and intractable PHPT. Five Japanese patients
with parathyroid carcinoma and two with intractable PHPT were enrolled in an open
label, single-arm study consisting of titration and maintenance phases.
Cinacalcet doses were titrated until the albumin-corrected serum calcium
concentration decreased to 10.0 mg/dL or less or until dose escalation was
considered not necessary or feasible. Serum calcium concentration at the baseline
was 12.1 +/- 1.3 mg/dL (mean +/- standard deviation; range 10.4-14.6 mg/dL) and
decreased to 10.1 +/- 1.6 mg/dL (range 8.6-13.3 mg/dL) at the end of the
titration phase with cinacalcet at a dosage of up to 75 mg three times a day. At
the end of the titration phase, at least a 1 mg/dL reduction in serum calcium
concentration from the baseline was observed in five patients (three with
carcinoma and two with PHPT), and it decreased to the normocalcemic range in five
patients (three with carcinoma and two with PHPT). Common adverse events were
nausea and vomiting. One patient discontinued participation in the study because
of an adverse event, liver disorder. Cinacalcet effectively relieved
hypercalcemia in 60% of the Japanese patients with parathyroid carcinoma and
might be effective in those with intractable PHPT. The drug might be tolerable
and safe at a dosage of at most 75 mg three times a day.
PMID- 27873074
TI - A cross-sectional study of the association between adipokine levels and bone
mineral density according to obesity and menopausal status in Korean women.
AB - Results regarding the association between adipokine levels and bone mineral
density (BMD) have been inconsistent; the effects of sex, menopause, and central
obesity remain unknown. We evaluated the association between serum leptin,
adiponectin, and high-molecular-weight (HMW) adiponectin levels and BMD according
to menopause and central obesity status in Korean women. This cross-sectional
study comprised 255 women undergoing examinations at the CHA Bundang Medical
Center. Participants were divided according to menopause, and central obesity
status. We measured serum adipokine levels and BMD using an enzyme-linked
immunosorbent assay and dual-energy X-ray absorptiometry, respectively. After
adjusting for age, body mass index, alkaline phosphatase levels and the
Homeostasis Model Assessment index, leptin levels were negatively associated with
non-vertebral BMD (total hip, beta = -0.576, P = 0.006; femoral neck, beta =
0.608, P = 0.007) in postmenopausal women without central obesity. Among women
without central obesity, HMW adiponectin levels were positively associated with
total hip BMD (beta = 0.240, P = 0.010) in premenopausal women but negatively
associated with BMD (lumbar, beta = -0.436, P = 0.012; femoral neck, beta =
0.468, P = 0.007) in postmenopausal women. Thus, the association between
adipokine levels and BMD varies according to the menopause and central obesity
status.
PMID- 27873073
TI - MiR-5100 promotes osteogenic differentiation by targeting Tob2.
AB - MicroRNAs have emerged as pivotal regulators in various physiological and
pathological processes, including osteogenesis. Here we discuss the contribution
of miR-5100 to osteoblast differentiation and mineralization. We found that miR
5100 was upregulated during osteoblast differentiation in ST2 and MC3T3-E1 cells.
Next, we verified that miR-5100 can promote osteogenic differentiation with gain
of-function and loss-of-function experiments. Target prediction analysis and
experimental validation demonstrated that Tob2, which acts as a negative
regulator of osteogenesis, was negatively regulated by miR-5100. Furthermore, we
confirmed that the important bone-related transcription factor osterix, which can
be degraded by binding to Tob2, was influenced by miR-5100 during osteoblast
differentiation. Collectively, our results revealed a new molecular mechanism
that fine-tunes osteoblast differentiation through miR-5100/Tob2/osterix
networks.
PMID- 27873075
TI - Increased risk of hip fractures in Korean patients with type 2 diabetes: a 6-year
nationwide population-based study.
AB - We compared the incidence and risk of hip fractures in Korean patients with type
2 diabetes and non-diabetic subjects in a nationwide population-based study. The
study included 17,110 patients diagnosed with type 2 diabetes in 2004 and 34,220
randomly selected age- and sex-matched control subjects drawn from the Korean
National Health Insurance Research database. Fracture events occurring between
2004 and 2010 were identified from medical claims data. The adjusted hazard
ratios (HRs) and 95% confidence intervals (CIs) for fractures associated with
diabetes were calculated. A total of 3855 fractures of any type (3029 in females
and 826 in males) and 493 hip fractures (353 in females and 140 in males) were
observed in 51,330 subjects over a 6-year follow-up period. The risk of hip
fractures was significantly higher in female (HR 1.73; 95% CI 1.38-2.16) and male
(HR 1.84; 95% CI 1.29-2.63) diabetics than in non-diabetic controls after
adjusting for multiple confounders. Stratification by age revealed that the
adjusted HR for hip fractures was highest in diabetic patients aged 50-64 years
(HR 2.54 in females and 2.70 in males) and decreased with increasing age. The
risk of other fractures did not differ between the groups. Korean males and
females with type 2 diabetes are at an increased risk of hip fractures compared
with non-diabetic individuals. Osteoporosis assessments and fracture prevention
strategies are necessary for Koreans with type 2 diabetes.
PMID- 27873076
TI - The association of testosterone, sex hormone-binding globulin, and insulin-like
growth factor-1 with bone parameters in Korean men aged 50 years or older.
AB - Testosterone and insulin-like growth factor-1 (IGF-1) are essential factors for
the maintenance of bone health in men. However, the results for the association
of testosterone and IGF-1 with bone parameters were not consistent in prior
studies. We evaluated the relationship of testosterone, sex hormone-binding
globulin (SHBG), and IGF-1 with bone mineral density (BMD) and bone turnover
markers (BTMs) in Korean men. We enrolled 1227 men aged >=50 years in this cross
sectional study. Serum levels of total testosterone (TT), SHBG, IGF-1,
osteocalcin, and C-terminal cross-linking telopeptide of type I collagen (CTX)
were measured. Free testosterone (FT) was calculated using Vermeulen's method.
BMD was measured by dual-energy X-ray absorptiometry. TT level was not related to
BMD or BTMs in the unadjusted model; however, after adjusting for SHBG and IGF-1,
the association between TT and BTMs was significant (beta = -0.139 for
osteocalcin and beta = -0.204 for CTX). SHBG levels were negatively associated
with lumbar BMD, and positively associated with BTMs in all models. As SHBG level
increased, the prevalence of osteopenia or osteoporosis defined by BMD
significantly increased (OR of 1SD change, 1.24). IGF-1 levels were significantly
related with BMD, but not with BTMs. Meanwhile, FT levels were positively
associated with BMD and negatively associated with BTMs. In conclusion, SHBG
levels were independently related with bone parameters and osteopenia in men aged
>=50 years. IGF-1 levels were positively associated with BMD, but not with BTMs.
SHBG may play a role in regulating age-related bone loss in men after middle-age.
PMID- 27873077
TI - Impaired osteogenic differentiation and enhanced cellular receptor of advanced
glycation end products sensitivity in patients with type 2 diabetes.
AB - Preclinical studies have demonstrated impaired osteoblast differentiation in type
2 diabetes (T2DM), which is related to skeletal accumulation of advanced
glycation end products (AGEs). However, the role of AGE in osteoblast
differentiation in patients with T2DM is unclear. This cross-sectional study was
performed to investigate osteoblast differentiation and its association with
serum pentosidine and soluble receptor of AGEs (sRAGE). Twenty-seven patients
with T2DM and 15 age-matched controls were included to measure sRAGE and
osteogenic differentiation in mononuclear cells derived from peripheral blood.
The mononuclear cells isolated from patients with T2DM showed a significantly
lower rate of osteogenic differentiation (7.4% vs 86.7%, p < 0.0001) with a lower
level of ALPL, COL1A1, and BGLAP expression than those of controls by 11-, 44-,
and 15-fold respectively, together with nonvisualized mineralization by alizarin
red S staining. The levels of pentosidine and sRAGE were comparable in both
groups. AGER expression was significantly higher in the T2DM group. BAX
expression was also significantly higher in the T2DM group, and showed a strong
correlation with AGER expression (r = 0.86, p < 0.0001). Fasting plasma glucose
(FPG) level, AGER expression, and BAX expression showed a strong correlation with
osteogenic differentiation defects on univariate analysis. However, only FPG
showed a correlation with this defect in a multivariate analysis. In conclusion,
patients with T2DM showed impairment of osteoblast differentiation, and FPG was
an independent risk factor for this impairment. Moreover, T2DM showed a higher
cellular sensitivity for activation of receptor of AGEs and higher cellular
apoptosis, which may contribute to the defect in osteoblast differentiation.
PMID- 27873078
TI - Advances in decoding breast cancer brain metastasis.
AB - The past decade has witnessed impressive advances in cancer treatment ushered in
by targeted and immunotherapies. However, with significantly prolonged survival,
upon recurrence, more patients become inflicted by brain metastasis, which is
mostly refractory to all currently available therapeutic regimens. Historically,
brain metastasis is an understudied area in cancer research, partly due to the
dearth of appropriate experimental models that closely simulate the special
biological features of metastasis in the unique brain environment and to the
sophistication of techniques required to perform in-depth studies of the
extremely complex and challenging brain metastasis. Yet, with increasing clinical
demand for more effective treatment options, brain metastasis research has
rapidly advanced in recent years. The present review spotlights the recent major
progresses in basic and translational studies of brain metastasis with focuses on
new animal models, novel imaging technologies, omics "big data" resources, and
some new and exciting biological insights on brain metastasis.
PMID- 27873080
TI - Evaluation of the drug solubility and rush ageing on drug release performance of
various model drugs from the modified release polyethylene oxide matrix tablets.
AB - Hydrophilic matrix systems are currently some of the most widely used drug
delivery systems for controlled-release oral dosage forms. Amongst a variety of
polymers, polyethylene oxide (PEO) is considered an important material used in
pharmaceutical formulations. As PEO is sensitive to thermal oxidation, it is
susceptible to free radical oxidative attack. The aim of this study was to
investigate the stability of PEO based formulations containing different model
drugs with different water solubility, namely propranolol HCl, theophylline and
zonisamide. Both polyox matrices 750 and 303 grade were used as model carriers
for the manufacture of tablets stored at 40 degrees C. The results of the
present study suggest that the drug release from the matrix was affected by the
length of storage conditions, solubility of drugs and the molecular weight of the
polymers. Generally, increased drug release rates were prevalent in soluble drug
formulations (propranolol) when stored at the elevated temperature (40 degrees
C). In contrast, it was not observed with semi soluble (theophylline) and poorly
soluble (zonisamide) drugs especially when formulated with PEO 303 polymer. This
indicates that the main parameters controlling the drug release from fresh polyox
matrices are the solubility of the drug in the dissolution medium and the
molecular weight of the polymer. DSC traces indicated that that there was a big
difference in the enthalpy and melting points of fresh and aged PEO samples
containing propranolol, whereas the melting point of the aged polyox samples
containing theophylline and zonisamide was unaffected. Graphical abstract ?.
PMID- 27873082
TI - I Can See Clearly Now: Using Active Visualisation to Improve Adherence to ART and
PrEP.
AB - Non-adherence remains a perplexing issue in HIV treatment. After decades of
research supporting the efficacy of antiretroviral therapy, non-adherence to
medication remains an important issue. For patients who are non-adherent to anti
retroviral therapy (ART), there appears to be a mismatch between their model of
illness and the necessity for ART treatment. We propose that 'active
visualisation' is a technique that could be utilised to improve understanding of
treatment and subsequently adherence for both individuals living with HIV and
those at-risk of infection. We discuss the theoretical background and highlight
the initial evidence suggesting the utility of active visualisation. We then
discuss how active visualisation could be utilised in a live demonstration to
improve adherence to ART and pre-exposure prophylaxis medications.
PMID- 27873081
TI - Awareness and Acceptability of Pre-exposure HIV Prophylaxis Among Men Who have
Sex with Men in Baltimore.
AB - This paper assessed characteristics associated with awareness of and willingness
to take pre-exposure prophylaxis (PrEP) among Baltimore men who have sex with men
(MSM). We used data from BESURE-MSM3, a venue-based cross-sectional HIV
surveillance study conducted among MSM in 2011. Multivariate regression was used
to identify characteristics associated with PrEP knowledge and acceptability
among 399 participants. Eleven percent had heard of PrEP, 48% would be willing to
use PrEP, and none had previously used it. In multivariable analysis, black race
and perceived discrimination against those with HIV were significantly associated
with decreased awareness, and those who perceived higher HIV discrimination
reported higher acceptability of PrEP. Our findings indicate a need for further
education about the potential utility of PrEP in addition to other prevention
methods among MSM. HIV prevention efforts should address the link between
discrimination and potential PrEP use, especially among men of color.
PMID- 27873079
TI - Next generation predictive biomarkers for immune checkpoint inhibition.
AB - With the advent of targeted therapies, there has been a revolution in the
treatment of cancer across multiple histologies. Immune checkpoint blockade has
made it possible to take advantage of receptor-ligand interactions between immune
and tumor cells in a wide spectrum of malignancies. Toxicity in healthy tissue,
however, can limit our use of these agents. Immune checkpoint blockade has been
approved in advanced melanoma, renal cell cancer, non-small cell lung cancer,
relapsed refractory Hodgkin's lymphoma, and urothelial cancer. Though FDA
approved indications for use of some of these novel agents depend on current
protein-based programmed death 1 (PD-1) and programmed death ligand 1 (PD-L1)
assays, detection methods come with several caveats. Additional predictive tools
must be interrogated to discern responders from non-responders. Some of these
include measurement of microsatellite instability, PD-L1 amplification, cluster
of differentiation 8 (CD8) infiltrate density, and tumor mutational burden. This
review serves to synthesize biomarker detection at the DNA, RNA, and protein
level to more accurately forecast benefit from these novel agents.
PMID- 27873083
TI - The Cost and Threshold Analysis of Retention in Care (RiC): A Multi-Site National
HIV Care Program.
AB - Persons diagnosed with HIV but not retained in HIV medical care accounted for the
majority of HIV transmissions in 2009 in the United States (US). There is an
urgent need to implement and disseminate HIV retention in care programs; however
little is known about the costs associated with implementing retention in care
programs. We assessed the costs and cost-saving thresholds for seven Retention in
Care (RiC) programs implemented in the US using standard methods recommended by
the US Panel on Cost-effectiveness in Health and Medicine. Data were gathered
from accounting and program implementation records, entered into a standardized
RiC economic analysis spreadsheet, and standardized to a 12 month time frame.
Total program costs for from the societal perspective ranged from $47,919 to
$423,913 per year or $146 to $2,752 per participant. Cost-saving thresholds
ranged from 0.13 HIV transmissions averted to 1.18 HIV transmission averted per
year. We estimated that these cost-saving thresholds could be achieved through 1
to 16 additional person-years of viral suppression. Across a range of program
models, retention in care interventions had highly achievable cost-saving
thresholds, suggesting that retention in care programs are a judicious use of
resources.
PMID- 27873086
TI - What We Know and Do Not Know About Actin.
AB - Seven decades of research have revealed much about actin structure, assembly,
regulatory proteins, and cellular functions. However, some key information is
still missing, so we do not understand the mechanisms of most processes that
depend on actin. This chapter summarizes our current knowledge and explains some
examples of work that will be required to fill these gaps and arrive at a
mechanistic understanding of actin biology.
PMID- 27873084
TI - Distortion-Product Otoacoustic Emission Measured Below 300 Hz in Normal-Hearing
Human Subjects.
AB - Physiological noise levels in the human ear canal often exceed naturally low
levels of otoacoustic emissions (OAEs) near the threshold of hearing. Low
frequency noise, and electronic filtering to cope with it, has effectively
limited the study of OAE to frequencies above about 500 Hz. Presently, a custom
built low-frequency acoustic probe was put to use in 21 normal-hearing human
subjects (of 34 recruited). Distortion-product otoacoustic emission (DPOAE) was
measured in the enclosed ear canal volume as the response to two simultaneously
presented tones with frequencies f 1 and f 2. The stimulus-frequency ratio f 2/f
1 was varied systematically to find the "optimal" ratio evoking the largest level
at 2 f 1-f 2 frequencies 87.9, 176, and 264 Hz. No reference data exist in this
frequency region. Results show that DPOAE exists down to at least 87.9 Hz,
maintaining the bell-shaped dependence on the f 2/f 1 ratio known from higher
frequencies. Toward low frequencies, however, the bell broadens and the optimal
ratio increases proportionally to the bandwidth of an auditory filter as defined
by the equivalent rectangular bandwidth. The DPOAE phase rotates monotonously as
a function of the stimulus ratio, and its slope trend supports the notion of a
lack of scaling symmetry in the apex of the cochlea.
PMID- 27873085
TI - Quantitative Analysis of Supporting Cell Subtype Labeling Among CreER Lines in
the Neonatal Mouse Cochlea.
AB - Four CreER lines that are commonly used in the auditory field to label cochlear
supporting cells (SCs) are expressed in multiple SC subtypes, with some lines
also showing reporter expression in hair cells (HCs). We hypothesized that
altering the tamoxifen dose would modify CreER expression and target subsets of
SCs. We also used two different reporter lines, ROSA26 tdTomato and CAG-eGFP, to
achieve the same goal. Our results confirm previous reports that Sox2 CreERT2 and
Fgfr3-iCreER T2 are not only expressed in neonatal SCs but also in HCs.
Decreasing the tamoxifen dose did not reduce HC expression for Sox2 CreERT2 , but
changing to the CAG-eGFP reporter decreased reporter-positive HCs sevenfold.
However, there was also a significant decrease in the number of reporter-positive
SCs. In contrast, there was a large reduction in reporter-positive HCs in Fgfr3
iCreER T2 mice with the lowest tamoxifen dose tested yet only limited reduction
in SC labeling. The targeting of reporter expression to inner phalangeal and
border cells was increased when Plp-CreER T2 was paired with the CAG-eGFP
reporter; however, the total number of labeled cells decreased. Changes to the
tamoxifen dose or reporter line with Prox1 CreERT2 caused minimal changes. Our
data demonstrate that modifications to the tamoxifen dose or the use of different
reporter lines may be successful in narrowing the numbers and/or types of cells
labeled, but each CreER line responded differently. When the ROSA26 tdTomato
reporter was combined with any of the four CreER lines, there was no difference
in the number of tdTomato-positive cells after one or two injections of tamoxifen
given at birth. Thus, tamoxifen-mediated toxicity could be reduced by only giving
one injection. While the CAG-eGFP reporter consistently labeled fewer cells, both
reporter lines are valuable depending on the goal of the study.
PMID- 27873087
TI - Key Questions for Translation of FFA Receptors: From Pharmacology to Medicines.
AB - The identification of fatty acids as ligands for the G-protein coupled free fatty
acid (FFA) receptor family over 10 years ago led to intensive chemistry efforts
to find small-molecule ligands for this class of receptors. Identification of
potent, selective modulators of the FFA receptors and their utility in medicine
has proven challenging, in part due to their complex pharmacology. Nevertheless,
ligands have been identified that are sufficient for exploring the therapeutic
potential of this class of receptors in rodents and, in the case of FFA1, FFA2,
FFA4, and GPR84, also in humans. Expression profiling, the phenotyping of FFA
receptor knockout mice, and the results of studies exploring the effects of these
ligands in rodents have uncovered a number of indications where engagement of one
or a combination of FFA receptors might provide some clinical benefit in areas
including diabetes, inflammatory bowel syndrome, Alzheimer's, pain, and cancer.
In this chapter, we will review the clinical potential of modulating FFA
receptors based on preclinical and in some cases clinical studies with synthetic
ligands. In particular, key aspects and challenges associated with small-molecule
ligand identification and FFA receptor pharmacology will be addressed with a view
of the hurdles that need to be overcome to fully understand the potential of the
receptors as therapeutic targets.
PMID- 27873088
TI - Anti-Inflammatory and Insulin-Sensitizing Effects of Free Fatty Acid Receptors.
AB - Chronic low-grade inflammation in macrophages and adipose tissues can promote the
development of obesity and type 2 diabetes. Free fatty acids (FFAs) have
important roles in various tissues, acting as both essential energy sources and
signaling molecules. FFA receptors (FFARs) can modulate inflammation in various
types of cells and tissues; however the underlying mechanisms mediating these
effects are unclear. FFARs are activated by specific FFAs; for example, GPR40 and
GPR120 are activated by medium and long chain FFAs, GPR41 and GPR43 are activated
by short chain FFAs, and GPR84 is activated by medium-chain FFAs. To date, a
number of studies associated with the physiological functions of G protein
coupled receptors (GPCRs) have reported that these GPCRs are expressed in various
tissues and involved in inflammatory and metabolic responses. Thus, the
development of selective agonists or antagonists for various GPCRs may facilitate
the establishment of novel therapies for the treatment of various diseases. In
this review, we summarize current literature describing the potential of GPCRs as
therapeutic targets for inflammatory and metabolic disorders.
PMID- 27873089
TI - Gut Hormone Regulation and Secretion via FFA1 and FFA4.
AB - The digestion, absorption and utilisation of dietary triglycerides are controlled
by gut hormones, released from enteroendocrine cells along the length of the
gastrointestinal tract. Major players in the detection of ingested lipids are the
free fatty acid receptors FFA1 and FFA4, which are highly expressed on
enteroendocrine cells. These receptors are activated when free fatty acids (FFA)
are absorbed across the intestinal epithelium, and provide a dynamic hormonal
signal indicating that lipids are arriving in the bloodstream from the gut. This
review addresses our current knowledge of how ingested triglycerides modulate gut
hormone release via FFA1 and FFA4.
PMID- 27873090
TI - Evaluating the Psychometric Properties of the Eating Assessment Tool (EAT-10)
Using Rasch Analysis.
AB - Early and reliable screening for oropharyngeal dysphagia (OD) symptoms in at-risk
populations is important and a crucial first stage in effective OD management.
The Eating Assessment Tool (EAT-10) is a commonly utilized screening and outcome
measure. To date, studies using classic test theory methodologies report good
psychometric properties, but the EAT-10 has not been evaluated using item
response theory (e.g., Rasch analysis). The aim of this multisite study was to
evaluate the internal consistency and structural validity and conduct a
preliminary investigation of the cross-cultural validity of the EAT-10; floor and
ceiling effects were also checked. Participants involved 636 patients deemed at
risk of OD, from outpatient clinics in Spain, Turkey, Sweden, and Italy. The EAT
10 and videofluoroscopic and/or fiberoptic endoscopic evaluation of swallowing
were used to confirm OD diagnosis. Patients with esophageal dysphagia were
excluded to ensure a homogenous sample. Rasch analysis was used to investigate
person and item fit statistics, response scale, dimensionality of the scale,
differential item functioning (DIF), and floor and ceiling effect. The results
indicate that the EAT-10 has significant weaknesses in structural validity and
internal consistency. There are both item redundancy and lack of easy and
difficult items. The thresholds of the rating scale categories were disordered
and gender, confirmed OD, and language, and comorbid diagnosis showed DIF on a
number of items. DIF analysis of language showed preliminary evidence of problems
with cross-cultural validation, and the measure showed a clear floor effect. The
authors recommend redevelopment of the EAT-10 using Rasch analysis.
PMID- 27873092
TI - Molecular high-order harmonic spectra and its application to the generation of
the isolated attosecond pulse.
AB - Molecular high-order harmonic generation (HHG) spectra from H2+ and its
application to the generation of the isolated attosecond pulses (IAPs) have been
numerically investigated. Results show that (i) the 7th harmonic order is
enhanced with the nuclei around the equilibrium internuclear, and as the
internuclear distance increased, this enhanced harmonic produces a red-shift
(even disappearance). Theoretical analyses show that the electronic transition
between the ground and the 1st excited states is responsible for the red-shift
enhanced harmonic. (ii) The harmonic spectra exhibit several maxima and minima,
and a red-shift of these points is predicted as the internuclear distance
increased. (iii) By properly choosing the internuclear distance, the harmonic
yield is enhanced, and there is only the single quantum path contributing to the
harmonic spectra. (iv) Further, by properly adding the half-cycle pulse as well
as the spatial inhomogeneous effect, a 375 eV supercontinuum with a pulse
enhancement of 3.9 dB and some attosecond X-ray pulses shorter than 60as can be
produced.
PMID- 27873091
TI - Pre-pharyngeal Swallow Effects of Recurrent Laryngeal Nerve Lesion on Bolus Shape
and Airway Protection in an Infant Pig Model.
AB - Recurrent laryngeal nerve (RLN) damage in infants leads to increased dysphagia
and aspiration pneumonia. Recent work has shown that intraoral transport and
swallow kinematics change following RLN lesion, suggesting potential changes in
bolus formation prior to the swallow. In this study, we used geometric
morphometrics to understand the effect of bolus shape on penetration and
aspiration in infants with and without RLN lesion. We hypothesized (1) that
geometric bolus properties are related to airway protection outcomes and (2) that
in infants with RLN lesion, the relationship between geometric bolus properties
and dysphagia is changed. In five infant pigs, dysphagia in 188 swallows was
assessed using the Infant Mammalian Penetration-Aspiration Scale (IMPAS). Using
images from high-speed VFSS, bolus shape, bolus area, and tongue outline were
quantified digitally. Bolus shape was analyzed using elliptical Fourier analysis,
and tongue outline using polynomial curve fitting. Despite large inter-individual
differences, significant within individual effects of bolus shape and bolus area
on airway protection exist. The relationship between penetration-aspiration score
and both bolus area and shape changed post lesion. Tongue shape differed between
pre- and post-lesion swallows, and between swallows with different IMPAS scores.
Bolus shape and area affect airway protection outcomes. RLN lesion changes that
relationship, indicating that proper bolus formation and control by the tongue
require intact laryngeal sensation. The impact of RLN lesion on dysphagia is
pervasive.
PMID- 27873093
TI - Fatty acids in a high-fat diet potentially induce gastric parietal-cell damage
and metaplasia in mice.
AB - BACKGROUND: Obesity is associated with risk of adenocarcinoma in the proximal
stomach. We aimed to identify the links between dietary fat and gastric
premalignant lesions. METHODS: C57BL/6 mice were fed high fat diet (HFD), and
gastric mucosa was histologically analysed. Morphological changes were also
analysed using an electron microscope. Transcriptome analysis of purified
parietal cells was performed, and non-parietal gastric corpus epithelial cells
were subjected to single-cell gene-expression profiling. Composition of gastric
contents of HFD-fed mice was compared with that of the HFD itself. Lipotoxicity
of free fatty acids (FFA) was examined in primary culture and organoid culture of
mouse gastric epithelial cells in vitro, as well as in vivo, feeding FFA-rich
diets. RESULTS: During ~8-20 weeks of HFD feeding, the parietal cells of the
stomach displayed mitochondrial damage, and a total of 23% of the mice developed
macroscopically distinct metaplastic lesions in the gastric corpus mucosa.
Transcriptome analysis of parietal cells indicated that feeding HFD enhanced
pathways related to cell death. Histological analysis and gene-expression
profiling indicated that the lesions were similar to previously reported
precancerous lesions identified as spasmolytic polypeptide-expressing metaplasia.
FFAs, including linoleic acid with refluxed bile acids were detected in the
stomachs of the HFD-fed mice. In vitro, FFAs impaired mitochondrial function and
decreased the viability of parietal cells. In vivo, linoleic acid-rich diet, but
not stearic acid-rich diet induced parietal-cell loss and metaplastic changes in
mice. CONCLUSIONS: Dietary lipids induce parietal-cell damage and may lead to the
development of precancerous metaplasia.
PMID- 27873097
TI - CORR Insights(r): A Crosswalk Between UCLA and Lower Extremity Activity Scales.
PMID- 27873098
TI - On Patient Safety: Being a Jerk in the Operating Room is Bad for the Patient.
PMID- 27873094
TI - The combination of elbasvir and grazoprevir for the treatment of chronic HCV
infection in Japanese patients: a randomized phase II/III study.
AB - BACKGROUND: Elbasvir (EBR) in combination with grazoprevir (GZR) has demonstrated
efficacy in patients with hepatitis C virus (HCV) infections in trials primarily
conducted in the USA and Europe. We investigated the safety and efficacy of EBR
in combination with GZR in Japanese patients with chronic HCV infection, with or
without cirrhosis. METHODS: The study was conducted in two parts. In part 1,
noncirrhotic patients were randomized 1:1 to receive EBR (50 mg) in combination
with GZR (50 or 100 mg) once daily for 12 weeks. In part 2, noncirrhotic patients
were randomized 3:1 to receive immediate or deferred treatment with EBR (50 mg)
and GZR (100 mg, determined in part 1) for 12 weeks; cirrhotic patients received
open-label immediate treatment. The primary efficacy end point was the rate of
sustained virologic response 12 weeks after completion of the study treatment.
RESULTS: In part 1, 63 patients were randomized to receive EBR in combination
with GZR at a dose of 50 mg (n = 31) or 100 mg (n = 32). The SVR12 rates were
100% with GZR at a dose of 50 mg and 96.8% with GZR at a dose of 100 mg.
Tolerability was similar in both arms. In part 2, 301 noncirrhotic patients were
randomized to receive immediate treatment (n = 227) or deferred treatment (n =
74), and 35 cirrhotic patients were enrolled. The SVR12 rates were 96.5% and
97.1% after immediate treatment in noncirrhotic and cirrhotic patients
respectively. Safety was generally similar between immediate and deferred
treatment. CONCLUSION: Treatment with EBR in combination with GZR for 12 weeks is
effective and well tolerated in Japanese patients with chronic HCV infection.
CLINICALTRIALS. GOV IDENTIFIER: NCT02203149.
PMID- 27873095
TI - Oral branched-chain amino acid granules improve structure and function of human
serum albumin in cirrhotic patients.
AB - BACKGROUND AND AIMS: The aim of this study was to evaluate structural and
functional alterations of human serum albumin (HSA), with a special focus on the
oxidized and reduced forms, in patients with chronic liver disease. We also
investigated whether oral branched-chain amino acid (BCAA) supplementation could
induce structural changes and improve the functions of HSA. METHODS: The
proportion of reduced and oxidized HSA was determined in 16 healthy controls and
in 20 chronic hepatitis and 100 cirrhotic patients with stable conditions. To
evaluate the functional properties of HSA, this study focused on the antioxidant
and binding functions. The radical scavenging activity and binding ability of
purified HSA were measured in 68 participants. After BCAA administration for 6
months, 29 patients were evaluated for HSA structural changes, with 19 out of the
29 patients also analyzed for HSA functional changes. RESULTS: There was a
significant decrease in the amounts of reduced HSA in conjunction with liver
disease progression. Receiver operating characteristic curve analysis
demonstrated that the levels of reduced HSA had high accuracy in determining
disease progression. Functional alterations were strongly correlated to the
levels of reduced HSA. BCAA supplementation led to substantial increases in the
amount of reduced HSA. The altered HSA was able to scavenge significantly more
radicals and restore the binding ability. CONCLUSION: This study describes
structural alterations and functional disturbances of HSA in patients with
chronic liver disease, and indicates that the levels of reduced HSA might reflect
disease progression and the functional properties of HSA. Moreover, oral BCAA
supplementation increases the amount of reduced HSA, thereby leading to the
restoration of HSA function in cirrhotic patients.
PMID- 27873096
TI - [Experience in measuring the quality of treatment in interventional pain therapy
: The Activity Index on a touchscreen PC].
AB - BACKGROUND: There is a growing interest in patient-related outcome measurement.
In this field questionnaires on touch screens are becoming more common. This
study was designed to identify problems in usability and feasibility of a web
based questionnaire. STUDY PARTICIPANTS AND METHODS: Patients who underwent a
lumbar infiltration were recruited in 5 centers and 50 patients participated of
which half were older than 62 years. One third of the patients had basically no
former experience with computers or touch screens. The outcome was assessed
before treatment and during follow-up on a simple web-based patient
questionnaire, the Activity Index. Results were presented graphically and
discussed during consultation. Patients, nurses and doctors were asked for
standardized feedback. RESULTS: Of the patients 84% completed the questionnaire
in up to 6 min. An adapted form of the system usability scale (SUS) achieved an
acceptance score of 71.8%. Problems in handling occurred mostly in older patients
(>65 years). The system was scored with 72.9% and 78.5% in efficiency and
handling, respectively, by the nurses. The attending physicians rated the
usefulness and comprehensibility of the graphical representation of the results
on average as 83.3% and both were scored neutral to positive; however, an average
of 11.6% rated some aspects of the report to be suboptimal. CONCLUSION: This web
based questionnaire is the first of its kind to be evaluated in everyday practice
of interventional pain therapy for lumbar back pain. The vast majority of the
patients were able to efficiently complete the questionnaire. The questionnaire
was highly acceptable to patients, nurses and doctors. We found some usability
problems but mainly in the older age group.
PMID- 27873099
TI - Metastasis to the Thyroid Gland: A Critical Review.
AB - BACKGROUND: Metastasis to the thyroid gland from nonthyroid sites is an uncommon
clinical presentation in surgical practice. The aim of this review was to assess
its incidence management and outcomes. METHODS: A literature review was performed
to identify reports of metastases to the thyroid gland. Both clinical and autopsy
series were included. RESULTS: Metastases to the gland may be discovered at the
time of diagnosis of the primary tumor, after preoperative investigation of a
neck mass, or on histologic examination of a thyroidectomy specimen. The most
common primary tumors in autopsy studies are from the lung. In clinical series,
renal cell carcinoma is most common. For patients with widespread metastases in
the setting of an aggressive malignancy, surgery is rarely indicated. However,
when patients present with an isolated metastasis diagnosed during follow-up of
indolent disease, surgery may achieve control of the central neck and even long
term cure. Other prognosticators include features of the primary tumor, time
interval between initial diagnosis and metastasis, and extrathyroid extent of
disease. CONCLUSIONS: In patients with thyroid metastases, communication among
clinicians treating the thyroid and the index primary tumor is essential. The
setting is complex, and decisions must be made considering the features of the
primary tumor, overall burden of metastases, and comorbidities. Careful balancing
of these factors influences individualized approaches.
PMID- 27873101
TI - Relationship between blood pressure and frailty in older hypertensive
outpatients.
AB - BACKGROUND: The benefits and risks of treating hypertension in old and frail
patients are debated. AIM: The aim of the present study is to measure the frailty
status in older patients with hypertension and determine the relationships
existing between blood pressure (BP) values and frailty. METHODS: Frailty was
retrospectively assessed by using the frailty index (FI) in 56 hypertensive old
outpatients. Patients with an FI > 0.25 were classified as frail. RESULTS: Forty
five out of 56 (80%) had a FI > 0.25. A statistically significant inverse
correlation was found between FI and systolic BP (r = -0.319, p = 0.016),
orthostatic systolic BP (r = -0.408, p = 0.002), orthostatic diastolic BP (r =
0.299, p = 0.025), and orthostatic pulse pressure (r = -0.297, p = 0.026).
DISCUSSION: Frailer subjects appear as over-treated according to current European
guidelines. CONCLUSIONS: FI can play an important role in the clinical setting by
supporting the identification of subjects at risk and allowing an improved
provision of adapted and personalized care.
PMID- 27873100
TI - The Pretreatment Systemic Inflammatory Response is an Important Determinant of
Poor Pathologic Response for Patients Undergoing Neoadjuvant Therapy for Rectal
Cancer.
AB - BACKGROUND: Not all patients respond equally to neoadjuvant chemoradiotherapy
(nCRT), with subsequent effects on survival. The systemic inflammatory response
has been shown to predict long-term outcomes in colorectal cancer. The current
study examined the association between systemic inflammation and nCRT in patients
with rectal cancer. METHODS: Between 1999 and 2010, patients who underwent nCRT
were identified. Serum measurements of hemoglobin, C-reactive protein, albumin,
modified Glasgow prognostic score (mGPS), and differential white cell counts were
obtained before and after nCRT. The Rodel scoring system measured pathologic
tumor regression, and magnetic resonance imaging and computed tomography
determined radiologic staging. RESULTS: The study included 79 patients. Of these
patients, 37% were radiologically downstaged, and 44% were categorized as showing
a good pathologic response (Rodel scores 3 and 4). As a validated measure of the
systemic inflammatory response, mGPS (P = 0.022) was associated with a poor
pathologic response to nCRT. A radiologic response was associated with a good
pathologic response to treatment (P = 0.003). A binary logistic regression model
identified mGPS (odds ratio [OR] 0.27; 95% confidence interval [CI] 0.07-0.96; P
= 0.043) and radiologic response (OR 0.43; 95% CI 0.18-0.99; P = 0.048) as strong
independent predictors of a pathologic response to treatment. CONCLUSION: The
current study showed that a systemic inflammatory response before nCRT is
associated with a poor pathologic response. Further study in a prospective
controlled trial setting is warranted.
PMID- 27873102
TI - Fine-needle cytology in the follow-up of breast carcinoma.
AB - The postoperative follow-up strategies for breast carcinoma (BC) utilize
different procedures; the aim of this study was to investigate the role of fine
needle cytology (FNC) in the follow-up of BC patients. Two hundred sixty-six FNC
samples from 190 BC patients have been reviewed. The target anatomical sites were
190 breast including 155 ipsilateral and 145 contralateral breast lesions and 76
extra-mammary nodules. Extra-mammary lesions included lymph nodes, thyroidal
nodules, soft tissue lesions, (subcutaneous and sub-scars), salivary glands and
deep located masses. Diagnostic distribution of the breast lesions was as
follows: 51 positive, 15 indeterminate/suspicious, 119 negative and 5 inadequate.
Positive cases included 43 ipsilateral and 8 contralateral BC, 9 BC in different
quadrants from those of onset of the first BC. Sensitivity, specificity and
accuracy have been 90, 91 and 90&, respectively. FNC, in a correct setting, is a
reliable and effective method for the follow-up management of BC patients.
PMID- 27873103
TI - Differential regulation of angiogenic cellular processes and claudin-5 by
histamine and VEGF via PI3K-signaling, transcription factor SNAI2 and interleukin
8.
AB - AIMS: Histamine and vascular endothelial growth factor A (VEGF) are central
regulators in vascular pathologies. Their gene regulation leading to vascular
remodeling has remained obscure. In this study, EC regulation mechanisms of
histamine and VEGF were compared by RNA sequencing of primary endothelial cells
(ECs), functional in vitro assays and in vivo permeability mice model. METHODS
AND RESULTS: By RNA sequencing, similar transcriptional alterations of genes
involved in activation of primary ECs, cell proliferation and adhesion were
observed between histamine and VEGF. Seventy-six commonly regulated genes were
found, representing ~53% of all VEGF-regulated transcripts and ~26% of all
histamine-regulated transcripts. Both factors regulated tight junction formation
and expression of pro-angiogenic transcription factors (TFs) affecting EC
survival, migration and tube formation. Novel claudin-5 upstream regulatory genes
were identified. VEGF was demonstrated to regulate expression of SNAI2, whereas
pro-angiogenic TFs NR4A1, MYCN and RCAN1 were regulated by both histamine and
VEGF. Claudin-5 was shown to be regulated VEGFR2/PI3K-Akt dependently by VEGF and
PI3K-Akt independently by histamine. Interleukin-8 was shown to downregulate
claudin-5 by histamine. Additionally, SNAI2, NR4A1 and MYCN were shown to mediate
EC survival, migration and tube formation and to regulate expression of claudin
5. Further systemic delivery of VEGF and histamine was shown to induce a fast
vascular hyperpermeability response in intact vasculature of C57/Bl6 mice
followed by regulation of NR4A1 and MYCN. CONCLUSIONS: Our study identifies novel
claudin-5 upstream regulatory genes of histamine and VEGF that induce cellular
angiogenic processes. Our results increase knowledge of angiogenic EC phenotype
and provide novel treatment targets for vascular pathologies.
PMID- 27873104
TI - Analysis of specific absorption rate and internal electric field in human
biological tissues surrounding an air-core coil-type transcutaneous energy
transmission transformer.
AB - In this study, we analyzed the internal electric field E and specific absorption
rate (SAR) of human biological tissues surrounding an air-core coil
transcutaneous energy transmission transformer. Using an electromagnetic
simulator, we created a model of human biological tissues consisting of a dry
skin, wet skin, fat, muscle, and cortical bone. A primary coil was placed on the
surface of the skin, and a secondary coil was located subcutaneously inside the
body. The E and SAR values for the model representing a 34-year-old male subject
were analyzed using electrical frequencies of 0.3-1.5 MHz. The transmitting power
was 15 W, and the load resistance was 38.4 Omega. The results showed that the E
values were below the International Commission on Non-ionizing Radiation
Protection (ICNIRP) limit for the general public exposure between the frequencies
of 0.9 and 1.5 MHz, and SAR values were well below the limit prescribed by the
ICNIRP for the general public exposure between the frequencies of 0.3 and 1.2
MHz.
PMID- 27873105
TI - Newborn Screening for Severe Primary Immunodeficiency Diseases in Sweden-a 2-Year
Pilot TREC and KREC Screening Study.
AB - Newborn screening for severe primary immunodeficiencies (PID), characterized by T
and/or B cell lymphopenia, was carried out in a pilot program in the Stockholm
County, Sweden, over a 2-year period, encompassing 58,834 children. T cell
receptor excision circles (TREC) and kappa-deleting recombination excision
circles (KREC) were measured simultaneously using a quantitative PCR-based method
on DNA extracted from dried blood spots (DBS), with beta-actin serving as a
quality control for DNA quantity. Diagnostic cutoff levels enabling
identification of newborns with milder and reversible T and/or B cell lymphopenia
were also evaluated. Sixty-four children were recalled for follow-up due to low
TREC and/or KREC levels, and three patients with immunodeficiency (Artemis-SCID,
ATM, and an as yet unclassified T cell lymphopenia/hypogammaglobulinemia) were
identified. Of the positive samples, 24 were associated with prematurity.
Thirteen children born to mothers treated with immunosuppressive agents during
pregnancy (azathioprine (n = 9), mercaptopurine (n = 1), azathioprine and
tacrolimus (n = 3)) showed low KREC levels at birth, which spontaneously
normalized. Twenty-nine newborns had no apparent cause identified for their
abnormal results, but normalized with time. Children with trisomy 21 (n = 43)
showed a lower median number of both TREC (104 vs. 174 copies/MUL blood) and KREC
(45 vs. 100 copies/3.2 mm blood spot), but only one, born prematurely, fell below
the cutoff level. Two children diagnosed with DiGeorge syndrome were found to
have low TREC levels, but these were still above the cutoff level. This is the
first large-scale screening study with a simultaneous detection of both TREC and
KREC, allowing identification of newborns with both T and B cell defects.
PMID- 27873106
TI - Lack of Clinical Hypersensitivity to Penicillin Antibiotics in Common Variable
Immunodeficiency.
PMID- 27873107
TI - Assessment of potential impacts of climate change on agricultural development in
the Lower Benue River Basin.
AB - Agriculture in the Lower Benue River Basin faces several challenges which
threaten the future of agricultural development. This study was an assessment of
potential impacts of climate change on agricultural development in the Lower
Benue River Basin. Through analysis of physical and socioeconomic parameters, the
study adapted an impact assessment model to rank potential impacts on
agricultural development in the study area. Rainfall intensity seemed to be
increasing with a gradual reduction in the number of rainy days. The average
discharge at Makurdi hydrological station was 3468.24 cubic metres per second (m3
s-1), and the highest peak flow discharge was 16,400 m3 s-1. The daily maximum
temperature and annual temperature averages for the study area are gradually
rising leading to increased heat stress. Physical and chemical analyses showed
that the soils are moderately fertile but require effective application of
inorganic and organic fertilisers. The main occupational activities in the study
area are agricultural based. The identified potential impacts of climate change
on agriculture were categorised under atmospheric carbon dioxides and oxides,
rainfall intensity, frequency of floods and droughts, temperature intensity and
variation, heat stress, surface water trends, and soil quality and fertility. The
identified potential impacts related to population dynamics on agriculture were
categorised under population growth, rural-urban migration, household income and
infectious diseases and HIV and AIDS. Community-level mitigation strategies were
proffered. Policy makers are advised to promote irrigation farming, support
farmers with farm inputs and credit facilities and establish active agricultural
extension services to support the sustainable development of agriculture.
PMID- 27873108
TI - Flushing in (neuro)endocrinology.
AB - Cutaneous flushing is a common presenting complaint in endocrine disorders. The
pathophysiology of flushing involves changes in cutaneous blood flow triggered by
multiple intrinsic factors that are either related to physiology or disease.
Flushing can be divided into episodic or persistent causes. Episodic flushing is
mediated by the release of endogenous vasoactive mediators or medications, while
persistent flushing results in a fixed facial erythema with telangiectasia and
cyanosis due to slow-flowing deoxygenated blood in large cutaneous blood vessels.
The differential diagnosis of cutaneous flushing in neuroendocrine disorders is
limited, yet encompasses a broad spectrum of benign and malignant entities,
including carcinoid syndrome, pheochromocytoma, Cushing syndrome, medullary
thyroid cancer, and pancreatic neuroendocrine tumors. In this review, we provide
a concise and up-to-date discussion on the differential diagnosis and approach of
flushing in neuroendocrinology.
PMID- 27873109
TI - Bioavailability and health risk assessment of potentially toxic elements in
Thriasio Plain, near Athens, Greece.
AB - Elevated concentrations of potentially toxic elements (PTEs) are usually found in
areas of intense industrial activity. Thriasio Plain is a plain near Athens,
Greece, where most of the heavy industry of the country has been situated for
decades, but it also is a residential and horticultural area. We aimed at
measuring the levels of PTEs in soils and indigenous plant species and assessing
the health risk associated with direct soil ingestion. Samples of soils at
roadsides and growing plants were collected from 31 sites of that area.
Concentrations of Al, As, Cd, Co, Cr, Cu, Fe, Mn, Mo, Ni, Pb, V and Zn were
measured in both soils (as pseudo-total) and aerial plant tissues. We found that
As, Cd, Cr, Cu, Ni, Pb and Zn were higher than maximum regulatory limits. Element
concentrations in plants were rather lower than expected, probably because
indigenous plants have developed excluder behaviour over time. Copper and Zn soil
to-plant coefficients were highest among the other elements; for Cu this was
unexpected, and probably associated with recent Cu-releasing industrial activity.
Risk assessment analysis indicated that As was the element contributing more than
50 % of the health risk related to direct soil ingestion, followed by Cr, Pb,
and, surprisingly, Mn. We concluded that in a multi-element contamination
situation, elevated risk of PTEs (such as As, Cr and Pb) may reduce the tolerance
limits of exposure to less-toxic elements (here, Mn).
PMID- 27873110
TI - Remediation of Cd(II)-contaminated soil via humin-enhanced electrokinetic
technology.
AB - Humin is the component of humic substances that is recalcitrant to extraction by
either strong bases or strong acids, which contains a variety of functional
groups that may combine with heavy metal ions. The present study employed humin
as an adsorbent to investigate the efficacy of a remediation strategy under the
effects of humin-enhanced electrokinetics. Because the cations gravitate toward
cathode and anions are transferred to anode, humin was placed in close proximity
to the cathode in the form of a package. The humin was taken out after the
experiments to determine whether a target pollutant (cadmium) might be completely
removed from soil. Acetic acid-sodium acetate was selected as the electrolyte for
these experiments, which was circulated between the two electrode chambers via a
peristaltic pump, in order to control the pH of the soil. The results indicated
that when the remediation duration was extended to 240 h, the removal of acid
extractable Cd(II) could be up to 43.86% efficiency, and the adsorption of the
heavy metal within the humin was 86.15 mg/kg. Further, the recycling of the
electrolyte exhibited a good control of the pH of the soil. When comparing the pH
of the soil with the circulating electrolyte during remediation, in contrast to
when it was not being recycled, the pH of the soil at the anode increased from
3.89 to 5.63, whereas the soil at the cathode decreased from 8.06 to 7.10. This
indicated that the electrolyte recycling had the capacity to stabilize the pH of
the soil.
PMID- 27873111
TI - Bioaugmentation of a continuous-flow self-forming dynamic membrane bioreactor for
the treatment of wastewater containing high-strength pyridine.
AB - For the treatment of high-strength pyridine containing wastewater, a bioaugmented
continuous-flow self-forming dynamic membrane bioreactor (CSFDMBR), which was
consisted of a continuous flow airlift reactor (CFAR) and a dynamic membrane
bioreactor (DMBR), was developed in this study. The results indicated that
through the bioaugmentation by Rhizobium sp. NJUST18, CSFDMBR could be
successfully started, which was confirmed by complete removal of pyridine,
efficient nitrification, and significant increase of biomass. Pyridine could be
effectively degraded in the CSFDMBR even at influent pyridine loading rate as
high as 9.0 kg m-3 day-1, probably due to the efficient biomass retention in the
CSFDMBR, which could be attributed to the formation of aerobic granules and the
key role of dynamic membrane. CSFDMBR presented good polishing performance in
treating pyridine wastewater, with effluent total organic carbon (TOC) and
turbidity as low as 22.5 +/- 6.8 mg L-1 and 3.8 +/- 0.5 NTU, respectively.
Membrane fouling could be effectively controlled, as indicated by backwash period
as long as 60 days. The observed efficient performance highlights the potential
for the full-scale application of the bioaugmented CSFDMBR, particularly for
highly recalcitrant pollutant removal.
PMID- 27873112
TI - Influence of polymer composition on the sensitivity towards nitrite and nitric
oxide of colorimetric disposable test strips.
AB - The influence of polymer composition on the sensitivity towards nitrite (NO2-)
and nitric oxide (NO) of a series of 19 polymeric hydrogel films has been
studied. The polymers, based on the hydrophilic monomer 2
hydroxyethylmethacrylate (HEMA), are able to encapsulate the colorimetric
indicator 1,2-diaminoanthraquinone (DAQ) and to respond to NO2- and NO by visual
changes. In the case of nitrite, the calculated limits of detection (LOD) for two
of the polymeric sensors (10 MUM) are very close to the sensitivity estimated for
free DAQ in solution (LOD 5 MUM), but with the advantage of a solid supported
sensor with the format of a disposable test-strip made with affordable starting
chemicals. The results are interpreted taking into account the nature and
proportions of monomers and cross-linkers used for the synthesis of polymers. Key
factors for obtaining sensitive materials are the hydrophilic character of the
film along with the utilization of low levels of cross-linker and the use of an
acidic monomer, like acrylic acid, as a building block.
PMID- 27873113
TI - Low C/N ratio raw textile wastewater reduced labile C and enhanced organic
inorganic N and enzymatic activities in a semiarid alkaline soil.
AB - Application of raw and treated wastewater for irrigation is an extensive practice
for agricultural production in arid and semiarid regions. Raw textile wastewater
has been used for cultivation in urban and peri-urban areas in Pakistan without
any systematic consideration to soil quality. We conducted a laboratory
incubation study to investigate the effects of low C/N ratio raw textile
wastewater on soil nitrogen (N) contents, labile carbon (C) as water-soluble C
(WSC) contents, and activities of urease and dehydrogenase enzymes. The 60-day
incubation study used an alkaline clay loam aridisol that received 0 (distilled
water), 25, 50, and 100% wastewater concentrations, and microcosms were incubated
aerobically under room temperature at 70% water holding capacity. Results
revealed that raw wastewater significantly (p < 0.05) changed soil N pools and
processes, WSC contents, and enzymatic activities. The organic and inorganic N
species increased with increasing wastewater concentrations, whereas WSC contents
followed an opposite trend. The highest NH4+-N and NO3--N contents were observed
in soil treated with 100% wastewater. The extractable organic N (EON) contents
always represented >50% of the soil total Kjeldahl N (TKN) contents and served as
the major N pool. However, nitrification index (NO3--N/NH4+-N ratio) decreased at
high wastewater concentrations. A significant negative correlation was observed
between EON and WSC (p < 0.05) and between net nitrification and WSC/EON ratio (p
< 0.01). In contrast, nitrification index and WSC contents were correlated,
positively suggesting WSC potentially controlling N turnover in nutrient-poor
aridisol. We found significant (p < 0.0001) positive correlations of soil urease
and dehydrogenase enzymatic activities with soil-extractable mineral N contents
indicating coupled N cycling and soil biological activity. Higher production and
accumulation of soil NO3--N and EON contents in concentrated wastewater-treated
soil could pose an ecological concern for soil fertility, biological health, and
water quality. However, the EON could lead to mineral N pool but only if
sufficient labile C source was present. The effects of wastewater irrigation on
soil N cycling need to be assessed before it is recommended for crop production.
PMID- 27873115
TI - Life cycle assessment of cheese production process in a small-sized dairy
industry in Brazil.
AB - Current research identifies, analyzes, and suggests improvements for minimizing
environmental impacts in the manufacture of cheese using the life cycle
assessment. Data collection and development of the inventory were performed in a
small-sized dairy industry in Brazil. A cradle-to-gate approach was conducted
based on the primary data from cheese production and secondary data from
databases. The ReCiPe method was used for the impact assessment, considering the
categories climate change, ozone depletion, terrestrial acidification, freshwater
eutrophication, photochemical oxidant formation, particulate matter formation,
water depletion, and fossil depletion. A sensitivity analysis was performed
including evaluations of different fuels for generating thermal energy,
strategies for cleaning of dairy plant and utensils, variations in the way of
cheese production based on the fat content, and production percentage changes.
The results showed that the skimmed milk and thermal energy productions,
electricity usage, and water consumptions were the main elementary flows. The
pallet residues showed the best to be used as fuel for thermal energy. Detergent
combinations did not influence the impact categories. There was a direct
relationship between fat content range (20 to 30%) and the contribution in six
impact categories. Changes from 20% in cheese allocation factor influenced the
impact assessment results. LCA allowed identifying the main elementary flow of
cheese production, providing valuable information with the potential to verify
opportunities for on-site improvements.
PMID- 27873114
TI - Dissipation of antibiotics in three different agricultural soils after repeated
application of biosolids.
AB - Application of biosolids to agricultural soils is one of the pathways by which
antibiotics can be introduced into agricultural ecosystems. A pot experiment was
conducted with repeated soil amendment with biosolids to examine the
concentrations of four classes of antibiotics (tetracyclines, sulfonamides,
fluoroquinolones, and macrolides) and their dissipation in three different soil
types in wheat-rice rotations. Antibiotics accumulate in the soils after repeated
application of biosolids. Fluoroquinolones showed stronger accumulation and
persistence in the test soils than the other three classes of antibiotics. The
maximum residual antibiotic concentration was that of norfloxacin at 155 +/- 16
MUg kg-1 in the Typic Hapli-Stagnic Anthrosols (paddy soil). Predicted half-lives
were up to 3.69 years, a much longer period than that between biosolid
applications (twice each year on average). Antibiotic accumulation followed the
rough order fluoroquinolones > tetracyclines > macrolides > sulfonamides, and the
sulfonamides were seldom encountered. When biosolid application was suspended,
the dissipation rate accelerated. Antibiotic dissipation was slightly slower when
biosolids with high heavy metal concentrations were applied and microbial
degradation may have been the main mechanism of dissipation. Norfloxacin
persistence was positively correlated with its soil adsorption capacity. Cation
exchange capacity and soil organic matter content may have vital roles in the
soil adsorption of fluoroquinolones. Because of their persistence, the
fluoroquinolones must be taken into account in the planning of biosolid
applications in agricultural practice.
PMID- 27873116
TI - High added-value products from the hydrothermal carbonisation of olive stones.
AB - Olive stones (OS) were submitted to hydrothermal carbonisation (HTC) in order to
evaluate the possibility of producing high added-value products, mainly furfural
(FU) and 5-hydroxymethylfurfural (5-HMF) on one hand and hydrochars and carbons
on the other hand. Temperature (160-240 degrees C), residence time (1-8 h),
initial pH (1-5.5) and liquid/solid ratio (4-48 w/w) were systematically varied
in order to study the main products and to optimise FU production. FU production
yield up to 19.9 %, based on the hemicellulose content, was obtained. Other
minor, but valuable, compounds such as 5-methylfurfural (5-MF) and some phenolic
compounds were also produced. The hydrochar was carbonised at 900 degrees C, and
the resultant carbon material was highly ultramicroporous with a peak of pore
size distribution centred on 0.5 nm and a surface area as high as 1065 m2 g-1,
typical of most carbon molecular sieves.
PMID- 27873117
TI - Mitigation of Pre-existing Antibodies to a Biotherapeutic in Non-clinical Species
When Establishing Anti-drug Antibody Assay Cutpoint.
AB - Biotherapeutics are known for their potential to induce drug specific immune
responses, which are commonly evaluated by the detection of anti-drug antibodies
(ADAs). For some biotherapeutics, pre-existing ADAs against drug have been
observed in drug-naive matrix. The presence of pre-existing drug specific
antibodies may significantly complicate assessment of the screening ADA assay
cutpoint value, which is usually established based on the statistical analysis of
signal distribution from the drug-naive individuals. A Gaussian mixture model
based approach is presented herein to address high prevalence of pre-existing
ADAs to a modified monoclonal antibody-based biotherapeutic (m-mAb). A high
prevalence of pre-existing anti-m-mAb antibodies was observed in drug-naive
individual cynomolgus monkey serum samples with signal ranging from 100 to 7000
relative light units (RLU, as determined in an electrochemiluminescence readout
based assay). Application of the industry standard statistical algorithm resulted
in a relatively high floating screening assay cutpoint factor (CPF) of 9.80,
which potentially would have reported a high percent of false negative samples.
An alternative, Gaussian mixture model-based approach was applied to identify the
least reactive individual samples in the tested population, which resulted in a
floating screening assay CPF of 2.35. The low CPF value significantly reduced the
risk of reporting false negative results. The proposed Gaussian mixture model
based approach described herein provides an alternate method for the calculation
of biologically relevant screening assay CPF when high prevalence of pre-existing
drug specific antibodies is observed.
PMID- 27873119
TI - Validation of a Drug-Resistant Anti-Adalimumab Antibody Assay to Monitor
Immunogenicity in the Presence of High Concentrations of Adalimumab.
AB - With respect to patient safety and long-term efficacy, immunogenicity of
therapeutic antibodies remains an important issue. Pre-treatment of samples using
either higher temperature or acidification in order to separate drug/anti-drug
antibody complexes has been implemented in the traditional bridging assay and an
in-house-developed affinity capture elution assay but only a limited drug
tolerance was obtained. In this study, we aim to apply a drug-resistant anti-drug
antibody assay to adalimumab through a combination of adalimumab/anti-adalimumab
antibody complex precipitation and acid dissociation. A linear dose-response
curve ranging from 3.1 to 200 ng/mL was obtained in 1/125 diluted serum, allowing
detection of anti-adalimumab antibody concentrations up to 25 MUg/mL equivalents
MA-ADM6A10, a calibrator anti-adalimumab antibody. The cut-off point for
detection was determined using 16 samples of adalimumab naive patients and set at
0.39 MUg/mL equivalents. Validation of the assay revealed that no detectable anti
adalimumab antibody concentrations were found in samples with either a positive
anti-infliximab antibody concentration, a physiologic concentration of TNFalpha,
or a high concentration of rheumatoid factor. Full recoveries were obtained when
various concentrations of adalimumab (0, 1, 10, and 50 MUg/mL) were spiked to 1,
2, and 4 MUg/mL of MA-ADM6A10. Spiking of 50 MUg/mL adalimumab to eight
individual sera revealed similar anti-adalimumab antibody concentrations as in
the absence of adalimumab, with a Pearson r correlation of 0.99 and an interclass
correlation of 0.99. The assay allows accurate evaluation of adalimumab
immunogenicity during induction or upon dose intensification and in serum samples
not taken at trough.
PMID- 27873118
TI - Current Update of a Carboxymethylcellulose-PEG Conjugate Platform for Delivery of
Insoluble Cytotoxic Agents to Tumors.
AB - Cytotoxic chemotherapeutic agents are used as the standard therapy for a range of
significant cancers, but many of these drugs suffer from poor water solubility
and low selectivity, limiting their clinical efficacy. To overcome these
shortcomings, CellaxTM drug delivery platform was developed. CellaxTM is a
polymer-based nanoparticle drug delivery system designed to solubilize
hydrophobic drugs and target them to solid tumors, thereby enhancing the efficacy
and reducing the side effects. Cellax-docetaxel (Cellax-DTX) displayed improved
pharmacokinetic, safety, and efficacy profiles compared to native DTX
(Taxotere(r)) and Nab-paclitaxel (Nab-PTX, Abraxane(r)) in multiple animal
models. Cellax-DTX was shown to interact with serum albumin and SPARC (secreted
protein acidic and rich in cysteine) that is highly expressed by tumor stromal
cells, leading to superior stroma depleting activity in orthotopic breast and
pancreatic tumor models and subsequently reduced incidence of visceral metastases
compared to free DTX and Nab-PTX. The CellaxTM platform was employed to deliver
podophyllotoxin (Cellax-PPT) and cabazitaxel (Cellax-CBZ), and increased their
safety and efficacy against multidrug-resistant tumors. This review discusses the
rational design of the CellaxTM platform and summarizes the preclinical results.
A multifunctional version of CellaxTM and a biomarker for predicting CellaxTM
efficacy were developed and identified to promote the personalized use.
Perspectives and future plans for this platform technology are also provided.
PMID- 27873120
TI - "Katakori": a Pain Syndrome Specific to the Japanese.
PMID- 27873121
TI - Challenges to Treatment of Chronic Pain and Addiction During the "Opioid Crisis".
AB - Health care in the USA faces a double challenge, the crisis of chronic pain and
the crisis of opioid misuse and overdose. Patients have been prescribed opioids
at high doses with unclear indications for long periods of time, putting them at
high risk for morbidity and mortality. A significant proportion of these patients
have comorbid psychiatric or substance use disorders complicating their pain
conditions. The challenges to treating these patients adequately are discussed,
along with potential solutions to these issues at the level of the individual
provider, healthcare systems, and society.
PMID- 27873122
TI - How Well Does the ICHD 3 (Beta) Help in Real-Life Migraine Diagnosis and
Management?
AB - Classification has played a major role in the diagnosis of primary headache
conditions including migraine with and without aura. With many updates and
changes, the International Classification of Headache Disorders (ICHD)-3 beta is
currently considered as the gold standard for classification of migraine and
other headaches. Correct diagnosis of migraine and its subtypes is a first step
toward appropriate treatment and crucial to minimizing disability and optimizing
health-related quality of life. The ICHD-3 beta version represents the state of
the art in migraine diagnosis but is expected to evolve as biological knowledge
advances. Future research should focus on identification of biologically
homogeneous subgroups of migraine based on genes and biomarkers.
PMID- 27873124
TI - Carotid and Vertebral Dissection Imaging.
AB - Carotid or vertebral artery dissection is the result of a tear in the vessel
lining wherein the intima separates the media. This creates a false or pseudo
lumen, often accompanied by hemorrhage into the arterial wall. Dissection of
these craniocervical vessels often manifests with pain alone but, if untreated,
may result in severe neurologic compromise. The causes of dissection are
multifactorial, including spontaneous, iatrogenic, and traumatic insults.
Regardless of etiology, treatment consists primarily of anticoagulation, whereas
endovascular therapy is reserved for cases with persistent thrombus or flow
limitation. Given the high risk of neurological compromise or death and the
propensity of these injuries to occur in younger individuals, early diagnosis of
carotid and vertebral artery dissections is critical. Although angiography
remains the criterion standard for diagnosis, advances in noninvasive imaging
have placed magnetic resonance and computed tomography at the forefront of
diagnosis. This article examines the current imaging modalities used to diagnose
this under-recognized entity.
PMID- 27873123
TI - Vestibular Migraine in Children and Adolescents.
AB - PURPOSE OF REVIEW: The goal of this review is to provide an actualized overview
on vestibular migraine in childhood and adolescence, with focus on the
epidemiology and clinical presentation as well as its treatment. RECENT FINDINGS:
Vertigo spells in childhood can evolve into other periodic syndromes and/or
migraine types and persist even into adulthood. Vestibular migraine (VM) and
benign paroxysmal vertigo are the most common causes of vertigo in children and
adolescents. The diagnostic criteria for VM are dizziness and vertigo, headache,
phonophobia and photophobia, and visual aura. The prevention of attacks is the
treatment for children and adolescents with VM, as is recommended for migraine
with or without aura. Thus, non-pharmacological measures are the first-line
option; when these measures fail or daily activities are notably affected, drugs
are administrated. Psychological assessment and cognitive behavioral therapy are
also important therapeutic measures in this patient group. There is still
insufficient research on VM in children and adolescents; future studies on
clinical presentation, evolvement, and specific treatment are necessary.
PMID- 27873126
TI - Resistance to Non-glycopeptide Agents in Serious Staphylococcus aureus
Infections.
AB - The role of vancomycin in the treatment of serious Staphylococcus aureus
infections, both methicillin-susceptible and methicillin-resistant, is becoming
increasingly ineffective due to increasing MIC and failure. The development of
reduced vancomycin susceptibility by S. aureus to glycopeptides highlights the
need for clinicians to reexamine the roles of non-glycopeptide therapy. As the
use of these alternative non-glycopeptides antimicrobials increases, it will
become pertinent to monitor the rates of resistance. Large surveillance programs
have provided data for resistance against S. aureus for the non-glycopeptides
(daptomycin, ceftaroline, tigecycline, linezolid, and tedizolid). The current
published literatures suggest that worldwide resistance rates to these non
glycopeptides for serious MRSA infections are still low. Implementation of
antimicrobial stewardship programs will be crucial in prevention of resistance of
these antimicrobials against S. aureus.
PMID- 27873127
TI - Radiotherapy-induced global and regional differences in early-stage left-sided
versus right-sided breast cancer patients: speckle tracking echocardiography
study.
AB - Radiotherapy (RT) to the thoracic region increases late cardiovascular morbidity
and mortality. The impact of breast cancer laterality on cardiac function is
largely unknown. The aim of this prospective study was to compare RT-induced
changes in left-sided and right-sided breast cancer patients using speckle
tracking echocardiography (STE). Sixty eligible patients with left-sided breast
cancer and 20 with right-sided breast cancer without chemotherapy were evaluated
prospectively before and early after RT. A comprehensive echocardiographic
examination included three dimensional measurements and STE of the left ventricle
(LV). The global longitudinal strain (GLS) was reduced from -18.3 +/- 3.1 to
17.2 +/- 3.3% (p = 0.003) after RT in patients with left-sided breast cancer.
Similarly, regional analysis showed a reduction in the apical strain from -18.7
+/- 5.3 to -16.7 +/- 4.9% (p = 0.002) and an increase in basal values from -21.6
+/- 5.0 to -23.3 +/- 4.9% (p = 0.024). Patients with right-sided breast cancer
showed deterioration in basal anterior strain segments from -26.3 +/- 7.6 to
18.8 +/- 8.9% (p < 0.001) and in pulsed tissue Doppler by 0.825 [0.365, 1.710]
cm/s (p < 0.001). In multivariable analysis, the use of aromatase inhibitor (beta
= -2.002, p = 0.001) and decreased LV diastolic volume (beta = -0.070, p = 0.025)
were independently associated with the decrease in GLS. RT caused no changes in
conventional LV systolic measurements. RT induced regional changes corresponded
to the RT fields. Patients with left-sided breast cancer experienced apical
impact and global decline, whereas patients with right-sided breast cancer showed
basal changes. The regional differences in cardiac impact warrant different
methods in screening and in the follow-up of patients with left-sided versus
right-sided breast cancer.
PMID- 27873128
TI - Assessment of myocardial delayed enhancement with cardiac computed tomography in
cardiomyopathies: a prospective comparison with delayed enhancement cardiac
magnetic resonance imaging.
AB - To evaluate the feasibility of cardiac CT for the evaluation of myocardial
delayed enhancement (MDE) in the assessment of patients with cardiomyopathy,
compared to cardiac MRI. A total of 37 patients (mean age 54.9 +/- 15.7 years, 24
men) who underwent cardiac MRI to evaluate cardiomyopathy were enrolled. Dual
energy ECG-gated cardiac CT was acquired 12 min after contrast injection. Two
observers evaluated cardiac MRI and cardiac CT at different kV settings (100, 120
and 140 kV) independently for MDE pattern-classification (patchy, transmural,
subendocardial, epicardial and mesocardial), differentiation between ischemic and
non-ischemic cardiomyopathy and MDE quantification (percentage MDE). Kappa
statics and the intraclass correlation coefficient were used for statistical
analysis. Among different kV settings, 100-kV CT showed excellent agreements
compared to cardiac MRI for MDE detection (kappa = 0.886 and 0.873,
respectively), MDE pattern-classification (kappa = 0.888 and 0.881, respectively)
and differentiation between ischemic and non-ischemic cardiomyopathy (kappa =
1.000 and 0.893, respectively) for both Observer 1 and Observer 2. The Bland
Altman plot between MRI and 100-kV CT for the percentage MDE showed a very small
bias (-0.15%) with 95% limits of agreement of -7.02 and 6.72. Cardiac CT using
100 kV might be an alternative method to cardiac MRI in the assessment of
cardiomyopathy, particularly in patients with contraindications to cardiac MRI.
PMID- 27873131
TI - 2017 National Society of Genetic Counselors Presidential Address: Do Something
that Scares You.
PMID- 27873129
TI - Expression of Dixdc1 and its Role in Astrocyte Proliferation after Traumatic
Brain Injury.
AB - DIX domain containing 1 (Dixdc1), a positive regulator of Wnt signaling pathway,
is recently reported to play a role in the neurogenesis. However, the
distribution and function of Dixdc1 in the central nervous system (CNS) after
brain injury are still unclear. We used an acute traumatic brain injury (TBI)
model in adult rats to investigate whether Dixdc1 is involved in CNS injury and
repair. Western blot analysis and immunohistochemistry showed a time-dependent up
regulation of Dixdc1 expression in ipsilateral cortex after TBI. Double
immunofluorescent staining indicated a colocalization of Dixdc1 with astrocytes
and neurons. Moreover, we detected a colocalization of Ki-67, a cell
proliferation marker with GFAP and Dixdc1 after TBI. In primary cultured
astrocytes stimulated with lipopolysaccharide, we found enhanced expression of
Dixdc1 in parallel with up-regulation of Ki-67 and cyclin A, another cell
proliferation marker. In addition, knockdown of Dixdc1 expression in primary
astrocytes with Dixdc1-specific siRNA transfection induced G0/G1 arrest of cell
cycle and significantly decreased cell proliferation. In conclusion, all these
data suggest that up-regulation of Dixdc1 protein expression is potentially
involved in astrocyte proliferation after traumatic brain injury in the rat.
PMID- 27873130
TI - Phase I clinical and pharmacokinetic study of PM01183 (a tetrahydroisoquinoline,
Lurbinectedin) in combination with gemcitabine in patients with advanced solid
tumors.
AB - Background To determine the recommended dose (RD) of a combination of PM01183 and
gemcitabine in patients with advanced solid tumors. Methods Forty-five patients
received escalating doses of PM01183/gemcitabine on Days 1 and 8 every 3 weeks
(d1,8 q3wk) following a standard 3 + 3 design. Results PM01183 3.5 mg flat dose
(FD)/gemcitabine 1000 mg/m2 was the highest dose level tested. Dose-limiting
toxicities (DLTs) were mostly hematological and resulted in the expansion of a
lower dose level (PM01183 3.5 mg FD/gemcitabine 800 mg/m2); 19 patients at this
dose level were evaluable but >30% had DLT and >20% had febrile neutropenia. No
DLT was observed in 11 patients treated at PM01183 3.0 mg FD/gemcitabine 800
mg/m2, which was defined as the RD. This regimen was feasible and tolerable with
manageable toxicity; mainly grade 3/4 myelosuppression. Non-hematological
toxicity comprised fatigue, nausea, vomiting, and transaminases increases.
Fifteen (33%) patients received >=6 cycles with no cumulative hematological
toxicity. Pharmacokinetic analysis showed no evidence of drug-drug interaction.
Nine of 38 patients had response as per RECIST (complete [3%] and partial [21%]),
for an overall response rate (ORR) of 24% (95% Confidence Interval [CI] 12-40%).
Eleven patients (29%) had disease stabilization >=4 months. Responses were
durable (median of 8.5 months): overall median progression-free survival (PFS)
was 4.2 months (95% CI, 2.7-6.5 months). Conclusions The RD for this combination
is PM01183 3.0 mg FD (or 1.6 mg/m2)/gemcitabine 800 mg/m2 d1,8 q3wk. This
schedule is well tolerated and has antitumor activity in several advanced solid
tumor types.
PMID- 27873132
TI - Mechanisms of Excessive Extracellular Glutamate Accumulation in Temporal Lobe
Epilepsy.
AB - There is compelling evidence that initiation and maintenance of epileptic
seizures in temporal lobe epilepsy (TLE) is facilitated by excessive accumulation
in the extracellular (perisynaptic) space of the excitatory neurotransmitter
glutamate (Glu). This review discusses the mechanisms underlying this phenomenon.
Glu released from neurons is taken up by astrocytes and activated there by
glutamine synthetase (GS) to form glutamine (Gln) which upon entry to neurons is
degraded back to Glu by phosphate-activated glutaminase (PAG): this chain of
reactions has been defined as the glutamine/glutamate/cycle (GGC). In the initial
phase of epileptogenesis, increased Glu supply is a consequence of activation of
its turnover in GGC by Glu released by a primary chemical or physical stimulus.
In chronic TLE, profound astrogliosis and demise of neurons which culminate in
hippocampal sclerosis, are associated with changes in GGC which act in concert
towards increasing the extracellular Glu concentration. Deficiency of GS and of
the astrocytic Glu transporter, GLT-1, impede Glu inactivation, whereas Glu
release from neurons appears facilitated by activation of PAG and increased
activity of the neuronal Glu transporter EAAC1. Conclusions derived from
measurements of activities/expression patterns of the GGC enzymes and transporter
moieties find support in metabolic studies employing 13C labeled Glu precursors.
Glu reuptake by astrocytes is additionally impeded by unfavorable ion gradients
resulting from ion and water dyshomeostasis, and extracellular Glu concentration
is further increased by reduction of extracellular space due to edema and altered
cytoarchitecture of the hippocampus. Missing links in the scenario are discussed
in concluding comments.
PMID- 27873134
TI - In reply to Kadri Altundag.
PMID- 27873133
TI - Altered Expression of CXCL13 and CXCR5 in Intractable Temporal Lobe Epilepsy
Patients and Pilocarpine-Induced Epileptic Rats.
AB - The mechanisms that underlie the pathogenesis of epilepsy are still unclear.
Recent studies have indicated that inflammatory processes occurring in the brain
are involved in a common and crucial mechanism in epileptogenesis. C-X-C motif
chemokine ligand 13 (CXCL13) and its only receptor, C-X-C motif chemokine
receptor 5 (CXCR5), are highly expressed in the central nervous system (CNS) and
participate in inflammatory responses. The present study aimed to assess the
expression of CXCL13 and CXCR5 in the brain tissues of both patients with
intractable epilepsy (IE) and a rat model (lithium-pilocarpine) of temporal lobe
epilepsy (TLE) to identify possible roles of the CXCL13-CXCR5 signaling pathway
in epileptogenesis. Real-time quantitative polymerase chain reaction (RT-qPCR),
immunohistochemical, double-labeled immunofluorescence and Western blot analyses
were performed in this study. CXCL13 and CXCR5 mRNA expression and protein levels
were found to be significantly up-regulated in the TLE patients and TLE rats.
Further, CXCL13 and CXCR5 protein levels were altered during the different
epileptic phases after onset of status epilepticus (SE) in the pilocarpine model
rats, including the acute phase (6, 24, and 72 h), latent phase (7 and 14 days)
and chronic phase (30 and 60 days groups). Moreover, double-labeled
immunofluorescence analysis revealed that CXCL13 was mainly expressed in the
cytomembranes and cytoplasm of neurons and astrocytes, while CXCR5 was mainly
expressed in the cytomembranes and cytoplasm of neurons. Thus, the CXCL13-CXCR5
signaling pathway may play a possible pathogenic role in IE. CXCL13 and CXCR5 may
represent potential biomarkers of brain inflammation in epileptic patients.
PMID- 27873136
TI - Afatinib: A Review in Advanced Non-Small Cell Lung Cancer.
AB - Afatinib (Giotrif(r), Gilotrif(r)) is an orally administered, irreversible
inhibitor of the ErbB family of tyrosine kinases. In the first-line treatment of
patients with advanced lung adenocarcinoma with activating epidermal growth
factor receptor (EGFR) mutations, afatinib significantly prolonged progression
free survival (PFS) and time to treatment failure (TTF), but not overall survival
(OS), compared with gefitinib (LUX-Lung 7 trial). In the overall population of
patients receiving first-line treatment for advanced lung adenocarcinoma with
activating EGFR mutations, afatinib significantly prolonged PFS, but not OS,
compared with pemetrexed plus cisplatin (LUX-Lung 3 trial) or gemcitabine plus
cisplatin (LUX-Lung 6 trial). However, in both LUX-Lung 3 and LUX-Lung 6, OS was
significantly prolonged in the subgroup of patients with deletions in exon 19
receiving afatinib versus chemotherapy. In the second-line treatment of advanced
squamous non-small cell lung cancer (NSCLC), afatinib significantly prolonged PFS
and OS, compared with erlotinib, regardless of EGFR mutation status (LUX-Lung 8
trial). Afatinib had a predictable and manageable tolerability profile in
patients with advanced NSCLC. In conclusion, afatinib is an important option for
the first-line treatment of patients with advanced NSCLC and activating EGFR
mutations, and provides an additional option for the treatment of patients with
squamous NSCLC that has progressed following first-line platinum-based
chemotherapy.
PMID- 27873135
TI - Left atrium: the last bulwark before overt heart failure.
AB - Heart failure (HF) with preserved ejection fraction (HFpEF) has emerged as an
important public health issue in recent years. It represents the most common type
of HF in ambulatory setting, and it has been recognized as a different entity
from the reduced ejection fraction (EF) form. In HFpEF, continuous growing
attention has been focused on the role of the left atrium (LA) in preserving good
ventricular function and asymptomatic condition of the patient since the very
first stages of diastolic dysfunction (DD). Non-invasive and complete
echocardiographic evaluation of diastolic phase cannot exempt from accurately
analyzed LA size, mostly LA volume, and its function, in particular LA myocardial
deformation by speckle tracking echocardiography (STE). This review examines the
expanding role of the LA in DD and HFpEF and the importance of its complete
assessment in various settings, from diagnosis to correlation with major
cardiovascular events.
PMID- 27873137
TI - CASPer, an online pre-interview screen for personal/professional characteristics:
prediction of national licensure scores.
AB - Typically, only a minority of applicants to health professional training are
invited to interview. However, pre-interview measures of cognitive skills predict
for national licensure scores (Gauer et al. in Med Educ Online 21 2016) and
subsequently licensure scores predict for performance in practice (Tamblyn et al.
in JAMA 288(23): 3019-3026, 2002; Tamblyn et al. in JAMA 298(9):993-1001, 2007).
Assessment of personal and professional characteristics, with the same
psychometric rigour of measures of cognitive abilities, are needed upstream in
the selection to health profession training programs. To fill that need, Computer
based Assessment for Sampling Personal characteristics (CASPer)-an on-line, video
based screening test-was created. In this paper, we examine the correlation
between CASPer and Canadian national licensure examination outcomes in 109
doctors who took CASPer at the time of selection to medical school. Specifically,
CASPer scores were correlated against performance on cognitive and 'non
cognitive' subsections of both the Medical Council of Canada Qualifying
Examination (MCCQE) Parts I (end of medical school) and Part II (18 months into
specialty training). Unlike most national licensure exams, MCCQE has specific
subcomponents examining personal/professional qualities, providing a unique
opportunity for comparison. The results demonstrated moderate predictive validity
of CASPer to national licensure outcomes of personal/professional characteristics
three to six years after admission to medical school. These types of
disattenuated correlations (r = 0.3-0.5) are not otherwise predicted by
traditional screening measures. These data support the ability of a computer
based strategy to screen applicants in a feasible, reliable test, which has now
demonstrated predictive validity, lending evidence of its validation for medical
school applicant selection.
PMID- 27873139
TI - When Marcel Mauss's Essai sur le Don becomes The Gift: variations on the theme of
solidarity.
AB - Since the early 1970s, Marcel Mauss's Essai sur le Don (1923), translated into
English as The Gift in 1954, has been a standard reference in the social science
and bioethical literature on the use of human body parts and substances for
medical and research purposes. At that time, three social scientists-political
scientist Richard Titmuss in the United Kingdom and sociologist Renee C. Fox
working with historian Judith Swazey in the United States-had the idea of using
this concept to highlight the fundamental structure of the biomedical practices
they were studying, respectively, blood donation, and hemodialysis and organ
transplantation. The fact that these first applications of Mauss's essay should
emerge in English- rather than in French-speaking countries raises the question
of what the translation of the essay, and notably of the word don as gift, may
have to do with this fact. Reading Mauss in translation undoubtedly inspired a
seminal approach to interpreting medical and research practices based on bodily
giving. This article posits that something may have also been lost: a much
broader concept of giving with unquestionable links to the Durkheimian concept of
solidarity, which Mauss conceptualizes not only as an obligation but also as a
liberty to give.
PMID- 27873138
TI - Photo-enzymatic repair of UVB-induced DNA damage in the two-spotted spider mite
Tetranychus urticae.
AB - Ambient ultraviolet-B (UVB) radiation induces lethal effects in the two-spotted
spider mite Tetranychus urticae, whereas photoreactivation by irradiation with
ultraviolet-A and visible light (VIS) plays an important role to increase
survival of mites irradiated by UVB. The physiological mechanisms and ecological
significance of photoreactivation in terrestrial arthropods have not been shown
clearly. We verified the biological impact and accumulation of DNA lesions by UVB
irradiation and the repair of them by photoreactivation in T. urticae larvae.
Survival of UVB-irradiated larvae decreased with increasing UVB dose, but
recovered remarkably with VIS exposure after UVB irradiation (photoreactivation).
The DNA lesions, cyclobutane pyrimidine dimers (CPDs) and 6-4 pyrimidine
pyrimidine photoproducts (6-4PPs) linearly increased with the UVB dose. The CPDs
were repaired after exposure to VIS, whereas the frequency of 6-4PPs was
unaffected by VIS; CPD photolyase genes, but not (6-4) photolyase genes, have
been found in the T. urticae genome. Therefore, DNA damage and CPD photo
enzymatic repair (PER) is significant for survival in this mite under ambient UVB
radiation. Unexpectedly, gene expression of CPD photolyase was unaffected by
irradiation with UVB and VIS. Instead, expression of xeroderma pigmentosum A
(XPA) was increased by irradiation. XPA is a core factor in nucleotide excision
repair (NER), which is a repair system unrelated to photo energy. The
relationship between gene expression and enzymatic repair remains unclear. To
elucidate the PER process in T. urticae, further study will be necessary on the
gene expression patterns and molecular functions of CPD photolyase in PER and of
XPA in NER.
PMID- 27873140
TI - Cross-Cultural Validity of the Social Communication Questionnaire for Adults with
Intellectual Developmental Disorder.
AB - Diagnosing Autism Spectrum Disorders (ASD) is important throughout the lifespan.
The objective was to investigate the transcultural diagnostic validity of the
Social Communication Questionnaire (SCQ) in a clinical sample of 451 adults with
Intellectual Developmental Disorder (IDD) with and without ASD in Germany, the
U.S.A. and Great Britain. Variables associated with higher SCQ sum-scores were
higher levels of IDD, male gender, a diagnosis of ASD and the study site (Germany
> U.S.A > G.B.). An ROC analysis revealed a cut-score of 13, which resulted in a
sensitivity of 0.87 and a specificity of 0.58. It is recommended to adjust the
cut-score according to level of IDD and gender. Further research is needed to
align diagnostic assignment of ASD across different sites and countries.
PMID- 27873142
TI - University Hospital Waterford: 5-year experience of cutaneous melanoma.
AB - INTRODUCTION: The incidence of cutaneous melanoma (CM) continues to rise in
Ireland. Despite significant advances in melanoma molecular therapy, surgery
remains the mainstay of treatment for CM. The University Hospital Waterford (UHW)
prospectively maintained CM registry was established in 2010. AIM: To summarize 5
year experience (2010-2015) of primary CM presenting to UHW. METHODS: Data were
retrospectively obtained from a central electronic pathology and radiology
repository augmented by HIPE data and theatre logs. Data collected included
patient demographics and clinico-pathological characteristics, specimen number,
size, anatomical location, melanoma subtype, Breslow thickness, Clark's level,
ulceration, and mitosis. RESULTS: 592 CMs were managed in UHW during the study
period. Overall, females comprised the majority of cases with mean age at
presentation 60.78 +/- 18.29 years. The most commonly affected anatomical
location was the lower limb (26.7%) followed by the back (15.1%), upper limb
(15.07%), and face (14.40%). Superficial spreading and lentigo maligna were the
most common histological subtype accounting for 19.8 and 20%, respectively.
Overall, the mean Breslow depth was 2.4 +/- 3.7 mm with corresponding Clark's
Level III. Sentinel lymph node positivity was 39/103 (37.89%) most commonly
located in the axilla (53.8%) and groin (30.7%). CONCLUSION: There has been a
steady increase in the number of cutaneous melanoma presentations over the past 5
years to the South East Cancer Centre. Patients are managed best by prompt
surgical excision and multidisciplinary management. Our results are in keeping
with international standards and work continues in determining overall 5-year
survival and recurrence rates.
PMID- 27873141
TI - The Contribution of Maternal ADHD Symptomatology, Maternal DAT1, and Home
Atmosphere to Child ADHD Symptomatology at 7 Years of Age.
AB - Children of mothers with attention-deficit/hyperactivity disorder (ADHD) have an
increased genetic and environmental risk for ADHD. The unique and interactive
contributions of a maternal dopamine receptor gene (DAT1), maternal ADHD symptoms
(hyperactive- impulsive, inattentive), and home atmosphere to the prediction of
ADHD symptoms (hyperactive- impulsive, inattentive) in 7- year-old boys (N = 96)
were examined using data from a longitudinal study of familial risk for ADHD.
During the first 6 months of the study, mothers and their spouses completed a
questionnaire about the mother's ADHD symptoms. Home atmosphere questionnaire
data were collected 4 years later. At the 7-year assessment, mothers reported on
their child's ADHD symptoms. Negative home atmosphere was significantly
associated with child hyperactive-impulsive and inattentive symptoms. Maternal
inattentive symptoms were significantly correlated with both child symptom
dimensions. Regression models, with child genotype and maternal education
controlled, showed main effects for maternal inattentive symptoms, maternal DAT1
10/10 genotype, and home atmosphere in the prediction of child inattentive
symptoms. Only home atmosphere predicted child hyperactive-impulsive symptoms.
There was a significant home atmosphere x maternal hyperactive-impulsive symptoms
interaction in the prediction of child hyperactive-impulsive symptoms. Boys with
higher levels of symptoms came from homes characterized by higher levels of
negative atmosphere and had mothers with higher levels of hyperactive-impulsive
symptoms. There was also a trend (p = 0.075) for a maternal DAT1 x home
atmosphere interaction. Boys with higher levels of inattentive symptoms came from
homes with higher levels of negative atmosphere and had mothers with the
homozygous 10/10 genotype. The maternal heterozygous 9/10 genotype did not
predict child symptoms.
PMID- 27873143
TI - Regulation of Rac1 GTPase activity by quinine through G-protein and bitter taste
receptor T2R4.
AB - Rac1 belongs to the Rho family of small GTPases and regulates actin cytoskeleton
reorganization. T2R4 is a bitter taste receptor belonging to the G protein
coupled receptor family of proteins. In addition to mediating bitter taste
perception from the tongue, T2R4s are found in extra-oral tissues, e.g., nasal
epithelium, airways, brain, testis suggesting a much broader physiological
function for these receptors. Anti-malarial drug and a bitter tasting compound,
quinine, is a known agonist for T2R4, whereas BCML (Nalpha,Nalpha
Bis(carboxymethyl)-L-lysine) acts as an inverse agonist. Using western blot and
Ca++ mobilization assays, the effects of quinine on Rac1 activity in HEK293T
cells stably expressing T2R4/Galpha16/44, T2R4, or Galpha16/44 and transiently
transfected with HA-Rac1 were investigated. Quinine treatment caused a
significant reduction in the amount of active Rac1, whereas in the presence of
BCML, quinine failed to cause any significant change in active Rac1. No
significant change in Rac1 activity was observed in BAPTA-AM plus quinine-treated
Galpha16/44 cells, suggesting possibility of a pathway in addition to the
canonical Ca++-dependent pathway. A noticeable role for Galpha16/44 independent
of T2R4 is observed in quinine-mediated Rac1 inactivation. Further, a significant
difference in quinine-induced Ca++ response in T2R4/Galpha16/44 or T2R4 cells was
observed validating the partial role of calcium and importance of Galpha16/44.
This study is the first to show an inhibitory downstream action of a T2R4 agonist
on Rac1 function. Further investigation will help in better understanding the
downstream signal transduction network of T2R4 and its extra-oral physiological
roles.
PMID- 27873144
TI - Truncation of the MSH2 C-terminal 60 amino acids disrupts effective DNA mismatch
repair and is causative for Lynch syndrome.
AB - Missense variants of DNA mismatch repair (MMR) genes pose a problem in clinical
genetics as long as they cannot unambiguously be assigned as the cause of Lynch
syndrome (LS). To study such variants of uncertain clinical significance, we have
developed a functional assay based on direct measurement of MMR activity in mouse
embryonic stem cells expressing mutant protein from the endogenous alleles. We
have applied this protocol to a specific truncation mutant of MSH2 that removes
60 C-terminal amino acids and has been found in suspected LS families. We show
that the stability of the MSH2/MSH6 heterodimer is severely perturbed, causing
attenuated MMR in in vitro assays and cancer predisposition in mice. This
mutation can therefore unambiguously be considered as deleterious and causative
for LS.
PMID- 27873145
TI - Use of refrigerant spray of a propane/butane/isobutane gas mixture in the
management of keratocystic odontogenic tumors: a preliminary study.
AB - PURPOSE: Keratocystic odontogenic tumor (KCOT) is an aggressive benign tumor and
the management by complete enucleation followed by cryotherapy maintains the
inorganic bone matrix, resulting in better repair and reduces the rates of
recurrence. A refrigerant spray with a propane/butane/isobutane gas mixture has
been pointed to as an alternative to liquid nitrogen, because the device is easy
to handle and contain within the cavity, providing better control and lower risk
of injury to the adjacent soft tissue. Thus, the aim of this study was to
evaluate the outcome of enucleation followed by cryosurgery using a refrigerant
spray of this gas mixture in ten patients diagnosed with KCOT. METHOD: The
biggest lesions received a prior treatment consisting of marsupialization to
decrease the tumor size. During the surgeries, the lesions were removed by
enucleation and the surgical site was sprayed with the gas mixture. RESULTS:
Wound dehiscence was observed in all cases, which healed by the second intention.
The mean follow-up period was 64.3 months (range 24-120 months). Eight of the ten
patients showed no evidence of clinical or radiographic recurrence. Pathologic
fractures and infections were not observed. CONCLUSIONS: The results obtained
suggest that enucleation followed by cryosurgery is an effective therapy for
managing KCOT.
PMID- 27873147
TI - Fully automated MR liver volumetry using watershed segmentation coupled with
active contouring.
AB - PURPOSE: Our purpose is to develop a fully automated scheme for liver volume
measurement in abdominal MR images, without requiring any user input or
interaction. METHODS: The proposed scheme is fully automatic for liver volumetry
from 3D abdominal MR images, and it consists of three main stages: preprocessing,
rough liver shape generation, and liver extraction. The preprocessing stage
reduced noise and enhanced the liver boundaries in 3D abdominal MR images. The
rough liver shape was revealed fully automatically by using the watershed
segmentation, thresholding transform, morphological operations, and statistical
properties of the liver. An active contour model was applied to refine the rough
liver shape to precisely obtain the liver boundaries. The liver volumes
calculated by the proposed scheme were compared to the "gold standard" references
which were estimated by an expert abdominal radiologist. RESULTS: The liver
volumes computed by using our developed scheme excellently agreed (Intra-class
correlation coefficient was 0.94) with the "gold standard" manual volumes by the
radiologist in the evaluation with 27 cases from multiple medical centers. The
running time was 8.4 min per case on average. CONCLUSIONS: We developed a fully
automated liver volumetry scheme in MR, which does not require any interaction by
users. It was evaluated with cases from multiple medical centers. The liver
volumetry performance of our developed system was comparable to that of the gold
standard manual volumetry, and it saved radiologists' time for manual liver
volumetry of 24.7 min per case.
PMID- 27873146
TI - Comparison of Dialysis- and Solvatofluorochromism-Based Methods to Determine Drug
Release Rates from Polymer Nanoassemblies.
AB - PURPOSE: To compare traditional dialysis- and novel solvatofluorochromism (SFC)
based methods for accurate determination of drug release profiles for
nanoparticle drug carriers. METHODS: Polymer nanoassemblies (PNAs) varying in
drug release patterns were prepared using poly(ethylene glycol),
poly(ethylenimine), hydrophobic excipients (palmitate and deoxycholate), and
model hydrophobic anticancer drugs with clinical relevance (carfilzomib and
docetaxel). Nile blue (NB) was used as a model SFC dye quenching fluorescence in
water yet emitting strong fluorescence in the presence of hydrophobic drugs
within PNAs. Drug release kinetics were measured by dialysis- and SFC-based
methods, and analyzed by mathematical modeling of free drug, spiked drug, and
encapsulated drug release. RESULTS: The dialysis method overestimated drug
remaining in PNAs because it included released drug in measurements, whereas the
SFC method successfully distinguished drugs entrapped in PNAs from released in
solution and thus provided more accurate drug release patterns. However,
mathematical modeling revealed that the dialysis method would be less influenced
than the SFC method by hydrophobic excipients modulating drug diffusion within
PNAs. CONCLUSIONS: In comparison to the dialysis-based method, the SFC-based
method would allow for real-time spectroscopic determination of drug release from
PNAs and potentially other nanoparticle drug carriers with improved convenience
and accuracy.
PMID- 27873149
TI - Erratum to: Quantifying Adventitious Error in a Covariance Structure as a Random
Effect.
PMID- 27873150
TI - Commemorating the 80th Anniversary of the Founding of Psychometrika: Introduction
by the Guest Editors.
PMID- 27873148
TI - Local texture descriptors for the assessment of differences in diffusion magnetic
resonance imaging of the brain.
AB - PURPOSE: Descriptors extracted from magnetic resonance imaging (MRI) of the brain
can be employed to locate and characterize a wide range of pathologies. Scalar
measures are typically derived within a single-voxel unit, but neighborhood-based
texture measures can also be applied. In this work, we propose a new set of
descriptors to compute local texture characteristics from scalar measures of
diffusion tensor imaging (DTI), such as mean and radial diffusivity, and
fractional anisotropy. METHODS: We employ weighted rotational invariant local
operators, namely standard deviation, inter-quartile range, coefficient of
variation, quartile coefficient of variation and skewness. Sensitivity and
specificity of those texture descriptors were analyzed with tract-based spatial
statistics of the white matter on a diffusion MRI group study of elderly healthy
controls, patients with mild cognitive impairment (MCI), and mild or moderate
Alzheimer's disease (AD). In addition, robustness against noise has been assessed
with a realistic diffusion-weighted imaging phantom and the contamination of the
local neighborhood with gray matter has been measured. RESULTS: The new texture
operators showed an increased ability for finding formerly undetected differences
between groups compared to conventional DTI methods. In particular, the
coefficient of variation, quartile coefficient of variation, standard deviation
and inter-quartile range of the mean and radial diffusivity detected significant
differences even between previously not significantly discernible groups, such as
MCI versus moderate AD and mild versus moderate AD. The analysis provided
evidence of low contamination of the local neighborhood with gray matter and high
robustness against noise. CONCLUSIONS: The local operators applied here enhance
the identification and localization of areas of the brain where cognitive
impairment takes place and thus indicate them as promising extensions in
diffusion MRI group studies.
PMID- 27873151
TI - A Stereotactic Probabilistic Atlas for the Major Cerebral Arteries.
AB - Improved whole brain angiographic and velocity-sensitive MRI is pushing the
boundaries of noninvasively obtained cerebral vascular flow information. The
complexity of the information contained in such datasets calls for automated
algorithms and pipelines, thus reducing the need of manual analyses by trained
radiologists. The objective of this work was to lay the foundation for such
automated pipelining by constructing and evaluating a probabilistic atlas
describing the shape and location of the major cerebral arteries. Specifically,
we investigated how the implementation of a non-linear normalization into
Montreal Neurological Institute (MNI) space improved the alignment of individual
arterial branches. In a population-based cohort of 167 subjects, age 64-68 years,
we performed 4D flow MRI with whole brain volumetric coverage, yielding both
angiographic and anatomical data. For each subject, sixteen cerebral arteries
were manually labeled to construct the atlas. Angiographic data were normalized
to MNI space using both rigid-body and non-linear transformations obtained from
anatomical images. The alignment of arterial branches was significantly improved
by the non-linear normalization (p < 0.001). Validation of the atlas was based on
its applicability in automatic arterial labeling. A leave-one-out validation
scheme revealed a labeling accuracy of 96 %. Arterial labeling was also performed
in a separate clinical sample (n = 10) with an accuracy of 92.5 %. In conclusion,
using non-linear spatial normalization we constructed an artery-specific
probabilistic atlas, useful for cerebral arterial labeling.
PMID- 27873152
TI - A Comparison of Pharmacokinetic and Pharmacodynamic Properties Between Faster
Acting Insulin Aspart and Insulin Aspart in Elderly Subjects with Type 1 Diabetes
Mellitus.
AB - BACKGROUND: Due to population aging, an increasing number of elderly patients
with diabetes use insulin. It is therefore important to investigate the
characteristics of new insulins in this population. Faster-acting insulin aspart
(faster aspart) is insulin aspart (IAsp) in a new formulation with faster
absorption. This study investigated the pharmacological properties of faster
aspart in elderly subjects with type 1 diabetes mellitus (T1DM). METHODS: In a
randomised, double-blind, two-period crossover trial, 30 elderly (>=65 years) and
37 younger adults (18-35 years) with T1DM received single subcutaneous faster
aspart or IAsp dosing (0.2 U/kg) and underwent an euglycaemic clamp (target 5.5
mmol/L) for up to 12 h. RESULTS: The pharmacokinetic and pharmacodynamic time
profiles were left-shifted for faster aspart versus IAsp. In each age group,
onset of appearance occurred approximately twice as fast (~3 min earlier) and
early exposure (area under the concentration-time curve [AUC] for serum IAsp from
time zero to 30 min [AUCIAsp,0-30 min]) was greater (by 86% in elderly and 67% in
younger adults) for faster aspart than for IAsp. Likewise, onset of action
occurred 10 min faster in the elderly and 9 min faster in younger adults, and
early glucose-lowering effect (AUC for the glucose infusion rate [GIR] from time
zero to 30 min [AUCGIR,0-30 min]) was greater (by 109%) for faster aspart than
for IAsp in both age groups. Total exposure (AUCIAsp,0-t) and the maximum
concentration (C max) for faster aspart were greater (by 30 and 28%,
respectively) in elderly than in younger adults. No age group differences were
seen for the total (AUCGIR,0-t) or maximum (GIRmax) glucose-lowering effect.
CONCLUSION: This study demonstrated that the ultra-fast pharmacological
properties of faster aspart are similar in elderly subjects and younger adults
with T1DM. ClinicalTrials.gov Identifier: NCT02003677.
PMID- 27873153
TI - Preoperative determinant of early postoperative renal function following radical
cystectomy and intestinal urinary diversion.
AB - PURPOSE: To identify preoperative factors correlated with postoperative early
renal function in patients who had undergone radical cystectomy (RC) and
intestinal urinary diversion. METHODS: We retrospectively identified 201
consecutive bladder cancer patients without distant metastasis who had undergone
RC at our institution between 2003 and 2012. The estimated glomerular filtration
rate (eGFR) was calculated using the modified Chronic Kidney Disease Epidemiology
equation before RC and 3 months following RC. Univariate and stepwise multiple
linear regression analyses were applied to estimate postoperative renal function
and to identify significant preoperative predictors of postoperative renal
function. RESULTS: Patients who had undergone intestinal urinary diversion and
were available for the collection of follow-up data (n = 164) were eligible for
the present study. Median preoperative and postoperative eGFRs were 69.7
(interquartile range [IQR] 56.3-78.0) and 70.7 (IQR 57.3-78.1), respectively. In
univariate analyses, age, preoperative proteinuria, thickness of abdominal
subcutaneous fat tissue (TSF), preoperative serum creatinine level, preoperative
eGFR, and urinary diversion type were significantly associated with postoperative
eGFR. In a stepwise multiple linear regression analysis, preoperative eGFR, age,
and TSF were significant factors for predicting postoperative eGFR (p < 0.001, p
= 0.02, and p = 0.046, respectively). The estimated postoperative eGFRs
correlated well with the actual postoperative eGFRs (r = 0.65, p < 0.001).
CONCLUSIONS: Preoperative eGFR, age, and TSF were independent preoperative
factors for determining postoperative renal function in patients who had
undergone RC and intestinal urinary diversion. These results may be used for
patient counseling before surgery, including the planning of perioperative
chemotherapy administration.
PMID- 27873154
TI - Editorial: Autism - Hype and Hope.
PMID- 27873156
TI - Erratum to: Predictive role of dynamic contrast enhanced T1-weighted MR sequences
in pre-surgical evaluation of macroadenomas consistency.
PMID- 27873155
TI - Physiology-based regularization of the electrocardiographic inverse problem.
AB - The inverse problem of electrocardiography aims at noninvasively reconstructing
electrical activity of the heart from recorded body-surface electrocardiograms. A
crucial step is regularization, which deals with ill-posedness of the problem by
imposing constraints on the possible solutions. We developed a regularization
method that includes electrophysiological input. Body-surface potentials are
recorded and a computed tomography scan is performed to obtain the torso-heart
geometry. Propagating waveforms originating from several positions at the heart
are simulated and used to generate a set of basis vectors representing spatial
distributions of potentials on the heart surface. The real heart-surface
potentials are then reconstructed from the recorded body-surface potentials by
finding a sparse representation in terms of this basis. This method, which we
named 'physiology-based regularization' (PBR), was compared to traditional
Tikhonov regularization and validated using in vivo recordings in dogs. PBR
recovered details of heart-surface electrograms that were lost with traditional
regularization, attained higher correlation coefficients and led to improved
estimation of recovery times. The best results were obtained by including
approximate knowledge about the beat origin in the PBR basis.
PMID- 27873157
TI - Eicosapentaenoic acid triggers Ca2+ release and Ca2+ influx in mouse cerebral
cortex endothelial bEND.3 cells.
AB - Eicosapentaenoic acid (EPA), an omega-3 fatty acid abundant in fish oil, protects
endothelial cells (EC) from lipotoxicity and triggers EC NO release. The latter
is related to an elevation of cytosolic Ca2+. Although EPA has been shown to
cause human EC cytosolic Ca2+ elevation, the mechanism is unclear.
Microfluorimetric imaging was used here to measure free cytosolic Ca2+
concentration. EPA was shown to cause intracellular Ca2+ release in mouse
cerebral cortex endothelial bEND.3 cells; interestingly, the EPA-sensitive
intracellular Ca2+ pool(s) appeared to encompass and was larger than the Ca2+
pool mobilized by sarcoplasmic-endoplasmic reticulum Ca2+-ATPase inhibition by
cyclopiazonic acid. EPA also opened a Ca2+ influx pathway pharmacologically
distinct from store-operated Ca2+ influx. Surprisingly, EPA-triggered Ca2+ influx
was Ni2+-insensitive; and EPA did not trigger Mn2+ influx. Further, EPA-triggered
Ca2+ influx did not involve Na+-Ca2+ exchangers. Thus, our results suggest EPA
triggered unusual mechanisms of Ca2+ release and Ca2+ influx in EC.
PMID- 27873158
TI - Feasibility and Importance of Enteroscopy after Gastric Bypass.
AB - BACKGROUND: Bariatric surgery has increased in popularity, with Roux-en-Y Gastric
Bypass (RYGB) being one of the most frequently performed. This leads to many
cases in which the stomach is removed from routine gastroscopy access, sometimes
being a major source of concern. Performing enteroscopy in these patients is
technically difficult. We present our experience with 24 cases in which the aim
was to access the detached stomach. METHODS: Retrospective analysis on RYGB
enteroscopy procedures aimed to access the detached stomach. Data recorded:
demographic parameters, indication, gas insufflation, time to bypass stomach,
total procedure and recovery times, and endoscopic and pathological findings.
RESULTS: This study included 24 patients who underwent RYGB in the previous 3-36
months. Indications were chronic abdominal pain, refractory anemia, or
unexplainable weight loss. Detached stomach was accessed in 79% of patients.
Access time ranged from 25 to 55 min. Recovery time for all procedures was 86.66
min on average and shorter with CO2 insufflation (42.5 min). All detached
stomachs showed macroscopic gastritis; four of them were Helicobacter pylori
positive. Significant findings included three patients with jejunojejunostomy
stenosis and one patient with a marginal gastrojejunal ulcer, which was later
diagnosed with Signet ring cell carcinoma of the proximal anastomosis.
CONCLUSIONS: We present the feasibility and importance of enteroscopy of the
detached stomach and believe that this procedure should be performed more
frequently. A high index of suspicion is needed for postoperative symptoms in
order to exclude significant pathologies and reassure symptomatic patients that
there is no abnormality in the bypassed stomach.
PMID- 27873161
TI - FGF treatment of host embryos injected with ES cells increases rates of
chimaerism.
AB - In spite of the emergence of genome editing tools, ES cell mediated transgenesis
remains the most controllable way of creating genetically modified animals.
Although tetraploid (4N) complementation of 4N host embryos and ES cells, is the
only method guaranteeing that offspring are entirely ES cell derived, this
technique is challenging, not always successful and difficult to implement in
some laboratory settings. The current study shows that pretreatment of host
blastocysts with FGF4 prior to ES cell injection can provide an alternative
method for the generation of animals displaying high rates of chimaerism.
Chimaerism assessment in E11 fetuses and born pups shows that a large percentage
of resulting conceptuses show a high ES cell contribution from implantation
onwards and that developing pups do not necessitate c-section for delivery.
PMID- 27873159
TI - Are too many inferior vena cava filters used? Controversial evidences in
different clinical settings: a narrative review.
AB - The use of inferior vena cava filters to prevent pulmonary embolism is increasing
mainly because of indications that appear to be unclearly codified and
recommended. The evidence supporting this approach is often heterogeneous, and
mainly based on observational studies and consensus opinions, while the insertion
of an IVC filter exposes patients to the risk of complications and increases
health care costs. Thus, several proposed indications for an IVC filter placement
remain controversial. We attempt to review the proof on the efficacy and safety
of IVC filters in several "special" clinical settings, and assess the robustness
of the available evidence for any specific indication to place an IVC filter.
PMID- 27873160
TI - Prognostic Significance of CD44 and Orthopedia Homeobox Protein (OTP) Expression
in Pulmonary Carcinoid Tumours.
AB - CD44 and orthopedia homeobox protein (OTP) expressions have shown to be
predictive of overall survival in pulmonary carcinoid (PC) tumours. The scope of
the present study was to validate their role in PC patients and investigate
potential application in clinical practice. Data was collected from patients
presenting to a tertiary cancer centre diagnosed with PC between 2003 and 2015.
Diagnosis was confirmed by central pathology review. Formalin-fixed paraffin
embedded (FFPE) tissue samples collected at diagnosis were scored using
immunohistochemistry (H score) for standard CD44 and nuclear and cytoplasmic OTP
protein expression. The study included 108 patients. High CD44/nuclear OTP (nOTP)
expression was strongly associated with typical carcinoid (TC) histology (p <
0.001). Eighty-six patients, who underwent radical surgical resection, were
selected to assess the impact of patient and tumour parameters on relapse-free
survival (RFS). Sixty-nine (80 %) had TC and 17 (20 %) had atypical carcinoid
tumours. On multivariate analysis, high CD44 and nOTP expression, TC histology
and non-infiltrative tumour growth were associated with superior RFS. Early stage
TC (stage pT1aN0) patients (N = 32; 46 %) had excellent prognosis irrespective of
CD44/nOTP status. Importantly, TC patients with locally advanced disease (defined
as >pT1aN0) and high CD44/nOTP expression (N = 26; 38 %) had excellent RFS (p =
0.005) compared to those with the same stage but low CD44 and/or nOTP (N = 11; 16
%). Additionally, the combination of CD44/nOTP expression and tumour growth
pattern led to a more accurate prognostic system compared to the established WHO
classification of PC tumours (concordance index = 0.902 vs 0.811, respectively, p
< 0.001). Assessment of CD44/nOTP expression combined with tumour growth pattern
identifies clear groups with largely different prognosis. These findings provide
important information on how patients with these resected cancers should be
followed up.
PMID- 27873162
TI - Metabolism of sn-1(3)-Monoacylglycerol and sn-2-Monoacylglycerol in Caecal
Enterocytes and Hepatocytes of Brown Trout (Salmo trutta).
AB - sn-2-Monoacylglycerol (2-MAG) and sn-1(3)-monoacylglycerol [1(3)-MAG] are
important but yet little studied intermediates in lipid metabolism. The current
study compared the metabolic fate of 2-MAG and 1(3)-MAG in isolated caecal
enterocytes and hepatocytes of brown trout (Salmo trutta). 1(3)-Oleoyl [9,10
3H(N)]-glycerol and 2-Oleoyl [9,10-3H(N)]-glycerol were prepared by pancreatic
lipase digestion of triolein [9,10-3H(N)]. The 1(3)-MAG and 2-MAG were
efficiently absorbed by enterocytes and hepatocytes at similar rates. The 2-MAG
was quickly resynthesized into TAG through the monoacylglycerol acyltransferase
(EC: 2.3.1.22, MGAT) pathway in both tissues, whereas 1(3)-MAG was processed into
TAG and phospholipids at a much slower rate, suggesting 2-MAG was the preferred
substrates for MGAT. Further analysis showed that 1(3)-MAG was synthesized into
1,3-DAG, but there were no accumulation of 1,3-DAG in either enterocytes or
hepatocytes, which contrasts that of mammalian studies. Some of the 1(3)-MAG may
be acylated to 1,2(2,3)-DAG and then utilized for TAG synthesis. Alternatively,
1(3)-MAG can be hydrolyzed to free fatty acid and glycerol, and re-synthesized
into TAG through the glycerol-3-phosphate (Gro-3-P) pathway. The overall data
suggested that the limiting step of the intracellular 1(3)-MAG metabolism is the
conversion of 1(3)-MAG itself.
PMID- 27873164
TI - Bacterial signals N-acyl homoserine lactones induce the changes of morphology and
ethanol tolerance in Saccharomyces cerevisiae.
AB - The bacterial quorum sensing signals N-acyl homoserine lactone (AHL) signals are
able to regulate a diverse array of physiological activities, such as symbiosis,
virulence and biofilm formation, depending on population density. Recently, it
has been discovered that the bacterial quorum sensing (QS) signal molecules can
induce extensive response of higher eukaryotes including plants and mammalian
cells. However, little is known about the response of fungi reacting to these
bacterial signals. Here we showed that Saccharomyces cerevisiae, as an ancient
eukaryote and widely used for alcoholic beverage and bioethanol production,
exposed to short-chain 3-OC6-HSL and long-chain C12-HSL appeared obvious changes
in morphology and ethanol tolerance. AHLs could increase the frequency of cells
with bipolar and multipolar buds, and these changes did not present distinct
differences when induced by different types (3-OC6-HSL and C12-HSL) and varied
concentrations (200 nM and 2 MUM) of AHLs. Further investigation by flow
cytometer displayed that the cells untreated by AHLs reduced cell size (decreased
FSC) and enhanced intracellular density (increased in SSC), compared with the
AHLs-induced cells after incubation 6 h. In addition, the long-chain C12-HSL
could slightly increase the ethanol tolerance of S. cerevisiae while the short
chain HSL obviously decreased it. Our study would be valuable to further research
on the interaction between prokaryotic and eukaryotic microbes, and be reference
for industrial production of bioethanol.
PMID- 27873166
TI - A dangerous hobby? Erysipelothrix rhusiopathiae bacteremia most probably acquired
from freshwater aquarium fish handling.
AB - Erysipelothrix rhusiopathiae is a facultative anaerobic Gram-positive rod that
occurs widely in nature and is best known in veterinary medicine for causing
swine erysipelas. In humans, infections are rare and mainly considered as
occupationally acquired zoonosis. A case of E. rhusiopathiae bacteremia most
likely associated with home freshwater aquarium handling is reported. The route
of transmission was probably a cut with the dorsal fin of a dead pet fish. A
short review of clinical presentations, therapeutic considerations and pitfalls
of E. rhusiopathiae infections in humans is presented.
PMID- 27873165
TI - Analysing the effect of I1 imidazoline receptor ligands on DSS-induced acute
colitis in mice.
AB - Imidazoline receptors (IRs) have been recognized as promising targets in the
treatment of numerous diseases; and moxonidine and rilmenidine, agonists of I1
IRs, are widely used as antihypertensive agents. Some evidence suggests that IR
ligands may induce anti-inflammatory effects acting on I1-IRs or other molecular
targets, which could be beneficial in patients with inflammatory bowel disease
(IBD). On the other hand, several IR ligands may stimulate also alpha2
adrenoceptors, which were earlier shown to inhibit, but in more recent studies to
rather aggravate colitis. Hence, this study aimed to analyse for the first time
the effect of various I1-IR ligands on intestinal inflammation. Colitis was
induced in C57BL/6 mice by adding dextran sulphate sodium (DSS) to the drinking
water for 7 days. Mice were treated daily with different IR ligands: moxonidine
and rilmenidine (I1-IR agonists), AGN 192403 (highly selective I1-IR ligand,
putative antagonist), efaroxan (I1-IR antagonist), as well as with the endogenous
IR agonists agmatine and harmane. It was found that moxonidine and rilmenidine at
clinically relevant doses, similarly to the other IR ligands, do not have a
significant impact on the macroscopic and histological signs of DSS-evoked
inflammation. Likewise, colonic myeloperoxidase and serum interleukin-6 levels
remained unchanged in response to these agents. Thus, our study demonstrates that
imidazoline ligands do not influence significantly the severity of DSS-colitis in
mice and suggest that they probably neither affect the course of IBD in humans.
However, the translational value of these findings needs to be verified with
other experimental colitis models and human studies.
PMID- 27873167
TI - Myocardial perfusion and left ventricular quantitative parameters obtained using
gated myocardial SPECT: Comparison of three software packages.
AB - BACKGROUND: The aim of the present study was to compare Emory Cardiac Toolbox,
Myovation, and Quantitative Gated SPECT software regarding the automatic
measurements of perfusion and functional left ventricular (LV) quantitative
parameters, summed stress score (SSS), perfusion defect score, LV ejection
fraction (LVEF), end-diastolic volume, and end-systolic volume (ESV). METHODS AND
RESULTS: 99mTc-tetrofosmin gated SPECT studies were performed in 634 consecutive
patients based on the one-day stress/rest protocol. Participants were divided
into subgroups according to heart size (ESV cut-off value: 25 mL), perfusion (SSS
>/<=3), and other patient/protocol-related factors. LVEF was categorized as
normal (>=50%), mildly moderately impaired (35-49%), and severely abnormal
(<35%). The concordance between the packages was good to excellent, in overall
population, ESV <=25 mL, ESV >25 mL, and SSS >3 subgroups (intraclass correlation
coefficients, ICCs 0.73-0.93). In SSS <=3 subgroup, the correlation was excellent
for LV functional parameters, but suboptimal for perfusion variables (ICCs 0.30
0.83). LVEF categorization revealed similar variability (discordance 18.1 and
11.1% for stress/rest LVEF values, respectively). Pair comparisons demonstrated
considerable differences concerning all parameters for all patient subgroups. The
statistical significance of our findings by ESV and SSS classifications was
evaluated. CONCLUSIONS: Despite the significant concordance between software
packages, considerable differences in mean values of myocardial perfusion and LV
functional parameters were demonstrated.
PMID- 27873163
TI - An Update on the Use of Immunomodulators in Primary Immunodeficiencies.
AB - The genomic revolution in the past decade fuelled by breathtaking advances in
sequencing technologies has defined several new genetic diseases of the immune
system. Many of these newly characterized diseases are a result of defects in
genes involved in immune regulation. The discovery of these diseases has opened a
vista of new therapeutic possibilities. Immunomodulatory agents, a hitherto
unexplored therapeutic option in primary immunodeficiency diseases have been
tried in a host of these newly described maladies. These agents have been shown
conclusively to favorably modulate immune responses, resulting in abatement of
clinical manifestations both in experimental models and patients. While some of
the treatment options have been approved for therapeutic use or have been shown
to be of merit in open-label trials, others have been shown to be efficacious in
a handful of clinical cases, animal models, and cell lines. Interferon gamma is
approved for use in chronic granulomatous disease (CGD) to reduce the burden of
infection and and has a good long-term efficacy. Recombinant human IL7 therapy
has been shown increase the peripheral CD4 and CD8 T cell counts in patients with
idiopathic CD4. Anti-IL1 agents are approved for the management of cryopyrin
related autoinflammatory syndrome, and their therapeutic efficacy is being
increasingly recognized in other autoinflammatory syndromes and CGD. Mammalian
target of rapamycin (mTOR) inhibitors have been proven useful in autoimmune
lymphoproliferative syndrome (ALPS) and in IPEX syndrome. Therapies reported to
be potential use in case reports include abatacept in CTLA4 haploinsufficiency
and LRBA deficiency, ruxolitinib in gain-of-function STAT1, tocilizumab in gain
of-function STAT3 defect, mTOR inhibitors in PIK3CD activation, magnesium in XMEN
syndrome, and pioglitazone in CGD. Treatment options of merit in human cell lines
include interferon alpha and interferon beta in TLR3 and UNC-93B deficiencies,
anti-interferon therapy in SAVI, and Rho-kinase inhibitors in TTC7A deficiency.
Anti-IL17 agents have show efficacy in animal models of leukocyte adhesion defect
(LAD) and ALPS. This topical review explores the use of various immunomodulators
and other biological agents in the context of primary immunodeficiency and
autoinflammatory diseases.
PMID- 27873168
TI - Cardiac metastasis of clear cell thyroid cancer.
PMID- 27873169
TI - Evaluation for the efficacy and safety of the crosser catheter as a CTO crossing
device and a flossing device.
AB - The Crosser catheter is a unique device that facilitates antegrade intraluminal
recanalization by high-frequency vibration energy and cavitation. We used this
device not only as a chronic total occlusion (CTO) crossing device, but also as a
flossing device in stenotic lesions and we also evaluated the efficacy of this
device when used with both the "Crosser preceding" and the "Guidewire preceding"
in CTOs. Complications related to this device were investigated, too. We
retrospectively analyzed a total of 90 consecutive patients with peripheral
artery disease in the femoropopliteal artery and below-the-knee artery (BTA).
Primary technical success was defined as the successful delivery of this device
into the distal true lumen. Secondary technical success was defined as successful
revascularization. The safety endpoints were events of angiographic
complications, including the occurrence of detachment of the metal tip from the
shaft, slow flow, dissections, and perforations. Overall primary technical
success rate was 93.3% and the secondary technical success rate was 96.7%.
Detachment and slow flow occurred 14.4 and 4.4%, respectively, with no
occurrences of either dissection or perforation. A predictor of detachment was
Proposed Peripheral Arterial Calcium Scoring System (PACSS) grade 4 (OR 14.6; CI
1.26-168.5; P = 0.032). The Crosser catheter is useful not only as a CTO crossing
device used with both the "Crosser preceding" and the "Guidewire preceding", but
also as a flossing device in stenotic lesions. But we have to pay attention to
complications related to the Crosser.
PMID- 27873170
TI - Overcalling low-risk findings: grouped amorphous calcifications found at
screening mammography associated with minimal cancer risk.
AB - BACKGROUND: Because of the increasing availability of screening mammography and
spread of information about its benefits, the incidence of early breast cancer
has been increasing in Japan. However, screening mammography can result in
overdiagnoses or false positives, causing in some subjects undergoing unnecessary
invasive procedures. The current mammography guidelines recommend further
investigation of subjects with grouped amorphous calcifications; this
recommendation may have resulted in overdiagnoses or false positives. METHODS: We
retrospectively reviewed the charts of patients who had undergone screening
mammography in the screening unit of our institution from January 2011 to
December 2012 and been found to have grouped amorphous calcifications. Of the 233
such cases, 17 had been lost to follow-up, whereas whether the lesions were
actually benign or malignant had been determined in the remaining 216 (92.7%).
RESULTS: Six (2.8%) of 216 subjects with grouped amorphous calcifications were
diagnosed as having malignancy and the remaining 210 (97.2%) as having benign
lesions. Four of the six cases (1.9%) with malignancy had ductal carcinoma in
situ and two (0.9%) 3 and 4 mm diameter invasive cancers of luminal type and
nuclear grade 1. CONCLUSIONS: Grouped amorphous calcifications identified on
screening mammography contribute minimally to detection of breast cancer and are
not thought to be associated with any identifiable improvement in prognosis;
present recommendations concerning this finding may result in false positives and
overdiagnoses.
PMID- 27873172
TI - Erratum to: Open Flow Microperfusion as a Dermal Pharmacokinetic Approach to
Evaluate Topical Bioequivalence.
PMID- 27873171
TI - Prognostic value of lower limb perfusion single-photon emission computed
tomography-computed tomography in patients with lower limb atherosclerotic
peripheral artery disease.
AB - PURPOSE: The purpose of this study was to estimate the severity of the
participants' lower limb ischemia by calculating the lower limb muscle-to
background ratio (LMBR) using lower limb perfusion single-photon emission
computed tomography-computed tomography (SPECT/CT) and to evaluate the prognostic
value of LMBR in peripheral artery disease (PAD) patients. MATERIALS AND METHODS:
This retrospective study consists of 38 patients with PAD (70 +/- 12 years) and
observed over 1 year who were included in the analysis. All participants
underwent lower limb perfusion SPECT/CT. LMBR was calculated by dividing
counts/volume in lower limb muscle by mean counts/volume of background. All
patients were divided into two groups based on their LMBR value and observed for
the occurrence of a major adverse event (MAE). RESULTS: The high and low LMBR
groups consisted of 26 and 12 patients, respectively. The median LMBR in the high
group was 9.59 (6.11-11.87) while that in the low group was 4.35 (3.85-4.99). A
significantly higher number of patients in the low LMBR group experienced MAE
than in the high LMBR group (7 of 12 vs. 1 of 26, p < 0.001). CONCLUSION: This
study demonstrated that the LMBR derived from lower limb perfusion SPECT/CT may
have a high prognostic value in patients with PAD.
PMID- 27873173
TI - Comparison of non-invasive peripheral venous saturations with venous blood co
oximetry.
AB - The estimation of venous oxygen saturations using photoplethysmography (PPG) may
be useful as a noninvasive continuous method of detecting changes in regional
oxygen supply and demand (e.g. in the splanchnic circulation). The aim of this
research was to compare PPG-derived peripheral venous oxygen saturations directly
with venous saturation measured from co-oximetry blood samples, to assess the
feasibility of non-invasive local venous oxygen saturation. This paper comprises
two similar studies: one in healthy spontaneously-breathing volunteers and one in
mechanically ventilated anaesthetised patients. In both studies, PPG-derived
estimates of peripheral venous oxygen saturations (SxvO2) were compared with co
oximetry samples (ScovO2) of venous blood from the dorsum of the hand. The
results were analysed and correlation between the PPG-derived results and co
oximetry was tested for. In the volunteer subjects,moderate correlation (r =
0.81) was seen between SxvO2 values and co-oximetry derived venous saturations
(ScovO2), with a mean (+/-SD) difference of +5.65 +/- 14.3% observed between the
two methods. In the anaesthetised patients SxvO2 values were only 3.81% lower
than SpO2 and tended to underestimate venous saturation (mean difference = -2.67
+/- 5.89%) while correlating weakly with ScovO2 (r = 0.10). The results suggest
that significant refinement of the technique is needed to sufficiently improve
accuracy to produce clinically meaningful measurement of peripheral venous oxygen
saturation. In anaesthetised patients the use of the technique may be severely
limited by cutaneous arteriovenous shunting.
PMID- 27873174
TI - Prognostic value of exercise left ventricular end-systolic volume index in
patients with asymptomatic aortic regurgitation: an exercise echocardiography
study.
AB - BACKGROUND: Surgical timing of chronic aortic regurgitation (AR) remains a matter
of debate because of limited data. This study assessed the prognostic value of
exercise echocardiography in asymptomatic AR. METHODS: This prospective study
included 60 consecutive asymptomatic patients with isolated moderate or severe AR
(mean regurgitant volume 56.7 +/- 11.8 ml) and preserved ejection fraction who
underwent exercise echocardiography. The clinical outcomes were defined by the
presence of major adverse cardiovascular events (MACE) and the indication for
aortic valve replacement (AVR) with class I or IIa classification in the current
guidelines. RESULTS: During the average follow-up of 731 days, 12 patients
suffered from the clinical events, including two patients developing MACE (3%)
and ten patients indicating for AVR (17%). No difference in left ventricular (LV)
ejection fraction at rest was found between the patients with and without the
clinical events. The indexed LV diameters and LV volumes were significantly
dilated in the patients with the clinical events. The Cox proportional hazards
regression analysis resulted that the exercise LV end-systolic volume index
(LVESVi) was significantly associated with the clinical outcomes [hazard ratio,
1.116; 95% CI (1.032-1.205); p = 0.006]. The Kaplan-Meier analysis showed that
exercise LVESVi was clearly stratified the event-free survival. CONCLUSIONS:
Exercise LVESVi might be an independent predictor of prognosis in patients with
asymptomatic moderate or severe AR.
PMID- 27873177
TI - Eculizumab treatment improved renal hemosiderosis in a patient with paroxysmal
nocturnal hemoglobinuria.
PMID- 27873176
TI - Palonosetron, aprepitant, and dexamethasone for prevention of nausea and vomiting
after high-dose melphalan in autologous transplantation for multiple myeloma: A
phase II study.
AB - Chemotherapy-induced nausea and vomiting (CINV) is a significant side effect in
multiple myeloma (MM) patients receiving high-dose melphalan treatment followed
by autologous stem cell transplantation (ASCT). We evaluated the efficacy and
safety of a triple antiemetic combination of palonosetron, aprepitant, and low
dose dexamethasone in 24 MM patients who received melphalan conditioning (100
mg/m2 on days 1-2) before ASCT (on day 4). Intravenous palonosetron (0.75 mg on
day 1), oral aprepitant (125 mg on day 1; 80 mg on days 2-4), and intravenous
dexamethasone (6.6 mg on days 1-4) were administered for prevention of CINV.
Complete response (no emesis and no rescue antiemetic) and complete control (no
emesis, no rescue antiemetic, and no more than mild nausea) rates were 75 and 68%
during the overall phase (0-120 h), while they were 88 and 86% in the acute phase
(0-48 h), 75 and 68% in the delayed phase (48-120 h), and 67 and 59% in the
extended phase (120-168 h), respectively. There were no serious adverse events
related to the antiemetic therapy. In conclusion, the three-antiemetic regimen
consisting of palonosetron, aprepitant, and dexamethasone was safe and effective
for controlling CINV due to high-dose melphalan treatment, especially during the
delayed phase.
PMID- 27873175
TI - Mobilization of human immature hematopoietic progenitors through combinatory use
of bortezomib and immunomodulatory drugs.
AB - Combination use of the proteasome inhibitor bortezomib and the immunomodulatory
drugs lenalidomide or thalidomide has provided superior outcomes in multiple
myeloma over their single use; however, these combinations can produce
significant toxicities. Unexpectedly, we found a small but significant increase
in the population of immature granulocytes and erythrocytes/megakaryocytes in
peripheral blood in 16 of 22 patients (73%) treated with dexamethasone in
combination with bortezomib and immunomodulatory drugs (triplet), but not in any
of 25 patients treated with either bortezomib or immunomodulatory drugs with
dexamethasone (doublet). These immature cells gradually increased to a peak level
(mean 2.6% per white blood cells) with triplet therapy, and disappeared
immediately after therapy cessation. The numbers of circulating CD34+ cells and
colony-forming cells derived from peripheral blood mononuclear cells increased
after triplet therapy compared with those in patients treated by either
bortezomib or immunomodulatory drugs plus dexamethasone. Furthermore, triplet
regimen downregulated the expression of CXCR4, a chemokine receptor essential for
bone marrow retention, on CD34+ cells, suggesting an unexpected effect on normal
hematopoietic stem/progenitor cells through the reduced interaction with the bone
marrow microenvironment. Our observations suggest that combination use should be
carefully evaluated to exert synergistic anti-myeloma effects while avoiding
unexpected adverse events.
PMID- 27873178
TI - Special Topic on Mental Health and Addiction.
PMID- 27873179
TI - Oxycodone DETERx(r): A Novel Abuse-Deterrent, Extended-Release Analgesic Option
for the Treatment of Patients with Chronic Pain.
AB - BACKGROUND: Extended-release (ER) opioid analgesics are commonly used to provide
safe and effective pain relief to treat pain severe enough to require around-the
clock, long-term dosing. These ER opioid formulations usually contain more drug
per dosage unit than immediate-release (IR) agents, and therefore bring with them
challenges related to both opioid abuse and misuse, often through manipulation of
the dosage form. Oxycodone DETERx(r) (Xtampza(r) ER, Collegium Pharmaceutical,
Inc.) is a novel abuse-deterrent, ER formulation developed to deter common
methods of manipulation. In addition to having abuse-deterrent properties,
oxycodone DETERx was developed to provide alternative modes of administration for
patients with chronic pain and difficulty swallowing. SCOPE: Using published
articles, abstracts, and prescribing information, data supporting the use of
oxycodone DETERx are reviewed. FINDINGS: Oxycodone DETERx was effective at
reducing chronic pain in patients enrolled in a pivotal clinical trial, and had a
tolerability profile expected of opioids. In addition to administration of the
intact capsule, oxycodone DETERx can also be administered by sprinkling directly
into the mouth from a dosing cup, onto soft foods, or through nasogastric or
gastrostomy tubes, thus providing flexible dosing options for patients who have
difficulty swallowing. In vitro studies demonstrated the reduced ability of
oxycodone DETERx to be manipulated by common techniques used by abusers to defeat
the ER characteristics or prepare the formulation for injection. Pharmacokinetic
studies demonstrated that the ER characteristics of oxycodone DETERx are
maintained if chewed or crushed. As a result, oxycodone DETERx is currently the
only ER-formulated opioid without a boxed warning against crushing or chewing.
Human abuse-potential studies conducted in a population of recreational opioid
users demonstrated lower drug-liking scores for oxycodone DETERx administered
intranasally and orally when compared with IR oxycodone. FUNDING: Collegium
Pharmaceutical, Inc.
PMID- 27873180
TI - Pledget-Armed Sutures Affect the Haemodynamic Performance of Biologic Aortic
Valve Substitutes: A Preliminary Experimental and Computational Study.
AB - Surgical aortic valve replacement is the most common procedure of choice for the
treatment of severe aortic stenosis. Bioprosthetic valves are traditionally sewed
in the aortic root by means of pledget-armed sutures during open-heart surgery.
Recently, novel bioprostheses which include a stent-based anchoring system have
been introduced to allow rapid implantation, therefore reducing the duration and
invasiveness of the intervention. Different effects on the hemodynamics were
clinically reported associated with the two technologies. The aim of this study
was therefore to investigate whether the differences in hemodynamic performances
are an effect of different anchoring systems. Two commercially available bio
prosthetic aortic valves, one sewed-in with pledget-armed sutures and one rapid
deployment, were thus tested in this study by means of a combined approach of
experimental and computational tools. In vitro experiments were performed to
evaluate the overall hydrodynamic performance under identical standard
conditions; computational fluid dynamics analyses were set-up to explore local
flow variations due to different design of the anchoring system. The results
showed how the performance of cardiac valve substitutes is negatively affected by
the presence of pledget-armed sutures. These are causing flow disturbances, which
in turn increase the mean pressure gradient and decrease the effective orifice
area. The combined approach of experiments and numerical simulations can be
effectively used to quantify the detailed relationship between local fluid
dynamics and overall performances associated with different valve technologies.
PMID- 27873181
TI - Inhalable Ipratropium Bromide Particle Engineering with Multicriteria
Optimization.
AB - Spray-dried ipratropium bromide (IPB) microspheres for oral inhalation were
engineered using Quality by Design. The interrogation of material properties,
process parameters, and critical product quality attributes interplay enabled
rational product design. A 27-3 screening design exhibited the Maillard reaction
between L-leucine (LL) and lactose at studied outlet temperatures (OT) >130
degrees C. A response surface custom design was used in conjunction with
multicriteria optimization to determine the operating design space to achieve
inhalable microparticles. Statistically significant predictive models were
developed for volume median diameter (p = 0.0001, adjusted R 2 = 0.9938), span
(p = 0.0278, adjusted R 2 = 0.7912), yield (p = 0.0020, adjusted R 2 = 0.9320),
and OT (p = 0.0082, adjusted R 2 = 0.8768). An independent verification batch
confirmed the model's predictive capability. The prediction and actual values
were in good agreement. Particle size and span were 3.32 +/- 0.09 MUm and 1.71 +/
0.18, which were 4.7 and 5.3% higher than the predicted values. The process
yield was 50.3%, compared to the predicted value of 65.3%. The OT was 100 degrees
C versus the predicted value of 105 degrees C. The label strength of IPB
microparticles was 99.0 to 105.9% w/w suggesting that enrichment occurred during
the spray-drying process. The present study can be utilized to initiate the
design of the first commercial IPB dry powder inhaler.
PMID- 27873183
TI - Interdisciplinary Oncology Education: a National Survey of Trainees and Program
Directors in the United States.
AB - Oncologists must have a strong understanding of collaborating specialties in
order to deliver optimal cancer care. The objective of this study was to quantify
current interdisciplinary oncology education among oncology training programs
across the USA, identify effective teaching modalities, and assess communication
skills training. Web-based surveys were sent to oncology trainees and program
directors (PDs) across the USA on April 1, 2013 and October 8, 2013,
respectively. Question responses were Yes/No, five-point Likert scales (1 = not
at all, 2 = somewhat, 3 = moderately, 4 = quite, 5 = extremely), or free
response. Respondents included the following (trainees/PDs): 254/55 medical
oncology, 160/42 surgical oncology, 102/24 radiation oncology, and 41/20 hospice
and palliative medicine (HPM). Trainees consistently reported lower rates of
interdisciplinary education for each specialty compared with PDs as follows:
medical oncology 57 vs. 77% (p < 0.01), surgical oncology 30 vs. 44% (p < 0.01),
radiation oncology 70 vs. 89% (p < 0.01), geriatric oncology 19 vs. 30% (p <
0.01), and HPM 55 vs. 74% (p < 0.01). The predominant teaching method used
(lectures vs. rotations vs. tumor board attendance vs. workshop vs. other) varied
according to which discipline was being taught. The usefulness of each teaching
method was rated statistically different by trainees for learning about select
disciplines. Furthermore, statistically significant differences were found
between PDs and trainees for the perceived usefulness of several teaching
modalities. This study highlights a deficiency of interdisciplinary education
among oncology training programs in the USA. Efforts to increase
interdisciplinary education opportunities during training may ultimately
translate into improved collaboration and quality of cancer care.
PMID- 27873184
TI - (+/-)-Evodiakine, A Pair of Rearranged Rutaecarpine-Type Alkaloids From Evodia
rutaecarpa.
AB - (+/-)-Evodiakine (1a and 1b), a pair of rearranged rutaecarpine-type alkaloids
with an unprecedented 6/5/5/7/6 ring system, were isolated from the nearly ripe
fruits of Evodia rutaecarpa. Separation of the enantiomers have been achieved by
chiral HPLC column. The structures of (+/-)-evodiakine were unambiguously
elucidated by 1D and 2D NMR spectra, mass spectrometry, and single-crystal X-ray
diffraction. Their absolute configurations were determined by comparison of
experimental and calculated electronic circular dichroism spectra. A hypothetical
biogenetic pathway for (+/-)-evodiakine was also proposed. Compounds 1a, 1b, and
the racemate (1) were tested for their cytotoxic and anti-inflammatory
activities.
PMID- 27873182
TI - Tau Imaging in Alzheimer's Disease Diagnosis and Clinical Trials.
AB - In vivo imaging of the tau protein has the potential to aid in quantitative
diagnosis of Alzheimer's disease, corroborate or dispute the amyloid hypothesis,
and demonstrate biomarker engagement in clinical drug trials. A host of tau
positron emission tomography agents have been designed, validated, and tested in
humans. Several agents have characteristics approaching the ideal imaging tracer
with some limitations, primarily regarding off-target binding. Dozens of clinical
trials evaluating imaging techniques and several pharmaceutical trials have begun
to integrate tau imaging into their protocols.
PMID- 27873185
TI - Regressions during reading: The cost depends on the cause.
AB - The direction and duration of eye movements during reading is predominantly
determined by cognitive and linguistic processing, but some low-level oculomotor
effects also influence the duration and direction of eye movements. One such
effect is inhibition of return (IOR), which results in an increased latency to
return attention to a target that has been previously attended (Posner & Cohen,
Attention and Performance X: Control of Language Processes, 32, 531-556, 1984).
Although this is a low level effect, it has also been found in the complex task
of reading (Henderson & Luke, Psychonomic Bulletin & Review, 19(6), 1101-1107,
2012; Rayner, Juhasz, Ashby, & Clifton, Vision Research, 43(9), 1027-1034, 2003).
The purpose of the current study was to isolate the potentially different causes
of regressive eye movements: to adjust for oculomotor error and to assist with
comprehension difficulties. We found that readers demonstrated an IOR effect when
regressions were caused by oculomotor error, but not when regressions were caused
by comprehension difficulties. The results suggest that IOR is primarily
associated with low-level oculomotor control of eye movements, and that
regressive eye movements that are controlled by comprehension processes are not
subject to IOR effects. The results have implications for understanding the
relationship between oculomotor and cognitive control of eye movements and for
models of eye movement control.
PMID- 27873186
TI - Is nevtral NEUTRAL? Visual similarity effects in the early phases of written-word
recognition.
AB - For simplicity, contemporary models of written-word recognition and reading have
unspecified feature/letter levels-they predict that the visually similar
substituted-letter nonword PEQPLE is as effective at activating the word PEOPLE
as the visually dissimilar substituted-letter nonword PEYPLE. Previous empirical
evidence on the effects of visual similarly across letters during written-word
recognition is scarce and nonconclusive. To examine whether visual similarity
across letters plays a role early in word processing, we conducted two masked
priming lexical decision experiments (stimulus-onset asynchrony = 50 ms). The
substituted-letter primes were visually very similar to the target letters (u/v
in Experiment 1 and i/j in Experiment 2; e.g., nevtral-NEUTRAL). For comparison
purposes, we included an identity prime condition (neutral-NEUTRAL) and a
dissimilar-letter prime condition (neztral-NEUTRAL). Results showed that the
similar-letter prime condition produced faster word identification times than the
dissimilar-letter prime condition. We discuss how models of written-word
recognition should be amended to capture visual similarity effects across
letters.
PMID- 27873187
TI - Explaining the forgetting bias effect on value judgments: The influence of memory
for a past test.
AB - People often feel that information that was forgotten is less important than
remembered information. Prior work has shown that participants assign higher
importance to remembered information while undervaluing forgotten information.
The current study examined two possible accounts of this finding. In three
experiments, participants studied lists of words in which each word was randomly
assigned a point value denoting the value of remembering the word. Following the
presentation of each list participants engaged in a free recall test. After the
presentation of all lists participants were shown each of the words they had
studied and asked to recall the point value that was initially paired with each
word. Experiment 1 tested a fluency-based account by presenting items for value
judgments in a low-fluency or high-fluency format. Experiment 2 examined whether
value judgments reflect attributions based on the familiarity of an item when
value judgments are made. Finally, in Experiment 3, we evaluated whether
participants believe that forgotten words are less important by having them judge
whether an item was initially recalled or forgotten prior to making a value
judgment. Manipulating the fluency of an item presented for judgment had no
influence on value ratings (Experiment 1) and familiarity exerted a limited
influence on value judgments (Experiment 2). More importantly, participants'
value judgments appeared to reflect a theory that remembered information is more
valuable than forgotten information (Experiment 3). Overall, the present work
suggests that individuals may apply a theory about remembering and forgetting to
retrospectively assess the value of information.
PMID- 27873188
TI - Imagining the personal past: Episodic counterfactuals compared to episodic
memories and episodic future projections.
AB - Episodic counterfactuals are imagined events that could have happened, but did
not happen, in a person's past. Such imagined past events are important aspects
of mental life, affecting emotions, decisions, and behaviors. However, studies
examining their phenomenological characteristics and content have been few. Here
we introduced a new method to systematically compare self-generated episodic
counterfactuals to self-generated episodic memories and future projections with
regard to their phenomenological characteristics (e.g., imagery, emotional
valence, and rehearsal) and content (e.g., reference to a cultural life script),
and how these were affected by temporal distance (1 month, 1 year, 5+ years). The
findings showed that the three types of events differed phenomenologically.
First, episodic memories were remembered more easily, with more sensory details,
and from a dominantly field perspective, as compared to both future projections
and episodic counterfactuals. Second, episodic future projections were more
positive, more voluntarily rehearsed, and more central to life story and identity
than were both episodic memories and episodic counterfactuals. Third, episodic
counterfactuals differed from both episodic memories and future projections by
neither having the positivity bias of the future events nor the enhanced sensory
details of the past events. Across all three event types, sensory details
decreased, whereas importance, reference to a cultural life script, and
centrality increased with increasing temporal distance. The findings show that
imagined events are phenomenologically different from memories of experienced
events, consistent with reality-monitoring theory, and that imagined future
events are different from both actual and imagined past events, consistent with
some theories of motivation.
PMID- 27873189
TI - Learning multiple rules simultaneously: Affixes are more salient than
reduplications.
AB - Language learners encounter numerous opportunities to learn regularities, but
need to decide which of these regularities to learn, because some are not
productive in their native language. Here, we present an account of rule learning
based on perceptual and memory primitives (Endress, Dehaene-Lambertz, & Mehler,
Cognition, 105(3), 577-614, 2007; Endress, Nespor, & Mehler, Trends in Cognitive
Sciences, 13(8), 348-353, 2009), suggesting that learners preferentially learn
regularities that are more salient to them, and that the pattern of salience
reflects the frequency of language features across languages. We contrast this
view with previous artificial grammar learning research, which suggests that
infants "choose" the regularities they learn based on rational, Bayesian criteria
(Frank & Tenenbaum, Cognition, 120(3), 360-371, 2013; Gerken, Cognition, 98(3)B67
B74, 2006, Cognition, 115(2), 362-366, 2010). In our experiments, adult
participants listened to syllable strings starting with a syllable reduplication
and always ending with the same "affix" syllable, or to syllable strings starting
with this "affix" syllable and ending with the "reduplication". Both affixation
and reduplication are frequently used for morphological marking across languages.
We find three crucial results. First, participants learned both regularities
simultaneously. Second, affixation regularities seemed easier to learn than
reduplication regularities. Third, regularities in sequence offsets were easier
to learn than regularities at sequence onsets. We show that these results are
inconsistent with previous Bayesian rule learning models, but mesh well with the
perceptual or memory primitives view. Further, we show that the pattern of
salience revealed in our experiments reflects the distribution of regularities
across languages. Ease of acquisition might thus be one determinant of the
frequency of regularities across languages.
PMID- 27873190
TI - Development of a Skill Acquisition Periodisation Framework for High-Performance
Sport.
AB - Unlike physical training, skill acquisition does not currently utilise
periodisation to plan, monitor and evaluate programs. Development of a skill
acquisition periodisation framework would allow for systematic investigation into
the acute and longitudinal effectiveness of such interventions. Using the
physical training literature as a reference point, a skill-training periodisation
framework was developed for use in high-performance sport. Previous research
undertaken in skill acquisition was used to provide support for the framework.
The specificity, progression, overload, reversibility and tedium (SPORT) acronym
was adopted. Each principle was then re-conceptualised so that it related to
relevant skill acquisition principles. Methods for the measurement and analysis
of each principle are provided and future directions for the longitudinal
assessment of skill acquisition are discussed. The skill acquisition
periodisation framework proposed in this study represents an opportunity for the
principles relating to skill acquisition training to be measured in a systematic
and holistic manner. This can also allow for a more sophisticated evaluation of
the efficacy of longitudinal training programmes and interventions designed for
sustained skill enhancement.
PMID- 27873192
TI - On the Potential of Preemptive Genotyping Towards Preventing Medication-Related
Adverse Events: Results from the South Korean National Health Insurance Database.
PMID- 27873193
TI - Childcare and School Management Issues in Food Allergy.
AB - PURPOSE OF REVIEW: The goal of this review is to characterize food allergy
management and anaphylaxis in schools and assess current policies and level of
preparedness of schools to recognize and treat anaphylaxis. RECENT FINDINGS: An
increasing number of school-aged children have food allergies, and studies show
that a significant number of school children with no known history of allergies
will experience their first anaphylactic reaction at school. Stock-unassigned
epinephrine auto-injectors are recommended in schools but not mandatory in most
states, and therefore, epinephrine is not always available. Non-nursing staff
members are sometimes administering epinephrine due to limitations in nurse
staffing. Wide variations in staff training and lack of stock epinephrine leave
many schools inadequately prepared to manage anaphylactic reactions. Emphasis
should be placed not only on ensuring all schools have stock epinephrine but also
on training a wider range of school staff members to minimize treatment delay
with epinephrine and improve clinical outcomes.
PMID- 27873191
TI - Effect of Exercise Training on Non-Exercise Physical Activity: A Systematic
Review and Meta-Analysis of Randomized Controlled Trials.
AB - BACKGROUND: Many overweight and obese individuals use exercise when attempting to
lose weight. However, the improvements in weight and body composition are often
far less than expected. Levels of physical activity outside of the structured
exercise program are believed to change and may be responsible for the
unsuccessful weight loss. OBJECTIVE: The purpose of this meta-analysis was to
provide a quantitative estimate of the change in non-exercise physical activity
(NEPA) during exercise interventions. METHODS: All studies included in the meta
analysis were peer-reviewed and published in English. Participants were
randomized to a non-exercise comparison group or exercise training group with an
intervention lasting >=2 weeks. NEPA was measured at baseline and at various
times during the study. Hedges' d effect size (ES) was used to adjust for small
sample bias, and random-effects models were used to calculate the mean ES and
explore potential moderators. RESULTS: The cumulative results of 44 effects
gathered from ten studies published between 1997 and 2015 indicated that NEPA did
not change significantly during exercise training (ES = 0.02, 95% confidence
interval [CI] -0.09 to 0.13; p = 0.723). Duration of the exercise session (beta =
-0.0039), intervention length (beta = 0.0543), and an age * sex (beta = -0.0005)
interaction indicated that the increase in NEPA may be attenuated in older women
during exercise training and during shorter exercise interventions with longer
sessions (all p < 0.005). CONCLUSION: On average, no statistically or clinically
significant mean change in NEPA occurs during exercise training. However, session
duration and intervention length, age, and sex should be accounted for when
designing exercise programs to improve long-term sustainability and improve the
likelihood of weight loss success, as the initial decrease in NEPA appears to
dissipate with continued training.
PMID- 27873194
TI - Lupin and Other Potentially Cross-Reactive Allergens in Peanut Allergy.
AB - PURPOSE OF REVIEW: The presence of IgE cross-reactivity between peanut allergens
and allergens from other legumes and tree nuts has been demonstrated, but the
identification of the involved individual allergens is still limited. The aim of
this review is to describe new allergenic findings, of potential relevance for
cross-reactivity among peanut and lupin. RECENT FINDINGS: Seventeen allergens of
peanut have been included in the official allergen nomenclature database to date.
Lupin sensitization has been observed in 15-20% of individuals with known peanut
allergy, The majority of lupin seed proteins are comprised of alpha-conglutins
(legumin-like) and beta-conglutins (vicilin-like), and to a lesser extent gamma
conglutins (vicilin-like) and delta-conglutins (2S albumins). Several molecules
may fuel peanut-lupin cross-reactivity. Awareness among physicians and general
public could avoid unexpected allergic reactions. However, these do not appear
frequent and no data suggest a precautionary labelling of lupin in foods.
PMID- 27873196
TI - The Expanding World of Small Molecule Microarrays.
AB - Speed and throughput are vital ingredients for discovery driven, "-omics"
research. The small molecule microarray (SMM) succeeds at delivering phenomenal
screening throughput and versatility. The concept at the heart of the technology
is elegant, yet simple: by presenting large collections of molecules in high
density on a flat surface, one is able to interrogate all possible interactions
with desired targets, in just a single step. SMMs have become established as the
choice platform for screening, lead discovery, and molecular characterization.
This introduction describes the principles governing microarray construction and
use, focusing on practical challenges faced when conducting SMM experiments. It
will explain the key design considerations and lay the foundation for the
chapters that follow. (An earlier version of this chapter appeared in Small
Molecule Microarrays: Methods and Protocols, published in 2010.).
PMID- 27873197
TI - Novel Substrates for Microarrays.
AB - In the microarray platform, the surface substrate is critical to the result
quality in terms of signal consistency and detection sensitivity. Traditional
substrates such as glass and nitrocellulose often entail complicated preparation
processes such as the activation and functionalization of the reaction spots and
surface blocking to prevent nonspecific molecule adsorption. In addition, coffee
ring morphology of the spots is a common issue in the traditional substrates. To
address these issues, we introduced a novel substrate based on fluorinated
ethylene propylene (FEP) membrane for microarrays.
PMID- 27873195
TI - Community structure of a sulfate-reducing consortium in lead-contaminated
wastewater treatment process.
AB - This study evaluated the capacity to remove lead by an indigenous consortium of
five sulfate-reducing bacteria (SRB): Desulfobacterium autotrophicum,
Desulfomicrobium salsugmis, Desulfomicrobium escambiense, Desulfovibrio vulgaris,
and Desulfovibrio carbinolicus, using continuous moving bed biofilm reactor
systems. Four continuous moving bed biofilm reactors (referred as R1-R4) were run
in parallel for 40 days at lead loading rates of 0, 20, 30 and 40 mg l-1 day-1,
respectively. The impact of lead on community structure of the SRB consortium was
investigated by dsrB gene-based denaturing gradient gel electrophoresis (dsrB
based DGGE), fluorescence in situ hybridization (FISH) and chemical analysis.
These results indicated that D. escambiense and D. carbinolicus were dominant in
all analyzed samples and played a key role in lead removal in R2 (20 mg l-1 day
1) and R3 (30 mg l-1 day-1). However, in R4 (40 mg l-1 day-1), these two strains
were barely detected by FISH and dsrB-based DGGE. As a result, SRB activity was
severely affected by lead toxicity. High lead removal efficiencies of lead (99
100%) were observed in R2 and R3 throughout the operation, whereas that in R4 was
significantly decreased (91%) after 40 days of operation. This data strongly
implied that the investigated SRB consortium might have potential application for
lead removal. Moreover, to improve the efficiency of the lead treatment process,
the lead loading rates below the inhibitory level to SRB activity should be
selected.
PMID- 27873198
TI - Fabrication of Bio-function-Preserved Saccharide Microarray Chips with Cyanuric
Chloride as a Rotatable Linker.
AB - Microarray-based saccharide chips possess an inherent property of high throughput
but remain hard to use in practice due mainly to their fabrication problems,
which have led to many strategies proposed but nearly none can immobilize small
saccharides without losing their bio-affinity. Herein introduced is an easy
strategy able to directly immobilize all intact saccharides on solid surface with
excellent preservation of their molecular recognition ability. The core idea is
to anchor a saccharide molecule on a universally rotatable molecular frame to
free its spatial adjustment during molecular recognition process. This strategy
can simply be realized by use of cyanuric chloride as a rotatable linker which
offers three reactive chlorines pointing at 120 degrees . The first chlorine can
readily react with hydroxyl groups at only 0-5 degrees C, enabling one to
"plant" a layer of Y-shaped rotatable linker on hydroxyl-terminated surfaces.
This facilitates the second chlorine on one of the upper "Y-branch" to react with
saccharides at ca. 25 degrees C, a very convenient room temperature for
practical manipulation. The third chlorine can further react with saccharides but
at ca. 50 degrees C which is not too difficult to manipulate but commonly is not
utilized. This chemical strategy has been exploited to dot various intact
hydroxyl substances on either gold or glass surfaces, and the recognition ability
of the anchored saccharides with their right lectins was validated to be well
preserved according to surface plasmon resonance and/or laser-induced
fluorescence imaging data. Furthermore the method is extendable to amines and
other substances able to be hydroxylated and/or aminated.
PMID- 27873199
TI - Fabrication of Carbohydrate Microarrays by Boronate Formation.
AB - The interactions between soluble carbohydrates and/or surface displayed glycans
and protein receptors are essential to many biological processes and cellular
recognition events. Carbohydrate microarrays provide opportunities for high
throughput quantitative analysis of carbohydrate-protein interactions. Over the
past decade, various techniques have been implemented for immobilizing glycans on
solid surfaces in a microarray format. Herein, we describe a detailed protocol
for fabricating carbohydrate microarrays that capitalizes on the intrinsic
reactivity of boronic acid toward carbohydrates to form stable boronate diesters.
A large variety of unprotected carbohydrates ranging in structure from simple
disaccharides and trisaccharides to considerably more complex human milk and
blood group (oligo)saccharides have been covalently immobilized in a single step
on glass slides, which were derivatized with high-affinity boronic acid ligands.
The immobilized ligands in these microarrays maintain the receptor-binding
activities including those of lectins and antibodies according to the structures
of their pendant carbohydrates for rapid analysis of a number of carbohydrate
recognition events within 30 h. This method facilitates the direct construction
of otherwise difficult to obtain carbohydrate microarrays from underivatized
glycans.
PMID- 27873200
TI - Clickable Polymeric Coating for Glycan Microarrays.
AB - The interaction of carbohydrates with a variety of biological targets, including
antibodies, proteins, viruses, and cells are of utmost importance in many aspects
of biology. Glycan microarrays are increasingly used to determine the binding
specificity of glycan-binding proteins. In this study, a novel microarray support
is reported for the fabrication of glycan arrays that combines the higher
sensitivity of a layered Si-SiO2 surface with a novel polymeric coating easily
modifiable by subsequent click reaction. The alkyne-containing copolymer,
adsorbed from an aqueous solution, produces a coating by a single step procedure
and serves as a soft, tridimensional support for the oriented immobilization of
carbohydrates via azide/alkyne Cu (I) catalyzed "click" reaction. The advantages
of a functional 3D polymer coating making use of a click chemistry immobilization
are combined with the high fluorescence sensitivity and superior signal-to-noise
ratio of a Si-SiO2 substrate. The proposed approach enables the attachment of
complex sugars on a silicon oxide surface by a method that does not require
skilled personnel and chemistry laboratories.
PMID- 27873201
TI - A Versatile Microarray Immobilization Strategy Based on a Biorthogonal Reaction
Between Tetrazine and Trans-Cyclooctene.
AB - Given its increasing importance in transforming biomedical research in recent
years, microarray technology has become highly popular as a powerful screening
platform in detecting biomolecule interactions, discovering new inhibitors, and
identifying biomarkers as well as diagnosing disease. The success of microarray
technology in various biological applications is highly dependent on the
accessibility, the functionality, and the density of the surface bound
biomolecules. Therefore, compound immobilization represents a critical step for
the successful implementation of microarray screening. Herein we describe a fast
and site-specific microarray immobilization approach by using trans-cyclooctene
tetrazine ligation. This approach not only ensures fast immobilization and
uniform display of biomolecules, but also allows the optimum orientation of
biomolecules after immobilization. All these excellent properties facilitate
subsequent interactions of the biomolecules and their interacting partners during
the screening process. We envision that the immobilization strategy described
here can find useful applications in many other microarray related studies.
PMID- 27873202
TI - Label-Free Sensing on Microarrays.
AB - Microarrays of biological molecules such as DNAs, proteins, carbohydrates, and
small molecules provide a high-throughput platform for screening tens of
thousands of biomolecular interactions simultaneously, facilitating the
functional characterization of these biomolecules in areas of genomics,
proteomics, glycomics, and cytomics. Routinely, analysis of binding reactions
between solution-phased probes and surface-immobilized targets involves some
kinds of fluorescence-based detection methods. Even though these methods have
advantages of high sensitivity and wide dynamic range, labeling probes and/or
targets inevitably changes their innate properties and in turn affects probe
target interactions in often uncharacterized ways. Therefore, in recent years,
various label-free sensing technologies have been developed for characterizing
biomolecular interactions in microarray format. These biosensors, to a certain
extent, take the place of fluorescent methods by providing a comparable
sensitivity as well as retaining the conformational and functional integrality of
biomolecules to be investigated. More importantly, some of these biosensors are
capable of real-time monitoring probe-target interactions, providing the binding
affinities of these reactions. Using label-free biosensors in microarrays has
become a current trend in developing high-throughput screening platforms for drug
discoveries and applications in all areas of "-omics." This article is aimed to
provide principles and recent developments in label-free sensing technologies
applicable to microarrays, with special attentions being paid to surface plasmon
resonance microscopy and oblique-incidence reflectivity difference microscopy.
PMID- 27873203
TI - Optical Microscopy for Detecting Binding on Small Molecule Microarrays.
AB - Small molecule microarrays (SMMs) have revolutionized parallel screening of
multiple biological interactions. SMMs are a high-throughput technique for
simultaneous detection of up to thousands of biological interactions.
Conventional detection technique employed in SMMs is fluorescence spectroscopy.
However, the possibility of fluorescent labels affecting the binding properties
of small molecules drives the development of label-free screening techniques.
Here, we describe two label-free detection techniques using optical microscopy
for the detection of binding on SMMs. The first optical detection technique
ellipsometry, and more specifically, oblique incidence reflectivity difference to
study the kinetics of the association between small molecule targets and protein
probes is discussed. Then, we describe another optical detection technique
surface plasmon resonance microscopy. The sample preparation steps and protocols
of the two techniques to study the interactions between SMMs and their protein
targets are elaborated in great detail.
PMID- 27873204
TI - Array-on-Array Strategy For Activity-Based Enzyme Profiling.
AB - We describe a novel array on array strategy intended to enhance the throughput of
enzymatic activity screening using microarrays. This strategy consists of
spotting a first array with large droplets of enzymes with varying concentrations
and subsequently spotting a second array with small droplets of fluorogenic
substrate on top of the enzyme array. By varying the array on array spotting
patterns of different classes of enzyme (e.g., proteases, phosphatases, kinases)
and their corresponding fluorogenic substrates, we have the unprecedented ability
for testing enzymes and mixed samples in a multiplexed fashion within a single
microarray slide. This new approach enables rapid enzyme characterization
building upon a one enzyme on one slide droplet-based screening concept
previously established.
PMID- 27873205
TI - Protein-Protein Interaction Inhibitors of BRCA1 Discovered Using Small Molecule
Microarrays.
AB - Microarray screening technology has transformed the life sciences arena over the
last decade. The platform is widely used in the area of mapping interaction
networks, to molecular fingerprinting and small molecular inhibitor discovery.
The technique has significantly impacted both basic and applied research. The
microarray platform can likewise enable high-throughput screening and discovery
of protein-protein interaction (PPI) inhibitors. Herein we demonstrate the
application of microarray-guided PPI inhibitor discovery, using human BRCA1 as an
example. Mutations in BRCA1 have been implicated in ~50 % of hereditary breast
cancers. By targeting the (BRCT)2 domain, we showed compound 15a and its prodrug
15b inhibited BRCA1 activities in tumor cells. Unlike previously reported peptide
based PPI inhibitors of BRCA1, the compounds identified could be directly
administered to tumor cells, thus making them useful in targeting BRCA1/PARP
related pathways involved in DNA damage and repair response, for cancer therapy.
PMID- 27873206
TI - Discovery of RNA Binding Small Molecules Using Small Molecule Microarrays.
AB - New methods to identify RNA-binding small molecules open yet unexplored
opportunities for the pharmacological modulation of RNA-driven biology and
disease states. One such approach is the use of small molecule microarrays
(SMMs). Typically, SMMs are generated by spatially arraying and covalently
linking a library of small molecules to a glass surface. Next, incubation of the
arrays with a fluorescently labeled RNA reveals binding interactions that are
detected upon slide imaging. The relative ease with which SMMs are manufactured
enables the screening of multiple oligonucleotides in parallel against tens of
thousands of small molecules, providing information about both binding and
selectivity of identified RNA-small molecule interactions. This approach is
useful for screening a broad variety of structurally and functionally diverse
RNAs. Here, we present a general method for the preparation and use of SMMs to
rapidly identify small molecules that selectively bind to an RNA of interest.
PMID- 27873207
TI - Profiling Phosphopeptide-Binding Domain Recognition Specificity Using Peptide
Microarrays.
AB - Cellular organization and response to internal and external stimuli are mediated
by an intricate web of protein interactions. Some of these interactions are
regulated by covalent posttranslational modifications such as phosphorylation and
acetylation. These modifications can change the chemical nature of the
interaction interfaces and modulate the binding affinity of the interacting
partners. In signal transduction, the most frequent modification is reversible
phosphorylation of tyrosine, serine or threonine residues. Protein
phosphorylation may modulate the activity of enzymes by modifying their
conformation, or regulate the formation of complexes by creating docking sites to
recruit downstream effectors. Families of modular domains, such as SH2, PTB, and
14-3-3, act as "readers" of the modification event. Specificity between closely
related domains of the same family is mediated by the chemical properties of the
domain binding surface that, aside from offering a hydrophilic pocket for the
phosphorylated residue, shows preference for specific sequences. Although the
protein structure and the cell context are also important to ensure specificity,
the amino acid sequence flanking the phosphorylation site defines the accuracy of
the recognition process, and it is therefore essential to define the binding
specificity of phosphopeptide binding domains in order to understand and to infer
the interaction web mediated by phosphopeptides. Methods commonly used to
discover new interactions (such as yeast two hybrid and phage display) are not
suited to study interactions with phosphorylated proteins. On the other hand,
peptide arrays are a powerful approach to precisely identify the binding
preference of phosphopeptide recognition domains. Here we describe a detailed
protocol to assemble arrays of hundreds to thousands phospho-peptides and to
screen them with any modular domain of interest.
PMID- 27873208
TI - Validation Procedure for Multiplex Antibiotic Immunoassays Using Flow-Based
Chemiluminescence Microarrays.
AB - Small molecules like antibiotics or other pharmaceuticals can be detected and
quantified, among others, with indirect competitive immunoassays. With regard to
multiplex quantification, these tests can be performed as chemiluminescence
microarray immunoassays, in which, in principle, the analyte in the sample and
the same substance immobilized on the chip surface compete for a limited number
of specific antibody binding sites. The amount of the specific primary antibody
that has been bound to the surface is visualized by means of a chemiluminescence
reaction.Validated quantitative confirmatory methods for the detection of
contaminants, for example drug residues, in food samples usually comprise
chromatographic analysis and spectrometric detection, e.g., HPLC-MS, GC-MS, or GC
with electron capture detection. Here, we describe a validation procedure
(according to the Commission Decision of the European Communities 2002/657/EC)
for multiplex immunoassays performed as flow-through chemiluminescence
microarrays, using the example of a small molecule microarray for the
simultaneous detection of 13 antibiotics in milk. By this means, we suggest to
accept multianalyte immunoassays as confirmatory methods as well, to benefit from
the advantages of a fast automated method that does not need any pretreatment of
the sample. The presented microarray chip is regenerable, so an internal
calibration is implemented. Therefore, the analytical results are highly precise,
combined with low costs (the aim for commercialization is less than 1 ? per
analyte per sample, this is significantly less than HPLC-MS).
PMID- 27873209
TI - Large-Scale Interaction Profiling of Protein Domains Through Proteomic Peptide
Phage Display Using Custom Peptidomes.
AB - Protein-protein interactions are essential to cellular functions and signaling
pathways. We recently combined bioinformatics and custom oligonucleotide arrays
to construct custom-made peptide-phage libraries for screening peptide-protein
interactions, an approach we call proteomic peptide-phage display (ProP-PD). In
this chapter, we describe protocols for phage display for the identification of
natural peptide binders for a given protein. We finally describe deep sequencing
for the analysis of the proteomic peptide-phage display.
PMID- 27873210
TI - Synthetic Glycan Microarrays.
AB - Structurally diverse glycans are expressed by all animate beings and exert
diverse biological functions through specific interactions with glycan binding
proteins (GBPs). In humans, glycan-GBP interactions are implicated in many
disease-relevant processes in development, infection and immune response to
bacterial and viral pathogens. Recent progress in chemical synthesis, including
automated glycan assembly, has facilitated access to complex glycans that cannot
be isolated from biological material. Glycan immobilization on microarrays allows
rapid, multiplexed glycan-GBP interaction studies to reveal biological functions.
Synthetic glycan microarrays have enabled, for instance, the identification of
glycan ligands for lectins, the definition of vaccine antigens, revealed viral
glycan receptors and can serve as diagnostic tools for human disease. Here, we
describe the methods to fabricate custom glycan microarrays that are used to
examine glycan-GBP binding specificities. Conjugation-ready synthetic glycans are
covalently attached to microarray surfaces through nucleophilic linker moieties.
Microarrays are incubated with GBPs, and binding events are quantitatively
detected by fluorescent signals. These methods are readily adaptable to a
multitude of purposes from basic research to biomedical applications.
PMID- 27873211
TI - Screening Mammalian Cells on a Hydrogel: Functionalized Small Molecule
Microarray.
AB - Mammalian cell-based microarray technology has gained wide attention, for its
plethora of promising applications. The platform is able to provide simultaneous
information on multiple parameters for a given target, or even multiple target
proteins, in a complex biological system. Here we describe the preparation of
mammalian cell-based microarrays using selectively captured of human prostate
cancer cells (PC-3). This platform was then used in controlled drug release and
measuring the associated drug effects on these cancer cells.
PMID- 27873212
TI - HABP2 G534E variation in familial non-medullary thyroid cancer: an Italian
series.
AB - INTRODUCTION: Thyroid cancer may have a familial predisposition and may occur in
the context of hereditary syndromes or as isolated tumor. Recently, the G534E
variant in the HABP2 gene has been suggested as causative mutation for familial
thyroid cancer, but other studies gave contradictory results. METHODS: We have
analyzed the G534E variant in an Italian series of 63 familial thyroid cancer
patients and 41 unaffected family members with end-point PCR, DHPLC and direct
sequencing. RESULTS: All samples analyzed displayed a pattern typical of the
homozygous wild type revealing the absence of the G534E variant. CONCLUSION: In
this study, HABP2 G534E variant is not correlated with the familial form of PTC.
PMID- 27873213
TI - Is management of hyperglycaemia in acute phase stroke still a dilemma?
AB - INTRODUCTION: Close monitoring of blood glucose levels during the immediate post
acute stroke phase is of great clinical value, as there is evidence that the risk
of neurological deterioration is associated with both hyper- and hypoglycaemia.
The aim of this review paper is to summarise the evidence on post-stroke blood
glucose management and its impact on clinical outcomes, during the early post
acute stage. FINDINGS: Post-stroke hyperglycaemia has been associated with
increased cerebral oedema, haemorrhagic transformation, lower likelihood of
recanalisation and deteriorating neurological state. Thus, hyperglycaemia during
an acute stroke may result in poorer clinical outcomes, infarct progression, poor
functional recovery and increased mortality rates. Although hypoglycaemia may
also lead to poorer outcomes via further brain injury, it can be readily reversed
by glucose administration. In most patients, the goal of regular treatment is
euglycaemia and for acute-stroke patients, a reasonable approach is to target
control of glucose level at 100-150 mg/dL. CONCLUSION: Both hypoglycaemia and
hyperglycaemia may lead to further brain injury and clinical deterioration; that
is the reason these conditions should be avoided after stroke. Yet, when
correcting hyperglycaemia, great care should be taken not to switch the patient
into hypoglycaemia, and subsequently aggressive insulin administration treatment
should be avoided. Early identification and prompt management of hyperglycaemia,
especially in acute ischaemic stroke, is recommended. Although the appropriate
level of blood glucose during acute stroke is still debated, a reasonable
approach is to keep the patient in a mildly hyperglycaemic state, rather than
risking hypoglycaemia, using continuous glucose monitoring.
PMID- 27873214
TI - Risk Factors for Non-Therapeutic Initial Steady-State Vancomycin Trough
Concentrations in Children and Adolescents Receiving High Empiric Doses of
Intravenous Vancomycin.
AB - BACKGROUND: Achieving vancomycin troughs of 15-20 MUg/mL remains challenging in
children. Our objective was to identify risk factors associated with non
therapeutic initial vancomycin troughs in children. METHODS: We conducted a
retrospective cohort study of children who received intravenous vancomycin with
at least one initial steady-state trough obtained. Patients who achieved
therapeutic troughs (15-20 MUg/mL in the 20-mg/kg/dose sub-cohort and 10-15
MUg/mL in the 15-mg/kg/dose sub-cohort) were compared with those with
subtherapeutic troughs (<15 and <10 MUg/mL, respectively) and supratherapeutic
troughs (>20 and >15 MUg/mL, respectively) separately to determine risk factors
associated with non-therapeutic troughs. RESULTS: A total of 153 vancomycin
courses in 140 patients met study eligibility criteria. Of 45 patients who
received 20 mg/kg/dose of empiric vancomycin, 60, 16, and 24% were
subtherapeutic, therapeutic, and supratherapeutic, respectively. Each 10
mL/min/1.73 m2 increase in initial creatinine clearance (CrCl) was associated
with a 47% increase in the odds of an initial subtherapeutic trough (adjusted
odds ratio [aOR] 1.47; 95% CI 0.98-2.22). Of 108 patients who received 15
mg/kg/dose of empiric vancomycin, 62, 19, and 19% were subtherapeutic,
therapeutic, and supratherapeutic, respectively. Each 10-mL/min/1.73 m2 increase
in initial CrCl was associated with an 18% increase in the odds of an initial
subtherapeutic trough (aOR 1.18; 95% CI 1.02-1.37). CONCLUSION: Achieving
vancomycin troughs of 15-20 MUg/mL for severe Gram-positive infections continues
to be challenging in children, even at higher empiric doses of 20 mg/kg/dose IV
every 6-8 h. Children with higher initial CrCls are particularly susceptible to
subtherapeutic initial steady-state vancomycin troughs.
PMID- 27873215
TI - Current and Future Treatment Approaches in Transthyretin Familial Amyloid
Polyneuropathy.
AB - OPINION STATEMENT: Treatment of transthyretin familial amyloid polyneuropathy
(TTR FAP) must be tailored to disease stage. Patients with early stage disease
(i.e., without major impairment in walking ability), especially younger patients,
should be referred as soon as possible for liver transplantation (LT) in the
absence of major comorbid conditions. LT remains the most effective treatment
option to date and should be offered to these patients as early as possible.
Bridging therapy with an oral TTR stabilizer (tafamidis or diflunisal, according
to local access to these treatments) should be started as soon as the diagnosis
of TTR FAP is established. Early stage patients who do not wish to or have
contraindications to LT should be treated with an oral TTR stabilizer or get
access to the newly developed therapeutic options (IONIS TTR-Rx, patisiran,
doxycycline/TUDCA). Late stage patients (presenting with significant walking
impairment) are usually older and notoriously difficult to treat. They should be
offered an oral TTR stabilizer but are not candidates for LT due to a significant
rate of perioperative complications and increased risk of progressive
neurological and especially cardiac disease despite LT. Access to the different
therapies in development should also be considered depending on respective
inclusion and exclusion criteria. The abovementioned treatment options were
mostly validated in Val30Met mutation patients, but should also be offered to non
Val30Met patients, although mortality rates after LT are higher in these
patients. Treatment decisions should be made on an individual basis. Screening
for heart, eye, and renal involvement is mandatory for every patient at disease
diagnosis and regularly thereafter, even in transplanted patients. Symptomatic
treatment should be offered as needed, as well as genetic counseling to at-risk
family members. Asymptomatic mutation carriers should benefit from regular
screening for early symptoms of disease. Current therapeutic management of TTR
FAP will hopefully be changed in the near future with data from the ongoing phase
2/3 studies testing the TTR gene silencing agents. In the longer term, it is
likely that combined therapeutic approaches will be necessary to reverse the
disease process.
PMID- 27873216
TI - Prognostic Metabolite Biomarkers for Soft Tissue Sarcomas Discovered by Mass
Spectrometry Imaging.
AB - Metabolites can be an important read-out of disease. The identification and
validation of biomarkers in the cancer metabolome that can stratify high-risk
patients is one of the main current research aspects. Mass spectrometry has
become the technique of choice for metabolomics studies, and mass spectrometry
imaging (MSI) enables their visualization in patient tissues. In this study, we
used MSI to identify prognostic metabolite biomarkers in high grade sarcomas; 33
high grade sarcoma patients, comprising osteosarcoma, leiomyosarcoma,
myxofibrosarcoma, and undifferentiated pleomorphic sarcoma were analyzed.
Metabolite MSI data were obtained from sections of fresh frozen tissue specimens
with matrix-assisted laser/desorption ionization (MALDI) MSI in negative polarity
using 9-aminoarcridine as matrix. Subsequent annotation of tumor regions by
expert pathologists resulted in tumor-specific metabolite signatures, which were
then tested for association with patient survival. Metabolite signals with
significant clinical value were further validated and identified by high mass
resolution Fourier transform ion cyclotron resonance (FTICR) MSI. Three
metabolite signals were found to correlate with overall survival (m/z 180.9436
and 241.0118) and metastasis-free survival (m/z 160.8417). FTICR-MSI identified
m/z 241.0118 as inositol cyclic phosphate and m/z 160.8417 as carnitine.
Graphical Abstract ?.
PMID- 27873217
TI - A Retrospective Evaluation of the Use of Mass Spectrometry in FDA Biologics
License Applications.
AB - The characterization sections of biologics license applications (BLAs) approved
by the United States Food and Drug Administration (FDA) between 2000 and 2015
were investigated to examine the extent of the use of mass spectrometry. Mass
spectrometry was found to be integral to the characterization of these
biotherapeutics. Of the 80 electronically submitted monoclonal antibody and
protein biotherapeutic BLAs included in this study, 79 were found to use mass
spectrometric workflows for protein or impurity characterization. To further
examine how MS is being used in successful BLAs, the applications were filtered
based on the type and number of quality attributes characterized, the mass
spectrometric workflows used (peptide mapping, intact mass analysis, and cleaved
glycan analysis), the methods used to introduce the proteins into the gas phase
(ESI, MALDI, or LC-ESI), and the specific types of instrumentation used. Analyses
were conducted over a time course based on the FDA BLA approval to determine if
any trends in utilization could be observed over time. Additionally, the
different classes of protein-based biotherapeutics among the approved BLAs were
clustered to determine if any trends could be attributed to the specific type of
biotherapeutic. Graphical Abstract ?.
PMID- 27873218
TI - Characterization of Glycan Structures of Chondroitin Sulfate-Glycopeptides
Facilitated by Sodium Ion-Pairing and Positive Mode LC-MS/MS.
AB - Purification and liquid chromatography-tandem mass spectrometry (LC-MS/MS)
characterization of glycopeptides, originating from protease digests of
glycoproteins, enables site-specific analysis of protein N- and O-glycosylations.
We have described a protocol to enrich, hydrolyze by chondroitinase ABC, and
characterize chondroitin sulfate-containing glycopeptides (CS-glycopeptides)
using positive mode LC-MS/MS. The CS-glycopeptides, originating from the Bikunin
proteoglycan of human urine samples, had DeltaHexAGalNAcGlcAGalGalXyl-O-Ser
hexasaccharide structure and were further substituted with 0-3 sulfate and 0-1
phosphate groups. However, it was not possible to exactly pinpoint sulfate
attachment residues, for protonated precursors, due to extensive fragmentation of
sulfate groups using high-energy collision induced dissociation (HCD). To
circumvent the well-recognized sulfate instability, we now introduced Na+ ions to
form sodiated precursors, which protected sulfate groups from decomposition and
facilitated the assignment of sulfate modifications. Sulfate groups were
pinpointed to both Gal residues and to the GalNAc of the hexasaccharide
structure. The intensities of protonated and sodiated saccharide oxonium ions
were very prominent in the HCD-MS2 spectra, which provided complementary
structural analysis of sulfate substituents of CS-glycopeptides. We have
demonstrated a considerable heterogeneity of the bikunin CS linkage region. The
realization of these structural variants should be beneficial in studies aimed at
investigating the importance of the CS linkage region with regards to the
biosynthesis of CS and potential interactions to CS binding proteins. Also, the
combined use of protonated and sodiated precursors for positive mode HCD
fragmentation analysis will likely become useful for additional classes of
sulfated glycopeptides. Graphical Abstract ?.
PMID- 27873220
TI - Examining how and why to Engage Practitioners from across the Learning Landscape
in the Research Enterprise: Proposal for Phronetic Research on Education.
AB - Educational practitioners are often reluctant, if not actively resistant, to
their participation in production and consumption of educational research. Based
on my research experience with educational practitioners, I try to deconstruct
this phenomenon using dialogic Bakhtinian and Aristotelian sociocultural
frameworks. I consider two major related breakdowns in the educational practice:
1) a lack of self-correcting process in the educational practice, while reliance
on accountability policy to achieve the practice quality, and 2) a breakdown
between educational research and educational practice. I argue that the first
breakdown is caused by viewing teaching as poiesis, aiming at preset curricular
endpoints, and not as praxis, critically defining its own values, goals, and
virtues. As to the second breakdown, I argue that current mainstream and even
innovative research is defined through the techne and episteme ways of knowing,
which correspond to a poiesic vision of educational practice. I suggest that
educational practice primarily involves the phronetic and sophic ways of knowing,
which correspond to a praxis vision of educational practice. I describe phronetic
research of teaching through a case of my students, preservice teachers, working
on revisions of their lessons that they conducted at an urban afterschool
program. Finally, I consider recommendations for institutional support for
phronetic research on teaching.
PMID- 27873221
TI - One Size May Not Fit All: How Obesity Among Mexican-Origin Youth Varies by
Generation, Gender, and Age.
AB - Immigrants' health (dis)advantages are increasingly recognized as not being
uniform, leading to calls for studies investigating whether immigrant health
outcomes are dependent on factors that exacerbate health risks. We answer this
call, considering an outcome with competing evidence about immigrants'
vulnerability versus risk: childhood obesity. More specifically, we investigate
obesity among three generations of Mexican-origin youth relative to one another
and to U.S.-born whites. We posit that risk is dependent on the intersection of
generational status, gender, and age, which all influence exposure to U.S.
society and weight concerns. Analyses of National Health and Nutrition
Examination Studies (NHANES) data suggest that accounting for ethnicity and
generation alone misses considerable gender and age heterogeneity in childhood
obesity among Mexican-origin and white youth. For example, second-generation boys
are vulnerable to obesity, but the odds of obesity for first-generation girls are
low and on par with those of white girls. Findings also indicate that age
moderates ethnic/generational differences in obesity among boys but not among
girls. Overall, ethnic/generational patterns of childhood obesity do not conform
to a "one size fits all" theory of immigrant health (dis)advantage, leading us to
join calls for more research considering how immigrants' characteristics and
contexts differentially shape vulnerability to disease and death.
PMID- 27873222
TI - Young Children's Developmental Ecologies and Kindergarten Readiness.
AB - Children enter the crucial transition to school with sociodemographic disparities
firmly established. Domain-specific research (e.g., on poverty and family
structure) has shed light on these disparities, but we need broader
operationalizations of children's environments to explain them. Building on
existing theory, this study articulates the concept of developmental ecology
those interrelated features of a child's proximal environment that shape
development and health. Developmental ecology links structural and demographic
factors with interactional, psychological, and genetic factors. Using the Early
Childhood Longitudinal Study, Birth Cohort (ECLS-B), this study conducts latent
class analyses to identify how 41 factors from three domains-namely, household
resources, health risks, and ecological changes-cluster within children as four
overarching developmental ecologies. Because it documents how numerous factors co
occur within children, this method allows an approximation of their lived
environments. Findings illuminate powerful relationships between race/ethnicity,
parental age, socioeconomic background, and nativity and a child's developmental
ecology, as well as associations between developmental ecology and kindergarten
cognition, behavior, and health. Developmental ecology represents a major pathway
through which demographic characteristics shape school readiness. Because
specific factors have different implications depending on the ecologies in which
they are embedded, findings support the usefulness of a broad ecological
approach.
PMID- 27873223
TI - Assimilation and Health: Evidence From Linked Birth Records of Second- and Third
Generation Hispanics.
AB - This study explores the effects of assimilation on the health of Hispanics in the
United States, using ethnic intermarriage as a metric of acculturation. I exploit
a unique data set of linked confidential use birth records in California and
Florida from 1970-2009. The confidential data allow me to link mothers giving
birth in 1989-2009 to their own birth certificate records in 1970-1985 and to
identify second-generation siblings. Thus, I can analyze the relationship between
the parental exogamy of second-generation Hispanic women and the birth outcomes
of their offspring controlling for grandmother fixed effects as well as
indicators for second generation's birth weight. Despite their higher
socioeconomic status, third-generation children of second-generation intermarried
Hispanic women are more likely to have poor health at birth, even after I account
for second-generation health at birth and employ only within-family variations in
the extent of assimilation. I find that a second-generation Hispanic woman
married to a non-Hispanic man is 9 % more likely to have a child with low birth
weight relative to a second-generation woman married to another Hispanic. These
results largely reflect the higher incidence of risky behaviors (e.g., smoking
during pregnancy) among intermarried Hispanic women.
PMID- 27873219
TI - Immortalization of primary microglia: a new platform to study HIV regulation in
the central nervous system.
AB - The major reservoirs for HIV in the CNS are in the microglia, perivascular
macrophages, and to a lesser extent, astrocytes. To study the molecular events
controlling HIV expression in the microglia, we developed a reliable and robust
method to immortalize microglial cells from primary glia from fresh CNS tissues
and commercially available frozen glial cells. Primary human cells, including
cells obtained from adult brain tissue, were transformed with lentiviral vectors
expressing SV40 T antigen or a combination of SVR40 T antigen and hTERT. The
immortalized cells have microglia-like morphology and express key microglial
surface markers including CD11b, TGFbetaR, and P2RY12. Importantly, these cells
were confirmed to be of human origin by sequencing. The RNA expression profiles
identified by RNA-seq are also characteristic of microglial cells. Furthermore,
the cells demonstrate the expected migratory and phagocytic activity, and the
capacity to mount an inflammatory response characteristic of primary microglia.
The immortalization method has also been successfully applied to a wide range of
microglia from other species (macaque, rat, and mouse). To investigate different
aspects of HIV molecular regulation in CNS, the cells have been superinfected
with HIV reporter viruses and latently infected clones have been selected that
reactive HIV in response to inflammatory signals. The cell lines we have
developed and rigorously characterized will provide an invaluable resource for
the study of HIV infection in microglial cells as well as studies of microglial
cell function.
PMID- 27873224
TI - Therapeutic Potential of Transdermal Glyceryl Trinitrate in the Management of
Acute Stroke.
AB - The nitric oxide donor, glyceryl trinitrate (GTN), is a candidate treatment for
the management of acute stroke with haemodynamic and potential reperfusion and
neuroprotective effects. When administered as a transdermal patch during the
acute and subacute phases after stroke, GTN was safe, lowered blood pressure,
maintained cerebral blood flow, and did not induce cerebral steal or alter
functional outcome. However, when given within 6 h of stroke onset, GTN reduced
death and dependency (odds ratio 0.52; 95% confidence interval 0.34-0.78), death,
disability, cognitive impairment and mood disturbance, and improved quality of
life (data from two trials, n = 312). In a pooled analysis of four studies (n =
186), GTN reduced between-visit systolic blood pressure variability over days 1-7
compared with no GTN (mean difference -2.09; 95% confidence interval -3.83 to
0.35; p = 0.019). The efficacy of GTN given in the ultra-acute/pre-hospital
setting is currently being assessed and, if found to be beneficial, the
implications for hyperacute stroke practice are significant. Here, we discuss the
evidence to date, potential mechanisms of action and future possibilities,
including unanswered questions, for the therapeutic potential of GTN in acute
stroke.
PMID- 27873227
TI - The Relationship Between Aortic Root Size and Hypertension: An Unsolved
Conundrum.
AB - Thoracic aortic aneurysms rupture and dissection are among the most devastating
vascular diseases, being characterized by elevated mortality, despite
improvements in diagnostic imaging and surgical techniques.An increased aortic
root diameter (ARD) represents the main risk factor for thoracic aortic
dissection and rupture and for aortic valve regurgitation.Even though arterial
hypertension is commonly regarded as a predisposing condition for the development
of thoracic aorta aneurysms, the role of blood pressure (BP) as determinant of
aortic root enlargement is still controversial. The use of different methods for
indexation of ARD may have in part contributed to the heterogeneous findings
obtained in the investigations exploring the relationships between ARD and BP.
Indeed, the best methods for ARD indexation, as well as the normal values of
aortic root size, are still a matter of debate.Several non-hemodynamic factors
influence ARD, including age, gender, and anthropometric variables, such as
height, weight and their derivatives body surface area (BSA) and body mass index.
Of these factors, anthropometric variables have the greatest impact.Several
studies documented an association between ARD enlargement, assessed by
echocardiography, and some indices of hypertensive target organ damage such as
left ventricular hypertrophy, diastolic dysfunction, and carotid intima-media
thickening. Recently, we found that ARD, expressed either as absolute values or
normalized for BSA (ARD/BSA) or height (ARD/H), was significantly greater in
hypertensive subjects with chronic kidney disease (CKD) when compared to their
counterparts with normal renal function. Moreover, at univariate analyses
estimated glomerular filtration rate (eGFR) showed significant inverse
correlations with ARD not indexed and with ARD/BSA and ARD/H. Taking into account
the effect of age, sex, duration of hypertension and other potentially
confounding factors, in multiple regression analyses, only the association of GFR
with ARD/H and that between GFR and ARD/BSA remained statistically significant.
The receiver-operating characteristic curve analysis revealed that an estimated
GFR of about 50 ml/min/1.73 m2 represents the better threshold to distinguish
hypertensive patients with dilated aortic root from those with a normal one.Some
population-based studies showed that an enlarged ARD might predict an adverse
prognosis, even in absence of aneurysmatic alterations.In the Cardiovascular
Health Study, a dilated aortic root was independently associated with an
increased risk for stroke, cardiovascular and total mortality in both sexes and
with incident congestive heart failure only in men. The relationship between ARD
and heart failure has been observed also in the Framingham Heart Study. More
recently, the PAMELA (Pressioni Arteriose Monitorate E Loro Associazioni) study
demonstrated an independent relationship of ARD/H with incident cardiovascular
morbidity and mortality.Although the relationship between BP and aortic root size
is still a matter of debate, increasing evidence seems to support the notion that
aortic root dilatation, even in absence of aneurysmatic alterations, may be
regarded as an hypertensive organ damage paralleling other preclinical markers
whose unfavourable prognostic significance is firmly established. Future studies
are needed to assess whether or not antihypertensive therapy is able to reduce
aortic root dimension and the increased risk associated with its enlargement.
PMID- 27873226
TI - Is Dimension Order Important when Valuing Health States Using Discrete Choice
Experiments Including Duration?
AB - BACKGROUND: Discrete choice experiments with duration (DCETTO) can be used to
estimate utility values for preference-based measures, such as the EQ-5D-5L. For
self-completion, the health dimensions are presented in a standard order.
However, for valuation, this may result in order effects. Thus, it is important
to understand whether health state dimension ordering affects values. The aim of
this study was to examine the importance of dimension ordering on DCE values
using EQ-5D-5L. METHODS: A choice experiment presenting two health profiles and a
third immediate death option was developed. A three-arm study was used, with the
same 120 choice sets presented online across each arm (n = 360 per arm). Arm 1
presented the standard EQ-5D-5L dimension order, arm 2 randomised order between
respondents, and arm 3 randomised within respondents. Conditional logit
regression was used to assess model consistency, and scale parameter testing was
used to assess model poolability. RESULTS: There were minor inconsistencies
across each arm, but the magnitudes of the coefficients produced were generally
consistent. Arm 3 produced the largest range of utility values (1 to -0.980).
Scale parameter testing suggested that the models did not differ, and the data
could be pooled. Follow-up questions did not suggest variation in terms of
difficulty. CONCLUSIONS: The results suggest that the level of randomisation used
in DCE health state valuation studies does not significantly impact values, and
dimension order may not be as important as other study design issues. The results
support past valuation studies that use the standard order of dimensions.
PMID- 27873225
TI - How Consistent is the Relationship between Improved Glucose Control and Modelled
Health Outcomes for People with Type 2 Diabetes Mellitus? a Systematic Review.
AB - BACKGROUND: There are an increasing number of studies using simulation models to
conduct cost-effectiveness analyses for type 2 diabetes mellitus. OBJECTIVE: To
evaluate the relationship between improvements in glycosylated haemoglobin
(HbA1c) and simulated health outcomes in type 2 diabetes cost-effectiveness
studies. METHODS: A systematic review was conducted on MEDLINE and EMBASE to
collect cost-effectiveness studies using type 2 diabetes simulation models that
reported modelled health outcomes of blood glucose-related interventions in terms
of quality-adjusted life-years (QALYs) or life expectancy (LE). The data
extracted included information used to characterise the study cohort, the
intervention's treatment effects on risk factors and model outcomes. Linear
regressions were used to test the relationship between the difference in HbA1c
(?HbA1c) and incremental QALYs (?QALYs) or LE (?LE) of intervention and control
groups. The ratio between the ?QALYs and ?LE was calculated and a scatterplot
between the ratio and ?HbA1c was used to explore the relationship between these
two. RESULTS: Seventy-six studies were included in this research, contributing to
124 pair of comparators. The pooled regressions indicated that the marginal
effect of a 1% HbA1c decrease in intervention resulted in an increase in life
time QALYs and LE of 0.371 (95% confidence interval 0.286-0.456) and 0.642 (95%
CI 0.494-0.790), respectively. No evidence of heterogeneity between models was
found. An inverse exponential relationship was found and fitted between the ratio
(?QALY/?LE) and ?HbA1c. CONCLUSION: There is a consistent relationship between
?HbA1c and ?QALYs or ?LE in cost-effectiveness analyses using type 2 diabetes
simulation models. This relationship can be used as a diagnostic tool for
decision makers.
PMID- 27873228
TI - Hypertension in Chronic Kidney Disease.
AB - Hypertension, a global public health problem, is currently the leading factor in
the global burden of disease. It is the major modifiable risk factor for heart
disease, stroke and kidney failure. Chronic kidney disease (CKD) is both a common
cause of hypertension and CKD is also a complication of uncontrolled
hypertension. The interaction between hypertension and CKD is complex and
increases the risk of adverse cardiovascular and cerebrovascular outcomes. This
is particularly significant in the setting of resistant hypertension commonly
seen in patient with CKD. The pathophysiology of CKD associated hypertension is
multi-factorial with different mechanisms contributing to hypertension. These
pathogenic mechanisms include sodium dysregulation, increased sympathetic nervous
system and alterations in renin angiotensin aldosterone system activity.
Standardized blood pressure (BP) measurement is essential in establishing the
diagnosis and management of hypertension in CKD. Use of ambulatory blood pressure
monitoring provides an additional assessment of diurnal variation in BP commonly
seen in CKD patients. The optimal BP target in the treatment of hypertension in
general and CKD population remains a matter of debate and controversial despite
recent guidelines and clinical trial data. Medical therapy of patients with CKD
associated hypertension can be difficult and challenging. Additional evaluation
by a hypertension specialist may be required in the setting of treatment
resistant hypertension by excluding pseudo-resistance and treatable secondary
causes. Treatment with a combination of antihypertensive drugs, including
appropriate diuretic choice, based on estimated glomerular filtration rate, is a
key component of hypertension management in CKD patients. In addition to drug
treatment non-pharmacological approaches including life style modification, most
important of which is dietary salt restriction, should be included in the
management of hypertension in CKD patients.
PMID- 27873229
TI - Subclinical Kidney Damage in Hypertensive Patients: A Renal Window Opened on the
Cardiovascular System. Focus on Microalbuminuria.
AB - The kidney is one of the major target organs of hypertension.Kidney damage
represents a frequent event in the course of hypertension and arterial
hypertension is one of the leading causes of end-stage renal disease (ESRD).ESRD
has long been recognized as a strong predictor of cardiovascular (CV) morbidity
and mortality. However, over the past 20 years a large and consistent body of
evidence has been produced suggesting that CV risk progressively increases as the
estimated glomerular filtration rate (eGFR) declines and is already significantly
elevated even in the earliest stages of renal damage. Data was supported by the
very large collaborative meta-analysis of the Chronic Kidney Disease Prognosis
Consortium, which provided undisputable evidence that there is an inverse
association between eGFR and CV risk. It is important to remember that in
evaluating CV disease using renal parameters, GFR should be assessed
simultaneously with albuminuria.Indeed, data from the same meta-analysis indicate
that also increased urinary albumin levels or proteinuria carry an increased risk
of all-cause and CV mortality. Thus, lower eGFR and higher urinary albumin values
are not only predictors of progressive kidney failure, but also of all-cause and
CV mortality, independent of each other and of traditional CV risk
factors.Although subjects with ESRD are at the highest risk of CV diseases, there
will likely be more events in subjects with mil-to-moderate renal dysfunction,
because of its much higher prevalence.These findings are even more noteworthy
when one considers that a mild reduction in renal function is very common in
hypertensive patients.The current European Society of Hypertension (ESH)/European
Society of Cardiology (ESC) guidelines for the management of arterial
hypertension recommend to sought in every patient signs of subclinical (or
asymptomatic) renal damage. This was defined by the detection of eGFR between 30
mL/min/1.73 m2 and 60 mL/min/1.73 m2 or the presence of microalbuminuria (MAU),
that is an amount of albumin in the urine of 30-300 mg/day or an
albumin/creatinine ratio, preferentially on morning spot urine, of 30-300
mg/g.There is clear evidence that urinary albumin excretion levels, even below
the cut-off values used to define MAU, are associated with an increased risk of
CV events. The relationships of MAU with a variety of risk factors, such as blood
pressure, diabetes and metabolic syndrome and with several indices of subclinical
organ damage, may contribute, at least in part, to explain the enhanced CV risk
conferred by MAU. Nonetheless, several studies showed that the association
between MAU and CV disease remains when all these risk factors are taken into
account in multivariate analyses. Therefore, the exact pathophysiological
mechanisms explaining the association between MAU and CV risk remain to be
elucidated. The simple search for MAU and in general of subclinical renal
involvement in hypertensive patients may enable the clinician to better assess
absolute CV risk, and its identification may induce physicians to encourage
patients to make healthy lifestyle changes and perhaps would prompt to more
aggressive modification of standard CV risk factors.
PMID- 27873230
TI - Hypertension in the Hemodialysis Patient.
AB - Hypertension is common yet difficult to manage in the hemodialysis patients
population. This chapter discusses various aspects of this problem including its
prevalence, distinctive pathophysiology, methods of diagnosis and pharmacological
and non pharmacological treatment approaches. The topic is relevant to any health
care provider taking care of hemodialysis patients.
PMID- 27873231
TI - Atherosclerotic Renal Artery Stenosis.
AB - Atherosclerotic Renal Artery Stenosis is a form or peripheral arterial disease
that tends to affect older subjects with hyperlipidemia, history of tobacco use,
and who have other coexistent forms of vascular insufficiency. An abdominal bruit
on physical exam can be a helpful clue. Slowly progressive, it can lead to
critical narrowing of the renal arteries which creates a cascade of events such
as renin-angiotensin-aldosterone activation (RAAS), hypertension, acute pulmonary
edema, and renal fibrosis. The hypertension is considered a secondary form and
can even be resistant to multiple antihypertensives. The diagnosis can be made
with imaging (duplex ultrasound CT scans, MRA, or angiography). Because of the
unique circulation to the kidney, stenting and angioplasty are rarely curative.
This was confirmed in three recent large clinical trials. Therapy consists of
lipid and blood pressure control, and dual anti-platelet agents. Because the
disease activates the RAAS system, ace inhibitors and angiotensin receptor
blockers can be useful agents but carry the risk of ischemic nephropathy, a form
of acute kidney injury related to reduced renal blood flow after challenge with
these agents. As such these agents are used with caution. Little is known about
optimal blood pressure agents or the effect of lifestyle modification.
PMID- 27873232
TI - Evidence-Based Revised View of the Pathophysiology of Preeclampsia.
AB - Preeclampsia is a life-threatening vascular disorder of pregnancy due to a
failing stressed placenta. Millions of women risk death to give birth each year
and globally each year, almost 300,000 lose their life in this process and over
500,000 babies die as a consequence of preeclampsia. Despite decades of research,
we lack pharmacological agents to treat it. Maternal endothelial oxidative stress
is a central phenomenon responsible for the preeclampsia phenotype of high
maternal blood pressure and proteinuria. In 1997, it was proposed that
preeclampsia arises due to the loss of VEGF activity, possibly due to elevation
in anti-angiogenic factor, soluble Flt-1 (sFlt-1). Researchers showed that high
sFlt-1 and soluble endoglin (sEng) elicit the severe preeclampsia phenotype in
pregnant rodents. We demonstrated that heme oxygenase-1 (HO-1)/carbon monoxide
(CO) pathway prevents placental stress and suppresses sFlt-1 and sEng release.
Likewise, hydrogen sulphide (H2S)/cystathionine-gamma-lyase (Cth) systems limit
sFlt-1 and sEng and protect against the preeclampsia phenotype in mice.
Importantly, H2S restores placental vasculature, and in doing so improves lagging
fetal growth. These molecules act as the inhibitor systems in pregnancy and when
they fail, preeclampsia is triggered. In this review, we discuss what are the
hypotheses and models for the pathophysiology of preeclampsia on the basis of
Bradford Hill causation criteria for disease causation and how further in vivo
experimentation is needed to establish 'proof of principle'. Hypotheses that fail
to meet the Bradford Hill causation criteria include abnormal spiral artery
remodelling and inflammation and should be considered associated or consequential
to the disorder. In contrast, the protection against cellular stress hypothesis
that states that the protective pathways mitigate cellular stress by limiting
elevation of anti-angiogenic factors or oxidative stress and the subsequent
clinical signs of preeclampsia appear to fulfil most of Bradford Hill causation
criteria. Identifying the candidates on the roadmap to this pathway is essential
in developing diagnostics and therapeutics to target the pathogenesis of
preeclampsia.
PMID- 27873233
TI - FOUR Score Predicts Early Outcome in Patients After Traumatic Brain Injury.
AB - BACKGROUND: The aim of the study was to determine whether the Full Outline of
UnResponsiveness (FOUR) score, which includes eyes opening (E), motor function
(M), brainstem reflex (B), and respiratory pattern (R), can be used as an
alternate method to the Glasgow Coma Scale (GCS) in predicting intensive care
unit (ICU) mortality in traumatic brain injury (TBI) patients. METHODS: From
January 2015 to June 2015, patients with isolated TBI admitted to the ICU were
enrolled. Three advanced practice nurses administered the FOUR score, GCS, Acute
Physiology and Chronic Health Evaluation II (APACHE II), and Therapeutic
Intervention Scoring System (TISS) concurrently from ICU admissions. The endpoint
of observation was mortality when the patients left the ICU. Data are presented
as frequency with percentages, mean with standard deviation, or median with
interquartile range. Each measurement tool used area under the receiver operating
characteristic curve to compare the predictive power between these four tools. In
addition, the difference between survival and death was estimated using the
Wilcoxon rank sum test. RESULTS: From 55 TBI patients, males (72.73 %) were
represented more than females, the mean age was 63.1 +/- 17.9, and 19 of 55
observations (35 %) had a maximum FOUR score of 16. The overall mortality rate
was 14.6 %. The area under the receiver operating characteristic curve was 74.47
% for the FOUR score, 74.73 % for the GCS, 81.78 % for the APACHE II, and 53.32 %
for the TISS. The FOUR score has similar predictive power of mortality compared
to the GCS and APACHE II. Each of the parameters-E, M, B, and R-of the FOUR score
showed a significant difference between mortality and survival group, while the
verbal and eye-opening components of the GCS did not. CONCLUSION: Having similar
predictive power of mortality compared to the GCS and APACHE II, the FOUR score
can be used as an alternative in the prediction of early mortality in TBI
patients in the ICU.
PMID- 27873234
TI - EEG Monitoring and Antiepileptic Drugs in Children with Severe TBI.
AB - BACKGROUND: Traumatic brain injury (TBI) causes substantial morbidity and
mortality in US children. Post-traumatic seizures (PTS) occur in 11-42% of
children with severe TBI and are associated with unfavorable outcome.
Electroencephalographic (EEG) monitoring may be used to detect PTS and
antiepileptic drugs (AEDs) may be used to treat PTS, but national rates of EEG
and AED use are not known. The purpose of this study was to describe the
frequency and timing of EEG and AED use in children hospitalized after severe
TBI. METHODS: Retrospective cohort study of 2165 children at 30 hospitals in a
probabilistically linked dataset from the National Trauma Data Bank (NTDB) and
the Pediatric Health Information Systems (PHIS) database, 2007-2010. We included
children (age <18 years old at admission) with linked NTDB and PHIS records,
severe (Emergency Department [ED] Glasgow Coma Scale [GCS] <8) TBI, hospital
length of stay >24 h, and non-missing disposition. The primary outcomes were EEG
and AED use. RESULTS: Overall, 31.8% of the cohort had EEG monitoring. Of those,
21.8% were monitored on the first hospital day. The median duration of EEG
monitoring was 2.0 (IQR 1.0, 4.0) days. AEDs were prescribed to 52.0% of the
cohort, of whom 61.8% received an AED on the first hospital day. The median
duration of AED use was 8.0 (IQR 4.0, 17.0) days. EEG monitoring and AED use were
more frequent in children with known risk factors for PTS. EEG monitoring and AED
use were not related to hospital TBI volume. CONCLUSION: EEG use is relatively
uncommon in children with severe TBI, but AEDs are frequently prescribed. EEG
monitoring and AED use are more common in children with known risk factors for
PTS.
PMID- 27873236
TI - Association of Performance Status and Pain in Metastatic Bone Pain Management in
the Spanish Clinical Setting.
AB - INTRODUCTION: Bone metastasis is the most common cause of cancer-related pain,
and metastatic bone pain (MBP) is not only severe but also progressive in many
patients. The aim of this study was to investigate the association between pain
management and performance status in patients with metastatic bone cancer in the
Spanish clinical setting. METHODS: A 3-month follow-up prospective,
epidemiologic, multicenter study was conducted in 579 patients to assess the
evolution of their performance, the impact of pain control on sleep and
functionality, and the degree of pain control according to analgesic treatment.
RESULTS: In patients with MBP, Eastern Cooperative Oncology Group (ECOG) status
(1.5 +/- 0.7-1.3 +/- 0.7 and 1.3 +/- 0.8; p < 0.001) and pain (6.5 +/- 1.4-2.8 +/
1.9 and 2.1 +/- 1.9; p < 0.001) improved significantly from baseline to months 1
and 3, as did functionality and sleep, after a treatment change consisting of
increasing the administration of opioids. Evolution of ECOG and pain were closely
related. ECOG and pain outcomes were significantly more favorable in patients
treated with opioids versus non-opioid treatment, and in patients who did not
need rescue medication versus those who did. CONCLUSIONS: MBP is currently poorly
managed in Spain. ECOG improvement is closely and directly related to pain
management in MBP. Opioid treatment and a lack of requirements for rescue
medication are associated with better ECOG and pain outcomes in MBP patients.
FUNDING: Mundipharma Pharmaceuticals S.L.
PMID- 27873237
TI - Estimating Long-Term Survival of Adults with Philadelphia Chromosome-Negative
Relapsed/Refractory B-Precursor Acute Lymphoblastic Leukemia Treated with
Blinatumomab Using Historical Data.
AB - INTRODUCTION: Blinatumomab is a bispecific T cell-engaging antibody construct
indicated for adult patients with relapsed/refractory (R/R) Ph(-) B-precursor
acute lymphoblastic leukemia (ALL), an aggressive disease with poor prognosis. A
phase 2 single-arm clinical study showed that 43% of patients achieved CR/CRh
within two cycles and approximately 20% of patients receiving blinatumomab were
still alive after 2 years. METHODS: The objective of the current analysis was to
estimate long-term survival of patients receiving blinatumomab beyond the
observed time period in the clinical study using a large historical observational
dataset. Conditional survival probabilities of blinatumomab-treated patients
beyond month 60 were assumed to be the same as the US general population.
RESULTS: At month 60, the estimated proportion of blinatumomab-treated patients
alive was more than double that of historical patients (12.6% vs 5.4%). The mean
overall survival was 76.1 months for blinatumomab patients and 39.8 months for
historical patients. Sensitivity analyses including additional follow-up data
from the clinical study showed consistent results. CONCLUSIONS: These findings
suggest that blinatumomab provides substantial overall survival benefit to
patients with (R/R) Ph(-) B-precursor ALL compared with salvage chemotherapy.
FUNDING: Amgen. TRIAL REGISTRATION: ClinicalTrials.gov identifier NCT01466179 and
NCT02003612.
PMID- 27873238
TI - Cardiovascular Safety of Dipeptidyl-Peptidase IV Inhibitors: A Meta-Analysis of
Placebo-Controlled Randomized Trials.
AB - BACKGROUND: Large randomized trials have shown conflicting evidence regarding the
cardiovascular safety of dipeptidyl-peptidase 4 (DPP-4) inhibitors. Systematic
reviews have been limited by incomplete data and inclusion of observational
studies. This study aimed to systematically evaluate the cardiovascular safety of
DPP-4 inhibitors in patients with type 2 diabetes. METHODS: Electronic databases
were searched for randomized trials that compared DPP-4 inhibitors versus placebo
and reported cardiovascular outcomes. The main outcome assessed in this analysis
was heart failure. Other outcomes included all-cause mortality, cardiovascular
mortality, myocardial infarction, and ischemic stroke. Summary odds ratios (ORs)
were primarily constructed using Peto's model. RESULTS: A total of 90 trials with
66,730 patients were included. Compared with placebo, DPP-4 inhibitors were
associated with a non-significant increased risk of heart failure [OR 1.11, 95%
confidence interval (CI) 0.99-1.25, P = 0.07] at a mean of 108 weeks. The risk of
all-cause mortality (OR 1.03, 95% CI 0.94-1.12, P = 0.53), cardiovascular
mortality (OR 1.02, 95% CI 0.92-1.14, P = 0.72), myocardial infarction (OR 0.98,
95% CI 0.88-1.09, P = 0.69), and ischemic stroke (OR 0.99, 95% CI 0.85-1.15, P =
0.92) was similar between both groups. CONCLUSION: In patients with type 2
diabetes, the safety profile of DPP-4 inhibitors is similar to placebo. As a
class, there is only weak evidence for an increased risk of heart failure.
PMID- 27873239
TI - Effects of acetaminophen on mitochondrial complex I activity in the rat liver and
kidney: a PET study with 18F-BCPP-BF.
AB - BACKGROUND: In the present study, 2-tert-butyl-4-chloro-5-[6-(4-18F-fluorobutoxy)
pyridin-3-ylmethoxy]-2H-pyridazin-3-one (18F-BCPP-BF), a PET probe for
mitochondrial complex I (MC-I), was used to validate whether MC-I is a useful
biomarker for detecting acetaminophen-induced dysfunctions in the liver and
kidney. The kinetic and distribution of 18F-BCPP-BF were assessed in rats using
high-resolution animal PET in vivo. The binding specificity of 18F-BCPP-BF to MC
I in the liver and kidney was confirmed by the pre-administration of rotenone, a
specific MC-I inhibitor. The effects of acetaminophen on MC-I activity were
assessed 2 and 24 h after the administration of vehicle or acetaminophen at a
dose of 100 or 300 mg/kg. Biochemical parameters in plasma and urine were
assessed 2, 6, and 24 h after the administration of vehicle or acetaminophen.
RESULTS: The uptake of 18F-BCPP-BF by the liver and kidney was significantly
inhibited by the pre-administration of rotenone. Two and more hours after the
administration of acetaminophen, the uptake of 18F-BCPP-BF was dose-dependently
reduced in the liver, even at 100 mg/kg, and in the kidney at 300 mg/kg, whereas
biological parameters started to be affected 6 h or later at doses of 300 mg/kg.
CONCLUSIONS: The present study demonstrated that 18F-BCPP-BF has potential as a
PET probe for the quantitative imaging of hepatic and renal dysfunction as
impaired MC-I activity in the early phase of the treatment for an overdose of
acetaminophen in the living body with PET.
PMID- 27873235
TI - Breakthrough Cancer Pain: Preliminary Data of The Italian Oncologic Pain
Multisetting Multicentric Survey (IOPS-MS).
AB - INTRODUCTION: An ongoing national multicenter survey [Italian Oncologic Pain
multiSetting Multicentric Survey (IOPS-MS)] is evaluating the characteristics of
breakthrough cancer pain (BTP) in different clinical settings. Preliminary data
from the first 1500 cancer patients with BTP enrolled in this study are presented
here. METHODS: Thirty-two clinical centers are involved in the survey. A
diagnosis of BTP was performed by a standard algorithm. Epidemiological data,
Karnofsky index, stage of disease, presence and sites of metastases, ongoing
oncologic treatment, and characteristics of background pain and BTP and their
treatments were recorded. Background pain and BTP intensity were measured.
Patients were also questioned about BTP predictability, BTP onset (<=10 or >10
min), BTP duration, background and BTP medications and their doses, time to
meaningful pain relief after BTP medication, and satisfaction with BTP
medication. The occurrence of adverse reactions was also assessed, as well as
mucosal toxicity. RESULTS: Background pain was well controlled with opioid
treatment (numerical rating scale 3.0 +/- 1.1). Patients reported 2.5 +/- 1.6 BTP
episodes/day with a mean intensity of 7.5 +/- 1.4 and duration of 43 +/- 40 min;
977 patients (65.1%) reported non-predictable BTP, and 1076 patients (71.7%)
reported a rapid onset of BTP (<=10 min). Higher patient satisfaction was
reported by patients treated with fast onset opioids. CONCLUSIONS: These
preliminary data underline that the standard algorithm used is a valid tool for a
proper diagnosis of BTP in cancer patients. Moreover, rapid relief of pain is
crucial for patients' satisfaction. The final IOPS-MS data are necessary to
understand relationships between BTP characteristics and other clinical variables
in oncologic patients. FUNDING: Molteni Farmaceutici, Italy.
PMID- 27873240
TI - Improved safety and efficacy of 213Bi-DOTATATE-targeted alpha therapy of
somatostatin receptor-expressing neuroendocrine tumors in mice pre-treated with L
lysine.
AB - BACKGROUND: Targeted alpha therapy (TAT) offers advantages over current beta
emitting conjugates for peptide receptor radionuclide therapy (PRRT) of
neuroendocrine tumors. PRRT with 177Lu-DOTATATE or 90Y-DOTATOC has shown dose
limiting nephrotoxicity due to radiopeptide retention in the proximal tubules.
Pharmacological protection can reduce renal uptake of radiopeptides, e.g.,
positively charged amino acids, to saturate in the proximal tubules, thereby
enabling higher radioactivity to be safely administered. The aim of this
preclinical study was to evaluate the therapeutic effect of 213Bi-DOTATATE with
and without renal protection using L-lysine in mice. Tumor uptake and kinetics as
a function of injected mass of peptide (range 0.03-3 nmol) were investigated
using 111In-DOTATATE. These results allowed estimation of the mean radiation
absorbed tumor dose for 213Bi-DOTATATE. Pharmacokinetics and dosimetry of 213Bi
DOTATATE was determined in mice, in combination with renal protection. A dose
escalation study with 213Bi-DOTATATE was performed to determine the maximum
tolerated dose (MTD) with and without pre-administration of L-lysine as for renal
protection. Neutrophil gelatinase-associated lipocalin (NGAL) served as renal
biomarker to determine kidney injury. RESULTS: The maximum mean radiation
absorbed tumor dose occurred at 0.03 nmol and the minimum at 3 nmol. Similar mean
radiation absorbed tumor doses were determined for 0.1 and 0.3 nmol with a mean
radiation absorbed dose of approximately 0.5 Gy/MBq 213Bi-DOTATATE. The optimal
mass of injected peptide was found to be 0.3 nmol. Tumor uptake was similar for
111In-DOTATATE and 213Bi-DOTATATE at 0.3 nmol peptide. Lysine reduced the renal
uptake of 213Bi-DOTATATE by 50% with no effect on the tumor uptake. The MTD was
<13.0 +/- 1.6 MBq in absence of L-lysine and 21.7 +/- 1.9 MBq with L-lysine renal
protection, both imparting an LD50 mean renal radiation absorbed dose of 20 Gy. A
correlation was found between the amount of injected radioactivity and NGAL
levels. CONCLUSIONS: The therapeutic potential of 213Bi-DOTATATE was illustrated
by significantly decreased tumor burden and improved overall survival. Renal
protection with L-lysine immediately prior to TAT with 213Bi-DOTATATE prolonged
survival providing substantial evidence for pharmacological nephron blockade to
mitigate nephrotoxicity.
PMID- 27873243
TI - Antibiotics: Precious Goods in Changing Times.
AB - Antibiotics represent a first line of defense of diverse microorganisms, which
produce and use antibiotics to counteract natural enemies or competitors for
nutritional resources in their nearby environment. For antimicrobial activity,
nature has invented a great variety of mechanisms of antibiotic action that
involve the perturbation of essential bacterial structures or biosynthesis
pathways of macromolecules such as the bacterial cell wall, DNA, RNA, or
proteins, thereby threatening the specific microbial lifestyle and eventually
even survival. However, along with highly inventive modes of antibiotic action,
nature also developed a comparable set of resistance mechanisms that help the
bacteria to circumvent antibiotic action. Microorganisms have evolved specific
adaptive responses that allow appropriately reacting to the presence of
antimicrobial agents, ensuring survival during antimicrobial stress. In times of
rapid development and spread of antibiotic (multi-)resistance, we need to explore
new, resistance-breaking strategies to counteract bacterial infections. This
chapter intends to give an overview of common antibiotics and their target
pathways. It will also discuss recent advances in finding new antibiotics with
novel modes of action, illustrating that nature's repertoire of innovative new
antimicrobial agents has not been fully exploited yet, and we still might find
new drugs that help to evade established antimicrobial resistance strategies.
PMID- 27873241
TI - Predictive factors of 18F-choline PET/CT positivity in patients with prostate
cancer recurrence after radiation therapy: is the impact of PSA nadir
underestimated?
AB - BACKGROUND: The objective of this study is to explore the impact of PSA nadirs on
detection rates of prostate cancer (PCa) recurrence with 18F-choline (CH) PET/CT
after external beam radiation therapy (EBRT). METHODS: In this retrospective
study, data were collected from 54 patients with suspicion of PCa biochemical
recurrence after EBRT (28 patients treated initially with EBRT and 26 as salvage
therapy in the absence of PSA decrease after initial treatment), who underwent
18F-CH PET/CT between 2010 and 2015. PSA nadir and trigger PSA were collected
from patient files. Relative PSA was calculated by subtracting the nadir from the
trigger PSA. RESULTS: Median PSA nadir was 0.31 (0.01-13.31) ng/mL, trigger PSA
was 7.85 (0.47-111.60) ng/mL, and relative PSA was 6.05 (0.24-104.59) ng/mL.
Overall, 40 (74%) PET/CT scans were positive: recurrence was local and/or
regional in 29 patients, distant in 15 and combined both in four, with no
association between PSA values and sites of recurrence. In univariate analysis,
trigger (p = 0.015) and relative (p = 0.0005) PSA values and PSA velocity (p =
0.01) were significantly linked to positive PET/CT, but PSA nadir was not. In
subgroup analysis, these significant differences were only found in the salvage
EBRT group. Akaike Information Criterion multivariate model comparison found that
relative PSA was a better predictor of positive PET/CT than trigger PSA (PSAt).
18F-CH PET/CT detection rates increased with trigger and relative PSA: 0% (0/4
patients), 71% (5/7 patients), and 81% (35/43 patients) for PSAt <2 ng/mL, 2<=
PSAt <=4 ng/mL, and PSAt >4 ng/mL, respectively, and 14% (1/7 patients), 50%
(5/10 patients), and 92% (34/37 patients) when relative PSA was taken into
account instead of trigger PSA, with seven (13%) patients changing subgroups.
CONCLUSIONS: We found a high overall detection rate and an increase in detection
rates proportional to trigger and relative PSAs. Although relative PSA, taking
into account PSA nadir, was a better predictive factor of PET/CT positivity in
univariate analysis, this was most noticeable for high PSAs. For low PSAs,
trigger PSA remains most relevant. Larger series with intermediate PSA values
need to be studied to fully apprehend nadir impact.
PMID- 27873242
TI - Effect of Repeated Whole Blood Donations on Aerobic Capacity and Hemoglobin Mass
in Moderately Trained Male Subjects: A Randomized Controlled Trial.
AB - BACKGROUND: The aims of the present study were to investigate the impact of three
whole blood donations on endurance capacity and hematological parameters and to
determine the duration to fully recover initial endurance capacity and
hematological parameters after each donation. METHODS: Twenty-four moderately
trained subjects were randomly divided in a donation (n = 16) and a placebo (n =
8) group. Each of the three donations was interspersed by 3 months, and the
recovery of endurance capacity and hematological parameters was monitored up to 1
month after donation. RESULTS: Maximal power output, peak oxygen consumption, and
hemoglobin mass decreased (p < 0.001) up to 4 weeks after a single blood donation
with a maximal decrease of 4, 10, and 7%, respectively. Hematocrit, hemoglobin
concentration, ferritin, and red blood cell count (RBC), all key hematological
parameters for oxygen transport, were lowered by a single donation (p < 0.001)
and cumulatively further affected by the repetition of the donations (p < 0.001).
The maximal decrease after a blood donation was 11% for hematocrit, 10% for
hemoglobin concentration, 50% for ferritin, and 12% for RBC (p < 0.001). Maximal
power output cumulatively increased in the placebo group as the maximal exercise
tests were repeated (p < 0.001), which indicates positive training adaptations.
This increase in maximal power output over the whole duration of the study was
not observed in the donation group. CONCLUSIONS: Maximal, but not submaximal,
endurance capacity was altered after blood donation in moderately trained people
and the expected increase in capacity after multiple maximal exercise tests was
not present when repeating whole blood donations.
PMID- 27873244
TI - Mining Bacterial Genomes for Secondary Metabolite Gene Clusters.
AB - With the emergence of bacterial resistance against frequently used antibiotics,
novel antibacterial compounds are urgently needed. Traditional bioactivity-guided
drug discovery strategies involve laborious screening efforts and display high
rediscovery rates. With the progress in next generation sequencing methods and
the knowledge that the majority of antibiotics in clinical use are produced as
secondary metabolites by bacteria, mining bacterial genomes for secondary
metabolites with antimicrobial activity is a promising approach, which can guide
a more time and cost-effective identification of novel compounds. However, what
sounds easy to accomplish, comes with several challenges. To date, several tools
for the prediction of secondary metabolite gene clusters are available, some of
which are based on the detection of signature genes, while others are searching
for specific patterns in gene content or regulation.Apart from the mere
identification of gene clusters, several other factors such as determining
cluster boundaries and assessing the novelty of the detected cluster are
important. For this purpose, comparison of the predicted secondary metabolite
genes with different cluster and compound databases is necessary. Furthermore, it
is advisable to classify detected clusters into gene cluster families. So far,
there is no standardized procedure for genome mining; however, different
approaches to overcome all of these challenges exist and are addressed in this
chapter. We give practical guidance on the workflow for secondary metabolite gene
cluster identification, which includes the determination of gene cluster
boundaries, addresses problems occurring with the use of draft genomes, and gives
an outlook on the different methods for gene cluster classification. Based on
comprehensible examples a protocol is set, which should enable the readers to
mine their own genome data for interesting secondary metabolites.
PMID- 27873245
TI - Production of Antimicrobial Compounds by Fermentation.
AB - The production of biologically active metabolites, e.g., antimicrobial compounds,
is an essential step in the discovery and development process of medicinal drugs
based on natural products. To get a hand on the compound of interest it first has
to be biosynthesized by the corresponding producer, mostly a microorganism. In
this chapter, a general workflow, which can easily be adapted to the lab, is
described. Both fermentation on solid and in liquid medium is explained, and
examples of hand on procedures are given.
PMID- 27873246
TI - Structure Elucidation of Antibiotics by Nmr Spectroscopy.
AB - Nuclear magnetic resonance (NMR) spectroscopy is a powerful tool for the
structure elucidation of antibiotics in solution. Over the past 30 years there
have been numerous publications describing the use of NMR to characterize
naturally derived or synthetic antibiotics. A large number of one-dimensional
(1D) and two-dimensional (2D) NMR methods are available today and the list
continues to expand. In this chapter, we will consider the key NMR experiments
that provide useful information for compound structure elucidation.
PMID- 27873248
TI - Cytotoxicity Assays as Predictors of the Safety and Efficacy of Antimicrobial
Agents.
AB - The development of safe antimicrobial agents is important for the effective
treatment of pathogens. From a multitude of discovered inhibitory compounds only
few antimicrobial agents are able to enter the market. Many antimicrobials are,
on the one hand, quite effective in killing pathogens but, on the other hand,
cytotoxic to eukaryotic cells. Cell health can be monitored by various methods.
Plasma membrane integrity, DNA synthesis, enzyme activity, and reducing
conditions within the cell are known indicators of cell viability and cell death.
For a comprehensive overview, methods to analyze cytotoxic and hemolytic effects,
e.g., lactate dehydrogenase release, cell proliferation analysis, cell viability
analysis, and hemolysis assay of antimicrobial compounds on human cells, are
described in this chapter.
PMID- 27873247
TI - Computer-Aided Drug Design Methods.
AB - Computational approaches are useful tools to interpret and guide experiments to
expedite the antibiotic drug design process. Structure-based drug design (SBDD)
and ligand-based drug design (LBDD) are the two general types of computer-aided
drug design (CADD) approaches in existence. SBDD methods analyze macromolecular
target 3-dimensional structural information, typically of proteins or RNA, to
identify key sites and interactions that are important for their respective
biological functions. Such information can then be utilized to design antibiotic
drugs that can compete with essential interactions involving the target and thus
interrupt the biological pathways essential for survival of the microorganism(s).
LBDD methods focus on known antibiotic ligands for a target to establish a
relationship between their physiochemical properties and antibiotic activities,
referred to as a structure-activity relationship (SAR), information that can be
used for optimization of known drugs or guide the design of new drugs with
improved activity. In this chapter, standard CADD protocols for both SBDD and
LBDD will be presented with a special focus on methodologies and targets
routinely studied in our laboratory for antibiotic drug discoveries.
PMID- 27873250
TI - Determination of Bacterial Membrane Impairment by Antimicrobial Agents.
AB - The bacterial cytoplasmic membrane separates the cell from its environment and
acts as a selective permeability barrier. In addition, it functions in energy
conservation, transport, and biosynthesis processes. Antimicrobial agents
disrupting these functions may lead to pleiotropic effects, including leakage of
low molecular weight compounds such as ions, amino acids and ATP, and subsequent
membrane depolarization. This article describes two techniques to assess
antibiotic-induced membrane impairment in vivo.
PMID- 27873249
TI - Application of a Bacillus subtilis Whole-Cell Biosensor (PliaI-lux) for the
Identification of Cell Wall Active Antibacterial Compounds.
AB - Whole-cell biosensors, based on the visualization of a reporter strain's response
to a particular stimulus, are a robust and cost-effective means to monitor
defined environmental conditions or the presence of chemical compounds. One
specific field in which such biosensors are frequently applied is drug discovery,
i.e., the screening of large numbers of bacterial or fungal strains for the
production of antimicrobial compounds. We here describe the application of a
luminescence-based Bacillus subtilis biosensor for the discovery of cell wall
active substances. The system is based on the well-characterized promoter P liaI
, which is induced in response to a wide range of conditions that cause cell
envelope stress, particularly antibiotics that interfere with the membrane
anchored steps of cell wall biosynthesis. A simple "spot-on-lawn" assay, where
colonies of potential producer strains are grown directly on a lawn of the
reporter strain, allows for quantitative and time-resolved detection of
antimicrobial compounds. Due to the very low technical demands of this procedure,
we expect it to be easily applicable to a large variety of candidate producer
strains and growth conditions.
PMID- 27873251
TI - Mass-Sensitive Biosensor Systems to Determine the Membrane Interaction of
Analytes.
AB - Biosensors are devices that transform a biological interaction into a readout
signal, which is evaluable for analytical purposes. The general strength of
biosensor approaches is the avoidance of time-consuming and cost-intensive
labeling procedures of the analytes. In this chapter, we give insight into a mass
sensitive surface-acoustic wave (SAW) biosensor, which represents an elegant and
highly sensitive method to investigate binding events at a molecular level. The
principle of SAW technology is based on the piezoelectric properties of the
sensors, so as to binding events and their accompanied mass increase at the
sensor surface are detectable by a change in the oscillation of the surface
acoustic wave. In combination with model membranes, transferred to the sensor
surface, the analytical value of SAW biosensors has strongly been increased and
extended to different topics of biomedical investigations, including antibiotic
research. The interaction with the bacterial membrane or certain target
structures therein is the essential mode of action for various antibacterial
compounds. Beside targeted interaction, an unspecific membrane binding or
membrane insertion of drugs can contribute to the antibacterial activity by
changing the lateral order of membrane constituents or by interfering with the
membrane barrier function. Those pleiotropic effects are hardly to illustrate in
the bacterial systems and need a detailed view at the in vitro level. Here, we
illustrate the usefulness of a SAW biosensor in combination with model membranes
to investigate the mode of membrane interaction of antibiotic active peptides.
Using two different peptides we exemplary describe the interaction analysis in a
two-step gain of information: (1) a binding intensity or affinity by analyzing
the phase changes of oscillation, and (2) mode of membrane interaction, i.e.,
surface binding or internalization of the peptide by following the amplitude of
oscillation.
PMID- 27873252
TI - Measurement of Cell Membrane Fluidity by Laurdan GP: Fluorescence Spectroscopy
and Microscopy.
AB - Membrane fluidity is a critical parameter of cellular membranes which cells
continuously strive to maintain within a viable range. An interference with the
correct membrane fluidity state can strongly inhibit cell function. Triggered
changes in membrane fluidity have been postulated to contribute to the mechanism
of action of membrane targeting antimicrobials, but the corresponding analyses
have been hampered by the absence of readily available analytical tools. Here, we
provide detailed protocols that allow straightforward measurement of antibiotic
compound-triggered changes in membrane fluidity both in vivo and in vitro.
PMID- 27873253
TI - In Vitro Assays to Identify Antibiotics Targeting DNA Metabolism.
AB - DNA metabolism embodies a number of biochemical pathways, which include targets
of clinically used antibiotics as well as those that are only being explored as
potential targets for inhibitory compounds. We give an overview of representative
cell-based and enzymatic assays suitable for high-throughput-driven search for
novel DNA metabolism inhibitors of established and novel DNA metabolism targets
in bacteria. The protocol for a colorimetric coupled primase-inorganic
pyrophosphatase assay developed by our group is described in detail.
PMID- 27873255
TI - Reporter Gene-Based Screening for TPP Riboswitch Activators.
AB - With the rise of multidrug resistant bacteria and a growing number of nosocomial
infections, there has been an increased interest in finding new antibacterial
drugs and drug targets. Riboswitches represent attractive new antibacterial drug
targets, because they not only inherently recognize a specific metabolite or ion
with their RNA aptamer domain, but also often regulate essential metabolic
pathways. Here, we describe a reporter gene-based screen to identify compounds
that activate the thiamine pyrophosphate (TPP) riboswitch in bacteria. This assay
can be easily adapted for different riboswitch classes and thus has the potential
to target many essential metabolic pathways and a broad spectrum of bacterial
pathogens.
PMID- 27873254
TI - Fluorescence-Based Real-Time Activity Assays to Identify RNase P Inhibitors.
AB - Transfer RNA is transcribed as precursor molecules that are processed before
participating in translation catalyzed by the ribosome. Ribonuclease P is the
endonuclease that catalyzes the 5' end maturation of precursor tRNA and it is
essential for cell survival. Bacterial RNase P has a distinct subunit composition
compared to the eukaryal counterparts; therefore, it is an attractive
antibacterial target. Here, we describe a real-time fluorescence-based RNase P
activity assay using fluorescence polarization/anisotropy with a 5' end
fluorescein-labeled pre-tRNAAsp substrate. This FP/FA assay is sensitive, robust,
and easy to transition to a high-throughput mode and it also detects ligands that
interact with pre-tRNA. We apply this FP/FA assay to measure Bacillus subtilis
RNase P activity under single and multiple turnover conditions in a continuous
format and a high-throughput screen of inhibitors, as well as determining the
dissociation constant of pre-tRNA for small molecules.
PMID- 27873256
TI - Cell-Based Fluorescent Screen to Identify Inhibitors of Bacterial Translation
Initiation.
AB - A strategy that can be applied to the research of new molecules with
antibacterial activity is to look for inhibitors of essential bacterial processes
within large collections of chemically heterogeneous compounds. The
implementation of this approach requires the development of proper assays aimed
at the identification of molecules interfering with specific cell pathways and
potentially applicable to the high throughput analysis of large chemical library.
Here, I describe a fluorescence-based whole-cell assay in Escherichia coli
devised to find inhibitors of the translation initiation pathway. Translation is
a complex and essential mechanism. It involves numerous sub-steps performed by
factors that are in many cases sufficiently dissimilar in bacterial and
eukaryotic cells to be targetable with domain-specific drugs. As a matter of
fact, translation has been proven as one of the few bacterial mechanisms
pharmacologically tractable with specific antibiotics. The assay described in
this chapter is tailored to the identification of molecules affecting the first
stage of translation initiation, which is the most dissimilar step in bacteria
vs. mammals. The effect of the compounds under analysis is assayed in living
cells, thus allowing evaluating their in vivo performance as inhibitors of
translation initiation. Compared with other assays for antibacterials, the major
advantages of this screen are its simplicity and high mechanism specificity.
PMID- 27873257
TI - Bacterial Histidine Kinases: Overexpression, Purification, and Inhibitor Screen.
AB - Bacterial histidine kinases are promising targets for new antimicrobial agents.
In antibacterial therapy such agents could inhibit bacterial growth by targeting
essential two-component regulatory systems or resensitize bacteria to known
antibiotics by blocking stress responses like the cell wall stress response.
However, (1) activity assays using the truncated phosphorylation domains have
been shown to produce artifacts and (2) the purification of the full-length
histidine kinases is complicated. Here, we describe a standard protocol for the
recombinant expression and purification of functional full-length histidine
kinases and other membrane proteins from gram-positive bacteria that do not
harbor more than two trans-membrane domains using an Escherichia coli host. This
guide also presents in vitro phosphorylation assays to screen for new
antimicrobial compounds that target bacterial histidine kinases using
radioactively labeled ATP and, as a novel approach, Phos-tag acrylamide gel
electrophoresis to detect phosphorylated proteins by mobility shift in the
polyacrylamide gel.
PMID- 27873258
TI - Expression Profiling of Antibiotic-Resistant Bacteria Obtained by Laboratory
Evolution.
AB - To elucidate the mechanisms of antibiotic resistance, integrating phenotypic and
genotypic features in resistant strains is important. Here, we describe the
expression profiling of antibiotic-resistant Escherichia coli strains obtained by
laboratory evolution, and a method for extracting a small number of genes whose
expression changes can contribute to the acquisition of resistance.
PMID- 27873259
TI - Sample Preparation for Mass-Spectrometry Based Absolute Protein Quantification in
Antibiotic Stress Research.
AB - Absolute protein quantification is an essential tool for system biology
approaches and elucidation of stoichiometry of multi-protein complexes. In this
chapter, a universal protocol for gel free absolute protein quantification in
bacterial systems is described, which can be used for sample preparation prior to
miscellaneous mass-spectrometry-based quantification workflows like AQUA, Hi3,
and emPAI. In addition, a focus has been set to the specific challenges in
antibiotic stress research.
PMID- 27873260
TI - Label-Free Quantitation of Ribosomal Proteins from Bacillus subtilis for
Antibiotic Research.
AB - Current research is focusing on ribosome heterogeneity as a response to changing
environmental conditions and stresses, such as antibiotic stress. Altered
stoichiometry and composition of ribosomal proteins as well as association of
additional protein factors are mechanisms for shaping the protein expression
profile or hibernating ribosomes. Here, we present a method for the isolation of
ribosomes to analyze antibiotic-induced changes in the composition of ribosomes
in Bacillus subtilis or other bacteria. Ribosomes and associated proteins are
isolated by ultracentrifugation and proteins are identified and quantified using
label-free mass spectrometry.
PMID- 27873261
TI - Functional Metagenomics to Study Antibiotic Resistance.
AB - The construction and screening of metagenomic expression libraries has great
potential to identify novel genes and their functions. Here, we describe
metagenomic library preparation from fecal DNA, screening of libraries for
antibiotic resistance genes (ARGs), massively parallel DNA sequencing of the
enriched DNA fragments, and a computational pipeline for high-throughput assembly
and annotation of functionally selected DNA.
PMID- 27873262
TI - Epidemiological Surveillance and Typing Methods to Track Antibiotic Resistant
Strains Using High Throughput Sequencing.
AB - High-Throughput Sequencing (HTS) technologies transformed the microbial typing
and molecular epidemiology field by providing the cost-effective ability for
researchers to probe draft genomes, not only for epidemiological markers but also
for antibiotic resistance and virulence determinants. In this chapter, we provide
protocols for the analysis of HTS data for the determination of multilocus
sequence typing (MLST) information and for determining presence or absence of
antibiotic resistance genes.
PMID- 27873264
TI - Current Trends and Alternative Scenarios in EBV Research.
AB - Epstein-Barr virus (EBV) infection is associated with several distinct
hematological and epithelial malignancies, e.g., Burkitt lymphoma, Hodgkin
lymphoma, nasopharyngeal carcinoma, gastric carcinoma, and others. The
association with several malignant tumors of local and worldwide distribution
makes EBV one of the most important tumor viruses. Furthermore, because EBV can
cause posttransplant lymphoproliferative disease, transplant medicine has to deal
with EBV as a major pathogenic virus second only to cytomegalovirus. In this
review, we summarize briefly the natural history of EBV infection and outline
some of the recent advances in the pathogenesis of the major EBV-associated
neoplasms. We present alternative scenarios and discuss them in the light of most
recent experimental data. Emerging research areas including EBV-induced patho
epigenetic alterations in host cells and the putative role of exosome-mediated
information transfer in disease development are also within the scope of this
review. This book contains an in-depth description of a series of modern
methodologies used in EBV research. In this introductory chapter, we thoroughly
refer to the applications of these methods and demonstrate how they contributed
to the understanding of EBV-host cell interactions. The data gathered using
recent technological advancements in molecular biology and immunology as well as
the application of sophisticated in vitro and in vivo experimental models
certainly provided deep and novel insights into the pathogenetic mechanisms of
EBV infection and EBV-associated tumorigenesis. Furthermore, the development of
adoptive T cell immunotherapy has provided a novel approach to the therapy of
viral disease in transplant medicine and hematology.
PMID- 27873265
TI - Epstein-Barr Virus: Clinical Diagnostics.
AB - The vast majority of the human adult population is infected with Epstein-Barr
virus (EBV), and the majority of the EBV-infected individuals tolerates the
infection well, without any further symptoms after primary infection. In cases of
individuals which undergo primary infection in the form of an infectious
mononucleosis, or which have undergone primary infection in their past, it is
sometimes important to appraise symptomatic disease or differentiate infectious
mononucleosis from other conditions. In these cases, serological methods, i.e.,
immunofluorescence, ELISA, or Western blot, are the methods of choice to come to
an unequivocal diagnostic conclusion, while the detection and quantification of
viral DNA through PCR plays a minor role.On the other hand, in a minority of the
human population, EBV infection is associated or causally linked with autoimmune
or malignant disease. Especially in the bone marrow or solid organ transplanted,
or in otherwise severely immune-suppressed patients, prolonged EBV primary
infection or EBV reactivation from latency may be a serious and life-threatening
complication which needs to be diagnosed the faster the better, in order to take
therapeutic steps in time. Determining the serostatus correctly is also important
in these cases. However, the direct and quantitative detection of viral DNA are
of importance for the diagnosis of serious EBV disease and its monitoring.In the
following, we give an overview of diagnostic methods to accurately determine EBV
serostatus and viral load. We evaluate the advantages and disadvantages of each
method and report on the diagnostic significance of each and how to resolve
diagnostic problems in case of uncertainties. For practical procedures, we refer
to the detailed instruction manuals of the respective test kit manufacturers
which have to be closely followed for reliable results.
PMID- 27873263
TI - Effects of volume resuscitation on the microcirculation in animal models of
lipopolysaccharide sepsis: a systematic review.
AB - BACKGROUND: Recent research has identified an increased rate of mortality
associated with fluid bolus therapy for severe sepsis and septic shock, but the
mechanisms are still not well understood. Fluid resuscitation therapy
administered for sepsis and septic shock targets restoration of the macro
circulation, but the pathogenesis of sepsis is complex and includes
microcirculatory dysfunction. OBJECTIVE: The objective of the study is to
systematically review data comparing the effects of different types of fluid
resuscitation on the microcirculation in clinically relevant animal models of
lipopolysaccharide-induced sepsis. METHODS: A structured search of PubMed/MEDLINE
and EMBASE for relevant publications from 1 January 1990 to 31 December 2015 was
performed, in accordance with PRISMA guidelines. RESULTS: The number of published
papers on sepsis and the microcirculation has increased steadily over the last 25
years. We identified 11 experimental animal studies comparing the effects of
different fluid resuscitation regimens on the microcirculation. Heterogeneity
precluded any meta-analysis. CONCLUSIONS: Few animal model studies have been
published comparing the microcirculatory effects of different types of fluid
resuscitation for sepsis and septic shock. Biologically relevant animal model
studies remain necessary to enhance understanding regarding the mechanisms by
which fluid resuscitation affects the microcirculation and to facilitate the
transfer of basic science discoveries to clinical applications.
PMID- 27873266
TI - Establishment of EBV-Infected Lymphoblastoid Cell Lines.
AB - Lymphoblastoid cell lines (LCLs) can be generated easily by in vitro EBV
infection of B lymphocytes collected from any individual. In vitro, these EBV
infected B cell cultures yield proliferating, transformed lines referred to as
lymphoblastoid cell lines (LCLs).
PMID- 27873267
TI - Generation and Infection of Organotypic Cultures with Epstein-Barr Virus.
AB - While numerous model systems are available to study EBV latency in B cells and
have contributed greatly to our understanding of the role of these cells in the
viral life cycle, models to study the EBV life cycle in epithelial cells in vitro
are lacking. Epithelial cells are poorly infected in vitro, and EBV-infected cell
lines have not been successfully obtained from epithelial tumors. Recently, we
have demonstrated that organotypic cultures of oral keratinocytes can be used as
a model to study EBV infection in the epithelial tissue. These "raft" cultures
generate a stratified tissue resembling the epithelium seen in vivo with a
proliferating basal layer and differentiating suprabasal layers. Here, we
describe generation of EBV-infected raft cultures established from primary oral
mucosal epithelial cells, which exhibit high levels of productive replication
induced by differentiation, as well as methods to analyze EBV infection.
PMID- 27873268
TI - Affinity Purification-Mass Spectroscopy Methods for Identifying Epstein-Barr
Virus-Host Interactions.
AB - Considerable insight into the function and mechanism of action of viral proteins
has come from identifying the cellular proteins with which they interact. In
recent years, mass spectrometry-based methods have emerged as the method of
choice for protein interaction discovery due to their comprehensive and unbiased
nature. Methods involving single affinity purifications of epitope-tagged viral
proteins (AP-MS) and tandem affinity purifications of viral proteins with two
purification tags (TAP tagging) have both been used to identify novel host
interactions with EBV proteins. However, to date these methods have only been
applied to a small number of EBV proteins. Here we provide detailed methods of AP
MS and TAP tagging approaches that can be applied to any EBV protein in order to
discover its host interactions.
PMID- 27873269
TI - The Use of 3D Telomere FISH for the Characterization of the Nuclear Architecture
in EBV-Positive Hodgkin's Lymphoma.
AB - The 3D nuclear architecture is closely related to cellular functions and
chromosomes are organized in distinct territories. Quantitative 3D telomere FISH
analysis (3D Q-FISH) and 3D super-resolution imaging (3D-SIM) at a resolution up
to 80 nm as well as the recently developed combined quantitative 3D TRF2-telomere
immune FISH technique (3D TRF2/Telo-Q-FISH) have substantially contributed to
elucidate molecular pathogenic mechanisms of hematological diseases. Here we
report the methods we applied to uncover major molecular steps involved in the
pathogenesis of EBV-associated Hodgkin's lymphoma. These methods allowed us to
identify the EBV-encoded oncoprotein LMP1 as a key element in the formation of
Hodgkin (H-cell) and multinucleated Reed-Sternberg cells (RS-cell), the
diagnostic tumor cell of classical Hodgkin's lymphoma (cHL). LMP1 mediates
multinuclearity through downregulation of shelterin proteins, in particular
telomere repeat binding factor 2 (TRF2).
PMID- 27873270
TI - Analysis of EBV Transcription Using High-Throughput RNA Sequencing.
AB - High-throughput sequencing of RNA is used to analyze the transcriptomes of
viruses and cells, providing information about transcript structure and
abundance. A wide array of programs and pipelines has been created to manage and
interpret the abundance of data generated from high-throughput RNA sequencing
experiments. This protocol details the use of free and open-source programs to
align RNA-Seq reads to a reference genome, visualize read coverage and splice
junctions, estimate transcript abundance, and evaluate differential expression of
transcripts in different conditions. Particular concerns related to EBV and viral
transcriptomics are addressed and access to EBV reference files is provided.
PMID- 27873271
TI - Analysis of Viral Promoter Usage in EBV-Infected Cell Lines: A Comparison of qPCR
Following Conventional RNA Isolation and Nuclear Run-On Assay.
AB - To interpret the results of an epigenetic analysis in gene expression studies, it
is essential to characterize the activity of the relevant promoters. According to
the literature, real-time PCR assay is the most widely used method for the
determination of latent EBV promoter usage. Here we describe two alternative
approaches to measure the activity of viral promoters in cell lines carrying
latent EBV episomes. The widespread typical approach relies on total cellular RNA
isolation, whereas the nuclear run-on assay described here is based on the
initial isolation of nuclei, followed by in vitro transcription in the presence
of biotinylated-UTP, and purification of RNA transcripts using avidin-coated
magnetic beads. Finally, both methods apply reverse transcription-based real-time
PCR (i.e., quantitative polymerase chain reaction, qPCR) to quantitatively
measure the amount of specific transcripts. We shall describe these methods step
by step and demonstrate their use for the determination of EBER1 promoter
activity in EBV-positive cell lines.
PMID- 27873273
TI - Isolation and Characterization of Exosomes Released by EBV-Immortalized Cells.
AB - Epstein-Barr virus is an oncogenic herpesvirus associated with several human
malignancies. Although the details of the molecular steps involved in EBV
mediated cell transformation and immune evasion are not fully known, a number of
viral products, including EBV latent proteins and non-protein coding RNAs have
been shown to be involved, directly or indirectly in these processes. In recent
years, a growing body of data indicates that some viruses are able to transport
selected products to neighboring cells and induce biological changes by
exploiting the exosome secretory pathway. Exosomes are nanovesicles secreted by
virtually all cell types and present in most body fluids. Here, we describe the
protocols used in our laboratory to isolate and characterize exosomes from EBV
infected, noninfected, and transfected cell lines.
PMID- 27873272
TI - Analysis of Viral and Cellular MicroRNAs in EBV-Infected Cells.
AB - MicroRNAs are small, noncoding RNAs that posttranscriptionally regulate gene
expression. The discovery of this relatively new mode of gene regulation as well
as studies showing the prognostic value of viral and cellular miRNAs as
biomarkers, such as in cancer progression, has stimulated the development of many
methods to characterize miRNAs. EBV encodes 25 viral precursor microRNAs within
its genome that are expressed during lytic and latent infection. In addition to
viral miRNAs, EBV infection induces the expression of specific cellular oncogenic
miRNAs, such as miR-155, miR-146a, miR-21, and others, that can contribute to the
persistence of latently infected cells. This chapter describes several current
techniques used to identify and detect the expression of viral and cellular
miRNAs in EBV-infected cells.
PMID- 27873274
TI - Functional Analysis of Exosomes Derived from EBV-Infected Cells.
AB - Exosomes are diverse bioactive extracellular nanovesicles excreted by different
cell types. These tiny membrane-bound vesicles, once thought to be functionally
insignificant, are now believed to be important vehicles for transport and
intercellular communication. Exosomes have been shown to contain a broad range of
molecules, from miRNAs to proteins to soluble factors. Moreover, an accumulating
body of evidence indicates that some viruses can hijack the exosomal excretory
pathway to influence the microenvironment surrounding the infected cells. In this
chapter, we describe the protocols we use to examine the impact of exosomes
isolated from EBV-infected cells on different cell types.
PMID- 27873275
TI - Terminal Repeat Analysis of EBV Genomes.
AB - Epstein-Barr virus (EBV) was the first human virus associated directly with human
malignancies. During EBV infection of various host cells the double-stranded
linear EBV DNA carried by the virions undergoes circularization. Since there are
variable numbers of terminal repetitions (TRs) at the ends of the linear EBV
genome, the resulting circular episomes enclose a variable number of TRs. Thus,
in cells carrying viral episomes, the sizes of the terminal restriction enzyme
fragments of EBV is affected by the number of TRs (Raab-Traub and Flynn Cell
47:883-889, 1986). Southern blot analysis revealed that in monoclonal
proliferations, arising from a single cell, there was only a single band
representing the joined EBV termini, whereas multiple terminal restriction enzyme
fragments that differ in size were characteristic for oligoclonal or polyclonal
proliferations. Using suitable probes, one can distinguish the episomal form from
the linear EBV genomes that are formed during lytic EBV replication or during
integration into the host genome. TR analysis is a useful tool for the
determination of EBV clonality in different clinical samples and in cell lines
carrying EBV genomes. A single terminal restriction enzyme fragment may indicate
EBV infection at an early phase of clonal cell proliferation, whereas polyclonal
EBV genomes may derive from multiple infections of proliferating cells.
PMID- 27873276
TI - Characterization of EBV Promoters and Coding Regions by Sequencing PCR-Amplified
DNA Fragments.
AB - DNA sequencing approaches originally developed in two directions, the chemical
degradation method and the chain-termination method. The latter one became more
widespread and a huge amount of sequencing data including whole genome sequences
accumulated, based on the use of capillary sequencer systems and the application
of a modified chain-termination method which proved to be relatively easy, fast,
and reliable. In addition, relatively long, up to 1000 bp sequences could be
obtained with a single read with high per-base accuracy. Although the recent
appearance of next-generation DNA sequencing (NGS) technologies enabled high
throughput and low cost analysis of DNA, the modified chain-terminating methods
are often applied in research until now. In the following, we shall present the
application of capillary sequencing for the sequence characterization of viral
genomes in case of partial and whole genome sequencing, and demonstrate it on the
BARF1 promoter of Epstein Barr virus (EBV).
PMID- 27873277
TI - The Use of Chromatin Precipitation Coupled to DNA Sequencing (ChIP-Seq) for the
Analysis of Zta Binding to the Human and EBV Genome.
AB - Determining which components of the transcription machinery associate with the
viral and cellular genome, and how this changes at specific stages of the viral
life cycle is paramount to understanding how the distinct transcriptional
programs associated with primary infection, latency, and disease are established
and how they are reprogrammed during initiation and execution of the viral lytic
replication cycle. Chromatin precipitations linked to next generation DNA
sequencing (ChIP-Seq) allow for the interactions of proteins with DNA to be
mapped across both viral and cellular genomes. This can be applied to viral and
cellular transcription factors, coactivators and corepressors, modified histones,
and modulators of chromatin.
PMID- 27873278
TI - Analysis of Viral Epigenotypes Using Bisulfite Sequencing: A Detailed Protocol
for the Crucial Bisulfite Modification and PCR Amplification Steps.
AB - Characterization of viral DNA methylation patterns is essential to understand its
function in viral pathogenesis. Bisulfite modification, followed by polymerase
chain reaction (PCR) and sequencing is the most effective method for the high
resolution methylation mapping of viral genomes. Since the bisulfite modification
and PCR steps are the most critical ones, an optimized protocol for these two
steps is presented, with special attention to potential pitfalls.
PMID- 27873279
TI - Analysis of Viral Epigenotypes Using Chromatin Immunoprecipitation.
AB - Chromatin Immunoprecipitation (ChIP) is a method used to detect DNA-protein
interactions in vivo. ChIP has been widely applied to assess the abundance of
various epigenetic regulators, including modified histones, in various regions of
cellular and viral chromatin. During the procedure, DNA binding proteins are
covalently cross-linked to DNA, and the isolated chromatin is broken into pieces
of 300-500 bps in length on average. Thereafter, using specific antibody directed
against the protein of interest the covalently cross-linked DNA is pulled down
together with Protein A or G carrying beads that bind the Fc fragment of the
antibody. After the reversal of crosslinks and DNA isolation, one may analyze the
precipitated DNA fragments by quantitative and qualitative methods to assess the
relative abundance of the examined protein in a region or within the genome
studied in vivo. In addition to the analysis of transcription factor binding,
ChIP has proved to be a reliable method to map histone modifications across
cellular and viral epigenomes.
PMID- 27873280
TI - Mice with Reconstituted Human Immune System Components as a Tool to Study Immune
Cell Interactions in EBV Infection.
AB - Recent developments in mouse models that harbor part of a human immune system
have proved extremely valuable to study the in vivo immune response to human
specific pathogens such as Epstein-Barr virus. Over the last decades, advances in
immunodeficient mouse strains that can be used as recipients for human immune
cells have greatly enhanced the use of these models. Here, we describe the
generation of mice with reconstituted human immune system (HIS mice) using
immunocompromised mice transplanted with human CD34+ hematopoietic stem cells. We
will also describe how such mice, in which human immune cells are generated de
novo, can be used to study EBV infection.
PMID- 27873281
TI - Generation and Analysis of Humanized Mouse Model of EBV Infection.
AB - The recent development of severely immunodeficient mouse strains enabled the
production of new-generation humanized mice, in which major components of the
human immune system are reconstituted. These new-generation humanized mice can be
infected with human pathogenic viruses that do not infect regular mice and target
cells of the hematoimmune system. Here we describe the method for preparing
humanized mice, infecting them with EBV, and for their virological and
immunological analyses. The results obtained from our own mouse models are
briefly described.
PMID- 27873282
TI - EBV-Directed T Cell Therapeutics for EBV-Associated Lymphomas.
AB - Epstein Barr virus (EBV) is a human gamma herpes virus that establishes latency
in B cells after primary infection. EBV generally only causes a mild, self
limiting viral illness but is also associated with several malignancies including
posttransplantation lymphoproliferative disorder in the immunosuppressed host as
well as Hodgkin and non-Hodgkin lymphoma in the immune competent host. The
expression of EBV antigens by lymphoma has important applications as targets for
adoptive T cell therapy. However, as many lymphomas only express subdominant EBV
antigens that are less immunogenic, novel strategies are needed to manufacture
EBV-specific T cell products specific for Latent Membrane Protein 1 (LMP1) and
LMP2, which are expressed in lymphomas with type II and III latency. While
several techniques for manufacturing EBV-CTLs are described in the literature,
this chapter focuses on one method for generating Good Manufacturing Practice
(GMP)-compliant EBV-specific T cell products that are enriched with LMP1 and
LMP2.
PMID- 27873283
TI - A method to enhance 2D ion chamber array patient specific quality assurance for
IMRT.
AB - Gamma index comparison has been established as a method for patient specific
quality assurance in IMRT. Detector arrays can replace radiographic film systems
to record 2D dose distributions and fulfill quality assurance requirements. These
electronic devices present spatial resolution disadvantages with respect to
films. This handicap can be partially overcome with a multiple acquisition
sequence of adjacent 2D dose distributions. The detector spatial response
influence can also be taken into account through the convolution of the
calculated dose with the detector spatial response. A methodology that employs
both approaches could allow for enhancements of the quality assurance procedure.
35 beams from different step and shoot IMRT plans were delivered on a phantom. 2D
dose distributions were recorded with a PTW-729 ion chamber array for individual
beams, following the multiple acquisition methodology. 2D dose distributions were
also recorded on radiographic films. Measured dose distributions with films and
with the PTW-729 array were processed with the software RITv5.2 for Gamma index
comparison with calculated doses. Calculated dose was also convolved with the ion
chamber 2D response and the Gamma index comparisons with the 2D dose distribution
measured with the PTW-729 array was repeated. 3.7 +/- 2.7% of points surpassed
the accepted Gamma index when using radiographic films compared with calculated
dose, with a minimum of 0.67 and a maximum of 13.27. With the PTW-729 multiple
acquisition methodology compared with calculated dose, 4.1 +/- 1.3% of points
surpassed the accepted Gamma index, with a minimum of 1.44 and a maximum of
11.26. With the PTW- multiple acquisition methodology compared with convolved
calculated dose, 2.7 +/- 1.3% of points surpassed the accepted Gamma index, with
a minimum of 0.42 and a maximum of 5.75. The results obtained in this work
suggest that the comparison of merged adjacent dose distributions with convolved
calculated dose represents an enhancement in the methodology for IMRT patient
specific quality assurance with the PTW-729 ion chamber array.
PMID- 27873284
TI - A case of acute myocardial infarction due to cardiovascular syphilis with aortic
regurgitation and bilateral coronary ostial stenosis.
AB - We report an interesting case of a 66-year-old man with acute myocardial
infarction (AMI) with bilateral coronary ostial stenosis cardiovascular syphilis
complicated by aortic regurgitation (AR). A 12-lead electrocardiogram and blood
tests on arrival suggested AMI, and echocardiography showed moderate AR.
Emergency coronary angiography showed bilateral coronary ostial stenosis. The
patient underwent emergency surgical treatment, coronary artery bypass grafting,
and aortic valve replacement with a bioprosthetic valve. On arrival, rapid plasma
reagin and Treponema pallidum hemagglutination tests were 172.2- and 1187.5-fold,
respectively. These results suggested cardiovascular syphilis, which was
confirmed by pathological findings. The postoperative course was uneventful and
the patient was transferred to another hospital on postoperative day 25. This
patient received intravenous penicillin for 2 weeks and subsequently oral
amoxicillin. When both AR and coronary ostial stenosis are found, it is necessary
to consider the presence of cardiovascular syphilis.
PMID- 27873286
TI - Carbon Nanotube Thin Film Transistors for Flat Panel Display Application.
AB - Carbon nanotubes (CNTs) are promising materials for both high performance
transistors for high speed computing and thin film transistors for
macroelectronics, which can provide more functions at low cost. Among
macroelectronics applications, carbon nanotube thin film transistors (CNT-TFT)
are expected to be used soon for backplanes in flat panel displays (FPDs) due to
their superior performance. In this paper, we review the challenges of CNT-TFT
technology for FPD applications. The device performance of state-of-the-art CNT
TFTs are compared with the requirements of TFTs for FPDs. Compatibility of the
fabrication processes of CNT-TFTs and current TFT technologies are critically
examined. Though CNT-TFT technology is not yet ready for backplane production
line of FPDs, the challenges can be overcome by close collaboration between
research institutes and FPD manufacturers in the short term.
PMID- 27873285
TI - Prescription Drug Use and Polypharmacy Among Medicaid-Enrolled Adults with
Autism: A Retrospective Cross-Sectional Analysis.
AB - BACKGROUND: A lack of gold standard treatment for autism spectrum disorders
(ASD), no clear ASD management guidelines, and lack of evidence-based
pharmacological interventions other than aripiprazole and risperidone elevate the
risk of off-label prescribing and adverse effects among individuals with ASD,
more so among adults. OBJECTIVE: The aim of this study was to identify and
compare the types of prescription drug use, rates of polypharmacy, and
characteristics associated with polypharmacy among adults with and without ASD in
a retrospective cross-sectional analysis of a three-state Medicaid Analytic
eXtract database (2000-2008). METHODS: Adults aged 22-64 years with ASD (ICD9-CM
code: 299.xx) were propensity score-matched to 'no ASD' controls by age, sex, and
race. General polypharmacy (>=6 unique classes of prescription drugs in a year)
and psychotropic polypharmacy (>=3 unique prescription drug classes of
psychotropic medications within a 90-day period) were the main study outcomes.
Chi-square tests for rates, t tests for mean number of claims, and multivariate
logistic regressions for likelihood of prescription drug use and polypharmacy
were run. RESULTS: Annually, almost 75% of adults with ASD had >20 prescription
drug claims compared with 33% of adults without ASD. Around 85% of adults with
ASD used at least one psychotropic drug class compared with 42% of adults without
ASD. Highly common psychotropics were antipsychotics (66%ASD vs 20%noASD),
anticonvulsants (59%ASD vs 20%noASD), and anxiolytics/hypnotics/sedatives (21%ASD
vs 11%noASD). Other than psychotropics, many adults with ASD used medical
prescription drugs such as antimicrobials (47%), dermatologic agents (48%),
respiratory agents (38%), gastrointestinal agents (31%), alternative medications
(25%), antiparkinsonian agents (22.6%), antihyperlipidemics/statins (7.3%), and
immunologics (2.0%). Rates of general (48%ASD vs 32%noASD) and psychotropic
polypharmacy (19%ASD vs 6%noASD) were significantly higher in the ASD group.
CONCLUSION: Prescription drug use and polypharmacy rates among adults with ASD
are substantially higher than those in an age-, sex-, and race-matched cohort of
adults without ASD. Adults with ASD frequently use therapeutic treatments other
than psychotropics. Healthcare providers, who usually report low confidence in
treating patients with ASD, should play an active role in constant monitoring of
prescription drug use patterns and patient response to interventions. Prescribers
and caregivers are encouraged to make decisions after weighing the benefits and
risks associated with a pharmacological treatment. Further investigations into
the common use of any alternative treatments that can affect a patient's response
to core treatments should also be conducted.
PMID- 27873287
TI - Non-Invasive and Non-Destructive Examination of Artistic Pigments, Paints, and
Paintings by Means of X-Ray Methods.
AB - Recent studies are concisely reviewed, in which X-ray beams of (sub)micrometre to
millimetre dimensions have been used for non-destructive analysis and
characterization of pigments, minute paint samples, and/or entire paintings from
the seventeenth to the early twentieth century painters. The overview presented
encompasses the use of laboratory and synchrotron radiation-based instrumentation
and deals with the use of several variants of X-ray fluorescence (XRF) as a
method of elemental analysis and imaging, as well as with the combined use of X
ray diffraction (XRD) and X-ray absorption spectroscopy (XAS). Microscopic XRF is
a variant of the method that is well suited to visualize the elemental
distribution of key elements, mostly metals, present in paint multi-layers, on
the length scale from 1 to 100 MUm inside micro-samples taken from paintings. In
the context of the characterization of artists' pigments subjected to natural
degradation, the use of methods limited to elemental analysis or imaging usually
is not sufficient to elucidate the chemical transformations that have taken
place. However, at synchrotron facilities, combinations of MU-XRF with related
methods such as MU-XAS and MU-XRD have proven themselves to be very suitable for
such studies. Their use is often combined with microscopic Fourier transform
infra-red spectroscopy and/or Raman microscopy since these methods deliver
complementary information of high molecular specificity at more or less the same
length scale as the X-ray microprobe techniques. Since microscopic investigation
of a relatively limited number of minute paint samples, taken from a given work
of art, may not yield representative information about the entire artefact,
several methods for macroscopic, non-invasive imaging have recently been
developed. Those based on XRF scanning and full-field hyperspectral imaging
appear very promising; some recent published results are discussed.
PMID- 27873288
TI - Cardioprotective Effect of Aloe vera Biomacromolecules Conjugated with Selenium
Trace Element on Myocardial Ischemia-Reperfusion Injury in Rats.
AB - The present study was undertaken to evaluate the cardioprotection potential and
underlying molecular mechanism afforded by a selenium (Se) polysaccharide (Se
AVP) from Aloe vera in the ischemia-reperfusion (I/R) model of rats in vivo.
Myocardial I/R injury was induced by occluding the left anterior descending
coronary artery (LAD) for 30 min followed by 2-h continuous reperfusion.
Pretreatment with Se-AVP (100, 200, and 400 mg/kg) attenuated myocardial damage,
as evidenced by reduction of the infarct sizes, increase in serum and myocardial
endogenous antioxidants (superoxide dismutase (SOD), glutathione peroxidase
(GSH), and catalase (CAT)), and decrease in the malondialdehyde (MDA) level in
the rats suffering I/R injury. This cardioprotective activity afforded by Se-AVP
is further supported by the decreased levels of cardiac marker enzymes creatine
kinase (CK) and lactate dehydrogenase (LDH), as well as the rise of myocardial
Na+-K+-ATPase and Ca2+-Mg2+-ATPase activities in I/R rats. Additionally,
cardiomyocytic apoptosis was measured by terminal-deoxynucleotidyl transferase
mediated nick end labeling (TUNEL) staining and the result showed that the
percent of TUNEL-positive cells in myocardium of Se-AVP-treated groups was lower
than I/R rats. In conclusion, we clearly demonstrated that Se-AVP had a
protective effect against myocardial I/R injury in rats by augmenting endogenous
antioxidants and protecting rat hearts from oxidative stress-induced myocardial
apoptosis.
PMID- 27873289
TI - The Effect of Ketogenic Diet on Serum Selenium Levels in Patients with
Intractable Epilepsy.
AB - The aim of the present study was to evaluate serum selenium levels in children
receiving olive oil-based ketogenic diet (KD) for intractable seizures for at
least 1 year. Out of 320 patients who were initiated on KD, patients who
continued receiving KD for at least 12 months were enrolled. Sixteen patients who
had selenium deficiency at the time of starting KD were excluded. Finally, a
total of 110 patients (mean age 7.3 +/- 4.2 years) were included. Serum selenium
levels were measured at baseline and at 3, 6, and 12 months after treatment
initiation by using atomic absorption spectroscopy. Selenium deficiency was
defined as a serum selenium level <48 MUg/L at each visit. Repeated measure ANOVA
with post hoc Bonferroni correction was used for data analysis. Mean duration of
KD was 15.3 +/- 4.3 months. Mean serum selenium levels were significantly lower
at 6 and 12 months of KD treatment (66.2 +/- 23.3 and 57.2 +/- 16.2 MUg/L,
respectively) compared to pre-treatment levels (79.3 +/- 25.7 MUg/L) (p = 0.001).
On the other hand, selenium levels did not show any significant difference at 3
months of KD treatment (70.0 +/- 21.2 MUg/L) compared to baseline levels (p =
0.076). A total of 54 patients (49.1%) were diagnosed with selenium deficiency,
and oral selenium medication was initiated for these patients. No relevant
clinical findings were detected, and echocardiographic findings were normal in
all patients. The decline of the serum selenium concentrations after 6 and 12
months of ketogenic diet suggests that patients on this highly prescriptive
dietary treatment need close monitoring of this trace element.
PMID- 27873290
TI - Aims and structure of the German Research Consortium BipoLife for the study of
bipolar disorder.
AB - BACKGROUND: Bipolar disorder is a severe and heterogeneous mental disorder.
Despite great advances in neuroscience over the past decades, the precise
causative mechanisms at the transmitter, cellular or network level have so far
not been unraveled. As a result, individual treatment decisions cannot be tailor
made and the uncertain prognosis is based on clinical characteristics alone.
Although a subpopulation of patients have an excellent response to
pharmacological monotherapy, other subpopulations have been less well served by
the medical system and therefore require more focused attention. In particular
individuals at high risk of bipolar disorder, young patients in the early stages
of bipolar disorder, patients with an unstable highly relapsing course and
patients with acute suicidal ideation have been identified as those in need.
STRUCTURE: A research consortium of ten universities across Germany has therefore
implemented a 4 year research agenda including three randomized controlled
trials, one epidemiological trial and one cross-sectional trial to address these
areas of unmet needs. The topics under investigation will be the improvement of
early recognition, specific psychotherapy, and smartphones as an aid for early
episode detection and biomarkers of lithium response. A subset of patients will
be investigated utilizing neuroimaging (fMRI), neurophysiology (EEG), and
biomaterials (genomics, transcriptomics). CONCLUSIONS: This article aims to
outline the rationale, design, and methods of these individual studies.
PMID- 27873292
TI - ICU management based on PiCCO parameters reduces duration of mechanical
ventilation and ICU length of stay in patients with severe thoracic trauma and
acute respiratory distress syndrome.
AB - BACKGROUND: This study aimed to assess whether a management algorithm using data
obtained with a PiCCO system can improve clinical outcomes in critically ill
patients with acute respiratory distress syndrome (ARDS). RESULTS: The PaO2/FiO2
ratio increased over time in both groups, with a sharper increase in the PiCCO
group. There was no difference in 28-day mortality (3.2 vs. 3.6%, P = 0.841).
Days on mechanical ventilation (3 vs. 5 days, P = 0.002) and ICU length of stay
(6 vs. 11 days, P = 0.004) were significantly lower in the PiCCO group than in
the CVP group. Treatment costs were lower in the PiCCO group than in the CVP
group. Multivariate logistic regression model showed that the monitoring method
(PiCCO vs. CVP) was independently associated with the length of ICU stay [odds
ratio (OR) 3.16, 95% confidence interval (95% CI) 1.55-6.63, P = 0.001], as well
as shock (OR 3.41, 95% CI 1.74-6.44, P = 0.002), shock and ARDS (OR 3.46, 95% CI
1.79-6.87, P = 0.002), and APACHE II score (OR 1.17, 95% CI 1.02-1.86, P =
0.014). CONCLUSIONS: This study investigated the usefulness of the PiCCO system
in improving outcomes for patient with severe thoracic trauma and ARDS and
provided new evidence for fluid management in critical care settings.
PMID- 27873294
TI - Antiepileptic Drugs for Patients with Intracerebral Hemorrhage: A Meta-Analysis.
AB - AIM: Whether an antiepileptic drug (AED) should be prescribed for intracerebral
hemorrhage (ICH) patients is a matter in dispute, and recent studies have come up
with different or even opposite views. A meta-analysis on this issue has not been
performed. The goal of this meta-analysis was to study antiepileptic drugs"
effects on early seizure occurrence and outcome for ICH patients. MATERIAL AND
METHODS: We searched the PubMed, Ovid, and Cochrane library until December 2015
to identify relevant articles. A meta-analysis was conducted to evaluate the
overall effect with random-effects or fixed-effects models. RESULTS: Four
articles consisting of one randomized controlled trial and three cohort studies
met the included criteria. For the occurrence of early seizure, antiepileptic
drugs showed the ability to reduce the risk, but reached no statistical
significance (OR=0.71; 95% CI, 0.38-1.34; test for overall effect Z=1.06,
p=0.29). No association between antiepileptic drug use and poor outcome was found
(OR=1.95; 95% CI, 0.56-6.79; test for overall effect Z=1.06, P=0.29), but
heterogeneity was present. CONCLUSION: Summarizing published studies, the AED use
did not reduce early seizure occurrence statistically but a population with high
risk factors of early seizure is likely to benefit from AEDs. Besides, AED use
was not associated with poor outcome. We suggest AED use for ICH patients with
high-risk factors of seizure, but not generally.
PMID- 27873293
TI - Supraorbital Keyhole Microsurgical Fenestration of Symptomatic Temporal Arachnoid
Cysts in Children: Advantages and Limitations.
AB - AIM: To investigate the impact of endoscope-assisted microsurgical fenestration
on temporal arachnoid cysts, and to determine the advantages and limitations of
the technique. MATERIAL AND METHODS: Twenty-five children with symptomatic
temporal arachnoid cysts were operated via eyebrow supraorbital keyhole
microsurgical fenestration targeting the medial cyst wall. Preoperative magnetic
resonance imaging (MRI) of the brain was done for all patients. RESULTS:
Preoperative clinical presentation of the patients included headache (80%),
nausea & vomiting (64%), drug resistant epilepsy (52%), macrocephaly (12%)
papilledema (28%), motor weakness in the form of right-sided hemiparesis (12%)
and cranial nerve palsy. Postoperative complete subsidence of headache was noted
in 50%, while 20% remained unchanged. Drug resistant epilepsy improved in 69% of
the patients. Postoperative MRI showed initial decrease in cyst volume as early
as 3 months, only in a range of 5-12% volume reduction, and the late follow-up
done at 6 and 18 months continued to show further reduction reported to be
significant (p < 0.001). Transient subgaleal cerebrospinal fluid (CSF) collection
was the most common complication (20%). Only 1 patient experienced CSF leak
mandating cysto-peritoneal shunting. Conclusion: Eyebrow supraorbital keyhole
microsurgical fenestration for temporal arachnoid cysts can be performed with a
fairly low risk of complications and yields a favorable improvement in clinical
and neuroimaging outcomes.
PMID- 27873295
TI - Colleges and universities sticking to their guns?
AB - Firearm possession on college and university campuses remains a volatile public
policy issue among policymakers, legislators, scholars, and administrators. Given
the American federal governmental structure, many states have developed
legislative approaches to "carry on campus" policies throughout the years that
align with federal law. This study explores the diversity of state approaches and
nuances of "carry on campus" throughout recent years and current state
legislation under consideration. The implications of "carry on campus"
legislation vary on college campuses, depending on applicable state law; however,
some general dynamics apply to all.
PMID- 27873291
TI - Prediction of non-recovery from ventilator-demanding acute respiratory failure,
ARDS and death using lung damage biomarkers: data from a 1200-patient critical
care randomized trial.
AB - BACKGROUND: It is unclear whether biomarkers of alveolar damage (surfactant
protein D, SPD) or conductive airway damage (club cell secretory protein 16,
CC16) measured early after intensive care admittance are associated with one
month clinical respiratory prognosis. If patients who do not recover respiratory
function within one month can be identified early, future experimental lung
interventions can be aimed toward this high-risk group. We aimed to determine, in
a heterogenous critically ill population, whether baseline profound alveolar
damage or conductive airway damage has clinical respiratory impact one month
after intensive care admittance. METHODS: Biobank study of biomarkers of alveolar
and conductive airway damage in intensive care patients was conducted. This was a
sub-study of 758 intubated patients from a 1200-patient randomized trial. We
split the cohort into a "learning cohort" and "validating cohort" based on
geographical criteria: northern sites (learning) and southern sites (validating).
RESULTS: Baseline SPD above the 85th percentile in the "learning cohort"
predicted low chance of successful weaning from ventilator within 28 days
(adjusted hazard ratio 0.6 [95% CI 0.4-0.9], p = 0.005); this was confirmed in
the validating cohort. CC16 did not predict the endpoint. The absolute risk of
not being successfully weaned within the first month was 48/106 (45.3%) vs.
175/652 (26.8%), p < 0.0001 (high SPD vs. low SPD). The chance of being "alive
and without ventilator >=20 days within the first month" was lower among patients
with high SPD (adjusted OR 0.2 [95% CI 0.2-0.4], p < 0.0001), confirmed in the
validating cohort, and the risk of ARDS was higher among patients with high SPD
(adjusted OR 3.4 [95% CI 1.0-11.4], p = 0.04)-also confirmed in the validating
cohort. CONCLUSION: Early profound alveolar damage in intubated patients can be
identified by SPD blood measurement at intensive care admission, and high SPD
level is a strong independent predictor that the patient suffers from ARDS and
will not recover independent respiratory function within one month. This
knowledge can be used to improve diagnostic and prognostic models and to identify
the patients who most likely will benefit from experimental interventions aiming
to preserve alveolar tissue and therefore respiratory function. Trial
registration This is a sub-study to the Procalcitonin And Survival Study (PASS),
Clinicaltrials.gov ID: NCT00271752, first registered January 1, 2006.
PMID- 27873297
TI - Emergency notifications: Twentieth century or twenty-first?
AB - This article explores one area of the decision-making process for emergency
managers: when and how to issue a public notification. For certain emergencies, a
plan is in place. In other scenarios, a notification decision must be made that
could be the difference between life and death. Perhaps the best known of these
options is the mass media Emergency Alert System. However, newer options may
provide better ways to inform a potentially affected population. Through
interviews and literature reviews, this article will explore the choices
available and the need for a coherent decision to be made in a difficult
environment.
PMID- 27873296
TI - Emergency preparedness of veterans and nonveterans.
AB - This study examined statistical differences in levels of disaster preparedness
between former members of the US Armed Forces (veterans) and civilians
(nonveterans). It was hypothesized that veterans would exhibit a higher degree of
disaster preparedness as compared to their nonveteran counterparts as a
consequence of their training and life experience. Furthermore, if this were
proven to be valid, the finding would identify this cohort as an ideal target
audience for emergency and disaster preparedness education efforts. A four-page
survey consisting principally of closed-ended questions about emergency
preparedness was written to measure these differences. Most of the questions
required respondents to rank their answers according to a five-step Likert Scale.
The survey could be completed either in hard copy or online from September 2014
to January 2015. Ultimately, 113 surveys were returned for evaluation. Of those
respondents, 62 were veterans and 51 were nonveterans. The responses were
analyzed using one-way analysis of variance tests for statistical significance
using the 95 percent confidence standard for each tested value. The results
support that veterans are more prepared for domestic emergencies than
nonveterans. In addition, veterans were more willing to provide leadership and
direction to others in an effort to assist emergency managers in responding to
domestic disasters. It is for these reasons that emergency managers should
consider targeting veterans for disaster preparedness training to help ensure
effective and efficient responses to emergencies.
PMID- 27873298
TI - Place attachment and disasters: Knowns and unknowns.
AB - When considering the factors important for disaster recovery, one must consider
the attachment individuals have toward their living area. This article reviews
and synthesizes the current literature on the determinants of place attachment in
the context of postdisaster recovery. Although the majority of the reviewed
articles focused on disaster recovery, there were some which had a broader scope
and were included due to their importance. This research categorizes the
determinants of place attachment into four categories: demographic,
socioeconomic, spatial, and psychosocial. Age, ethnicity, and religion were
grouped under the category of demographics. Job status, education, and property
ownership were categorized under the socioeconomic category. Attachment to home,
neighborhood, and city, together with attachment to rural and urban areas, were
grouped under the spatial category. Finally, mental health status and community
attachment were classified under the psychosocial heading. Based on the outcome
of the aforementioned synthesis, this article develops a conceptual framework to
guide future research.
PMID- 27873299
TI - Using the Manchester triage system for refusing nonurgent patients in the
emergency department: A 30-day outcome study.
AB - OBJECTIVE: To evaluate the long-term outcomes and satisfaction of nonurgent
patients who seek care in the emergency department (ED) and are diverted to
primary health services (PHS). METHODS: Data were collected from 264 nonurgent
patients diverted from the ED of a tertiary public university hospital in Sao
Paulo, Brazil. The nonurgent patient definition was performed by Manchester
triage system version II (MTS-II) associated to medical interview in the triage
service. Satisfaction levels were evaluated by telephone interviews. The outcomes
were assessed within 30 days after the ED visit. RESULTS: Based on the MTS-II,
56.4 percent of the diverted patients were classified as green, 34.3 percent as
blue, and 9.3 percent as white. Only one patient required a hospital admission
and no deaths were registered within 30 days after ED diversion. After diversion,
the majority of patients searched for PHS (62.7 percent), 14.4 percent sought out
other EDs, and 22.9 percent did not seek out any other health services. Regarding
patient satisfaction, 61.9 percent evaluated the triage team as fair, good, or
very good. CONCLUSIONS: Our study suggests that diverting nonurgent patients from
the ED to PHS may be carried out in a hierarchic system like the Brazilian public
healthcare system. The MTS-II can be a useful triage system to support physician
in the diverting process. In addition, patient satisfaction with the refusing was
reasonable. Future studies should be designed to evaluate patient safety outcomes
in a larger sample and in different healthcare systems.
PMID- 27873300
TI - Local checkpoint inhibition of CTLA-4 as a monotherapy or in combination with
anti-PD1 prevents the growth of murine bladder cancer.
AB - Checkpoint blockade of CTLA-4 results in long-lasting survival benefits in
metastatic cancer patients. However, patients treated with CTLA-4 blockade have
suffered from immune-related adverse events, most likely due to the breadth of
the induced T-cell activation. Here, we investigated the efficacy of a local low
dose anti-CTLA-4 administration for treatment of subcutaneous or orthotopic
murine bladder 49 (MB49) bladder carcinoma in C57BL/6 mice. When MB49 tumors were
grown s.c., peritumoral (p.t.) injection of anti-CTLA-4 treatment was equally
effective as intravenous or s.c. (nontumor bearing flank) administration.
Notably, p.t. injection was associated with lower circulating antibody levels and
decreased IL-6 serum levels as compared to systemic treatment. Ultrasound-guided
intratumoral anti-CTLA-4 antibody treatment of orthotopically growing MB49 tumors
resulted in tumor regression, with more than tenfold reduction in systemic
antibody levels as compared to i.v. or s.c. administration, in line with the
compartmentally restrained nature of the bladder. Local anti-CTLA-4 therapy in
combination with anti-PD-1 therapy resulted in complete responses, superior to
each therapy alone. In addition, p.t. anti-CTLA-4 therapy was potentiated by
depletion of regulatory T cells. Our results demonstrate that local anti-CTLA-4
antibody therapy is equally effective as systemic administration, but reduces
systemic antibody levels and cytokine release, and enhances the response to anti
PD1 therapy.
PMID- 27873301
TI - Regarding 'Frontal fibrosing alopecia: possible association with leave-on facial
skincare products and sunscreens; a questionnaire study'.
PMID- 27873302
TI - Ultrasonographic findings can identify 'pseudoprogression' under nivolumab
therapy.
AB - 'Pseudoprogression' is often seen in patients with melanomas who are treated with
immune checkpoint inhibitors such as nivolumab or ipilimumab. We sometimes
evaluate metastatic lesions by imaging tests such as computed tomography (CT) or
positron emission tomography-CT. 'Pseudoprogression' usually occurs upon the
initial administration, which may make it difficult for the physician to
determine the disease condition. In our two cases of metastatic melanoma treated
with nivolumab (antiprogrammed cell death-1 antibody), we examined the
ultrasonography (US) of target lesions that could be accessed from the body
surface, such as those of the regional lymph node or subcutaneous metastasis. In
both cases, the US revealed a lesion approximately 10% greater in size after 40
50 days of nivolumab administration, even though the blood flow inside the tumour
was reduced by about 20% within 50 days. From about 100 days after blood flow
reduction was detected by US, the tumours began to decrease in size. However,
contrast CT was unable to detect the association between tumour size and tumour
blood flow. The present cases suggest that US could be a powerful tool for
differentiating between 'pseudoprogression' and real progressive disease in
patients treated with cancer immunotherapies such as those involving immune
checkpoint inhibitors. The misdiagnosis of progressive disease can lead to
unnecessary alterations to the current treatment. Therefore, the US findings in
our study could be clinically useful and educational for physicians.
PMID- 27873303
TI - Cutis laxa acquisita: novel insights into impaired elastic fibre regeneration.
PMID- 27873304
TI - Stent-induced tracheal stenosis can be predicted by IL-8 expression in rabbits.
AB - BACKGROUND: Bare metal stents may cause complications like fibrous encapsulation,
granulation and tracheal stenosis. We investigated the behaviour of three
commercially available stents in vivo (rabbits) and in vitro (coculture of those
stents with epithelial and fibroblast cell lines). Also, we investigated whether
development of tracheal stenosis could be predicted by any biological marker.
MATERIALS AND METHODS: The tracheae of 30 rabbits were implanted with either
nitinol stents, with or without paclitaxel elution, or a cobalt-based stent. An
additional ten rabbits underwent mock implantation (controls). Serial peripheral
venous blood samples were taken throughout the study, and several cytokines
measured. Animals were euthanized on day 90, with immediate tracheal endoscopy
and lavage performed, then necropsy. RESULTS: Rabbits with cobalt-based stent
exhibited more inflammation and the highest stenosis incidence, with reduced
survival. Both in vivo and in vitro, this stent induced higher IL-8 levels than
nitinol stents. Most important, the presence of stent-induced tracheal stenosis
was closely associated to increase in IL-8 expression in blood just 1 day after
tracheal stent implantation: a 1.19-fold increase vs. baseline had 83%
sensitivity, 83% specificity, 77% positive predictive value, 88% negative
predictive value and 83% accuracy to predict development of stenosis.
CONCLUSIONS: The cobalt-based stent had the highest incidence of tracheal
inflammation and stenosis. On the other hand, the paclitaxel-eluting nitinol
stent did not prevent those complications and provoked a marked reaction compared
with the bare nitinol stent. Early increase in IL-8 expression in blood after
stent implantation could predict development of tracheal stenosis in rabbits.
PMID- 27873305
TI - Adjusting Health Expenditures for Inflation: A Review of Measures for Health
Services Research in the United States.
AB - OBJECTIVE: To provide guidance on selecting the most appropriate price index for
adjusting health expenditures or costs for inflation. DATA SOURCES: Major price
index series produced by federal statistical agencies. STUDY DESIGN: We compare
the key characteristics of each index and develop suggestions on specific indexes
to use in many common situations and general guidance in others. DATA
COLLECTION/EXTRACTION METHODS: Price series and methodological documentation were
downloaded from federal websites and supplemented with literature scans.
PRINCIPAL FINDINGS: The gross domestic product implicit price deflator or the
overall Personal Consumption Expenditures (PCE) index is preferable to the
Consumer Price Index (CPI-U) to adjust for general inflation, in most cases. The
Personal Health Care (PHC) index or the PCE health-by-function index is generally
preferred to adjust total medical expenditures for inflation. The CPI medical
care index is preferred for the adjustment of consumer out-of-pocket expenditures
for inflation. A new, experimental disease-specific Medical Care Expenditure
Index is now available to adjust payments for disease treatment episodes.
CONCLUSIONS: There is no single gold standard for adjusting health expenditures
for inflation. Our discussion of best practices can help researchers select the
index best suited to their study.
PMID- 27873307
TI - Considerations on the precedence of the scenes, the contact barrier and the
separation of conscious and unconscious.
AB - In this essay the term 'scene' which has been developed in the German
psychoanalytic discussion, is confronted with the terms 'total situation' and
'psychoanalytic field', showing common features as well as differences.
Thereafter four child observations are presented, through which light is thrown
onto the scenic structures which make the foundations of early experience. In the
precedence of scenes those structures are omnipresent whereas subjectivity only
gradually builds up. It is shown how the development of subjectivity and the
differentiation of consciousness and unconscious go along with overcoming the
precedence of scenes, by breaking them up and reorganizing them. Thus scenes can
become metaphors, which are used for 'translating' experience and for building up
the contact barrier.
PMID- 27873306
TI - Activated leukocyte cell adhesion molecule (ALCAM) is a marker of recurrence and
promotes cell migration, invasion, and metastasis in early-stage endometrioid
endometrial cancer.
AB - Endometrial cancer is the most common gynaecological cancer in western countries,
being the most common subtype of endometrioid tumours. Most patients are
diagnosed at an early stage and present an excellent prognosis. However, a number
of those continue to suffer recurrence, without means of identification by risk
classification systems. Thus, finding a reliable marker to predict recurrence
becomes an important unmet clinical issue. ALCAM is a cell-cell adhesion molecule
and member of the immunoglobulin superfamily that has been associated with the
genesis of many cancers. Here, we first determined the value of ALCAM as a marker
of recurrence in endometrioid endometrial cancer by conducting a retrospective
multicentre study of 174 primary tumours. In early-stage patients (N = 134),
recurrence-free survival was poorer in patients with ALCAM-positive compared to
ALCAM-negative tumours (HR 4.237; 95% CI 1.01-17.76). This difference was more
significant in patients with early-stage moderately-poorly differentiated tumours
(HR 9.259; 95% CI 2.12-53.47). In multivariate analysis, ALCAM positivity was an
independent prognostic factor in early-stage disease (HR 6.027; 95% CI 1.41
25.74). Then we demonstrated in vitro a role for ALCAM in cell migration and
invasion by using a loss-of-function model in two endometrial cancer cell lines.
ALCAM depletion resulted in a reduced primary tumour size and reduced metastatic
local spread in an orthotopic murine model. Gene expression analysis of ALCAM
depleted cell lines pointed to motility, invasiveness, cellular assembly, and
organization as the most deregulated functions. Finally, we assessed some of the
downstream effector genes that are involved in ALCAM-mediated cell migration;
specifically FLNB, TXNRD1, and LAMC2 were validated at the mRNA and protein
level. In conclusion, our results highlight the potential of ALCAM as a recurrent
biomarker in early-stage endometrioid endometrial cancer and point to ALCAM as an
important molecule in endometrial cancer dissemination by regulating cell
migration, invasion, and metastasis. Copyright (c) 2016 Pathological Society of
Great Britain and Ireland. Published by John Wiley & Sons, Ltd.
PMID- 27873309
TI - Passages 2017.
PMID- 27873308
TI - Adjuvant platinum-based chemotherapy for early stage cervical cancer.
AB - BACKGROUND: This is the second updated version of the original Cochrane review
published in the Cochrane Library 2009, Issue 3. Most women with early cervical
cancer (stages I to IIA) are cured with surgery or radiotherapy, or both. We
performed this review originally because it was unclear whether cisplatin-based
chemotherapy after surgery, radiotherapy or both, in women with early stage
disease with risk factors for recurrence, was associated with additional survival
benefits or risks. OBJECTIVES: To evaluate the effectiveness and safety of
adjuvant platinum-based chemotherapy after radical hysterectomy, radiotherapy, or
both in the treatment of early stage cervical cancer. SEARCH METHODS: For the
original 2009 review, we searched the Cochrane Gynaecological Cancer Group Trials
Register, the Cochrane Central Register of Controlled Trials (CENTRAL) in the
Cochrane Library 2009, Issue 1), MEDLINE, Embase, LILACS, BIOLOGICAL ABSTRACTS
and CancerLit, the National Research Register and Clinical Trials register, with
no language restriction. We handsearched abstracts of scientific meetings and
other relevant publications. We extended the database searches to November 2011
for the first update and to September 2016 for the second update. SELECTION
CRITERIA: Randomised controlled trials (RCTs) comparing adjuvant cisplatin-based
chemotherapy (after radical surgery, radiotherapy or both) with no adjuvant
chemotherapy, in women with early stage cervical cancer (stage IA2-IIA) with at
least one risk factor for recurrence. DATA COLLECTION AND ANALYSIS: Two review
authors extracted data independently. Meta-analysis was performed using a random
effects model, with death and disease progression as outcomes. MAIN RESULTS: For
this second updated version we identified only one small trial reporting grade 4
toxicity results, without disease-free or overall survival data with a median
follow-up of 16 months.From the first updated version, we identified three trials
that were ongoing, and remain so in 2016.Four trials including 401 women with
evaluable results with early cervical cancer were included in the meta-analyses.
The median follow-up period in these trials ranged from 29 to 42 months. All
women had undergone surgery first. Three trials compared chemotherapy combined
with radiotherapy versus radiotherapy alone; and one trial compared chemotherapy
followed by radiotherapy versus radiotherapy alone. It was not possible to
perform subgroup analyses by stage or tumour size.Compared with adjuvant
radiotherapy, chemotherapy combined with radiotherapy significantly reduced the
risk of death (two trials, 297 women; hazard ratio (HR) = 0.56, 95% confidence
interval (CI): 0.36 to 0.87) and disease progression (two trials, 297 women; HR =
0.47, 95% CI 0.30 to 0.74), with no heterogeneity between trials (I2 = 0% for
both meta-analyses). Acute grade 4 toxicity occurred significantly more
frequently in the chemotherapy plus radiotherapy group than in the radiotherapy
group (three trials, 321 women; risk ratio (RR) 6.26, 95% CI 2.50 to 15.67). We
considered the evidence for all three outcomes to be of a moderate quality, using
the GRADE approach due to small numbers and limited follow-up in the included
studies. In addition, it was not possible to separate data for bulky early stage
disease.In the one small trial that compared adjuvant chemotherapy followed by
radiotherapy with adjuvant radiotherapy alone there was no difference in disease
recurrence between the groups (one trial, 71 women; HR = 1.34; 95% CI 0.24 to
7.66) and overall survival was not reported. We considered this evidence to be of
a low quality.No trials compared adjuvant platinum-based chemotherapy with no
adjuvant chemotherapy after surgery for early cervical cancer with risk factors
for recurrence. AUTHORS' CONCLUSIONS: The addition of platinum-based chemotherapy
to adjuvant radiotherapy (chemoradiation) may improve survival in women with
early stage cervical cancer (IA2-IIA) and risk factors for recurrence. Adjuvant
chemoradiation is associated with an increased risk of severe acute toxicity,
although it is not clear whether this toxicity is significant in the long term
due to a lack of long-term data. This evidence is limited by the small numbers
and low to moderate methodological quality of the included studies. We await the
results of three ongoing trials, which are likely to have an important impact on
our confidence in this evidence.
PMID- 27873311
TI - Corrigendum.
PMID- 27873310
TI - Neonatal critical illness and development: white matter and hippocampus
alterations in school-age neonatal extracorporeal membrane oxygenation survivors.
AB - AIM: To examine the neurobiology of long-term neuropsychological deficits after
neonatal extracorporeal membrane oxygenation (ECMO). METHOD: This cross-sectional
study assessed white matter integrity and hippocampal volume of ECMO survivors (8
15y) and healthy children (8-17y) using diffusion tensor imaging (DTI) and
structural magnetic resonance imaging (MRI) respectively. Neuropsychological
outcome was evaluated in ECMO survivors. Included clinical predictors of white
matter integrity: age start ECMO, ECMO duration, highest oxygenation index before
ECMO, highest mean airway pressure, and mechanical ventilation duration. RESULTS:
ECMO survivors (n=23) had lower global fractional anisotropy than healthy
children (n=54) (patients=0.368; comparison group=0.381; p=0.018), but similar
global mean diffusivity (p=0.410). ECMO survivors had lower fractional anisotropy
in the left cingulum bundle (ECMO survivors=0.345; comparison group=0.399;
p<0.001) and higher mean diffusivity in a region of the left parahippocampal
cingulum (patients=0.916; comparison group=0.871; p<0.001). Higher global mean
diffusivity predicted worse verbal memory in ECMO survivors (n=17) (beta=-0.74,
p=0.008). ECMO survivors (n=23) had smaller bilateral hippocampal volume than
healthy children (n=43) (left, p<0.001; right, p<0.001) and this was related to
worse verbal memory (left, beta=0.65, p=0.018; right, beta=0.71, p=0.006).
INTERPRETATION: Neonatal ECMO survivors are at risk for long-term brain
alterations, which may partly explain long-term neuropsychological impairments.
Neuroimaging may contribute to better risk stratification of long-term
impairments.
PMID- 27873313
TI - Corrigendum.
PMID- 27873312
TI - Nurturing clinical research globally to improve patient care.
PMID- 27873314
TI - Characterisation of a novel cellobiose 2-epimerase from thermophilic
Caldicellulosiruptor obsidiansis for lactulose production.
AB - BACKGROUND: Lactulose, a bioactive lactose derivative, has been widely used in
food and pharmaceutical industries. Isomerisation of lactose to lactulose by
cellobiose 2-epimerase (CE) has recently attracted increasing attention, since CE
produces lactulose with high yield from lactose as a single substrate. In this
study, a new lactulose-producing CE from Caldicellulosiruptor obsidiansis was
extensively characterised. RESULTS: The recombinant enzyme exhibited maximal
activity at pH 7.5 and 70 degrees C. It displayed high thermostability with Tm
of 86.7 degrees C. The half-life was calculated to be 8.1, 2.8 and 0.6 h at 75,
80, and 85 degrees C, respectively. When lactose was used as substrate,
epilactose was rapidly produced in a short period, and afterwards both epilactose
and lactose were steadily isomerised to lactulose, with a final ratio of 35:11:54
for lactose:epilactose:lactulose. When the reverse reaction was investigated
using lactulose as substrate, both lactose and epilactose appeared to be steadily
produced from the start. CONCLUSION: The recombinant CE showed both epimerisation
and isomerisation activities against lactose, making it an alternative promising
biocatalyst candidate for lactulose production. (c) 2016 Society of Chemical
Industry.
PMID- 27873315
TI - Incorporation of conjugated fatty acids into Nile tilapia (Oreochromis
niloticus).
AB - BACKGROUND: The aim of this work was to improve the nutritional quality of Nile
tilapia meat through enriched diets with conjugated isomers of linolenic acid
from tung oil. The transfer process of conjugated fatty acids (CFAs) into fish
muscle tissue was evaluated by gas chromatography-flame ionization detection (GC
FID) and easy ambient sonic-spray ionization mass spectrometry (EASI-MS).
RESULTS: The results showed that conjugated fatty acids were transferred from
enriched diet for muscle tissue of Nile tilapia. Conjugated linoleic acids
biosynthesis from conjugated linolenic acids was also observed after 10 days.
Other important fatty acids such as docosahexaenoic (DHA), eicosapentaenoic (EPA)
and arachidonic (AA) acids were also identified over time; however, DHA showed
the highest concentration when compared with EPA and AA compounds. CONCLUSION:
Therefore, the nutritional quality of Nile tilapia was improved through feeding
with enriched diets. The ingestion of these fish may contribute to reaching
adequate levels of daily CFA consumption. Furthermore, other important substances
which play an important role in human metabolism, such as EPA, DHA and AA, can
also be ingested together with CFA. (c) 2016 Society of Chemical Industry.
PMID- 27873316
TI - Aberrant gene expression with deficient apoptotic keratinocyte clearance may
predispose to polymorphic light eruption.
PMID- 27873317
TI - The B-cell-activating factor signalling pathway is associated with Helicobacter
pylori independence in gastric mucosa-associated lymphoid tissue lymphoma without
t(11;18)(q21;q21).
AB - We previously reported that activation of the B-cell-activating factor (BAFF)
pathway upregulates nuclear factor-kappaB (NF-kappaB) and induces BCL3 and BCL10
nuclear translocation in Helicobacter pylori (HP)-independent gastric diffuse
large B-cell lymphoma (DLBCL) tumours with evidence of mucosa-associated lymphoid
tissue (MALT). However, the significance of BAFF expression in HP independence of
gastric low-grade MALT lymphomas without t(11;18)(q21;q21) remains unexplored.
Sixty-four patients who underwent successful HP eradication for localized HP
positive gastric MALT lymphomas without t(11;18)(q21;q21) were studied. BAFF
expression was significantly higher in the HP-independent group than in the HP
dependent group [22/26 (84.6%) versus 8/38 (21.1%); p < 0.001]. Similarly, BAFF
receptor (BAFF-R) expression (p = 0.004) and nuclear BCL3 (p = 0.004), BCL10 (p <
0.001), NF-kappaB (p65) (p = 0.001) and NF-kappaB (p52) (p = 0.005) expression
were closely correlated with the HP independence of these tumours. Moreover, BAFF
overexpression was significantly associated with BAFF-R expression and nuclear
BCL3, BCL10, NF-kappaB (p65) and NF-kappaB (p52) expression. These findings were
further validated in an independent cohort, including 40 HP-dependent cases and
18 HP-independent cases of gastric MALT lymphoma without t(11;18)(q21;q21). The
biological significance of BAFF signalling in t(11;18)(q21;q21)-negative lymphoma
cells was further studied in two types of lymphoma B cell: OCI-Ly3 [non-germinal
centre B-cell origin DLBCL without t(11;18)(q21;q21) cell line] and MA-1
[t(14;18)(q32;q21)/IGH-MALT1-positive DLBCL cell line]. In both cell lines, we
found that BAFF activated the canonical NF-kappaB and AKT pathways, and induced
the formation of BCL10-BCL3 complexes, which translocated to the nucleus. BCL10
and BCL3 nuclear translocation and NF-kappaB (p65) transactivation were inhibited
by either LY294002 or by silencing BCL3 or BCL10 with small interfering RNA. BAFF
also activated non-canonical NF-kappaB pathways (p52) through tumour necrosis
factor receptor-associated factor 3 degradation, NF-kappaB-inducing kinase
accumulation, inhibitor of kappaB kinase (IKK) alpha/beta phosphorylation and NF
kappaB p100 processing in both cell lines. Our data indicate that the autocrine
BAFF signal transduction pathway contributes to HP independence in gastric MALT
lymphomas without the t(11;18)(q21;q21) translocation. Copyright (c) 2016
Pathological Society of Great Britain and Ireland. Published by John Wiley &
Sons, Ltd.
PMID- 27873318
TI - Tactic-specific benefits of polyandry in Chinook salmon Oncorhynchus tshawytscha.
AB - This study examined whether polyandrous female Chinook salmon Oncorhynchus
tshawytscha obtain benefits compared with monandrous females through an increase
in hatching success. Both of the alternative reproductive tactics present in male
O. tshawytscha (large hooknoses and small, precocious jacks) were used, such that
eggs were either fertilized by a single male (from each tactic) or multiple males
(using two males from the same or different tactics). The results show that
fertilized eggs from the polyandrous treatments had a significantly higher
hatching success than those from the monandrous treatments. It is also shown that
sperm speed was positively related with offspring hatching success. Finally,
there were tactic-specific effects on the benefits females received. The
inclusion of jacks in any cross resulted in offspring with higher hatching
success, with the cross that involved a male from each tactic providing offspring
with the highest hatching success than any other cross. This study has important
implications for the evolution of multiple mating and why it is so prevalent
across taxa, while also providing knowledge on the evolution of mating systems,
specifically those with alternative reproductive tactics.
PMID- 27873320
TI - The behavioural homing response of adult chum salmon Oncorhynchus keta to amino
acid profiles.
AB - Adult chum salmon Oncorhynchus keta homing behaviour in a two-choice test tank (Y
maze) was monitored using a passive integrated transponder (PIT)-tag system in
response to river-specific dissolved free amino-acid (DFAA) profiles and revealed
that the majority of O. keta showed a preference for artificial natal-stream
water and tended to stay in this maze arm for a longer period; natal-stream water
was chosen over a nearby tributary's water, but not when the O. keta were
presented with a non-tributary water. The results demonstrate the ability of O.
keta to discriminate artificial stream waters containing natural levels of DFAA.
PMID- 27873321
TI - Life-history traits of the long-nosed skate Dipturus oxyrinchus.
AB - This work investigates life-history traits of the long-nosed skate Dipturus
oxyrinchus, which is a common by-catch in Sardinian waters. The reproductive
variables were analysed from 979 specimens sampled during scientific and
commercial hauls. Females (10.4-117.5 cm total length, LT ) attained larger sizes
than males (14.5-99.5 cm LT ). To evaluate age and growth, a sub-sample of 130
individuals (76 females and 54 males) were used. The age was estimated by annuli
counts of sectioned vertebral centra. Four models were used for the length-at-age
data: the von Bertalanffy, the exponential, the Gompertz and the logistic
functions. According to the Akaike's information criterion, the Gompertz model
seemed to provide the best fitting curve (Linfinity mean +/- s.e.: 127.55 +/-
4.90 cm, k: 0.14 +/- 0.09, IP: 3.97 +/- 0.90 years). The oldest female and male
were aged 17 (115.5 cm LT ) and 15 years (96.0 cm LT ), respectively. Lengths at
maturity were 103.5 cm for females and 91.0 cm for males, corresponding to 90% of
the maximum observed length in both sexes. The monthly distribution of maturity
stages highlighted an extended reproductive cycle, with spawning females and
active males being present almost throughout the year, as confirmed by the gonado
somatic index. Ovarian fecundity reached a maximum of 26 yolked follicles with a
mean +/- s.e. size of 19.7 +/- 6.5 mm.
PMID- 27873322
TI - Non-medical prescribing versus medical prescribing for acute and chronic disease
management in primary and secondary care.
AB - BACKGROUND: A range of health workforce strategies are needed to address health
service demands in low-, middle- and high-income countries. Non-medical
prescribing involves nurses, pharmacists, allied health professionals, and
physician assistants substituting for doctors in a prescribing role, and this is
one approach to improve access to medicines. OBJECTIVES: To assess clinical,
patient-reported, and resource use outcomes of non-medical prescribing for
managing acute and chronic health conditions in primary and secondary care
settings compared with medical prescribing (usual care). SEARCH METHODS: We
searched databases including CENTRAL, MEDLINE, Embase, and five other databases
on 19 July 2016. We also searched the grey literature and handsearched
bibliographies of relevant papers and publications. SELECTION CRITERIA:
Randomised controlled trials (RCTs), cluster-RCTs, controlled before-and-after
(CBA) studies (with at least two intervention and two control sites) and
interrupted time series analysis (with at least three observations before and
after the intervention) comparing: 1. non-medical prescribing versus medical
prescribing in acute care; 2. non-medical prescribing versus medical prescribing
in chronic care; 3. non-medical prescribing versus medical prescribing in
secondary care; 4 non-medical prescribing versus medical prescribing in primary
care; 5. comparisons between different non-medical prescriber groups; and 6. non
medical healthcare providers with formal prescribing training versus those
without formal prescribing training. DATA COLLECTION AND ANALYSIS: We used
standard methodological procedures expected by Cochrane. Two review authors
independently reviewed studies for inclusion, extracted data, and assessed study
quality with discrepancies resolved by discussion. Two review authors
independently assessed risk of bias for the included studies according to EPOC
criteria. We undertook meta-analyses using the fixed-effect model where studies
were examining the same treatment effect and to account for small sample sizes.
We compared outcomes to a random-effects model where clinical or statistical
heterogeneity existed. MAIN RESULTS: We included 46 studies (37,337
participants); non-medical prescribing was undertaken by nurses in 26 studies and
pharmacists in 20 studies. In 45 studies non-medical prescribing as a component
of care was compared with usual care medical prescribing. A further study
compared nurse prescribing supported by guidelines with usual nurse prescribing
care. No studies were found with non-medical prescribing being undertaken by
other health professionals. The education requirement for non-medical prescribing
varied with country and location.A meta-analysis of surrogate markers of chronic
disease (systolic blood pressure, glycated haemoglobin, and low-density
lipoprotein) showed positive intervention group effects. There was a moderate
certainty of evidence for studies of blood pressure at 12 months (mean difference
(MD) -5.31 mmHg, 95% confidence interval (CI) -6.46 to -4.16; 12 studies, 4229
participants) and low-density lipoprotein (MD -0.21, 95% CI -0.29 to -0.14; 7
studies, 1469 participants); we downgraded the certainty of evidence from high
due to considerations of serious inconsistency (considerable heterogeneity),
multifaceted interventions, and variable prescribing autonomy. A high-certainty
of evidence existed for comparative studies of glycated haemoglobin management at
12 months (MD -0.62, 95% CI -0.85 to -0.38; 6 studies, 775 participants). While
there appeared little difference in medication adherence across studies, a meta
analysis of continuous outcome data from four studies showed an effect favouring
patient adherence in the non-medical prescribing group (MD 0.15, 95% CI 0.00 to
0.30; 4 studies, 700 participants). We downgraded the certainty of evidence for
adherence to moderate due to the serious risk of performance bias. While little
difference was seen in patient-related adverse events between treatment groups,
we downgraded the certainty of evidence to low due to indirectness, as the range
of adverse events may not be related to the intervention and selective reporting
failed to adequately report adverse events in many studies.Patients were
generally satisfied with non-medical prescriber care (14 studies, 7514
participants). We downgraded the certainty of evidence from high to moderate due
to indirectness, in that satisfaction with the prescribing component of care was
only addressed in one study, and there was variability of satisfaction measures
with little use of validated tools. A meta-analysis of health-related quality of
life scores (SF-12 and SF-36) found a difference favouring usual care for the
physical component score (MD 1.17, 95% CI 0.16 to 2.17), but not the mental
component score (MD 0.58, 95% CI -0.40 to 1.55). However, the quality of life
measurement may more appropriately reflect composite care rather than the
prescribing component of care, and for this reason we downgraded the certainty of
evidence to moderate due to indirectness of the measure of effect. A wide variety
of resource use measures were reported across studies with little difference
between groups for hospitalisations, emergency department visits, and outpatient
visits. In the majority of studies reporting medication use, non-medical
prescribers prescribed more drugs, intensified drug doses, and used a greater
variety of drugs compared to usual care medical prescribers.The risk of bias
across studies was generally low for selection bias (random sequence generation),
detection bias (blinding of outcome assessment), attrition bias (incomplete
outcome data), and reporting bias (selective reporting). There was an unclear
risk of selection bias (allocation concealment) and for other biases. A high risk
of performance bias (blinding of participants and personnel) existed. AUTHORS'
CONCLUSIONS: The findings suggest that non-medical prescribers, practising with
varying but high levels of prescribing autonomy, in a range of settings, were as
effective as usual care medical prescribers. Non-medical prescribers can deliver
comparable outcomes for systolic blood pressure, glycated haemoglobin, low
density lipoprotein, medication adherence, patient satisfaction, and health
related quality of life. It was difficult to determine the impact of non-medical
prescribing compared to medical prescribing for adverse events and resource use
outcomes due to the inconsistency and variability in reporting across studies.
Future efforts should be directed towards more rigorous studies that can clearly
identify the clinical, patient-reported, resource use, and economic outcomes of
non-medical prescribing, in both high-income and low-income countries.
PMID- 27873319
TI - Lung neuroendocrine tumours: deep sequencing of the four World Health
Organization histotypes reveals chromatin-remodelling genes as major players and
a prognostic role for TERT, RB1, MEN1 and KMT2D.
AB - Next-generation sequencing (NGS) was applied to 148 lung neuroendocrine tumours
(LNETs) comprising the four World Health Organization classification categories:
53 typical carcinoid (TCs), 35 atypical carcinoid (ACs), 27 large-cell
neuroendocrine carcinomas, and 33 small-cell lung carcinomas. A discovery screen
was conducted on 46 samples by the use of whole-exome sequencing and high
coverage targeted sequencing of 418 genes. Eighty-eight recurrently mutated genes
from both the discovery screen and current literature were verified in the 46
cases of the discovery screen, and validated on additional 102 LNETs by targeted
NGS; their prevalence was then evaluated on the whole series. Thirteen of these
88 genes were also evaluated for copy number alterations (CNAs). Carcinoids and
carcinomas shared most of the altered genes but with different prevalence rates.
When mutations and copy number changes were combined, MEN1 alterations were
almost exclusive to carcinoids, whereas alterations of TP53 and RB1 cell cycle
regulation genes and PI3K/AKT/mTOR pathway genes were significantly enriched in
carcinomas. Conversely, mutations in chromatin-remodelling genes, including those
encoding histone modifiers and members of SWI-SNF complexes, were found at
similar rates in carcinoids (45.5%) and carcinomas (55.0%), suggesting a major
role in LNET pathogenesis. One AC and one TC showed a hypermutated profile
associated with a POLQ damaging mutation. There were fewer CNAs in carcinoids
than in carcinomas; however ACs showed a hybrid pattern, whereby gains of TERT,
SDHA, RICTOR, PIK3CA, MYCL and SRC were found at rates similar to those in
carcinomas, whereas the MEN1 loss rate mirrored that of TCs. Multivariate
survival analysis revealed RB1 mutation (p = 0.0005) and TERT copy gain (p =
0.016) as independent predictors of poorer prognosis. MEN1 mutation was
associated with poor prognosis in AC (p = 0.0045), whereas KMT2D mutation
correlated with longer survival in SCLC (p = 0.0022). In conclusion, molecular
profiling may complement histology for better diagnostic definition and
prognostic stratification of LNETs. (c) 2016 The Authors. The Journal of
Pathology published by John Wiley & Sons Ltd on behalf of Pathological Society of
Great Britain and Ireland.
PMID- 27873323
TI - Inflammation enhances the vaccination potential of CD40-activated B cells in
mice.
AB - Vaccination with antigen-pulsed CD40-activated B (CD40-B) cells can efficiently
lead to the in vivo differentiation of naive CD8+ T cells into fully functional
effectors. In contrast to bone marrow-derived dendritic cell (BMDC) vaccination,
CD40-B cell priming does not allow for memory CD8+ T-cell generation but the
reason for this deficiency is unknown. Here, we show that compared to BMDCs,
murine CD40-B cells induce lower expression of several genes regulated by T-cell
receptor signaling, costimulation, and inflammation (signals 1-3) in mouse T
cells. The reduced provision of signals 1 and 2 by CD40-B cells can be explained
by a reduction in the quality and duration of the interactions with naive CD8+ T
cells as compared to BMDCs. Furthermore, CD40-B cells produce less inflammatory
mediators, such as IL-12 and type I interferon, and increasing inflammation by
coadministration of polyriboinosinic-polyribocytidylic acid with CD40-B-cell
immunization allowed for the generation of long-lived and functional CD8+ memory
T cells. In conclusion, it is possible to manipulate CD40-B-cell vaccination to
promote the formation of long-lived functional CD8+ memory T cells, a key step
before translating the use of CD40-B cells for therapeutic vaccination.
PMID- 27873324
TI - Red blood cell alloimmunization in patients with sickle cell disease: correlation
with HLA and cytokine gene polymorphisms.
AB - BACKGROUND: The reason for the difference in susceptibility to red blood cell
(RBC) alloimmunization among patients with sickle cell disease (SCD) is not
clearly understood and is probably the result of multiple factors. Our hypothesis
is that genetic polymorphisms are associated with RBC alloimmunization. STUDY
DESIGN AND METHODS: We investigated the possible association of susceptibility to
RBC alloimmunization with polymorphisms of HLA and cytokines genes in 161 SCD
patients prior exposed to RBC transfusion. Cytokine gene polymorphisms were
analyzed by polymerase chain reaction (PCR) and TaqMan assays. HLA Class I
genotyping was performed using PCR-specific sequence of oligonucleotides.
Polymorphism frequencies were compared using the Fisher's exact test. RESULTS:
Our results revealed increased percentage of the A allele and the GA genotype of
the TNFA -308G/A cytokine among alloimmunized patients when compared to
nonalloimmunized patients (A allele, 16.4% vs. 6.8%, p = 0.004; GA genotype,
32.8% vs. 11.7%, p = 0.0021). In addition, the IL1B -511T allele and the IL1B
511TT and CT genotype frequencies were overrepresented among alloimmunized
patients (T allele, 53.0% vs. 37.5%, p = 0.0085; CT + TT genotypes, 81.82% vs.
60.87%, p = 0.0071). In relation to HLA Class I, we found a higher frequency of
HLA-DRB1*15 among patients alloimmunized to Rh antigens when compared to
nonalloimmunized patients (15.63% vs. 6.98%, p = 0.044). CONCLUSION: Brazilian
SCD patients with the TNFA, IL1B, and HLA-DRB1 gene polymorphisms were at
increased risk of becoming alloimmunized by RBC transfusions. These findings may
contribute to the development of future therapeutic strategies for patients with
SCD with higher susceptibility of alloimmunization.
PMID- 27873326
TI - Complications of turbinate reduction surgery in combination with tonsillectomy in
pediatric patients.
AB - OBJECTIVES/HYPOTHESIS: To examine whether the addition of turbinoplasty to
tonsillectomy and adenoidectomy (T&A) increases the risk of postoperative
complications. STUDY DESIGN: Retrospective cohort study of children (18 years old
and younger) who underwent tonsillectomy and/or turbinoplasty between July 1,
2013 and June 30, 2015 using the 2016 Pediatric Health Information System
administrative database METHODS: Patients were divided into three groups: 1) T&A
and turbinoplasty, 2) T&A alone, and 3) turbinoplasty alone. Postoperative
revisit, hemorrhage requiring cautery, and blood transfusion rates were compared
between groups. RESULTS: A total of 75,761 patients met inclusion criteria: 3,079
underwent both T&A and turbinoplasty, 72,043 underwent T&A alone, and 639
underwent turbinoplasty alone. The rate of 14-day relevant revisits after T&A in
combination with turbinate reduction surgery was not significantly higher than
that of T&A alone (9.4% vs. 8.6%; P = .11). The revisit rate after turbinoplasty
alone was 1.4%. Indications for revisits did not differ between the T&A and
turbinoplasty group versus T&A alone group (P = .23). Furthermore, the rates of
hemorrhage requiring cauterization was similar between the two groups (1.4% vs.
1.5%; P = .64). Twenty-one patients who underwent T&A alone required blood
transfusion after they were readmitted; no cases in the other two groups required
blood transfusion. CONCLUSIONS: Turbinoplasty and T&A performed together do not
increase the risk of postoperative revisit or hemorrhage requiring cauterization,
and can therefore be considered as a combined procedure. Pediatric patients will
benefit from avoiding the additional risk of multiple anesthetics and repeated
intubation. LEVEL OF EVIDENCE: 4. Laryngoscope, 127:1920-1923, 2017.
PMID- 27873325
TI - Dynamic nanomechanical analysis of the vocal fold structure in excised larynges.
AB - OBJECTIVES/HYPOTHESIS: Quantification of clinical outcomes after vocal fold (VF)
interventions is challenging with current technology. High-speed digital imaging
and optical coherence tomography (OCT) of excised larynges assess intact
laryngeal function, but do not provide critical biomechanical information. We
developed a protocol to quantify tissue properties in intact, excised VFs using
dynamic nanomechanical analysis (nano-DMA) to obtain precise biomechanical
properties in the micrometer scale. STUDY DESIGN: Experimental animal study.
METHODS: Three pig larynges were bisected in the sagittal plane, maintaining an
intact anterior commissure, and subjected to nano-DMA at nine locations with a
250-MUm flat-tip punch and frequency sweep load profile (10-105 Hz, 1,000 MUN
peak force) across the free edge of the VF and inferiorly along the conus
elasticus. RESULTS: Storage, loss, and complex moduli increased inferiorly from
the free edge. Storage moduli increased from a mean of 32.3 kPa (range, 6.5-55.38
kPa) at the free edge to 46.3kPa (range, 7.4-71.6) 5 mm below the free edge, and
71.4 kPa (range, 33.7-112 kPa) 1 cm below the free edge. Comparable values were
11.6 kPa (range, 5.0-20.0 kPa), 16.7 kPa (range, 5.7-26.8 kPa), and 22.6 kPa
(range, 9.7-38.0 kPa) for loss modulus, and 35.7 kPa (range, 14.4-56.4 kPa), 50.1
kPa (range, 18.7-72.8 kPa), and 75.4 kPa (range, 42.0-116.0 kPa) for complex
modulus. Another larynx repeatedly frozen and thawed during technique development
had similarly increased storage, loss, and complex modulus trends across
locations. CONCLUSIONS: Nano-DMA of the intact hemilarynx provides a platform for
quantification of biomechanical responses to a myriad of therapeutic
interventions to complement data from high-speed imaging and OCT. LEVEL OF
EVIDENCE: NA Laryngoscope, 127:E225-E230, 2017.
PMID- 27873328
TI - Liposome-Encapsulated Hemoglobin Improves Tumor Oxygenation as Detected by Near
Infrared Spectroscopy in Colon Carcinoma in Mice.
AB - Liposome-encapsulated hemoglobin (LEH) with high (h-LEH, P50 O2 = 10 mm Hg) or
low O2 affinity (l-LEH, P50 O2 = 40 mm Hg) may improve O2 delivery to sensitize
tumor tissues for radiotherapy. A total of 10 mL/kg of h-LEH, l-LEH, red blood
cells (RBCs), or saline was infused in mice transplanted with murine colon
carcinoma with near-infrared spectroscopy (NIRS) detectors set at the tumor
(right leg) and intact muscle (left leg). NIRS recorded changes in the amount of
oxyhemoglobin (oxyHb), deoxyhemoglobin (deoxyHb), and their sum (tHb) with the
animals spontaneously breathing room air (10 min), pure O2 (5 min), and then back
to room air. The tumor was finally excised for histological examination. In mice
treated with h-LEH, tHb significantly increased compared to mice receiving other
solutions. The magnitude was significantly attenuated in the tumor compared to
the intact muscle under room air. Reciprocal changes in oxyHb and deoxyHb between
intact muscle and tumor in response to infused solutions allowed assumption of
average tissue PO2 between 30 and 40 mm Hg in muscle and at around 10 mm Hg in
tumor. While O2 respiration increased oxyHb and decreased deoxyHb both in muscle
and tumor, their sum or tHb consistently decreased in muscle and increased in
tumor regardless of preceding infusion. Such responses were totally reversed when
mice were placed under hypoxia (10% O2 ), suggesting that a lack of physiological
circulatory regulation in tumor may account for heavier immunohistochemical
staining for human hemoglobin in tumors of mice treated with h-LEH than with l
LEH. The results suggest that h-LEH may cause significant tumor oxygenation
compared to RBC, l-LEH, or saline probably due to its nanometer size (vs. RBC)
and high O2 affinity (vs. l-LEH) without increasing O2 content in the intact
tissue (vs. O2 respiration) probably due to a lack of physiological circulatory
regulation.
PMID- 27873327
TI - Cost-effectiveness of transfacial gland-preserving removal of parotid sialoliths.
AB - OBJECTIVE: Examine outcomes of transfacial gland-preserving removal of difficult
parotid stones and compare the cost and operative time to traditional
parotidectomy. STUDY DESIGN: Cost-effectiveness analysis and retrospective chart
review. METHODS: Patients who underwent transfacial removal of symptomatic
parotid sialoliths at a tertiary medical center from June 2010 to July 2015 were
evaluated. Outcomes included operative technique, stone size, stone location,
complications, and symptom relief. In addition, patients who underwent
traditional parotidectomy for chronic sialadenitis were identified. The charges
and times for both procedures were reviewed and compared. RESULTS: Forty-four
patients underwent transfacial resection for symptomatic parotid sialolithiasis.
Stones were most often located in the main duct and hilum (53.3%), with fewer
intraglandular stones (46.7%). No facial nerve weakness was observed. Of those
with follow-up, 33 (87%) patients reported at least partial resolution of
symptoms. Overall transfacial technique charges were significantly less expensive
(U.S.$) than parotidectomy (mean difference -8,064.09; 95% confidence interval
[CI] -13,472.78 to -2,655.40; P = 0.033). Anesthesia charges (mean difference
2,997.85; 95% CI, -5,748.81 to -246.89; P = 0.035) and operating room charges
(mean difference -4,793.91; 95% CI, -8,958.09 to -629.72; P = 0.028) were also
less expensive for the transfacial technique. Finally, mean procedure time for
transfacial removal of parotid stones was shorter than for parotidectomy (120.2
+/- 49.9 vs. 178.4 +/- 41.3 minutes, respectively; P = 0.002). CONCLUSION:
Transfacial gland-preserving removal of difficult parotid stones is a well
tolerated and effective alternative to parotidectomy. Moreover, it is faster and
less expensive than parotidectomy, maximizing both surgeon time and hospital
resources. LEVEL OF EVIDENCE: 4. Laryngoscope, 127:1080-1086, 2017.
PMID- 27873329
TI - One-step zymogram method for the simultaneous detection of cellulase/xylanase
activity and molecular weight estimation of the enzyme.
AB - Here, we describe a zymographic method for the simultaneous detection of
enzymatic activity and molecular weight (MW) estimation, following a single
electrophoresis step. This involved separating cellulase and xylanase activities
from bacteria and fungi, obtained from different sources, such as commercial
extracts, crude extract and purified proteins, under denaturing conditions, by
10% polyacrylamide gel electrophoresis, using polyacrylamide gels copolymerized
with 1% (w/v) carboxymethylcellulose or beechwood xylan as substrates. Then,
enzymes were refolded by treatment with 2.5% Triton X-100 in an appropriate
buffer for each enzymatic activity, and visualized by Coomassie blue staining for
MW estimation. Finally, Congo red staining revealed bio-active cellulase and
xylanase bands after electrophoretic separation of the proteins in the
preparations. This method may provide a useful additional tool for screening of
particular cellulase and xylanase producers, identification and MW estimation of
polypeptides that manifest these activities, and for monitoring and control of
fungal and bacterial cellulase and xylanase production.
PMID- 27873330
TI - The burden of nonadherence among adults with asthma: a role for shared decision
making.
AB - A shared approach to decision-making framework has been suggested for chronic
disease management especially where multiple treatment options exist. Shared
decision-making (SDM) requires that both physician and patients are actively
engaged in the decision-making process, including information exchange;
expressing treatment preferences; as well as agreement over the final treatment
decision. Although SDM appears well supported by patients, practitioners and
policymakers alike, the current challenge is to determine how best to make SDM a
reality in everyday clinical practice. Within the context of asthma, adherence
rates are poor and are linked to outcomes such as reduced asthma control,
increased symptoms, healthcare expenditures, and lower patient quality of life.
It has been suggested that SDM can improve treatment adherence and that ignoring
patients' personal goals and preferences may result in reduced rates of
adherence. Furthermore, understanding predictors of poor treatment adherence is a
necessary step toward developing effective strategies to improve the patient
reported and clinically important outcomes. Here, we describe why a shared
approach to treatment decision-making for asthma has the potential to be an
effective tool for improving adherence, with associated clinical and patient
related outcomes. In addition, we explore insights into the reasons why SDM has
not been implemented into routine clinical practice.
PMID- 27873331
TI - Low Tidal Volume Reduces Lung Inflammation Induced by Liquid Ventilation in
Piglets With Severe Lung Injury.
AB - Total liquid ventilation (TLV) is an alternative treatment for severe lung
injury. High tidal volume is usually required for TLV to maintain adequate CO2
clearance. However, high tidal volume may cause alveolar barotrauma. We aim to
investigate the effect of low tidal volume on pulmonary inflammation in piglets
with lung injury and under TLV. After the establishment of acute lung injury
model by infusing lipopolysaccharide, 12 piglets were randomly divided into two
groups, TLV with high tidal volume (25 mL/kg) or with low tidal volume (6 mL/kg)
for 240 min, respectively. Extracorporeal CO2 removal was applied in low tidal
volume group to improve CO2 clearance and in high tidal volume group as sham
control. Gas exchange and hemodynamic status were monitored every 30 min during
TLV. At the end of the study, pulmonary mRNA expression and plasmatic
concentration of interleukin-6 (IL-6) and interleukin-8 (IL-8) were measured by
collecting lung tissue and blood samples from piglets. Arterial blood pressure,
PaO2 , and PaCO2 showed no remarkable difference between groups during the
observation period. Compared with high tidal volume strategy, low tidal volume
resulted in 76% reduction of minute volume and over 80% reduction in peak
inspiratory pressure during TLV. In addition, low tidal volume significantly
diminished pulmonary mRNA expression and plasmatic level of IL-6 and IL-8. We
conclude that during TLV, low tidal volume reduces lung inflammation in piglets
with acute lung injury without compromising gas exchange.
PMID- 27873332
TI - Monitoring of compositional changes during berry ripening in grape seed extracts
of cv. Sangiovese (Vitis vinifera L.).
AB - BACKGROUND: Seed oil and flours have been attracting the interest of researchers
and industry, since they contain various bioactive components. We monitored the
effects of ripening on lipids, monomeric flavan-3-ols, proanthocyanidins and
tocols concentration in seed extracts from organically cultivated cv. Sangiovese
vines. RESULTS: Linoleic acid was the most abundant fatty acid, followed by
oleic, palmitic and stearic acids. The tocols detected were alpha-tocopherol,
alpha-tocotrienol and gamma-tocotrienol. The proanthocyanidins degree of
polymerisation ranged from dimers to dodecamers; moreover, monomeric flavan-3-ols
and polymeric proanthocyanidins were detected. Total flavan-3-ols (monomers,
oligomers and polymers) concentration in grape seeds decreased during ripening.
CONCLUSIONS: Fatty acids reached the highest level in post-veraison. The
concentration of these compounds varied considerably during ripening. Capric acid
has been found for the first time in grape seeds. alpha-Tocopherol and gamma
tocotrienol decreased during ripening, while alpha-tocotrienol increased. The
HPLC analysis with fluorimetric detection, conducted for the first time on cv.
Sangiovese, revealed that the concentration of flavan-3-ols monomers, oligomeric
proanthocyanidins and polymers greatly changed during ripening. These results
suggest that the timing of bunch harvest plays a crucial role in the valorisation
of grape seed flour. (c) 2016 Society of Chemical Industry.
PMID- 27873333
TI - Simulating survival data with predefined censoring rates for proportional hazards
models.
AB - The proportional hazard model is one of the most important statistical models
used in medical research involving time-to-event data. Simulation studies are
routinely used to evaluate the performance and properties of the model and other
alternative statistical models for time-to-event outcomes under a variety of
situations. Complex simulations that examine multiple situations with different
censoring rates demand approaches that can accommodate this variety. In this
paper, we propose a general framework for simulating right-censored survival data
for proportional hazards models by simultaneously incorporating a baseline hazard
function from a known survival distribution, a known censoring time distribution,
and a set of baseline covariates. Specifically, we present scenarios in which
time to event is generated from exponential or Weibull distributions and
censoring time has a uniform or Weibull distribution. The proposed framework
incorporates any combination of covariate distributions. We describe the steps
involved in nested numerical integration and using a root-finding algorithm to
choose the censoring parameter that achieves predefined censoring rates in
simulated survival data. We conducted simulation studies to assess the
performance of the proposed framework. We demonstrated the application of the new
framework in a comprehensively designed simulation study. We investigated the
effect of censoring rate on potential bias in estimating the conditional
treatment effect using the proportional hazard model in the presence of
unmeasured confounding variables. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27873334
TI - Rapid allergen-induced interleukin-17 and interferon-gamma secretion by skin
resident memory CD8+ T cells.
AB - BACKGROUND: Skin-resident memory T (TRM ) cells are associated with immunological
memory in the skin. Whether immunological memory responses to allergens in the
skin are solely localized to previously allergen-exposed sites or are present
globally in the skin is not clear. Furthermore, the mechanisms whereby TRM cells
induce rapid recall responses need further investigation. OBJECTIVES: To study
whether contact allergens induce local and/or global memory, and to determine the
mechanisms involved in memory responses in the skin. METHODS: To address these
questions, we analysed responses to contact allergens in mice and humans
sensitized to 2,4-dinitrofluorobenzene and nickel, respectively. RESULTS:
Challenge responses in both mice and humans were dramatically increased at sites
previously exposed to allergens as compared with previously unexposed sites.
Importantly, the magnitude of the challenge response correlated with the
epidermal accumulation of interleukin (IL)-17A-producing and interferon (IFN)
gamma-producing TRM cells. Moreover, IL-17A and IFN-gamma enhanced allergen
induced IL-1beta production in keratinocytes. CONCLUSIONS: We show that
sensitization with contact allergens induces a strong, long-lasting local memory
and a weaker, temporary global immunological memory response to the allergen that
is mediated by IL-17A-producing and IFN-gamma-producing CD8+ TRM cells.
PMID- 27873335
TI - Lip b 1 is a novel allergenic protein isolated from the booklouse, Liposcelis
bostrychophila.
AB - BACKGROUND: Booklice, belonging to the order Psocoptera, are small household
insect pests that are distributed worldwide. Liposcelis bostrychophila, a common
home-inhabiting species of booklouse, infests old books, sheets of paper, and
stored food. Recent entomological and serological studies demonstrated that L.
bostrychophila accounted for the majority of detectable insects in house dust and
could be a potent inducer of respiratory allergy. Our recent proteomic analysis
identified a potent allergenic protein from L. bostrychophila, designated Lip b
1, and determined its partial amino acid sequences. METHODS: Cloning of cDNAs for
Lip b 1 was performed by large-scale transcriptome analysis (RNA-seq) and
subsequent reverse transcription polymerase chain reaction. The full-length amino
acid sequences deduced from Lip b 1 cDNAs were bioinformatically analyzed. The
recombinant proteins of glutathione S-transferase (GST)-fused Lip b 1 were
analyzed by Western blot and enzyme-linked immunosorbent assay. RESULTS: Lip b 1
cDNAs encoding two types of 254-amino acid proteins were cloned. The clones
shared 87% identity, and the deduced molecular weights and isoelectric points
were consistent with those determined in our previous study. The two types of Lip
b 1 proteins in the GST-fused form were similarly reactive with sera from
allergic patients sensitized with L. bostrychophila. CONCLUSIONS: Lip b 1 is a
novel protein possibly causing booklouse allergy.
PMID- 27873337
TI - Age-related common miRNA polymorphism associated with severe toxicity in lung
cancer patients treated with platinum-based chemotherapy.
AB - Platinum-based chemotherapy toxicity severely impedes successful treatment in
lung cancer patients. MicroRNAs (miRs) have a significant impact on the
occurrence and survival rate of lung cancer. The purpose of this study was to
investigate the association between common miRNA variants and platinum-based
chemotherapy toxicity in lung cancer patients. A total of eight functional single
nucleotide polymorphisms (SNPs) of miRNA were genotyped in 408 lung cancer
patients by MALDI-TOF mass spectrometry. All the patients were histologically
confirmed as lung cancer, and were treated with platinum-based chemotherapy for
at least two cycles. It was found that the polymorphism rs2042553 of miR-5197 had
a significant association with overall severe toxicity in both additive (P=.031,
odds ratio [OR]=1.41, 95% confidence interval [CI] 1.03-1.93) and dominant
(P=.009, OR=1.80, 95% CI 1.16-2.80) models. MiR-605 rs2043556 was significantly
related to severe hepatotoxicity in dominant model (P=.022, OR=2.51, 95% CI 1.12
4.14). In addition, rs2910164 of miR-146a had marginal statistical effect on
severe hepatotoxicity in additive model (P=.054). The subgroup analyses showed
that miR-27a rs895819 was related to gastrointestinal toxicity in age >56 years
old, smoking and non-smoking patients. Taken together, our results revealed that
polymorphisms of miR-5197, miR-605, miR-146a, and miR-27a contributed to the
chemotherapy toxicity of lung cancer, which may serve as a predictive tool for
toxicity evaluation of platinum-based chemotherapy in lung cancer patients.
PMID- 27873336
TI - A Comparison of Two Surgical Techniques for the Second Stage of Brachiobasilic
Arteriovenous Fistula Creation.
AB - Two-stage transposed brachiobasilic arteriovenous fistula is a common procedure
after brachiobasilic fistula (BBF) creation. Different techniques can be used for
basilic vein transposition but few comparative literature reports are available.
The aim of our study was to compare two different techniques for basilic vein
transposition. The first maintains the BBF anastomosis and the basilic vein is
placed in a subcutaneous pocket (BBAVF). The second transects the basilic vein at
the BBF anastomosis and tunnels it superficially, with a new BBF in the brachial
artery (BBAVFTn). From 2009 to 2014, all patients who underwent basilic vein
superficialization were treated by one of the two techniques, recorded in a
dedicated database and retrospectively reviewed. The surgeon chose the technique
on the basis of personal preference. The two techniques were compared in terms of
perioperative complications, length of hospital stay, time of cannulation, ease
of cannulation, and long-term patency. Eighty patients were included in the
study: 40 (50%) BBAVF and 40 (50%) BBAVFTn. Length of hospital stay was similar
in the two groups (median [interquartile range-IQR] 3(2) [BBAVF] vs. 2(1)
[BBAVFTn], P = 0.52, respectively). BBAVFTn was associated with a lower hematoma
incidence (1/40 [2.5%] vs. 15/40 [37.5%], P = 0.01), shorter first cannulation
time (median IQR: 11(10) vs. 23(8) days, P = 0.01) and easier cannulation
compared with BBAVF (32/40 [80%] vs. 15/40 [37.5%], P < 0.001). Median (IQR)
follow-up was 16(7) months. No statistical differences in terms of primary and
assisted primary patency were found in BBAVFTn vs. BBAVF (at 24 months 91(5) vs.
71(7), P = 0.21 and 93(6) vs. 78(8), P = 0.33, respectively). Patients who
underwent BBAVFTn surgery showed fewer surgical complications, better dialytic
performance, and easier cannulation compared with those submitted to BBAVF.
PMID- 27873338
TI - High myopes have lower normalised corneal tangent moduli (less 'stiff' corneas)
than low myopes.
AB - PURPOSE: To compare corneal tangent moduli between low and high myopes. METHODS:
Corneal hysteresis (CH) and corneal resistance factor (CRF) of 32 low and 32 high
myopes were obtained using an Ocular Response Analyzer, followed by a corneal
indentation device that measured corneal stiffness. Corneal topography,
pachymetry, Goldmann applanation tonometry intraocular pressure (GAT-IOP), and
corneal compensated intraocular pressure (IOPcc) were also obtained. Corneal
tangent modulus was calculated on the basis of corneal stiffness, central corneal
thickness and corneal radius. Comparisons between groups and associations between
corneal biomechanical and ocular parameters were performed. RESULTS: Corneal
tangent moduli were positively correlated with GAT-IOP (R2 = 0.078, p = 0.025),
and IOPcc (R2 = 0.12, p = 0.006). Despite similarity in corneal thickness and
radius, high myopes exhibited a significantly higher IOPcc (16.4 +/- 2.51 mmHg)
than low myopes (13.1 +/- 1.96 mmHg; t(62) = -5.57, p < 0.0001). Both groups had
similar corneal stiffness (0.063 +/- 0.0085 and 0.063 +/- 0.0079 N mm-1 for low
and high myopes, respectively) and CRF (9.6 +/- 1.58 and 9.5 +/- 1.90 mmHg for
low and high myopes, respectively). Moreover, high myopes exhibited a
significantly lower CH (9.5 +/- 1.51 mmHg) than low myopes (10.6 +/- 1.38 mmHg;
t(62) = 2.92, p = 0.005). After normalising corneal tangent moduli to the mean
intraocular pressure in normal eyes (15.5 mmHg) using IOPcc, high myopes showed a
significantly lower corneal tangent moduli (0.47 +/- 0.087 MPa) than low myopes
(0.57 +/- 0.099 MPa; t(62) = 4.17, p < 0.0001). CONCLUSIONS: High myopes had
lower normalised corneal tangent moduli than low myopes, which indicated that
their corneas were less stiff. This is the first in vivo study comparing elastic
moduli of the cornea in different refractive groups. Further studies are
warranted to understand whether a less stiff cornea is a cause for or an outcome
from myopia development.
PMID- 27873339
TI - Consequences of an Extended Recruitment on Participation in the Follow-Up of a
Child Study: Results from the German IDEFICS Cohort.
AB - BACKGROUND: Declining response proportions in population-based studies are often
countered by extended recruitment efforts at baseline that may, however, result
in higher attrition in a subsequent follow-up. This study analysed the effect of
extended recruitment efforts on attrition at the first follow-up of a child
cohort. METHODS: We used paradata (i.e. information about the process of data
collection) from the German IDEFICS cohort investigating dietary- and life style
induced health effects on children to quantify recruitment effort and classify
respondents as completing the recruitment early vs. late for baseline and follow
up separately. Multilevel logistic regression models were used to investigate the
association between recruitment effort and attrition at follow-up (loss to follow
up) adjusted for sociodemographic and health related variables. RESULTS:
Individuals who were late respondents at baseline and early respondents at the
follow-up had a higher chance of attrition (odds ratio 1.65, 95% confidence
interval (CI) 1.19, 2.28) as compared to other groups. An investigation of
reasons for non-participation revealed that members of this group were more
likely to be not reachable by phone. CONCLUSIONS: An extended recruitment effort
at baseline of a child cohort study is not per se associated with a higher chance
of attrition at follow-up. Much care should be taken to collect valid telephone
numbers.
PMID- 27873340
TI - Adequacy of physician documentation and correlation with assessment of
transfusion appropriateness: a follow-up study in the setting of prospective
audits and patient blood management.
AB - BACKGROUND: A previous study in our hospitals correlated suboptimal documentation
and failure to justify transfusions. In light of implemented blood-conservation
strategies, including patient blood management (PBM) and prospective audits
(PAs), we performed a follow-up study. STUDY DESIGN AND METHODS: We reviewed
prospectively audited red blood cell (RBC) transfusions received by adult
patients from January to July 2014. Survey forms were used to assess the level of
documentation and to classify documentation as adequate, intermediate, or
inadequate. Transfusions were deemed justified or not by comparisons with
hospital transfusion guidelines. We also analyzed the effect of implemented blood
conservation strategies on our hospital transfusion rates and costs from 2009 to
2015. RESULTS: During the study period, there were 259 prospectively audited
transfusion events (TEs) (one or more RBC units transfused to a patient), of
which we reviewed 94 TEs (36.3%) in 87 patients. TEs with suboptimal
(intermediate and inadequate) documentation accounted for 46.8% of the reviewed
TEs, of which 81.8% could not be justified compared with 18.0% of nonjustified,
adequately documented TEs. The correlation between suboptimal documentation and
failure to justify transfusion was significant (p < 0.001). This correlation
remained even in a comparison between the site with a PBM program and the sites
without such a program. Overall transfusion rates declined after the introduction
of PA, although the decline was only statistically significant at the sites with
a PBM program. CONCLUSION: Suboptimal transfusion documentation remains
problematic and is highly correlated with nonjustifiable transfusions. Newly
adopted approaches to minimize blood transfusions have not improved transfusion
documentation and corresponding out-of-guideline transfusions, although overall
transfusions have been reduced by PA, particularly in the setting of a PBM
program.
PMID- 27873341
TI - Immunostain use in the diagnosis of melanomas referred to a tertiary medical
center: a 15-year retrospective review (2001-2015).
AB - BACKGROUND: Little is known regarding the clinical practice of
immunohistochemistry in the diagnosis of melanoma. We aimed to assess the
incidence of immunostain usage by referring pathologists and dermatopathologists
in melanoma cases sent for consultative review. As a secondary objective,
associations between immunostain use and specific melanoma characteristics were
also evaluated. METHODS: This is a retrospective review of consultation reports
of referred melanomas at a tertiary academic center in New York, NY from 2001 to
2015. Univariate regression analysis was performed on melanomas with accompanying
immunostains and on characteristics such as Breslow's depth, location, prognostic
factors and morphologic subtypes. Associations between immunostain usage and
these characteristics were analyzed using Fisher's exact test. RESULTS:
Immunostain use significantly increased over the study period (p < 0.001) and was
more likely to be associated with melanomas that were thicker [odds ratio (OR) =
2.5; 1.7-3.6]; located on the head and neck (OR = 1.6; 1.4-1.9) or acral sites
(OR = 1.5; 1.1-2.0); had ulceration (OR = 2.1; 1.6-2.8), dermal mitoses (OR =
1.3; 1.1-1.5), or perineural invasion (OR = 3.6; 2.0-6.5); or were of
desmoplastic (OR = 7.4; 4.5-12), amelanotic (OR = 7.1; 3.6-14), or nevoid
subtypes (OR = 4.0; 1.7-8.9). CONCLUSIONS: Immunostain use in the diagnosis of
melanoma has increased significantly in the past 15 years for reasons that remain
unclear.
PMID- 27873342
TI - Inconsistency and drop-minimum data analysis.
AB - Even though consistency is an important issue in multi-regional clinical trials
and inconsistency is often anticipated, solutions for handling inconsistency are
rare. If a region's treatment effects are inconsistent with that of the other
regions, pooling all the regions to estimate the overall treatment effect may not
be reasonable. Unlike the multiple center clinical trials conducted in the USA
and Europe, in multi-regional clinical trials, different regional regulatory
agencies may have their own ways to interpret data and approve new drugs. It is
therefore practical to consider the case in which the data from the region with
the minimal observed treatment effect is excluded from the analysis in order to
attain the regulatory approval of the study drug. Under such cases, what is the
appropriate statistical approach for the remaining regions? We provide a solution
first formulated within the fixed effects framework and then extend it to
discrete random effects models. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27873343
TI - A time-varying effect model for examining group differences in trajectories of
zero-inflated count outcomes with applications in substance abuse research.
AB - This study proposes a time-varying effect model for examining group differences
in trajectories of zero-inflated count outcomes. The motivating example
demonstrates that this zero-inflated Poisson model allows investigators to study
group differences in different aspects of substance use (e.g., the probability of
abstinence and the quantity of alcohol use) simultaneously. The simulation study
shows that the accuracy of estimation of trajectory functions improves as the
sample size increases; the accuracy under equal group sizes is only higher when
the sample size is small (100). In terms of the performance of the hypothesis
testing, the type I error rates are close to their corresponding significance
levels under all settings. Furthermore, the power increases as the alternative
hypothesis deviates more from the null hypothesis, and the rate of this
increasing trend is higher when the sample size is larger. Moreover, the
hypothesis test for the group difference in the zero component tends to be less
powerful than the test for the group difference in the Poisson component.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27873344
TI - Impact of Residual Mitral Regurgitation on Right Ventricular Systolic Function
After Left Ventricular Assist Device Implantation.
AB - Significant mitral regurgitation (MR) is thought to decrease after left
ventricular assist device (LVAD) implantation, and therefore repair of mitral
valve is not indicated in current practice. However, residual moderate and severe
MR leads to pulmonary artery pressure increase, thereby resulting in right
ventricular (RV) dysfunction during follow-up. We examined the impact of residual
MR on systolic function of the right ventricle by echocardiography after LVAD
implantation. This study included 90 patients (mean age: 51.7 +/- 10.9 years,
14.4% female) who underwent LVAD implantation (HeartMate II = 21, HeartWare = 69)
in a single center between December 2010 and June 2014. Echocardiograms obtained
at 3-6 months and over after implantation were analyzed retrospectively. RV
systolic function was graded as normal, mild, moderate, and severely depressed.
MR (>=moderate) was observed in 43 and 44% of patients at early and late period,
respectively. Systolic function of the RV was severely depressed in 16 and 9% of
all patients. Initial analysis (mean duration of support 174.3 +/- 42.5 days)
showed a statistically significant correlation between less MR and improved
systolic function of RV (P = 0.01). Secondary echocardiographic analysis
(following a mean duration of support of 435.1 +/- 203 days) was also
statistically significant for MR degree and RV systolic dysfunction (P = 0.008).
Residual MR after LVAD implantation may cause deterioration of RV systolic
function and cause right-sided heart failure symptoms. Repair of severe MR, in
selected patients such as those with severe pulmonary hypertension and depressed
RV, may be considered to improve the patient's clinical course during pump
support.
PMID- 27873346
TI - Characterization of endogenous promoters for control of recombinant gene
expression in Acidithiobacillus ferrooxidans.
AB - Acidithiobacillus ferrooxidans is an important iron- and sulfur-oxidizing
acidophilic chemolithoautotroph that is used extensively in metal extraction and
refining, and more recently in the bioproduction of chemicals. However, a lack of
genetic tools has limited the further development of this organism for industrial
bioprocesses. Using prior microarray studies that identified genes, which may
express differentially in response to the availability of iron and sulfur, the
cycA1 and tusA promoter sequences have been characterized for their ability to
drive green fluorescent protein expression. The promoters exhibited opposite
control behavior, where the cycA1 sequence was repressed and the tusA promoter
was induced by the presence of sulfur in the growth medium. Sulfur was found to
be the dominant signal. The sulfur IC50 for cycA1 was 0.56 mM (18 mg/L), whereas
the sulfur EC50 of tusA was 2.5 mM (80 mg/L). Together these sequences provide
two new tools to selectively induce or repress gene expression in A.
ferrooxidans. Acidithiobacillus ferrooxidans is an important industrial organism;
however, genetic tools for control of gene expression do not exist. Here, we
report the identification of promoter sequences that allow for the development of
control of gene expression for engineering this organism.
PMID- 27873345
TI - The prevalence of olfactory dysfunction in chronic rhinosinusitis.
AB - OBJECTIVES: Many studies have reported that olfactory dysfunction frequently
occurs in chronic rhinosinusitis (CRS) populations; however, the prevalence and
degree of olfactory loss has not been systematically studied. The aims of this
study were to use combined data to report the prevalence of olfactory dysfunction
and to calculate weighted averages of olfactory test scores in CRS patients. DATA
SOURCES: A search was conducted in PubMed and Scopus, following the methods of
Preferred Reporting Items for Systematic Review and Meta-Analysis guidelines.
REVIEW METHODS: Studies reporting the prevalence of olfactory dysfunction using
objective measures or olfactory test scores using validated scales were included.
RESULTS: A total of 47 articles were included in a systematic review and 35 in
the pooled data analysis. The prevalence of olfactory dysfunction in chronic
rhinosinusitis was found to be 30.0% using the Brief Smell Identification Test,
67.0% using the 40-item Smell Identification Test, and 78.2% using the total
Sniffin' Sticks score. Weighted averages +/- standard deviation of olfactory test
scores were 25.96 +/- 7.11 using the 40-item Smell Identification Test, 8.60 +/-
2.81 using the Brief Smell Identification Test, 21.96 +/- 8.88 using total
Sniffin' Sticks score, 5.65 +/- 1.51 using Sniffin' Sticks-Threshold, 9.21 +/-
4.63 using Sniffin' Sticks-Discrimination, 9.47 +/- 3.92 using Sniffin' Sticks
Identification, and 8.90 +/- 5.14 using the Questionnaire for Olfactory Disorders
Negative Statements. CONCLUSIONS: In CRS populations, a significant percentage of
patients experience olfactory dysfunction, and mean olfactory scores are within
the dysosmic range. Laryngoscope, 2016 127:309-320, 2017.
PMID- 27873347
TI - Infantile Hemangioma with Minimal or Arrested Growth: Further Observations on
Clinical and Histopathologic Findings of this Unique but Underrecognized Entity.
AB - BACKGROUND: Infantile hemangioma (IH) with minimal or arrested growth (IH-MAG) is
becoming increasingly recognized in the literature. It is important to be aware
of their existence, because the correct diagnosis is essential for
prognostication and treatment and, in the case of facial segmental lesions, the
direction of further investigations if PHACE (posterior fossa abnormalities and
other structural brain abnormalities; hemangioma(s) of the cervical facial
region; arterial cerebrovascular anomalies; cardiac defects, aortic coarctation,
and other aortic abnormalities; eye anomalies) syndrome or Sturge-Weber syndrome
is suspected. Although the clinical and histologic characteristics of IH-MAG
resemble capillary malformations, positive GLUT-1 status is a delineating
feature. METHODS: We reviewed nine cases of infants who presented after 2000 with
birthmarks showing unique clinical features suggestive of a special variant of
IHs. All patients had serial photographs taken demonstrating resolution of the
birthmark over time. Five of these cases had skin biopsy performed, all of which
confirmed GLUT-1 positivity. RESULTS: This photographic series of IH-MAG
demonstrates their unique clinical, histologic, and immunochemistry features.
They were nearly fully formed at birth, and their common clinical features
included telangiectasia, venules, and matte erythema with light and dark areas.
Spontaneous resolution over time without cosmetic disfigurement was the observed
natural history in the majority of cases. CONCLUSION: IH-MAG is a unique clinical
subset of hemangioma for which close observation is the preferred treatment. When
in doubt, a biopsy for histology and GLUT-1 status may be needed to confirm the
diagnosis before embarking on unnecessary laser treatment or medical
interventions.
PMID- 27873348
TI - Reply.
PMID- 27873349
TI - Not Only Size Matters: Early-Talker and Late-Talker Vocabularies Support
Different Word-Learning Biases in Babies and Networks.
AB - In typical development, word learning goes from slow and laborious to fast and
seemingly effortless. Typically developing 2-year-olds seem to intuit the whole
range of things in a category from hearing a single instance named-they have word
learning biases. This is not the case for children with relatively small
vocabularies (late talkers). We present a computational model that accounts for
the emergence of word-learning biases in children at both ends of the vocabulary
spectrum based solely on vocabulary structure. The results of Experiment 1 show
that late-talkers' and early-talkers' noun vocabularies have different structures
and that neural networks trained on the vocabularies of individual late talkers
acquire different word-learning biases than those trained on early-talker
vocabularies. These models make novel predictions about the word-learning biases
in these two populations. Experiment 2 tests these predictions on late- and early
talking toddlers in a novel noun generalization task.
PMID- 27873350
TI - Is command following unrelated to top-down attention in consciousness disorders?
PMID- 27873351
TI - Rasch analysis of the carers quality of life questionnaire for parkinsonism.
AB - OBJECTIVE: To assess the psychometric properties of the Carers Quality of Life
Questionnaire for Parkinsonism using a Rasch modeling approach and determine the
optimal cut-off score. METHODS: We performed a Rasch analysis of the survey
answers of 430 carers of patients with atypical parkinsonism. RESULTS: All of the
scale items demonstrated acceptable goodness of fit to the Rasch model. The scale
was unidimensional and no notable differential item functioning was detected in
the items regarding age and disease type. Rating categories were functioning
adequately in all scale items. The scale had high reliability (.95) and construct
validity and a high degree of precision, distinguishing between 5 distinct groups
of carers with different levels of quality of life. A cut-off score of 62 was
found to have the optimal screening accuracy based on Hospital Anxiety and
Depression Scale subscores. CONCLUSION: The results suggest that the Carers
Quality of Life Questionnaire for Parkinsonism is a useful scale to assess
carers' quality of life and allows analyses requiring interval scaling of
variables. (c) 2016 International Parkinson and Movement Disorder Society.
PMID- 27873353
TI - Adaptively Optimized Combination (AOC) of Phased-Array MR Spectroscopy Data in
the Presence of Correlated Noise: Compared with Noise-Decorrelated or Whitened
Methods.
AB - PURPOSE: A method for adaptively optimized combination (AOC) of MR spectroscopic
data from a coil array was recently introduced. The superior performance of the
AOC method is evident when compared with the methods that assume uncorrelated
noise between coil elements. However, it is unclear whether the AOC method
represents the most optimal combination in the presence of correlated noise, when
compared with the noise-decorrelated or whitened methods that specifically tackle
the correlated noise between coil elements. METHODS: A new, unified theoretical
framework was developed to illustrate the relationship between the AOC method and
three noise-decorrelated or whitened methods, namely, noise-decorrelated
combination (nd-comb), whitened singular value decomposition (WSVD), and improved
WSVD (WSVD+Apod). Simulation-based comparisons and in vivo human brain
experiments on a 3 Tesla (T) MRI scanner were performed using an 8-channel phased
array head coil. RESULTS: Compared with the noise-decorrelated or whitened
methods, the AOC method consistently yielded the best combination in terms of the
robustness against noise and maintaining the combined spectrum from distortion,
and the superior performance was most evident at a low signal-to-noise ratio
(SNR). CONCLUSION: The AOC method represents the theoretical optimal combination
in the presence of correlated noise between coil elements, whereas the three
noise-decorrelated or whitened methods are asymptotically optimal. Magn Reson Med
78:848-859, 2017. (c) 2016 International Society for Magnetic Resonance in
Medicine.
PMID- 27873352
TI - Assessment of the Fecal Microbiota in Beef Calves.
AB - BACKGROUND: There is increasing interest in the fecal microbiota, but study in
calves has been limited. HYPOTHESIS/OBJECTIVES: To evaluate the fecal microbiota
of beef calves and cows on different farms, and to preliminarily explore the
impact of antimicrobial exposure. ANIMALS: A total of 172 animals, 156 (91%)
calves and 16 (9.3%) cows, were enrolled from 5 cow-calf farms. METHODS: The
fecal bacterial microbiota was assessed through sequencing of 16S rRNA gene (V4
region) amplicons. RESULTS: There were significant differences in the relative
abundances of numerous phyla between calves on different farms. Farms could be
separated into 2 groups: 1 (farms B and C) dominated by Firmicutes and 1 (farms
A, D, and E) with predominance of Proteobacteria and Actinobacteria. Richness
(median 2,974 versus 1,477, P = .008), diversity (51.4 versus 29.1, P = .0029),
and evenness (0.73 versus 0.68, P = .006) were higher in cows. Over-represented
operational taxonomic units (OTUs) in cows tended to be from the classes Bacilli
and Bacteroidia, whereas Clostridia and Actinobacteria were most prominently over
represented in calves. There were differences in community membership (P = .028)
and structure (P = .029) in calves that had a history of antimicrobial exposure
compared those that did not. Eight (89%) over-represented OTUs in the untreated
group were Firmicutes (7 from the order Clostridiales), compared to only 3 (38%)
(2 Clostridiales) in the untreated group. CONCLUSIONS AND CLINICAL IMPORTANCE:
Interfarm variation should be investigated to determine the causes and potential
implications for health and production. Antimicrobial exposure may have an impact
on the fecal microbiota at individual and farm levels.
PMID- 27873355
TI - Isoquercitrin protects against pulmonary hypertension via inhibiting PASMCs
proliferation.
AB - Pulmonary vascular remodelling is a common feature among the heterogeneous
disorders that cause pulmonary arterial hypertension (PAH), and pulmonary
arterial smooth muscle cells (PASMCs) proliferation impact the long-term
prognosis of the patient. Isoquercitrin (IQC) is a flavonoid with anti-oxidative,
anti-inflammatory and anti-proliferative activations. This study aimed to
investigate whether IQC could prevent PASMCs proliferation and vascular
remodelling in monocrotaline (MCT) induced PAH. Male Wistar rats were
administered with Vehicle or 0.1% IQC maintain feed after MCT (40 mg/kg)
injection. Haemodynamic changes, right ventricular hypertrophy and lung
morphological features were assessed 3 weeks later. MCT-induced PAH, pulmonary
vascular remodelling and PASMCs proliferation in Vehicle-treated rats. IQC
reduced the right ventricle systolic pressure (RVSP), the ratio of RV/LV+S and
the RV hypertrophy. IQC significantly alleviated the expression of proliferating
cell nuclear antigen (PCNA), smooth muscle alpha-actin (alpha-SMA), and the
percentage of fully muscularized small arterioles. In vitro studies, PASMCs were
pretreated with IQC and stimulated with platelet-derived growth factor (PDGF)-BB
(20 ng/mL). IQC suppressed PDGF-BB-induced PASMCs proliferation and caused G0/G1
phase cell cycle arrest. IQC downregulated the expression of Cyclin D1 and CDK4
as well as inhibited p27Kip1 degradation. Meanwhile, IQC negatively modulated
PDGF-BB-induced phosphorylation of PDGF-Rbeta, Akt/GSK3beta and ERK1/2. IQC
ameliorated MCT-induced pulmonary vascular remodelling via suppressing PASMCs
proliferation and blocking PDGF-Rbeta signalling pathway.
PMID- 27873354
TI - Gastroprotective effect of esculin on ethanol-induced gastric lesion in mice.
AB - The gastroprotective effect of esculin was investigated in a mouse model of
ethanol-induced gastric lesion. Administration of esculin at doses of 5, 10, and
20 mg/kg body weight prior to ethanol ingestion led to significant
gastroprotection compared with untreated mice. Gastric mucosal lesions were
evaluated by macroscopic and histopathological alterations, lesion index, and
myeloperoxidase (MPO) activity. Pretreatment with esculin significantly reduced
macroscopic and histopathological damage, gastric lesion index, and MPO activity
in a dose-dependent manner. Moreover, esculin significantly reduced nitric oxide
(NO) production, inducible NO synthase (iNOS) levels, and nuclear factor-kappa B
(NF-kappaB) p65 protein expression in gastric tissues after ethanol challenge.
Analysis of inflammatory cytokines indicated that esculin pretreatment markedly
suppressed the increased expression of tumor necrosis factor-alpha (TNF-alpha)
and interleukin-6 (IL-6) in ethanol-treated mice. The results demonstrate a
protective effect of esculin against gastric injury and suggest that the
underlying mechanism might be associated with inhibition of NF-kappaB activation,
which subsequently reduces expression of iNOS, TNF-alpha, and IL-6.
PMID- 27873357
TI - Use of big data for drug development and for public and personal health and care.
AB - The use of data analytics across the entire healthcare value chain, from drug
discovery and development through epidemiology to informed clinical decision for
patients or policy making for public health, has seen an explosion in the recent
years. The increase in quantity and variety of data available together with the
improvement of storing capabilities and analytical tools offer numerous
possibilities to all stakeholders (manufacturers, regulators, payers, healthcare
providers, decision makers, researchers) but most importantly, it has the
potential to improve general health outcomes if we learn how to exploit it in the
right way. This article looks at the different sources of data and the importance
of unstructured data. It goes on to summarize current and potential future uses
in drug discovery, development, and monitoring as well as in public and personal
healthcare; including examples of good practice and recent developments. Finally,
we discuss the main practical and ethical challenges to unravel the full
potential of big data in healthcare and conclude that all stakeholders need to
work together towards the common goal of making sense of the available data for
the common good.
PMID- 27873358
TI - Regarding 'WhatsApp is an effective tool for obtaining second opinion in oral
pathology practice'.
PMID- 27873356
TI - Nail Psoriasis: A Systematic Evaluation in 313 Children with Psoriasis.
AB - BACKGROUND/OBJECTIVES: Little information is available on the prevalence and
clinical aspects of nail involvement in children with psoriasis. The objective of
this study was to evaluate the prevalence and clinical aspects of and the risk
factors for nail involvement in French children with psoriasis. METHODS: We
performed a multicenter, cross-sectional study in 23 French dermatology centers.
All children seen during the 1-year study were systematically included. Clinical
features of the nails were collected. Association with clinical aspects of the
disease and comorbidities were evaluated. RESULTS: Of 313 children with psoriasis
(mean age 9.1 +/- 4.2 yrs; 149 boys, 164 girls), 31.1% had familial psoriasis and
30% had severe psoriasis. The mean age at onset was 6.1 +/- 3.7 years. Nails were
involved in 32.3% of children. The main clinical aspects were pitting (69.1%) for
fingernails and onycholysis (40.0%) and pachyonychia (27.5%) for toenails. All of
the fingers were involved at similar frequencies, whereas the big toe was
involved twice as often as the others (p < 0.005). Nail involvement was
associated with male sex (p < 0.001), palmoplantar psoriatic (p < 0.001),
severity of disease (p = 0.003), and psoriatic arthritis (p = 0.03). CONCLUSION:
The prevalence of nail involvement was 32.3% in children with psoriasis. Clinical
aspects in children are reported, as well as clinical associations. As in adults,
nail psoriasis is closely associated with psoriatic arthritis.
PMID- 27873359
TI - Constipation in parkinson's disease: Subjective symptoms, objective markers, and
new perspectives.
AB - Constipation is among the first nonmotor symptoms to develop in the prodromal
phase of PD. Pathological alpha-synuclein deposition is present throughout the
gastrointestinal tract up to 20 years preceding diagnosis. Nevertheless,
constipation in the context of PD remains ill defined and poorly understood. In
this review, we summarize current knowledge of subjective symptoms and objective
measures of constipation in PD. More than 10 different definitions of
constipation have been used in the PD literature, making generalizations
difficult. When pooling results from the most homogeneous studies in PD, a median
constipation prevalence of 40% to 50% emerges, but with large variation across
individual studies. Also, constipation prevalence tends to increase with disease
progression. A similar prevalence is observed among patients with idiopathic
rapid eye movement sleep behavior disorder. Interestingly, we detected a
correlation between constipation prevalence in PD patients and healthy control
groups in individual studies, raising concerns about how various constipation
questionnaires are implemented across study populations. More than 80% of PD
patients exhibit prolonged colonic transit time, and the same is probably true
for de novo PD patients. Thus, the prevalence of objective colonic dysfunction
exceeds the prevalence of subjective constipation. Colonic transit time measures
are simple, widely available, and hold promise as a useful biomarker in manifest
PD. More research is needed to elucidate the role of gastrointestinal dysfunction
in disease progression of PD. Moreover, colonic transit measures may have utility
as a more accurate risk factor for predicting PD in the prodromal phase. (c) 2016
International Parkinson and Movement Disorder Society.
PMID- 27873360
TI - Personal measurement of exposure to black carbon and ultrafine particles in
schoolchildren from PARIS cohort (Paris, France).
AB - This study aimed to measure in French children personal exposure concentrations
of black carbon (BC) and ultrafine particles (UFP) and to quantify the
contribution of different microenvironments (home, school, places of
extracurricular activities, transport) to their total exposure. It was conducted
on 96 9-year-old children from the PARIS birth cohort. BC and UFP were
continuously measured by portable devices (microAeth(r) AE51 and DiSCmini(r) )
for a minimum of 24 hours, while participating families simultaneously filled in
a space-time-activities-budget questionnaire. BC exposure concentration was
higher during trips (principally metro/train and bus), while UFP exposure
concentration was higher during indoor activities (mainly eating at restaurants)
and in trips. The most important UFP peaks were measured at home, especially
during cooking. Home and school together accounted for much of the total
exposure, 83.8% for BC and 85.3% for UFP. The contribution of transport to total
exposure was 12.4% for BC and 9.7% for UFP, while extracurricular activities were
responsible for 3.8% and 5% of the total exposure to BC and UFP, respectively.
PMID- 27873361
TI - Effect of HIV infection in the micronuclei frequency on the oral mucosa.
AB - BACKGROUND: The genotoxic impact of HIV infection on the oral cavity malignancies
is unknown. The aim of this study was to evaluate the effect of HIV infection in
micronucleus (MN) frequency on the oral mucosa of HIV+ patients and establish a
relationship with early cytogenetic changes in oral carcinogenesis. METHODS:
Thirty HIV+ individuals who are under highly active antiretroviral therapy
(HAART) and 30 non-HIV patients were evaluated. Two smears were taken from the
lateral border of the tongue and mouth floor and stained by Feulgen. The
frequency of MN was examined in 3000 cells per subject under common microscopy.
RESULTS: MN analysis showed no significant difference between groups by Mann
Whitney U-test for total MNs (P = 0.178). The presence of single MN was greater
in control group with statistical significance (P = 0.009), while in HIV group,
multiple MNs were exhibited in higher mean. CONCLUSIONS: HIV patients under HAART
therapy and low viral load values showed higher frequency of multiple MNs, which,
although not statistically significant, may be caused by the action of the Vpr
gene, an accessory gene of HIV. These results corroborate the theory of HIV
infection cytogenetic damage.
PMID- 27873363
TI - Iron(II), Cobalt(II), Nickel(II), and Zinc(II) Silylene Complexes: Reaction of
the Silylene [iPrNC(NiPr2 )NiPr]2 Si with FeBr2 , CoBr2 , NiBr2 ?MeOCH2 CH2 OMe,
ZnCl2 , and ZnBr2.
AB - Reaction of the donor-stabilized silylene [iPrNC(NiPr2 )NiPr]2 Si (1) with FeBr2
, CoBr2 , NiBr2 ?MeOCH2 CH2 OMe, ZnCl2 , and ZnBr2 afforded the respective
transition-metal silylene complexes 4-8, the formation of which can be described
in terms of a Lewis acid/base reaction (4, 5, 7, 8) or a nucleophilic
substitution reaction (6). However, the reactivity profile of silylene 1 is not
only based on its strong Lewis base character; the different coordination modes
of the two guanidinato ligands (4-6 vs. 7 and 8) add an additional reactivity
facet. The paramagnetic compounds 4 and 5 and the diamagnetic compounds 6?THF, 7,
and 8?0.5 Et2 O were structurally characterized by single-crystal X-ray
diffraction. In addition, compound 6?THF was studied by 15 N and 29 Si solid
state NMR spectroscopy, and 7 and 8 were characterized by NMR spectroscopic
studies in the solid state (15 N, 29 Si) and in solution (1 H, 13 C, 29 Si).
Compounds 4-8 represent very rare examples of FeII , CoII , NiII , and ZnII
silylene complexes. Four-coordinate silicon(II) compounds with an SiN3 M skeleton
(M=Fe, Co, Ni) and M in the formal oxidation state +2 (4-6) have not yet been
reported, and five-coordinate silicon(II) compounds with an SiN4 Zn skeleton (7,
8) are also unprecedented.
PMID- 27873362
TI - Influence of Mesenchymal Stem Cells Conditioned Media on Proliferation of Urinary
Tract Cancer Cell Lines and Their Sensitivity to Ciprofloxacin.
AB - Mesenchymal stem cells (MSCs) are known to interact with cancer cells through
direct cell-to-cell contact and secretion of paracrine factors, although their
exact influence on tumor progression in vivo remains unclear. To better
understand how fetal and adult stem cells affect tumors, we analyzed viability of
human renal (786-0) and bladder (T24) carcinoma cell lines cultured in
conditioned media harvested from amniotic fluid-derived stem cells (AFSCs) and
adipose-derived stem cells (ASCs). Both media reduced metabolic activity of 786-0
cells, however, decreased viability of T24 cells was noted only after incubation
with conditioned medium from ASCs. To test the hypothesis that MSCs-secreted
factors might be involved in chemoresistance acquisition, we further analyzed
influence of mesenchymal stem cell conditioned media (MSC-CM) on cancer cells
sensitivity to ciprofloxacin, that is considered as potential candidate agent for
urinary tract cancers treatment. Significantly increased resistance to tested
drug indicates that MSCs may protect cancer cells from chemotherapy. J. Cell.
Biochem. 118: 1361-1368, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27873364
TI - Modelling the effect of hydration on skin conductivity.
AB - BACKGROUND: Electrical signals are recorded from and sent into the body via the
skin in a number of applications. In practice, skin is often hydrated with
liquids having different conductivities so a model was produced in order to
determine the relationship between skin impedance and conductivity. METHODS: A
model representing the skin was subjected to a variety of electrical signals. The
parts of the model representing the stratum corneum were given different
conductivities to represent different levels of hydration. RESULTS: The overall
impedance and conductivity of the cells did not vary at frequencies below 40 kHz.
Above 40 kHz, levels of increased conductivity caused the overall impedance to
decrease. CONCLUSION: The variation in impedance with conductivity between 5 and
50 mSm-1 can be modelled quadratically while variation in impedance with
conductivity between 5 and 5000 mSm-1 can be modelled with a double exponential
decay.
PMID- 27873365
TI - Mechanisms for cell-to-cell propagation no longer lag behind.
PMID- 27873366
TI - Monochorionic diamniotic twin pregnancy with selective fetal growth restriction
Type II: sonographic and fetoscopic findings of poor prognosis.
PMID- 27873367
TI - miR-219 attenuates demyelination in cuprizone-induced demyelinated mice by
regulating monocarboxylate transporter 1.
AB - Remyelination is limited in patients with multiple sclerosis (MS) due to the
difficulties in recruiting proliferating oligodendrocyte precursors (OPCs), the
inhibition of OPC differentiation and/or maturation, and/or failure in the
generation of the myelin sheath. In vitro studies have revealed that miR-219 is
necessary for OPC differentiation and monocarboxylate transporter 1 (MCT1) plays
a vital role in oligodendrocyte maturation and myelin synthesis. Herein, we
hypothesized that miR-219 might promote oligodendrocyte differentiation and
attenuate demyelination in a cuprizone (CPZ)-induced demyelinated model by
regulating the expression of MCT1. We found that CPZ-treated mice exhibited
significantly increased anxiety in the open field test. However, miR-219 reduced
anxiety as shown by an increase in the total distance, the central distance and
the mean amount of time spent in the central area. miR-219 decreased the quantity
of OPCs and increased the number of oligodendrocytes and the level of myelin
basic protein (MBP) and cyclic nucleotide 3' phosphodiesterase (CNP) protein.
Ultrastructural studies further confirmed that the extent of demyelination was
attenuated by miR-219 overexpression. Meanwhile, miR-219 also greatly enhanced
MCT1 expression via suppression of oligodendrocyte differentiation inhibitors,
Sox6 and Hes5, treatment with the MCT1 inhibitor alpha-cyano-4-hydroxycinnamate
(4-CIN) reduced the number of oligodendrocytes and the protein levels of MBP and
CNP. Taken together, these results suggest a novel mode of action of miR-219 via
MCT1 in vivo and may provide a new potential remyelination therapeutic target.
PMID- 27873369
TI - The genetic architecture of novel trophic specialists: larger effect sizes are
associated with exceptional oral jaw diversification in a pupfish adaptive
radiation.
AB - The genetic architecture of adaptation is fundamental to understanding the
mechanisms and constraints governing diversification. However, most case studies
focus on loss of complex traits or parallel speciation in similar environments.
It is still unclear how the genetic architecture of these local adaptive
processes compares to the architecture of evolutionary transitions contributing
to morphological and ecological novelty. Here, we identify quantitative trait
loci (QTL) between two trophic specialists in an excellent case study for
examining the origins of ecological novelty: a sympatric radiation of pupfishes
endemic to San Salvador Island, Bahamas, containing a large-jawed scale-eater and
a short-jawed molluscivore with a skeletal nasal protrusion. These specialized
niches and trophic traits are unique among over 2000 related species.
Measurements of the fitness landscape on San Salvador demonstrate multiple
fitness peaks and a larger fitness valley isolating the scale-eater from the
putative ancestral intermediate phenotype of the generalist, suggesting that more
large-effect QTL should contribute to its unique phenotype. We evaluated this
prediction using an F2 intercross between these specialists. We present the first
linkage map for pupfishes and detect significant QTL for sex and eight skeletal
traits. Large-effect QTL contributed more to enlarged scale-eater jaws than the
molluscivore nasal protrusion, consistent with predictions from the adaptive
landscape. The microevolutionary genetic architecture of large-effect QTL for
oral jaws parallels the exceptional diversification rates of oral jaws within the
San Salvador radiation observed over macroevolutionary timescales and may have
facilitated exceptional trophic novelty in this system.
PMID- 27873368
TI - Why is alcohol cancer's best-kept secret?
PMID- 27873371
TI - Getting a Sporting Chance: Title IX and the Intergenerational Transmission of
Health.
AB - We know that healthier mothers tend to have healthier infants, but we do not know
how much of that relationship reflects the intergenerational transmission of
genetic attributes versus environmental influences. From a policy perspective, it
is crucial to understand which environmental influences are important and whether
investments in one generation affect outcomes for the next. I use variation in
the implementation of Title IX to measure the effects of increased athletic
opportunities on the health of infants. Babies born to women with greater
athletic opportunities as teenagers have babies that are healthier at birth. They
are less likely to be born of low or very low birthweight and have higher Apgar
scores. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27873370
TI - Magnitude of change in fetal cerebroplacental ratio in third trimester and risk
of adverse pregnancy outcome.
AB - OBJECTIVES: To evaluate whether the magnitude of change in the cerebroplacental
ratio (CPR) after 30 weeks' gestation is a better predictor of adverse pregnancy
outcome compared with a single CPR measurement at 35-37 weeks. A secondary aim
was to evaluate whether the utility of CPR at 35-37 weeks was enhanced after
adjusting for change in gestational age. METHODS: This was a retrospective cohort
study of women who had at least two ultrasound scans between 30 and 37 weeks'
gestation, with the final scan at 35-37 weeks. Exclusion criteria were major
congenital abnormality, aneuploidy, multiple pregnancy and unknown middle
cerebral artery pulsatility index or umbilical artery pulsatility index. A normal
reference range for CPR was derived from a separate cohort of women with normal
outcome and a Generalised Additive Model for Location, Scale and Shape was fitted
to derive standardized centiles. These reference centiles were then used to
calculate Z-scores for the study cohort. Logistic regression models and receiver
operating characteristics (ROC) curves were used to evaluate the predictive
utility of CPR Z-score at last CPR measurement and the change in CPR on mode of
delivery, neonatal outcome and composite neonatal outcome. The area under the ROC
curve (AUC) for each model was compared before and after adjustment for parity,
hypertension, diabetes, body mass index and smoking status. RESULTS: A total of
1860 women met the inclusion criteria. There was no association between the
magnitude of change in CPR and composite adverse pregnancy outcome (P = 0.92). Of
the outcomes that made up the composite, an increase in CPR Z-score over time was
associated with a lower risk for emergency Cesarean delivery (P < 0.001) and
emergency Cesarean delivery for non-reassuring fetal status (P = 0.02). It was
also associated with a lower risk of birth weight < 10th centile (P = 0.01) and
hypoglycemia (P = 0.001). There was no significant difference between the AUCs of
last CPR Z-score and last CPR Z-score adjusted for the change in gestational age
in predicting pregnancies at risk for adverse outcome. CONCLUSIONS: Our results
suggest that both the individual CPR Z-score and the magnitude and direction of
change in CPR Z-score can identify pregnancies at risk of various adverse
perinatal outcomes. However, the CPR Z-score at 35-37 weeks' gestation appears to
be a better predictor. Copyright (c) 2016 ISUOG. Published by John Wiley & Sons
Ltd.
PMID- 27873372
TI - Palladium-Catalyzed Tandem Oxidative Arylation/Olefination of Aromatic Tethered
Alkenes/Alkynes.
AB - We describe herein a palladium-catalyzed tandem oxidative arylation/olefination
reaction of aromatic tethered alkenes/alkynes for the synthesis of
dihydrobenzofurans and 2 H-chromene derivatives. This reaction features a 1,2
difunctionalization of C-C pi-bond with two C-H bonds using O2 as terminal
oxidant at room temperature. The products obtained are valuable synthons and
important scaffolds in biological agents and natural products.
PMID- 27873373
TI - Cardiac output and blood flow redistribution in fetuses with D-loop transposition
of the great arteries and intact ventricular septum: insights into
pathophysiology.
AB - OBJECTIVES: Although the postnatal physiology of D-loop transposition of the
great arteries with intact ventricular septum (D-TGA/IVS) is well established,
little is known about fetal D-TGA/IVS. In the normal fetus, the pulmonary valve
(PV) is larger than the aortic valve (AoV), there is exclusive right-to-left flow
at the foramen ovale (FO) and ductus arteriosus (DA), and the left ventricle (LV)
ejects 40% of combined ventricular output (CVO) through the aorta, primarily to
the brain. In D-TGA/IVS, the LV ejects oxygen-rich blood to the pulmonary artery,
theoretically leading to pulmonary vasodilation, increased branch pulmonary
artery flow and reduced DA flow. In this study, we tested the hypothesis that D
TGA/IVS anatomy results in altered cardiac valve sizes, ventricular contribution
to CVO, and FO and DA flow direction. METHODS: Seventy-four fetuses with D
TGA/IVS that underwent fetal echocardiography at our institution between 2004 and
2015 were included in the study. AoV, PV, mitral valve and tricuspid valve sizes
were measured and Z-scores indexed to gestational age were generated. Ventricular
output was calculated using Doppler-derived velocity-time integral, and direction
of flow at the FO and DA shunts was recorded in each fetus using both color
Doppler and flap direction. Measurements in the D-TGA/IVS fetuses were compared
with data of 222 controls, matched for gestational-age range, from our
institutional normal fetal database. RESULTS: The LV component of CVO was higher
in D-TGA/IVS fetuses than in controls (50.7% vs 40.2%; P < 0.0001), with no
difference in the total CVO. Flow was bidirectional at the FO in 56 (75.7%) and
at the DA in 24 (32.4%) D-TGA/IVS fetuses. Only 21.6% fetuses had normal right-to
left flow at both shunts. Bidirectional shunting was more common in third
trimester fetuses than in second-trimester ones (P < 0.03). AoV and PV diameters
were nearly identical in D-TGA/IVS in contrast to control fetuses, hence AoV Z
score was higher than PV Z-score (1.13 vs -0.65, P < 0.0001) in D-TGA/IVS.
CONCLUSIONS: In fetuses with D-TGA/IVS there is loss of the normal right-sided
dominance, as each ventricle provides half of the CVO, with a relatively large
AoV diameter and a small PV diameter, and high incidence of bidirectional FO and
DA flow. This may support the theory that high pulmonary artery oxygen content
reduces pulmonary vascular resistance, thereby increasing branch pulmonary artery
flow and venous return, which results in increased LV preload and output.
Pulmonary sensitivity to oxygen is thought to increase later in gestation, which
may explain the higher incidence of bidirectional shunting. Consequences of these
flow alterations include increased aortic and, most likely, brain flow, perhaps
in an attempt to compensate for the substrate deficiency observed in D-TGA/IVS.
Copyright (c) 2016 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 27873375
TI - Desistance mandates compared with treatment mandates in criminal justice
populations.
PMID- 27873374
TI - The benefits of using the UPPS model of impulsivity rather than the Big Five when
assessing the relationship between personality and problem gambling.
PMID- 27873376
TI - Extended Long-Term Effects of Cervical Vagal Nerve Stimulation on Headache
Intensity/Frequency and Affective/Cognitive Headache Perception in Drug Resistant
Complex-Partial Seizure Patients.
AB - OBJECTIVES: Invasive vagal nerve stimulation (iVNS) is an established treatment
option for drug-resistant focal seizures and has been assumed to diminish
frequent co-incidental daily headache/migraine. However, long-term effects on
cognitive/affective head pain perception, headache intensity/frequency are
lacking. We therefore investigated potential iVNS-induced effects in patients
with drug-resistant focal seizure and daily headache/migraine. MATERIALS AND
METHODS: A clinical database was used to select 325 patients with drug-resistant
epilepsy treated by either iVNS plus best medical treatment (BMT) or BMT alone,
compared to a healthy control group (HC). We assessed headache intensity (VAS),
headache frequency, affective/cognitive pain perception (PASS; FSVA), migraine
disability scores (MIDAS), sleep architecture (PSQI), depressive symptoms (BDI),
and body weight (BMI). RESULTS: Nineteen patients with daily headache/migraine
composed the clinical groups (10 iVNS and 9 BMT; iVNS mean age 49 years, range 36
61 years; BMT mean age 45 years, range 23-63 years; equally distributed gender).
Cervical iVNS was applied from 5-13 years (mean 8 years) with following
stimulation patterns: 1.3 mA (0.5-2 mA), 20 Hz, 250 MUsec, 30 sec on/1.9 min off
(0.5-5 min). The iVNS group had significantly lower VAS scores (iVNS 5.4; BMT
7.8; p = 0.03) and PASS cognitive/anxiety subscores (iVNS 21; BMT 16; p = 0.02)
compared to BMT and HC. Global PASS (p = 0.07), FSVA, PSQI, BDI, and BMI scores
did not differ significantly between groups. CONCLUSIONS: iVNS appears to have
positive modulatory long-term effects on headache and affective/cognitive head
pain perception in patients with drug-resistant focal epilepsy, thus deserving
further attention.
PMID- 27873378
TI - Spotlights on our sister journals: Chem. Eur. J. 49/2016.
PMID- 27873377
TI - The person-centred care of older people with cognitive impairment in acute care
(POPAC) scale - psychometric evaluation.
AB - AIM: To test the reliability and validity of the Person-centred care of Older
People with cognitive impairment in Acute Care scale to determine nurses'
perceptions of person-centred care. BACKGROUND: One-third of older adults
admitted to hospital are at risk of serious hospital-acquired complications such
as falls, infections and pressure injuries because of cognitive impairment. These
risks can be reduced through person-centred practices. The Person-centred care of
Older People with cognitive impairment in Acute Care scale is a self-report staff
instrument to explore the extent to which person-centred practices are
undertaken; however psychometric testing is limited. METHODS: A cross-sectional
sample of acute care nurses (n = 240) in Queensland, Australia completing self
report questionnaires. Psychometric analyses of item performance, reliability and
validity were conducted. RESULTS: Item analysis revealed independent items. One
item was removed due to negatively associating with the scale, improving total
Cronbach's alpha from 0.76 to 0.84. The three original factors were maintained
with regrouping of items. Confirmatory factor analysis confirmed the revised
model. CONCLUSIONS: The revised Person-centred care of Older People with
cognitive impairment in Acute Care scale had satisfactory psychometric properties
when used as a total scale. IMPLICATIONS FOR NURSING MANAGEMENT: Scale brevity
and simplicity together with rigorous development and testing indicates that the
revised Person-centred care of Older People with cognitive impairment in Acute
Care may be useful for quality improvement programmes into the care of older
people in hospitals.
PMID- 27873379
TI - Oxford's Systematic Review Initiative: leading by example in evidence-based
transfusion medicine.
PMID- 27873380
TI - Which costs of alcohol do policymakers care about?
PMID- 27873381
TI - Cutting Edge Advances in Stem Cell Biology and Therapy.
PMID- 27873382
TI - USE OF A NOVEL BOARD GAME IN A CLINICAL ROTATION FOR LEARNING THORACIC
DIFFERENTIAL DIAGNOSES IN VETERINARY MEDICAL IMAGING.
AB - When confronted with various findings on thoracic radiographs, fourth-year
veterinary students often have difficulty generating appropriate lists of
differential diagnoses. The purpose of this one-group, pretest, posttest
experimental study was to determine if a game could be used as an adjunct
teaching method to improve students' understanding of connections between imaging
findings and differential diagnoses. A novel board game focusing on differential
diagnoses in thoracic radiography was developed. One hundred fourth-year
veterinary students took a brief pretest, played the board game, and took a brief
posttest as a part of their respective clinical radiology rotations. Pretest
results were compared to posttest results using a paired t-test to determine if
playing the game impacted student understanding. Students' mean scores on the
posttest were significantly higher than mean pretest scores (P < 0.0001). Thus,
results indicate that playing the board game resulted in improved short-term
understanding of thoracic differential diagnoses by fourth-year students, and use
of the board game on a clinical rotation seems to be a beneficial part of the
learning process.
PMID- 27873383
TI - Building leadership capacity in advanced nurse practitioners - the role of
organisational management.
AB - AIM: To highlight the organisation-level management's role in building leadership
capacity in advanced nurse practitioners and the need for appropriate supports to
increase their becoming leaders. BACKGROUND: Little is published about the role
of organisation-level management in building leadership capacity and in
developing the next generation of nurse leaders. In times of economic constraint,
organisations need to focus their efforts on targeted leadership initiatives.
Advanced nurse practitioners are ideally positioned to act as leaders both within
and beyond the health care organisation. EVALUATION: From the available research
evidence, several support structures and mechanisms are identified as enablers
for advanced nurse practitioners to enact their leadership role. CONCLUSION:
Health care organisations need to include building leadership capacity as a
priority in their strategic plan and take action to build-up the level of
advanced nurse practitioner leadership. IMPLICATIONS FOR NURSING MANAGEMENT:
Nurse executives have a vital role in influencing the organisation's strategic
plan and making a business case for prioritising leadership capacity building
within advanced nurse practitioners. A challenge for nurse executives faced with
competing service and leadership development demands, involves strategic decision
making regarding whether the advanced nurse practitioner's role is limited to
service delivery or its potential in leading health care reforms is realised.
PMID- 27873384
TI - Attention allocation towards own face is pronounced during middle adolescence: an
eye-tracking study.
AB - Increased interest in the self has long been deemed to be one of the most
peculiar characteristics of adolescence. On the basis of this, we conjectured
that attentiveness towards self-relevant information, especially one's own face,
becomes more pronounced during the middle adolescence. The present study tested
this hypothesis by comparing the pattern of visuospatial attention allocation to
their own face among early, middle and late adolescent males using an eye
tracking methodology. The results have shown a clear pattern of increased
attention allocation towards their own face over a close friend's and a
stranger's face in middle adolescents, but fixation durations on their own and a
friend's face did not differ from each other in early and late adolescents. In
addition, middle adolescents showed higher public self-consciousness and a lower
level of self-esteem than early and late adolescents, respectively. These results
indicate that attention allocation towards one's own face is more pronounced
during middle adolescence, and is associated with increased interest in their own
attributes.
PMID- 27873385
TI - Introgression and selection shaped the evolutionary history of sympatric sister
species of coral reef fishes (genus: Haemulon).
AB - Closely related marine species with large overlapping ranges provide
opportunities to study mechanisms of speciation, particularly when there is
evidence of gene flow between such lineages. Here, we focus on a case of
hybridization between the sympatric sister-species Haemulon maculicauda and H.
flaviguttatum, using Sanger sequencing of mitochondrial and nuclear loci, as well
as 2422 single nucleotide polymorphisms (SNPs) obtained via restriction site
associated DNA sequencing (RADSeq). Mitochondrial markers revealed a shared
haplotype for COI and low divergence for CytB and CR between the sister-species.
On the other hand, complete lineage sorting was observed at the nuclear loci and
most of the SNPs. Under neutral expectations, the smaller effective population
size of mtDNA should lead to fixation of mutations faster than nDNA. Thus, these
results suggest that hybridization in the recent past (0.174-0.263 Ma) led to
introgression of the mtDNA, with little effect on the nuclear genome. Analyses of
the SNP data revealed 28 loci potentially under divergent selection between the
two species. The combination of mtDNA introgression and limited nuclear DNA
introgression provides a mechanism for the evolution of independent lineages
despite recurrent hybridization events. This study adds to the growing body of
research that exemplifies how genetic divergence can be maintained in the
presence of gene flow between closely related species.
PMID- 27873386
TI - Identification of multiple sclerosis patients at highest risk of cognitive
impairment using an integrated brain magnetic resonance imaging assessment
approach.
AB - BACKGROUND AND PURPOSE: While impaired cognitive performance is common in
multiple sclerosis (MS), it has been largely underdiagnosed. Here a magnetic
resonance imaging (MRI) screening algorithm is proposed to identify patients at
highest risk of cognitive impairment. The objective was to examine whether
assessment of lesion burden together with whole brain atrophy on MRI improves our
ability to identify cognitively impaired MS patients. METHODS: Of the 1253
patients enrolled in the study, 1052 patients with all cognitive, volumetric MRI
and clinical data available were included in the analysis. Brain MRI and
neuropsychological assessment with the Brief International Cognitive Assessment
for Multiple Sclerosis were performed. Multivariable logistic regression and
individual prediction analysis were used to investigate the associations between
MRI markers and cognitive impairment. The results of the primary analysis were
validated at two subsequent time points (months 12 and 24). RESULTS: The
prevalence of cognitive impairment was greater in patients with low brain
parenchymal fraction (BPF) (<0.85) and high T2 lesion volume (T2-LV) (>3.5 ml)
than in patients with high BPF (>0.85) and low T2-LV (<3.5 ml), with an odds
ratio (OR) of 6.5 (95% CI 4.4-9.5). Low BPF together with high T2-LV identified
in 270 (25.7%) patients predicted cognitive impairment with 83% specificity, 82%
negative predictive value, 51% sensitivity and 75% overall accuracy. The risk of
confirmed cognitive decline over the follow-up was greater in patients with high
T2-LV (OR 2.1; 95% CI 1.1-3.8) and low BPF (OR 2.6; 95% CI 1.4-4.7). CONCLUSIONS:
The integrated MRI assessment of lesion burden and brain atrophy may improve the
stratification of MS patients who may benefit from cognitive assessment.
PMID- 27873387
TI - Physical exercise remodels visceral adipose tissue and mitochondrial lipid
metabolism in rats fed a high-fat diet.
AB - We aimed to investigate the effects of two physical exercise models, voluntary
physical activity (VPA) and endurance training (ET) as preventive and therapeutic
strategies, respectively, on lipid accumulation regulators and mitochondrial
content in VAT of rats fed a high-fat diet (HFD). Sprague-Dawley rats (6 weeks
old, n=60) were assigned into sedentary and VPA groups fed isoenergetic diets:
standard (S, 35 kcal% fat) or HFD (71 kcal% fat). The VPA groups had free access
to wheel running during the entire protocol. After 9 weeks, half of the sedentary
animals were exercised on a treadmill while maintaining the dietary treatments.
The HFD induced no changes in plasma non-esterified fatty acids (NEFA) and
glycerol levels and decreased oxidative phosphorylation (OXPHOS) subunit IV and
increased truncated/full-length sterol regulatory element-binding transcription
factor 1c (SREBP1c) ratio in epididymal white adipose tissue (eWAT). VPA
decreased plasma glycerol levels, aquaglyceroporin 7 (AQP7) and increased subunit
I of cytochrome c oxidase (COX) protein, in standard diet fed animals. Eight
weeks of ET decreased body weight, visceral adiposity and adipocyte size and
plasma NEFA and glycerol levels, as well as AQP7 protein expression in eWAT. ET
increased fatty acid translocase (FAT/CD36), mitochondrial content of complexes
IV and V subunits, mitochondrial biogenesis and dynamic (mitofusins and optic
atrophy 1)-related proteins. Moreover, lipogenesis-related markers (SREBP1c and
acetyl CoA carboxylase) were reduced after 8 weeks of ET. In conclusion, ET
induced alterations reflect a positive effect on mitochondrial function and the
overall VAT metabolism of HFD-induced obese rats.
PMID- 27873389
TI - Sildenafil, unbridled optimism, and heart failure with preserved ejection
fraction.
PMID- 27873388
TI - Effects of sildenafil on cardiac structure and function, cardiopulmonary exercise
testing and health-related quality of life measures in heart failure patients
with preserved ejection fraction and pulmonary hypertension.
AB - AIMS: We recently showed that sildenafil did not improve pulmonary pressures and
exercise capacity in a cohort of patients with heart failure and preserved
ejection fraction (HFpEF) and predominantly postcapillary pulmonary hypertension.
Here, we present the effects of sildenafil on cardiac structure and function,
cardiopulmonary exercise testing, laboratory parameters and health-related
quality of life measures. METHODS AND RESULTS: Fifty-two HFpEF patients with
pulmonary hypertension (mean pulmonary artery pressure >25 mmHg; pulmonary artery
wedge pressure >15 mmHg) were randomized to sildenafil 60 mg three times a day or
placebo and treated for 12 weeks. Sildenafil neither changed cardiac structure
nor function on echocardiography compared with placebo. Considering all patients
irrespective of maximal effort, sildenafil reduced peak heart rate by 8 b.p.m.
[95% confidence interval (CI) -14.97 to -1.03] and peak blood pressure by 13.8
mmHg (95% CI -22.04 to -5.47)/7.3 mmHg (95% CI -13.60 to -1.07) (both P < 0.05
vs. placebo). The minute ventilation/carbon dioxide production (VE/VCO2 ) slope
remained unchanged in the sildenafil group (0.3, 95% CI -1.37-1.98), while it was
reduced in the placebo group (-7.6, 95% CI -12.97 to -2.25, P = 0.002). In both
groups, renal function improved and N-terminal pro-brain natriuretic peptide
concentration reduced equally. Haemoglobin and glycated haemoglobin levels
slightly decreased in the sildenafil group (P < 0.05 vs. placebo). All domains of
the Kansas City Cardiomyopathy Questionnaire increased during treatment, but no
differences between sildenafil and placebo were found. CONCLUSION: Treatment with
sildenafil for 12 weeks in patients with HFpEF and predominantly isolated
postcapillary pulmonary hypertension did not affect cardiac structure and
function, integrative exercise responses, laboratory parameters, and/or quality
of life. Clinicaltrials.gov number NCT01726049.
PMID- 27873393
TI - Tributes to Peter Alan Trott, MB BChir FRCPath.
PMID- 27873391
TI - Immunocytochemical staining for p53 and Ki-67 helps to characterise urothelial
cells in urine cytology.
AB - OBJECTIVE: The presence of atypical cells in urine cytology is unsatisfactory for
both cytologists and clinicians. The objective of this study was to test whether
p53 and Ki-67 immunostaining could improve urothelial carcinoma (UC) detection on
urinary cytology. METHODS: A total of 196 urine samples were analysed, 142 from
the bladder, 41 from the upper tract and 13 from ileal bladder replacement.
Cytology results were expressed as normal (N) (n = 81), atypia cannot exclude low
grade UC (ALG) (n = 25), suspicious for high-grade UC (SHG) (n = 39) and high
grade UC (HG) (n = 51). Actual diagnoses were confirmed by histopathological
analysis, cystoscopic examination or follow-up for at least 1 year.
Immunocytochemistry performed on CytoSpinTM slides allowed the determination of
the percentage of positive cells with p53 and Ki-67. RESULTS: The median
percentage values [first to third quartile] of p53 and Ki-67 were 0 [0-5] and 0
[0-1] for N cytology, 5 [0-40] and 2 [1-10] for ALG, 10 [0-30] and 6 [3-25] for
SHG, and 30 [10-80] and 20 [10-30] for HG, respectively. Statistically higher
values were observed for both tests (P < 0.001) in positive cytologies (ALG, SHG
and HG). The optimal cut-offs were 5% for p53 and 3% for Ki-67. The sensitivity
and specificity for the detection of all UC were 86.4% and 76.7% for cytology
alone, 81.3% and 93.2% for cytology and p53, 75.7% and 88% for cytology and Ki
67, and 68.9% and 97.5% for cytology, p53 and Ki-67, respectively. CONCLUSION:
Using p53 and/or Ki-67 in addition to cytology increases the specificity without
penalising the sensitivity.
PMID- 27873390
TI - Analysis of multiple primary cancer autopsy cases associated with breast cancer:
2002-2010.
AB - Breast cancer patients have a generally increased risk of developing second
cancers. The object of this study was to clarify the increased as well as
decreased incidence of cancers in breast cancer patients using autopsy cases. 164
211 autopsy cases in the Annual of Pathological Autopsy Cases in Japan from 2002
to 2010 were analyzed for multiple primary cancer (MPC). Female MPC cases (4222
cases) were selected. We investigated the cancer incidence observed in breast
cancer associated MPC. The Chi-squared test was used for analysis. All P-values
were two-sided, and differences at P < 0.05 were considered significant. Breast
cancer associated MPC showed a significantly increased incidence of ovarian,
pancreatic, and skin cancer (Odds Ratio [95 % confidence interval (CI)]) = 1.464
[1.03, 2.08], 1.414 [1.08, 1.85] and 2.092 [1.28, 3.41]), and a decreased
incidence of colorectal and cervical cancer (OR [95 % CI]) = 0.732 [0.60, 0.90],
0.605 [0.38, 0.96]). Our findings of an increased incidence of malignancies in
breast cancer associated MPC cases were consistent with the results of previous
population-based studies. This study is the first study to analyze massive
autopsy data on MPC which provide new evidence clinically and pathologically.
PMID- 27873396
TI - Education is the basis for the future of Dermato-Venerology.
PMID- 27873395
TI - N-Heterocyclic Carbene Catalyzed gamma-Dihalomethylenation of Enals by Single
Electron Transfer.
AB - An N-heterocyclic carbene (NHC) catalyzed dihalomethylenation of enals is
described. It is a rare example of merging NHC catalysis with single-electron
chemistry, a challenging topic with limited previous success. The versatile
carbon-centered trihalomethyl radicals have been demonstrated, for the first
time, to be compatible with an NHC-bound intermediate, thus leading to efficient
and regioselective intermolecular C-C bond formation. The mild process provides
straightforward access to unsaturated delta,delta-dihalo esters.
PMID- 27873397
TI - Reviewers who have performed more than three reviews in 2016 Thank you so much!
PMID- 27873400
TI - The Reject.
PMID- 27873398
TI - From the coliseum to the convention centre: a reflection on the current state of
medical education conferences and conference-goers.
AB - The advancement of knowledge and development of policy in the field of medical
education require critical academic discourse among the most intelligent medical
educators; and critical academic discourse requires coffee. In this essay, we
reflect on the state of professional development conferences in the field of
medical education and the rituals that surround their success. Having begun in
ancient Greece, symposia were ripe with debauchery. Today, sedated by the light
brown walls of hotel conference centres, symposia are more serious endeavours,
engaging men and women in the sometimes turbulent waters of epistemological
debate. The abstract submission process (summed up by: 'Yay! It was accepted for
presentation' [Deep breath] 'Oh no...it was accepted for presentation'), the
'juggling act' of parent attendees, the acting prowess of abstract presenters and
the unapologetic approach to buffet eating are all by-products of the collision
of true intellects among medical education scholars. We hold these rituals in
high regard and argue that they are required to advance the field of medical
education. These rituals bind the walls supporting true progressive thought and
innovative research, all fuelled by the glass of wine purchased with that one
coveted drink ticket.
PMID- 27873401
TI - Simplifying education scholarship: new format requirements for publication
success.
PMID- 27873402
TI - Cosmologies of selection: lessons from the faculty biscuit tin.
AB - We conducted an ethnography of the faculty biscuit tin as we were interested in
the lived experience of the biscuits contained within it. We used a
constructivist epistemology, a social constructionist interpretive framework and
a phenomenological methodology that included analysis from the perspectives of
deixis and cosmology. The biscuits perceived that they were important to a
selecting force and that the characteristics of one particular group had a
specific value to the selector. Some enduring benefits may derive from the
selection of this group, although its attractions were less immediately obvious
than those of others. What is immediately attractive may not be the most fit for
purpose; lessons for the selection of medical students may arise from this
exploration of the selection experiences of biscuits in a faculty biscuit tin.
PMID- 27873403
TI - Does your pig go 'knor'? Medical students' skills in using animal sounds as a
cross-cultural paediatric engagement tool.
AB - The development of verbal communication skills is an important aspect of medical
education as accurate assessment in part relies on effectively obtaining
information from patients. When assessing children of different cultural or
ethnic backgrounds, young medics may find effective verbal communication
difficult because they lack understanding about what children are really like.
Animal noises are a likely tool with which to successfully engage with young
children. However, these differ by culture and it is unclear whether young New
Zealand medical students will be adept at effectively engaging and communicating
with foreign children via this mode of communication. We therefore assessed
whether medical students in our country were able to accurately reproduce animal
noises from different cultures. Six current medical students from New Zealand
(with English as their first language) were assessed on their ability to
reproduce animal noises from three different foreign languages: Dutch, Arabic and
Danish. The animals selected were duck, cow, dog, frog, pig and sheep. Students
were played recordings of the foreign-language animal noises, and were then rated
on a scale of 1-5 (1 = poor, 5 = outstanding) on their ability to reproduce the
noise. Arabic animal noises were reproduced more convincingly than those in the
other languages (mean score: 3.8), of which animal noises in Danish were worst
(mean score: 3.1). Perhaps unsurprisingly, sheep noises were reproduced best
(mean score: 4.7), whereas pig noises were the least convincing (mean score:
2.2). Findings indicate that New Zealand medical students are likely to be better
than average at reproducing animal noises in the languages examined, and are
perhaps socially and genetically predisposed to replicating sheep noises
successfully. They are therefore likely to make good paediatric registrars and
fabulous au pairs. The study highlights the more serious issues of multicultural
understanding and tolerance of other cultures, and provides a novel paediatric
engagement tool for those young medics who do not really 'get' children.
PMID- 27873404
TI - The show must go on? Patients, props and pedagogy in the theatre of the OSCE.
AB - According to Shakespeare, all the world's a stage, and all the men and women
merely players. The objective structured clinical examination (OSCE), that most
ubiquitous form of assessment in health professions education, offers us a
particular instance of this maxim. Comprising at first glance a world of
psychometric data, detailed checklists and global rating scales, the OSCE sets
out to facilitate the assessment of a candidate's competence in a highly
standardised and objective fashion. Despite this clear intention, OSCEs also
offer a rich vein of (often unacknowledged) social and cultural processes. In
this commentary, we draw on Goffman's dramaturgy metaphor and our experiences to
undertake a wry examination of some of the least intended consequences of OSCEs.
We take a satirical look at both the potential impact on patients and the
pedagogical implications of this form of assessment. We now urge you to sit back,
settle in and enjoy the show, as we raise the curtain on this one-night-only
performance!
PMID- 27873405
TI - The 10 most wanted test cheaters in medical education.
AB - This paper takes on a list of the 10 most wanted test cheaters comparable with
'most wanted' lists used by law enforcement agencies to bring attention to the
issue of test cheating in medical education. The research provides an overview of
test cheating in modern medical education, presents a typology of common
cheaters, and provides guidelines for the prevention and detection of cheating.
PMID- 27873406
TI - WATCH Scrubs: a video observational study of workplace-based learning at Sacred
Heart Hospital.
AB - CONTEXT: Workplace-based learning remains the cornerstone of clinical training.
Teaching in the clinical environment promotes active engagement as trainees are
required to combine their competencies (e.g. skills in history taking,
examination and clinical reasoning) to determine an appropriate course of action.
High-quality clinical teaching supports and scaffolds trainees' learning in
clinical workplaces. OBJECTIVES: This study aimed to explore the quality of
clinical teaching at a large teaching hospital. METHODS: A retrospective video
observational study of 9 years of workplace-based learning at Sacred Heart
Hospital, a large private teaching hospital, was conducted. Each academic year
was observed by one researcher. Clinical teaching encounters were identified and
analysed using the Warwick Assessment insTrument for Clinical teacHing (WATCH).
Descriptive observation notes were recorded and analysed thematically. RESULTS: A
total of 131 teaching encounters provided by 12 tutors were identified. The 15
item instrument demonstrated a Cronbach's alpha of 0.89. The hidden curriculum,
role modelling and reflection played prominent roles in trainees' personal and
professional development. CONCLUSIONS: Trainees' learning in clinical workplaces
extends beyond the formal teaching they receive to include the development of
professional behaviours through role modelling and reflection on clinical
encounters.
PMID- 27873407
TI - Discussing discursive discussions.
AB - Discussions are an important part of medical education research papers, but there
is little guidance on how to write up the discussion section. A good discussion
section should relate closely to the results; it should include a balanced review
of the strengths and weaknesses of the study; it should be realistic in
evaluating the applicability of the results; and it should mention the
feasibility of widespread adoption of the intervention. This paper describes a
review of the discussion sections of research papers published in Medical
Education. The review led to further discussion. Common errors in writing
discussion sections include: minimising the weaknesses of a study or exaggerating
its strengths; closing down debate too early or opening up new arguments at too
late a stage; and getting carried away by the potential of technology or getting
very carried away by the potential of technology. Some discussions can be too
long, but restricted word counts keep abstracts mercifully short.
PMID- 27873408
TI - Number needed to eat: pizza and resident conference attendance.
AB - The didactic conference is a common part of the resident education curriculum.
Given the demands of clinical responsibilities and restrictions on duty hours,
maximising education is a challenge faced by all residency programmes. To date,
little research exists with respect to how the provision of complimentary food
affects physician and resident conference attendance. The objective of this study
was to determine whether complimentary food improves resident arrival times and
attendance at educational conferences and, furthermore, to test whether this
provision is a potentially cost-effective tool for improving education. A
retrospective review of 36 resident educational Friday noon conferences,
including 1043 resident arrivals, was performed. Data were analysed for total
attendance, arrival times, number needed to eat (NNE) and the percentage of
residents arriving on time, and compared between days on which food was and was
not provided. Median attendance was 3.7% higher (p = 0.04) on days on which food
was provided, at a cost of US$46 for each additional resident in attendance.
Arrival times were also statistically significantly improved when food was
provided, with a median improvement of 0.7 minutes (p = 0.02) and an 11.0%
increase in on-time arrivals (p < 0.001). The NNE was 10.6. Complimentary food
improves both attendance and arrival times by a small, but statistically
significant, degree. The provision of complimentary food can be considered as an
incentive for attendance and on-time arrival at didactic educational sessions,
although more cost-effective modalities may exist.
PMID- 27873409
TI - Is the long case dead? 'Uh, I don't think so': the Uh/Um Index.
AB - Current tools for clinical assessment are tedious and time-consuming,
particularly the dreadful long case. There is a need for novel instruments that
incorporate other aspects of competence. We propose such a method, namely the
Uh/Um Index. Our innovation paper describes the rationale for using speech
dysfluency and occurrences of filler words such as 'uh' and 'um' as a proxy for
competence. This appears to have won initial support from senior clinicians in
our institution. Additional research is needed (non-restricted grants are
welcomed) to establish rigorous standard setting and to fund our attendance at
overseas conferences to make the Uh/Um Index the new buzzword in medical
education.
PMID- 27873410
TI - Where do physicians start and end?
AB - Medical education is primarily about training physicians and maintaining their
capabilities over time. Given that physicians are the primary focus of the field,
there is a need for a clear idea of what physicians are or could be. This paper
seeks to explore this issue by posing the simple question: ?Where do physicians
start and end?' In doing so, the authors explore a series of different conceptual
frames, including those of a physician's physical dimensions, their cellular
boundaries, personal intentions and beliefs, professional identity, regulation,
entrustability, professional performance, extended cognition, and disability.
This existential look at the concept of a physician demonstrates the plurality of
medical education scholarship and the implications of the many intersecting
points of view in the field.
PMID- 27873411
TI - Training physicians for the real world of medicine: administration-based
learning.
AB - Tired of outdated teaching formats like case-based learning (CBL), problem-based
learning (PBL) and team-based learning (TBL)? We wanted something fresh for our
medical school, something that would prepare our graduates for the modern
practice of medicine, something that would satisfy regulatory agencies and our
deans. After doing an extensive needs assessment, which we ignored, we decided to
replace basic science in our curriculum with something more practical:
administration-based learning (ABL). We taught students how to fix fax machines,
how to deal with angry team members, and how to maximise revenue in private
practice - lessons that were well received and were more consistent with what
physicians really need to learn to be effective practitioners. Educational
outcomes have been positive, and although more research is needed, we call on
other schools to add ABL tracks to their own curricula.
PMID- 27873412
TI - What's in a name? Word inflation, punctuation, abbreviation and cloud formation.
AB - The title of a journal paper offers a crucial portal into any scientific field.
It determines whether interested readers locate the paper and whether others have
enough interest sparked to lead them to read the abstract. This article looks at
authored journal paper titles in Medical Education over its first 50 years (n =
6357) of publication and Medical Teacher over its first 35 years of publication,
revealing both trends in areas of interest and how those interests are worded.
Word clouds per decade showed a shift from teaching to learning and from
examination to assessment, and new foci on learning, patients, research and
feedback in both journals. The average length of title in Medical Education
peeked in the 2000s, dropping to 70 characters in the 2010s, with no titles being
longer than 140 characters (the length of a tweet) in this last decade.
Abbreviations were used sparingly. The use of humorous titles, although not
common, has increased in recent years. The use of the colon showed a marked
increase in the 1980s, dropping a little in the 2000s but resurging in the 2010s.
Titles posed as a question increased steadily, appearing to plateau in the 2000s
at 11%. The use of humour and questions suggests that the authors of these
articles are submitting papers to be selected by the human rather than just the
virtual eye. We also hypothesise that the use of humour may indicate a maturation
of medical education as a subject.
PMID- 27873413
TI - Six degrees of separation: the small world of medical education.
AB - CONTEXT: Conventional wisdom has it that everyone on earth is on average only six
steps away from knowing any other person through 'a friend of a friend'. On a
local level, however, many people experience that most of their acquaintances
know each other. It is thus hard to imagine how such a highly clustered group
could be so well connected to the rest of the world. In this paper, we
investigate how co-authorship connects scholars in medical education and whether
the six degrees of separation hypothesis also applies to the network of authors
in the field. METHODS: We constructed a mathematical graph from publication data
obtained on the top three journals in the field and analysed it using social
network analysis methods. We found Lorelei Lingard to be one centre of the
network of co-authors and determined the numbers of authors who were one, two or
more steps away from her. We further created a website that makes it possible to
identify the shortest path from any author in the field to any other, including
links to the connecting papers. RESULTS: The analysis covered 16 653 papers by a
total of 24 258 different authors. Co-authorship connected authors into 68 663
unique pairs, of which 61 937 had co-authored only one article; 67.43% of all
authors were linked to each other through a 'co-author of a co-author'. The
average shortest path between any two authors in this network was 5.98 (min 1,
max 17); the average distance to Lorelei Lingard was 4.17 (min 1, max 10).
CONCLUSION: The field of medical education represents what social network
analysts term 'a small world network'. Making the connections between its actors
visible may provide a new perspective on social phenomena that occur in this
world, including peer review, citation and conference invitations.
PMID- 27873414
TI - Virtual sorting hatTM technology for the matching of candidates to residency
training programs.
AB - BACKGROUND: The matching of medical students and trainees to appropriate training
programmes poses many challenges, including financial cost and applicant stress.
There are few studies that have examined alternatives to the current process of
matching candidates to specialist training. Case reports from Hogwarts School of
Witchcraft and WizardryTM have suggested that wearable technology may be used to
assign individuals with particular sets of skills and virtues to an appropriate
house. METHODS: Investigators developed a modified sorting hat in the form of an
online, cross-sectional survey. The virtual sorting hat was delivered to medical
students at the National University of Ireland, Galway, and medical practitioners
practising in the associated hospitals and communities. Pearson's chi-square was
used to demonstrate correlations between the allocation of participants to
Hogwarts' houses by virtual sorting hat technology and expressed higher
specialist training preference. RESULTS: Virtual sorting hat technology, applied
to medical undergraduates and postgraduates, allocated most participants to
HufflepuffTM (44%) and RavenclawTM (32%). Allocation to Gryffindor was associated
with preference for surgery and allocation to SlytherinTM with preference for
psychiatry. CONCLUSION: Virtual sorting hat technology requires significant
refinement before application to medical mugglesTM .
PMID- 27873415
TI - Through the looking glass: a different lens on medical education.
PMID- 27873416
TI - The unsuccessful treatment of a case of 'Writer's Block': a replication in
medical education.
PMID- 27873417
TI - The clinic is my woodshed: a new paradigm for learning and refining communication
skills.
AB - Jazz cats use the term 'woodshedding' to denote a period of intense practice
during which they aim to take their playing up a few notches. Developing
expertise, whether we are speaking musically or talking about communicating with
patients, requires a lifelong commitment to such practice. For physicians, the
woodshed is not a practice room or an isolated space. No: clinical environments
are the woodsheds; they are the only places in which one can hone communication
skills. The idea of 'shedding' in the setting of routine practice challenges
prevailing notions about communication skills training and has implications for
how such skills should be learned, nurtured and assessed. In this essay, we use
stories of woodshedding from jazz music history to discuss concepts related to
deliberative practice, formal education and learning communities.
PMID- 27873418
TI - Learning the hard way: 10 lessons for developing undergraduate curricula.
AB - The present study outlines key learning points derived from 2 years spent
developing a national undergraduate curriculum for child health. Findings are
sourced from analyses of a series of semi-structured musings by beleaguered
educationalists and may serve to reassure others engaged in developing
undergraduate curricula that it is possible to survive the process and even to
produce something quite good. The authors' best advice is to do it, but don't say
we didn't warn you.
PMID- 27873419
TI - Post-call delirium.
AB - Although frequently diagnosed in hospital in-patients, delirium is often
recognised but under-reported in the housestaff population. It is estimated that
more than 90% of housestaff will experience regular episodes of post-call
delirium. This paper identifies diagnostic criteria and discusses approaches to
treatment.
PMID- 27873420
TI - And you try and tell the medical students of today that... and they won't believe
ya.
AB - Older clinicians typically engage in reflections on their own early careers and
indeed whichever generation of clinician you talk to, their medical school and
early career experiences were more challenging than the last. We draw on
inspiration from Monty Python and specifically the Four Yorkshiremen to
illustrate this point. However, as we strive to lay the foundations of learning
that avoids the adage 'whatever doesn't kill you, makes you stronger', we must
remember that medics are competitive... even at complaining.
PMID- 27873421
TI - A fresh look at Miller's pyramid: assessment at the 'Is' and 'Do' levels.
AB - In its silver jubilee, we celebrate the ground-breaking pyramid of George Miller
by submitting a fresh look at it. We discuss two questions. (i) Does the
classical pyramidal structure perfectly portray the relationships of the four
levels that were described by Miller? (ii) Can the model of Miller fulfill the
unmet needs of assessors to measure evolving essential constructs and accommodate
the increasingly sophisticated practice of assessment of health professionals? In
response to the first question, Miller's pyramid is revisited in view of two
assumptions for pyramidal structures, namely: hierarchy and tapering. Then we
suggest different configurations for the same classical four levels and indicate
when to use each one. With regard to the second question, we provide a rationale
for amending the pyramid with two further dimensions to assess personal qualities
of students at the 'Is' level and their performance in teams at the 'Do'
(together) level. At the end of the article, we yearn to think outside the
pyramid and suggest the Assessment Orbits framework to assess students as
individuals and in teams. The five Assessment Orbits alert educators to assess
the emerging cognitive and non-cognitive constructs, without implying features
such as hierarchy or tapering that are ingrained in pyramidal structures. The
'Is' orbit attends to the personal qualities of graduates 'who' we may (or may
not) trust to be our physicians. Assessment of teams at the 'Do' level (together)
offers a paradigm shift in assessment from competitive ranking (storming) among
students toward norming and performing as teams.
PMID- 27873423
TI - The power of the pen: how to make physicians more friendly and patients more
attractive.
AB - CONTEXT: Studies have shown that patients' subjective perceptions of physicians'
competence and friendliness are relevant aspects of a successful treatment,
influencing patients' well-being and trust in the physician. Psychological
research has repeatedly shown that unconsciously contracting muscles that are
usually used to smile can intensify and even elicit positive feelings (known as
facial feedback). Empirical evidence also suggests that a smiling person is
favourably judged by others with respect to attractiveness and trustworthiness.
AIM: This study's purpose was to investigate how an induced muscle contraction,
similar to that of a smile, affects physicians' interactions with a standardised
patient. It was expected that the 'smile intervention' would affect physicians'
and patients' perceptions, resulting in higher ratings of the friendliness and
attractiveness of physicians in the intervention group. METHODS: Twenty
physicians participated in the randomised controlled study (10 male, 10 female).
Physicians were randomly assigned to one of two conditions: an intervention group
(performing an easy cognitive task while smiling; n = 11) or a control group
(performing an easy cognitive task without smiling; n = 9). Afterwards,
physicians had a 5-minute consultation with a standardised patient. This
consultation was subsequently rated by physicians, the patient and an external
rater using 10-point Likert scales. RESULTS: Physicians in the intervention group
were rated as significantly friendlier by the external rater (mean 7.81 versus
7.11; p = 0.097, eta2 = 0.15). In addition, physicians in the intervention group
rated the patient as significantly more attractive (mean 6.91 versus 4.78; p =
0.017; eta2 = 0.28). CONCLUSIONS: Our results suggest that physicians can
influence their friendliness and their perception of patients' attractiveness.
Thereby the 'power of pen' can be an efficient method for making the hospital a
friendlier place.
PMID- 27873424
TI - The Cross-Canada Quintet presents variations on music: movements in the keys of
H, P and E.
AB - Whether it is rock playing in the background during a surgery, cool jazz that
wafts from our office computer speakers as we write up our clinical notes, or the
soaring of a symphony on the radio that inspires that perfect flourish to an
article, music is woven throughout much of our clinical and academic lives. For
the five of us, however, music alternates between the background and foreground
in our lives as health professions educators. Music balances the working day,
illuminates our research, and reconciles the utility of our training with the
originality of our practice. We invite you to discover the interplays,
dissonances and harmonies inspired by and reflected in this leitmotif. Pull up a
chair, sit back, put on one of your own favourite pieces and explore these ideas
as we riff and rhapsodise on variations on this theme.
PMID- 27873426
TI - Developing a pragmatic medical curriculum for the 21st century.
AB - Medical education within a hospital setting presents both opportunities and
challenges. The range of educational experiences on offer is often vast, though
may be lost in the overworked and convoluted environment of a tertiary centre. As
our learners are increasingly consumed by the literal and figurative labyrinths
of hospitals and electronic learning logs, are we failing to train them in the
skills they need to deliver 21st century health care? In response to this problem
we propose a FARCICAL approach: Fostering A Relevant Curriculum that Is Closer to
Actual Life.
PMID- 27873425
TI - I want you to pretend to be sincere.
AB - Medical education has changed dramatically since the inception of this journal 50
years ago and is indeed a work in progress: there is now heavy emphasis on the
character of the physician, in particular, and professionalism, in general. The
subjects of communications skills and the teaching of sincerity, empathy and
compassion are relative newcomers to the stage: they are not even as old as this
journal itself. Nevertheless, these topics arose in an ancient debate dating from
classical antiquity. 'Can we teach virtue?' Plato wondered in the Meno. Not
exactly, he concluded. Aristotle believed that acquirement of the virtues enables
one to attain the human good, which, in turn, spills over to any profession in
which the human being decides to engage. Aristotle, along with his successor,
Thomas Aquinas, the 13th century philosopher, argued that the virtues cannot be
taught but only acquired, with practice and time, in the real situation.
PMID- 27873427
TI - Magnetically Induced Continuous CO2 Hydrogenation Using Composite Iron Carbide
Nanoparticles of Exceptionally High Heating Power.
AB - The use of magnetic nanoparticles to convert electromagnetic energy into heat is
known to be a key strategy for numerous biomedical applications but is also an
approach of growing interest in the field of catalysis. The heating efficiency of
magnetic nanoparticles is limited by the poor magnetic properties of most of
them. Here we show that the new generation of iron carbide nanoparticles of
controlled size and with over 80 % crystalline Fe2.2 C leads to exceptional
heating properties, which are much better than the heating properties of
currently available nanoparticles. Associated to catalytic metals (Ni, Ru), iron
carbide nanoparticles submitted to magnetic excitation very efficiently catalyze
CO2 hydrogenation in a dedicated continuous-flow reactor. Hence, we demonstrate
that the concept of magnetically induced heterogeneous catalysis can be
successfully applied to methanation of CO2 and represents an approach of
strategic interest in the context of intermittent energy storage and CO2
recovery.
PMID- 27873429
TI - Low association between fasting and OGTT stimulated glucose levels with HbA1c in
overweight children and adolescents.
AB - BACKGROUND: Diabetes and prediabetes are defined based on different methods such
as fasting glucose, glucose at 2-hour in oral glucose tolerance test (OGTT), and
glycated hemoglobin A1c (HbA1c). These parameters probably describe different
deteriorations in glucose metabolism limiting the exchange between each other in
definitions of diabetes. OBJECTIVE: To investigate the relationship between OGTT
and HbA1c in overweight and obese children and adolescents living in Germany.
METHODS: Study population: Overweight and obese children and adolescents (n =
4848; 2668 female) aged 7 to 17 years without known diabetes. The study
population was stratified into the following subgroups: normal glucose tolerance,
prediabetes, diabetes according to OGTT and/or HbA1c categories, confirmed
diagnosis of diabetes. RESULTS: In the entire study group fasting plasma glucose
(FPG) correlated weakly to 2-hour glucose (r = 0.26), FPG correlated weakly to
HbA1c (r = 0.18), and 2-hour glucose correlated weakly to HbA1c (r = 0.17, all P
< .001). Patients with confirmed diabetes showed a very high correlation between
FPG and 2-hour glucose (r = 0.73, n = 50). Moderate correlations could be found
for patients with impaired fasting glucose (2-hour glucose vs HbA1c: r = 0.30, n
= 436), for patients with diabetes according to OGTT and/or HbA1c (FPG vs 2-hour
glucose: r = 0.43; 2-hour glucose vs HbA1c: r = -0.30, n = 115) and for patients
with confirmed diabetes (2-hour glucose vs HbA1c: r = -0.47, all P < .001).
CONCLUSIONS: Because FPG, 2-hour glucose, and HbA1c correlated only weakly we
propose that these parameters, particularly in the normal range, might reflect
distinct aspects of carbohydrate metabolism.
PMID- 27873430
TI - Synthesis of Site-Specific Dye-Labeled Polymer via Atom Transfer Radical
Polymerization (ATRP) for Quantitative Characterization of the Well-Defined
Interchain Distance.
AB - Novel difunctional initiators that incorporate Forster/fluorescence resonance
energy transfer (FRET) pairs are generated to carry out atom transfer radical
polymerization of styrene, methyl methacrylate, and n-butyl methacrylate monomers
by an efficient manner. Based on the chemical structures of the initiators, the
locations of the fluorophore moiety are dictated to be in the center of the chain
with accurately quantified chain functionality (>90% labeling ratio). The site
specific integration of FRET dyes into separate polymer chain centers allows for
characterization of the well-defined interchain distance quantitatively based on
the response between these fluorescent probes. The reliability of this technique
is verified in bulk state, which is in well agreement with the theoretical ones.
This well-defined FRET system is expected to be a promising candidate to provide
a distinct physical image at a microscopic level regarding scaling chain
dimension, chain interpenetration, and polymer compatibility.
PMID- 27873428
TI - Data and Safety Monitoring Board evaluation and management of a renal adverse
event signal in TOPCAT.
AB - Clinical trial Data and Safety Monitoring Boards (DSMBs) have a primary
obligation of ensuring study participant safety, while maintaining trial
integrity. The role of DSMBs is expanding, and ideally should include post-hoc
reporting of deliberative processes related to clinically important safety issues
or factors that could impact on future trial designs. We describe how the TOPCAT
DSMB detected, investigated, and adjudicated an unexpectedly large renal adverse
event signal midway through the trial, and offer general guidelines for dealing
with similar unanticipated occurrences in future trials. The detection of a
greater than expected incidence of deterioration in renal function, occurring in
6.1% of patients in the spironolactone arm compared with 3.9% in the placebo arm
(P = 0.009), led to an in-depth DSMB review of associated study medication
withdrawals and adverse events. The trial continued uninterrupted throughout the
review, which reached the conclusions that spironolactone-associated renal
dysfunction did not compromise overall patient safety or interfere with a
perceived efficacy signal. Although no discrete mechanism for the spironolactone
associated renal adverse event signal was identified, likely possibilities are
discussed. In clinical trials, DSMBs and co-ordinating centres should have the
resources to detect, investigate, and adjudicate unexpected safety issues, with
goals of ensuring patient safety and preserving the potential for detection of
therapeutic effectiveness. In TOPCAT, spironolactone-associated renal dysfunction
emerged as a potentially trial-threatening adverse event and, although clinically
important, did not lead to compromise of patient safety, trial interruption,
termination, or apparent loss of treatment effectiveness.
PMID- 27873433
TI - Blindness to background: an inbuilt bias for visual objects.
AB - Sixty-eight 2- to 12-year-olds and 30 adults were shown colorful displays on a
touchscreen monitor and trained to point to the location of a named color.
Participants located targets near-perfectly when presented with four abutting
colored patches. When presented with three colored patches on a colored
background, toddlers failed to locate targets in the background. Eye tracking
demonstrated that the effect was partially mediated by a tendency not to fixate
the background. However, the effect was abolished when the targets were named as
nouns, whilst the change to nouns had little impact on eye movement patterns. Our
results imply a powerful, inbuilt tendency to attend to objects, which may slow
the development of color concepts and acquisition of color words. A video
abstract of this article can be viewed at: https://youtu.be/TKO1BPeAiOI.
[Correction added on 27 January 2017, after first online publication: The video
abstract link was added.].
PMID- 27873431
TI - Hypoglycemia is common in children with cystic fibrosis and seen predominantly in
females.
AB - OBJECTIVE: To determine the prevalence of hypoglycemia in children and
adolescents with cystic fibrosis (CF) in 2-hour oral glucose tolerance test
(OGTT) and continuous glucose monitoring (CGM) under free-living conditions.
RESEARCH DESIGN AND METHODS: Height, weight, body mass index (BMI), hemoglobin
A1c (HbA1c), and Forced expiratory volume (FEV1%) were measured in children with
CF (aged 5-18 years). Following OGTT, CGM was installed for 3 days. The total
hypoglycemic and hyperglycemic time (%) during 3 days was measured. Subjects were
categorized according to hypoglycemic time <3% (hypo -) and >=3% (hypo +). Each
category was further divided according to hyperglycemic time <3% (hyper -) or
>=3% (hyper +). RESULTS: OGTT and CGM were sequentially performed in 45 CF
patients. The frequency of hypoglycemia in OGTT and hypoglycemic time ?3% of CGM
were 13.3% and 27.5%, respectively. After 5 cystic fibrosis-related diabetes
(CFRD) subjects were excluded, the number of subjects in each subgroup was 17
(hypo-/hyper-), 12 (hypo-/hyper+), 6 (hypo+/hyper-), and 5 (hypo+/hyper+).
Significantly higher insulin at 120 minutes was observed in OGTT in (hypo+/hyper
), as compared with subgroup (hypo-/hyper-) (P = .018). Total insulin levels were
also significantly higher in (hypo+/hyper-), than (hypo-/hyper-), but were
similar to those in the healthy control group (P = .049 and P = .076,
respectively). There was a female predominance in hypoglycemic subjects both in
OGTT and subgroup (hypo+/hyper-) in the CGM group (P = .033 and P = .033,
respectively). FEV1 was significantly lower in hypo + group as a whole, and
(hypo+/hyper+) subgroup than in (hypo-/hyper-), (P = .044 and P = .042,
respectively); the difference was independent of body mass index-standard
deviation score (BMI-SDS) (P = .15 and P = .12, respectively). CONCLUSION: The
frequency of hypoglycemia in children with CF was higher in CGM than that in
OGTT. Insulin secretion was delayed and total insulin levels increased in the
hypoglycemic patients. Glucose instability/hypoglycemia is associated with poorer
lung function in patients with CF, independent of nutritional status.
PMID- 27873434
TI - Simple Copper Catalysts for the Aerobic Oxidation of Amines: Selectivity Control
by the Counterion.
AB - We describe the use of simple copper-salt catalysts in the selective aerobic
oxidation of amines to nitriles or imines. These catalysts are marked by their
exceptional efficiency, operate at ambient temperature and pressure, and allow
the oxidation of amines without expensive ligands or additives. This study
highlights the significant role counterions can play in controlling selectivity
in catalytic aerobic oxidations.
PMID- 27873432
TI - Low perinatal zinc status is not associated with the risk of type 1 diabetes in
children.
AB - AIM: Immunologic events during fetal life may play a part in the pathogenesis of
type 1 diabetes (T1D). As zinc is involved in immunologic processes, the purpose
was to investigate perinatal zinc status and the later risk of developing T1D and
association to age at onset. METHODS: A population-based case-control study based
on data from Danish Childhood Diabetes Register and the Danish Newborn Screening
Biobank. Cases and controls were matched by birth year and month. Zinc status was
analyzed in dried blood spots collected 5 to 7 days after birth. Logistic
regression model was used to test the influence of zinc on risk of T1D. Linear
regression modeling was used to examine the association between zinc status and
covariates as well as age at onset. Zinc status was adjusted for HLA-DQB1
genotype, birth data and maternal age. RESULTS: Each doubling in perinatal zinc
status was not associated with T1D risk; odds ratio (OR) = 1.06 (95% confidence
interval [CI] 0.84, 1.32) ( P = 0.62), adjusted for birth year and season. This
finding persisted after adjustment for possible confounders; OR = 1.01 (95% CI
0.77, 1.34) ( P = 0.93). In none of the cohorts there were significant
associations to age at onset. CONCLUSION: The risk of developing T1D in Danish
children was not associated with perinatal zinc status nor age at onset.
PMID- 27873435
TI - Thiol-Ene Step-Growth as a Versatile Route to Functional Polymers.
AB - A new use of the thiol-ene reaction to generate functional, redox-tunable
polymers is described. To illustrate the versatility of this approach, tailored
divinyl ether monomers were polymerized with triethylene glycol dithiol to yield
polymers containing either a carbonate or zwitterionic phosphocholine within the
polymer backbone. Similarly, dithioerythritol was polymerized with triethylene
glycol divinyl ether to yield a polymer with pendant diols and show how
functional groups can be designed into either the divinyl ether or dithiol
monomer. Using the thioether functional group inherent to this polymerization,
all three polymers were selectively and quantitatively oxidized to either
sulfoxides or sulfones by treatment with dilute hydrogen peroxide or mCPBA,
respectively. With these illustrative examples, it is shown that the thiol-ene
polymerization is a broad-reaching method to access a class of new redox-active
polymers which contain varied and dense functional-group compositions.
PMID- 27873437
TI - Characterization and Crystal Structure of a Robust Cyclohexanone Monooxygenase.
AB - Cyclohexanone monooxygenase (CHMO) is a promising biocatalyst for industrial
reactions owing to its broad substrate spectrum and excellent regio-, chemo-, and
enantioselectivity. However, the low stability of many Baeyer-Villiger
monooxygenases is an obstacle for their exploitation in industry.
Characterization and crystal structure determination of a robust CHMO from
Thermocrispum municipale is reported. The enzyme efficiently converts a variety
of aliphatic, aromatic, and cyclic ketones, as well as prochiral sulfides. A
compact substrate-binding cavity explains its preference for small rather than
bulky substrates. Small-scale conversions with either purified enzyme or whole
cells demonstrated the remarkable properties of this newly discovered CHMO. The
exceptional solvent tolerance and thermostability make the enzyme very attractive
for biotechnology.
PMID- 27873438
TI - Polysulfates [Sn O3n+1 ]2- : With the [S6 O19 ]2- Anion, has the End been
Reached?
AB - The S6 O192- ion was obtained both as rubidium and ammonium salt from the
reaction of the respective sulfate with SO3 . It is the largest polysulfate ion
known to date and exhibits a chain of six vertex-connected [SO4 ] tetrahedra. The
unique compound was comprehensively characterized and the bonding within the
anion was elucidated by theoretical investigations.
PMID- 27873439
TI - Is stand-alone D-dimer testing safe to rule out acute pulmonary embolism?
AB - : Essentials A stand-alone D-dimer below 750 MUg/L has been proposed to rule out
acute pulmonary embolism (PE). This was a post-hoc analysis on data from 6
studies comprising 7268 patients with suspected PE. The negative predictive value
of a D-dimer <750 MUg/L ranged from 79% to 96% in various subgroups. Stand-alone
D-dimer testing seems to be unsafe to rule out PE in all patients. SUMMARY:
Background Recently, stand-alone D-dimer testing at a positivity threshold of 750
MUg L-1 has been proposed as a safe and efficient approach to rule out acute
pulmonary embolism (PE), without additional imaging, but this approach needs
validation. Objectives To evaluate stand-alone D-dimer testing at a positivity
threshold of 750 MUg L-1 to rule out PE. Methods Individual data from 7268
patients with suspected PE previously enrolled in six prospective management
studies were used. Patients were assessed by the Wells rule followed by
quantitative D-dimer testing in those with a 'PE unlikely' score. Patients were
classified post hoc as having a negative (< 750 MUg L-1 ) or positive (>= 750 MUg
L-1 ) D-dimer. Using a one-stage meta-analytic approach, the negative predictive
value (NPV) of stand-alone D-dimer testing was evaluated overall and in different
risk subgroups. Results The pooled incidence of PE was 23% (range, 13-42%).
Overall, 44% of patients had a D-dimer < 750 MUg L-1 , of whom 2.8% were
diagnosed with PE at baseline or during 3-month follow-up (NPV, 97.2%; 95%
confidence interval [CI], 94.9-98.5). The NPV was highest in patients with a low
probability of PE according to the Wells rule (99.2%; 95% CI, 98.6-99.5%) and
lowest in those with a high probability of PE (79.3%; 95% CI, 53.0-92.8%). The
NPVs in patients with active cancer, patients with previous venous
thromboembolism and inpatients were 96.2% (95% CI, 85.6-99.1%), 94.7% (95% CI,
88.6-97.6%) and 92.7% (95% CI, 79.3-97.7%), respectively. Conclusions Our
findings suggest that stand-alone D-dimer testing at a positivity threshold of
750 MUg L-1 is not safe to rule out acute PE.
PMID- 27873440
TI - Collective decision-making promotes fitness loss in a fusion-fission society.
AB - While collective decision-making is recognised as a significant contributor to
fitness in social species, the opposite outcome is also logically possible. We
show that collective movement decisions guided by individual bison sharing faulty
information about habitat quality promoted the use of ecological traps. The
frequent, but short-lived, associations of bison with different spatial knowledge
led to a population-wide shift from avoidance to selection of agricultural
patches over 9 years in and around Prince Albert National Park, Canada. Bison
were more likely to travel to an agricultural patch for the first time by
following conspecifics already familiar with agricultural patches. Annual adult
mortality increased by 12% due to hunting of bison on agricultural lands.
Maladaptive social behaviour accordingly was a major force that contributed to a
~50% population decline in less than a decade. In human-altered landscapes,
social learning by group-living species can lead to fitness losses, particularly
in fusion-fission societies.
PMID- 27873441
TI - Iridium versus Iridium: Nanocluster and Monometallic Catalysts Carrying the Same
Ligand Behave Differently.
AB - A specific secondary phosphine oxide (SPO) ligand (tert-butyl(phenyl)phosphine
oxide) was employed to generate two iridium catalysts, an Ir-SPO complex and
IrNPs (iridium nanoparticles) ligated with SPO ligands, which were compared
mutually and with several supported iridium catalysts with the aim to establish
the differences in their catalytic properties. The Ir-SPO-based catalysts showed
totally different activities and selectivities in the hydrogenation of various
substituted aldehydes, in which H2 is likely cleaved by a metal-ligand
cooperation, that is, the SPO ligand and a neighboring metal centre operate in
tandem to activate the hydrogen molecule. In addition, the supported IrNPs behave
very differently from both Ir-SPO catalysts. This study exemplifies perfectly the
advantages and disadvantages related to the use of the main types of catalysts,
and thus the dissimilarities between them.
PMID- 27873436
TI - Alternate glycemic markers reflect glycemic variability in continuous glucose
monitoring in youth with prediabetes and type 2 diabetes.
AB - OBJECTIVE: To determine whether the alternate glycemic markers, fructosamine
(FA), glycated albumin (GA), and 1,5-anhydroglucitol (1,5AG), predict glycemic
variability captured by continuous glucose monitoring (CGM) in obese youth with
prediabetes and type 2 diabetes (T2D). STUDY DESIGN: Youth with BMI >=85th%ile,
10-18 years, had collection of fasting plasma glucose (FPG), hemoglobin A1c
(HbA1c), FA, GA, and 1,5AG and 72 hours of CGM. Participants with HbA1c >=5.7%
were included. Relationships between glycemic markers and CGM variables were
determined with Spearman correlation coefficients. Linear models were used to
examine the association between alternate markers and CGM measures of glycemic
variability-standard deviation (SD) and mean amplitude of glycemic excursions
(MAGE)-after controlling for HbA1c. RESULTS: Total n = 56; Median (25th%ile,
75th%ile) age = 14.3 years (12.5, 15.9), 32% male, 64% Hispanic, 20% black, 13%
white, HbA1c = 5.9% (5.8, 6.3), FA=211 mmol/L (200, 226), GA= 12% (11%, 12%), and
1,5AG = 22mcg/mL (19, 26). HbA1c correlated with average sensor glucose, AUC, SD,
MAGE, and %time > 140 mg/dL. FA and GA correlated with average and peak sensor
glucose, %time >140 and >200 mg/dL, and MAGE. GA also correlated with SD and
AUC180. 1,5AG correlated with peak glucose, AUC180, SD, and MAGE. After adjusting
for HbA1c, all 3 markers independently predicted MAGE; FA and GA independently
predicted SD. CONCLUSIONS: Alternate glycemic markers predict glycemic
variability as measured by CGM in youth with prediabetes and T2D. After adjusting
for HbA1c, these alternate markers continued to predict components of glycemic
variability detected by CGM.
PMID- 27873442
TI - Forced deflation pulmonary function test: a novel method to evaluate lung
function in infants and young children.
AB - We describe the safety and feasibility of a forced deflation pulmonary function
test (dPFT) in infants and young children. Fifty-two dPFT studies were performed
in 26 patients (median age, 1.4 years). Forced vital capacity (FVC) and forced
expiratory flow (FEF75 ) were normal in all except one case, but respiratory
system compliance (Crs) was reduced in 24% patients. There were no significant
differences in pre-blood and marrow transplantation FVC, FEF75 , and Crs between
those patients who did and those who did not have posttransplant pulmonary
complications. A larger study is needed to determine the prevalence and
significance of PFT abnormalities in this age group.
PMID- 27873443
TI - Assessing long-term effects of multiple, potentially confounded drivers in
ecosystems from species traits.
AB - Although species traits have the potential to disentangle long-term effects of
multiple, potentially confounded drivers in ecosystems, this issue has received
very little attention in the literature. We aimed at filling this gap by
assessing the relative effects of hydroclimatic and water quality factors on the
trait composition of invertebrate assemblages over 30 years in the Middle Loire
River (France). Using a priori predictions on the long-term variation of trait
based adaptations over the three decades, we evaluated the ability of
invertebrate traits to indicate the effects of warming, discharge reduction and
water quality improvement. Hydroclimatic and water quality factors contributed to
up to 65% of the variation in trait composition. More than 70% of the initial
trait response predictions made according to observed long-term hydroclimatic
changes were confirmed. They supported a general climate-induced trend involving
adapted resistance and resilience strategies. A partial confounding effect of
water quality improvement acting on trophic processes was also highlighted,
indicating that improved water quality management can significantly help to
reduce some adverse effects of climate change. This trait-based approach can have
wider implications for investigating long-term changes driven by multiple,
potentially confounded factors, as frequently encountered in the context of
global change.
PMID- 27873444
TI - The effects of menstrual phase on orthodontic pain following initial archwire
engagement.
AB - OBJECTIVES: To explore the effects of menstrual cycle on orthodontic pain
following initial archwire engagement. METHODS: Female participants with regular
menstrual cycles were recruited and assigned into follicular group or luteal
group. Demographical and baseline variables were collected: age, education,
menstrual duration, anxiety, oral health impact profile-14 (OHIP-14), and index
of complexity outcome and need (ICON). Following initial archwire engagement,
orthodontic pain was determined through visual analogue scale (VAS) on 1st day,
2nd day, and 3rd day. Demographical and baseline variables were compared between
the two groups. Two-way repeated-measures anova was used to examine the effects
of menstrual phase, time, and their interactions on orthodontic pain.
Multivariate linear regression was employed to examine the independent effect of
each variable on orthodontic pain. RESULTS: Finally, 37 and 39 were assigned to
the follicular and luteal groups, respectively, with balanced demographical and
baseline data. Orthodontic pain was significantly affected by menstrual phase and
time (both P < 0.001), but there was no interaction (P > 0.05). Moreover,
orthodontic pain was independently predicted by menstrual phase, OHIP, education
level, and anxiety (all P < 0.05). CONCLUSION: We suggest that practitioners
arrange female patients to receive initial archwire engagement during their
follicular phases to relieve orthodontic pain.
PMID- 27873445
TI - The motion of a living conspecific activates septal and preoptic areas in naive
domestic chicks (Gallus gallus).
AB - Predispositions to attend to animate objects are ubiquitous in newborn
vertebrates, but little is known about their neural bases. In this study, we
wanted to know if exposure to the motion of a living, behaving conspecific will
selectively activate septal, preoptic and amygdaloid areas in visually naive
domestic chicks. For this purpose, newly hatched chicks were exposed to a live
conspecific, whose natural motion presents of course several features typical of
animate motion to which chicks are known to be sensitive. In the control group,
chicks were exposed to a rotating stuffed chick that showed rigid non-biological
motion. The two stimuli were visually matched with regard to their static
features. We measured brain activity by visualizing the immediate early gene
product c-Fos with a standard immunohistochemical procedure. Notably, dorsal
right septum and left preoptic area showed higher activation in experimental
subjects compared to the control animals. This is, to the best of our knowledge,
the first demonstration of septal and preoptic areas involvement in response to
the animate motion of a social partner, as opposed to rigid motion of a similarly
looking stimulus. Moreover, these results indicate that previous visual
experience and specific learning events are not necessary to establish the septal
and preoptic areas function, which is present shortly after birth.
PMID- 27873446
TI - The First 2 Years of Activity of a Specialized Organ Procurement Center: Report
of an Innovative Approach to Improve Organ Donation.
AB - The number of patients requiring organ transplants continues to outgrow the
number of organs donated each year. In an attempt to improve the organ donation
process and increase the number of organs available, we created a specialized
multidisciplinary team within a specialized organ procurement center (OPC) with
dedicated intensive care unit (ICU) beds and operating rooms. The OPC was staffed
with ICU nurses, operating room nurses, organ donor management ICU physicians,
and multidisciplinary staff. All organ donors within a designated geographic area
were transferred to and managed within the OPC. During the first 2 years of
operation, 126 patients were referred to the OPC. The OPC was in use for a total
of 3527 h and involved 253 health workers. We retrieved 173 kidneys, 95 lungs, 68
livers, 37 hearts, and 13 pancreases for a total of 386 organs offered for
transplantation. This translates to a total of 124.6 persons transplanted per
million population, which compares most favorably to recently published numbers
in developed countries. The OPC clearly demonstrates potential to increase the
number of deceased donor organs available for transplant. Further studies are
warranted to better understand the exact influence of the different components of
the OPC on organ procurement.
PMID- 27873447
TI - Electroless Deposition of Nickel on Photografted Polymeric Microscale Patterns.
AB - This report demonstrates the electroless deposition of Ni onto micropatterns of
poly (acrylic acid) (PAA) photografted to phthalimide-terminated self-assembled
monolayers (SAMs). PAA is spin-coated onto phthalimide SAMs and covered with a
photomask. UV irradiation selectively binds PAA to exposed regions of the
surface, allowing PAA on unexposed regions to be rinsed off. A Pd catalyst is
then selectively adsorbed to regions of the surface where PAA is bound. The
adsorbed catalyst selectively initiates Ni plating upon immersion of the
substrate into a Ni(SO4 ) bath.
PMID- 27873448
TI - Multibuilding Block Janus Synthesized by Seed-Mediated Self-Assembly for Enhanced
Photothermal Effects and Colored Brownian Motion in an Optical Trap.
AB - The asymmetrical features and unique properties of multibuilding block Janus
nanostructures (JNSs) provide superior functions for biomedical applications.
However, their production process is very challenging. This problem has hampered
the progress of JNS research and the exploration of their applications. In this
study, an asymmetrical multibuilding block gold/iron oxide JNS has been generated
to enhance photothermal effects and display colored Brownian motion in an optical
trap. JNS is formed by seed-mediated self-assembly of nanoparticle-loaded
thermocleavable micelles, where the hydrophobic backbones of the polymer are
disrupted at high temperatures, resulting in secondary self-assembly and
structural rearrangement. The JNS significantly enhances photothermal effects
compared to their homogeneous counterpart after near-infrared (NIR) light
irradiation. The asymmetrical distribution of gold and iron oxide within JNS also
generates uneven thermophoretic force to display active colored Brownian
rotational motion in a single-beam gradient optical trap. These properties
indicate that the asymmetrical JNS could be employed as a strong photothermal
therapy mediator and a fuel-free nanoscale Janus motor under NIR light.
PMID- 27873449
TI - Assessment of cubosomal alpha lipoic acid gel efficacy for the aging face: a
single-blinded, placebo-controlled, right-left comparative clinical study.
AB - BACKGROUND: A poloxamer solution acting as a vehicle for the lipid base
particulate system (cubosome dispersions) containing alpha lipoic acid (ALA) has
achieved encouraging results in skin aging. OBJECTIVE: Evaluate the efficacy of
5% Cubosomal ALA as an anti-aging formulation. METHODS: This single-blinded,
placebo-controlled, comparative study was conducted on 20 females. Patients were
instructed to apply a gel formulation containing the active ingredient over the
right half of their face and a placebo gel on the left half twice daily for 6
months. Global aesthetic improvement scale (GAIS) was utilized as a measure of
clinical progress. The thicknesses of the epidermis and dermis were assessed
before and after treatment using an ultrasound biomicroscope. RESULTS: The GAIS
score assessment at 3 and 6 months showed a significantly greater improvement on
the right sides in comparison with the left sides. At the end of the treatment
period, the mean epidermal thickness significantly increased on the right side in
comparison with the left side and the mean dermal thickness increased more on the
right side in comparison with the left side; however, this difference was not
significant. CONCLUSION: 5% Cubosomal ALA is an effective and safe modality for
improving aging face.
PMID- 27873450
TI - The arc of fellowship.
PMID- 27873451
TI - A prospective randomized trial comparing piperacillin/tazobactam with meropenem
as empirical antibiotic treatment of febrile neutropenic children and adolescents
with hematologic and malignant disorders.
AB - BACKGROUND: This randomized prospective study was designed to assess whether
piperacillin/tazobactam (PIPC/TAZ) is as effective as meropenem (MEPM) as a first
line antibiotic treatment for febrile neutropenia (FN). PROCEDURE: FN episodes
were randomly assigned to receive either PIPC/TAZ (337.5 mg/kg per day in three
doses, 1-hr DIV, maximum 13.5 g per day) or MEPM (120 mg/kg per day in three
doses, 1-hr DIV, maximum 3 g per day). Clinical responses were evaluated 120 hr
after the DIV. RESULTS: A total of 434 febrile episodes in 105 patients (42
females and 63 males) with a median age of 8 years (range 0-25) were included in
this trial. Blood cultures were positive in 47 out of the 434 episodes (10.8%).
Regarding responses to the treatment, success rates between the PIPC/TAZ and MEPM
groups were similar (62.4 vs. 65.9%, P = 0.484), even if patients were restricted
to those with bacteremia (26.1 vs 37.5%, P = 0.534). Mortality rates did not
significantly differ between the two groups (0.8 vs. 0%, P = 0.500). CONCLUSION:
Both PIPC/TAZ and MEPM appeared to be equally efficacious and safe. Carbapenems
are now broadly used to treat FN; however, this may increase the prevalence of
drug-resistant bacteria. In this regard, the treatment using PIPC/TAZ for FN is
more beneficial.
PMID- 27873452
TI - Improving clinical prognostic stratification models for men with prostate cancer:
a practical step closer to more individualized care without added costs.
PMID- 27873454
TI - Comment on tobacco use among siblings of childhood cancer survivors: A report
from the childhood cancer survivor study.
PMID- 27873453
TI - Does improvement of cognitive functioning by cognitive remediation therapy effect
work outcomes in severe mental illness? A secondary analysis of a randomized
controlled trial.
AB - AIM: The aim of this study was to clarify whether improvement of cognitive
functioning by cognitive remediation therapy can improve work outcome in
schizophrenia and other severe mental illnesses when combined with supported
employment. METHODS: The subjects of this study were persons with severe mental
illness diagnosed with schizophrenia, major depression, or bipolar disorder (ICD
10) and cognitive dysfunction who participated in both cognitive remediation
using the Thinking Skills for Work program and a supported employment program in
a multisite, randomized controlled study. Logistic and multiple linear regression
analyses were performed to clarify the influence of cognitive functioning on
vocational outcomes, adjusting for demographic and clinical variables. RESULTS:
Improvement of cognitive functioning with cognitive remediation significantly
contributed to the total days employed and total earnings of competitive
employment in supported employment service during the study period. Any baseline
demographic and clinical variables did not significantly contribute to the work
related outcomes. CONCLUSION: A cognitive remediation program transferring
learning skills into the real world is useful to increase the quality of working
life in supported employment services for persons with severe mental illness and
cognitive dysfunction who want to work competitively.
PMID- 27873455
TI - Catalytic Asymmetric Reductive Condensation of N-H Imines: Synthesis of C2
Symmetric Secondary Amines.
AB - A highly diastereoselective and enantioselective Bronsted acid catalyzed
reductive condensation of N-H imines was developed. This reaction is catalyzed by
a chiral disulfonimide (DSI), uses Hantzsch esters as a hydrogen source, and
delivers useful C2 -symmetric secondary amines.
PMID- 27873456
TI - Visceral leishmaniasis in two patients with IL-12p40 and IL-12Rbeta1
deficiencies.
AB - Mutations of the IL12B and IL12RB1 genes underlie the development of IL-12 p40
and IL-12Rbeta1 deficiencies, respectively, both of which cause predisposition to
infection with weakly virulent mycobacteria and Salmonella. Infections with other
intramacrophagic organisms have only been rarely observed. We identified two
patients with visceral leishmaniasis who had autosomal recessive IL-12 p40 and IL
12Rbeta1 deficiencies, respectively. This finding demonstrates the importance of
IFN-gamma immunity in the control of leishmaniasis. We also searched the
literature for similar reports in patients with these and other primary
immunodeficiencies.
PMID- 27873457
TI - Using yeast to determine the functional consequences of mutations in the human
p53 tumor suppressor gene: An introductory course-based undergraduate research
experience in molecular and cell biology.
AB - The opportunity to engage in scientific research is an important, but often
neglected, component of undergraduate training in biology. We describe the
curriculum for an innovative, course-based undergraduate research experience
(CURE) appropriate for a large, introductory cell and molecular biology
laboratory class that leverages students' high level of interest in cancer. The
course is highly collaborative and emphasizes the analysis and interpretation of
original scientific data. During the course, students work in teams to
characterize a collection of mutations in the human p53 tumor suppressor gene via
expression and analysis in yeast. Initially, student pairs use both qualitative
and quantitative assays to assess the ability of their p53 mutant to activate
expression of reporter genes, and they localize their mutation within the p53
structure. Through facilitated discussion, students suggest possible molecular
explanations for the transactivation defects displayed by their p53 mutants and
propose experiments to test these hypotheses that they execute during the second
part of the course. They use a western blot to determine whether mutant p53
levels are reduced, a DNA-binding assay to test whether recognition of any of
three p53 target sequences is compromised, and fluorescence microscopy to assay
nuclear localization. Students studying the same p53 mutant periodically convene
to discuss and interpret their combined data. The course culminates in a poster
session during which students present their findings to peers, instructors, and
the greater biosciences community. Based on our experience, we provide
recommendations for the development of similar large introductory lab courses.
(c) 2016 by The International Union of Biochemistry and Molecular Biology,
45(2):161-178, 2017.
PMID- 27873459
TI - Bedside rounds.
PMID- 27873458
TI - Resveratrol enhances brown adipocyte formation and function by activating AMP
activated protein kinase (AMPK) alpha1 in mice fed high-fat diet.
AB - SCOPE: Enhancing the formation and function of brown adipose tissue (BAT)
increases thermogenesis and hence reduces obesity. Thus, we investigate the
effects of resveratrol (Resv) on brown adipocyte formation and function in mouse
interscapular BAT (iBAT). METHODS AND RESULTS: CD1 mice and stromal vascular
cells (SVCs) isolated from iBAT were treated with Resv. Expression of brown
adipogenic and thermogenic markers, and involvement of AMP-activated protein
kinase (AMPK)alpha1 were assessed. In vivo, Resv-enhanced expression of brown
adipogenic markers, PR domain-containing 16 (PRDM16) and thermogenic genes,
uncoupling protein 1 (UCP1) and cytochrome C in iBAT, along with smaller lipid
droplets, elevated AMPKalpha activity and increased oxygen consumption.
Meanwhile, Resv promoted expression of PRDM16, UCP1, PGC1alpha, cytochrome C and
pyruvate dehydrogenase (PDH) in differentiated iBAT SVCs, suggesting that Resv
enhanced brown adipocyte formation and function in vitro. In addition, Resv
stimulated AMPKalpha and oxygen consumption in differentiated iBAT SVCs. However,
the promotional effects of Resv were diminished by AMPK inhibition or AMPKalpha1
knockout, implying the involvement of AMPKalpha1 in this process. CONCLUSION:
Resv enhanced brown adipocyte formation and thermogenic function in mouse iBAT by
promoting the expression of brown adipogenic markers via activating AMPKalpha1,
which contributed to the anti-obesity effects of Resv.
PMID- 27873460
TI - Sortase A-Generated Highly Potent Anti-CD20-MMAE Conjugates for Efficient
Elimination of B-Lineage Lymphomas.
AB - Antibody-drug conjugate (ADC) targeting antigens expressed on the surface of
tumor cells are an effective approach for delivering drugs into the cells via
antigen-mediated endocytosis. One of the well-known tumor antigens, the CD20 of B
lymphocyte, has long been suggested to be noninternalizing epitope, and is thus
not considered a desirable target for ADCs. Here, sortase A (srtA)-mediated
transpeptidation is used to specifically conjugate triple glycine-modified
monomethyl auristatin E (MMAE), a highly toxic antimitotic agent, to anti-CD20
ofatumumab (OFA) equipped with a short C-terminal LPETG (5 amino acids) tag at
heavy chain (HL), which generates ADCs that show extremely strong potency in
killing CD20 positive cancer cells. One of the srtA-generated ADCs with a
cleavable dipeptide linker (valine-citrulline, vc), OFA-HL-vcMMAE, shows IC50
values ranging from 5 pg mL-1 to 4.1 ng mL-1 against CD20+ lymphoma cells.
Confocal laser scanning microscopy confirms that OFA-HL-vcMMAE internalization by
Ramos cells is significantly improved compared to OFA alone, consistent with the
high antitumor activity of the new ADC. OFA-HL-vcMMAE, at 5 mg kg-1 dose, is able
to eliminate tumors with mean volume ~400 mm3 while no obvious drug-related
toxicity is observed. The results show that srtA-generated OFA-MMAE conjugate
system provides a viable strategy for targeting CD20+ B lineage lymphomas.
PMID- 27873461
TI - Human peripheral blood mononuclear cell in vitro system to test the efficacy of
food bioactive compounds: Effects of polyunsaturated fatty acids and their
relation with BMI.
AB - SCOPE: To analyse the usefulness of isolated human peripheral blood mononuclear
cells (PBMC) to rapidly/easily reflect n-3 long-chain polyunsaturated fatty acid
(LCPUFA) effects on lipid metabolism/inflammation gene profile, and evaluate if
these effects are body mass index (BMI) dependent. METHODS AND RESULTS: PBMC from
normoweight (NW) and overweight/obese (OW/OB) subjects were incubated with
physiological doses of docosahexaenoic (DHA), eicosapentaenoic acid (EPA), or
their combination. PBMC reflected increased beta-oxidation-like capacity (CPT1A
expression) in OW/OB but only after DHA treatment. However, insensitivity to n-3
LCPUFA was evident in OW/OB for lipogenic genes: both PUFA diminished FASN and
SREBP1C expression in NW, but no effect was observed for DHA in PBMC from high
BMI subjects. This insensitivity was also evident for inflammation gene profile:
all treatments inhibited key inflammatory genes in NW; nevertheless, no effect
was observed in OW/OB after DHA treatment, and EPA effect was impaired. SLC27A2,
IL6 and TNFalpha PBMC expression analysis resulted especially interesting to
determine obesity-related n-3 LCPUFA insensitivity. CONCLUSION: A PBMC-based
human in vitro system reflects n-3 LCPUFA effects on lipid
metabolism/inflammation which is impaired in OW/OB. These results confirm the
utility of PBMC ex vivo systems for bioactive-compound screening to promote
functional food development and to establish appropriate dietary strategies for
obese population.
PMID- 27873463
TI - Preparation of carbon quantum dots based high photostability luminescent
membranes.
AB - Urethane acrylate (UA) was used to prepare carbon quantum dots (C-dots)
luminescent membranes and the resultants were examined with FT-IR, mechanical
strength, scanning electron microscope (SEM) and quantum yields (QYs). FT-IR
results showed the polyurethane acrylate (PUA) prepolymer -C = C-vibration at
1101 cm-1 disappeared but there was strong vibration at1687cm-1 which was
contributed from the-C = O groups in cross-linking PUA. Mechanical strength
results showed that the different quantity of C-dots loadings and UV-curing time
affect the strength. SEM observations on the cross-sections of the membranes are
uniform and have no structural defects, which prove that the C-dots are
compatible with the water-soluble PUA resin. The C-dot loading was increased from
0 to 1 g, the maximum tensile stress was nearly 2.67 MPa, but the tensile strain
was decreased from 23.4% to 15.1% and 7.2% respectively. QYs results showed that
the C-dots in the membrane were stable after 120 h continuous irradiation.
Therefore, the C-dots photoluminescent film is the promising material for the
flexible devices in the future applications.
PMID- 27873462
TI - Mitochondrial Dysfunction and Biogenesis in Neurodegenerative diseases:
Pathogenesis and Treatment.
AB - Neurodegenerative diseases are a heterogeneous group of disorders that are
incurable and characterized by the progressive degeneration of the function and
structure of the central nervous system (CNS) for reasons that are not yet
understood. Neurodegeneration is the umbrella term for the progressive death of
nerve cells and loss of brain tissue. Because of their high energy requirements,
neurons are especially vulnerable to injury and death from dysfunctional
mitochondria. Widespread damage to mitochondria causes cells to die because they
can no longer produce enough energy. Several lines of pathological and
physiological evidence reveal that impaired mitochondrial function and dynamics
play crucial roles in aging and pathogenesis of neurodegenerative diseases. As
mitochondria are the major intracellular organelles that regulate both cell
survival and death, they are highly considered as a potential target for
pharmacological-based therapies. The purpose of this review was to present the
current status of our knowledge and understanding of the involvement of
mitochondrial dysfunction in pathogenesis of neurodegenerative diseases including
Alzheimer's disease (AD), Parkinson's disease (PD), Huntington's disease (HD),
and amyotrophic lateral sclerosis (ALS) and the importance of mitochondrial
biogenesis as a potential novel therapeutic target for their treatment. Likewise,
we highlight a concise overview of the key roles of mitochondrial electron
transport chain (ETC.) complexes as well as mitochondrial biogenesis regulators
regarding those diseases.
PMID- 27873464
TI - Hyalinosis Lesions in Renal Transplant Biopsies: Time-Dependent Complexity of
Interpretation.
AB - Because calcineurin inhibitor (CNI) immunosuppressive drugs induce arteriolar
hyalinosis (ah) in kidney transplants, ah lesions can potentially provide
information about drug exposure. We studied the relationship of ah lesions to
findings and outcomes in 562 indication biopsies taken 3 days to 35 years after
transplant. Prevalence of ah lesions increased with time of biopsy after
transplant (TxBx). The ah scores correlated with arterial intimal thickening and
atrophy-fibrosis but, unlike atrophy-fibrosis, did not increase until after 500
days because of a background of ah1 lesions in early biopsies reflecting donor
aging. Correlation of ah scores with other features varied with TxBx-in early
biopsies, donor age and related changes, and in very late biopsies, chronic
antibody-mediated rejection and glomerulonephritis and associated lesions. After
correction for TxBx, ah0 in intermediate time periods was associated with
increased risk of T cell-mediated rejection and graft loss, probably because of
underimmunosuppression and nonadherence. Thus, ah lesions in indication biopsies
have multiple associations: donor age (early, usually ah1), chronic glomerular
diseases (late, often ah2/3), and adequate exposure to CNIs at intermediate
times. This threefold TxBx-dependent complexity must be considered when
interpreting indication biopsies: ah lesions often indicate adequate CNI
exposure, not toxicity, and unexpected ah0 should increase vigilance for
nonadherence and underimmunosuppression.
PMID- 27873465
TI - A warm welcome for alternative CO2 fixation pathways in microbial biotechnology.
PMID- 27873466
TI - Cortical folding in post-traumatic stress disorder after motor vehicle accidents:
Regional differences in gyrification.
AB - AIM: Structural and functional magnetic resonance imaging (MRI) studies have
revealed evidence of brain abnormalities in post-traumatic stress disorder (PTSD)
patients. Cortical complexity and local gyrification index (lGI) reflect
potential biological processes associated with normal or abnormal cognitive
functioning. In the current study, lGI was used to explore cortical folding in
PTSD patients involved in motor vehicle accidents (MVA). METHODS: MRI brain scans
were acquired from 18 PTSD patients who had suffered MVA at least 6 months
previously and 18 healthy control subjects. All MRI images were obtained on a 3-T
Siemens MRI machine and the cortical folding was analyzed using the workflow
provided by software FreeSurfer. A general FreeSurfer's general linear model was
used in the group analysis. In addition, correlation analysis was performed
between the average of lGI extracted from the significantly different areas and
the data for the clinical scale. RESULTS: The PTSD patients had significantly
greater Clinician-Administered PTSD Scale scores than the control group. The
patients showed significantly reduced lGI in the left lateral orbitofrontal
cortex, consistent with findings of previous volumetric studies on PTSD. But
there were no significant correlations in the left lateral orbitofrontal cortex
between Clinician-Administered PTSD Scale scores and lGI. CONCLUSION: We suggest
that abnormal gyrification in PTSD patients can be an important indicator of
neurodevelopment deficits and may indeed be a biological marker for PTSD.
PMID- 27873467
TI - Enhancing Electrocatalytic Performance of Bifunctional Cobalt-Manganese
Oxynitride Nanocatalysts on Graphene.
AB - We report the synthesis and characterization of graphenesupported cobalt
manganese-oxynitride nanocatalysts (CoMnON/G) as bifunctional electrocatalysts
for the oxygen reduction reaction (ORR) and oxygen evolution reaction (OER). A
nitriding treatment of spinel compound CoMnO increased the ORR activity
considerably, and the most active material catalyzed the ORR with only a 30 mV
half-wave potential difference from the commercial carbon-supported platinum
(Pt/C) in alkaline media. In addition to high activity, the catalyst also
exhibited an intrinsic stability that outperformed Pt/C. An appropriately
designed nitridation thus facilitates new directions for developing active and
durable non-precious-metal oxynitride electocatalysts.
PMID- 27873468
TI - Synthetic Mucin-Like Glycopeptides as Versatile Tools to Measure Effects of
Glycan Structure/Density/Position on the Interaction with Adhesion/Growth
Regulatory Galectins in Arrays.
AB - Functional pairing of cellular glycoconjugates with tissue lectins is a highly
selective process, whose determinative factors have not yet been fully
delineated. Glycan structure and modes of presentation, that is, its position and
density, can contribute to binding, as different members of a lectin family can
regulate degrees of responsiveness to these factors. Using a peptide repeat
sequence motif of the glycoprotein mucin-1, the principle of introducing
synthetic (glyco)peptides with distinct variations in these three parameters to
an array-based screening of tissue lectins is illustrated. Interaction profiles
of seven adhesion/growth-regulatory galectins cover the range from intense
signals with core 2 pentasaccharides and core 1 binding for galectins-3 and -5 to
a lack of binding for galectin-1 and also the galectin-related protein, which was
included as a negative control. Remarkably, the two tandem-repeat-type galectins
4 and -8 were distinguished by core 1 sialylation, as the two separated domains
were. These results encourage further synthetic elaboration of the glycopeptide
library and testing of the network of natural galectins and rationally engineered
variants of the lectins.
PMID- 27873469
TI - Variation of cytopathologists' use of the indeterminate diagnostic categories
"atypical" and "suspicious for malignancy" in the cytologic diagnosis of solid
pancreatic lesions on endoscopic ultrasound-guided fine-needle aspirates.
AB - : Indeterminate cytologic diagnoses in endoscopic ultrasound guided fine needle
aspiration biopsy (EUS-FNA) of solid pancreatic lesions include the diagnostic
categories "atypical" (ATY) and "suspicious for malignancy" (SUSP), which are
used at variable rates and are associated with variable underlying risk of
malignancy. The aim of this study was to determine individual cytopathologists'
rates of indeterminate diagnoses in EUS-FNA of solid pancreatic lesions and their
relationship to cytopathologists' experience and volume of pancreatic EUS-FNA
examined, as well as the potential impact of departmental consensus review on
indeterminate diagnoses. DESIGN: The diagnostic rates of ATY and SUSP and their
underlying risk of malignancy were calculated for six cytopathologists who
diagnosed 1,114 of 1,225 EUS-FNA of solid pancreatic lesions from 1/1/2001 to
9/15/2014, and were then compared for the periods before and after the
implementation of departmental consensus review during 2009. RESULTS: The six
cytopathologists diagnosed 10% of cases as indeterminate; 82 (7.4%) as "atypical"
and 29 (2.6%) as "suspicious". The individual cytopathologists' indeterminate
diagnosis rates varied twofold (6.67-12.80%) and did not correlate with their
experience, total or annual volume of EUS-FNAs. Of the 56/99 (56.57%) cases with
follow-up, the underlying rate of malignancy was 47% (35/75; for "atypical" and
87.5% (21/24); for "suspicious"). The underlying rates of malignancy were 33-67%
for "atypical" and 80-100% for "suspicious" diagnoses made by individual
cytopathologists. The rate of indeterminate diagnoses decreased from 11.55 to
7.88% after the implementation of departmental consensus review. CONCLUSION:
Individual cytopathologists' rates of indeterminate diagnoses and their
significance vary; however, consensus review is helpful in reducing these rates.
Diagn. Cytopathol. 2017;45:3-13. (c) 2016 Wiley Periodicals, Inc.
PMID- 27873470
TI - Migration highways and migration barriers created by host-parasite interactions.
AB - Co-evolving parasites may play a key role in host migration and population
structure. Using co-evolving bacteria and viruses, we test general hypotheses as
to how co-evolving parasites affect the success of passive host migration between
habitats that can support different intensities of host-parasite interactions.
First, we show that parasites aid migration from areas of intense to weak co
evolutionary interactions and impede migration in the opposite direction, as a
result of intraspecific apparent competition mediated via parasites. Second, when
habitats show qualitative difference such that some environments support parasite
persistence while others do not, different population regulation forces (either
parasitism or competitive exclusion) will reduce the success of migration in both
directions. Our study shows that co-evolution with parasites can predictably
homogenises or isolates host populations, depending on heterogeneity of abiotic
conditions, with the second scenario constituting a novel type of 'isolation by
adaptation'.
PMID- 27873471
TI - Mitsuda psychosis and holodysphrenia revisited: An atypical psychosis in a
patient with parieto-occipital paroxysmal electroencephalographic activity and
high unconjugated bilirubin.
PMID- 27873474
TI - Spectrum of gallbladder malignancies on fine-needle aspiration cytology: 5 years
retrospective single institutional study with emphasis on uncommon variants.
AB - BACKGROUND: Ultrasound-guided fine-needle aspiration cytology (FNAC) is the
standard modality for diagnosis of gallbladder malignant neoplasms.
Adenocarcinoma is the most common malignancy; however, other types may also be
encountered. The aim of this study was to perform a retrospective analysis of
gallbladder malignancies diagnosed on FNAC in the last 5 years to document the
cytomorphological spectrum and the variations thereof. METHODS: The records of
the Department of Cytology, PGIMER were searched from July 2010 to June 2015 and
all cases of gallbladder malignancies were analyzed in detail for
cytomorphological characteristics. Giemsa and Hematoxylin-Eosin stained slides
were evaluated with immunocytochemistry on cell blocks wherever available.
RESULTS: A total of 791 gallbladder FNAC performed in cases of radiologically
suspected gallbladder malignancies were evaluated. Gallbladder carcinoma (GBC)
was more common in females with a male to female ratio of 1:2.3. Median age was
53.4 years (range 24-85 years); 81 cases (10.2%) were nondiagnostic and 31 (3.9%)
were suspicious of malignancy. A total of 645 FNACs were positive for malignancy,
out of which there were 619 (96%) adenocarcinoma and 26 cases with unusual
malignancies. These included adenosquamous carcinoma (8, 1.2%), squamous cell
carcinoma (7, 1.1%), small cell neuroendocrine carcinoma (9, 1.3%), and one case
each of undifferentiated carcinoma and Non Hodgkin lymphoma respectively. The
unusual malignancies were confirmed on cell block immunocytochemistry.
CONCLUSION: Adenocarcinoma is most common type of gallbladder malignancy;
however, other unusual types of carcinomas occur and in such cases, FNAC
supplemented by cell block immunocytochemistry is required for an accurate
diagnosis. Diagn. Cytopathol. 2017;45:36-42. (c) 2016 Wiley Periodicals, Inc.
PMID- 27873472
TI - Perfect timing: splicing and transcription rates in living cells.
AB - An important step toward understanding gene regulation is the elucidation of the
time necessary for the completion of individual steps. Measurement of reaction
rates can reveal potential nodes for regulation. For example, measurements of in
vivo transcription elongation rates reveal regulation by DNA sequence, gene
architecture, and chromatin. Pre-mRNA splicing is regulated by transcription
elongation rates and vice versa, yet the rates of RNA processing reactions remain
largely elusive. Since the 1980s, numerous model systems and approaches have been
used to determine the precise timing of splicing in vivo. Because splicing can be
co-transcriptional, the position of Pol II when splicing is detected has been
used as a proxy for time by some investigators. In addition to these 'distance
based' measurements, 'time-based' measurements have been possible through live
cell imaging, metabolic labeling of RNA, and gene induction. Yet splicing rates
can be convolved by the time it takes for transcription, spliceosome assembly and
spliceosome disassembly. The variety of assays and systems used has, perhaps not
surprisingly, led to reports of widely differing splicing rates in vivo.
Recently, single molecule RNA-seq has indicated that splicing occurs more quickly
than previously deduced. Here we comprehensively review these findings and
discuss evidence that splicing and transcription rates are closely coordinated,
facilitating the efficiency of gene expression. On the other hand, introduction
of splicing delays through as yet unknown mechanisms provide opportunity for
regulation. More work is needed to understand how cells optimize the rates of
gene expression for a range of biological conditions. WIREs RNA 2017, 8:e1401.
doi: 10.1002/wrna.1401 For further resources related to this article, please
visit the WIREs website.
PMID- 27873473
TI - Explanation and Elaboration Document for the STROBE-Vet Statement: Strengthening
the Reporting of Observational Studies in Epidemiology - Veterinary Extension.
AB - The STROBE (Strengthening the Reporting of Observational Studies in Epidemiology)
statement was first published in 2007 and again in 2014. The purpose of the
original STROBE was to provide guidance for authors, reviewers and editors to
improve the comprehensiveness of reporting; however, STROBE has a unique focus on
observational studies. Although much of the guidance provided by the original
STROBE document is directly applicable, it was deemed useful to map those
statements to veterinary concepts, provide veterinary examples and highlight
unique aspects of reporting in veterinary observational studies. Here, we present
the examples and explanations for the checklist items included in the STROBE-Vet
Statement. Thus, this is a companion document to the STROBE-Vet Statement Methods
and process document, which describes the checklist and how it was developed.
PMID- 27873475
TI - Electrochemical Coupling of Biomass-Derived Acids: New C8 Platforms for Renewable
Polymers and Fuels.
AB - Electrolysis of biomass-derived carbonyl compounds is an alternative to
condensation chemistry for supplying products with chain length >C6 for biofuels
and renewable materials production. Kolbe coupling of biomass-derived levulinic
acid is used to obtain 2,7-octanedione, a new platform molecule only two low
process-intensity steps removed from raw biomass. Hydrogenation to 2,7-octanediol
provides a chiral secondary diol largely unknown to polymer chemistry, whereas
intramolecular aldol condensation followed by hydrogenation yields branched
cycloalkanes suitable for use as high-octane, cellulosic gasoline. Analogous
electrolysis of an itaconic acid-derived methylsuccinic monoester yields a chiral
2,5-dimethyladipic acid diester, another underutilized monomer owing to lack of
availability.
PMID- 27873476
TI - Older patients' consultations in an apprenticeship model-based general practice
training program: A cross-sectional study.
AB - OBJECTIVE: To investigate older patients' encounters with general practice
registrars (GPRs) to inform training and clinical practice. METHODS: Cross
sectional analysis of data from GPR consultations across five regional training
providers in Australia. Data were analysed using simple and multiple logistic
regression models. RESULTS: Our analysis included details of 118 831
consultations, 20 555 (17.6%, 95% CI 17.4-17.8) with patients aged >=65 years.
Older patient encounters had an increased likelihood of including chronic disease
(OR 1.77, 95% CI 1.70, 1.86) and more problems (OR 1.24, 95% CI 1.20, 1.27).
However, in-consultation information or advice was less likely to be sought (OR
0.92, 95% CI 0.88, 0.97), and consultations were briefer (OR 0.99, 95% CI 0.99,
1.00). CONCLUSION: Our results suggest relatively limited GPR exposure to older
patients coupled with less complex consultations than expected. Solutions will
need to be carefully constructed not only to increase caseloads, but also to
address training and supervision concerns.
PMID- 27873478
TI - Methods and Processes of Developing the Strengthening the Reporting of
Observational Studies in Epidemiology - Veterinary (STROBE-Vet) Statement.
AB - The reporting of observational studies in veterinary research presents many
challenges that often are not adequately addressed in published reporting
guidelines. A consensus meeting of experts was organized to develop an extension
of the STROBE statement to address observational studies in veterinary medicine
with respect to animal health, animal production, animal welfare and food safety
outcomes. The consensus meeting was held 11-13 May 2014 in Mississauga, Ontario,
Canada. Seventeen experts from North America, Europe and Australia attended the
meeting. The experts were epidemiologists and biostatisticians, many of whom hold
or have held editorial positions with relevant journals. Prior to the meeting, 19
experts completed a survey about whether they felt any of the 22 items of the
STROBE statement should be modified and whether items should be added to address
unique issues related to observational studies in animal species with health,
production, welfare or food safety outcomes. At the meeting, the participants
were provided with the survey responses and relevant literature concerning the
reporting of veterinary observational studies. During the meeting, each STROBE
item was discussed to determine whether or not re-wording was recommended, and
whether additions were warranted. Anonymous voting was used to determine whether
there was consensus for each item change or addition. The consensus was that six
items needed no modifications or additions. Modifications or additions were made
to the STROBE items numbered as follows: 1 (title and abstract), 3 (objectives),
5 (setting), 6 (participants), 7 (variables), 8 (data sources/measurement), 9
(bias), 10 (study size), 12 (statistical methods), 13 (participants), 14
(descriptive data), 15 (outcome data), 16 (main results), 17 (other analyses), 19
(limitations) and 22 (funding). Published literature was not always available to
support modification to, or inclusion of, an item. The methods and processes used
in the development of this statement were similar to those used for other
extensions of the STROBE statement. The use of this extension to the STROBE
statement should improve the reporting of observational studies in veterinary
research related to animal health, production, welfare or food safety outcomes by
recognizing the unique features of observational studies involving food-producing
and companion animals, products of animal origin, aquaculture and wildlife.
PMID- 27873477
TI - Telemedically Supported Case Management of Living-Donor Renal Transplant
Recipients to Optimize Routine Evidence-Based Aftercare: A Single-Center
Randomized Controlled Trial.
AB - Improving mid-term and long-term outcomes after solid organ transplantation is
imperative, and requires both state-of-the-art transplant surgery and
optimization of routine, evidence-based aftercare. This randomized, controlled
trial assessed the effectiveness of standard aftercare versus telemedically
supported case management, an innovative aftercare model, in 46 living-donor
renal transplant recipients during the first posttransplant year. The model
includes three components: (i) chronic care case management initiated after
discharge, (ii) case management initiated in emerging acute care situations, and
(iii) a telemedically equipped team comprising a transplant nurse case manager
and two senior transplant physicians (nephrologist, surgeon). Analyses revealed a
reduction of unplanned inpatient acute care, with considerable cost reductions,
in the intervention group. The prevalence of nonadherence over the 1-year study
period was 17.4% in the intervention group versus 56.5% in the standard aftercare
group (p = 0.013). Only the intervention group achieved their pre-agreed levels
of adherence, disease-specific quality of life, and return to employment. This
comparative effectiveness study provides the basis for multicenter study testing
of telemedically supported case management with the aim of optimizing
posttransplant aftercare. The trial was registered with the German Clinical
Trials Register (www.DRKS.de), DKRS00007634.
PMID- 27873479
TI - Cytokine and chemokine tear levels in patients with uveitis.
AB - PURPOSE: To determine whether the levels of cytokines and chemokines in tears
differ in uveitis patients and healthy subjects. METHODS: Ninety-two uveitis
patients (mean age 46.4 years) and 157 control healthy subjects (mean age 49.5
years) were recruited. Subjects with ocular surface diseases such as dry eye were
excluded from the study. Using multiplex bead-based assays, tears (4 MUl) were
analysed for the concentration of interleukin (IL)-1beta, IL-1RA, IL-2, IL-6, IL
7, IL-8/CXCL8, IL-10, IL-12p70, IL-15, IL-17A, IL-23, epidermal growth factor
(EGF), fractalkine/CX3CL1, interferon-gamma, IP-10/CXCL10, monocyte chemo
attractant protein (MCP)-1/CCL2, tumour necrosis factor-alpha, vascular
endothelial growth factor (VEGF), transforming growth factor (TGF)-beta1, TGF
beta2 and TGF-beta3. Tear molecule levels were compared between the groups and
among the different forms of uveitis and disease severity. RESULTS: Epidermal
growth factor, IL-1RA, IL-7, IL-8/CXCL8, IP-10/CXCL10, MCP-1/CCL2, TGF-beta2 and
VEGF were detected in more than 75% of the samples in both groups. Statistically
significant differences in percentage of detection between control and patient
groups were found for IL-23, IL-1beta, IL-15, EGF, fractalkine/CX3CL1 and MCP
1/CCL2. The concentrations of IL-1RA, IL-8/CXCL8, fractalkine/CX3CL1, IP
10/CXCL10, VEGF and TGF-beta2 in uveitis tear samples were elevated compared to
controls (p < 0.05). Significant differences in tear levels of those molecules
and also EGF were also present depending on the anatomic classification of
uveitis. CONCLUSION: There were significant differences in the levels of several
cytokines and chemokines in tears of patients with uveitis compared with healthy
subjects. These results can help understand the underlying pathophysiology of the
uveitis and could potentially aid in diagnosis.
PMID- 27873480
TI - Dry-Deposited Transparent Carbon Nanotube Film as Front Electrode in Colloidal
Quantum Dot Solar Cells.
AB - Single-walled carbon nanotubes (SWCNTs) show great potential as an alternative
material for front electrodes in photovoltaic applications, especially for
flexible devices. In this work, a press-transferred transparent SWCNT film was
utilized as front electrode for colloidal quantum dot solar cells (CQDSCs). The
solar cells were fabricated on both glass and flexible substrates, and maximum
power conversion efficiencies of 5.5 and 5.6 %, respectively, were achieved,
which corresponds to 90 and 92 % of an indium-doped tin oxide (ITO)-based device
(6.1 %). The SWCNTs are therefore a very good alternative to the ITO-based
electrodes especially for flexible solar cells. The optical electric field
distribution and optical losses within the devices were simulated theoretically
and the results agree with the experimental results. With the optical simulations
that were performed it may also be possible to enhance the photovoltaic
performance of SWCNT-based solar cells even further by optimizing the device
configuration or by using additional optical active layers, thus reducing light
reflection of the device and increasing light absorption in the quantum dot
layer.
PMID- 27873481
TI - A case of pulmonary cryptococcosis with large pericardial effusion diagnosed
using cervical lymph node biopsy.
AB - Pulmonary cryptococcosis (PC) is a relatively rare infectious disease. It mainly
involves the lungs; however, in some patients, the infection could spread to
other parts of the body. PC mostly occurs in patients with immune deficiencies.
However, PC infections have been reported in non-immunocompromised patients. The
diagnosis of PC is challenging in patients without immune deficiencies. Here, the
case of a 27-year-old immunocompetent woman who was diagnosed with PC was report.
She had unexplained fever and a history of close contact with poultry feathers.
Lesions were observed in the lungs, pleural cavity, pericardium, and cervical
lymph nodes. Biopsy of the cervical lymph nodes helped in the pathological
diagnosis of PC. She was treated with fluconazole, and the lesions disappeared.
They present this case to encourage detailed inquiry of medical history in such
patients, improve the diagnostic awareness of clinicians, and help reduce the
likelihood of misdiagnosis.
PMID- 27873482
TI - Incorporating evolutionary adaptation in species distribution modelling reduces
projected vulnerability to climate change.
AB - Based on the sensitivity of species to ongoing climate change, and numerous
challenges they face tracking suitable conditions, there is growing interest in
species' capacity to adapt to climatic stress. Here, we develop and apply a new
generic modelling approach (AdaptR) that incorporates adaptive capacity through
physiological limits, phenotypic plasticity, evolutionary adaptation and
dispersal into a species distribution modelling framework. Using AdaptR to
predict change in the distribution of 17 species of Australian fruit flies
(Drosophilidae), we show that accounting for adaptive capacity reduces projected
range losses by up to 33% by 2105. We identify where local adaptation is likely
to occur and apply sensitivity analyses to identify the critical factors of
interest when parameters are uncertain. Our study suggests some species could be
less vulnerable than previously thought, and indicates that spatiotemporal
adaptive models could help improve management interventions that support
increased species' resilience to climate change.
PMID- 27873483
TI - Successful Lung Transplantation From Hepatitis C Positive Donor to Seronegative
Recipient.
AB - Lung transplantation using RNA+ hepatitis C (HCV+) donors to seronegative
recipients is not currently performed due to the very high risk of transmission.
Previous reports have shown poor survival when this practice was applied. The
emergence of new direct-acting antiviral drugs (DAA) suggests a high chance of
sustained virologic response in immunocompetent patients. We report here
successful transplantation of lungs from HCV+ donor to HCV- recipient. The
recipient was an HCV- patient with chronic lung allograft dysfunction. Viral
transmission occurred early posttransplant but excellent clinical outcomes were
observed including elimination of HCV after 12 weeks of treatment using DAAs.
PMID- 27873485
TI - Dunn's effect over Brazilian proteomics.
PMID- 27873484
TI - Pregnant women's perceptions of gestational weight gain: A systematic review and
meta-synthesis of qualitative research.
AB - Excess gestational weight gain has numerous negative health outcomes for women
and children, including high blood pressure, diabetes, and cesarean section
(maternal) and high birth weight, trauma at birth, and asphyxia (infants). Excess
weight gain in pregnancy is associated with a higher risk of long-term obesity in
both mothers and children. Despite a concerted public health effort, the
proportion of pregnant women gaining weight in excess of national guidelines
continues to increase. To understand this phenomenon and offer suggestions for
improving interventions, we conducted a systematic review of qualitative research
on pregnant women's perceptions and experiences of weight gain in pregnancy. We
used the methodology of qualitative meta-synthesis to analyze 42 empirical
qualitative research studies conducted in high-income countries and published
between 2005 and 2015. With this synthesis, we provide an account of the
underlying factors and circumstances (barriers, facilitators, and motivators)
that pregnant women identify as important for appropriate weight gain. We also
offer a description of the strategies identified by pregnant women as acceptable
and appropriate ways to promote healthy weight gain. Through our integrative
analysis, we identify women's common perception on the struggle to enact health
behaviors and physical, social, and environmental factors outside of their
control. Effective and sensitive interventions to encourage healthy weight gain
in pregnancy must consider the social environment in which decisions about weight
take place.
PMID- 27873486
TI - On life after Proteomics.
PMID- 27873487
TI - Mike Dunn: Proteomics in Spain, and the field of plant proteomics.
PMID- 27873488
TI - The role of microbiota in compensatory growth of protein-restricted rats.
AB - Compensatory growth is a physiological phenomenon found in both humans and
animals. However, the underlying mechanisms are unclear. In this study, for the
first time, we investigated the role of microbiota in compensatory growth induced
by protein restriction using a rat model. Weaned Sprague-Dawley rats were fed a
low protein diet (L group), a normal protein diet (N group) and a low protein
diet for 2 weeks followed by a normal protein diet (LN group). The results showed
that in contrast with the inhibited growth of rats in the L group, compensatory
growth was observed in the LN group. Meanwhile, rats in the LN group had
increased concentrations of total short chain fatty acids, particularly butyrate,
and an altered bacterial composition with modified abundances of
Peptostreptococcaceae, Bifidobacteriaceae, Porphyromonadaceae and Prevotellaceae
in the colonic content. Furthermore, gene expression analysis indicated that the
rats that experienced compensatory growth had improved barrier function and
innate immune function in the colon. Our data revealed the importance of colonic
microbiota in achieving compensatory growth.
PMID- 27873489
TI - A Review of Modeling Approaches to Predict Drug Response in Clinical Oncology.
AB - Model-based approaches have emerged as important tools for quantitatively
understanding temporal relationships between drug dose, concentration, and effect
over the course of treatment, and have now become central to optimal drug
development and tailored drug treatment. In oncology, the therapeutic index of a
chemotherapeutic drug is typically narrow and a full dose-response relationship
is not available, often because of treatment failure. Noting the benefits of
model-based approaches and the low therapeutic index of oncology drugs, in recent
years, modeling approaches have been increasingly used to streamline oncologic
drug development through early identification and quantification of dose-response
relationships. With this background, this report reviews publications that used
model-based approaches to evaluate drug treatment outcome variables in oncology
therapeutics, ranging from tumor size dynamics to tumor/biomarker time courses
and survival response.
PMID- 27873490
TI - EGF Induced RET Inhibitor Resistance in CCDC6-RET Lung Cancer Cells.
AB - PURPOSE: Rearrangement of the proto-oncogene rearranged during transfection (RET)
has been newly identified potential driver mutation in lung adenocarcinoma.
Clinically available tyrosine kinase inhibitors (TKIs) target RET kinase
activity, which suggests that patients with RET fusion genes may be treatable
with a kinase inhibitor. Nevertheless, the mechanisms of resistance to these
agents remain largely unknown. Thus, the present study aimed to determine whether
epidermal growth factor (EGF) and hepatocyte growth factor (HGF) trigger RET
inhibitor resistance in LC-2/ad cells with CCDC6-RET fusion genes. MATERIALS AND
METHODS: The effects of EGF and HGF on the susceptibility of a CCDC6-RET lung
cancer cell line to RET inhibitors (sunitinib, E7080, vandetanib, and sorafenib)
were examined. RESULTS: CCDC6-RET lung cancer cells were highly sensitive to RET
inhibitors. EGF activated epidermal growth factor receptor (EGFR) and triggered
resistance to sunitinib, E7080, vandetanib, and sorafenib by transducing bypass
survival signaling through ERK and AKT. Reversible EGFR-TKI (gefitinib)
resensitized cancer cells to RET inhibitors, even in the presence of EGF.
Endothelial cells, which are known to produce EGF, decreased the sensitivity of
CCDC6-RET lung cancer cells to RET inhibitors, an effect that was inhibited by
EGFR small interfering RNA (siRNA), anti-EGFR antibody (cetuximab), and EGFR-TKI
(Iressa). HGF had relatively little effect on the sensitivity to RET inhibitors.
CONCLUSION: EGF could trigger resistance to RET inhibition in CCDC6-RET lung
cancer cells, and endothelial cells may confer resistance to RET inhibitors by
EGF. E7080 and other RET inhibitors may provide therapeutic benefits in the
treatment of RET-positive lung cancer patients.
PMID- 27873491
TI - Detection of Circulating Tumor Cells in Breast Cancer Patients Using Cytokeratin
19 Real-Time RT-PCR.
AB - PURPOSE: The roles of circulating tumor cells (CTCs) as predictive and prognostic
factors, as well as key mediators in the metastatic cascade, have been
investigated. This study aimed to validate a method to quantify CTCs in
peripheral blood using a real-time reverse transcriptase polymerase chain
reaction (RT-PCR) assay for cytokeratin (CK)-19 and to evaluate the utility of
this assay in detecting CTCs in breast cancer patients. MATERIALS AND METHODS:
Real-time monitoring PCR of fluorescently labeled specific hybridization probes
for CK-19 mRNA was established. Peripheral blood samples from 30 healthy donors,
69 patients with early breast cancer, 47 patients with locally advanced breast
cancer, and 126 patients with metastatic breast cancer were prospectively
obtained and analyzed for CTC detection. RESULTS: CK-19 mRNA was not detectable
in healthy subjects using the real-time RT-PCR method. The detection rates of CK
19 mRNA in breast cancer patients were 47.8% for early breast cancer (33/69),
46.8% for locally advanced breast cancer (22/47), and 61.1% for metastatic breast
cancer (77/129). The detection rate of CK-19-positive CTCs in metastatic disease
was slightly higher than early or locally advanced breast cancer; however, the
detection rate according to disease burden was not statistically different
(p=0.097). The detection rate was higher in patients with pleural metastasis
(p=0.045). CTC detection was associated with poor survival (p=0.014). CONCLUSION:
A highly specific and sensitive CK-19 mRNA-based method to detect CTCs in
peripheral blood in breast cancer patients can be used in further prospective
studies to evaluate the predictive and prognostic importance of CTCs.
PMID- 27873492
TI - Aberrant Hypomethylation of Solute Carrier Family 6 Member 12 Promoter Induces
Metastasis of Ovarian Cancer.
AB - PURPOSE: Ovarian cancer (OC) is the most fatal of gynecological malignancies with
a high rate of recurrence. We aimed to evaluate the expression of solute carrier
family 6, member 12 (SLC6A12) and methylation of its promoter CpG sites in a
xenograft mouse model of metastatic OC, and to investigate the regulatory
mechanisms that promote aggressive properties during OC progression. MATERIALS
AND METHODS: Expression of SLC6A12 mRNA was determined by reverse-transcription
quantitative polymerase chain reaction (RT-qPCR), and DNA methylation status of
its promoter CpGs was detected by quantitative methylation-specific PCR. The
metastatic potential of SLC6A12 was evaluated by in vitro migration/invasion
transwell assays. Gene expression and DNA methylation of SLC6A12 and clinical
outcomes were further investigated from publicly available databases from
curatedOvarianData and The Cancer Genome Atlas. RESULTS: SLC6A12 expression was
8.1-14.0-fold upregulated and its DNA methylation of promoter CpG sites was 41
62% decreased in tumor metastases. After treatment with DNA methyltransferase
inhibitor and/or histone deacetylase inhibitor, the expression of SLC6A12 was
profoundly enhanced (~8.0-fold), strongly supporting DNA methylation-dependent
epigenetic regulation of SLC6A12. Overexpression of SLC6A12 led to increased
migration and invasion of ovarian carcinoma cells in vitro, approximately 2.0
fold and 3.3-fold, respectively. The meta-analysis showed that high expression of
SLC6A12 was significantly associated with poor overall survival [hazard ratio
(HR)=1.07, p value=0.016] and that low DNA methylation levels of SLC6A12 at
specific promoter CpG site negatively affected patient survival. CONCLUSION: Our
findings provide novel evidence for the biological and clinical significance of
SLC6A12 as a metastasis-promoting gene.
PMID- 27873494
TI - Identificaiton of Novel Immunogenic Human Papillomavirus Type 16 E7-Specific
Epitopes Restricted to HLA-A*33;03 for Cervical Cancer Immunotherapy.
AB - PURPOSE: To identify new immunogenic HLA-A*33;03-restricted epitopes from the
human papillomavirus (HPV) 16 E7 protein for immunotherapy against cervical
cancer. MATERIALS AND METHODS: We synthesized fourteen overlapping 15-amino acid
peptides and measured intracellular interferon-gamma (IFN-gamma) production in
PBMC and CD8+ cytotoxic T lymphocytes (CTLs) after sensitization with these
peptides using flow cytometry and ELISpot assay. The immunogenicity of epitopes
was verified using a 51Cr release assay with SNU1299 cells. RESULTS: Among the
fourteen 15-amino acid peptides, E749-63 (RAHYNIVTFCCKCDS) demonstrated the
highest IFN-gamma production from peripheral blood mononuclear cells (PBMCs), and
CD8+ CTLs sensitized with E749-63 showed higher cytotoxic effect against SNU1299
cells than did CD8+ CTLs sensitized with other peptides or a negative control
group. Thirteen 9- or 10-amino acid overlapping peptides spanning E749-63, E750
59 (AHYNIVTFCC), and E752-61 (YNIVTFCCKC) induced significantly higher IFN-gamma
production and cytotoxic effects against SNU1299 cells than the other peptides
and negative controls, and the cytotoxicity of E750-59- and E752-61-sensitized
PBMCs was induced via the cytolytic effect of CD8+ CTLs. CONCLUSION: We
identified E750-59 and E752-61 as novel HPV 16 E7 epitopes for HLA-A*33;03. CD8+
CTL sensitized with these peptides result in an antitumor effect against cervical
cancer cells. These epitopes could be useful for immune monitoring and
immunotherapy for cervical cancer and HPV 16-related diseases including anal
cancer and oropharyngeal cancer.
PMID- 27873493
TI - Decitabine as a First-Line Treatment for Older Adults Newly Diagnosed with Acute
Myeloid Leukemia.
AB - PURPOSE: Decitabine, a DNA hypomethylating agent, was recently approved for use
in Korea for older adults with acute myeloid leukemia (AML) who are not
candidates for standard chemotherapy. This study aimed to evaluate the role of
decitabine as a first-line treatment for older adults with AML. MATERIALS AND
METHODS: Twenty-four patients with AML who received at least one course of
decitabine (20 mg/m2/d intravenously for 5 days every 4 weeks) as a first-line
therapy at Severance Hospital were evaluated retrospectively. RESULTS: The median
age of the patients was 73.5 years. The longest follow-up duration was 502 days.
A total of 113 cycles of treatment were given to 24 patients, and the median
number of cycles was four (range, 1-14). Thirteen patients dropped out because of
death, no or loss of response, patient refusal, or transfer to another hospital.
Twenty-one (87.5%) and 12 (50%) patients completed the second and fourth cycles,
respectively, and responses to treatment were evaluated in 17. A complete
response (CR) or CR with incomplete blood-count recovery was achieved in six
(35.3%) patients, and the estimated median overall survival was 502 days. Ten
patients developed grade >2 hematologic or non-hematologic toxicities. In
univariate analysis, bone marrow blasts, lactate dehydrogenase, serum ferritin
level, and bone marrow iron were significantly associated with response to
decitabine. CONCLUSION: Five-day decitabine treatment showed acceptable efficacy
in older patients with AML who are unfit for conventional chemotherapy, with a CR
rate 35.3% and about a median overall survival of 18 months.
PMID- 27873495
TI - The Effectiveness of Ferritin as a Contrast Agent for Cell Tracking MRI in Mouse
Cancer Models.
AB - PURPOSE: We aimed to investigate the effectiveness of ferritin as a contrast
agent and a potential reporter gene for tracking tumor cells or macrophages in
mouse cancer models. MATERIALS AND METHODS: Adenoviral human ferritin heavy chain
(Ad-hFTH) was administrated to orthotopic glioma models and subcutaneous colon
cancer mouse models using U87MG and HCT116 cells, respectively. Brain MR images
were acquired before and daily for up to 6 days after the intracranial injection
of Ad-hFTH. In the HCT116 tumor model, MR examinations were performed before and
at 6, 24, and 48 h after intratumoral injection of Ad-hFTH, as well as before and
every two days after intravenous injection of ferritin-labeled macrophages. The
contrast effect of ferritin in vitro was measured by MR imaging of cell pellets.
MRI examinations using a 7T MR scanner comprised a T1-weighted (T1w) spin-echo
sequence, T2-weighted (T2w) relaxation enhancement sequence, and T2*-weighted
(T2*w) fast low angle shot sequence. RESULTS: Cell pellet imaging of Ad-hFTH in
vitro showed a strong negatively enhanced contrast in T2w and T2*w images,
presenting with darker signal intensity in high concentrations of Fe. T2w images
of glioma and subcutaneous HCT116 tumor models showed a dark signal intensity
around or within the Ad-hFTH tumor, which was distinct with time and apparent in
T2*w images. After injection of ferritin-labeled macrophages, negative contrast
enhancement was identified within the tumor. CONCLUSION: Ferritin could be a good
candidate as an endogenous MR contrast agent and a potential reporter gene that
is capable of maintaining cell labeling stability and cellular safety.
PMID- 27873496
TI - Ovarian Clear Cell Carcinoma Sub-Typing by ARID1A Expression.
AB - PURPOSE: Loss of AT-rich DNA-interacting domain 1A (ARID1A) has been identified
as a driving mutation of ovarian clear cell carcinoma (O-CCC), a triple-negative
ovarian cancer that is intermediary between serous and endometrioid subtypes, in
regards to molecular and clinical behaviors. However, about half of O-CCCs still
express BAF250a, the protein encoded by ARID1A. Herein, we aimed to identify
signatures of ARID1A-positive O-CCC in comparison with its ARID1A-negative
counterpart. MATERIALS AND METHODS: Seventy cases of O-CCC were included in this
study. Histologic grades and patterns of primary tumor, molecular marker
immunohistochemistry profiles, and clinical outcomes were analyzed. RESULTS:
Forty-eight (69%) O-CCCs did not express BAF250a, which were designated as
"ARID1A-negative." The other 22 (31%) O-CCCs were designated as "ARID1A
positive." ARID1A-positive tumors were more likely to be histologically of high
grades (41% vs. 10%, p=0.003), ERbeta-positive (45% vs. 17%, p=0.011), and less
likely to be HNF1beta-positive (77% vs. 96%, p=0.016) and E-cadherin-positive
(59% vs. 83%, p=0.028) than ARID1A-negative tumors. Patient age, parity, tumor
stage were not significantly different in between the two groups. Cancer-specific
survival was not significantly different either. CONCLUSION: We classified O-CCCs
according to ARID1A expression status. ARID1A-positive O-CCCs exhibited distinct
immunohistochemical features from ARID1A-negative tumors, suggesting a different
underlying molecular event during carcinogenesis.
PMID- 27873497
TI - High Prevalence and Clinical Implication of Myocardial Bridging in Patients with
Early Repolarization.
AB - PURPOSE: Recent evidence suggests that early repolarization (ER) is related with
myocardial ischemia. Compression of coronary artery by a myocardial bridging (MB)
can be associated with clinical manifestations of myocardial ischemia. This study
aimed to evaluate the associations of MB in patients with ER. MATERIALS AND
METHODS: In consecutive patients (n=1303, age, 61+/-12 years) who had undergone
coronary angiography, we assessed the prevalence and prognostic implication of MB
in those with ER (n=142) and those without ER (n=1161). RESULTS: MB was observed
in 54 (38%) and 196 (17%) patients in ER and no-ER groups (p<0.001). In
multivariate analysis, MB was independently associated with ER (odd ratio: 2.9,
95% confidence interval: 1.98-4.24, p<0.001). Notched type ER was more frequently
observed in MB involving the mid portion of left anterior descending coronary
artery (LAD) (69.8% vs. 30.2%, p=0.03). Cardiac event was observed in nine (6.3%)
and 22 (1.9%) subjects with and without ER, respectively. MB was more frequently
observed in sudden death patients with ER (2 out of 9, 22%) than in those without
ER (0 out of 22). CONCLUSION: MB was independently associated with ER in patients
without out structural heart disease who underwent coronary angiography. Notched
type ER was closely related with MB involving the mid portion of the LAD. Among
patients who had experienced cardiac events, a higher prevalence of MB was
observed in patients with ER than those without ER. Further prospective studies
on the prognosis of MB in ER patients are required.
PMID- 27873498
TI - The Impact of Diabetes Mellitus on Vascular Biomarkers in Patients with End-Stage
Renal Disease.
AB - PURPOSE: Diabetes mellitus (DM) is the most common cause of end-stage renal
disease (ESRD) and an important risk factor for cardiovascular (CV) disease. We
investigated the impact of DM on subclinical CV damage by comprehensive screening
protocol in ESRD patients. MATERIALS AND METHODS: Echocardiography, coronary
computed tomography angiogram, 24-h ambulatory blood pressure monitoring, and
central blood pressure with pulse wave velocity (PWV) were performed in 91 ESRD
patients from the Cardiovascular and Metabolic disease Etiology Research Center
HIgh risk cohort. RESULTS: The DM group (n=38) had higher systolic blood pressure
than the non-DM group (n=53), however, other clinical CV risk factors were not
different between two groups. Central aortic systolic pressure (148.7+/-29.8 mm
Hg vs. 133.7+/-27.0 mm Hg, p= 0.014), PWV (12.1+/-2.7 m/s vs. 9.4+/-2.1 m/s,
p<0.001), and early mitral inflow to early mitral annulus velocity (16.7+/-6.4
vs. 13.7+/-5.9, p=0.026) were higher in the DM group. Although the prevalence of
coronary artery disease (CAD) was not different between the DM and the non-DM
group (95% vs. 84.4%, p=0.471), the severity of CAD was higher in the DM group
(p=0.01). In multivariate regression analysis, DM was an independent determinant
for central systolic pressure (p=0.011), PWV (p<0.001) and the prevalence of CAD
(p=0.046). CONCLUSION: Diabetic ESRD patients have higher central systolic
pressure and more advanced arteriosclerosis than the non-DM control group. These
findings suggest that screening for subclinical CV damage may be helpful for
diabetic ESRD patients.
PMID- 27873499
TI - Assessment of Coronary Artery Calcium Scoring for Statin Treatment Strategy
according to ACC/AHA Guidelines in Asymptomatic Korean Adults.
AB - PURPOSE: The 2013 American College of Cardiology (ACC)/American Heart Association
(AHA) cholesterol management guidelines advocate the use of statin treatment for
prevention of cardiovascular disease. We aimed to assess the usefulness of
coronary artery calcium (CAC) for stratifying potential candidates of statin use
among asymptomatic Korean individuals. MATERIALS AND METHODS: A total of 31375
subjects who underwent CAC scoring as part of a general health examination were
enrolled in the current study. Statin eligibility was categorized as statin
recommended (SR), considered (SC), and not recommended (SN) according to ACC/AHA
guidelines. Cox regression analysis was employed to estimate hazard ratios (HR)
with 95% confidential intervals (CI) after stratifying the subjects according to
CAC scores of 0, 1-100, and >100. Number needed to treat (NNT) to prevent one
mortality event during study follow up was calculated for each group. RESULTS:
Mean age was 54.4+/-7.5 years, and 76.3% were male. During a 5-year median follow
up (interquartile range; 3-7), there were 251 (0.8%) deaths from all-causes. A
CAC >100 was independently associated with mortality across each statin group
after adjusting for cardiac risk factors (e.g., SR: HR, 1.60; 95% CI, 1.07-2.38;
SC: HR, 2.98; 95% CI, 1.09-8.13, and SN: HR, 3.14; 95% CI, 1.08-9.17). Notably,
patients with CAC >100 displayed a lower NNT in comparison to the absence of CAC
or CAC 1-100 in SC and SN groups. CONCLUSION: In Korean asymptomatic individuals,
CAC scoring might prove useful for reclassifying patient eligibility for
receiving statin therapy based on updated 2013 ACC/AHA guidelines.
PMID- 27873500
TI - Impact of Diltiazem Alone versus Diltiazem with Nitrate on Five-Year Clinical
Outcomes in Patients with Significant Coronary Artery Spasm.
AB - PURPOSE: Calcium channel blockers diltiazem and nitrate have been used as
selective coronary vasodilators for patients with significant coronary artery
spasm (CAS). However, no study has compared the efficacy of diltiazem alone
versus diltiazem with nitrate for long-term clinical outcomes in patients with
CAS. MATERIALS AND METHODS: A total of 2741 consecutive patients without
significant coronary artery disease with positive CAS by acetylcholine (Ach)
provocation test between November 2004 and May 2014 were enrolled. Significant
CAS was defined as a narrowing of >70% by incremental intracoronary injection of
20, 50, and 100 MUg of Ach into the left coronary artery. Patients were assigned
to either the diltiazem group (n=842) or the dual group (diltiazem with nitrate,
n=1899) at physician discretion. To adjust for potential confounders, a
propensity score matching (PSM) analysis was performed using the logistic
regression model. After PSM analysis, two well-balanced groups (811 pairs,
n=1622, C-statistic=0.708) were generated. RESULTS: At 5 years, there were
similar incidences in primary endpoints, including mortality, myocardial
infarction, revascularization, and recurrent angina requiring repeat coronary
angiography between the two groups. Diltiazem alone was not an independent
predictor for major adverse cardiovascular events or recurrent angina requiring
repeat coronary angiography. CONCLUSION: Despite the expected improvement of
endothelial function and the relief of CAS, the combination of diltiazem and
nitrate treatment was not superior to diltiazem alone in reducing mortality and
cardiovascular events up to 5 years in patients with significant CAS.
PMID- 27873501
TI - A Comparison of Peri-Procedural Myocardial Infarction between Paclitaxel-Coated
Balloon and Drug-Eluting Stent on De Novo Coronary Lesions.
AB - PURPOSE: This study compared the impact of paclitaxel-coated balloons (PCB) or
drug eluting stents (DES) on peri-procedural myocardial infarction (PMI) on de
novo coronary lesion in stable patients. MATERIALS AND METHODS: In this
observational study, we compared the incidence of PMI amongst patients with
single vessel de novo coronary lesions who underwent treatment with a PCB or DES.
Propensity score-matching analysis was used to assemble a cohort of patients with
similar baseline characteristics. PMI was classified as myocardial infarction
occurring within 48 hours after percutaneous coronary intervention with a
threshold of 5 x the 99th percentile upper reference limit of normal for creatine
kinase-myocardial band (CK-MB) or troponin T (TnT). RESULTS: One hundred four
patients (52 receiving PCB and 52 receiving DES) were enrolled in this study. The
peak mean values of CK-MB and TnT were significantly higher in the DES group.
There was a significantly higher rate of PMI in the DES group (23.1% vs. 1.9%,
p=0.002). Total occlusion of the side-branch occurred in two patients treated
with DES, while no patients treated with PCB. In multivariable analysis, DES was
the only independent predictor of PMI compared with PCB (odds ratio 42.85, 95%
confidence interval: 3.44-533.87, p=0.004). CONCLUSION: Treatment with a PCB on
de novo coronary lesion might be associated with a significant reduction in the
risk of PMI compared to DES.
PMID- 27873503
TI - Increased Risk of Cardiovascular Events in Stroke Patients Who had Not Undergone
Evaluation for Coronary Artery Disease.
AB - PURPOSE: Although asymptomatic coronary artery occlusive disease is common in
stroke patients, the long-term advantages of undergoing evaluation for coronary
arterial disease using multi-detector coronary computed tomography (MDCT) have
not been well established in stroke patients. We compared long-term cardio
cerebrovascular outcomes between patients who underwent MDCT and those who did
not. MATERIALS AND METHODS: This was a retrospective study in a prospective
cohort of consecutive ischemic stroke patients. Of the 3117 patients who were
registered between July 2006 and December 2012, MDCT was performed in 1842
patients [MDCT (+) group] and not in 1275 patients [MDCT (-) group]. Occurrences
of death, cardiovascular events, and recurrent stroke were compared between the
groups using Cox proportional hazards models and propensity score analyses.
RESULTS: During the mean follow-up of 38.0+/-24.8 months, 486 (15.6%) patients
died, recurrent stroke occurred in 297 (9.5%), and cardiovascular events occurred
in 60 patients (1.9%). Mean annual risks of death (9.34% vs. 2.47%),
cardiovascular events (1.2% vs. 0.29%), and recurrent stroke (4.7% vs. 2.56%)
were higher in the MDCT (-) group than in the MDCT (+) group. The Cox
proportional hazards model and the five propensity score-adjusted models
consistently demonstrated that the MDCT (-) group was at a high risk of
cardiovascular events (hazard ratios 3.200, 95% confidence interval 1.172-8.735
in 1:1 propensity matching analysis) as well as death. The MDCT (-) group seemed
to also have a higher risk of recurrent stroke. CONCLUSION: Acute stroke patients
who underwent MDCT experienced fewer deaths, cardiovascular events, and recurrent
strokes during follow-up.
PMID- 27873502
TI - Efficacy and Safety of Intravenous Urapidil for Older Hypertensive Patients with
Acute Heart Failure: A Multicenter Randomized Controlled Trial.
AB - PURPOSE: Urapidil is putatively effective for patients with hypertension and
acute heart failure, although randomized controlled trials thereon are lacking.
We investigated the efficacy and safety of intravenous urapidil relative to that
of nitroglycerin in older patients with hypertension and heart failure in a
randomized controlled trial. MATERIALS AND METHODS: Patients (>60 y) with
hypertension and heart failure were randomly assigned to receive intravenous
urapidil (n=89) or nitroglycerin (n=91) for 7 days. Hemodynamic parameters,
cardiac function, and safety outcomes were compared. RESULTS: Patients in the
urapidil group had significantly lower mean systolic blood pressure (110.1+/-6.5
mm Hg) than those given nitroglycerin (126.4+/-8.1 mm Hg, p=0.022), without
changes in heart rate. Urapidil was associated with improved cardiac function as
reflected by lower N terminal-pro B type natriuretic peptide after 7 days
(3311.4+/-546.1 ng/mL vs. 4879.1+/-325.7 ng/mL, p=0.027) and improved left
ventricular ejection fraction (62.2+/-3.4% vs. 51.0+/-2.4%, p=0.032). Patients
given urapidil had fewer associated adverse events, specifically headache
(p=0.025) and tachycardia (p=0.004). The one-month rehospitalization and all
cause mortality rates were similar. CONCLUSION: Intravenous administration of
urapidil, compared with nitroglycerin, was associated with better control of
blood pressure and preserved cardiac function, as well as fewer adverse events,
for elderly patients with hypertension and acute heart failure.
PMID- 27873504
TI - Lenticulostriate Artery Involvement is Predictive of Poor Outcomes in Superficial
Middle Cerebral Artery Territory Infarction.
AB - PURPOSE: Patients with superficial middle cerebral artery (MCA) territory
infarction may have concomitant lenticulostriate artery (LSA) territory
infarction. We investigated the mechanisms thereof and the outcomes of patients
with superficial MCA territory infarction according to the presence or absence of
LSA involvement. MATERIALS AND METHODS: Consecutive patients with first-ever
infarction in the unilateral superficial MCA territory were included in this
study. They were divided into the superficial MCA only (SM) group and the
superficial MCA plus LSA (SM+L) group. RESULTS: Of the 398 patients, 84 patients
(21.1%) had LSA involvement (SM+L group). The SM+L group more frequently had
significant stenosis of the proximal MCA or carotid artery and high-risk
cardioembolic sources. Stroke severity and outcomes were remarkably different
between the groups. The SM+L group showed more severe neurologic deficits
(National Institute of Health Stroke Scale score 10.8+/-7.1 vs. 4.0+/-5.0,
p<0.001) and larger infarct in the superficial MCA territory (40.8+/-62.6 cm3 vs.
10.8+/-21.8 cm3, p<0.001) than the SM group. A poor functional outcome (mRS >2)
at 3 months was more common in the SM+L group (64.3% vs. 15.9%, p<0.001). During
a mean follow-up of 26 months, 67 patients died. All-cause (hazard ratio, 2.246)
and stroke (hazard ratio, 9.193) mortalities were higher in the SM+L group than
the SM group. In multivariate analyses, LSA involvement was an independent
predictor of poor functional outcomes and stroke mortality. CONCLUSION: LSA
territory involvement is predictive of poor long-term outcomes in patients with
superficial MCA territory infarction.
PMID- 27873506
TI - Development of a Robotic Colonoscopic Manipulation System, Using Haptic Feedback
Algorithm.
AB - PURPOSE: Colonoscopy is one of the most effective diagnostic and therapeutic
tools for colorectal diseases. We aim to propose a master-slave robotic
colonoscopy that is controllable in remote site using conventional colonoscopy.
MATERIALS AND METHODS: The master and slave robot were developed to use
conventional flexible colonoscopy. The robotic colonoscopic procedure was
performed using a colonoscope training model by one expert endoscopist and two
unexperienced engineers. To provide the haptic sensation, the insertion force and
the rotating torque were measured and sent to the master robot. RESULTS: A slave
robot was developed to hold the colonoscopy and its knob, and perform insertion,
rotation, and two tilting motions of colonoscope. A master robot was designed to
teach motions of the slave robot. These measured force and torque were scaled
down by one tenth to provide the operator with some reflection force and torque
at the haptic device. The haptic sensation and feedback system was successful and
helpful to feel the constrained force or torque in colon. The insertion time
using robotic system decreased with repeated procedures. CONCLUSION: This work
proposed a robotic approach for colonoscopy using haptic feedback algorithm, and
this robotic device would effectively perform colonoscopy with reduced burden and
comparable safety for patients in remote site.
PMID- 27873505
TI - Hyperbaric Oxygen Pretreatment Improves Cognition and Reduces Hippocampal Damage
Via p38 Mitogen-Activated Protein Kinase in a Rat Model.
AB - PURPOSE: To investigate the effects of hyperbaric oxygen (HBO) pretreatment on
cognitive decline and neuronal damage in an Alzheimer's disease (AD) rat model.
MATERIALS AND METHODS: Rats were divided into three groups: normal saline (NS),
AD, and HBO+AD. In the AD group, amyloid beta peptide (Abeta)1-40 was injected
into the hippocampal CA1 region of the brain. NS rats received NS injection. In
the HBO+AD group, rats received 5 days of daily HBO therapy following Abeta1-40
injection. Learning and memory capabilities were examined using the Morris water
maze task. Neuronal damage and astrocyte activation were evaluated by hematoxylin
eosin staining and immunohistochemistry, respectively. Dendritic spine density
was determined by Golgi-Cox staining. Tumor necrosis factor-alpha, interleukin
1beta, and interleukin-10 production was assessed by enzyme-linked immunosorbent
assay. Neuron apoptosis was evaluated by terminal deoxynucleotidyl transferase
dUTP nick end labeling. Protein expression was examined by western blotting.
RESULTS: Learning and memory dysfunction was ameliorated in the HBO+AD group, as
shown by significantly lower swimming distances and escape latency, compared to
the AD group. Lower rates of neuronal damage, astrocyte activation, dendritic
spine loss, and hippocampal neuron apoptosis were seen in the HBO+AD than in the
AD group. A lower rate of hippocampal p38 mitogen-activated protein kinase (MAPK)
phosphorylation was observed in the HBO+AD than in the AD group. CONCLUSION: HBO
pretreatment improves cognition and reduces hippocampal damage via p38 MAPK in AD
rats.
PMID- 27873507
TI - Clinical Efficacy of Beclomethasone Dipropionate in Korean Patients with
Ulcerative Colitis.
AB - PURPOSE: Our aim was to evaluate the efficacy and safety of oral beclomethasone
dipropionate (BDP) in Korean patients with ulcerative colitis (UC). MATERIALS AND
METHODS: The medical records of patients with active UC who were treated with BDP
were retrospectively reviewed. Partial Mayo Clinic score (pMS) was calculated to
determine disease activity. After 4 weeks of therapy, clinical remission,
clinical response, and response failure rates were evaluated. Clinical remission
was defined as a post-treatment pMS of 0 or 1, clinical response as a decrease of
two of three points in pMS and >30% from baseline, and response failure as a lack
of clinical response. Also, we considered that clinical remission was included in
clinical response. RESULTS: Between July 2013 and April 2015, 95 patients with UC
received BDP therapy at our institution (median age, 44 years; range, 12-81
years). After 4 weeks of therapy, clinical remission and clinical response rates
were 50.5% and 73.7%, respectively. Mean change of pMS before and after BDP
therapy was 2.4. There was no significant side effect reported. In multivariate
analysis, disease activity was the only factor associated with a favorable
response. Clinical remission rate was significantly higher in the mild disease
activity group (66.7%) than that in the moderate or severe disease activity group
(41.9%) (p=0.024). CONCLUSION: BDP is efficacious in inducing a clinical response
or remission in Korean patients with UC. Patients with mild UC were more likely
to be in remission than those with moderate or severe UC after receiving BDP for
4 weeks. BDP exhibited a good safety profile.
PMID- 27873508
TI - Are Hemorrhoids Associated with False-Positive Fecal Immunochemical Test Results?
AB - PURPOSE: False-positive (FP) results of fecal immunochemical tests (FITs)
conducted in colorectal cancer (CRC) screening could lead to performing
unnecessary colonoscopies. Hemorrhoids are a possible cause of FP FIT results;
however, studies on this topic are extremely rare. We investigated whether
hemorrhoids are associated with FP FIT results. MATERIALS AND METHODS: A
retrospective study was conducted at a university hospital in Korea from June
2013 to May 2015. Of the 34547 individuals who underwent FITs, 3946 aged >=50
years who underwent colonoscopies were analyzed. Logistic regression analysis was
performed to determine factors associated with FP FIT results. RESULTS: Among
3946 participants, 704 (17.8%) showed positive FIT results and 1303 (33.0%) had
hemorrhoids. Of the 704 participants with positive FIT results, 165 had advanced
colorectal neoplasia (ACRN) and 539 had no ACRN (FP results). Of the 1303
participants with hemorrhoids, 291 showed FP results, of whom 81 showed FP
results because of hemorrhoids only. Participants with hemorrhoids had a higher
rate of FP results than those without hemorrhoids (291/1176, 24.7% vs. 248/2361,
10.5%; p<0.001). Additionally, the participants with hemorrhoids as the only
abnormality had a higher rate of FP results than those experiencing no such
abnormalities (81/531, 15.3% vs. 38/1173, 3.2%; p<0.001). In multivariate
analysis, the presence of hemorrhoids was identified as an independent predictor
of FP results (adjusted odds ratio, 2.76; 95% confidence interval, 2.24-3.40;
p<0.001). CONCLUSION: Hemorrhoids are significantly associated with FP FIT
results. Their presence seemed to be a non-negligible contributor of FP results
in FIT-based CRC screening programs.
PMID- 27873509
TI - Dose-Response Relationship between Alanine Aminotransferase Levels within the
Reference Interval and Metabolic Syndrome in Chinese Adults.
AB - PURPOSE: Elevation in serum alanine aminotransferase (ALT) levels is a biomarker
for metabolic syndrome (MS); however, the relationship has not been fully
investigated within the reference interval of ALT levels. Our objective was to
explore the relationship between serum ALT levels within the reference interval
and MS in Chinese adults. MATERIALS AND METHODS: This cross-sectional study
included 16028 adults, who attended routine health check-ups at Shengli Oilfield
Central Hospital from January 2006 to March 2012. The reference interval of serum
ALT level was defined as less than 40 U/L. Logistic regression models and
restricted cubic spline were used to evaluate the association of ALT with MS.
RESULTS: The prevalence of MS in the total population was 13.7% (6.4% for females
and 18.4% for males). Multiple logistic regression showed that ALT levels were
positively associated with MS after adjustment for potential confounding factors.
The odds ratio of MS in the top quartile was 4.830 [95% confidence interval (CI):
2.980-7.829] in females and 3.168 (95% CI: 2.649-3.790) in males, compared with
the ALT levels in the bottom quartile. The restricted cubic spline models
revealed a positive non-linear dose-response relationship between ALT levels and
the risk of MS in women (p for nonlinearity was 0.0327), but a positive linear
dose-response relationship in men (p for nonlinearity was 0.0659). CONCLUSION:
Serum ALT levels within the reference interval are positively associated with MS
in a dose-response manner. Elevated ALT levels, even within the reference
interval, may reflect early dysmetabolic changes.
PMID- 27873510
TI - Certain Polymorphisms in SP110 Gene Confer Susceptibility to Tuberculosis: A
Comprehensive Review and Updated Meta-Analysis.
AB - PURPOSE: Numerous studies have assessed the association of SP110 gene variants
with tuberculosis (TB), but the results were inconsistent. Through a
comprehensive review and meta-analysis, our study aimed to clarify the nature of
genetic risks contributed by 11 polymorphisms for the development of TB.
MATERIALS AND METHODS: Through searching PubMed, web of science, China National
Knowledge Infrastructure (CNKI) databases, a total of 11 articles including 13
independent studies were selected. The pooled odd ratios (ORs) along with their
corresponding 95% confidence interval (CI) were estimated for allelic
comparisons, additive model (homozygote comparisons; heterozygote comparisons),
dominant model and recessive model. We also assessed the heterogeneity across the
studies and publication bias. RESULTS: The results of combined analysis revealed
a significantly increased risk of TB for single nucleotide polymorphism (SNP)
rs9061 in all five comparisons (allelic comparisons: OR=1.28, 95% CI=1.14-1.44,
p<0.0001; homozygote comparisons: OR=2.84, 95% CI=1.84-4.38, p<0.00001;
heterozygote comparisons: OR=1.23, 95% CI=1.05-1.43, p=0.009; dominant model:
OR=1.32, 95% CI=1.14-1.53, p=0.0003; recessive model: OR=2.26, 95% CI=1.18-4.34,
p=0.01). In subgroup analysis, the risk of TB associated with SNP rs9061 appeared
to be increased. Moreover, increased risk of TB was also found in Asian subgroup
of SNP rs11556887, while decreased risk of TB appeared in large sample size
subgroup of SNP rs1135791. No significant association was observed between other
SNPs and the risk of TB. CONCLUSION: Our meta-analysis suggested that the variant
of SNP rs9061 might be a risk factor for TB.
PMID- 27873511
TI - Human Coronavirus in the 2014 Winter Season as a Cause of Lower Respiratory Tract
Infection.
AB - PURPOSE: During the late autumn to winter season (October to December) in the
Republic of Korea, respiratory syncytial virus (RSV) is the most common pathogen
causing lower respiratory tract infections (LRTIs). Interestingly, in 2014, human
coronavirus (HCoV) caused not only upper respiratory infections but also LRTIs
more commonly than in other years. Therefore, we sought to determine the
epidemiology, clinical characteristics, outcomes, and severity of illnesses
associated with HCoV infections at a single center in Korea. MATERIALS AND
METHODS: We retrospectively identified patients with positive HCoV respiratory
specimens between October 2014 and December 2014 who were admitted to Severance
Children's Hospital at Yonsei University Medical Center for LRTI. Charts of the
patients with HCoV infection were reviewed and compared with RSV infection.
RESULTS: During the study period, HCoV was the third most common respiratory
virus and accounted for 13.7% of infections. Coinfection was detected in 43.8% of
children with HCoV. Interestingly, one patient had both HCoV-OC43 and HCoV-NL63.
Mild pneumonia was most common (60.4%) with HCoV, and when combined with RSV,
resulted in bronchiolitis. Two patients required care in the intensive care unit.
However, compared with that of RSV infection, the disease course HCoV was short.
CONCLUSION: Infections caused by HCoVs are common, and can cause LRTIs. During an
epidemic season, clinicians should be given special consideration thereto. When
combined with other medical conditions, such as neurologic or cardiologic
diseases, intensive care unit (ICU) care may be necessary.
PMID- 27873512
TI - Antimicrobial Resistance and Clinical Outcomes in Nursing Home-Acquired
Pneumonia, Compared to Community-Acquired Pneumonia.
AB - PURPOSE: Patients with nursing home-acquired pneumonia (NHAP) should be treated
as hospital-acquired pneumonia (HAP) according to guidelines published in 2005.
However, controversy still exists on whether the high mortality of NHAP results
from multidrug resistant pathogens or underlying disease. We aimed to outline
differences and factors contributing to mortality between NHAP and community
acquired pneumonia (CAP) patients. MATERIALS AND METHODS: We retrospectively
evaluated patients aged 65 years or older with either CAP or NHAP from 2008 to
2014. Patients with healthcare-associated pneumonia other than NHAP or HAP were
excluded. RESULTS: Among 317 patients, 212 patients had CAP and 105 had NHAP.
Patients with NHAP had higher mortality, more frequently used a ventilator, and
had disease of higher severity than CAP. The incidences of aspiration, tube
feeding, and poor functional status were higher in NHAP. Twenty three out of 54
NHAP patients and three out of 62 CAP patients had multidrug resistant pathogens
(p<0.001). Eleven patients with NHAP died at discharge, compared to 7 patients
with CAP (p=0.009). However, there was no association between mortality rate and
presence of multidrug-resistant pathogens. The number of involved lobes on chest
X-ray [odds ratio (OR)=1.708; 95% confidence interval (CI), 1.120 to 2.605] and
use of mechanical ventilation (OR=9.537; 95% CI, 1.635 to 55.632) were
significantly associated with in-hospital mortality. CONCLUSION: Patients with
NHAP had higher mortality than patients with CAP. The excess mortality among
patients with NHAP and CAP was related to disease severity but not to the
presence of multidrug resistant pathogens.
PMID- 27873514
TI - Efficacy of Oxidized Regenerated Cellulose, SurgiGuard(r), in Porcine Surgery.
AB - PURPOSE: Adequate hemostasis is important for postoperative outcomes of abdominal
surgery. This study evaluated the hemostatic effects and accompanying
histopathological changes of a novel oxidized regenerated cellulose,
SurgiGuard(r), during abdominal surgery. MATERIALS AND METHODS: Ten pigs
underwent wedge resection of the spleen (1*1 cm) and liver (1.5*1.5 cm). The
resected surface was covered with Surgicel(r) fabric or fibril type (Group A) or
SurgiGuard(r) fabric or fibril type (Group B). Surgicel(r) and SurgiGuard(r) were
randomized for attachment to the resected surface by fabric type (n=5) or fibril
type (n=5). Blood loss was measured 5, 7, and 9 min after resection. Pigs were
necropsied 6 weeks postoperatively to evaluate gross and histopathological
changes. RESULTS: There was no significant difference in total blood loss between
groups [spleen fabric: Group A vs. Group B, 4.38 g (2.74-6.43) vs. 3.41 g (2.46
4.65), p=0.436; spleen fibril: Group A vs. Group B, 3.44 g (2.82-6.07) vs. 3.60 g
(2.03-6.09), p=0.971; liver fabric: Group A vs. Group B, 4.51 g (2.67-10.61) vs.
6.93 g (3.09-9.95), p=0.796; liver fibril: Group A vs. Group B, 3.32 g (2.50
8.78) vs. 3.70 g (2.32-5.84), p=0.971]. Histopathological analysis revealed no
significant difference in toxicities related to Surgicel(r) or SurgiGuard(r)
[inflammation, fibrosis, foreign bodies, and hemorrhage (spleen: p=0.333, 0.127,
0.751, and 1.000; liver: p=0.155, 0.751, 1.000, and 1.000, respectively)].
CONCLUSION: SurgiGuard(r) is as effective and non-toxic as Surgicel(r) in
achieving hemostasis after porcine abdominal surgery.
PMID- 27873513
TI - Costs Attributable to Overweight and Obesity in Working Asthma Patients in the
United States.
AB - PURPOSE: To estimate annual health care and productivity loss costs attributable
to overweight or obesity in working asthmatic patients. MATERIALS AND METHODS:
This study was conducted using the 2003-2013 Medical Expenditure Panel Survey
(MEPS) in the United States. Patients aged 18 to 64 years with asthma were
identified via self-reported diagnosis, a Clinical Classification Code of 128, or
a ICD-9-CM code of 493.xx. All-cause health care costs were estimated using a
generalized linear model with a log function and a gamma distribution.
Productivity loss costs were estimated in relation to hourly wages and missed
work days, and a two-part model was used to adjust for patients with zero costs.
To estimate the costs attributable to overweight or obesity in asthma patients,
costs were estimated by the recycled prediction method. RESULTS: Among 11670
working patients with a diagnosis of asthma, 4428 (35.2%) were obese and 3761
(33.0%) were overweight. The health care costs attributable to obesity and
overweight in working asthma patients were estimated to be $878 [95% confidence
interval (CI): $861-$895] and $257 (95% CI: $251-$262) per person per year,
respectively, from 2003 to 2013. The productivity loss costs attributable to
obesity and overweight among working asthma patients were $256 (95% CI: $253
$260) and $26 (95% CI: $26-$27) per person per year, respectively. CONCLUSION:
Health care and productivity loss costs attributable to overweight and obesity in
asthma patients are substantial. This study's results highlight the importance of
effective public health and educational initiatives targeted at reducing
overweight and obesity among patients with asthma, which may help lower the
economic burden of asthma.
PMID- 27873515
TI - Angiopoietin-1 Modified Human Umbilical Cord Mesenchymal Stem Cell Therapy for
Endotoxin-Induced Acute Lung Injury in Rats.
AB - PURPOSE: Angiopoietin-1 (Ang1) is a critical factor for vascular stabilization
and endothelial survival via inhibition of endothelial permeability and leukocyte
endothelium interactions. Hence, we hypothesized that treatment with umbilical
cord mesenchymal stem cells (UCMSCs) carrying the Ang1 gene (UCMSCs-Ang1) might
be a potential approach for acute lung injury (ALI) induced by lipopolysaccharide
(LPS). MATERIALS AND METHODS: UCMSCs with or without transfection with the human
Ang1 gene were delivered intravenously into rats one hour after intra-abdominal
instillation of LPS to induce ALI. After the rats were sacrificed at 6 hours, 24
hours, 48 hours, 8 days, and 15 days post-injection of LPS, the serum, the lung
tissues, and bronchoalveolar lavage fluid (BALF) were harvested for analysis,
respectively. RESULTS: Administration of fluorescence microscope confirmed the
increased presence of UCMSCs in the injured lungs. The evaluation of UCMSCs and
UCMSCs-Ang1 actions revealed that Ang1 overexpression further decreased the
levels of the pro-inflammatory cytokines TNF-alpha, TGF-beta1, and IL-6 and
increased the expression of the anti-inflammatory cytokine IL-10 in the injured
lungs. This synergy caused a substantial decrease in lung airspace inflammation
and vascular leakage, characterized by significant reductions in wet/dry ratio,
differential neutrophil counts, myeloperoxidase activity, and BALF. The rats
treated by UCMSCs-Ang1 showed improved survival and lower ALI scores. CONCLUSION:
UCMSCs-Ang1 could improve both systemic inflammation and alveolar permeability in
ALI. UC-derived MSCs-based Ang1 gene therapy may be developed as a potential
novel strategy for the treatment of ALI.
PMID- 27873516
TI - Cyclosporine Sparing Effect of Enteric-Coated Mycophenolate Sodium in De Novo
Kidney Transplantation.
AB - PURPOSE: The increased tolerability of enteric-coated mycophenolate sodium (EC
MPS), compared to mycophenolate mofetil, among kidney transplant recipients has
the potential to facilitate cyclosporine (CsA) minimization. Therefore, a
prospective trial to determine the optimum EC-MPS dose in CsA-based
immunosuppression regimens is necessary. MATERIALS AND METHODS: A comparative,
parallel, randomized, open-label study was performed for 140 patients from four
centers to compare the efficacy and tolerability of low dose CsA with standard
dose EC-MPS (the investigational group) versus standard dose CsA with low dose EC
MPS (the control group) for six months in de novo kidney transplant recipients.
Graft function, the incidence of efficacy failure [biopsy-confirmed acute
rejection (BCAR), death, graft loss, loss to follow-up], and adverse events were
compared. RESULTS: The mean estimated glomerular filtration rate (eGFR) of the
investigational group at six months post-transplantation was non-inferior to that
of the control group (confidence interval between 57.3 mL/min/1.73m2 and 67.4
mL/min/1.73 m2, p<0.001). One graft loss was reported in the control group, and
no patient deaths were reported in either group. The incidence of BCAR of the
investigational group was 8.7%, compared to 18.8% in the control group (p=0.137),
during the study period. There were no significant differences (p>0.05) in the
incidence of discontinuations and serious adverse events (SAE) between the
groups. CONCLUSION: CsA minimization using a standard dose of EC-MPS kept the
incidence of acute rejection and additional risks as low as conventional
immunosuppression and provided therapeutic equivalence in terms of renal graft
function and safety issues.
PMID- 27873517
TI - Reliability and Validity of the Korean Version of the Lifetime Stressor Checklist
Revised in Psychiatric Outpatients with Anxiety or Depressive Disorders.
AB - PURPOSE: Traumatic events and adverse stressful experiences are major etiological
factors in a wide variety of physical and mental disorders. Developing
psychological instruments that can be easily administered and that have good
psychometric properties have become an integral part for research and practice.
This study investigated the reliability and validity of the Korean version of the
Lifetime Stressor Checklist-Revised (LSC-R) in a consecutive sample of
psychiatric outpatients. The LSC-R is a 30-item self-reporting questionnaire
examining lifetime traumatic and non-traumatic stressors. MATERIALS AND METHODS:
A final sample of 258 outpatients with anxiety or depressive disorders was
recruited at the psychiatric department of a university-affiliated teaching
hospital. Self-reported data included the Life Events Checklist (LEC), the Zung
Self-Rating Depression and Anxiety Scales, and the Impact of Events Scale
Revised, in addition to the LSC-R. A convenience sample of 50 college students
completed the LSC-R on two occasions separated by a three week-interval for test
retest reliability. RESULTS: Mean kappa for temporal stability was high
(kappa=0.651) and Cronbach alpha was moderate (alpha=0.724). Convergent validity
was excellent with corresponding items on the LEC. Concurrent validity was good
for symptoms of post-traumatic stress disorder, depression, and anxiety. An
exploratory factor analysis revealed that 11 factors explained 64.3 % of the
total variance. CONCLUSION: This study demonstrated good psychometric properties
of the Korean version of the LSC-R, further supporting its use in clinical
research and practice with a Korean speaking population.
PMID- 27873518
TI - miR-379 Inhibits Cell Proliferation, Invasion, and Migration of Vascular Smooth
Muscle Cells by Targeting Insulin-Like Factor-1.
AB - PURPOSE: MicroRNAs are small non-coding RNAs that play important roles in
vascular smooth muscle cell (VSMC) function. This study investigated the role of
miR-379 on proliferation, invasion, and migration of VSMCs and explored
underlying mechanisms thereof. MATERIALS AND METHODS: MicroRNA, mRNA, and protein
levels were determined by quantitative real-time PCR and western blot. The
proliferative, invasive, and migratory abilities of VSMCs were measured by CCK-8,
invasion, and wound healing assay, respectively. Luciferase reporter assay was
used to confirm the target of miR-379. RESULTS: Platelet-derived growth factor-bb
was found to promote cell proliferation and suppress miR-379 expression in VSMCs.
Functional assays demonstrated that miR-379 inhibited cell proliferation, cell
invasion, and migration. Flow cytometry results further showed that miR-379
induced apoptosis in VSMCs. TargetScan analysis and luciferase report assay
confirmed that insulin-like growth factor-1 (IGF-1) 3'UTR is a direct target of
miR-379, and mRNA and protein levels of miR-379 and IGF-1 were inversely
correlated. Rescue experiments showed that enforced expression of IGF-1
sufficiently overcomes the inhibitory effect of miR-379 on cell proliferation,
invasion, and migration in VSMCs. CONCLUSION: Our results suggest that miR-379
plays an important role in regulating VSMCs proliferation, invasion, and
migration by targeting IGF-1.
PMID- 27873519
TI - Use of Three-Dimensional Curved-Multiplanar Reconstruction Images for Sylvian
Dissection in Microsurgery of Middle Cerebral Artery Aneurysms.
AB - PURPOSE: The purpose of this study was to introduce a method of using three
dimensional (3D) curved-multiplanar reconstruction (MPR) images for sylvian
dissection during microsurgical treatment of middle cerebral artery (MCA)
aneurysms. MATERIALS AND METHODS: Forty-nine patients who had undergone surgery
for MCA aneurysms were enrolled. We obtained the 3D curved-MPR images along the
sphenoid ridge using OsiriX MDTM imaging software, compared sylvian dissection
time according to several 3D MPR image factors, and investigated the correlations
between these images and intraoperative findings. RESULTS: Utilizing preoperative
information of the sylvian fissure (SF) and peri-aneurysmal space on 3D curved
MPR images, we could predict the feasibility of sylvian dissection for a safe
surgery. 3D curved-MPR images showed several features: first, perpendicular
images to the sylvian surface in the same orientation as the surgeon's view;
second, simultaneous visualization of the brain cortex, vessels, and cisternal
space; and third, more accurate measurement of various parameters, such as depth
of the MCA from the sylvian surface and the location and width of the SFs.
CONCLUSION: In addition to conventional image studies, 3D curved-MPR images seem
to provide useful information for Sylvian dissection in the microsurgical
treatment of MCA aneurysms.
PMID- 27873520
TI - Rupture of Right Ventricular Free Wall Following Ventricular Septal Rupture in
Takotsubo Cardiomyopathy with Right Ventricular Involvement.
AB - Most patients diagnosed with takotsubo cardiomyopathies are expected to almost
completely recover, and their prognosis is excellent. However, complications can
occur in the acute phase. We present a case of a woman with takotsubo
cardiomyopathy with right ventricular involvement who developed a rupture of the
right ventricular free wall following ventricular septal rupture, as a
consequence of an acute increase in right ventricular afterload by left-to-right
shunt. Our case report illustrates that takotsubo cardiomyopathy can be life
threatening in the acute phase. Ventricular septal rupture in biventricular
takotsubo cardiomyopathy may be a harbinger of cardiac tamponade by right
ventricular rupture.
PMID- 27873521
TI - A Case of Recurrent Urticaria Due to Formaldehyde Release from Root-Canal
Disinfectant.
AB - Although formaldehyde is well known to cause type 4 hypersensitivity,
immunoglobulin E (IgE)-mediated hypersensitivity to formaldehyde is rare. Here,
we report a case of recurrent generalized urticaria after endodontic treatment
using a para-formaldehyde (PFA)-containing root canal sealant and present a
review of previous studies describing cases of immediate hypersensitivity
reactions to formaldehyde. A 50-year-old man visited our allergy clinic for
recurrent generalized urticaria several hours after endodontic treatment. Prick
tests to latex, lidocaine, and formaldehyde showed negative reactions. However,
swelling and redness at the prick site continued for several days. The level of
formaldehyde-specific IgE was high (class 4). Thus, the patient was deemed to
have experienced an IgE-mediated hypersensitivity reaction caused by the PFA used
in the root canal disinfectant. Accordingly, we suggest that physicians should
pay attention to type I hypersensitivity reactions to root canal disinfectants,
even if the symptoms occur several hours after exposure.
PMID- 27873522
TI - Extremely Well-Differentiated Papillary Thyroid Carcinoma Resembling Adenomatous
Hyperplasia Can Metastasize to the Skull: A Case Report.
AB - We describe herein histologic, immunohistochemical, and molecular findings and
clinical manifestations of a rare case of an extremely well differentiated
papillary thyroid carcinoma (EWD-PTC). Similarly, it is also difficult to
diagnose follicular variant papillary thyroid carcinoma (FVPTC), whose diagnosis
is still met with controversy. A recently reported entity of well-differentiated
tumor of uncertain malignant potential (WDT-UMP) is added to the diagnostic
spectrum harboring EWD-PTC and FVPTC. We report this case, because EWD-PTC is
different from FVPTC in its papillary architecture, and also from WDT-UMP in its
recurrence and metastatic pattern. These morphologically deceptive entities
harbored diagnostic difficulties in the past because the diagnosis depended
solely on histology. However, they are now diagnosed with more certainty by
virtue of immunohistochemical and molecular studies. We experienced a case of EWD
PTC, which had been diagnosed as adenomatous hyperplasia 20 years ago and
manifested recurrence with lymph node (LN) metastasis 7 years later. After
another 7 years of follow-up, a new thyroid lesion had developed, diagnosed as
FVPTC, with LN metastasis of EWD-PTC. One year later, the patient developed
metastatic FVPTC in the skull. Immunohistochemically, the EWD-PTC was focally
positive for CK19, negative for galectin-3, and focally negative for CD56.
Molecular studies revealed BRAF-positivity and K-RAS negativity. The FVPTC in the
left thyroid showed both BRAF and K-RAS negativity. In conclusion, EWD-PTC and
FVPTC share similar histologic features, but they are different tumors with
different molecular biologic and clinical manifestations. A large cohort of EWD
PTC should be included in further study.
PMID- 27873524
TI - Erratum to "Clinical Experience with the Bentall Procedure: 28 Years" by Joo HC,
et al. (Yonsei Med J 2012;53:915-23.).
AB - This corrects the article on p. 915 in vol. 53, PMID: 22869473.
PMID- 27873523
TI - Is Human Brucellosis Endemics in Korea?
PMID- 27873525
TI - ?
PMID- 27873526
TI - EVALUATION OF COMPLICATIONS AFTER ENDOSCOPY ASSISTED OPEN REDUCTION AND INTERNAL
FIXATION OF UNILATERAL CONDYLAR FRACTURES OF THE MANDIBLE. RETROSPECTIVE ANALYSIS
2010-2015.
AB - BACKGROUND: The authors present their experience with endoscopy assisted open
reduction and internal fixation of condylar fractures of the mandible. Their
results are presented in a retrospective study of 33 patients with unilateral
subcondylar fracture, who underwent a surgical procedure between 2010 and 2015.
Reduction and fixation, stability of occlusion 12 months after the operation and
also presence of complications were evaluated. RESULTS: Satisfactory reduction
(anatomic or physiologic) was achieved in 31 patients. Stability of occlusion was
worse in 1 patient (due to condylar absorption). Complications included mainly
inflammatory complications (4 patients) and temporary paresis of the facial nerve
(3 patients). Impaired function of temporomandibular joint was not reported in
any of the patients. CONCLUSION: Endoscopy assisted open reduction and internal
fixation is an alternative to classical surgical procedures, however it requires
special instrumentarium and experienced surgical team.
PMID- 27873527
TI - NUMERICAL EVALUATION OF SCAR AFTER BREAST RECONSTRUCTION WITH ABDOMINAL
ADVANCEMENT FLAP.
AB - BACKGROUND: The treatment of breast cancer has developed a lot during the last
decade, nevertheless it still remains a considerable social and economical
problem all over the world. The choice of the surgical procedure depends on a
patients protocol and the surgeons preferences. The aim of this study is to
evaluate the stress on the scar after breast reconstruction. METHODS:
Mathematical modeling of the sutured skin flap used for breast implant placement
was divided into the following two steps. At first, material model of the
selected silicone implant was identified. Afterwards, the mathematical model of
the breast and implant was performed. RESULTS: Maximal geometrical deviation for
anatomical and round implant is placed on the lower surface of the breast and
upper surface of the breast, while in the area of lateral geometry and the area
around the nipple the agreement reaches very high level. The maximal tension is
located in two median stitches. The maximal force reaches 0.025 N. The Cauchy
stress equivalent is located around the nipple and reaches the value of 380 kPa.
CONCLUSION: From our results it can be seen, that the anatomical and round breast
implants do not result in the same stress on the scar. The maximal value
difference reaches 13.4% between stress values for these two breast implants and
the round implant results in higher loaded scar compared to the anatomical
implant.
PMID- 27873528
TI - TRANSPLANTATION OF VASCULARIZED COMPOSITE ALLOGRAFTS. REVIEW OF CURRENT
KNOWLEDGE.
AB - Transplantation in reconstructive surgery has been performed for more than 20
years, although the official beginning of these procedures is considered the
first successful transplantation of the hand in 1998. The original name
"Composite Tissue Transplantation" has been used less frequently; more common is
the term "Vascularized Composite Allotransplant", which better describes the
basis of the procedure. There have been so far approximately 180 transplantations
performed, the exact number is difficult to find. The most known transplantation
from this group include transplantation of the hand and face, the other, such as
transplantation of the abdominal wall, joints, bones, trachea, larynx, tongue,
penis, uterus, etc. are less common and less well known. The common
characteristics is that they are not used for salvage or prolongation of life,
but to improve its quality. The quality of life is a value, which cannot be
objectively measured and the opinions regarding its importance significantly
differ. Therefore there is still an unsolved ethical issue regarding these
procedures, which is based on the justification to use immunosuppressive therapy
with its serious risks in cases when the procedure is not needed for salvage or
preservation of life.
PMID- 27873529
TI - TRACHEAL ALLOTRANSPLANTATION AND REGENERATION.
AB - Non-malignant and malignant obstruction of the tracheal airway causes significant
morbidity and mortality. With increased use of artificial airways, benign and
iatrogenic complications are increasing. A tracheal stenosis that is less than 5
cm in length can be resected with end-to-end anastomosis. Longer tracheal lesions
can be treated in a palliative way by placement of a stent to secure airway lumen
patency. The management of tracheal defects is an evolving field. Tracheal
transplantation and tracheal regeneration may provide major treatment advances to
cases with long-segment tracheal involvement. This review examines the current
possibilities and future prospects in the area of tracheal transplantation and
regeneration.
PMID- 27873530
TI - PULMONARY EMBOLISM AFTER ABDOMINOPLASTY - ARE WE REALLY ABLE TO AVOID ALL
COMPLICATIONS? CASE REPORTS AND LITERATURE REVIEW.
AB - Pulmonary embolism is a potentially lethal complication in plastic surgery
patients. About 34% of the members of American Society of Plastic Surgery have
diagnosed pulmonary embolism in their patients, and 7% had experienced at least 1
death due to this complication. The American Society of Plastic Surgeons
Executive Committee approved the Venous Thromboembolism Task Force Report. The
members agreed that there was not enough evidence to make all-inclusive
recommendations for plastic surgery deep vein thrombosis and pulmonary embolism
prophylaxis, but released the 2005 Caprini Scale accompanied by the Task Force
recommendations for use in plastic surgery. It is generally strongly advised to
use appropriate prophylactic measures against venous thromboembolism in all
surgical procedures. However, even then we cannot completely avoid this serious
complication.
PMID- 27873532
TI - IS NON-TRAUMATIC NAIL DYSTRPOPHY ONLY DUE TO CHRONIC ONYCHOMYCOSIS? THE
ONYCHOMATRICOMA. CASE REPORT.
AB - Onychomatricoma is a rare benign fibroepithelial tumour that originates from the
nail matrix and can affect the nail bed of fingers and toes. Onychomatricoma may
represent a premalignant lesion and although the etiology is still not fully
understood, a previous finger trauma is considered the main predisposing factor.
Unlike previous scientific articles we report a case of a "non traumatic"
onychomatricoma in a 60 years old woman underlining the clinical and histological
features to distinguish this uncommon lesion from other lesions originating from
the nail apparatus.
PMID- 27873531
TI - USE OF OSTEOTOMY IN POST-TRAUMATIC DEFORMITY OF FRONTAL SINUS ANTERIOR WALL. CASE
REPORT.
AB - Post-traumatic deformity of frontal sinus anterior table can be treated in
various ways. Usage of a certain type of an implant is a common method. We
performed an osteotomy and subsequent reduction of the fragments to reconstruct
their anatomical position. Titanium miniplates and screws were used for fixation.
This case report suggests a possible use of this method in patients with more
extensive frontal sinus and distinct post-traumatic deformity. No similar case
manages as described in our case report was found in the literature.
PMID- 27873533
TI - SALUTATIO ET LAUDATIO AD ANNIVERSARIUM PROFESSORIS WILLIAM GUNN.
PMID- 27873534
TI - Corrigendum.
PMID- 27873535
TI - Loading of the lumbar spine during backpack carriage.
AB - Backpack carriage is significantly associated with a higher prevalence of low
back pain. Elevated compression and shear forces in the lumbar intervertebral
discs are known risk factors. A novel method of calculating the loads in the
lumbar spine during backpack carriage is presented by combining physical and
numerical modelling. The results revealed that to predict realistic lumbar
compression forces, subject-specific lumbar curvature data were not necessary for
loads up to 40 kg. In contrast, regarding shear forces, using subject-specific
lumbar curvature data from upright MRI measurements as input for the rigid body
model significantly altered lumbar joint force estimates.
PMID- 27873536
TI - Discomfort and avoidance of touch: new insights on the emotional deficits of
social anxiety.
AB - Physical touch is central to the emotional intimacy that separates romantic
relationships from other social contexts. In this study of 256 adults (128
heterosexual couples, mean relationship length = 20.5 months), we examined
whether individual differences in social anxiety influenced comfort with and
avoidance of physical touch. Because of prior work on sex difference in touch
use, touch comfort, and social anxiety symptoms and impairment, we explored sex
specific findings. We found evidence that women with greater social anxiety were
less comfortable with touch and more avoidant of touch in same-sex friendships.
Additionally, a woman's social anxiety had a bigger effect on a man's comfort
with touch and avoidance of touch in the romantic relationship than a man's
social anxiety had on the woman's endorsement of touch-related problems. These
effects were uninfluenced by the length of romantic relationships. Touch is a
neglected emotional experience that offers new insights into the difficulties of
individuals suffering from social anxiety problems, and their romantic partners.
PMID- 27873537
TI - Brain region-specific effects of immobilization stress on cholinesterases in
mice.
AB - Brain acetylcholinesterase (AChE) variant AChER expression increases with acute
stress, and this persists for an extended period, although the timing, strain and
laterality differences, have not been explored previously. Acute stress
transiently increases acetylcholine release, which in turn may increase activity
of cholinesterases. Also the AChE gene contains a glucocorticoid response element
(GRE), and stress-inducible AChE transcription and activity changes are linked to
increased glucocorticoid levels. Corticotropin-releasing hormone knockout (CRH
KO) mice have basal glucocorticoid levels similar to wild type (WT) mice, but
much lower levels during stress. Hence we hypothesized that CRH is important for
the cholinesterase stress responses, including butyrylcholinesterase (BChE). We
used immobilization stress, acute (30 or 120 min) and repeated (120 min daily *
7) in 48 male mice (24 WT and 24 CRH-KO) and determined AChER, AChE and BChE mRNA
expression and AChE and BChE activities in left and right brain areas (as
cholinergic signaling shows laterality). Immobilization decreased BChE mRNA
expression (right amygdala, to 0.5, 0.3 and 0.4, * control respectively) and
AChER mRNA expression (to 0.5, 0.4 and 0.4, * control respectively). AChE mRNA
expression increased (1.3, 1.4 and 1.8-fold, respectively) in the left striatum
(Str). The AChE activity increased in left Str (after 30 min, 1.2-fold),
decreased in right parietal cortex with repeated stress (to 0.5 * control). BChE
activity decreased after 30 min in the right CA3 region (to 0.4 * control) but
increased (3.8-fold) after 120 min in the left CA3 region. The pattern of changes
in CRH-KO differed from that in WT mice.
PMID- 27873538
TI - The effects of elevated hearing thresholds on performance in a paintball
simulation of individual dismounted combat.
AB - OBJECTIVE: To examine the relationship between hearing acuity and operational
performance in simulated dismounted combat. DESIGN: Individuals wearing hearing
loss simulation systems competed in a paintball-based exercise where the
objective was to be the last player remaining. Four hearing loss profiles were
tested in each round (no hearing loss, mild, moderate and severe) and four rounds
were played to make up a match. This allowed counterbalancing of simulated
hearing loss across participants. STUDY SAMPLE: Forty-three participants across
two data collection sites (Fort Detrick, Maryland and the United States Military
Academy, New York). All participants self-reported normal hearing except for two
who reported mild hearing loss. RESULTS: Impaired hearing had a greater impact on
the offensive capabilities of participants than it did on their "survival",
likely due to the tendency for individuals with simulated impairment to adopt a
more conservative behavioural strategy than those with normal hearing.
CONCLUSIONS: These preliminary results provide valuable insights into the impact
of impaired hearing on combat effectiveness, with implications for the
development of improved auditory fitness-for-duty standards, the establishment of
performance requirements for hearing protection technologies, and the refinement
of strategies to train military personnel on how to use hearing protection in
combat environments.
PMID- 27873539
TI - Can an external device create and trigger intention in a patient with a severe
brain injury?
AB - Goal-directed behaviour (GDB), the capacity that allows us to control our
environment according to our desires and needs, requires different stages from
initial intention to goal achievement. Although GDB is frequently disrupted after
acquired brain injury, few studies have addressed the remediation of reduced GDB
in patients with severe brain injury. The present study aimed to raise this
question in RZ, a patient with severe brain injury who presented a serious
reduction in GDB related to difficulties in creating an intention from internal
determinants and in selecting an action plan, as well as to memory and
attentional impairments. Our objective was to investigate the efficacy of an
intervention programme to create and trigger a specific intention in RZ. More
specifically, this programme consisted in written prompts, first accompanied by
an alarm provided by his mobile phone, but gradually reduced until only the alarm
remained, which was implemented in order to improve RZ's attendance at workshop
sessions. Results showed that RZ's attendance rate at the workshop sessions
increased, indicating that the use of an external device allowed us to create and
trigger intention in a patient with severe cognitive impairments.
PMID- 27873540
TI - [Stress related correlates of anxiety and depression in girls with chronic
headache].
AB - Chronic headache in adolescents is frequent and often associated with anxiety and
depression. The present study investigated, whether psychological and physical
stress symptoms have an infl uence on the occurrence of anxiety and depression
and what is the role of stress coping. The sample consisted of 77 15 years old
girls with chronic headache and 72 girls, who served as controls. Stress symptoms
and stress coping were measured with the Stressverarbeitungsfragebogen fur Kinder
und Jugendliche (Coping with Stress Questionnaire for Children and Adolescents),
depression was assessed by the Depression Inventory for Children and Adolescents,
anxiety by the State-Trait Anxiety Inventory for Children. Linear regression was
used to analyze the relationship between stress factors and anxiety resp.
depression. Physical stress symptoms were related to anxiety, but not to
depression. Coping strategies of the depressed as well as the anxious children
were characterized by stress reinforcing behaviors. The results point to focusing
on physical symptoms in the anxious headache patients and to avoidance coping in
the depressed children.
PMID- 27873541
TI - The relationship between suppression and subsequent intrusions: the mediating
role of peritraumatic dissociation and anxiety.
AB - BACKGROUND AND OBJECTIVES: Although previous studies showed that thought and
emotion suppression represent risk factors for intrusions development, the
mechanisms that explain these relations were less explored. This study aims to
examine the relationships between thought and emotion suppression and the
symptoms of intrusion following the exposure to a trauma-related event. Moreover,
we explored if these relationships would be mediated by peritraumatic state
dissociation and state anxiety. DESIGN AND METHODS: The trauma film paradigm was
used and the participants were students (N = 148) experimentally exposed to an
aversive film to model a traumatic experience. Thought and emotion suppression
were measured before the trauma exposure. After exposure, the participants
completed scales for measuring state dissociation and state anxiety. Intrusive
images and thoughts of the film were recorded in the subsequent week using an
intrusion diary. RESULTS: Thought suppression predicted intrusive thoughts
frequency, and this effect was mediated by the peritraumatic anxiety. State
anxiety predicted both intrusive images and thoughts, while state dissociation
only predicted intrusive images. CONCLUSIONS: Intrusive images and intrusive
thoughts are different phenomena and they are predicted by different variables.
The practical implications of these results for posttraumatic stress disorder
treatment and secondary traumatization are discussed.
PMID- 27873542
TI - A 6-year surveillance study of "Stingers" in NCAA American Football.
AB - This study describes the epidemiology of "stinger" injuries in National
Collegiate Athletic Association (NCAA) Men's Football. About 57 NCAA Men's
Football programmes provided 153 team-seasons of injury data to the NCAA Injury
Surveillance Programme (NCAA-ISP) during the 2009/2010-2014/2015 academic years.
In the study period, 229 "stingers" were reported for an injury rate of
2.04/10,000 athlete-exposures (AE). Most "stingers" were reported during
competitions (55.5%) and the preseason (80.3%) and resulted in time loss less
than 24 hours (63.8%). One in five (18.8%) were recurrent. Most "stingers" were
due to player contact (93.0%), particularly while tackling (36.7%) and blocking
(25.8%) and occurred to defensive ends/linebackers (25.8%) and offensive linemen
(23.6%). Although previous research reports a large prevalence of "stingers"
among football players, the NCAA-ISP reported a relatively low injury rate. The
transient nature of pain associated with "stingers" may have contributed to under
reporting, highlighting the need to deduce manners to increase reporting.
PMID- 27873543
TI - Hearing aid fitting and fine-tuning based on estimated individual traits.
AB - OBJECTIVE: A generalised concept for hearing aid fitting and fine-tuning based on
estimated individual traits is presented along first implementations in this
report. DESIGN: To estimate the individual traits, a set of auditory model-based
performance measures is used to generate promising candidates within the
algorithm's parameter space for a subsequent subjective rating. For the
subjective assessment, a fast and intuitive multi-stimulus test denoted as
combined discrimination and classification (CoDiCl) is presented to capture user
preferences for an optimised setting. STUDY SAMPLE: The estimation of individual
traits is shown in an exemplary manner for a multidimensional coherence-based
noise reduction algorithm. The dimensionality reduction was performed using
differently weighted combinations of speech intelligibility index (SII) and
perceived similarity measure (PSM). RESULTS: Nine reasonable alternative
algorithm setting candidates were extracted from a model-optimised exploration
path (MOEP) for a subsequent subjective rating to potentially differentiate
between listeners with different attitudes towards noise suppression and
introduced distortions (i.e. "noise haters" and "distortion haters").
CONCLUSIONS: By iteratively improving the agreement between subjective and
objective assessment, an objective estimation of subjective traits using
appropriate weightings of objective measures may become possible. This will
potentially help to efficiently fit modern multidimensional hearing aid
algorithms to the individual user.
PMID- 27873544
TI - Erratum.
PMID- 27873545
TI - Presence of Lactobacillus reuteri in saliva coincide with higher salivary IgA in
young adults after intake of probiotic lozenges.
AB - The aim of this study was to compare the concentration of salivary immunoglobulin
A (IgA) and the selected interleukins (IL)-1beta, IL-6, IL-8 and IL-10 in young
individuals with presence and non-presence of Lactobacillus reuteri in saliva
after a three-week intervention with probiotic lozenges. The study group
consisted of 47 healthy individuals aged 18-32 years with no clinical signs of
oral inflammation. In a randomised, double-blind, placebo-controlled, cross-over
trial participants ingested two lozenges per day containing two strains of the
probiotic bacterium L. reuteri or placebo lozenges. The intervention and wash-out
periods were three weeks. Stimulated and unstimulated whole saliva was collected
at baseline and immediately after termination of the intervention periods. The
samples were analysed for total protein, salivary IgA and selected cytokines. In
this extended analysis, data were collected by analysing baseline and follow-up
saliva samples related to ingestion of the probiotic lozenges for the presence of
L. reuteri through DNA-extraction, PCR-amplification and gel-electrophoresis. At
baseline, 27% of the individuals displayed presence of L. reuteri and 42% were
positive immediately after the three-week probiotic intervention. Individuals
with presence of L. reuteri in saliva had significantly higher (P<0.05)
concentrations of salivary IgA and %IgA/protein at the termination of the
probiotic intake compared with non-presence. No differences in the cytokine
levels were observed. In conclusion, detectable levels of L. reuteri in saliva
coincided with higher concentrations of salivary IgA and %IgA/protein in
stimulated whole saliva after the three-week daily intake of probiotic lozenges.
Our findings suggest that monitoring the presence of probiotic candidates in the
oral environment is important to interpret and understand their possible immune
modulating role in maintaining oral health.
PMID- 27873546
TI - Evaluation of the effect of soymilk fermented by a riboflavin-producing
Lactobacillus plantarum strain in a murine model of colitis.
AB - Inflammatory bowel diseases (IBD) are idiopathic diseases of the gastrointestinal
tract characterised by recurrent inflammation that require lifelong treatments.
It has been shown that certain strains of lactic acid bacteria (LAB) can produce
specific health-promoting compounds in foods or in the gastrointestinal tract
that can in turn prevent and/or treat IBD. This study was designed to evaluate
the possible therapeutic potential of soymilk fermented by the riboflavin
producing strain Lactobacillus plantarum CRL 2130 in a trinitrobenzene sulfonic
induced colitis mouse model. Mice that received soymilk fermented by L. plantarum
CRL 2130 showed a decrease in weight loss, lower damage scores in their large
intestines, lower microbial translocation to liver and decreased cytokines levels
in their intestinal fluids compared to animals that received unfermented soymilk
or soymilk fermented by a non-riboflavin-producing L. plantarum strain. This is
the first report that demonstrates that a riboflavin-producing LAB was able to
prevent experimental colitis in a murine model.
PMID- 27873547
TI - Daily ingestion of the probiotic Lactobacillus paracasei ST11 decreases Vaccinia
virus dissemination and lethality in a mouse model.
AB - Vaccinia virus (VACV) is an important pathogen. Although studies have shown
relationships between probiotics and viruses, the effect of probiotics on VACV
infection is unknown. Therefore, this work aims to investigate the probiotics
effects on VACV infection. Mice were divided into four groups, two non-infected
groups, one receiving the probiotic, the other one not receiving it, and two
groups infected intranasally with VACV Western Reserve (VACV-WR) receiving or not
receiving the probiotic. Viral titres in organs and cytokine production in the
lungs were analysed. Lung samples were also subjected to histological analysis.
The intake of probiotic results in reduction in viral spread with a significant
decrease of VACV titer on lung, liver and brain of treated group. In
addition,treatment with the probiotic results in attenuated mice lung
inflammation showing fewer lesions on histological findings and decreased
lethality in mice infected with VACV. The ingestion of Lactobacillus paracasei
ST11 (LPST11) after VACV infection resulted in 2/9 animal lethality compared with
4/9 in the VACV group. This is the first study on probiotics and VACV
interactions, providing not only information about this interaction, but also
proposing a model for future studies involving probiotics and other poxvirus.
PMID- 27873548
TI - A reliability generalization meta-analysis of coefficient alpha and test-retest
coefficient for the aging males' symptoms (AMS) scale.
AB - PURPOSE: The aging males' symptoms (AMS) scale is an instrument used to determine
the health-related quality of life in adult and elderly men. The purpose of this
study was to synthesize internal consistency (Cronbach's alpha) and test-retest
reliability for the AMS scale and its three subscales. METHODS: Of the 123
studies reviewed, 12 provided alpha coefficients which were then used in the meta
analyses of internal consistency. Seven of the 12 included studies provided test
retest coefficients, and these were used in the meta-analyses of test-retest
reliability. RESULTS: The AMS scale had excellent internal consistency [alpha =
0.89 (95% CI 0.88-0.90)]; the mean alpha estimates across the AMS subscales
ranged from 0.79 to 0.82. The AMS scale also had good test-retest reliability [r
= 0.85 (95% CI 0.82-0.88]; the test-retest reliability coefficients of the AMS
subscales ranged from 0.76 to 0.83. There was significant heterogeneity among the
included studies. CONCLUSIONS: The AMS scale and the three subscales had fairly
good internal consistency and test-retest reliability. Future psychometric
studies of the AMS scale should report important characteristics of the
participants, details of item scores, and test-retest reliability.
PMID- 27873549
TI - A systematic review of recommended modifications of CBT for people with cognitive
impairments following brain injury.
AB - Due to diverse cognitive, emotional and interpersonal changes that can follow
brain injury, psychological therapies often need to be adapted to suit the
complex needs of this population. The aims of the study were to synthesise
published recommendations for therapy modifications following brain injury from
non-progressive traumatic, vascular, or metabolic causes and to determine how
often such modifications have been applied to cognitive behavioural therapy (CBT)
for post-injury emotional adjustment problems. A systematic review and narrative
synthesis of therapy modifications recommended in review articles and reported in
intervention studies was undertaken. Database and manual searches identified 688
unique papers of which eight review articles and 16 intervention studies met
inclusion criteria. The review articles were thematically analysed and a
checklist of commonly recommended modifications composed. The checklist items
clustered under themes of: therapeutic education and formulation; attention;
communication; memory; and executive functioning. When this checklist was applied
to the intervention studies, memory aids and an emphasis on socialising patients
to the CBT model were most frequently reported as adaptations. It was concluded
that the inconsistent reporting of psychological therapy adaptations for people
with brain injury is a barrier to developing effective and replicable therapies.
We present a comprehensive account of potential modifications that should be used
to guide future research and practice.
PMID- 27873550
TI - Self and other body perception in anorexia nervosa: The role of posterior DMN
nodes.
AB - OBJECTIVES: Body image distortion is a core symptom of anorexia nervosa (AN),
which involves alterations in self- (and other's) evaluative processes arising
during body perception. At a neural level, self-related information is thought to
rely on areas of the so-called default mode network (DMN), which, additionally,
shows prominent synchronised activity at rest. METHODS: Twenty female patients
with AN and 20 matched healthy controls were scanned using magnetic resonance
imaging when: (a) viewing video clips of their own body and another's body; (b)
at rest. Between-group differences within the DMN during task performance were
evaluated and further explored for task-related and resting-state-related
functional connectivity alterations. RESULTS: AN patients showed a
hyperactivation of the dorsal posterior cingulate cortex during their own-body
processing but a response failure to another's body processing at the precuneus
and ventral PCC. Increased task-related connectivity was found between dPCC
dorsal anterior cingulate cortex and precuneus-mid-temporal cortex. Further, AN
patients showed decreased resting-state connectivity between the dPCC and the
angular gyrus. CONCLUSIONS: The PCC and the precuneus are suggested as key
components of a network supporting self-other-evaluative processes implicated in
body distortion, while the existence of DMN alterations at rest might reflect a
sustained, task-independent breakdown within this network in AN.
PMID- 27873551
TI - On the Methodological Implications of Extracting Muscle Synergies from Human
Locomotion.
AB - We investigated the influence of three different high-pass (HP) and low-pass (LP)
filtering conditions and a Gaussian (GNMF) and inverse-Gaussian (IGNMF) non
negative matrix factorization algorithm on the extraction of muscle synergies
from myoelectric signals during human walking and running. To evaluate the
effects of signal recording and processing on the outcomes, we analyzed the
intraday and interday computation reliability. Results show that the IGNMF
achieved a significantly higher reconstruction quality and on average needs one
less synergy to sufficiently reconstruct the original signals compared to the
GNMF. For both factorizations, the HP with a cut-off frequency of 250[Formula:
see text]Hz significantly reduces the number of synergies. We identified the
filter configuration of fourth order, HP 50[Formula: see text]Hz and LP
20[Formula: see text]Hz as the most suitable to minimize the combination of
fundamental synergies, providing a higher reliability across all filtering
conditions even if HP 250[Formula: see text]Hz is excluded. Defining a
fundamental synergy as a single-peaked activation pattern, for walking and
running we identified five and six fundamental synergies, respectively using both
algorithms. The variability in combined synergies produced by different filtering
conditions and factorization methods on the same data set suggests caution when
attributing a neurophysiological nature to the combined synergies.
PMID- 27873552
TI - Correlated EEG Signals Simulation Based on Artificial Neural Networks.
AB - In recent years, simulation of the human electroencephalogram (EEG) data found
its important role in medical domain and neuropsychology. In this paper, a novel
approach to simulation of two cross-correlated EEG signals is proposed. The
proposed method is based on the principles of artificial neural networks (ANN).
Contrary to the existing EEG data simulators, the ANN-based approach was
leveraged solely on the experimentally acquired EEG data. More precisely,
measured EEG data were utilized to optimize the simulator which consisted of two
ANN models (each model responsible for generation of one EEG sequence). In order
to acquire the EEG recordings, the measurement campaign was carried out on a
healthy awake adult having no cognitive, physical or mental load. For the
evaluation of the proposed approach, comprehensive quantitative and qualitative
statistical analysis was performed considering probability distribution,
correlation properties and spectral characteristics of generated EEG processes.
The obtained results clearly indicated the satisfactory agreement with the
measurement data.
PMID- 27873553
TI - Real-Time Control of an Exoskeleton Hand Robot with Myoelectric Pattern
Recognition.
AB - Robot-assisted training provides an effective approach to neurological injury
rehabilitation. To meet the challenge of hand rehabilitation after neurological
injuries, this study presents an advanced myoelectric pattern recognition scheme
for real-time intention-driven control of a hand exoskeleton. The developed
scheme detects and recognizes user's intention of six different hand motions
using four channels of surface electromyography (EMG) signals acquired from the
forearm and hand muscles, and then drives the exoskeleton to assist the user
accomplish the intended motion. The system was tested with eight neurologically
intact subjects and two individuals with spinal cord injury (SCI). The overall
control accuracy was [Formula: see text] for the neurologically intact subjects
and [Formula: see text] for the SCI subjects. The total lag of the system was
approximately 250[Formula: see text]ms including data acquisition, transmission
and processing. One SCI subject also participated in training sessions in his
second and third visits. Both the control accuracy and efficiency tended to
improve. These results show great potential for applying the advanced myoelectric
pattern recognition control of the wearable robotic hand system toward improving
hand function after neurological injuries.
PMID- 27873555
TI - Different Gene Therapy Strategies: A Overview for Prostate Cancer.
AB - Gene therapy emerged as a mighty alternative for conventional treatment of
multiple diseases. It has been defined as a product "that mediate their effects
by transcription and/or translation of transferred genetic material and/or by
integrating into the host genome and that are administered as nucleic acids,
viruses, or genetically engineered microorganisms. The products may be used to
modify cells in vivo or transferred to cells ex vivo prior to administration to
the recipient". The first therapeutic gene therapy human trial was conducted in
1990 by Michael R. Blaese, and besides its potential, the technique suffered a
major drawback after the tragical death of Jesse Gelsinger, caused by his immune
response against the viral vector used in his treatment. To date, gene therapy
has regained some popularity and more than 2000 clinical trials are ongoing, most
of them related to the treatment or prevention of various types of cancer.
Nevertheless, some types of cancer contain a rare population of stem-like cells,
capable of differentiation into tumor cells, promoting the re-incidence of
tumors. Those cells are generally more resilient to chemotherapy and radiotherapy
and are related to tumor initiation, progression, recurrence and metastasis. The
human prostate cancer (PCa) is highly heterogeneous and multifactorial, and even
the markers are not precise enough to predict the clinical outcome. Furthermore,
even though currently therapies can efficiently remove the tumors, the re
incidence rates are high. Gene therapy offers a handful of treatments that can
halt oncogenes activation, promote the expression of suppressor genes or target
cancer cells directly and induce apoptosis. Besides the risks involved, gene
therapy can be of great help in the treatment of cancers and other diseases. This
review aims to address the safety and potential of different gene therapy
strategies used in the treatment of cancers.
PMID- 27873554
TI - A Realistic Seizure Prediction Study Based on Multiclass SVM.
AB - A patient-specific algorithm, for epileptic seizure prediction, based on
multiclass support-vector machines (SVM) and using multi-channel high-dimensional
feature sets, is presented. The feature sets, combined with multiclass
classification and post-processing schemes aim at the generation of alarms and
reduced influence of false positives. This study considers 216 patients from the
European Epilepsy Database, and includes 185 patients with scalp EEG recordings
and 31 with intracranial data. The strategy was tested over a total of
16,729.80[Formula: see text]h of inter-ictal data, including 1206 seizures. We
found an overall sensitivity of 38.47% and a false positive rate per hour of
0.20. The performance of the method achieved statistical significance in 24
patients (11% of the patients). Despite the encouraging results previously
reported in specific datasets, the prospective demonstration on long-term EEG
recording has been limited. Our study presents a prospective analysis of a large
heterogeneous, multicentric dataset. The statistical framework based on
conservative assumptions, reflects a realistic approach compared to constrained
datasets, and/or in-sample evaluations. The improvement of these results, with
the definition of an appropriate set of features able to improve the distinction
between the pre-ictal and nonpre-ictal states, hence minimizing the effect of
confounding variables, remains a key aspect.
PMID- 27873556
TI - Between-individual variation in nematode burden among juveniles in a wild host.
AB - Parasite infection in young animals can affect host traits related to demographic
processes such as survival and reproduction, and is therefore crucial to
population viability. However, variation in infection among juvenile hosts is
poorly understood. Experimental studies have indicated that effects of parasitism
can vary with host sex, hatching order and hatch date, yet it remains unclear
whether this is linked to differences in parasite burdens. We quantified
gastrointestinal nematode burdens of wild juvenile European shags (Phalacrocorax
aristotelis) using two in situ measures (endoscopy of live birds and necropsy of
birds that died naturally) and one non-invasive proxy measure (fecal egg counts
(FECs)). In situ methods revealed that almost all chicks were infected (98%),
that infections established at an early age and that older chicks hosted more
worms, but FECs underestimated prevalence. We found no strong evidence that
burdens differed with host sex, rank or hatch date. Heavier chicks had higher
burdens, demonstrating that the relationship between burdens and their costs is
not straightforward. In situ measures of infection are therefore a valuable tool
in building our understanding of the role that parasites play in the dynamics of
structured natural populations.
PMID- 27873557
TI - Face processing in adolescents with positive and negative threat bias.
AB - BACKGROUND: Individuals with anxiety disorders exhibit a 'vigilance-avoidance'
pattern of attention to threatening stimuli when threatening and neutral stimuli
are presented simultaneously, a phenomenon referred to as 'threat bias'.
Modifying threat bias through cognitive retraining during adolescence reduces
symptoms of anxiety, and so elucidating neural mechanisms of threat bias during
adolescence is of high importance. We explored neural mechanisms by testing
whether threat bias in adolescents is associated with generalized or threat
specific differences in the neural processing of faces. METHOD: Subjects were
categorized into those with (n = 25) and without (n = 27) threat avoidance based
on a dot-probe task at average age 12.9 years. Threat avoidance in this cohort
has previously been shown to index threat bias. Brain response to individually
presented angry and neutral faces was assessed in a separate session using
functional magnetic resonance imaging. RESULTS: Adolescents with threat avoidance
exhibited lower activity for both angry and neutral faces relative to controls in
several regions in the occipital, parietal, and temporal lobes involved in early
visual and facial processing. Results generalized to happy, sad, and fearful
faces. Adolescents with a prior history of depression and/or an anxiety disorder
had lower activity for all faces in these same regions. A subset of results
replicated in an independent dataset. CONCLUSIONS: Threat bias is associated with
generalized, rather than threat-specific, differences in the neural processing of
faces in adolescents. Findings may aid in the development of novel treatments for
anxiety disorders that use attention training to modify threat bias.
PMID- 27873558
TI - Antenatal depressive symptoms as a predictor of deterioration in perceived social
support across the perinatal period: a four-wave cohort study in Turkey.
AB - BACKGROUND: In a perinatal cohort of women in urban and rural Turkey, we
investigated associations between antenatal depressive symptoms and subsequent
changes in perceived quality of key family relationships. METHOD: Of 730 women
recruited in their third trimester (94.6% participation), 578 (79.2%) were
reassessed at a mean of 4.1 (s.d. = 3.3) months after childbirth, 488 (66.8%)
were reassessed at 13.7 (s.d. = 2.9) months, and 448 (61.4%) at 20.8 (s.d. = 2.7)
months. At all four examinations, self-reported quality of relationship with the
husband, mother and mother-in-law was ascertained using the Close Persons
Questionnaire with respect to emotional support, practical support and negative
aspects of the relationship. Antenatal depressive symptoms were defined using the
Edinburgh Postnatal Depression Scale. A range of covariates in mixed models was
considered including age, education, number of children, family structure,
physical health, past emotional problems and stressful life events. RESULTS: Key
findings were as follows: (i) reported emotional and practical support from all
three relationships declined over time in the cohort overall; (ii) reported
emotional support from the husband, and emotional and practical support from the
mother-in-law, declined more strongly in women with antenatal depressive
symptoms; (iii) associations between depressive symptoms and worsening spouse
relationship were more pronounced in traditional compared with nuclear families.
CONCLUSIONS: Antenatal depressive symptoms predicted marked decline in the
quality of key relationships over the postnatal period. This may account for some
of the contemporaneous associations between depression and worse social support,
and may compound the risk of perinatal depression in subsequent pregnancies.
PMID- 27873559
TI - Educational achievement in psychiatric patients and their siblings: a register
based study in 30 000 individuals in The Netherlands.
AB - BACKGROUND: Poor educational achievement is associated with a range of
psychiatric disorders. Several studies suggest that this underperformance is due
to cognitive deficits that commence before disease onset and reflect a genetic
risk for this disorder. However, the specificity and the familial contribution of
this cognitive deficit are not clear. We analysed lifetime educational
achievement of psychiatric patients diagnosed with schizophrenia, bipolar or
depressive disorder and their unaffected siblings. METHOD: In a register-based
case-control study, 1561 patients with schizophrenia, 813 patients with bipolar
disorder, 8112 patients with depression, and their siblings were each matched
with eight population controls. Patients, siblings and controls were compared on
the highest educational stream they completed. RESULTS: Lower educational
achievement was present in schizophrenia patients from primary school onwards
[completing primary school: odds ratio (OR) 0.69; completing secondary school: OR
0.69; completing academic education: OR 0.46], compared to patients with bipolar
disorder or depression. Siblings of schizophrenia, bipolar or depressed patients
showed no underachievement at primary or secondary school, but siblings of
schizophrenia patients as well as siblings of depressed patients were less
successful in their educational achievement after secondary school (completing
academic education, schizophrenia siblings: OR 0.90; depressive disorder
siblings: OR 0.91). CONCLUSIONS: Educational underachievement from primary school
onwards is specifically related to schizophrenia and not to bipolar disorder or
depression. Moreover, it appears to be a harbinger of the illness, since it is
not found in their siblings. These results add to evidence that early cognitive
deficits are a distinct feature of the schizophrenia phenotype.
PMID- 27873560
TI - Embryonic development in Zungaro jahu.
AB - The aim of this study was to characterize the embryonic development of Zungaro
jahu, a fresh water teleostei commonly known as 'jau'. Samples were collected at
pre-determined times from oocyte release to larval hatching and analysed under
light microscopy, transmission electron microscopy and scanning electron
microscopy. At the first collection times, the oocytes and eggs were spherical
and yellowish, with an evident micropyle. Embryo development took place at 29.4
+/- 1.5 degrees C and was divided into seven stages: zygote, cleavage, morula,
blastula, gastrula, organogenesis, and hatching. The differentiation of the
animal and vegetative poles occured during the zygote stage, at 10 min post
fertilization (mpf), leading to the development of the egg cell at 15 mpf. From
20 to 75 mpf, successive cleavages resulted in the formation of 2, 4, 8, 16, 32
and 64 blastomeres. The morula stage was observed between 90 and 105 mpf, and the
blastula and gastrula stage at 120 and 180 mpf; respectively. The end of the
gastrula stage was characterized by the presence of the yolk plug at 360 mpf.
Organogenesis followed, with differentiation of the cephalic and caudal regions,
elongation of the embryo by the cephalo-caudal axis, and somitogenesis. Hatching
occurred at 780 mpf, with mean larval total length of 3.79 +/- 0.11 mm.
PMID- 27873561
TI - Antipsychotic drug exposure and risk of myocardial infarction.
AB - Patients experiencing psychoses and in need of antipsychotic agents may be
exposed to a higher risk of myocardial infarction (MI) than the general
population. As there have been no randomised studies investigating this
association, a recent systematic review and meta-analysis included all
observational studies that compared the incidence of MI among patients receiving
antipsychotics v. no treatment. It found nine studies and calculated that the
odds (risk) for developing MI were 1.88-fold higher in antipsychotic users
compared with individuals who had not taken antipsychotic drugs. In this
commentary, the results of this systematic review are discussed in view of their
clinical implications for everyday clinical practice.
PMID- 27873562
TI - Validation of the Maslach Burnout Inventory-General Survey on a Representative
Sample of Dominican Teachers: Normative Data.
AB - Burnout is characterized by emotional exhaustion, depersonalization and lack of
personal accomplishment (Bakke, Demerouti, & Sanz-Vergel, 2014). Several
instruments for its measurement exist, but the most widely used scale for
measuring its dimensions, by far, is the Maslach Burnout Inventory (MBI) in its
different versions. Among the available versions of the scale, the MBI-General
Survey was developed to measure three dimensions of burnout (cynicism, personal
accomplishment, and emotional exhaustion) regardless of the type of work. The aim
of this research is to offer evidence on the psychometric properties of the MBI
GS for its use in the Dominican Republic and other Caribbean Spanish-speaking
countries, using representative sample of Dominican teachers. The factorial
validity was studied through confirmatory factor analysis. Several competing
models were proved in order to test the dimensionality of the scale. The
confirmatory analyses shown that the original three-factor structure had a
superior fit, but item eleven was removed in order to get an excellent fit
chi2(87) = 211.19, p < .001, CFI = .98, RMSEA = .038 90% CI [.032-.045].
Regarding internal consistency, the CRI's are well above the cut-off criteria of
.7 (CRI's ranged from .74 to .86). Concerning criterion-related validity, the
three factors were correlated in the expected direction. Professional efficacy, a
dimension of burnout measured in the opposite direction, was positively
correlated with the three factors of work engagement, also as expected. This
version was found to be a psychometrically sound measure of the three core
dimensions of burnout.
PMID- 27873563
TI - Comparative dynamics, seasonality in transmission, and predictability of
childhood infections in Mexico.
AB - The seasonality and periodicity of infections, and the mechanisms underlying
observed dynamics, can have implications for control efforts. This is
particularly true for acute childhood infections. Among these, the dynamics of
measles is the best understood and has been extensively studied, most notably in
the UK prior to the start of vaccination. Less is known about the dynamics of
other childhood diseases, particularly outside Europe and the United States. In
this paper, we leverage a unique dataset to examine the epidemiology of six
childhood infections - measles, mumps, rubella, varicella, scarlet fever and
pertussis - across 32 states in Mexico from 1985 to 2007. This dataset provides
us with a spatio-temporal probe into the dynamics of six common childhood
infections, and allows us to compare them in the same setting over the same time
period. We examine three key epidemiological characteristics of these infections
the age profile of infections, spatio-temporal dynamics, and seasonality in
transmission - and compare them with predictions from existing theory and past
findings. Our analysis reveals interesting epidemiological differences between
the six pathogens, and variations across space. We find signatures of term-time
forcing (reduced transmission during the summer) for measles, mumps, rubella,
varicella, and scarlet fever; for pertussis, a lack of term-time forcing could
not be rejected.
PMID- 27873565
TI - Patterns of virulence factor expression and antimicrobial resistance in
Achromobacter xylosoxidans and Achromobacter ruhlandii isolates from patients
with cystic fibrosis.
AB - Achromobacter spp. are opportunistic pathogens increasingly recovered from adult
patients with cystic fibrosis (CF). We report the characterization of 122
Achromobacter spp. isolates recovered from 39 CF patients by multilocus sequence
typing, virulence traits, and susceptibility to antimicrobials. Two species, A.
xylosoxidans (77%) and A. ruhlandii (23%) were identified. All isolates showed a
similar biofilm formation ability, and a positive swimming phenotype. By
contrast, 4.3% and 44.4% of A. xylosoxidans and A. ruhlandii, respectively,
exhibited a negative swarming phenotype, making the swimming and swarming
abilities of A. xylosoxidans significantly higher than those of A. ruhlandii. A.
xylosoxidans isolates from an outbreak clone also exhibited significantly higher
motility. Both species were generally susceptible to ceftazidime, ciprofloxacin,
imipenem and trimethoprim/sulphamethoxazole and there was no significant
difference in susceptibility between isolates from chronic or sporadic infection.
However, A. xylosoxidans isolates from chronic and sporadic cases were
significantly more resistant to imipenem and ceftazidime than isolates of the
outbreak clone.
PMID- 27873564
TI - Effect of enhanced biosecurity and selected on-farm factors on Campylobacter
colonization of chicken broilers.
AB - Human campylobacteriosis is the most commonly reported gastrointestinal bacterial
infection in the EU; poultry meat has been identified as the main source of
infection. We tested the hypothesis that enhanced biosecurity and other factors
such as welfare status, breed, the practice of partial depopulation and number of
empty days between flocks may prevent Campylobacter spp. caecal colonization of
poultry batches at high levels (>123 000 c.f.u./g in pooled caecal samples). We
analysed data from 2314 poultry batches sampled at slaughter in the UK in 2011
2013. We employed random-effects logistic regression to account for clustering of
batches within farms and adjust for confounding. We estimated population
attributable fractions using adjusted risk ratios. Enhanced biosecurity reduced
the odds of colonization at partial depopulation [odds ratio (OR) 0.25, 95%
confidence interval (CI) 0.14-0.47] and, to a lesser extent, at final
depopulation (OR 0.47, 95% CI 0.25-0.89). An effect of the type of breed was also
found. Under our assumptions, approximately 1/3 of highly colonized batches would
be avoided if they were all raised under enhanced biosecurity or without partial
depopulation. The results of the study indicate that on-farm measures can play an
important role in reducing colonization of broiler chickens with Campylobacter
spp. and as a result human exposure.
PMID- 27873566
TI - Pathological and phylogenetic characterization of Amphibiothecum sp. infection in
an isolated amphibian (Lissotriton helveticus) population on the island of Rum
(Scotland).
AB - Outbreaks of cutaneous infectious disease in amphibians are increasingly being
attributed to an overlooked group of fungal-like pathogens, the Dermocystids.
During the last 10 years on the Isle of Rum, Scotland, palmate newts (Lissotriton
helveticus) have been reportedly afflicted by unusual skin lesions. Here we
present pathological and molecular findings confirming that the pathogen
associated with these lesions is a novel organism of the order Dermocystida, and
represents the first formally reported, and potentially lethal, case of amphibian
Dermocystid infection in the UK. Whilst the gross pathology and the parasite cyst
morphology were synonymous to those described in a study from infected L.
helveticus in France, we observed a more extreme clinical outcome on Rum
involving severe subcutaneous oedema. Phylogenetic topologies supported synonymy
between Dermocystid sequences from Rum and France and as well as their
distinction from Amphibiocystidium spp. Phylogenetic analysis also suggested that
the amphibian-infecting Dermocystids are not monophyletic. We conclude that the
L. helveticus-infecting pathogen represents a single, novel species;
Amphibiothecum meredithae.
PMID- 27873567
TI - Early germinal vesicle breakdown is a predictor of high preimplantation
developmental competent oocytes in mice.
AB - The preselection of highly developmentally competent oocytes for in vitro
maturation (IVM) is crucial for improving assisted reproductive technology.
Although several intrinsic markers of oocyte quality are known to be closely
related to the onset of nuclear maturation (germinal vesicle break down, GVBD), a
direct comparison between GVBD timing and oocyte quality has never been reported.
In this study, we established a non-invasive oocyte evaluation method based on
GVBD timing for preselecting more developmental competent oocytes in mice.
Because the O2 concentration during IVM may affect the nuclear kinetics, all
experiments were performed under two distinct O2 concentrations: 20% and 5% O2.
First, we determined the time course of changes in nuclear maturation and
preimplantation developmental competence of in vitro-matured oocytes to estimate
GVBD timing in high developmental competent oocytes. Two-thirds of oocytes that
underwent GVBD in early IVM seemed to mainly contribute to the blastocyst yield.
To confirm this result, we compared the preimplantation developmental competence
of the early and late GVBD oocytes. Cleavage and blastocyst formation rates of
early GVBD oocytes (80.2% and 52.7% under 20% O2, respectively, and 67.6% and
47.3% under 5% O2, respectively) were almost double those of late GVBD oocytes
(44.8% and 26.0% under 20% O2, respectively, and 40.4% and 17.9% under 5% O2,
respectively). With no observable alterations by checking the timing of GVBD in
preimplantation developmental competence, oocyte evaluation based on GVBD timing
can be used as an efficient and non-invasive preselection method for high
developmental competent oocytes.
PMID- 27873568
TI - Can Big Five Facets Distinguish between Hedonic and Eudaimonic Well-Being? A
Dominance Analysis.
AB - In this study, the aim was to analyze the relative importance of Five-Factor
Model (FFM) personality facets for eudaimonic or psychological well-being (PWB)
and hedonic or subjective well-being (SWB) through dominance analyses. The
participants were 1,403 adult residents of Spain (mean age 37.2 years, SD =
13.9). As expected, facets captured a substantial proportion of the variance in
PWB and SWB, with PWB being better predicted than SWB (explaining around 36-55%
of the variance of PWB vs. 25% of the variance of SWB). Some facets were common
to both types of well-being such as depression (explaining between 5-33% of the
variance), vulnerability (explaining between 4-21% of the variance), positive
emotions (explaining between 2-9% of the variance) and achievement striving
(explaining between 2-10% of the variance), whereas others made a unique
contribution according to type of well-being. Certain facets had a greater
relative importance for women's well-being -e.g., positive emotions explained 9%
of the variance of self-acceptance for women vs. 3% for men- and others for men's
well-being -e.g., achievement striving explained 9% of the variance of personal
growth for men vs. 2% for women-. The present results contribute to the
literature by identifying which Big Five facets showed greater relative
importance in explaining and distinguishing between PWB and SWB for women and
men.
PMID- 27873569
TI - Establishing a Standard of Care for Deep Brain Stimulation Centers in Canada.
AB - During the "DBS Canada Day" symposium held in Toronto July 4-5, 2014, the
scientific committee invited experts to share their knowledge regarding deep
brain stimulation (DBS) management of movement disorders in three domains: (1)
the programming algorithms, (2) the necessary team to run a neurosurgery program,
and (3) the appropriate scales to better define in a more comprehensive fashion
the effect of the brain surgery. Each presentation was followed by an open
discussion, and this article reports on the conclusions of this meeting on these
three questions. Concerning programming, the role of the pulse width and the
switching off of the stimulation at night for thalamic stimulation for the
control of tremor have been discussed. The algorithms proposed in the literature
for programming in Parkinson's disease (PD) need validation. In dystonia, the use
of monopolar vs bipolar parameters, the use of low vs high frequencies and the
use of smaller versus larger pulse widths all need to be examined properly.
Concerning the necessary team to run a neurosurgical program, recommendations
will follow the suggestions for standardized outcome measures. Regarding the
outcome measures for DBS in PD, investigations need to focus on the non-motor
aspects of PD. Identifying which nonmotor symptoms respond to DBS would allow a
better screening before and satisfaction postoperatively. There is an important
need for more data to determine the optimal programming protocol and the standard
measures that should be performed routinely by all centers.
PMID- 27873570
TI - Dissecting aneurysm of the interventricular septum due to rupture of the sinus of
Valsalva.
AB - Aneurysm of the sinus of Valsalva is a rare congenital cardiac anomaly. It occurs
as an outpouching that progresses like a windsock, and it may rupture producing
aortic regurgitation, cardiac tamponade, congestive heart failure, conduction
abnormalities, and stroke. We describe a case of rupture of the sinus of Valsalva
into the interventricular septum producing a large dissecting aneurysm. Despite
the location, it did not produce a conduction abnormality.
PMID- 27873571
TI - The determinants of defensive medicine practices in Belgium.
AB - In 2010 the Belgian government introduced a low cost administrative procedure for
compensating medical injuries to overcome the major shortcomings of the existing
tort system. This paper examines, for the first time, to what extent this reform
had an impact on physician specialists' defensive practices and what are the
relevant determinants affecting physicians' clinical decision making. Based on a
survey of 508 physicians, we find evidence of a relatively modest increase in
defensive practices among physicians in various specialties. In general, 14% of
the respondents, who were aware of the reform, reported to have increased their
overall defensive behaviour, while respectively 18 and 13% altered their
assurance and avoidance behaviour. Commonly used physician characteristics, such
as claims experience and gender, have a similar impact on defensive medicine as
documented in existing literature. Furthermore, the determinant physician's
access to an incident reporting system is found to have a significant impact on
most of the defensive medicine measures. Health care institutions may therefore
play an important role in controlling and reducing physicians' defensive
practices.
PMID- 27873572
TI - Risk assessment of dengue fever in Zhongshan, China: a time-series regression
tree analysis.
AB - Dengue fever (DF) is the most prevalent and rapidly spreading mosquito-borne
disease globally. Control of DF is limited by barriers to vector control and
integrated management approaches. This study aimed to explore the potential risk
factors for autochthonous DF transmission and to estimate the threshold effects
of high-order interactions among risk factors. A time-series regression tree
model was applied to estimate the hierarchical relationship between reported
autochthonous DF cases and the potential risk factors including the timeliness of
DF surveillance systems (median time interval between symptom onset date and
diagnosis date, MTIOD), mosquito density, imported cases and meteorological
factors in Zhongshan, China from 2001 to 2013. We found that MTIOD was the most
influential factor in autochthonous DF transmission. Monthly autochthonous DF
incidence rate increased by 36.02-fold [relative risk (RR) 36.02, 95% confidence
interval (CI) 25.26-46.78, compared to the average DF incidence rate during the
study period] when the 2-month lagged moving average of MTIOD was >4.15 days and
the 3-month lagged moving average of the mean Breteau Index (BI) was ?16.57. If
the 2-month lagged moving average MTIOD was between 1.11 and 4.15 days and the
monthly maximum diurnal temperature range at a lag of 1 month was <9.6 degrees
C, the monthly mean autochthonous DF incidence rate increased by 14.67-fold (RR
14.67, 95% CI 8.84-20.51, compared to the average DF incidence rate during the
study period). This study demonstrates that the timeliness of DF surveillance
systems, mosquito density and diurnal temperature range play critical roles in
the autochthonous DF transmission in Zhongshan. Better assessment and prediction
of the risk of DF transmission is beneficial for establishing scientific
strategies for DF early warning surveillance and control.
PMID- 27873575
TI - A qualitative study on the impact of internalized stigma on type 2 diabetes self
management.
AB - OBJECTIVE: To explore how patients with type 2 diabetes (T2DM) psychologically
and behaviorally respond to internalized stigma through social stigma. METHODS: A
qualitative study with semi-structured interviews was recorded on audiotapes,
transcribed verbatim, and analyzed using a grounded theory approach. Participants
were adults aged 30-64 years and diagnosed with T2DM. A total of 26 patients
participated. RESULTS: The qualitative data revealed that participants' responses
to social stigma, although varied, could be organized into a four-step process:
Encountering Negative Experiences, Reevaluating the Self with Type 2 Diabetes,
Reconstructing a Sense of Identity, and Maintaining Balance between Patient and
Social Roles. When participants form a negative image of and relationship to
their illness, they tend to internalize stigma, which can affect their sense of
self-worth, attitude toward social participation, and compliance. CONCLUSION:
Participants who internalize stigma tend to have a lower sense of self-worth and
their social participation falls somewhere between severely limited (Social
Avoidance) and highly active (Role Conflict). This can hinder devotion to their
treatment regimen and affect their degree of compliance with physicians. PRACTICE
IMPLICATIONS: Internalized stigma can be assessed by observing a patient's
illness-related negative self-image.
PMID- 27873574
TI - Postprandial sleep mechanics in Drosophila.
AB - Food consumption is thought to induce sleepiness. However, little is known about
how postprandial sleep is regulated. Here, we simultaneously measured sleep and
food intake of individual flies and found a transient rise in sleep following
meals. Depending on the amount consumed, the effect ranged from slightly arousing
to strongly sleep inducing. Postprandial sleep was positively correlated with
ingested volume, protein, and salt-but not sucrose-revealing meal property
specific regulation. Silencing of leucokinin receptor (Lkr) neurons specifically
reduced sleep induced by protein consumption. Thermogenetic stimulation of
leucokinin (Lk) neurons decreased whereas Lk downregulation by RNAi increased
postprandial sleep, suggestive of an inhibitory connection in the Lk-Lkr circuit.
We further identified a subset of non-leucokininergic cells proximal to Lkr
neurons that rhythmically increased postprandial sleep when silenced, suggesting
that these cells are cyclically gated inhibitory inputs to Lkr neurons. Together,
these findings reveal the dynamic nature of postprandial sleep.
PMID- 27873576
TI - Assessment of combination therapy by time kill curve analysis and chequerboard
assay for treatment of multi-drug resistant Pseudomonas aeruginosa isolates.
AB - Multidrug resistant Pseudomonas aeruginosa is a major nosocomial pathogen, and
effective therapy presents a great clinical challenge. Combination therapy,
employing pre-existing antibiotics, is an attractive approach for the treatment
of such infections which may also curtail drug resistance. This study was
undertaken with the objectives to assess the synergy of five different
antimicrobial combinations (piperacillin-tazobactum with levofloxacin,
cefoperazone-sulbactum with levofloxacin, piperacillin-tazobactum with amikacin,
cefoperazone-sulbactum with amikacin and amikacin with levofloxacin for the
treatment of Pseudomonas aeruginosa isolates with varied susceptibility profile
by time kill curve assay and the chequerboard technique. In our study concordance
between these two methods was noted in 71.7% isolates tested. Le-Pt combination
demonstrated maximum synergy (72.7%), followed by Ak-Le (66.7%) and Ak-Cfs (60%)
combination. Le-Cfs and Ak-Pt however, showed synergy in significantly lower
number of isolates. However, at sub-MIC concentrations Ak-Pt combination was
found to be most effective. Synergy between different drugs should be routinely
monitored for exploring more feasible treatment options and to prevent the
emergence of multi-drug resistant strains. Piperacillin-tazobactum emerged as a
versatile drug whose potential should be explored with other drugs for
combination treatment of P. aeruginosa isolates.
PMID- 27873573
TI - POWERDRESS interacts with HISTONE DEACETYLASE 9 to promote aging in Arabidopsis.
AB - Leaf senescence is an essential part of the plant lifecycle during which
nutrients are re-allocated to other tissues. The regulation of leaf senescence is
a complex process. However, the underlying mechanism is poorly understood. Here,
we uncovered a novel and the pivotal role of Arabidopsis HDA9 (a RPD3-like
histone deacetylase) in promoting the onset of leaf senescence. We found that
HDA9 acts in complex with a SANT domain-containing protein POWERDRESS (PWR) and
transcription factor WRKY53. Our genome-wide profiling of HDA9 occupancy reveals
that HDA9 directly binds to the promoters of key negative regulators of
senescence and this association requires PWR. Furthermore, we found that PWR is
important for HDA9 nuclear accumulation. This study reveals an uncharacterized
epigenetic complex involved in leaf senescence and provides mechanistic insights
into how a histone deacetylase along with a chromatin-binding protein contribute
to a robust regulatory network to modulate the onset of plant aging.
PMID- 27873577
TI - Vancomycin resistance in Streptomyces coelicolor is phosphate-dependent but is
not mediated by the PhoP regulator.
AB - Vancomycin is an essential antibiotic to treat infections caused by multidrug
resistant bacteria. Several bacteria show resistance to vancomycin, including the
model actinomycete Streptomyces coelicolor. In this study, vancomycin disk
diffusion tests were performed to determine vancomycin resistance in S.
coelicolor M145 under rich (TSA medium) or defined (MMCGT medium) growth
conditions. A vancomycin-susceptible phenotype was observed when the TSA rich
medium was used, whereas a resistant phenotype was obtained when the low
phosphate MMCGT medium was used. To identify which component was responsible for
the vancomycin-resistant phenotype, all the components of the MMCGT medium were
added individually to the TSA medium, and vice versa. Addition of phosphate to
the MMCGT medium (the phosphate concentration is much higher in TSA than in
MMCGT) produced a vancomycin-susceptible phenotype in MMCGT. Phosphate regulation
of vancomycin resistance is not PhoP-dependent since the same minimum inhibitory
concentrations were obtained in S. coelicolor parental and DeltaphoP mutant
strains. This phosphate regulation was not observed in the vancomycin-producer
Amycolatopsis orientalis NRRL 2452, which was always resistant both in TSA and
MMCGT (with or without phosphate addition) media. On the other hand, other
Streptomyces spp. were susceptible to vancomycin in all conditions tested,
including Streptomyces toyocaensis, the producer of a glycopeptide antibiotic
different from vancomycin. In conclusion, the phosphate concentration clearly
affects the resistance of S. coelicolor to vancomycin.
PMID- 27873578
TI - Nosocomial dissemination of Providencia stuartii isolates producing extended
spectrum beta-lactamases VEB-1 and SHV-5, metallo-beta-lactamase VIM-1, and RNA
methylase RmtB.
PMID- 27873579
TI - Public health impact and antimicrobial selection of meticillin-resistant
staphylococci in animals.
AB - Whilst meticillin-resistant Staphylococcus aureus (MRSA) infections reported
sporadically in companion animals and cattle in the 1970s were probably of human
origin, the recently emerged livestock-associated MRSA (LA-MRSA) and meticillin
resistant Staphylococcus pseudintermedius (MRSP) strains clearly have animal
origins and their isolation from humans is usually associated with exposure to
livestock and companion animals, respectively. LA-MRSA is primarily an
occupational health risk to farm workers and veterinarians. The risk that this
zoonotic agent may spread in the community is particularly acute in countries
with high livestock production and low MRSA prevalence in the human population.
MRSP is primarily a threat to animal health, and cases of human infection are
rare but may be overlooked in diagnostic laboratories. There is no conclusive
evidence of antimicrobial selection associated with the sudden emergence of LA
MRSA and MRSP. However, the rapid global spread of these bacteria has presumably
been favoured by antimicrobial selective pressure. Tetracyclines, zinc and
extended-spectrum cephalosporins (including extra-label use) are the most likely
selective drivers implicated in the spread of LA-MRSA, whilst increased use of
broad-spectrum beta-lactams and fluoroquinolones, partly enhanced by extra-label
use and the introduction of cheap generics, may have played an important role in
the rapid dissemination of MRSP. Control of LA-MRSA and MRSP requires a dual
approach aimed at reducing antimicrobial consumption and preventing transmission
between animals and from animals to humans or vice versa. Restricted use of
fluoroquinolones and cephalosporins in livestock, and national practice
guidelines for rational antimicrobial use both in food and companion animals are
warranted.
PMID- 27873580
TI - Global antibacterial resistance: The never-ending story.
AB - Bacterial resistance is undoubtedly recognised as a major medical challenge in
most healthcare systems. Resistance-determining genes, mostly in combination, and
multidrug-resistant (MDR) pathogens are spreading with unprecedented speed. Well
known resistance carriers with high clinical impact include the Gram-positive
organisms Staphylococcus aureus and Enterococcus spp. In contrast to these
organisms that are usually still treatable with newer alternative antibacterial
drugs, some Gram-negative bacteria, especially Escherichia coli, Klebsiella
pneumoniae, Pseudomonas aeruginosa and Acinetobacter spp., have developed
resistance to most or all available antibiotics. Such strains are already a
reality in some Mediterranean and Asian countries. According to their resistance
epidemiology (based on major drivers favouring resistance), three regions are
pinpointed as high-impact resistance hot spots. Despite the clear medical need
for novel antibiotics without cross-resistance issues, antibacterial research and
development pipelines are nearly dry, thus failing to provide the flow of novel
antibiotics required to match the fast emergence and spread of MDR bacteria. In a
globalised world, only concerted global actions can mitigate a future with
untreatable infectious diseases.
PMID- 27873581
TI - Central venous catheter-related biofilm infections: An up-to-date focus on
meticillin-resistant Staphylococcus aureus.
AB - Central venous catheters are indispensable for the long-term treatment of
seriously and chronically ill patients, but their use is often associated with a
variety of complications; indeed, 90% of primary bloodstream infections are
related to patients having a catheter. In studies performed in France, Germany
and Italy, meticillin-resistant Staphylococcus aureus (MRSA) accounted for >50%
of all S. aureus isolates obtained in catheter-related bloodstream infections
(CRBSIs). These infections have a serious impact on long-term disability of the
patient, a substantial additional financial burden for health systems, and high
costs for patients. Decreasing the rate of CRBSIs requires a multidisciplinary
approach, including behavioural and educational interventions and the insertion
of the correct type of catheter. Although vancomycin remains the cornerstone of
empirical therapy for CRBSIs caused by MRSA, combination of different
antimicrobials and new approaches are indispensable to enhance the eradication of
S. aureus biofilms and to manage the patient appropriately.
PMID- 27873582
TI - Wound infections caused by inducible meticillin-resistant Staphylococcus aureus
strains.
AB - Detection of meticillin resistance in Staphylococcus aureus isolates continues to
be a challenge. Clinical specimens obtained from abscesses from two
epidemiologically unrelated outpatients were positive for meticillin-resistant S.
aureus (MRSA) by a commercial PCR assay, but colonies obtained by culture were
susceptible to oxacillin by an automated testing method. The colonies were also
negative using a penicillin-binding protein 2a (PBP2a) latex agglutination test.
Because of the discrepancy between the genotypic and phenotypic results, both
isolates were re-tested by PCR, disc diffusion, VITEK(r) 2 and MicroScan(r) and
were plated on chromogenic agar. Both isolates also underwent cefoxitin induction
for additional susceptibility testing studies. Following overnight induction with
cefoxitin, both isolates demonstrated resistance to oxacillin and cefoxitin by
the two automated methods and by disc diffusion, and were positive using PBP2a
latex agglutination tests. Population analysis failed to identify heteroresistant
subpopulations in uninduced isolates. Identifying the presence of MRSA by PCR
directly in the specimens was critical for determining the appropriate course of
antimicrobial therapy for the patients. Both infections resolved with non-beta
lactam therapy.
PMID- 27873583
TI - Laboratory evaluation of BrillianceTM CRE Agar for screening carbapenem-resistant
Enterobacteriaceae: Performance on a collection of characterised clinical
isolates from Greece.
AB - The performance of Oxoid BrillianceTM CRE Agar (BCRE), a new chromogenic medium
designed for screening of carbapenem-resistant Enterobacteriaceae, was evaluated
on a collection of clinical isolates of enterobacteria (n=175) and non-fermenters
(n=55) with known beta-lactam resistance mechanisms and levels of susceptibility
to carbapenems. BCRE supported the growth of 100 of 108 enterobacterial isolates
that were non-susceptible to at least one carbapenem, whilst excluding 57 of the
67 carbapenem-susceptible isolates. The eight non-susceptible isolates that did
not grow on BCRE were carbapenemase-producers with low carbapenem minimum
inhibitory concentrations, mostly exhibiting non-susceptibility only to one
carbapenem. In total, of 107 carbapenemase-producing enterobacteria that were
included in the study, 16 did not grow, with most of them being either
susceptible (n=8) or intermediate-susceptible (n=5) to carbapenems. Regarding the
10 carbapenem-susceptible enterobacteria that were not excluded by BCRE, 1
produced a carbapenemase and the rest possessed strong backgrounds of various
other beta-lactam resistance mechanisms. The medium allowed growth of almost all
carbapenem-resistant non-fermenting isolates; nevertheless, non-fermenters were
clearly differentiated from Enterobacteriaceae by colony colour and morphology.
PMID- 27873585
TI - Biocide susceptibility in bifidobacteria of human origin.
AB - Disinfectants have been used in a variety of environmental applications, in
products for personal care and in the food industry. The food industry has
increased the use of biocides and chemical-based disinfectants to control
microbial ecology at production sites in an effort to improve hygiene measures
and food safety. However, the susceptibility profile of micro-organisms to
disinfectants has been largely neglected. This study therefore aimed to provide
this type of information by focusing on the four most commonly used biocides in
the food industry, determining their minimum inhibitory concentrations (MICs) and
analysing the distribution of MICs across a variety of micro-organisms. In total,
99 different strains of Bifidobacterium spp. were studied. Results showed a
unimodal distribution of MICs for chlorhexidine, triclosan (Irgasan) and sodium
hypochlorite with no apparent species-specific correlation. Conversely, part of
the tested bifidobacteria population (20%) showed reduced susceptibility to
benzalkonium chloride compared with the susceptibility exhibited by the majority
of the tested bacterial community. The highest MICs were distributed among almost
all of the considered Bifidobacterium spp. In generally, the sensitivity of the
studied strains to the four tested biocides appeared to be a genus-related trait.
PMID- 27873584
TI - Analysis of the influence of drug resistance factors on the efficacy of
combinations of antibiotics for multidrug-resistant Pseudomonas aeruginosa
isolated from hospitals located in the suburbs of Kanto area, Japan.
AB - Infections caused by multidrug-resistant (MDR) Pseudomonas aeruginosa are very
difficult to treat. The aim of this study was to develop more effective
treatments by investigating in vitro the effects of combinations of antibiotics
against 47 MDR P. aeruginosa isolates harbouring various resistance factors. The
isolates included 41 (87%) metallo-beta-lactamase (MBL)-positive strains, 37
(79%) strains with mutations in OprD and 46 (98%) strains carrying the genes
encoding aminoglycoside-modifying enzymes (AMEs). The quinolone resistance
determining region was mutated in all of the strains. These strains were
classified into 16 groups according to amplified fragment length polymorphism and
resistance factors. The effects of combinations of antibiotics on 16
representative strains were determined using a 'Break-point Checkerboard Plate'
assay. Combinations of amikacin+aztreonam (coverage rate, 81.3%) and
arbekacin+aztreonam (93.8%) inhibited growth. In contrast, combinations of
ciprofloxacin+meropenem (6.3%) and ciprofloxacin+ceftazidime (12.5%) were much
less effective. Aztreonam and arbekacin (or amikacin) are not substrates for MBLs
and AMEs, respectively. We conclude that the combined effects of these drugs were
possibly because of resistance factors.
PMID- 27873586
TI - NRTI-sparing regimens yield higher rates of drug resistance than NRTI-based
regimens for HIV-1 treatment.
AB - To treat human immunodeficiency virus (HIV)-infected patients, international
guidelines recommend the combination of two nucleos(t)ide reverse transcriptase
inhibitors [N(t)RTIs] and a third agent [non-NRTI (NNRTI), boosted protease
inhibitor (r/PI) or integrase inhibitor (INI)] for initial treatment. The
objective of this study was to compare the selection of resistance to
antiretrovirals (ARVs) for regimens containing or lacking N(t)RTIs in patients
experiencing their first virological failure. Eligible patients had a first
virological failure, defined as the occurrence of two consecutive HIV plasma
viral loads >=50copies/mL. Genotypic resistance testing was performed at the time
of virological failure (on the second sample with detectable viral load
>=50copies/mL) in patients failing regimens of N(t)RTIs+r/PI or NNRTI or INI,
r/PI+NNRTI or INI, and INI+NNRTI. Among 434 virological failures analysed,
resistance testing results were available in 416 cases (95.9%). Higher rates of
drug resistance were observed in patients receiving N(t)RTI-sparing regimens.
When the combination of N(t)RTIs+r/PI was used, PIs protect themselves and the
associated N(t)RTIs from the selection of resistance; however, this was not
observed with the NNRTI+r/PI combination. The same phenomenon was observed for
raltegravir: when used in combination with N(t)RTIs, INI resistance mutations
were less frequently selected compared with its use in combination with PIs or
NNRTIs. In conclusion, regimens of the ARV classes combined impact the frequency
of resistance development. Lower resistance is observed for N(t)RTI-based
regimens, with more therapeutic options for subsequent regimens after failure.
PMID- 27873587
TI - Costs and length of stay associated with extended-spectrum beta-lactamase
production in cases of Escherichia coli bloodstream infection.
AB - The prevalence of infections with extended-spectrum beta-lactamase (ESBL)
producing bacteria is increasing worldwide. The economic burden of this
development has not yet been sufficiently studied. Therefore, this study on
hospital costs and length of stay (LoS) associated with cases of bloodstream
infection (BSI) due to ESBL-producing Escherichia coli was performed. A matched
case-control study of patients with E. coli BSI between 2008 and 2010 in Charite
University Hospital (Berlin, Germany) was performed. Cases were patients with
ESBL-producing E. coli BSI and controls were patients with ESBL-negative E. coli
BSI. Cases and controls were matched in a 1:1 ratio by age +/-5 years, sex,
underlying co-morbidities, LoS before BSI onset, and discharge year. In total,
1098 consecutive patients with E. coli BSI were identified, comprising 115
(10.5%) ESBL-positive and 983 (89.5%) ESBL-negative. Of the 115 ESBL-positive
infections 67 (58.3%) were hospital-acquired in contrast to 382/983 (38.9%) of
the ESBL-negative infections (P<0.001). After matching for confounders, there
were no significant differences in costs, LoS or mortality between ESBL-positive
and ESBL-negative E. coli BSIs. In conclusion, patients with BSI due to ESBL
producing E. coli were neither more costly nor stayed longer in the hospital than
patients with BSI due to ESBL-negative E. coli.
PMID- 27873588
TI - aac(6')-Ib-cr is the major plasmid-mediated quinolone resistance determinant in
extended-spectrum beta-lactamase-producing Escherichia coli in eastern France.
AB - The aim of this study was to describe the presence of plasmid-mediated quinolone
resistance (PMQR) determinants in extended spectrum beta-lactamase-producing
Escherichia coli (ESBL-Ec) in hospitals in eastern France. All ESBL-Ec isolated
from May 2008 to April 2009 in nine hospitals in eastern France were collected
and screened by PCR for the presence of PMQR genes. bla genes were identified by
PCR and sequencing. Randomly chosen PMQR-positive ESBL-Ec isolates were
characterised by pulsed-field gel electrophoresis (PFGE), multilocus sequence
typing (MLST) and phylogenetic group identification. Of the 447 ESBL-Ec tested,
122 (27.3%) had a PMQR determinant. aac(6')-Ib-cr was more prevalent (118/122;
96.7%) than qnr [4/122 (3.3%), comprising 2 qnrB2, 1 qnrB1 and 1 qnrA1]. Among 37
PMQR-positive ESBL-Ec isolates selected for typing, 26 (70%) carried blaCTX-M-15
of which 25/26 (96%) co-harboured aac(6')-Ib-cr. Of the 37 isolates, 14 belonged
to the B2:ST131 clone, all of which produced AAC(6')-Ib-cr. On the other hand,
most of the qnr genes (3/4) were observed in strains carrying bla genes other
than blaCTX-M (qnrB2 and blaSHV-12; qnrA1 and blaTEM-52). These findings suggest
that aac(6')-Ib-cr, rather than qnr, is the spreading PMQR determinant in ESBL-Ec
isolates, and the qnr determinant is not specifically associated with blaCTX-M
genes in France. aac(6')-Ib-cr was the predominant PMQR gene in ESBL-Ec isolated
in eastern France hospitals, suggesting that the distribution may be due to
clonal spread of ST131 CTX-M-15-producing E. coli isolates.
PMID- 27873589
TI - Diversity of vancomycin-resistant enterococci in a low endemicity area.
AB - Although vancomycin-resistant enterococci (VRE) are frequent nosocomial pathogens
worldwide, in Japan their prevalence is low and their molecular epidemiology
remains unclear. In The University of Tokyo Hospital (Tokyo, Japan), only five
isolates of VRE (vanA-type Enterococcus faecium) were identified in the 20 years
before 2010; however, nine isolates of vanB-type E. faecium were identified from
2011 to 2012. Multilocus sequence typing (MLST) revealed that they belonged to
five sequence types (ST18, ST78, ST203, ST412 and ST612). Despite the limited
isolation, including one from a medical tourist, there was significant diversity
in genotypes. Increasing medical travel might enhance the diversity of VRE.
PMID- 27873590
TI - Characterisation of clinical canine meticillin-resistant and meticillin
susceptible Staphylococcus pseudintermedius in France.
AB - Staphylococcus pseudintermedius is a frequent pathogen in dogs. The emergence of
meticillin-resistant S. pseudintermedius (MRSP), which is concomitantly resistant
to nearly all veterinary licensed antibiotics used for systemic treatment in
dogs, is a major problem for veterinarians. In France, 16.9% (41/243) of the S.
pseudintermedius collected in 2010 were MRSP. They mainly belonged to the
multiresistant MLST sequence type ST71, spa type t02, SCCmec type II-III (ST71
t02-II-III) European clone. Moreover, we also report the emergence of
multiresistant meticillin-susceptible S. pseudintermedius isolates presenting
atypical and/or new spa types. This study highlights the need for surveillance,
optimised treatment guidelines and new therapeutic alternatives.
PMID- 27873591
TI - Detection of mutations in the katG gene among isoniazid-resistant Mycobacterium
tuberculosis isolates from Lebanon and Syria.
PMID- 27873592
TI - An interactive, point-of-care, computerised antibiotic prescription decision
support system and quality of antibiotic prescription in the management of
complicated urinary tract infection.
PMID- 27873594
TI - First report of a clinical isolate of Leclercia adecarboxylata harbouring
multiple resistance genes in Uruguay and review of the literature.
AB - Here we report the detection of a Leclercia adecarboxylata strain, isolated from
a case of osteomyelitis, harbouring multiple antibiotic resistance genes encoded
on a 450-kb IncHI1/HI2 conjugative plasmid (pLa12). The plasmid carried a complex
class 1 integron with the genetic array intI1-aac(6')-Ib-cr-blaOXA-1-catB3-arr3
qacEDelta1-sul1-ISCR1; in addition, a blaDHA-1-like allele linked to ampR
qacEDelta1-sul1 as well as blaSHV-12, blaTEM-1 and qnrB4-like genes were found.
To the best of our knowledge, this is the first report of L. adecarboxylata
harbouring transferable resistance genes to quinolones, chloramphenicol and
rifampicin as well as a plasmidic class C beta-lactamase.
PMID- 27873593
TI - Meticillin-resistant Staphylococcus aureus (MRSA) update: New insights into
bacterial adaptation and therapeutic targets.
AB - Successful meticillin-resistant Staphylococcus aureus (MRSA) clones have evolved
to adapt to healthcare, community and livestock environments. This review will
bring together recent studies into clone adaptation and the importance of genes
acquired during horizontal gene transfer to survival in specific environments. It
will also discuss the role of global regulators controlling virulence gene
expression and resistance to antibiotics, such as the agr and vraRS systems.
Understanding these processes in successful clones could reveal novel targets for
therapeutic agents, which are urgently required to reduce the infection burden
and improve treatment options.
PMID- 27873595
TI - Association of some virulence genes with antibiotic resistance among
uropathogenic Escherichia coli isolated from urinary tract infection patients in
Alexandria, Egypt: A hospital-based study.
AB - Uropathogenic Escherichia coli (UPEC) is the infecting agent most frequently
involved in urinary tract infections (UTIs) worldwide. UPEC resistance to
commonly used antibiotics represents a major health problem all over the world.
Several factors have been associated with UPEC resistance to antibiotics. The
present study deployed a molecular approach to explore the association between
some UPEC virulence genes and antibiotic resistance among patients with UTI in
Alexandria, Egypt. The study revealed a significant association between presence
of the pap gene and resistance to gentamicin; however, it was not significantly
associated with resistance to beta-lactam antibiotics, quinolones,
aminoglycosides, nitrofurantoin and trimethoprim/sulfamethoxazole. The genes sfa,
aer and cnf1 were not significantly associated with UPEC resistance to any of the
tested antibiotics. In conclusion, resistance of UPEC isolates in the present
study could be attributed to other virulence factors.
PMID- 27873596
TI - Changing plasmid types responsible for extended-spectrum cephalosporin resistance
in Escherichia coli O157:H7 in the USA, 1996-2009.
AB - Escherichia coli O157 is a major cause of food-borne illness. Plasmids are
genetic elements that mobilise antimicrobial resistance determinants, including
blaCMY beta-lactamases that confer resistance to extended-spectrum cephalosporins
(ESCs). ESCs are important for treating a variety of infections. IncA/C plasmids
are found among diverse sources, including cattle, the principal source of E.
coli O157 infections in humans. IncI1 plasmids are common among E. coli and
Salmonella from poultry and other avian sources. To broaden our understanding of
the reservoirs of blaCMY, the types of plasmids carrying blaCMY among E. coli
O157 were determined. From 1996 to 2009, 3742 E. coli O157 isolates were tested.
Eleven isolates (0.29%) were ceftriaxone-resistant and had a blaCMY-2-containing
plasmid. All four isolates submitted before 2001 as well as a single 2001 isolate
had blaCMY encoded on IncA/C plasmids, whilst all five isolates submitted after
2001 and a single 2001 isolate had blaCMY carried on IncI1 plasmids. The IncI1
plasmids were ST2, ST20 and ST23. We conclude that cephalosporin resistance among
E. coli O157:H7 is due to plasmid-encoded blaCMY genes and that plasmid types
appear to have shifted from IncA/C to IncI1. This shift suggests either a change
in plasmid type among animal reservoirs or that the organism has expanded into
avian reservoirs. More analysis of human, retail meat and food animal isolates is
necessary to broaden our understanding of the antimicrobial resistance
determinants of ESC resistance among E. coli O157.
PMID- 27873597
TI - Detection of NDM-2-producing Acinetobacter baumannii and VIM-producing
Pseudomonas aeruginosa in Palestine.
AB - The aim of this study was to screen for carbapenem-resistant Gram-negative
bacteria in Palestine and subsequently to identify and investigate the mechanisms
of resistance. For a period of 6 weeks, all Gram-negative isolates were collected
from six Palestinian hospital laboratories and were tested for susceptibility
using 10MUg meropenem disks. Isolates showing resistance to meropenem were
further investigated. The presence of carbapenemases was assessed by PCR. In
addition, antimicrobial susceptibility testing, an efflux pump inhibitor assay
and pulsed-field gel electrophoresis (PFGE) were performed. Isolates producing
carbapenemases were further investigated by multilocus sequence typing (MLST). In
total, 248 Gram-negative isolates were collected from the six laboratories. Among
the 248 tested isolates, 15 Acinetobacter baumannii and 6 Pseudomonas aeruginosa
were resistant to meropenem. One A. baumannii from Gaza produced NDM-2 and
belonged to ST103. Thirteen of the carbapenem-resistant A. baumannii isolates
possessed the intrinsic upregulated blaOXA-66 gene and one isolate carried blaOXA
51. All but one of the OXA-66-producing A. baumannii belonged to ST2; the
remaining isolate belonged to ST183. One of the carbapenem-resistant P.
aeruginosa was classified as VIM-4-producing and three were VIM-2-producing
isolates. The three VIM-2-producing isolates belonged to three new sequences
types (ST1562, ST1563 and ST1564). All of the carbapenemase-producing isolates
were multiresistant non-fermenters. To the best of our knowledge, this is the
first report on NDM-producing A. baumannii and VIM-producing P. aeruginosa from
Palestine.
PMID- 27873598
TI - Surveillance trends of carbapenem-resistant Enterobacteriaceae from Singapore,
2010-2013.
AB - Trends in carbapenem-resistant Enterobacteriaceae (CRE) collected from hospitals
nationwide in Singapore over 3 years are presented. Hospital isolates with
imipenem or meropenem minimum inhibitory concentrations (MICs) of >1mg/L were
sent to the National Public Health Laboratory for further investigation. A total
of 400 CRE were submitted, 227 (56.8%) of which carried a carbapenemase gene.
blaNDM was the most common (130/400; 32.5%), followed by blaOXA-48-like (blaOXA
48, -181, -232) (55/400; 13.8%). Interestingly, four isolates bearing dual
carbapenemase genes were also detected. KPC- and OXA-48-like-producing Klebsiella
pneumoniae were fingerprinted by DiversiLab(r) rep-PCR. Locally, KPC producers do
not appear to have clonal dissemination. In contrast, OXA-48-like producers were
found to have a greater degree of clustering than KPC producers.
PMID- 27873599
TI - Editorial.
PMID- 27873600
TI - Basis for a cheap method for detecting bacteria and assessing their antibiotic
susceptibility in urine samples.
AB - Although molecular methods can now provide fast identification and the antibiotic
susceptibility profile of infecting pathogens, these techniques are not
affordable by a large majority of clinical laboratories in developing countries
and can be considered excessive for simple, community-acquired infections. Most
antibiotics are therefore prescribed empirically, which tends to avoid those
drugs that face high resistance prevalence but that could still be used in a
significant number of patients. This is a description of the basis for a fast,
cheap method for assessing the presence of bacterial infection and its
susceptibility to antibiotics in body fluids that are normally sterile, such as
urine. The method is based on detecting a decreased concentration of glucose in
liquid media as an indicator of bacterial growth, and the effect of antibiotics
upon this change as an indicator of growth arrest by such antibiotics. The method
can assess the presence of ca. 105CFU/mL in 4-6h as well as the presence of
antibiotic resistance phenotypes of clinical relevance. The method was tested on
20 clinical urine samples, comparing its results with conventional culture and
susceptibility assays; results of both the proposed method and the
culture/antibiogram were in agreement in all cases. Materials needed for this
method would cost no more than US$5 per assay, it would take 10min to set it up,
and only requires a small, fixed-temperature incubator. With minor modifications,
it could be much more sensitive and easy to run and/or can provide information on
presence of specific bacteria.
PMID- 27873601
TI - Vancomycin in the treatment of meticillin-resistant Staphylococcus aureus (MRSA)
infection: End of an era?
AB - Infection with meticillin-resistant Staphylococcus aureus (MRSA) continues to
have significant morbidity and mortality. Vancomycin, which has been the mainstay
of treatment of invasive MRSA infections, has several drawbacks related to its
pharmacological properties as well as varying degrees of emerging resistance.
These resistant subpopulations are difficult to detect, making therapy with
vancomycin less reliable. The newer agents such as linezolid, daptomycin,
ceftaroline, and the newer glycopeptides telavancin and oritavancin are useful
alternatives that could potentially replace vancomycin in the treatment of
certain conditions. By summarising the discussions that took place at the III
MRSA Consensus Conference in relation to the current place of vancomycin in
therapy and the potential of the newer agents to replace vancomycin, this review
focuses on the challenges faced by the laboratory and by clinicians in the
diagnosis and treatment of MRSA infections.
PMID- 27873602
TI - 'The Chennai declaration': India co-ordinates action against antimicrobial
resistance.
PMID- 27873603
TI - First National Workshop on Antibiotic Resistance in Cambodia: Phnom Penh,
Cambodia, 16-18 November 2011.
AB - The First National Workshop on Antibiotic Resistance in Cambodia was organised by
the Cambodian Ministry of Health with support from several national and
international partner institutions. It brought together policy-makers,
clinicians, pharmacists, laboratory technicians and other professionals dealing
with the problems of bacterial infection and antibiotic resistance across the
country. Antibiotic resistance data from starting up and experienced laboratories
were presented, showing high rates of resistance in key pathogens to most
antibiotics currently available in Cambodia, e.g. 70-90% multidrug resistance and
70-80% decreased ciprofloxacin susceptibility in Salmonella enterica serovar
Typhi, 20-40% meticillin resistance rates in Staphylococcus aureus and 30-50%
extended-spectrum beta-lactamase production in Escherichia coli. A five-point
plan was discussed, which included initiatives from government and non
governmental partners, focusing on rational prescribing, clinical practice
guidelines, improved laboratory services, infection prevention and enhanced
education at all levels. Implementation, however challenging, is a priority given
the high levels of resistance seen in key pathogens and the overall health needs
in the country.
PMID- 27873604
TI - In vivo transmission of a plasmid containing the KPC-2 gene in a single patient.
AB - Here we describe a case of in vivo horizontal interspecies transmission of a KPC
2-producing plasmid from a Klebsiella pneumoniae to an Enterobacter aerogenes
strain in the same patient. The patient's gut flora initially contained a
carbapenem-susceptible E. aerogenes strain and 10 days after admission a KPC-2
positive K. pneumoniae. Three months after admission, a KPC-2-positive E.
aerogenes was identified in fecal surveillance cultures. This isolate was
isogenic with the initial E. aerogenes and contained a KPC-2-coding plasmid
identical to that of the K. pneumoniae. The patient developed bacteraemia by the
KPC-2-positive K. pneumoniae 17 days after her first colonization. In vivo
horizontal transmission of blaKPC-carrying plasmids between bacterial species
underscores the importance of antibiotic stewardship along with implementation of
infection control measures for the containment of KPC-producers.
PMID- 27873605
TI - What is the evidence for the duration of antibiotic therapy in Gram-negative
bacteraemia caused by urinary tract infection? A systematic review of the
literature.
AB - The frequency of secondary bacteraemia is variable depending on the site of
infection but is often associated with significant morbidity and mortality. The
most common source of Gram-negative bacteraemia is urinary tract infection (UTI).
Current guidelines on the treatment of UTI provide no clear guidance on whether
the presence of bacteraemia influences the duration or choice of therapy. Here we
systematically review the current evidence base for the duration of treatment of
Gram-negative bacteraemia secondary to UTI. The available evidence is sparse and
of variable quality to draw any firm conclusions. However, in the absence of
urgently required high-quality studies, current limited evidence appears to
indicate that short courses of antibiotics are as effective at obtaining clinical
and bacteriological cure as longer courses.
PMID- 27873606
TI - Controlling hospital MRSA.
AB - Recent evidence from publications describing the success of interventions to
control hospital meticillin-resistant Staphylococcus aureus (MRSA), often in the
endemic setting, is reviewed. Overall, there is cautious ground for optimism that
MRSA can be controlled in a cost-effective manner by employing a bundle approach,
the mainstay of which is widespread admission screening to inform patient
specific control measures.
PMID- 27873607
TI - Susceptibility of Gram-negative urinary tract isolates to mecillinam in a large
Glasgow teaching hospital.
PMID- 27873608
TI - 'The Chennai Declaration': An Indian perspective on the antimicrobial resistance
challenge.
AB - 'The Chennai Declaration', the consensus document of the meeting 'A Roadmap to
Tackle the Challenge of Antimicrobial Resistance', is the first of its kind in
Indian medical history on the subject. The Declaration is a compilation of clear
cut and practical recommendations suitable to the Indian scenario. Sincere
efforts to implement these recommendations will be an inspiring example to the
whole region.
PMID- 27873610
TI - Long-range DHPS mutations unexpectedly increase Mycobacterium chimaera
susceptibility to sulfonamides.
AB - The two closely related mycobacteria, Mycobacterium intracellulare and
Mycobacterium chimaera, exhibit a more than two-fold difference in their in vitro
susceptibility to sulfonamides. Sulfonamides are antibiotics targeting the 6
hydroxymethyl-7,8-dihydropteroate synthase (DHPS) enzyme involved in the folate
synthesis pathway. Comparing the DHPS gene sequence in six M. intracellulare and
M. chimaera types trains and clinical isolates yielded only four amino acid
changes. In silico structural modelling surprisingly indicated that these amino
acids are not located in the active site of DHPS and do not interact directly
with sulfonamides. Unexpectedly, these amino acids in distal positions may play a
key role in the increased sulfonamide susceptibility observed in M. chimaera
compared with M. intracellulare. This example illustrates how three-dimensional
models could help to identify distal mutations capable of modulating enzymatic
activity.
PMID- 27873609
TI - Carbapenemases: Partners in crime.
AB - Carbapenemases, beta-lactamases that inactivate carbapenems and most beta-lactam
antibiotics, are most widely known for their ability to confer resistance to beta
lactams. They include serine carbapenemases, such as the widespread KPC family of
enzymes, and the metallo-beta-lactamases that contain the IMP, NDM and VIM enzyme
families acquired by Gram-negative bacteria on transferable elements. These
enzymes are almost always produced by organisms that encode at least one other
beta-lactamase, with as many as eight different beta-lactamase genes detected in
a single isolate. This consortium of beta-lactamases includes a full spectrum of
molecular and biochemical characteristics, providing the producing organism with
a range of catalytic activities. In addition to the variety of beta-lactamases
found in carbapenemase-producing Gram-negative pathogens are multiple other
resistance factors, especially aminoglycoside-modifying enzymes and 16S rRNA
methylases that confer resistance to aminoglycosides. Other acquired genes encode
fluoroquinolone, trimethoprim, sulfonamide, rifampicin and chloramphenicol
resistance determinants on mobile elements that travel together with beta
lactamase genes. Thus, the recent proliferation of transferable carbapenemases
serves to magnify resistance to virtually all antibiotic classes. Judicial use of
current antibiotics and a quest for novel antibacterial agents are necessary, as
multidrug-resistant bacteria continue to multiply.
PMID- 27873611
TI - Prevalence of nasal carriage and diversity of Staphylococcus aureus among
inpatients and hospital staff at Korle Bu Teaching Hospital, Ghana.
AB - There is a paucity of data on Staphylococcus aureus epidemiology in Africa.
Prevalence of nasal carriage and genetic diversity of S. aureus were determined
among hospital staff (HS) and inpatients (IP) at the largest hospital in Ghana.
In total, 632 nasal swabs were obtained from 452 IP and 180 HS in the Child
Health Department (CHD) and Surgical Department (SD). S. aureus carriage
prevalences were 13.9% in IP and 23.3% in HS. The chance of being a carrier was
higher in HS (P=0.005) and IP staying <=7 days in hospital (P=0.007). Resistance
to penicillin (93%), tetracycline (28%) and fusidic acid (12%) was more common
than for other agents (<5%). A higher chance of multidrug-resistant S. aureus
carriage was observed among IP compared with HS (P=0.01). High genetic diversity
was shown by spa typing, with 55 spa types found among 105 isolates; the
predominant spa types were t355 (10%) and t084 (10%). MRSA was detected in six IP
with an overall carriage prevalence of ca. 1.3%, but not in HS. All three MRSA
isolates from SD belonged to ST88-SCCmec IV, and two of them displayed the same
spa type and antibiograms; three MRSA isolates from CHD belonged to distinct
lineages (ST88-SCCmec IV, ST8-SCCmec V and ST72-SCCmec V). Altogether, these data
indicate a high diversity of S. aureus, low levels of MRSA carriage, and a higher
chance of nasal carriage of multidrug-resistant S. aureus among IP compared with
HS in this hospital.
PMID- 27873612
TI - Activity of the antiseptic polyhexanide against meticillin-susceptible and
meticillin-resistant Staphylococcus aureus.
AB - Staphylococcus aureus is one of the most important pathogens, with increasing
emergence of meticillin-resistant S. aureus (MRSA) strains. This is associated
not only with multiresistance to antibiotics but also with increasing resistance
to topical antibiotics and antiseptics. As the antiseptic polyhexanide has only a
low risk of emergence of resistant strains, the aim of the study was to obtain
data on the sensitivity of S. aureus towards polyhexanide. The effect of
polyhexanide was tested against 80 meticillin-susceptible S. aureus (MSSA) and 80
MRSA strains from sporadic cases as well as against 6 MRSA outbreak strains. The
clonal diversity of the 166 strains was proven by pulsed-field gel
electrophoresis (PFGE). Minimum inhibitory concentrations (MICs) and minimum
bactericidal concentrations (MBCs) were determined by the serial broth
microdilution technique according to DIN 58940. Time-kill studies were performed
for reference strains MSSA ATCC 29213 and MRSA ATCC 33591. MICs and MBCs in the
range of 0.5-2mg/L were found. According to a created epidemiological cut-off
(ECOFF) value of 4mg/L, all strains were regarded as susceptible to polyhexanide,
including MRSA epidemic strains and MSSA and MRSA sporadic strains with various
antibiotic susceptibility patterns. Addition of up to 4% albumin to the test
medium did not change the MICs and MBCs. Time-kill studies showed reduction rates
of 4log10CFU/mL for 200mg/L and 5log10CFU/mL for 400mg/L polyhexanide within 5
30min. It is concluded that polyhexanide is suitable for topical eradication of
S. aureus.
PMID- 27873613
TI - Decreasing trends of drug resistance and increase of non-B subtypes amongst
subjects recently diagnosed as HIV-infected over the period 2004-2012 in the
Veneto Region, Italy.
AB - The present study was designed to prospectively monitor transmitted drug
resistance mutations (TDRMs) in the Veneto Region, Italy. Genotypic resistance
testing was conducted on the plasma of 1882 patients consecutively enrolled at
the time of diagnosis of human immunodeficiency virus (HIV) infection from 2004
to 2012. TDRMs were defined according to the Stanford HIV database algorithm. In
total, 214 (16.1%) B subtype-infected and 58 (10.5%) non-B subtype-infected
individuals were identified as having a primary or recent HIV-1 infection. In
subtype B-infected subjects in 2004-2006, the prevalence of TDRMs was 20.0% in
chronic infections and 25.5% in recent infections; in 2007-2009 the rates were
11.5% and 5.3%, respectively; and in 2010-2012 they were 11.3% and 15.2%,
respectively. In non-B subtype-infected subjects in 2004-2006, the prevalence of
TDRMs was 18.0% in chronic infections and 16.5% in recent infections; in 2007
2009 the rates were 5.7% and 0%, respectively; and in 2010-2012 they were 6.2%
and 8.7%, respectively. Protease inhibitor resistance and combined resistance to
two or three classes of drugs declined during the three study periods. The
observed decrease in TDRMs and a simplification of the resistance patterns may
reflect a change over time in the characteristics of the infecting subjects who
are often unaware of their infection and transmit a wild-type strain.
PMID- 27873614
TI - Polymicrobial bloodstream infections: Epidemiology and impact on mortality.
AB - The aim of this study was to investigate the impact of polymicrobial bloodstream
infections (pBSIs) on the outcome of sepsis in an area where antimicrobial
resistance is of concern. This was a retrospective analysis of data collected
prospectively from patients developing BSI outside of an intensive care unit (non
ICU patients) or after ICU admission. Demographics and clinical characteristics
were compared for patients with pBSI versus monomicrobial BSI (mBSI) and
following stratification by ICU or non-ICU and severity of sepsis status.
Possible risk factors for adverse outcome were explored by multivariate analysis,
and outcomes were measured by Cox regression analysis. Among 412 patients with
BSI, 47 patients (11.4%) with pBSI were recorded; compared with patients with
mBSI, they had significantly higher APACHE II scores and presented more
frequently with severe sepsis/septic shock. The all-cause 28-day mortality was
significantly higher for pBSI versus mBSI (38.3% vs. 24.7%; P=0.033), whereas
appropriateness of treatment was comparable (78.7% vs. 86.6%). Primary
bacteraemia by combinations of Enterococcus faecalis, Klebsiella pneumoniae and
Acinetobacter baumannii was predominant among pBSIs; in mBSIs, urinary tract
infections by Escherichia coli, K. pneumoniae or Pseudomonas aeruginosa
predominated. Multivariate analysis demonstrated pBSI as a significant
contributor to 28-day mortality (HR=1.86; P=0.039), along with presence of two or
more co-morbidities (HR=2.35; P=0.004). In conclusion, pBSIs differed
epidemiologically from mBSIs, with the emergence of enterococcal species, and
portended an almost two-fold increased risk of 28-day mortality. Prospective
studies are warranted to elucidate possibly modifiable factors.
PMID- 27873615
TI - NDM-1- and OXA-163-producing Klebsiella pneumoniae isolates in Cairo, Egypt,
2012.
AB - Here we describe carbapenem resistance determinants in two Klebsiella pneumoniae
isolates recovered from two hospitalised patients in the same intensive care unit
of a cancer hospital in Cairo, Egypt. PCR and sequencing were used to detect and
characterise beta-lactamase genes. Clonal relationships between the isolates were
analysed by pulsed-field gel electrophoresis (PFGE) and multilocus sequence
typing (MLST). The first K. pneumoniae isolate carried the blaNDM-1 gene and the
second isolate carried the blaOXA-163 gene. Both isolates co-expressed the
extended-spectrum beta-lactamase CTX-M-15. The two isolates belonged to different
sequence types (STs), ST11 and ST16, respectively. No history of travel was
established for the two patients. The first identification of NDM-1-producing K.
pneumoniae in Egypt adds further evidence to the spread of NDM-1-producing Gram
negative micro-organisms in North Africa. The additional detection of blaOXA-163
in a K. pneumoniae isolate confirms its endemic presence in a critical healthcare
setting of this geographic area.
PMID- 27873616
TI - Emergence of blaKPC-3-Tn4401a in Klebsiella pneumoniae ST512 in the municipal
wastewater treatment plant and in the university hospital of a town in central
Italy.
AB - In this study, 20 carbapenem-resistant environmental Klebsiella pneumoniae
strains were found to correlate with 18 clinical K. pneumoniae isolates from the
teaching hospital of L'Aquila city, Italy. All strains analysed by multilocus
sequence typing (MLST) were included in the same clone (ST512), and pulsed-field
gel electrophoresis demonstrated a genetic relationship between the clinical
isolates and most environmental strains. Both environmental and clinical strains
harboured the same mobile genetic elements: transposon Tn4401a including a blaKPC
3 determinant; and a class 1 integron with the gene cassette aadA2.
PMID- 27873617
TI - Can ampicillin/sulbactam resistance in Acinetobacter baumannii be predicted
accurately by disk diffusion?
AB - The objective of this study was to compare the performance of disk diffusion and
agar dilution for the determination of susceptibility to ampicillin/sulbactam
(SAM), ceftazidime, cefepime, imipenem, meropenem, polymyxin B and tigecycline of
121 Acinetobacter baumannii clinical isolates. The antimicrobial susceptibility
testing methods were performed as recommended by the Clinical and Laboratory
Standards Institute (CLSI). For SAM, in addition the Etest method was performed
according to the manufacturer's instructions. The error rates for the
antimicrobial agents for 121 isolates tested were within the acceptable ranges
established by the CLSI, with the exception of SAM and polymyxin B. For polymyxin
B, there were 1.7% very major errors and for SAM there were 15% comparing disk
diffusion with agar dilution. The very major error rate of SAM comparing the
Etest with agar dilution was 10%. These high observed rates of very major error
cast doubt on the disk diffusion and Etest techniques as appropriate methods for
detecting resistance to SAM.
PMID- 27873618
TI - Hospital-associated meticillin-resistant Staphylococcus pseudintermedius in a
French veterinary hospital.
PMID- 27873619
TI - Carbapenem-hydrolysing beta-lactamase KPC-2 in Klebsiella pneumoniae isolated in
Ecuadorian hospitals.
PMID- 27873620
TI - Emergence of quinolone resistance in Salmonella and Shigella strains isolated
from diarrhoea in Senegal.
PMID- 27873621
TI - Treatment of extensively drug-resistant Gram-negative infections in critically
ill patients: Outcome of a consensus meeting at the 13th Asia-Pacific Congress of
Clinical Microbiology and Infection, October 2012.
AB - Infections caused by multidrug-resistant and extensively drug-resistant Gram
negative bacilli are increasingly challenging to manage in hospitals and long
term-care facilities worldwide. As the therapeutic options are limited, the
International Society of Chemotherapy in collaboration with the Asia-Pacific
Society of Clinical Microbiology and Immunology organised a consensus conference
as part of the 13th Asia-Pacific Congress of Clinical Microbiology and Infection.
A panel of international experts from Europe, the Americas and Asia were convened
to discuss the issues of therapeutic options for the management of these
difficult-to-treat pathogens.
PMID- 27873622
TI - Inappropriate empirical antimicrobial therapy for multidrug-resistant organisms
in critically ill patients with pneumonia is not an independent risk factor for
mortality: Results of a prospective observational study of 758 patients.
AB - The benefits of broad-spectrum initial empirical antibiotic therapy for all
patients in intensive care units (ICUs) with high rates of multidrug-resistant
organisms (MDROs) have not been critically evaluated. In this study, 758 ICU
patients with pneumonia were prospectively evaluated. Of 349 positive respiratory
cultures, 119 (34.1%) were with MDRO isolates. These were associated with
increased mortality [adjusted hazard ratio (HR)=1.65, 95% confidence interval
(CI) 1.01-2.68; P=0.04] as was increasing age and Acute Physiology and Chronic
Health Evaluation (APACHE) II score. Among the patients with MDRO-associated
pneumonia, increasing age, APACHE II score and inappropriate definitive
antimicrobial therapy (IDAT) were found to be significant risk factors for
mortality (in-ICU mortality, adjusted HR=2.8, 95% CI 1.3-5.8; P=0.007), but
inappropriate empirical antimicrobial therapy (IEAT) was not (in-ICU mortality,
unadjusted HR=1.6, 95% CI 0.7-3.6; P=0.3). In conclusion, we found that among
critically ill patients with MDRO-associated pneumonia, IEAT is not an
independent risk factor for ICU mortality. Hence, we do not recommend the use of
broad-spectrum initial empirical antimicrobial therapy for all patients, as its
benefits may not outweigh the potential risks. Early microbiological diagnosis to
facilitate implementation of early definitive antimicrobial therapy through use
of novel technologies is likely to have a major impact.
PMID- 27873623
TI - Emerging and existing mechanisms co-operate in generating diverse beta-lactam
resistance phenotypes in geographically dispersed and genetically disparate
Pseudomonas aeruginosa strains.
AB - beta-Lactam resistance in Pseudomonas aeruginosa clinical isolates is driven by a
number of mechanisms. Whilst several are understood, how they act co-operatively
in pathogenic strains is less clear. In some isolates, resistance profiles cannot
always be explained by identifying the common resistance-determining pathways,
suggesting that other mechanisms may be important. Pathogenic P. aeruginosa
isolates from four countries were characterised by PCR. Quantitative expression
analysis was also assessed for the activity of several pathways that influence
antibiotic resistance, and culture experiments were conducted to test how random
transposition of the insertion sequence IS26 during growth may influence
resistance to some antibiotics. In most strains, antibiotic resistance was being
driven by changes in multiple pathways and by the presence or absence of genes
acquired by lateral gene transfer. Multiple mechanisms of resistance were
prevalent in strains from all of the countries examined, although regional
differences in the type of interacting mechanisms were apparent. Changes in
chromosomal pathways included overexpression of AmpC and two efflux pumps. Also,
gain or loss of IS26 at some chromosomal locations, most notably oprD, could
influence resistance to carbapenems. IS26-related resistance was found in strains
from Argentina and geographically linked Uruguay, but not in strains from either
Colombia or Australia. Pseudomonas aeruginosa pathogenic strains are evolving to
become multidrug-resistant in more complex ways. This is being influenced by
single strains acquiring changes in numerous known pathways as well as by newly
emerging resistance mechanisms in this species.
PMID- 27873625
TI - Antimicrobial susceptibility of Staphylococcus aureus and molecular epidemiology
of meticillin-resistant S. aureus isolated from Australian hospital inpatients:
Report from the Australian Group on Antimicrobial Resistance 2011 Staphylococcus
aureus Surveillance Programme.
AB - The Australian Group on Antimicrobial Resistance (AGAR) performs regular
multicentre period prevalence studies to monitor changes in antimicrobial
resistance. In 2011, 29 laboratories in Australia participated in the national
surveillance of Staphylococcus aureus resistance. The survey only included unique
isolates from clinical specimens collected >=48h after hospital admission. MRSA
accounted for 30.3% of S. aureus isolates. MRSA resistance to ciprofloxacin,
erythromycin, tetracycline, trimethoprim/sulfamethoxazole, gentamicin and
clindamycin (constitutive resistance) varied considerably between regions.
Resistance to non-beta-lactam antimicrobials was uncommon in MSSA, with the
exception of erythromycin. Regional variation in resistance was due to the
differential distribution of MRSA clones between regions. The proportion of S.
aureus genetically characterised as healthcare-associated MRSA (HA-MRSA) was
significantly lower in this survey (18.2%) compared with the 2005 survey (24.2%)
(P<0.0001). Although four HA-MRSA clones were characterised, 98.8% of HA-MRSA
were classified as either ST22-MRSA-IV [2B] (EMRSA-15) or ST239-MRSA-III [3A]
(Aus-2/3 EMRSA). Multiclonal community-associated MRSA (CA-MRSA) increased
markedly from 6.5% in 2005 to 11.7% of all S. aureus in 2011 (P<0.0001). Although
the proportion of MRSA resistant to non-beta-lactam antimicrobials has decreased
nationally, the proportion of S. aureus that are MRSA has remained stable. This
is primarily due to non-multiresistant CA-MRSA becoming more common in Australian
hospitals at the expense of the long-established multiresistant ST239-MRSA-III
[3A] (Aus-2/3 EMRSA). Given hospital outbreaks of CA-MRSA are thought to be
extremely rare, it is most likely that patients colonised at admission with CA
MRSA have become infected with the colonising strain during their hospital stay.
PMID- 27873624
TI - Identification of the first blaCMY-2 gene in Salmonella enterica serovar
Typhimurium isolates obtained from cases of paediatric diarrhoea illness detected
in South America.
AB - The objectives of this study were to investigate clinical isolates of Salmonella
enterica serovar Typhimurium resistant to beta-lactam antibiotics, to
characterise their mechanisms of antibiotic resistance and to evaluate the
possible biological cost of expressing resistance genes. Two oxyimino
cephalosporin-resistant Salmonella isolates obtained from children with diarrhoea
were characterised. The occurrence of plasmid-encoded blaCMY-2 genes was
confirmed by molecular methods and conjugation assays; transcription levels were
determined by quantitative real-time PCR (qRT-PCR). The genomic context of the
beta-lactamases, replicon type and addiction systems were analysed by PCR.
Genomic relatedness of both isolates was studied by pulsed-field gel
electrophoresis (PFGE) and multilocus sequence typing (MLST) assays. Growth
curves, motility and invasiveness assays in Caco-2 cells were performed to
analyse the bacterial fitness of both isolates. Both isolates carried a blaCMY-2
like allele in an IncI plasmid and belonged to the same MLST sequence type
(ST19); nevertheless, they showed extensive differences in their PFGE profiles
and virulotypes. Isolate STM709 appeared to lack the Salmonella virulence plasmid
and displayed less motility and invasiveness in cultured cells than isolate
STM910. qRT-PCR showed that isolate STM709 had higher blaCMY-2 mRNA levels
compared with STM910. Altogether, the results suggest that a plasmid carrying
blaCMY-2 could be disseminating among different clones of S. Typhimurium.
Different levels of blaCMY-2 mRNA could have an effect on the fitness of this
micro-organism, resulting in lower invasiveness and motility.
PMID- 27873626
TI - Salmonella prevalence in meat at retail markets in Pakse, Champasak Province,
Laos, and antimicrobial susceptibility of isolates.
AB - Non-typhoidal Salmonella is one of the most common causes of human
gastroenteritis worldwide and most human outbreaks are associated with the
consumption of contaminated food. However, there are no reports on Salmonella
contamination in market meat in Laos. The objective of this study was to
determine the prevalence of Salmonella in meat samples in Pakse, Champasak
Province, Laos, as well as the antimicrobial susceptibility of isolates. The
prevalence of Salmonella was 82% in beef, 93% in pork and 80% in buffalo meat. In
total, 80 isolates and 11 serovars were found, including serovars Stanley (n=15),
Anatum (n=14), Derby (n=11), Rissen (n=9) and Amsterdam (n=7). The drug
susceptibility of 60 strains against 10 antimicrobial agents was tested. The 60
isolates examined were sensitive to ciprofloxacin (100% susceptible), norfloxacin
(100%), cefotaxime (95%), nalidixic acid (90%) and chloramphenicol (88%), but
were resistant to streptomycin (67% resistant), tetracycline (67%) and ampicillin
(63%). Of the isolates, 73% were multidrug-resistant. These findings indicate a
high Salmonella prevalence in market meat in Pakse. Therefore, programmes to
control Salmonella contamination are needed.
PMID- 27873627
TI - Performance of different commercial methods for determining minimum inhibitory
concentrations of glycopeptides and linezolid against blood isolates of
Staphylococcus aureus.
AB - The aim of this study was to determine the accuracy of commercial systems
(VITEK(r) 2, Etest and Sensititre(r)) in determining the minimum inhibitory
concentrations of vancomycin, teicoplanin and linezolid of Staphylococcus aureus
strains and to evaluate the reproducibility of each system in a clinical
microbiology laboratory. In total, 115 strains of S. aureus isolated from blood
cultures were tested with all three commercial methods as well as the broth
microdilution method, which is designated as the standard for glycopeptides and
linezolid. Fourteen different S. aureus strains were included in a
reproducibility test for all methods and antibiotics. For these strains,
antimicrobial susceptibility testing was repeated 10 times on different days with
all four methods, each time using the same inoculum. All three commercial methods
exhibited similar performance in categorisation of nearly all of the meticillin
susceptible S. aureus (MSSA) isolates. Discrepancies were registered for
meticillin-resistant S. aureus (MRSA); 2.5% of the strains in the intermediate or
resistant category with the VITEK 2 system were not recognised as resistant by
Etest and Sensititre. Moreover, none of the three commercial methods provided
accurate results compared with homemade broth microdilution. Reproducibility of
vancomycin and teicoplanin was 100% with VITEK 2 and Sensititre and 98.75% with
Etest. Microdilution showed a reproducibility of 95.6% with vancomycin and 83.1%
with teicoplanin. In contrast to previous reports, the best agreement with
microdilution was exhibited by VITEK 2 both for MSSA and MRSA. For the
antibiotics tested, the best reproducibility was obtained with the VITEK 2 and
Sensititre systems.
PMID- 27873629
TI - Antimicrobial stewardship programmes in Emilia-Romagna, Italy.
AB - The purpose of this study was to evaluate the state-of-the-art of antimicrobial
stewardship programmes (ASPs) in Emilia-Romagna, Italy. A self-compiled, 23
question, multiple-choice questionnaire, divided into eight sections, focusing on
Public Health Trust (PHT) characteristics, multidisciplinary team, formulary
restrictions, education, guidelines and protocols, auditing, antimicrobial
therapy management and consumption, and resistance surveillance, was sent to all
17 PHTs of Emilia-Romagna. The 'composite index of good antibiotic use' (ICATB)
score, a French ASP process index based upon 12 different parameters, was
calculated. All PHTs completed the survey. All PHTs had an antimicrobial control
programme, although an antimicrobial stewardship team was present in 11/17 (65%)
of trusts. The main results were (a) active antimicrobial committee, 47% of PHTs;
(b) restricted formularies, 100%; (c) courses on surgical antimicrobial
prophylaxis (SAP) and antimicrobial therapy, 56% of surgical specialties and 47%
of PHTs, respectively; courses for new prescribers, nil; (d) guidelines on SAP
and on antimicrobial therapy, 100% and 71% of PHTs, respectively; (e)
antimicrobial prescribing audits, 71%; and (f) antibiotic consumption and
antimicrobial resistance data periodically fed back to wards, 100% and 88% of
PHTs, respectively. Low overall quality scores were observed for antibiotic
committee, education and auditing activities. The mean ICATB score was 11.94
points, varying significantly among trusts (5.25-16.25 points). In conclusion,
all PHTs have implemented an ASP, although significant differences exist between
trusts. Antimicrobial committee organisation, education and auditing activities
represent the most critical points and need to be addressed by regional
programmes in order to harmonise the healthcare system.
PMID- 27873630
TI - Antimicrobial stewardship in hospitals: Does it work and can we do it?
AB - Selection of resistant pathogens by antimicrobial use is probably the most
important cause of antimicrobial resistance. Antimicrobial stewardship (AMS)
refers to a multifaceted approach to optimise prescribing. The benefits of AMS
programmes have been widely demonstrated in terms of reductions in antimicrobial
use, mortality, Clostridium difficile and other healthcare-associated infections,
hospital length of stay and bacterial resistance. Several kinds of interventions
(i.e. restriction of drugs, pre-authorisation of certain antimicrobials, joint
clinical rounds with prescribers, implementation of guidelines and education)
have shown positive results. Regrettably, in most hospitals in Latin America,
Asia and Africa as well as in a significant proportion of institutions in Europe
and North America, essential human and material resources are scarce or absent,
and teams are neither developed nor well functioning. Despite current or
potential barriers, we should start or improve our already ongoing initiatives on
AMS by considering the main specific problems and act accordingly with the
available human and material resources. From supervising the use of specific
classes of drugs to implementing more sophisticated decision support programmes,
there is a wide range of possible useful interventions.
PMID- 27873628
TI - The effect of chlortetracycline on faecal microbial populations in growing swine.
AB - The effect of antimicrobial use on the gastrointestinal microbiota of food
animals is of increasing concern as bacteria accumulate resistance to multiple
antimicrobials. Only a small fraction of the gastrointestinal microbiome is
culturable, complicating characterisation of the swine gastrointestinal
ecosystem. The objective of this study was to determine the effect of a growth
promotion dose (50g/ton) of chlortetracycline on the phylogenetic diversity of
bacteria from swine faeces using a culture-independent method. Four freshly
weaned pigs were provided a grower ration of primarily corn (63.7%) and soybean
meal (25.2%) for 21 days; on Day 21 for 4 weeks the diet of two pigs was
medicated with 50g/ton chlortetracycline. Faecal material was collected from each
pig on Days 0, 14, 23, 28, 35, 42 and 49 for 454-pyrosequencing of the 16S rRNA
gene. UniFrac analysis of pyrosequencing data showed no significant difference in
bacterial diversity based on diet and among pigs (P>0.05) fed the low-level dose
of chlortetracycline. The most abundant phyla in both treatment groups were
Firmicutes, Bacteroidetes, Proteobacteria and Spirochaetes. Higher concentrations
of chlortetracycline (e.g. 200g/ton or 400g/ton) may be required to observe a
shift in the gastrointestinal flora in swine faeces compared with the low-level
dose in this study. Studies of broader scope are needed to understand thoroughly
how growth-promoting antimicrobials influence the gut microflora and benefit food
animal growth efficiency.
PMID- 27873631
TI - Action Plan to combat infections due to carbapenem-resistant, Gram-negative
pathogens in acute-care hospitals in Greece.
AB - The prevalence of carbapenem-resistant pathogens (CRPs) has increased worldwide.
Given the importance of CRPs for public health and the high rates of carbapenem
resistance observed in Greece, the Hellenic Center for Disease Control and
Prevention (HCDCP) under the auspices of the Ministry of Health has undertaken
initiatives to develop an Action Plan (i) to estimate the burden of CRP
infections in acute-care hospitals in Greece and (ii) to implement infection
control measures to limit the intrahospital transmission of these organisms.
Starting in November 2010, specific infections caused by CRPs were reported to
the HCDCP weekly. Results showed that CRP infections constitute a significant
public health problem in acute-care hospitals in this country, with a mean
incidence of 0.48 per 1000 patient-days and a crude 28-day mortality rate of
34.4%. The second phase of the Action Plan consists of systemic evaluation for
adherence to an infection control bundle including enhanced standard infection
control practices, separation of carriers and infected patients from non
carriers, and strict implementation of contact precautions. Communication between
hospitals and public health authorities has been established to facilitate rapid
notification and feedback.
PMID- 27873632
TI - Distribution of beta-lactamases in carbapenem-non-susceptible Acinetobacter
baumannii in Riyadh, Saudi Arabia.
AB - In this study, the distribution of beta-lactamase genes among 55 consecutive
Acinetobacter baumannii isolates with reduced susceptibility to imipenem
collected at Prince Salman Hospital (Riyadh, Saudi Arabia) from February-June
2011 was investigated. Minimum inhibitory concentrations (MICs) were determined
by Etest and were interpreted against Clinical and Laboratory Standards Institute
(CLSI) breakpoints. PCR was used to search for beta-lactamase genes, insertion
sequence ISAba1 and class 1 integrons. Imipenem MICs ranged from 2MUg/mL to
>=32MUg/mL and resistance to aztreonam, cefepime and ceftazidime was widespread,
with MIC90 values (MIC required to inhibit 90% of the isolates) of >256MUg/mL.
blaTEM, blaADC and blaOXA-51-like genes were universal, whilst blaOXA-23, blaPER,
blaGES and blaOXA-24 were found in 60.0%, 49.1%, 34.5% and 3.6% of isolates,
respectively. Genes for SHV, CTX-M, VEB, KPC, OXA-58 and metallo-beta-lactamases
(MBLs) were not detected. ISAba1 was universal and consistently present upstream
of blaOXA-51, blaOXA-23, blaOXA-24 and blaADC; class 1 integrons also were
universal. Notably, 28/55 isolates had both an extended-spectrum beta-lactamase
(ESBLs) and an acquired blaOXA-23 gene. High-level carbapenem resistance
(MIC>=32MUg/mL) was consistently associated with blaOXA-23 or blaOXA-24, whereas
low-level resistance (MIC of 2-8MUg/mL) was associated with the presence of ESBLs
of GES or PER type and/or ISAba1-upregulated blaOXA-51-like. In conclusion,
blaTEM, blaOXA-23, blaPER and blaGES-like genes were prevalent, often in
combination. MBLs remained absent and high-level carbapenem resistance
consistently correlated with the presence of blaOXA-23 or blaOXA-24.
PMID- 27873633
TI - Impact of clinical syndrome-wise categorisation of antimicrobial susceptibility
profiles on nosocomial isolates in a tertiary care hospital in Delhi, India.
AB - The objective of this study was to investigate the impact of clinical syndrome
wise categorisation of antimicrobials on the antibiotic susceptibility of
nosocomial bacteria at a tertiary care hospital in Delhi, India. The
susceptibility of 226 nosocomial bacterial isolates collected during 2008-2011 to
meropenem, piperacillin/tazobactam, cefepime, tigecycline, amoxicillin/clavulanic
acid (AMC), amikacin, ceftriaxone and ciprofloxacin was monitored and was
compared with the antibiotic susceptibility profiles of 72 isolates collected
during 2002-2007. During 2008-2011, the isolates included 85% Gram-negative
isolates and 15% meticillin-sensitive staphylococci. There was no difference in
the susceptibility of the isolates between 2002 and 2007 and 2008-2011, except
for a significant increase in Escherichia coli isolates susceptible to AMC (from
73% to 100%), amikacin (70-100%) and cefepime (22-86%), in Klebsiella isolates
susceptible to ciprofloxacin (from 25% to 80%) and cefepime (45-75%) and in
Pseudomonas isolates susceptible to AMC (from 25% to 86%). In conclusion, during
the past decade a clinical syndrome-wise categorisation of antimicrobials in
frequent usage as well as culture-based categorisation of infections into
nosocomial/community-acquired was useful in establishing the generally
insignificant change in the susceptibility of nosocomial isolates and an upsurge
in the susceptibility of some isolates to a few antimicrobials. Regular updates
on drifts in the antimicrobial susceptibility of local isolates and surveillance
of nosocomial infections would be valuable for tackling the emergence of
antibiotic-resistant bacteria.
PMID- 27873634
TI - Risk factors for community-associated methicillin-resistant Staphylococcus aureus
colonisation in a large metropolitan area in Greece: An epidemiological study
using two case definitions.
AB - The aim of this study was to evaluate the epidemiology and characteristics and to
identify modifiable risk factors for community-associated (CA) MRSA colonisation
in a region with high prevalence. A large patient population (n=2280) from two
tertiary care centres in Athens (Greece) was evaluated. Demographics and
potential risk factors for CA-MRSA colonisation were recorded prospectively.
Presence of the Panton-Valentine Leukocidin (PVL) toxin and mecA gene was
determined in all MRSA isolates. Two definitions for CA-MRSA were applied.
Univariate and multivariate analyses to identify predictors of previously unknown
CA-MRSA colonisation were performed. In total, 120 (5.3%) MRSA carriers were
identified; in 67 the isolates were classified as CA-MRSA using criteria based on
the CDC definition, compared with 35 based on a definition including PVL toxin
positivity. Factors significantly associated with previously unknown CA-MRSA
carriage (CDC definition) included being a child or adolescent (OR=3.6, 95% CI
1.5-8.6), belonging to the family of an index case (OR=2.4, 95% CI 1.2-4.8), and
presence of any co-morbidity (OR=1.7, 95% CI 1.04-2.8) or chronic skin disease
(OR=3.6, 95% CI=2.2-6.1). In multivariate analysis, presence of any co-morbidity
was the only significant predictor (OR=4.9, 95% CI 1.07-22.5; P=0.04). No easily
modifiable risk factor for previously unknown CA-MRSA colonisation was
identified. The CDC-based epidemiological definition for CA-MRSA appears to be
more sensitive in detection of CA-MRSA colonisation than a purely molecular
definition based on presence of the PVL gene.
PMID- 27873635
TI - Susceptibility profiles of Propionibacterium acnes isolated from patients with
acne vulgaris.
AB - The wide prescription of antibiotics in patients with acne vulgaris has generated
the concern of emergence of antibiotic-resistant Propionibacterium acnes. To
study the susceptibility profiles of P. acnes isolated from patients with acne
vulgaris, 90 isolates were isolated from sebum collected from lesions of 191
patients. Susceptibilities to amoxicillin, minocycline, erythromycin and
clindamycin were studied by the Etest method. Thirty-four isolates (37.8%) were
resistant to both erythromycin and clindamycin, whereas another four isolates
(4.4%) were resistant to all four tested antimicrobials. All resistant isolates
to any of the tested antimicrobials had very high minimum inhibitory
concentrations (>256MUg/mL). Among all analysed host factors, only history of
oral treatment with macrolides and/or clindamycin within the last 2 years was
independently associated with the acquisition of resistant P. acnes (odds
ratio=5.573; P=0.001). The present results provide useful information to guide
antimicrobial prescribing strategies in acne. Any information for past exposure
to macrolides or clindamycin should suggest avoidance of prescription of these
antibiotics. Tetracyclines and amoxicillin are the suggested solutions for these
patients. In contrast, lack of history of exposure allows the safe prescription
of macrolides and clindamycin.
PMID- 27873636
TI - A pilot study of risk adjustment for benchmarking antibiotic use between
hospitals in Sweden.
AB - There is no established standard for comparing overall antibiotic use between
hospitals taking patient characteristics into account. The objective of this
study was to investigate whether there is a correlation between surrogate markers
for patient morbidity, namely case mix index (CMI), mean length of hospital stay
(LoS) and mean cost per admission, and antibiotic use in a sample of Swedish
hospitals. All primary and secondary hospitals in three counties with high and
three counties with low consumption of antibiotics were selected. Data from 16
hospitals were included. A regression analysis was used to evaluate whether there
was a linear trend between defined daily doses (DDD) of antibiotics per 100 bed
days and the surrogate markers for morbidity. No correlation could be found
between any of the measures of morbidity and total antibiotic consumption.
However, a correlation was found between CMI and the proportion of narrow
spectrum antibiotics: the higher the CMI, the lower the proportional use of beta
lactamase-sensitive penicillins. In conclusion, it was found that CMI, mean LoS
and mean cost per admission did not appears to be useful factors to adjust for
when comparing antibiotic use in this subset of primary and secondary care
hospitals. Based on this limited study, we suggest that DDD/100 bed-days can
still be used as an appropriate metric to benchmark antibiotic use in primary and
secondary hospitals until a better marker for variation of patients and
activities is identified.
PMID- 27873638
TI - Prevalence of in vitro susceptibility to carbapenems among clinical isolates of
the Bacteroides fragilis group from a Colombian tertiary hospital.
AB - Clinical isolates of the Bacteroides fragilis group (n=29) were collected from
patients attending a tertiary care hospital in Medellin, Colombia, during 2012
and were tested for susceptibility to four carbapenems using the Clinical and
Laboratory Standards Institute (CLSI) Etest method. Overall rates of percent
susceptibility were as follows: meropenem, 93.1%; imipenem, 100%; ertapenem,
96.6%; and doripenem, 93.1%. This is the first study to show rates of resistance
of Bacteroides spp. to carbapenems in Colombia among a species formerly thought
to be fully susceptible to this type of antimicrobial.
PMID- 27873639
TI - Changing molecular epidemiology and high rates of mupirocin resistance among
meticillin-resistant Staphylococcus aureus in Singaporean hospitals.
AB - A prospective cross-sectional study was performed to determine the continuing
shift in the molecular epidemiology of meticillin-resistant Staphylococcus aureus
(MRSA) in Singapore. In total, 666 MRSA isolates from screening cultures
performed between 7 and 20 January 2013 were obtained from all seven public
sector hospitals in Singapore and were subjected to molecular typing using
multilocus variable-number tandem-repeat fingerprinting with confirmatory
multilocus sequencing typing for clustered isolates. Isolates were also tested
for the presence of the orfX-ACME insert and the high-level mupirocin resistance
gene ileS-2. The major circulating clones in Singaporean hospitals were ST22
(63.2%), ST45 (18.9%) and ST239 (10.7%). The orfX-ACME insert was only found in
ST239 isolates (31/71, 43.7%), but ileS-2 was found in 207 (31.1%) of the MRSA
isolates, varying between 10.0% and 47.8% among the hospitals. In conclusion, the
molecular epidemiology of MRSA in Singaporean hospitals has continued to change,
with ST45 now replacing ST239 in addition to the ongoing replacement of the
latter by ST22. Although a greater proportion of ST239 isolates carry the orfX
ACME insert, the actual clinical impact may be marginal as ST239 MRSA continues
to decline. Finally, high-level mupirocin resistance rates are remarkably high in
local healthcare-associated MRSA, with implications for MRSA decolonisation and
infection prevention. Further surveillance is required to monitor the changing
epidemiological trends.
PMID- 27873637
TI - Antibiotic susceptibility and molecular epidemiology of Panton-Valentine
leukocidin-positive meticillin-resistant Staphylococcus aureus: An international
survey.
AB - The antibiotic susceptibility and molecular epidemiology of Panton-Valentine
leukocidin (PVL)-positive meticillin-resistant Staphylococcus aureus (MRSA)
isolates reported from 17 countries in the Americas, Europe and, Australia-Asia
were analysed. Among a total of 3236 non-duplicate isolates, the lowest
susceptibility was observed to erythromycin in all regions. Susceptibility to
ciprofloxacin showed large variation (25%, 75% and 84% in the Americas, Europe
and Australia-Asia, respectively). Two vancomycin-intermediate PVL-positive MRSA
isolates were reported, one from Hong Kong and the other from The Netherlands.
Resistance to trimethoprim/sulfamethoxazole and linezolid was <1%. Among 1798
MRSA isolates from 13 countries that were tested for the requested 10 non-beta
lactam antibiotics, 49.4% were multisusceptible. However, multiresistant isolates
(resistant to at least three classes of non-beta-lactam antibiotics) were
reported from all regions. Sequence type 30 (ST30) was reported worldwide,
whereas ST80 and ST93 were exclusive to Europe and Australia, respectively.
USA300 and related clones (ST8) are progressively replacing the ST80 clone in
several European countries. Eight major clusters were discriminated by multilocus
variable-number tandem repeat assay (MLVA), showing a certain geographic
specificity. PVL-positive MRSA isolates frequently remain multisusceptible to non
beta-lactam agents, but multiresistance is already prevalent in all regions.
Surveillance of MRSA susceptibility patterns should be monitored to provide
clinicians with the most current information regarding changes in resistance
patterns.
PMID- 27873640
TI - Plasmid-mediated multidrug resistance and virulence in an avian pathogenic
Escherichia coli strain isolated in China.
PMID- 27873641
TI - Plasmid-mediated quinolone resistance in Shigella isolates over a decade in
India.
PMID- 27873642
TI - MDRO Beijing Consensus Meeting Report: Global burden of multidrug-resistant
organisms' current antimicrobial resistance problems in Asia-Pacific.
AB - The International Society of Chemotherapy's Working Groups on Antibiotic
Resistance and Antibiotic Stewardship convened a half-day workshop on the burden
of multidrug-resistant organisms in the Asia-Pacific. This short review is a
summary of their discussion and conclusions.
PMID- 27873644
TI - Effects of clofazimine on planktonic and biofilm growth of Mycobacterium
tuberculosis and Mycobacterium smegmatis.
AB - Mycobacteria form lipid-rich biofilms that restrict the efficacy of antimicrobial
chemotherapy, possibly necessitating the use of lipophilic antibiotics. In the
current study, the activity of one such agent, clofazimine, against Mycobacterium
tuberculosis and Mycobacterium smegmatis planktonic cells and biofilms was
investigated. Minimum inhibitory concentrations (MICs) of clofazimine were
determined for planktonic cultures, whilst minimum bactericidal concentrations
(MBCs) were determined for planktonic, biofilm-producing and biofilm-encased
organisms using standard bacteriological procedures. The effects of clofazimine
on biofilm formation and the stability of pre-formed biofilm were measured using
a crystal violet-based spectrophotometric procedure. In the case of M. smegmatis,
clofazimine was found to be active against planktonic phase (MICs and MBCs of
2.5mg/L and >20mg/L, respectively) and biofilm-producing organisms (MBC of
2.5mg/L); clofazimine demonstrated greater activity against M. tuberculosis,
corresponding values of 0.06, 5 and 0.3mg/L. Although clofazimine inhibited
biofilm production both by M. tuberculosis and M. smegmatis (P<0.05 at >=0.07mg/L
and >=0.3mg/L, respectively) and appeared to reduce the pre-formed M.
tuberculosis biofilm, addition of antimicrobial agent to pre-existing biofilm
matrices failed to kill biofilm-encased organisms. In conclusion, clofazimine is
more effective against M. tuberculosis than against M. smegmatis, exhibiting
bactericidal activity both for actively growing and slowly replicating bacilli
but not for non-replicating organisms of both species.
PMID- 27873643
TI - Detection of novel and reported mutations in the rpoB, katG and inhA genes in
multidrug-resistant tuberculosis isolates: A hospital-based study.
AB - The objective of this study was to detect mutations associated with isoniazid
(INH) and rifampicin (RIF) resistance in Mycobacterium tuberculosis isolates from
newly diagnosed and previously treated tuberculosis patients using a PCR-based
DNA sequencing technique. Phenotypic drug susceptibility testing was performed
using a BACTECTM MicroMGIT Culture System in 354 M. tuberculosis isolates. Among
the 354 isolates, 18 were multidrug-resistant tuberculosis (MDR-TB). PCR-based
DNA sequencing was performed targeting the rpoB gene for RIF and the whole of the
katG gene and the promoter and coding region of the inhA gene for INH. Results
were analysed using MultAlin analysis to identify the presence of polymorphisms
or mutations by comparing with already available GenBank sequences. Only 37.5% of
RIF-resistant isolates showed the presence of the most commonly reported mutation
(Ser531Leu). The most commonly reported mutation (Ser531Leu) was detected in six
MDR-TB isolates. The frequency of mutations associated with INH resistance was
31.5% (17/54) and 29.6% (16/54) for katG and inhA, respectively. Comparing the
relative distribution of mutations in the two target loci revealed that 12
isolates (22.2%) had a mutation in both katG and inhA. Apart from previously
reported mutations in the katG gene, there were three novel deletion and six
novel substitution mutations. As reported in previous studies, Ser531Leu was the
most common mutation detected in RIF-resistant isolates. The genetic mechanism of
INH resistance in M. tuberculosis is highly complex involving several genes, and
much remains to be explored to achieve a better understanding of this complex
mechanism.
PMID- 27873645
TI - Characterisation of extended-spectrum beta-lactamases among Klebsiella pneumoniae
isolates causing bacteraemia and urinary tract infection in Mozambique.
AB - The aim of this study was to determine the prevalence of extended-spectrum beta
lactamase (ESBL)-producing Klebsiella pneumoniae isolated from urinary tract and
bloodstream infections in a rural hospital in Manhica, Mozambique. ESBLs were
investigated among ceftriaxone-non-susceptible K. pneumoniae clinical isolates
recovered between 2004 and 2009. Characterisation of blaCTX-M, blaSHV, blaOXA and
blaTEM genes was performed by PCR and sequencing. Epidemiological relationships
were established by phylogenetic analysis, repetitive extragenic palindromic PCR
(REP-PCR), pulsed-field gel electrophoresis (PFGE) and multilocus sequence typing
(MLST), whilst plasmid transferability was evaluated by conjugation. In addition,
the presence of class 1 and 2 integrons was studied. A total of 19 K. pneumoniae
were analysed. The blaCTX-M-15 gene was found in all strains. Other ESBL genes
were found concomitantly, including blaSHV-5, blaSHV-2, blaSHV-2A, blaSHV-12 and
blaSHV-38. In addition, other beta-lactamases such as blaTEM-1 and blaOXA-30 were
also detected. REP-PCR identified 15 different epidemiological profiles. MLST
analysis also showed great variability of sequence types. The blaCTX-M-15 gene
showed a high transfer capacity. The presence of class 1 integrons was high. High
levels of multidrug resistance were also found. In conclusion, these data show
the dominance of the CTX-M-type ESBL, particularly CTX-M-15, supporting its
worldwide dissemination, including in areas with limited access to third
generation cephalosporins. This finding is a matter of concern for clinical
management as third-generation cephalosporins are an alternative for treating
severe cases of multidrug-resistant infections in this community.
PMID- 27873646
TI - Methicillin-resistant Staphylococcus aureus strains from Ghana include USA300.
AB - The objective of this study was to provide baseline information on circulating
methicillin-resistant Staphylococcus aureus (MRSA) clones in Ghana. Thirty MRSA
isolates collected between 2010 and 2013 from patients and healthy carriers were
characterised by DNA microarray analysis, staphylococcal protein A (spa) typing,
multilocus sequence typing (MLST) and minimum inhibitory concentration (MIC)
determination to 21 antimicrobial agents. Phenotypic resistance was detected to
tetracycline (67%), norfloxacin (40%), moxifloxacin (37%), erythromycin (37%),
clindamycin (33%), gentamicin (30%), kanamycin (30%) and ceftaroline (20%),
whereas no resistance was observed for glycopeptides, linezolid, daptomycin and
tigecycline. DNA microarray analysis showed that tet(M) (43%), tet(K) (33%),
aphA3 (23%), aacA-aphD (17%) and erm(C) (13%) were the most prevalent resistance
genes. ST88-IV (WA MRSA-2) (n=8), ST8-IV (USA300) (n=5) containing arginine
catabolic mobile element (ACME) and Panton-Valentine leukocidin (PVL), and ST247
I (North German/Iberian EMRSA) (n=4) were the most frequent clones detected. All
MRSA contained sak and scn genes, one isolate (ST36-II) harboured the gene
encoding the toxic shock syndrome toxin (TSST) and none contained exfoliative
toxin genes. In conclusion, the relatively high levels of resistance to easily
accessible non-beta-lactam agents further complicate the treatment of MRSA
infections in Ghana. The occurrence of USA300 and other epidemic multidrug
resistant MRSA clones in this African country is a matter of public health
concern due to the lack of adequate infrastructures for MRSA surveillance and
control in this geographical setting.
PMID- 27873647
TI - The long-term effects of restrictive interventions on consumption and costs of
antibiotics.
AB - In the last decade, Slovenia introduced restrictive measures for some antibiotic
classes in ambulatory care as well as regulatory interventions to reduce costs.
The aim of this study was to analyse the effects of these interventions on
consumption and costs of antibiotics in ambulatory care. Consumption data were
expressed in defined daily doses/1000 inhabitants per day (DID), number of
packages/1000 inhabitants per day and number of prescriptions/1000 inhabitants
per year. In 2000, Slovenia introduced restrictive measures for prescription of
amoxicillin/clavulanic acid (AMC) and fluoroquinolones, in 2005 for oral third
generation cephalosporins and in 2009 for macrolides. Segmented regression
analysis of interrupted time series was used to estimate the effects of
restrictive interventions on antibiotic consumption. Total outpatient consumption
of antibacterial drugs decreased by 29.65% from 20.27 DID in 1999 to 14.26 DID in
2012. Three years after the introduction of restrictions, consumption of AMC,
fluoroquinolones and macrolides decreased by 29.3%, 23.8% and 28.8%,
respectively, compared with the year before the intervention, and of non
restricted antibiotics by 3.3% (in 2003). Twelve years after the introduction of
restrictive interventions, use of AMC and fluoroquinolones decreased by 28.1% and
28.5%, respectively, and use of non-restricted antibiotics by 18.8% (in 2012). In
the same time period, the costs of AMC and fluoroquinolones were reduced by 63.3%
and 52.4%, respectively, and of non-restricted antibiotics by 46.9%. Restrictive
interventions in ambulatory care are effective in reducing antibiotic consumption
and costs. Restrictive interventions had a significantly greater impact on
consumption 3 years post-intervention than after 12 years.
PMID- 27873648
TI - Telavancin activity when tested by a revised susceptibility testing method
against uncommonly isolated Gram-positive pathogens responsible for documented
infections in hospitals worldwide (2011-2013).
AB - The broth microdilution method for telavancin susceptibility testing was revised
and now utilises DMSO as solvent for stock solution preparation and diluent for
stock solution dilution, following CLSI guidelines for water-insoluble agents.
The revised method also incorporates polysorbate 80 in the test medium to
mitigate drug binding to plastics. This revised methodology provides more
accurate and reproducible MIC determinations, which results in values lower than
the previously established method. This study was conducted to re-establish
telavancin potencies and susceptibility profiles (using updated interpretive
criteria) against a collection of uncommon clinical pathogens (3821 isolates).
Telavancin showed MIC50 values of 0.06mg/L against tested staphylococcal species
(MIC50/90, 0.03/0.06mg/L; 98.1-100.0% susceptible), with lower results for
Staphylococcus hominis (MIC50, <=0.015mg/L), Staphylococcus lugdunensis (MIC50,
<=0.015mg/L) and Staphylococcus simulans (MIC50, 0.03mg/L). Vancomycin (MIC50,
1mg/L), daptomycin (MIC50, 0.12-1mg/L) and linezolid (MIC50, 0.25-1mg/L) had
MIC50 results at least four-fold higher than telavancin against CoNS.
Streptococci (99.2-100.0% susceptible) displayed telavancin MIC50 values of
<=0.015-0.03mg/L. Vancomycin (MIC50, 0.25-0.5mg/L) and linezolid (MIC50, 0.5
1mg/L) had higher MIC50 results against streptococci, whilst daptomycin MIC50
values varied from <=0.06mg/L to 0.5mg/L. Micrococcus, Listeria and
Corynebacterium spp. were inhibited by telavancin at <=0.015, <=0.03 and
<=0.06mg/L, respectively. Telavancin exhibited potent in vitro activity against
this collection, greater than comparators (daptomycin, linezolid, vancomycin).
This study provides new baseline MIC results for telavancin and confirms the
spectrum and potency of telavancin against less commonly encountered Gram
positive species.
PMID- 27873649
TI - Antibacterial susceptibility of Escherichia coli among outpatients with community
acquired urinary tract infection in Hamadan, Iran.
AB - Escherichia coli is the main cause of community-acquired urinary tract infections
(UTIs). The aims of the present study were to examine the susceptibility profile
of E. coli causing UTIs and to identify factors associated with antimicrobial
resistance. A cross-sectional study was conducted in Hamadan City, Iran, between
2012 and 2013. Patients referred to Farshchian or Shahid Beheshti Hospitals and
diagnosed with UTI caused by E. coli were enrolled in the study. Susceptibility
testing to commonly used antimicrobial agents was performed by the disk diffusion
method. Relevant data were abstracted, and analysis was performed to identify
factors associated with antimicrobial resistance. A total of 154 patients were
enrolled in the study. Among the respective number of E. coli isolates, the
highest susceptibility was observed to nitrofurantoin (94.1%) and gentamicin
(86.4%) and the lowest to amoxicillin/clavulanic acid (35.1%),
trimethoprim/sulfamethoxazole (38.3%) and ciprofloxacin (51.9%). Twenty-four
isolates (15.6%) were susceptible to all antimicrobial tested, 31 (20.1%) were
resistant to one agent and 99 (64.3%) exhibited resistance to at least two
different classes of antibiotics [multidrug-resistant (MDR) phenotype]. Patients
with prior use of antibiotics during the past 3 months and those who had an
episode of UTI in the past 2 years were at higher risk of being infected with MDR
E. coli (P=0.034 and P=0.001, respectively). In conclusion, a substantial
proportion of E. coli causing UTI exhibited a MDR phenotype. History of UTI and
prior use of antibiotics were associated with increased risk of infection caused
by MDR E. coli.
PMID- 27873650
TI - The hidden resistome of retail chicken meat.
PMID- 27873651
TI - Antibiotic susceptibility patterns and blaPER-1 beta-lactamase-producing
Acinetobacter baumannii isolated from hospitalised patients.
PMID- 27873652
TI - A hospital sewage ST17 Enterococcus faecium with a transferable Inc18-like
plasmid carrying genes coding for resistance to antibiotics and quaternary
ammonium compounds (qacZ).
PMID- 27873654
TI - De novo induction of resistance against voriconazole in Aspergillus fumigatus.
PMID- 27873653
TI - Variations in IS6 promoters alter the expression of carbapenem resistance in
related strains of Acinetobacter baumannii.
AB - The aim of this work was to investigate the role of the IS6 family of insertion
sequences present upstream of blaOXA-58 in two clonally related carbapenem
resistant Acinetobacter baumannii isolates obtained from paediatric cancer
patients in Egypt. To determine their relatedness, the isolates were typed by
pulsed-field gel electrophoresis (PFGE), and the intrinsic blaOXA-51-like gene
was amplified and sequenced. Minimum inhibitory concentrations (MICs) to imipenem
and meropenem was determined according to British Society of Antimicrobial
Chemotherapy (BSAC) guidelines. PCR and sequencing of blaOXA-58 and the upstream
and downstream regions was performed to determine the genetic environment. The
two isolates were positive for the intrinsic blaOXA-64 gene, and the MICs for
isolates AB-14298 and AB-P67 were 8mg/L and 64mg/L for imipenem and 2mg/L and
16mg/L for meropenem, respectively. The blaOXA-58 gene in AB-14298 was flanked by
ISAba3 interrupted with IS1006, whereas AB-P67 had ISAba3 interrupted by IS1008,
both belonging to the IS6 family of insertion sequences. In conclusion, both
IS1006 and IS1008 provided suitable promoter sequences for expression of the
downstream blaOXA-58 gene.
PMID- 27873655
TI - First report of blaOXA-23 in Acinetobacter baumannii isolates from Chilean
hospitals.
PMID- 27873656
TI - A novel plasmid carrying blaCTX-M-15 identified in commensal Escherichia coli
from healthy pregnant women in Ibadan, Nigeria.
AB - The aim of this study was to investigate the molecular characteristics of
commensal Escherichia coli producing extended-spectrum beta-lactamases and
showing fluoroquinolone resistance circulating in a healthy population in Ibadan,
Nigeria. In total, 101 faecal samples from healthy pregnant women on the day of
admission to hospital were collected and plated on eosin-methylene blue agar
supplemented with cefotaxime. Genotyping demonstrated the presence of the blaCTX
M-15 gene in all of the cefotaxime-resistant isolates (n=32), and there was
circulation of prevalent clones. The aac(6')-Ib-cr, qnrS1, qepA1 and qnrB1 genes
were identified in several strains. A novel plasmid supporting the spread of the
blaCTX-M-15, blaTEM-1 and qnrS1 genes was identified in these isolates by
complete DNA sequencing.
PMID- 27873657
TI - Antimicrobial activity of beta-lapachone encapsulated into liposomes against
meticillin-resistant Staphylococcus aureus and Cryptococcus neoformans clinical
strains.
AB - The aim of this study was to determine whether encapsulation of beta-lapachone
(beta-lap) into liposomes interferes with its in vitro antimicrobial activity
against meticillin-resistant Staphylococcus aureus (MRSA) and Cryptococcus
neoformans clinical strains. Liposomes (beta-lap:lipo or beta-lap:HPbeta-CD-lipo)
were prepared using the hydration of thin lipid film method followed by
sonication. The in vitro antimicrobial activities of beta-lap-loaded liposomes
against MRSA and C. neoformans were evaluated using the microdilution method
according to the Clinical and Laboratory Standards Institute (CLSI). The
liposomes presented a mean particle size ranging from 88.7+/-1.5nm to 112.4+/
1.9nm with a polydispersity index ranging from 0.255 to 0.340, zeta potential
from -0.26+/-0.01mV to +0.25+/-0.05mV and drug encapsulation efficiency from
97.4+/-0.3% to 98.9+/-0.4%. beta-Lap and beta-lap:HPbeta-CD had minimum
inhibitory concentrations (MICs) ranging from 2mg/L to 4mg/L, whereas the MICs of
beta-lap-lipo or beta-lap:HPbeta-CD-lipo ranged from 4mg/L to 16mg/L for the MRSA
strains tested. beta-Lap and beta-lap:HPbeta-CD were able to inhibit fungal
growth [MIC=2-8mg/L and minimum fungicidal concentration (MFC)=4-8mg/L]. However,
beta-lap-lipo and beta-lap:HPbeta-CD-lipo were more efficient, with MICs and MFCs
of <4mg/L. These findings suggest that the liposomal formulations tested do not
interfere significantly with beta-lap antibacterial activity against MRSA and
improve its antifungal properties against C. neoformans.
PMID- 27873658
TI - Isolation of carbapenem-resistant Pseudomonas spp. from food.
AB - Pseudomonas spp. are ubiquitous in nature. Carbapenem resistance in environmental
isolates of members of this genus is thought to be rare but the exact resistance
rate is unknown. In this study, carbapenem-resistant Pseudomonas spp. were
isolated from chicken and pork samples and the mechanisms underlying the
carbapenem resistance in these strains were investigated. A total of 16
carbapenem-resistant Pseudomonas aeruginosa, Pseudomonas putida and Pseudomonas
otitidis isolates were recovered from eight samples of chicken and pork. The
isolates exhibited meropenem minimum inhibitory concentrations (MICs) of 8 to
>=32mg/L and imipenem MICs of <0.5-16mg/L yet did not harbour any acquired
carbapenemase genes. Meropenem resistance in various strains was found to be
mediated by efflux systems only, whereas overexpression of MexAB-OprM efflux pump
and lack of OprD porin were responsible for carbapenem resistance in P.
aeruginosa. The intrinsic metallo-beta-lactamase gene blaPOM in P. otitidis and
overexpression of the TtgABC efflux system in P. putida were also responsible for
carbapenem resistance in these organisms. In conclusion, this study reports for
the first time the isolation of carbapenem-resistant P. aeruginosa, P. otitidis
and P. putida strains from food. The resistance mechanisms of these strains are
rarely due to production of carbapenemases. Further selection of such carbapenem
resistant Pseudomonas spp. in the environment and the risk by which they are
transmitted to clinical settings are of great public health concern.
PMID- 27873659
TI - Isolation of a point mutation associated with altered expression of the CmeABC
efflux pump in a multidrug-resistant Campylobacter jejuni population of poultry
origin.
AB - The objective of this study was to investigate the antibiotic resistance
phenotype of Campylobacter jejuni isolates from a poultry flock of broiler
production in Spain. Isolates were characterised by RFLP-PCR of the flaA gene and
multilocus sequence typing. Minimum inhibitory concentrations of quinolones,
aminoglycosides, beta-lactams, tetracyclines, phenicols, macrolides and
lincosamides were determined by Etest. Determinants of resistance and the
regulatory region of the cmeABC operon were investigated in all isolates by PCR
detection and sequencing. Expression of the CmeABC efflux pump was investigated
by quantitative RT-PCR and accumulation assay. Based on their molecular markers,
two different populations of C. jejuni were identified: one resistant to
quinolones, beta-lactams and tetracyclines, considered multidrug-resistant (MDR);
and another resistant only to tetracyclines. Both populations possessed the tetO
gene, previously associated with tetracycline resistance. The blaOXA-61 gene was
also present in both populations, although only the MDR population showed beta
lactamase activity. In addition, MDR isolates possessed the Thr86Ile mutation in
the gyrA gene responsible for quinolone resistance. Moreover, sequencing of the
regulatory region of the cmeABC operon revealed the presence of the C-32->T
mutation in the MDR isolates, which was accompanied by an increase in cmeA mRNA
levels compared with the non-mutant population. In conclusion, this is the first
report of the mutation C-32->T in the cmeABC operon in C. jejuni isolates of
veterinary origin. This mutation is associated with overexpression of the CmeABC
efflux pump in a MDR population and is possibly related to enhanced tolerance to
antimicrobials that favours the development of resistance.
PMID- 27873660
TI - Carbapenem-resistant Klebsiella pneumoniae infections in a Greek intensive care
unit: Molecular characterisation and treatment challenges.
AB - Acquisition of carbapenemase-producing Klebsiella pneumoniae (CP-Kp) strains
poses a major threat to critically ill patients. The objectives of this study
were to describe the epidemiology of CP-Kp isolates as well as the clinical
outcome associated with the corresponding infections and to identify risk factors
for mortality of intensive care unit (ICU) patients in a Greek hospital. A
prospective, observational study was conducted in a nine-bed general ICU over a 2
year period (April 2010-March 2012). Imipenem-resistant K. pneumoniae isolates
recovered from clinical samples of ICU patients were prospectively collected and
studied for the presence of carbapenemases. Isolates were submitted to molecular
typing using pulsed-field gel electrophoresis (PFGE). In total, 61 CP-Kp isolates
(48 KPC-producers and 13 VIM-producers) were recovered from 58 ICU patients. The
majority of KPC-producers were classified into a single PFGE type, indicating
potent clonal dissemination. Among the 32 infected patients, bacteraemia was
diagnosed in 16. Tigecycline+colistin was the most common combination
antimicrobial regimen. Infection-attributable mortality was 43.8%. Regarding
mortality risk factors, non-survivors were older (P=0.080), all of them presented
with septic shock (P=0.010) and they had higher Sepsis-related Organ Failure
Assessment (SOFA) scores at infection onset (P=0.004) compared with survivors.
Appropriate definitive treatment and combination regimens were not associated
with patient survival. In conclusion, CP-Kp infections are associated with
limited treatment options and high in-hospital mortality. Effective measures for
preventing dissemination of respective isolates in the hospital setting are
required.
PMID- 27873661
TI - Increased frequency of linezolid resistance among clinical Enterococcus faecium
isolates from German hospital patients.
AB - Linezolid is an antibiotic of last resort for the treatment of infections with
vancomycin-resistant enterococci (VRE). Here we report the increasing prevalence
of linezolid resistance among clinical Enterococcus faecium strains from German
hospital patients. Linezolid minimum inhibitory concentrations (MICs) were
determined for 4461 clinical E. faecium strains isolated between 2008 and 2014.
Isolates originated from the network of diagnostic laboratories collaborating
with the National Reference Centre (NRC) for Staphylococci and Enterococci
covering all German federal states. All linezolid-resistant isolates were
determined by broth microdilution and confirmed by Etest as well as by analysing
the 23S rDNA for putative mutations. Marker genes were determined by PCR.
Genotyping was performed by SmaI macrorestriction analysis in pulsed-field gel
electrophoresis (PFGE) and multilocus sequence typing (MLST) for selected
isolates. An increase in linezolid resistance was observed, from <1% in 2008 to
>9% in 2014. Occasionally, outbreaks with linezolid-resistant VRE (ST117) were
observed. In total, 232 (92.4%) of 251 linezolid-resistant E. faecium isolates
(including 61 vanA and 29 vanB) contained the G2576T 23S rDNA mutation and showed
a varying mixture of wild-type and mutated alleles per genome sufficient to
confer linezolid resistance. In vitro growth experiments revealed a stable
linezolid MIC. Of the 251 linezolid-resistant isolates, 5 were cfr-positive. In
conclusion, these NRC data identified a country-wide ongoing trend of increasing
linezolid resistance among clinical E. faecium isolates within the last 5 years.
PMID- 27873663
TI - Intrapersonal mutation of rmpA and rmpA2: A reason for negative
hypermucoviscosity phenotype and low virulence of rmpA-positive Klebsiella
pneumoniae isolates.
AB - Two Klebsiella pneumoniae isolates were simultaneously recovered from blood and
urine cultures of the same patient. Both isolates were identical in genomic
pulsotype by pulsed-field gel electrophoresis (PFGE). However, the
hypermucoviscosity phenotype was confirmed in the blood strain but not the urine
strain. A previously unrelated liver abscess K. pneumoniae hypermucoviscous
isolate was used as a control. PCR, DNA cloning and sequencing for the plasmid
borne rmpA and rmpA2 genes and the chromosome-borne rmpA gene (c-rmpA) revealed
negative c-rmpA with natural frame-shift mutation of rmpA and rmpA2 genes in the
urine strain. The blood strain was negative for c-rmpA with rmpA2 mutation but no
mutation in rmpA. The control strain was positive for c-rmpA with rmpA2 mutation
but no mutation in rmpA and showed the highest virulence in mouse lethality
experiments [median lethal dose (LD50)=50CFU], which was followed by the blood
strain (LD50=2.47*103CFU) and the urine strain (LD50>107CFU). The control and
blood strains were highly serum resistant, whereas the urine strain was sensitive
to serum killing. In conclusion, intrapersonal concurrent mutation of rmpA and
rmpA2 genes in the absence of c-rmpA could be a reason for the negative
hypermucoviscosity phenotype and low virulence in rmpA-positive K. pneumoniae.
PMID- 27873664
TI - Genetic determinants of antimicrobial resistance in Streptococcus canis in
France.
PMID- 27873662
TI - Limited similarity between plasmids encoding CTX-M-1 beta-lactamase in
Escherichia coli from humans, pigs, cattle, organic poultry layers and horses in
Denmark.
AB - CTX-M-1 is a common extended-spectrum beta-lactamase (ESBL) in Escherichia coli
from animals and is often detected among human clinical isolates. The objective
of this study was to investigate the epidemiological relationship between CTX-M-1
producing E. coli isolated from patients and animals in Denmark between 2006 and
2010. In total, 65 CTX-M-1-producing isolates from patients (n=22), pigs (n=21),
cattle (n=4), organic poultry layers (n=3) and horses (n=15) were typed by pulsed
field gel electrophoresis (PFGE). Plasmids harbouring blaCTX-M-1 were
characterised by S1 PFGE, PCR-based replicon typing, plasmid multilocus sequence
typing, restriction fragment length polymorphism, and sequencing. Human and
animal strains were unrelated based on PFGE. IncI1 was more common in human
isolates (13/22) than in animal isolates (7/43), whereas the opposite trend was
observed for IncN (5/22 human isolates and 24/43 animal isolates). Full
characterisation of the plasmids harbouring blaCTX-M-1 revealed host-specific
patterns in the distribution of plasmid types, with specific IncI1, IncN and
IncH1 plasmid subtypes being predominant in humans, livestock and horses,
respectively. Three indistinguishable human, bovine and porcine IncI1/ST49
plasmids had high nucleotide sequence homology and differed by the presence of
IS66 elements in the bovine plasmid and the absence of one gene within the
microcin-encoding operon in the human plasmid. In conclusion, this work suggests
a minor contribution by animals to the occurrence of CTX-M-1 in human E. coli
infections in Denmark during the study period.
PMID- 27873665
TI - Use of veterinary antimicrobials in China and efforts to improve their rational
use.
PMID- 27873666
TI - Detection of an NDM-1-producing Acinetobacter bereziniae strain in Brazil.
PMID- 27873667
TI - HACEK organisms exhibit low minimum inhibitory concentrations to ertapenem.
PMID- 27873668
TI - An unusual PVL-positive MRSA strain in milk and dairy products from a region of
South Italy.
PMID- 27873669
TI - Pseudomonas aeruginosa carrying blaCTX-M-2 in Brazil: The occurrence of 'high
risk clones'?
PMID- 27873670
TI - Resistance to antimalarial drugs: An endless world war against Plasmodium that we
risk losing.
AB - The objective of this review was to describe the 'state of the art' of Plasmodium
falciparum resistance to the main antimalarial drugs. A brief note on Plasmodium
vivax is also included. Resistance of P. falciparum to the various antimalarials
has a long history of hits and misses. During the last 60 years, the pace at
which this parasite has developed resistance to antimalarial drugs has exceeded
the pace at which new drugs have been developed. In the last decade, the
introduction of artemisinin-based combination therapies (ACTs) as a first-line
drug treatment for non-complicated P. falciparum malaria had led to extraordinary
results in disease control, especially in sub-Saharan Africa. However, the
emergence and spread of resistance to artemisinin in Southeast Asia jeopardise
these results. In conclusion, the possible spread of artemisinin resistance in
Africa should be considered as an epochal disaster.
PMID- 27873671
TI - Importance of early detection of vancomycin-resistant subpopulations in
apparently susceptible meticillin-resistant Staphylococcus aureus clinical
isolates.
AB - This study aimed to investigate the presence of vancomycin-non-susceptible
subpopulations in apparently susceptible meticillin-resistant Staphylococcus
aureus (MRSA) and the ability of these isolates to develop into homogeneously
resistant strains. Vancomycin MICs of 200 clinical MRSA isolates were determined
using agar dilution (AD) and spiral gradient endpoint (SGE) technique. Isolates
with an MIC<=2mg/L but displaying subpopulations with an MIC>2mg/L by SGE were re
tested by Etest and PAP-AUC and were incubated with 2mg/L vancomycin for 2 weeks.
MIC testing was repeated weekly by AD, Etest and SGE to observe progression to
non-susceptibility. A total of 17.5% and 16.0% of isolates were non-susceptible
to vancomycin (MIC>2mg/L) by SGE and AD, respectively. Eight isolates (4%)
displayed a resistant subpopulation; five met the definition of hVISA by PAP-AUC.
The initial Etest MIC for these isolates was 2mg/L, but resistant subpopulations
were observed in only three isolates on prolonged incubation. MICs of all eight
isolates increased rapidly in the presence of vancomycin, reaching >=3.0mg/L by
Day 7 and >=4mg/L after 14 days by all three methods. The prevalence of
vancomycin-non-susceptible MRSA was high, and non-susceptibility developed
rapidly in seemingly susceptible isolates with covert subpopulations. These were
effectively detected by SGE. With increasing reports of vancomycin clinical
failure, early detection of potentially non-susceptible isolates before or early
in vancomycin therapy is essential to avoid further resistance development and
poor clinical outcomes. SGE offers a novel and cost-effective technique for
detection of potentially non-susceptible strains.
PMID- 27873673
TI - Comparative evaluation of tigecycline susceptibility testing methods for
Acinetobacter baumannii and Enterobacteriaceae.
AB - The objectives of this study were to recommend suitable susceptibility testing
methods for tigecycline for clinical laboratory use and to evaluate differences
in tigecycline susceptibility between carbapenem-susceptible and carbapenem-non
susceptible isolates. Broth microdilution (BMD) was used as the reference method
to evaluate MIC Test Strip (MTS), agar dilution, VITEK(r) 2 and disk diffusion
testing methods for tigecycline against Acinetobacter baumannii and
Enterobacteriaceae. MIC50/90 values (minimum inhibitory concentrations for 50%
and 90% of the isolates, respectively) of A. baumannii and Enterobacteriaceae
were, respectively, 2/4MUg/mL and 0.5/4MUg/mL by BMD, 1.5/3MUg/mL and 0.5/3MUg/mL
by MTS, 2/4MUg/mL and 1/8MUg/mL by agar dilution and 2/4MUg/mL and 2/8MUg/mL by
VITEK(r) 2. Essential agreement for A. baumannii/Enterobacteriaceae detected by
MTS, agar dilution and VITEK(r) 2 methods was 96.0/97.3%, 98.0/97.3% and
94.0/63.9%, respectively. Categorical agreement for A.
baumannii/Enterobacteriaceae detected by MTS, agar dilution, VITEK(r) 2 and disk
diffusion methods was 90.0/91.8%, 72.0/93.7%, 62.0/86.5% and 72.0/81.2%,
respectively. No very major errors were found for all isolates by the four
methods evaluated. Major error rates were produced by VITEK(r) 2 (for
Enterobacteriaceae) and by disk diffusion (for A. baumannii and
Enterobacteriaceae). Tigecycline susceptibility of carbapenem-susceptible and
carbapenem-non-susceptible isolates was 85.2% and 83.6% (chi2=0.15, P>0.05) using
the reference method. In conclusion, in this study MTS showed the best
correlation with BMD for tigecycline MICs. Based on in vitro testing, tigecycline
can be considered an equally useful choice for infections caused by carbapenem
susceptible and carbapenem-non-susceptible isolates.
PMID- 27873672
TI - Triazole-resistant Aspergillus fumigatus harbouring G54 mutation: Is it de novo
or environmentally acquired?
AB - Triazole resistance in Aspergillus fumigatus develops in patients with chronic
lung diseases receiving long-term azole therapy or by environmental selection of
resistant A. fumigatus. Here we report for the first time the isolation of
triazole-resistant A. fumigatus (TRAF) harbouring the G54E mutation from
environmental samples in India, Romania and Tanzania. This mutation in the cyp51A
azole target gene of A. fumigatus is so far considered as de novo occurring in
patients due to prolonged exposure to azoles. A total of 81 soil and woody debris
samples from India, Romania and Tanzania were processed for detection of TRAF and
determination of their susceptibility to medical triazoles and fungicides. cyp51A
sequencing and real-time PCR were performed for detection of mutations. The
isolates were genotyped by microsatellite typing. Overall, 25% of samples (20/81)
from India, Romania and Tanzania harboured TRAF. Of the 20 samples harbouring
TRAF, a single resistance mechanism, the G54E mutation, was found in 16 samples
from three countries. This mechanism was responsible for 46.4% of resistant
isolates from Tanzania, 30.4% from Romania and 20.0% from India. The G54E
isolates revealed high MICs of itraconazole and posaconazole and were cross
resistant to agricultural fungicides. The majority of the Romanian and Tanzanian
G54E isolates had an identical genotype. The present report describes the genetic
heterogeneity of TRAF strains harbouring the G54E mutation in the environment of
India, Romania and Tanzania. It may be anticipated that long-term exposure of A.
fumigatus to fungicides may induce selection of G54 mutants in the environment.
PMID- 27873674
TI - Meticillin-resistant Staphylococcus aureus (MRSA) antibiogram: How inaccurate
have our estimates been?
AB - The objective of this study was to determine the accuracy of antimicrobial
resistance patterns reported by the Australian Group on Antimicrobial Resistance
(AGAR) established using surveys of just the first 100 Staphylococcus aureus
isolates from each participating hospital. Patterns of resistance of a survey
sample of S. aureus isolates collected prospectively from five Queensland
hospitals participating in the AGAR biennial national survey, using the first 100
isolates diagnosed from each test year, were tested. Meticillin-resistant S.
aureus (MRSA) antibiograms for five antimicrobials commonly used to treat
outpatients established from a sample have underestimated the true level of
resistance by 13-21 percentage points. Conversely, inpatient antibiograms have
significantly overestimated the resistance level. Random selection of 100
isolates from all isolates did not provide valid resistance patterns for
outpatients or inpatients. Nearly 50% of resistance demonstrated in all inpatient
isolates and about 45% of resistance in all outpatient isolates was due to AUS
2/3-like, EMRSA-15-like and MRSA unclassified. In conclusion, testing S. aureus,
and in particular MRSA, for resistance levels to commonly prescribed
antimicrobials is under/over-estimated in Australia because of a faulty annual
sampling method that failed to consider the effect of endemic phenotypes (AUS-2/3
like and EMRSA-15-like). MRSA represents one-third of all S. aureus AGAR
isolates. Endemic phenotypes bias the antibiogram patterns when small consecutive
sampling (first 100 samples) is used and this bias remains even when samples are
selected at random. A minimum sample of 6 months of isolates must be used to
accurately establish a national antibiogram.
PMID- 27873675
TI - Susceptibility patterns of uropathogens identified in hospitalised children with
community-acquired urinary tract infections in Thrace, Greece.
AB - Urinary tract infections (UTIs) are common infections associated with
considerable morbidity and mortality, particularly in paediatric patients. The
alarmingly increasing antimicrobial resistance of contemporary uropathogens in
children necessitates the re-evaluation of antibiotic treatment. We evaluated
uropathogens isolated from children hospitalised due to a community-acquired UTI
over a 5.5-year period in a university hospital of Northern Greece and their
antibiotic susceptibility patterns. The antibiotic susceptibility of uropathogens
was compared by patient sex and age. Bacterial identification and antibiotic
susceptibility testing were performed by the automated VITEK(r) 2 system and the
Kirby-Bauer method. Overall, 221 urinary isolates were identified from 218
children with a documented UTI, including 170 (76.9%) Escherichia coli, 17 (7.7%)
Proteus spp., 15 (6.8%) Klebsiella spp., 9 (4.1%) Pseudomonas aeruginosa, 4
(1.8%) Enterococcus faecalis, 2 (0.9%) Enterobacter spp., 2 (0.9%) Morganella
morganii and 2 (0.9%) Serratia fonticola. Comparing antibiotic susceptibilities
of E. coli isolates by age [<=2 years vs. >2 years] and sex did not show any
significant differences. Only 80 (49.1%) of the 163 tested E. coli isolates were
found to be susceptible to ampicillin, whereas susceptibility to
amoxicillin/clavulanic acid (AMC), ampicillin/sulbactam,
trimethoprim/sulfamethoxazole and nitrofurantoin was 78.3%, 78.9%, 75.3% and
96.9%, respectively. Parenteral second- and third-generation cephalosporins,
aminoglycosides and carbapenems were highly active against almost all
uropathogens. We conclude that ampicillin should not be used for empirical
therapy of paediatric community-acquired UTIs in our region. AMC and oral second
generation cephalosporins cover ca. 80% of uropathogenic E. coli, whilst
nitrofurantoin is an appealing option for UTI chemoprophylaxis.
PMID- 27873676
TI - Pattern of antibiotic prescription and resistance profile of common bacterial
isolates in the internal medicine wards of a tertiary referral centre in Nigeria.
AB - Indiscriminate and excessive use of antibiotics is the major driver to the
development of bacterial resistance, which is now a global challenge. Information
regarding antibiotic use in Nigerian hospitals is lacking. This study examined
the pattern of antibiotic prescription in a tertiary hospital in Nigeria. In a
retrospective survey, case records of patients who were admitted into the medical
wards over a 6-month period were reviewed. A pre-formed questionnaire was
administered that sought information such as sociodemographic data, drug data,
basis of prescription and other relevant information on all patients who received
antibiotics. Data were analysed using SPSS for Windows v.16. Of 412 patients
admitted into the internal medicine ward during the study period, 202 (49.0%)
received antibiotics, of whom 125 (61.9%) received more than one antibiotic.
Overall there were 334 antibiotic prescriptions. Community-acquired pneumonia
(67/202; 33.2%) was the leading cause of antibiotic prescription, and ceftriaxone
(132/334; 39.5%) was the most commonly prescribed antibiotic. The parenteral
route was the commonest route of administration (270/334; 80.8%) and most of the
prescriptions were empirical (323/334; 96.7%). Antimicrobial resistance among
common bacterial isolates was noted. Inappropriate antibiotic prescription is
common. There was frequent use of third-generation cephalosporins as empirical
therapy, with de-escalation in only a handful of cases. This highlights the need
for introduction of antibiotic guidelines.
PMID- 27873677
TI - Changes in patterns of antibiotic use in Chinese public hospitals (2005-2012) and
a benchmark comparison with Sweden in 2012.
AB - Changes in patterns of antibiotic use in Chinese hospitals before and after
intensive nationwide interventions are reported and compared with Chinese
national targets and antibiotic use in Swedish hospitals. Chinese data were
collected quarterly and yearly from selected patient prescriptions/medical
records and medicines inventory control systems from 15 hospitals (2005-2012).
Swedish data were extracted from a 2010-point prevalence survey and 2009-2012
sales data from seven university hospitals. An interrupted time series with
segmented regression analysis was used to measure changes in patterns of
antibiotic use in Chinese hospitals before and after the interventions. Following
the 2011 interventions, significant reductions in antibiotic use in Chinese
hospitals were seen: the proportion of prescriptions with antibiotics decreased
4.7% (P=0.03) and the proportion of medical records with antibiotic prescription
decreased 7.3% (P=0.04). The proportions of prescriptions and medical records
with antibiotics in Chinese hospitals in 2012 were 10% and 50%, respectively, and
remained much higher than Swedish hospitals (1.1% in DDD for outpatients and 34%
in number of patients for inpatients). Inpatient consumption in Chinese hospitals
dropped significantly from 910 DDD/1000 inpatient days in 2008 to 473 in 2012
(588 in Swedish hospitals). Antibiotics are being used less frequently in Chinese
hospitals, broad-spectrum antibiotics are still preferred, and overall usage is
higher than Sweden. A significant reduction in overall inpatient antibiotic
consumption was observed after the interventions. It is not possible to identify
whether the changes have resulted in less inappropriate antibiotic use. Further
studies are needed.
PMID- 27873678
TI - Vancomycin-resistant enterococci: Troublemaker of the 21st century.
AB - The emergence of multidrug-resistant and vancomycin-resistant enterococci during
the last decade has made it difficult to treat nosocomial infections. Although
various enterococcal species have been identified, only two (Enterococcus
faecalis and Enterococcus faecium) are responsible for the majority of human
infections. Vancomycin is an important therapeutic alternative against multidrug
resistant enterococci but is associated with a poor prognosis. Resistance to
vancomycin dramatically reduces the therapeutic options for enterococcal
infections. The bacterium develops resistance by modifying the C-terminal d
alanine of peptidoglycan to d-lactate, creating a d-Ala-d-Lac sequence that
effectively reduces the affinity of vancomycin for the peptidoglycan by 1000
fold. Moreover, the resistance genes can be transferred from enterococci to
Staphylococcus aureus, thereby posing a threat to patient safety and also a
challenge for treating physicians. Judicious use of vancomycin and broad-spectrum
antibiotics must be implemented, but strict infection control measures must also
be followed to prevent nosocomial transmission of these organisms. Furthermore,
improvements in clinical practice, rotation of antibiotics, herbal drugs,
nanoantibiotics and the development of newer antibiotics based on a
pharmacogenomic approach may prove helpful to overcome dreadful vancomycin
resistant enterococcal infections.
PMID- 27873679
TI - Vancomycin-intermediate resistance in Staphylococcus aureus.
AB - Vancomycin-intermediate Staphylococcus aureus (VISA) and its precursor hetero
VISA (hVISA) were discovered almost 20 years ago and have continued to be a
stumbling block in the chemotherapy of methicillin-resistant S. aureus (MRSA).
Unlike vancomycin resistance mediated by the van gene in enterococci and
staphylococci, VISA is generated by accumulation of mutations. It displays
diverse and intriguing genetic mechanisms underlying its resistance phenotype.
Here we make a brief note on our recent understanding of the genetics of hVISA,
VISA and the newly discovered phenotype 'slow VISA' (sVISA).
PMID- 27873680
TI - Antimicrobial susceptibility in hospitals in Hong Kong: The current status 2009
2011.
AB - Hospitals in Hong Kong, like many hospitals in the world, are constantly
challenged by the increasing rate of non-susceptible and multidrug-resistant
organisms (MDROs). Accurate and timely surveillance is essential for effective
control. The Hospital Authority of Hong Kong has developed a comprehensive
antimicrobial susceptibility monitoring system that utilises data obtained from
all of its 38 hospitals. In this review, the susceptibility pattern of more than
320000 isolates covering the period 2009-2011 will be discussed. Special
attention will be paid to MDROs.
PMID- 27873682
TI - Optimal duration of antimicrobial therapy in ventilator-associated pneumonia:
What is the role for procalcitonin?
AB - Hospital-acquired pneumonia (HAP) is the major cause of hospital-acquired
infections in critically ill patients. Up to 90% of intensive care unit episodes
of HAP occur in mechanically ventilated patients, who may develop what is termed
ventilator-associated pneumonia (VAP). An appropriate duration of antimicrobial
therapy is crucial in the management of HAP: on the one hand, delay in
administration of proper therapy has been associated with an increased risk of
treatment failure and mortality; on the other hand, unnecessary prolongation of
antimicrobial treatment may favour the emergence of multidrug-resistant bacteria
and increase healthcare costs. In this review, we discuss the evidence and
recommendations from international guidelines for the management of VAP and focus
on randomised controlled trials comparing the clinical efficacy of a short-course
vs. an extended-course antimicrobial regimen for the treatment of VAP in adults.
In these trials, short-course regimens were as effective and safe as long-course
regimens for the treatment of VAP, provided that infection was not due to
difficult-to-treat micro-organisms such as non-fermenting Gram-negative bacilli.
In addition, strategies incorporating individualised stop-points for antibiotics,
i.e. clinical features or biomarkers such as procalcitonin, were shown to reduce
antibiotic exposure, healthcare costs and the risk of developing antimicrobial
resistance, without negatively affecting other outcomes.
PMID- 27873681
TI - Antimicrobial prophylaxis in dentistry.
AB - Use of antibiotics both in humans and animals runs along with effects that can
contribute to the spread of antibiotic resistance. Although several available
guidelines for antibiotic treatment have been published to date, clinical
practice in dentistry and particularly in oral surgery is not free from
controversies regarding antibiotic prophylaxis. Antibiotic coverage to prevent
infectious endocarditis, joint prostheses infections or local infections requires
a careful evaluation of the patient condition, associated risks and other aspects
that could influence the decision. It is of great relevancy for oral surgeons and
for dentists in general to know exactly what they are up against. Here we review
the literature regarding prophylactic use of antimicrobials in dentistry.
PMID- 27873683
TI - Antibiotic stewardship challenges in the management of community-acquired
infections for prevention of escalating antibiotic resistance.
AB - Community-acquired infections, including respiratory tract infections (RTIs) and
urinary tract infections (UTIs), represent a major burden for most healthcare
systems, partially due to ineffective or inappropriate antibiotic treatment
leading to clinical failure, which often requires alternative antibiotics for
cure. Appropriate antimicrobial use not only maximises clinical success in the
treatment of community-acquired infections, but also minimises unintended
consequences such as resistance development or collateral damage. This article
outlines a range of antibiotic stewardship strategies and other preventative
approaches that support the management of community-acquired infections, based on
existing antibiotic use for community-acquired infections and the current
resistance patterns among common RTI and UTI pathogens.
PMID- 27873684
TI - Antifungal drug resistance in Candida species.
AB - Invasive Candida infections are well established infectious entities of
immunocompromised or critically ill patients and are characterised by high
morbidity and mortality. Owing to the common eukaryotic structure of fungi and
humans, a limited number of antifungal drugs is available for therapeutic
purposes. In this unsatisfactory scenario, the emergence of drug resistance
represents an important health problem. Failure of antifungal treatment can be
related to host factors, to the pharmacokinetic and pharmacodynamic parameters of
the drug, or to morphological, reproductive modalities and biofilm production of
the fungus itself. Innate or acquired antifungal resistance derives from the
presence or onset of molecular mechanisms related to the toxic activity of the
drug itself. The resulting resistance can thus be extended to different molecules
of the same class according to a greater or lesser affinity of the molecules for
the target. In addition, non-specific cellular mechanisms of extrusion of toxic
substances, such as overexpression of efflux pumps, can play a role involving
different antifungal classes. Here we briefly review the current antifungal
susceptibility testing methods and their usefulness as predictors of antifungal
resistance in Candida spp., focusing on assessment of the involved molecular
mechanisms.
PMID- 27873686
TI - Investigation of tigecycline bactericidal activity: Optimisation of laboratory
testing.
AB - The objectives of this study were to optimise the conditions for bactericidal
testing of tigecycline and to investigate its bactericidal activity against
clinical isolates of Gram-positive and Gram-negative bacteria. Tigecycline is the
first in a new class of glycylcycline antibiotics exhibiting in vitro activity
against a broad range of bacteria, including multidrug-resistant organisms. Its
bactericidal activity in vitro has not been extensively investigated using
multiple test conditions. Five growth media comprising Mueller Hinton broth,
Minimum Essential Medium of Eagle, Ham F-12, RPMI 1640 and Iso-Sensitest broth
(ISB) with and without surfactant (Tween 80) were investigated in vitro to assess
tigecycline bactericidal activity. Clinical isolates of meticillin-resistant
Staphylococcus aureus, meticillin-susceptible S. aureus, Escherichia coli,
Klebsiella pneumoniae and Enterococcus spp., representing the majority of
clinically relevant bacteria, were evaluated for the impact of test conditions on
the tigecycline minimum inhibitory concentration (MIC) and minimum bactericidal
concentration (MBC), ISB with 0.02% Tween 80 most efficiently demonstrated the
bactericidal action of tigecycline when evaluated in 64 well-characterised
clinical isolates and was considered as the optimal bactericidal test medium.
Using this condition, tigecycline approached 56% bactericidal activity with
3log10 reduction in CFUs at 72h incubation. Bactericidal action increased to 80%
of strains when 2log10 reduction was used as the endpoint. Only Enterococcus spp.
showed no bactericidal response in this analysis. Tigecycline exhibited a
bactericidal effect in vitro against Gram-positive and Gram-negative bacteria. At
the tested in vitro conditions, tigecycline MICs were unchanged regardless of the
different test media used.
PMID- 27873685
TI - Preventing the introduction of meticillin-resistant Staphylococcus aureus into
hospitals.
AB - The objective of this review was to provide an up-to-date account of the
interventions used to prevent the introduction of meticillin-resistant
Staphylococcus aureus (MRSA) from the expanding community and livestock
reservoirs into hospitals in the USA, Denmark, The Netherlands and Western
Australia. A review of existing literature and local guidelines for the
management of MRSA in hospitals was performed. In Denmark, The Netherlands and
Western Australia, where the prevalence of MRSA is relatively low, targeted
admission screening and isolation of predefined high-risk populations have been
used for several decades to successfully control MRSA in the hospital.
Furthermore, in Denmark and The Netherlands, all identified MRSA carriers undergo
routine decolonisation, whereas only carriers of particularly transmissible or
virulent MRSA clones are subjected to decolonisation in Western Australia. In the
USA, which continues to be a high-prevalence MRSA country, policies vary by state
and even by hospital, and whilst guidelines from professional organisations
provide a framework for infection control practices, these guidelines lack the
authority of a legislative mandate. In conclusion, the changing epidemiology of
MRSA, exemplified by the recent emergence of MRSA in the community and in food
animals, makes it increasingly difficult to accurately identify specific high
risk groups to screen for MRSA carriage. Understanding the changing epidemiology
of MRSA in a local as well as global context is fundamental to prevent the
introduction of MRSA into hospitals.
PMID- 27873687
TI - Occurrence of azole-resistant species of Aspergillus in the UK environment.
AB - The aim of this study was to survey environmental isolates of Aspergillus
resistant to azoles in azole-treated and naive areas to determine whether
resistance could be related to azole treatment history. Aspergillus fumigatus was
sampled from the centre of a large city and from fields with known azole history.
Azole resistance was determined and sequencing was performed to identify strains
and mutations in the cyp51A gene. Azole resistance was detected in azole-treated
field isolates but not in urban isolates (P=0.038). In addition, an azole
resistant isolate of Neosartorya fischeri was isolated. These results support the
hypothesis that agricultural azole use may lead to resistance in environmental
fungi of clinical importance. We report the first environmental UK TR34/L98H
isolate of A. fumigatus.
PMID- 27873688
TI - Evaluation of in vitro susceptibility trends to vancomycin and daptomycin by
strain type of Staphylococcus aureus causing bloodstream infections.
AB - In total, 718 consecutive clinical meticillin-resistant Staphylococcus aureus
(MRSA) isolates from 2006 to 2010 and 417 clinical meticillin-susceptible S.
aureus (MSSA) isolates from mid-2007 to 2010 were evaluated. Isolates were from
blood cultures obtained from separate patients in Detroit, MI, and were tested
for in vitro susceptibility trends to vancomycin and daptomycin by molecular
strain type. The MRSA pulsed-field gel electrophoresis (PFGE) results showed that
290 (40.4%) were USA100, 296 (41.2%) were USA300 and the remaining isolates were
non-USA100/300. Vancomycin minimum inhibitory concentrations (MICs) by Etest
[mean+/-standard deviation (S.D.) 1.55+/-0.26mg/L] in MRSA isolates showed no
significant change over the 5-year period within all strain types, whilst
daptomycin MICs by Etest (mean+/-S.D. 0.51+/-0.25mg/L) showed a significant
downward trend across time (r=-0.243; P<0.001), with this trend occurring among
all PFGE groups. For MSSA, a significant decrease in MICs to vancomycin was found
by Etest (r=-0.160; P=0.001) and conversely a significant increase in daptomycin
MICs by Etest was found (r=0.146; P=0.028). The results of this study showed that
changes in MIC were not specific to strain molecular type. For vancomycin, there
was no change in MRSA MICs and a decrease in MSSA MICs for blood isolates. For
daptomycin, MICs decreased in MRSA and increased in MSSA blood isolates over the
study period.
PMID- 27873689
TI - Eugenol-induced suppression of biofilm-forming genes in Streptococcus mutans: An
approach to inhibit biofilms.
AB - Streptococcus mutans is well documented as a major aetiological agent of dental
caries. The ability to form a biofilm on tooth surfaces is the major virulence
factor of this bacterium. The objective of this study was to evaluate the effect
of eugenol on suppression of biofilm- and quorum sensing (QS)-related genes of S.
mutans and to determine its putative mode of action. Eugenol was evaluated for
its inhibitory activity against virulence properties such as adherence and
biofilm formation. Morphological changes in the architecture of S. mutans and in
the biofilm were analysed and observed using confocal laser scanning microscopy
and transmission electron microscopy. The effects of eugenol on expression of
biofilm- and QS-related genes (gtfB, gtfC, comDE, smu630, vicR, brpA, ftf, relA,
gbpB and spaP) were checked by quantitative real-time PCR (qRT-PCR). The present
data revealed that eugenol at a sub-minimum inhibitory concentration (sub-MIC)
significantly downregulated the expression of tested genes but did not affect
bacterial growth. These results suggest that a sub-MIC of eugenol can effectively
suppress virulence genes. Thus, the results indicated that eugenol can inhibit
caries-associated biofilm and showed its therapeutic potential against oral
biofilm.
PMID- 27873690
TI - Urinary tract infection in Uruguayan children: Aetiology, antimicrobial
resistance and uropathogenic Escherichia coli virulotyping.
AB - Uropathogenic Escherichia coli (UPEC) is the most frequent cause of urinary tract
infection (UTI). Virulence factors (VFs) of UPEC in children are not well known.
Circulating antibiotic resistance mechanisms in the community are increasing. In
this study, the aetiological agents of UTI and antibiotic resistance mechanisms
of 124 strains isolated from urine cultures from children with community-acquired
UTI were determined. Virulotyping of isolated E. coli strains was also described.
beta-Lactam, fluoroquinolone and sulfonamide resistance genes as well as
integrons were detected by PCR. E. coli phylogenetic groups and 25 VFs were
sought by multiplex PCR. E. coli was the most frequent aetiological agent
(88.7%), of which 48.2% belonged to phylogenetic group D and 35.5% to group B2.
Moreover, 81.8% were considered UPEC and >93% had virulence structures, with
kpsMTII, fimH and iutA being the most frequent. Most of the E. coli isolates were
susceptible to amoxicillin/clavulanic acid (AMC) (87.3%), nitrofurantoin (97.3%),
cefuroxime and third-generation cephalosporins (100%). Resistance levels to
oxyimino-cephalosporins were higher in non-E. coli isolates, with circulation of
integrons, blaCTX-M-2 and blaCMY-2 detected in the community. Moreover, 8.1% of
isolates were resistant to fluoroquinolones, with qnrB found in two isolates.
Resistance to trimethoprim/sulfamethoxazole was found in 37.9% of isolates, with
85.5% harbouring sul genes. E. coli isolated from children with UTI presented
high rates of VFs. Nitrofurantoin, AMC and cefuroxime would be suitable
antibiotics to treat UTI in children. However, the presence of integrons
(fundamentally class 1) and circulation of broad-spectrum beta-lactamases in the
community makes continuous surveillance necessary.
PMID- 27873691
TI - Antimicrobial and biocide resistance of bacteria in a Lebanese tertiary care
hospital.
AB - The environment in a Lebanese tertiary-level care hospital was examined for
bacterial contamination. In total, 8 open-air and 62 surface samples were taken
and CFU were enumerated. Morphologically different isolates (n=104) were obtained
and tested for resistance to a wide range of antibiotics by the Kirby-Bauer disc
diffusion method. Minimum bactericidal concentrations of isolates to seven
different biocides used within this facility were also determined using both
qualitative and quantitative methods. Gram-positive bacteria (82.7% of isolates)
were encountered more often than Gram-negative bacteria (17.3%), and the genus
Staphylococcus was most prevalent among isolates (59.6% of the isolates). Gram
positive isolates showed the highest resistance to penicillin (60.6%),
clindamycin (54.5%) and ceftazidime (39.4%); the lowest frequencies of resistance
were seen with vancomycin (0% of isolates), nitrofurantoin (3.5%), tetracycline
(12.1%) and ciprofloxacin (12.1%). The prevalence of meticillin-resistant
Staphylococcus aureus (MRSA) and meticillin-resistant coagulase negative
staphylococci among all environmental samples was 3.8% and 11.5% of isolates,
respectively. All Gram-negative isolates were multidrug-resistant (MDR). With
respect to biocides, the highest frequency of resistance was to quaternary
ammonium compounds (QACs) (13.5% of isolates) and the lowest frequency of
resistance was to QAC/biguanide complex (<1% of isolates) and Anios DVA (3.8%).
MDR bacteria can pose a serious threat in the hospital environment, showing the
need for prudent use of antibiotics and correct infection control procedures.
PMID- 27873692
TI - Assessment of the in vitro synergy of daptomycin plus linezolid against multidrug
resistant enterococci.
AB - The widespread incidence of enterococci resistant to ampicillin, vancomycin and
aminoglycosides, the first-line anti-enterococcal antibiotics, has made the
treatment of severe enterococcal infections difficult and alternatives should be
explored. We investigated the activity of daptomycin combined with linezolid
against three Enterococcus faecalis and four Enterococcus faecium strains
resistant to standard drugs used for therapy. Minimum inhibitory concentrations
(MICs) were determined by the broth dilution method. Drug interactions were
assessed by the checkerboard and time-kill methods. Synergy was defined by a
fractional inhibitory concentration index (FICI) of <=0.5 or a >=2log10CFU/mL
killing at 24h with the combination in comparison with killing by the most active
single agent. Indifference was defined by a FICI>0.5-4.0 or a 1-2log10CFU/mL
killing compared with the most active single agent. MICs of daptomycin were 2
4MUg/mL for E. faecalis and 2-8MUg/mL for E. faecium. MICs of linezolid were 1
2MUg/mL for all bacteria. In the checkerboard assay, five isolates showed
synergism (FICI<0.5) and two showed indifference (FICIs of 0.53 and 2). Killing
studies revealed synergy of daptomycin plus linezolid against four isolates (2.2
3.7log10CFU/mL kill) and indifference (1.1-1.6log10CFU/mL kill) for the other
three strains. Antagonism was not observed. In conclusion, the combination of
daptomycin and linezolid had a synergistic or indifferent effect against
multidrug-resistant enterococci. Additional studies are needed to explore the
potential of this combination for severe enterococcal infections when first-line
antibiotic combinations cannot be used.
PMID- 27873693
TI - blaTEM and vanA as indicator genes of antibiotic resistance contamination in a
hospital-urban wastewater treatment plant system.
AB - Four indicator genes were monitored by quantitative PCR in hospital effluent (HE)
and in the raw and treated wastewater of the municipal wastewater treatment plant
receiving the hospital discharge. The indicator genes were the class 1 integrase
gene intI1, to assess the capacity of bacteria to be involved in horizontal gene
transfer processes; blaTEM, one of the most widespread antibiotic resistance
genes in the environment, associated with Enterobacteriaceae; vanA, an antibiotic
resistance gene uncommon in the environment and frequent in clinical isolates;
and marA, part of a locus related to the stress response in Enterobacteriaceae.
Variation in the abundance of these genes was analysed as a function of the type
of water, and possible correlations with cultivable bacteria, antimicrobial
residue concentrations, and bacterial community composition and structure were
analysed. HE was confirmed as an important source of blaTEM and vanA genes, and
wastewater treatment showed a limited capacity to remove these resistance genes.
The genes blaTEM and vanA presented the strongest correlations with culturable
bacteria, antimicrobial residues and some bacterial populations, representing
interesting candidates as indicator genes to monitor resistance in environmental
samples. The intI1 gene was the most abundant in all samples, demonstrating that
wastewater bacterial populations hold a high potential for gene acquisition.
PMID- 27873694
TI - In vitro activity of colistin against Stenotrophomonas maltophilia.
AB - Colistin is one of the few antimicrobials that retains activity against multidrug
resistant Gram-negative bacteria. However, the emergence of colistin resistance
has been described recently. The aims of this study were to determine the
activity of colistin against isolates of Stenotrophomonas maltophilia. In total,
641 S. maltophilia clinical isolates were obtained from single patients admitted
to a university hospital in Buenos Aires city, Argentina, between the years 1996
and 2013. Susceptibility to colistin was determined by the agar dilution method.
An increase in colistin resistance from 8% in 1996 to 45% in 2013 was observed,
which correlated with a marked increase in colistin consumption of 11.4-fold
during the same period.
PMID- 27873695
TI - Analysis of plasmid-mediated quinolone resistance genes in clinical isolates of
the tribe Proteeae from Argentina: First report of qnrD in the Americas.
AB - To analyse the occurrence and prevalence of plasmid-mediated quinolone resistance
(PMQR) genes in the tribe Proteeae, 81 isolates (65 Proteus spp., 12 Morganella
morganii and 4 Providencia stuartii) consecutively collected in 66 hospitals
belonging to the WHONET-Argentina Resistance Surveillance Network were studied.
Of the 81 isolates, 50 (62%) were susceptible to quinolones [43/65 (66%) Proteus
spp. and 7/12 (58%) M. morganii). The remaining 31 isolates (22 Proteus spp., 5
M. morganii and all P. stuartii) showed high-level resistance to nalidixic acid
(NAL) and decreased susceptibility or resistance to ciprofloxacin. All NAL
resistant isolates harboured mutations associated with quinolone resistance
(MAQRs) in both gyrA (S83I/R) and parC (S80I/R), and some also had MAQRs in gyrB
(S464Y/F). The unique PMQR gene detected was qnrD, which was found in 2/81
isolates (Proteus mirabilis Q1084 and Proteus vulgaris Q5169), giving a
prevalence of 2.5% in Proteeae. These two isolates were from different
geographical regions and both harboured MAQRs in gyrA and parC. The qnrD genes
were located on the related plasmids pEAD1-1 (2683bp) and pEAD1-2 (2669bp).
Plasmid pEAD1-1 was 100% identical to pCGH15 and differed in only three
nucleotides from pDIJ09-518a, which were previously found in clinical isolates of
P. mirabilis (China) and Providencia rettgeri (France), respectively, whilst
pEAD1-2 was not previously described. The extended-spectrum beta-lactamase CTX-M
2 was found in 27% (22/81) of the isolates and was significantly associated with
quinolone resistance but not with qnrD (only P. mirabilis Q1084 expressed CTX-M
2). This is the first report of qnrD in the Americas.
PMID- 27873696
TI - Emergence of plasmid mediated carbapenemase OXA-48 in a Klebsiella pneumoniae
strain in Algeria.
AB - A carbapenem resistant Klebsiella pneumoniae strain was isolated in October 2011
in the pediatric unit of the Hopital central de l'armee in Algeria, this strain
have been confirmed for the production of OXA-48 carbapenemase. The blaOXA-48
gene was located on a self conjugative plasmid of 70kb. Multilocus sequence
typing indicated the presence of the sequence type ST-307. This is the first
isolation of OXA-48-producing K. pneumoniae in Algeria.
PMID- 27873697
TI - First cases of KPC-type carbapenemase-producing bacteria in patients in New
Zealand hospitals.
AB - The emergence and global spread of Klebsiella pneumoniae carbapenemases (KPCs) is
a significant public health problem. Between October 2010 and July 2013, KPC
producing K. pneumoniae were isolated from four patients in New Zealand
hospitals. These cases are the first known isolations of KPC-producing organisms
in New Zealand. All four patients were transferred from, or had recently been in,
hospitals in countries where KPC-producing organisms are prevalent (China, India,
Greece and Italy). The blaKPC-2 gene was identified in the isolates from three
patients and blaKPC-3 was identified in the isolate from the remaining patient.
The isolates belonged to different multilocus sequence type clonal complexes,
usually those prevalent in the country in which the patient had been previously
hospitalised. Currently in New Zealand, the common factor associated with having
a KPC-producing organism is prior hospitalisation in another country where these
organisms are prevalent.
PMID- 27873698
TI - Determinants of an effective antibiotic campaign: Lessons from Hong Kong.
AB - Hong Kong launched a publicity campaign on the use of antibiotics and antibiotic
resistance in March/April 2011. Two population surveys were conducted in November
2010 (N=1569; response rate 69.2%) and June 2011 (N=1527; response rate 66.6%)
before and after the campaign, respectively, to evaluate its impact. Adults aged
>=18 years were asked about their knowledge and attitude related to antibiotic
use and antibiotic resistance. Information obtained from health professionals had
the highest mean impact score. There was a significant increase in the proportion
of respondents knowing that antibiotics could not cure influenza (from 58.0 to
65.0%; P<0.001) or viral infections (from 24.9 to 29.0%; P=0.002). Over one
quarter (27.7%) of respondents could recall the campaign, mostly through
announcement of public interest (API) on television/radio. After regression
analysis, age, personal hygiene practices in daily life, and the attitude that
everyone had a role to play in alleviating the problem of antimicrobial
resistance were found to be associated with campaign awareness.
PMID- 27873700
TI - Emergence of NDM-1 in a clinical isolate of Pantoea agglomerans from India.
PMID- 27873699
TI - In vitro susceptibility of Gram-negative isolates from patients with urinary
tract infections in Vietnam: Results from the Study for Monitoring Antimicrobial
Resistance Trends (SMART).
PMID- 27873701
TI - First description of fexA-positive meticillin-resistant Staphylococcus aureus
ST398 from calves in Portugal.
PMID- 27873703
TI - In vitro activity of daptomycin against isolates of vancomycin-resistant
enterococci.
PMID- 27873702
TI - Emergence of genetically related NDM-1-producing Providencia rettgeri strains in
Argentina.
PMID- 27873704
TI - Alarming and increasing prevalence of multidrug-resistant Pseudomonas aeruginosa
among healthcare-associated infections in China: A meta-analysis of cross
sectional studies.
AB - A number of studies have reported on the prevalence of multidrug-resistant
Pseudomonas aeruginosa (MDR-PA) among healthcare-associated infections (HAIs) in
China; however, the exact rate of MDR-PA is unclear due to different definitions.
Therefore, this meta-analysis was conducted to explore the prevalence of MDR-PA
among HAIs in China based on an international recommended standard. Medline,
Ovid, Cochrane Library, China National Knowledge Infrastructure (CNKI) and
Wanfang databases were systematically searched and papers published before
February 2014 providing exact data on MDR-PA in the Chinese population were
identified. Overall effects, subgroup analysis and sensitivity analysis were
performed using Stata v.13.0 software. Twenty-six studies were finally included.
The overall prevalence of MDR-PA was 29.0% (95% confidence interval 24.6-33.4%).
The rate of MDR-PA increased over time (P<0.001). The prevalence of MDR-PA was
higher among patients in intensive care units (ICUs) (41.4%) than among non-ICU
patients (24.1%) (P<0.001). In conclusion, the present meta-analysis
comprehensively evaluated the prevalence of MDR PA in China and the findings
suggest that MDR-PA should receive more attention.
PMID- 27873705
TI - Analysis of 5-year trends in daptomycin activity tested against Staphylococcus
aureus and enterococci from European and US hospitals (2009-2013).
AB - This study evaluated daptomycin activity trends among meticillin-resistant
Staphylococcus aureus (MRSA) and vancomycin-resistant enterococci (VRE) over a 5
year period (2009-2013). Consecutive, unique patient isolates of clinical
significance were collected in 67 European (EU) (17 nations) and 145 US medical
centres and were susceptibility tested in a central reference laboratory against
daptomycin and various comparators by CLSI broth microdilution methods. MIC
results were interpreted according to EUCAST and CLSI breakpoint criteria (2014).
A total of (EU/USA) 14245/22967 S. aureus (26.3/49.8% MRSA), 3043/2848
Enterococcus faecalis (1.6/3.5% vancomycin-resistant) and 1827/1537 Enterococcus
faecium (29.5/77.7% vancomycin-resistant) were evaluated. Isolates were mainly
from complicated skin and skin-structure infections (40%) and bacteraemia (32%).
The highest MRSA rates were found in Portugal (66.3%), Russia (52.2%) and the USA
(49.8%), whereas the highest rates of vancomycin-resistant E. faecium (VREFM)
were observed in the USA (77.7%), Poland (63.1%) and Ireland (54.5%). VREFM and
vancomycin-resistant E. faecalis (VREF) were observed in 15 and 8 EU nations,
respectively. Daptomycin susceptibility rates were (EU/USA) 99.94/99.97%,
100.0/99.7% and 100.0/99.96% for S. aureus, E. faecium and E. faecalis,
respectively. Daptomycin was very active against MRSA (MIC50/90, 0.25/0.5mg/L in
Europe and USA), VREF (MIC50/90 of 0.5/1mg/L and 1/2mg/L in Europe and USA,
respectively) and VREFM (MIC50/90, 2/2mg/L in Europe and USA). Among MRSA, only
6/6 (0.19/0.05%) daptomycin-non-susceptible isolates were observed in Europe (six
cities in four countries)/USA (six states), with no increasing trend over the
study period. Only two daptomycin-non-susceptible VRE were identified, both E.
faecium from the USA.
PMID- 27873706
TI - Genetic diversity of oxytetracycline-resistant bacteria and tet(M) genes in two
major coastal areas of South Korea.
AB - This study aimed to analyse the prevalence and genetic diversity of the tet(M)
resistance gene as well as the species composition of oxytetracycline-resistant
bacteria in coastal areas of South Korea. Both culturable and non-culturable
bacterial communities were sampled in 2010 and 2011 within two coastal areas
(Wando and Geoje). tet(M) and 16S rRNA gene sequences were obtained by PCR and
sequencing and were used for phylogenetic analyses. Quantitative PCR (qPCR) was
performed to determine the prevalence of tet(M) in sampled areas. Phylogenetic
analyses revealed high heterogeneity of tet(M) sequences between Wando and Geoje
areas. Sequences found in Wando were highly similar to each other and were
similar to sequences found in Japanese aquaculture sites. A larger diversity of
tet(M) sequences was obtained from natural assemblage in Geoje. qPCR showed a
high prevalence of tet(M) in Wando's aquaculture sites (up to 10-2gene copies per
16S rRNA copy) and for industrial sites in Geoje (up to 10-3gene copies per 16S
rRNA copy). 16 rRNA-based identification showed that Vibrio sp. and
Photobacterium sp. were the most representative species in Wando, whereas in
Geoje a broader range of species was found, with several isolates identified as
pathogenic Acinetobacter, Aeromonas and Klebsiella. Altogether, these results
showed a high prevalence of tet(M) in both sites, and different origins of
contamination were identified. Because several pathogenic strains of
oxytetracycline-resistant bacteria were reported for the first time, these
results strongly warrant further analyses and actions to prevent contamination
events by antibiotics in South Korea.
PMID- 27873707
TI - Characterisation of beta-lactam resistance mediated by blaZ in staphylococci
recovered from captive and free-ranging wallabies.
AB - Staphylococci are commensal organisms of animals, but some species are
opportunistic pathogens that are resistant to almost all antimicrobial agents in
clinical use. Bacterial resistance to beta-lactam antimicrobial agents is
widespread and has been investigated in species isolated from humans in addition
to food production and companion animals. However, minimal progress has been made
towards identifying reservoirs of beta-lactam-resistant staphylococci in
wildlife. This study was aimed at investigating and characterising beta-lactamase
resistance from staphylococci of wallaby origin. Staphylococci from free-ranging
and captive wallabies were assessed for their phenotypic susceptibility to beta
lactam antimicrobial agents prior to sequence analysis of their blaZ and blaR1
genes. Deduced amino acid sequences were classified according to the Ambler
molecular characterisation method, assigned a protein signature type and compared
with sequences generated from previous studies involving isolates from humans,
cattle and companion animals. All BlaZ sequences identified in this study were
assignable to a pre-existing beta-lactamase class and protein signature type,
including the more recently discovered protein signature type 12. Three major
phylogenetic groups were resolved upon phylogenetic analysis against published
BlaZ sequences. This study has found antibiotic-resistant staphylococci both in
free-ranging and captive wallaby populations and these bacteria harbour blaZ
variants that are different to those recovered from humans, cattle and companion
animals. Further studies of staphylococci from non-traditional sources are
required in order to enhance our knowledge of the epidemiology of antibiotic
resistance genes.
PMID- 27873708
TI - Antimicrobial resistance and resistance mechanisms of Enterobacteriaceae in ICU
and non-ICU wards in Europe and North America: SMART 2011-2013.
AB - Intensive care units (ICUs) are often described as hotbeds of antimicrobial
resistance, with high rates of extended-spectrum beta-lactamase (ESBL)-producing
and multidrug-resistant (MDR) Enterobacteriaceae. Data from the SMART study were
used to examine differences between the susceptibility of Enterobacteriaceae from
ICU and non-ICU wards in Europe and North America. In total, 21,470
Enterobacteriaceae isolates from intra-abdominal and urinary tract infections
were collected at 90 sites in 20 European and North American countries in 2011
2013. Susceptibility and ESBL phenotypes were determined using the CLSI broth
microdilution method and breakpoints. Susceptibility was lower and ESBL and MDR
rates were higher in ICUs, with much greater ICU/non-ICU differences in Europe
than North America. Susceptibility was lower and ESBL and MDR rates were higher
in Europe than in North America in both patient locations. Resistance among
Enterobacteriaceae in Europe was largely driven by Klebsiella pneumoniae, which
had high rates of ESBLs (41.2% in ICUs; mostly CTX-M) and carbapenemases (13.2%;
mostly KPC and OXA). For all Enterobacteriaceae combined, only ertapenem and
amikacin inhibited >90% of isolates in ICUs in both regions. In North America,
ertapenem, imipenem and amikacin inhibited >90% of K. pneumoniae from ICUs,
whereas in Europe only amikacin did. ESBL and MDR rates varied considerably
within Europe. Antimicrobial resistance was higher in Europe than North America,
especially in ICUs. Further surveillance at the country, hospital and even
patient ward level, and investigation of reasons for these findings, would be
useful for the development of effective strategies to reduce antimicrobial
resistance in ICUs.
PMID- 27873709
TI - Antimicrobial resistance genotypes and phenotypes from multidrug-resistant
bacterial wound infection isolates in Cambodia.
AB - This study aimed to identify the molecular determinants responsible for
antibiotic resistance among human wound isolates in Cambodia. Staphylococcus spp.
(n=10) and a variety of Gram-negative isolates (n=21) were taken from a larger
collection of wound isolates collected during 2011-2013 and were analysed for the
presence of >230 resistance determinants using a broad-spectrum DNA microarray.
These isolates were chosen to represent the species most commonly found in wound
isolates referred during this time and to include some of the most resistant
strains. Resistance determinants detected among the staphylococci included blaZ
(90%), mecA (100%), erm(B) (70%), erm(C) (20%), tet(38) (90%), tet(K) (40%),
tet(Lp) (10%), tet(M) (20%), lnu(A)/lin(A) and lnu(B)/lin(B) (10% each),
msr(A)/msr(B)/msr(SA) (10%), norA (80%) and dfrA (10%). Eleven different beta
lactamase genes were detected among the Gram-negative bacteria, including genes
encoding the TEM (48%), CTX-M-1 (48%), CTX-M-9 (5%), SHV (5%) and VEB (10%)
families of broad-spectrum and extended-spectrum beta-lactamase enzymes, as well
as the carbapenemase gene blaOXA-23. Forty additional genes were also detected in
the Gram-negative isolates conferring resistance to aminoglycosides (11 genes),
phenicols (5 genes), macrolides [4 genes, including mph(A)/mph(K) (10%)],
lincosamides [lnu(F)/lin(F), lnu(G)/lin(G)], tetracycline (4 genes), rifampicin
[arr (29%)], quaternary amines [qacEDelta1 (43%)], quinolones [qnrS (14%) and
qnrB (5%)], sulfonamides [sul1 (29%), sul2 (38%) and sul3 (10%)], streptothricin
(sat2) and trimethoprim (6 genes). The results obtained here provide a snapshot
of the broad variety of resistance determinants currently circulating within
Cambodia.
PMID- 27873710
TI - Molecular mechanisms of clarithromycin resistance in Mycobacterium abscessus
complex clinical isolates from Venezuela.
AB - In this study, 26 clinical isolates of Mycobacterium abscessus complex strains
were characterised using available identification algorithms for the three
species (M. abscessus, M. massiliense and M. bolletii) and the genotypic
characteristics of clarithromycin (CLR) resistance were determined. Strains were
identified by PCR restriction fragment length polymorphism analysis of the hsp65
and erm(41) gene sequences. Susceptibility to CLR was determined by the broth
microdilution method. The mechanism of resistance to this macrolide was evaluated
by sequencing the erm(41) and rrl genes. Mutations and/or deletions associated
with resistance to CLR as determined in this study were those that have been
previously described. No constitutive resistance to CLR was found, however 35%
(9/26) of the M. abscessus complex strains tested had a functional inducible
erm(41) gene. Based on sequencing of this gene, the strains of M. abscessus were
separated into six sequevars, of which only two are consistent with those
previously reported. In conclusion, we demonstrated that the low percentage of
strains with a resistant phenotype to CLR was due only to an inducible resistance
mechanism conferred by the erm(41) gene and not to mutations in the rrl gene. CLR
can still be useful for treatment in some Venezuelan patients infected with a
member of the M. abscessus group, but drug resistance testing and/or molecular
analysis must precede the prescription of this antibiotic.
PMID- 27873711
TI - In vivo horizontal dissemination of the blaKPC-2 gene carried on diverse genetic
platforms among clinical isolates of Enterobacteriaceae.
AB - This study investigated the molecular characteristics of six blaKPC-positive
Enterobacteriaceae recovered from three patients in Argentina. Antimicrobial
susceptibility testing was performed following Clinical and Laboratory Standards
Institute (CLSI) 2014 recommendations. Molecular characterisation of the isolates
was performed by biparental conjugation, PCR, sequencing, S1 nuclease
restriction, and Southern blot hybridisation with a blaKPC probe using standard
protocols and conditions. The isolates studied were as follows. Case 1:
Escherichia coli (ECO-P1) and Klebsiella pneumoniae (KPN-P1) isolated from a
rectal swab harboured blaKPC-2 in transposon Tn4401a on non-typeable and non
conjugative plasmids. Case 2: Enterobacter cloacae (ECL-P2) and K. pneumoniae
(KPN-P2) were isolated from two blood cultures. blaKPC-2 was found in a novel
genetic variant of ISKpn8-blaKPC-2-ISKpn6-like on conjugative plasmids of IncL/M
type. Case 3, Citrobacter freundii (CFR-P3) and Klebsiella oxytoca (KOX-P3) were
isolated from skin and skin-structure infection. The blaKPC gene was detected on
ISKpn8-DeltablaTEM-blaKPC-2-ISKpn6-like located on an IncA/C conjugative plasmid.
CFR-P3 and KOX-P3 harboured blaPER-2 in addition to the blaKPC gene. In
conclusion, we document the horizontal dissemination of blaKPC-2 from diverse
Enterobacteriaceae clinical isolates with different genetic backgrounds. This is
the first report of E. coli harbouring blaKPC associated with Tn4401a in
Argentina.
PMID- 27873713
TI - Emergence of OXA-48-producing Escherichia coli in the Caribbean islands.
PMID- 27873712
TI - Efficacy of tigecycline-colistin combination in the treatment of carbapenem
resistant Klebsiella pneumoniae endocarditis.
AB - Here we discuss the efficacy of colistin-tigecycline combination in the treatment
of multidrug-resistant (MDR) Klebsiella pneumoniae infective endocarditis (IE).
We report a case of a 67-year-old head-injured patient who developed a carbapenem
resistant K. pneumoniae IE. The patient was treated with colistin-tigecycline
combination, with a favourable outcome. In conclusion, colistin-tigecycline
combination may be a possible combination in the therapy of IE caused by MDR
Enterobacteriaceae.
PMID- 27873714
TI - Investigation of a possible outbreak of NDM-5-producing ST16 Klebsiella
pneumoniae among patients in Denmark with no history of recent travel using whole
genome sequencing.
PMID- 27873715
TI - Antibiotic resistance in Bartonella bacilliformis clinical isolates from an
endemic area of Peru.
PMID- 27873716
TI - The outer membrane protein CD is associated with resistance to penicillins in
Moraxella catarrhalis.
PMID- 27873717
TI - National Reference Laboratory for Antimicrobial Resistance in India: The need of
the hour.
PMID- 27873718
TI - The challenge of antiparasitic resistance.
PMID- 27873719
TI - Treatment options for methicillin-resistant Staphylococcus aureus (MRSA)
infection: Where are we now?
AB - Methicillin-resistant Staphylococcus aureus (MRSA) infection continues to be a
substantial global problem with significant associated morbidity and mortality.
This review summarises the discussions that took place at the 4th MRSA Consensus
Conference in relation to the current treatment options for serious MRSA
infections and how to optimise whichever therapy is embarked upon. It highlights
the many challenges faced by both the laboratory and clinicians in the diagnosis
and treatment of MRSA infections.
PMID- 27873720
TI - High burden of antimicrobial drug resistance in Asia.
AB - The rapid development of antimicrobial resistance among micro-organisms is a
serious public health concern. Moreover, the dissemination of antibiotic
resistant bacteria makes this issue a global problem, and Asia is no exception.
For example, since New Delhi metallo-beta-lactamase (NDM)-producing
Enterobacteriaceae were identified in India, further spread of NDM has become a
worldwide threat. However, the epidemiology of antibiotic-resistant bacteria in
Asia may be different to other regions, and clinical condition may be worse than
in western countries. Antibiotic-resistant bacteria, including community-acquired
and hospital-acquired meticillin-resistant Staphylococcus aureus (MRSA),
vancomycin-intermediate S. aureus (VISA), vancomycin-resistant enterococci,
macrolide- and penicillin-resistant Streptococcus pneumoniae, extend-spectrum
beta-lactamase (ESBL)-producing Escherichia coli and Klebsiella pneumoniae,
carbapenem-resistant Enterobacteriaceae, and multidrug-resistant Pseudomonas
aeruginosa and Acinetobacter spp., are becoming prevalent in many countries in
Asia. Moreover, the prevalence of each antibiotic-resistant bacterium in each
country is not identical. This review provides useful information regarding the
critical condition of antibiotic resistance in Asia and emphasises the importance
of continuous surveillance of resistance data.
PMID- 27873721
TI - The growing challenges of antibacterial drug resistance in Ethiopia.
AB - Infectious diseases of bacterial origin are a major cause of morbidity and
mortality in developing countries such as Ethiopia. To minimise such burdens,
proper use of antibiotics has played a vital role and saved countless lives.
However, use of antimicrobials as therapeutic agents is compromised by the
potential development of drug-resistant micro-organisms. Currently, antimicrobial
drug resistance has become a public health concern both in developing and
developed countries. Antimicrobial drug resistance is dramatically accelerated
when antimicrobials are misused. This is critical, especially in developing
countries where they are not only misused but are often underused due to
financial constraints. Although large-scale studies on antimicrobial resistance
in Ethiopia have not yet been conducted, the available reports indicate a trend
towards increasing resistance rates among pathogens such as Escherichia coli,
Shigella spp., Salmonella spp. and Staphylococcus aureus to commonly prescribed
antibiotics, including ampicillin, amoxicillin, penicillin, tetracycline and
trimethoprim/sulfamethoxazole. This review summarises the existing data on
antibacterial drug resistance in this country.
PMID- 27873723
TI - Comparison of antimicrobial resistance phenotypes and genotypes in
enterotoxigenic Escherichia coli isolated from Australian and Vietnamese pigs.
AB - This study aimed to compare the antibiogram phenotype and carriage of
antimicrobial resistance genes (ARGs) of 97 porcine multidrug-resistant (MDR)
enterotoxigenic Escherichia coli (ETEC) isolates obtained from Vietnam and 117
porcine MDR-ETEC obtained from Australia, two countries with different
antimicrobial regulation systems. An antimicrobial resistance index (ARI) was
calculated to quantify their potential significance to public health. Both
Vietnamese and Australian isolates had moderate to high levels of resistance to
commonly used antibiotics (ampicillin, tetracycline and sulphonamides). None of
the Australian isolates were resistant to fluoroquinolones or third-generation
cephalosporins and none possessed associated plasmid-mediated ARGs. However,
23.1% of Australian isolates were resistant to gentamicin owing to ARGs
associated with apramycin or neomycin resistance [e.g. aac(3)-IV] that impart
cross-resistance to gentamicin. Whilst Vietnamese isolates carried aminoglycoside
ARGs, 44.4% of commercial pig isolates were resistant to gentamicin in comparison
with 0% of village pig isolates. The plasmid-mediated fluoroquinolone ARG qnrB
was commonly detected in Vietnamese isolates (52.3% commercial, 44.1% village),
but phenotypic resistance was low (3.2% and 11.8%, respectively). The mean ARI
for Vietnamese isolates (26.0) was significantly different (P<0.001) from the
mean ARI for Australian isolates (19.8), primarily reflecting fluoroquinolone
resistance in the former collection. This comparison suggests the effectiveness
of regulations that slow the dissemination of 'critical' resistance by
restricting the availability of important classes of antimicrobials.
PMID- 27873722
TI - Antimicrobial susceptibility among Gram-positive and Gram-negative isolates
collected in Europe between 2004 and 2010.
AB - Here we report on the in vitro activity of a suite of antimicrobial agents
against Gram-negative and Gram-positive pathogens collected in Europe between
2004 and 2010 as part of the Tigecycline Evaluation and Surveillance Trial
(T.E.S.T.). Clinical and Laboratory Standards Institute (CLSI) broth
microdilution methodologies were used to determine minimum inhibitory
concentrations. CLSI interpretive criteria were applied for all antimicrobial
agents to establish susceptibility; European Committee on Antimicrobial
Susceptibility Testing (EUCAST) breakpoints were used for tigecycline. In total,
46,921 Gram-negative and 19,174 Gram-positive isolates were included in this
study. Extended-spectrum beta-lactamases increased in proportion from 15.7% to
21.1% among Klebsiella pneumoniae and from 9.7% to 16.1% among Escherichia coli
isolates between 2004-2007 and 2010. E. coli susceptibility decreased to most
antimicrobials but it remained highly susceptible (>98%) to tigecycline and
meropenem. Acinetobacter baumannii susceptibility also decreased to most agents.
The proportion of meticillin-resistant Staphylococcus aureus (MRSA) decreased
from 25.7% to 19.4% over the study period. Antimicrobial susceptibility has
decreased among many of the pathogens observed in the T.E.S.T. surveillance study
between 2004-2007 and 2010.
PMID- 27873724
TI - Engineered honey: In vitro antimicrobial activity of a novel topical wound care
treatment.
AB - Surgihoney is a novel engineered organic honey product for wound care. Its
antimicrobial activity can be controlled and adjusted by the engineering process,
allowing preparation of three different potencies, labelled Surgihoney 1-3.
Susceptibility testing of a range of wound and ulcer bacterial isolates to
Surgihoney by the disc diffusion method, minimum inhibitory concentration (MIC)
and minimum bactericidal concentration (MBC) determination, and time-kill
measurements by time suspension tests were performed. Surgihoney demonstrated
highly potent inhibitory and cidal activity against a wide range of Gram-positive
and Gram-negative bacteria and fungi. MICs/MBCs were significantly lower than
concentrations likely to be achieved in topical clinical use. The topical
concentration of Surgihoney in wounds was estimated at ca. 500g/L. MICs/MBCs for
Staphylococcus aureus were 32/125g/L for Surgihoney 1 and 0.12/0.25g/L for
Surgihoney 3. Cidal speed depended on potency, being 48h for Surgihoney 1 and
30min for Surgihoney 3. Maintenance of the Surgihoney inoculum preparation for up
to a week demonstrated complete cidal activity and no bacterial persistence.
Surgihoney has wide potential as a highly active topical treatment combining the
effects of the healing properties of honey with the potent antimicrobial activity
of the engineered product for skin lesions, wounds, ulcers and cavities. It is
highly active against multidrug-resistant bacteria. It is more active than other
honeys tested and is comparable with chemical antiseptics in antimicrobial
activity.
PMID- 27873725
TI - Spectrum and patterns of antimicrobial resistance of uropathogens isolated from a
sample of hospitalised Lebanese patients with urinary tract infections.
AB - A retrospective study was carried out to assess the current distribution and
antimicrobial susceptibility patterns of uropathogens isolated from patients with
community-acquired (CA) or hospital-acquired (HA) urinary tract infections (UTIs)
who were admitted to the largest governmental hospital in Beirut City (Lebanon)
in 2009-2012. Overall, 292 uropathogens were isolated. Enterobacteriaceae
constituted 81% of the isolated uropathogens, with Escherichia coli, Klebsiella
pneumoniae and Proteus mirabilis being the most reported species. Moreover, 37%
of the isolated uropathogens produced extended-spectrum beta-lactamases (ESBLs).
ESBL-producing strains were more prevalent in CA-UTIs than in HA-UTIs. In the CA
UTI group, ESBL-producing strains were more prevalent in older patients (age >60
years), male patients and patients with diabetes mellitus, urinary
catheterisation and benign prostatic hyperplasia. Uropathogens isolated from CA
UTI and HA-UTI had similar resistance patterns. The highest resistance rates were
found for ampicillin, amoxicillin/clavulanic acid, trimethoprim/sulfamethoxazole
and ciprofloxacin. Imipenem, nitrofurantoin and piperacillin/tazobactam showed
good overall activity against the isolated uropathogens. Of the isolated non-ESBL
producing E. coli, 29% were found to be multidrug-resistant. These data suggest
that there is an urgent need to implement a national policy regulating the use of
antibiotics, notably in the community, in order to limit the widespread increase
in antimicrobial resistance.
PMID- 27873726
TI - In vitro activity of tigecycline and comparators against carbapenem-resistant
Enterobacteriaceae in Africa-Middle East countries: TEST 2007-2012.
AB - Multidrug-resistant (MDR) Enterobacteriaceae are an emerging concern for
healthcare providers. Infections caused by MDR pathogens are associated with
increased costs, length of hospital stay, and morbidity and mortality rates.
Carbapenem-resistant Enterobacteriaceae (CRE) continue to increase, and
infections with these organisms are observed worldwide not only as hospital
acquired infections but also as community-acquired infections. Increasing
antimicrobial resistance dictates the need for continued surveillance studies of
common and MDR pathogens. The Tigecycline Evaluation Surveillance Trial (TEST)
examined the susceptibility of pathogens isolated in Africa and the Middle East
from 2007 to 2012. A total of 4155 Enterobacteriaceae isolates were evaluated to
determine the in vitro activity and changes in resistance patterns for
tigecycline and comparators. Carbapenem resistance was found in 191 (4.6%) of the
isolates tested. Klebsiella pneumoniae was the most common CRE (64.9%), followed
by Enterobacter cloacae (14.1%) and Escherichia coli (9.9%). Tigecycline MIC90
values (minimum inhibitory concentration required to inhibit 90% of the isolates)
were 2MUg/mL against all of these enteric species, with susceptibility rates of
96.8%, 92.6% and 100%, respectively. Tigecycline had in vitro activity against
CRE, with a 95.3% susceptibility rate.
PMID- 27873727
TI - In vitro activity of ceftaroline tested against isolates from the Asia-Pacific
region and South Africa (2011).
AB - During 2011, 29 medical centres in eight Asia-Pacific countries and South Africa
submitted a total of 3697 bacterial pathogens for surveillance testing, of which
39.1% were from respiratory tract, 27.9% from skin and skin-structure, 19.2% from
bloodstream, 5.1% from urinary tract and 8.7% from miscellaneous infection types.
Meticillin-resistant Staphylococcus aureus (MRSA) constituted 38.9% of the 1114
S. aureus. The MRSA rate was 53.5% for respiratory tract infections and 31.8% for
skin and skin-structure infections. A total of 86.5% of S. aureus exhibited
ceftaroline minimum inhibitory concentrations (MICs) at <=1MUg/mL. Ceftaroline
(MIC90, 0.25MUg/mL) was eight-fold more active than ceftriaxone (MIC90, 2MUg/mL)
against Streptococcus pneumoniae; erythromycin and clindamycin susceptibility
were severely compromised. Against beta-haemolytic streptococci, ceftaroline and
other beta-lactams were highly active, with MIC90 values at 0.03MUg/mL. The
extended-spectrum beta-lactamase (ESBL) phenotype rate was 57.6% among
Escherichia coli, 49.7% among Klebsiella pneumoniae and 23.7% among Klebsiella
oxytoca. ESBL phenotype rates for E. coli ranged from a low of 8.3% in South
Africa to a high of 77.9% in India. For K. pneumoniae, the ESBL phenotype rate
ranged from 20.6% in Australia to 67.8% in India. Ceftaroline was active against
non-ESBL-phenotype E. coli and K. pneumoniae (MIC90, 0.25MUg/mL) but was not
active against ESBL-phenotype strains (MIC90, >32MUg/mL). Overall, ceftaroline
demonstrated in vitro activity against pathogens isolated from various infection
sites, including respiratory tract, bloodstream, and skin and skin-structure
infections, across the monitored nations.
PMID- 27873728
TI - Outbreaks of multidrug-resistant Acinetobacter baumannii strains in a Kenyan
teaching hospital.
AB - Acinetobacter baumannii is a serious nosocomial pathogen with a high propensity
to cause outbreaks. Whilst outbreaks of A. baumannii have been reported in many
regions worldwide, few data are available from East Africa. In this study, 25 A.
baumannii isolates derived from a single institution located in Nairobi, Kenya,
between September 2010 and September 2011 were examined. Antimicrobial
susceptibility testing was performed by the disc diffusion method and the
relatedness among the isolates was examined by pulsed-field gel electrophoresis,
repetitive sequence-based PCR (rep-PCR) and multilocus sequence typing. The
examined isolates clustered into three distinct groups. The most prevalent
sequence type (ST) was ST110 (17 isolates), followed by ST92 (5 isolates) and
ST109 (3 isolates). All isolates exhibited resistance to cefepime, ceftazidime,
ticarcillin/clavulanic acid, cefotaxime/clavulanic acid, piperacillin/tazobactam,
cefoxitin, ciprofloxacin, gentamicin, nitrofurantoin, fosfomycin trometamol,
trimethoprim/sulfamethoxazole, amikacin, meropenem and imipenem, with the
exception of four isolates. Two isolates belonging to ST92 and two isolates
belonging to ST109 were susceptible to amikacin; one of these amikacin
susceptible ST109 isolates was also susceptible to meropenem and imipenem. All
isolates were positive for OXA 51-like and all carbapenem-resistant isolates were
OXA-23 positive.
PMID- 27873729
TI - Evaluation of the antimicrobial activity of daptomycin and linezolid against
vancomycin-resistant Enterococcus spp. isolates in south India.
AB - Vancomycin-resistant enterococci (VRE) have emerged as a major nosocomial
pathogen. Alternatives for the treatment of infection with VRE include linezolid
and daptomycin. Experience with these agents, especially daptomycin, is limited
in India. The minimum inhibitory concentration of linezolid and daptomycin
against 98 clinical isolates of VRE was tested by E-test. Daptomycin and
linezolid showed good activity, with susceptibility rates of 93.9% and 96.9%,
respectively.
PMID- 27873730
TI - Evolutionary rescue of bacteria via horizontal gene transfer under a lethal beta
lactam concentration.
AB - beta-Lactams are a commonly used class of bactericidal antibiotics. The number of
beta-lactam-resistant pathogens is constantly increasing in hospitals around the
world. Interestingly, most of the beta-lactam-resistant bacteria carry mobile
genetic elements, such as conjugative plasmids, that render the pathogen
resistant. These elements mediate their own transfer from one bacterium to
another, producing new resistant strains via horizontal gene transfer. Here we
investigated whether it is possible that transfer of the resistance element from
another bacterium may evolutionarily rescue a susceptible bacterium exposed to a
lethal concentration of the beta-lactam ampicillin. Indeed, the rescuing occurs
even at very high, clinically significant antibiotic levels, suggesting that
pathogens may acquire the resistance 'on the fly' from commensal bacteria during
treatment.
PMID- 27873732
TI - Adopting Chennai declaration strategies in the prevention and control of the
spread of multidrug-resistant hospital-acquired bacterial infections in Nigeria:
A call to action.
PMID- 27873731
TI - Carbapenem-resistant Acinetobacter baumannii in the Republic of Belarus.
PMID- 27873733
TI - Food allergy to the carbohydrate galactose-alpha-1,3-galactose (alpha-gal): four
case reports and a review.
AB - Until recently, food allergies to mammalian meats have been considered to be very
rare. The observation that patients not previously exposed to the monoclonal
chimeric antibody cetuximab suffered from severe anaphylaxis upon first exposure,
led to the identification of galactose-alpha-1,3-galactose as a new relevant
carbohydrate allergen. These patients later often suffered from anaphylactic
reactions to red meat. Epidemiological data indicated that bites by the tick
Amblyomma americanum in the USA, later also by Ixodes species in other
continents, resulted in sensitisation to alpha-gal. On the other hand, in African
patients with parasitic disorders, a high prevalence of anti-alpha-gal IgE,
without clinical relevance, has been reported. In our four cases, one patient
with a late onset of meat allergy had a history of a tick bite. The other three
patients had symptoms from childhood or at a juvenile age. This indicates that in
some patients, other ways of sensitisation may also take place. However, in
patients without atopy, tick bite-induced IgE to alpha-gal may be more relevant.
Diagnosis is based on a history of delayed onset of anaphylaxis. Skin tests with
commercially available meat test solutions are often equivocal or negative; skin
tests with raw meat and particularly pork kidney are more sensitive.
Determination of specific IgE to alpha-gal is commercially available. The highest
sensitivity is observed with skin and basophil activation tests with cetuximab
which is, however, limited by its high costs.
PMID- 27873734
TI - Generalized annular elastolytic giant cell granuloma successfully treated with
the long-term use of minocycline hydrochloride.
PMID- 27873735
TI - Long-term survival of a patient with metastatic melanoma treated with nivolumab
and vemurafenib, with the development of vitiligo.
PMID- 27873736
TI - Amber necklaces: reasons for use and awareness of risk associated with bacterial
colonisation.
AB - Parents are increasingly placing amber necklaces on their infants or toddlers to
prevent teething pain. The use of the necklaces can pose a risk of death by
strangulation, however, there are no data on the potential infectious risk linked
to bacterial colonisation associated with the necklaces. We aimed to analyse
bacterial colonisation of amber necklaces worn by children during hospital
consultations. This prospective observational study included all children wearing
a teething necklace at consultation in the Paediatric Dermatology and the
Paediatric Emergency Department of our hospital from April to December 2014. The
study included 27 children (median age: 10.7 months; 70.4% female). The surface
of necklaces underwent bacteriological analyses using three different agar
cultures. Parents completed a brief questionnaire to provide reasons for using
necklaces and express awareness of risks. One child had a history of impetigo.
All necklaces were colonised by bacteria (median: four species per necklace
[range: 1-9]); 32 different species were found, the most frequent being coagulase
negative staphylococci (Staphylococcus epidermidis in 88.9% of cases). In three
cases, methicillin-sensitive Staphylococcus aureus was found. The most frequent
reason for wearing a necklace was to prevent teething pain (n = 17; 63.0%); the
necklace was judged effective (moderately/highly effective) in 74.1% of cases,
however, 70.4% of parents considered the use of the necklace to be risky. Amber
necklaces may be highly colonised by commensal germs of the skin, mainly
coagulase-negative staphylococci. Although these bacteria are saprophytes, they
may become pathogenic in particular conditions.
PMID- 27873737
TI - Erythroderma and non-Hodgkin T-cell lymphoma: what else, apart from Mycosis
Fungoides and Sezary syndrome?
AB - BACKGROUND: Peripheral T-cell lymphomas, not otherwise specified (PTCL-NOS), are
a rare condition characterised by specific histology, nodal presentation, and a
poor prognosis. In total, 10-18% of patients present with cutaneous involvement
which is regarded as a poor prognostic marker. However, cutaneous PTCL-NOS
lesions have been rarely reported in the literature. OBJECTIVES: We sought to
describe PTCL-NOS cases characterised by erythrodermic dissemination to the skin.
MATERIALS & METHODS: Three cases of PTCL-NOS were investigated; all male, with a
mean and median age of 55 and 51 years, respectively. RESULTS: All patients
underwent aggressive chemotherapeutic protocols with only transient improvement
of the disease, and died within two years of follow-up. CONCLUSION:
Dermatologists should be reminded that erythroderma and lymph node enlargement do
not represent an exclusive paradigm for erythrodermic cutaneous T-cell lymphoma,
and that these features can be due to a systemic lymphoma that should be
considered in the differential diagnosis.
PMID- 27873738
TI - Sensitive skin and the influence of female hormone fluctuations: results from a
cross-sectional digital survey in the Dutch population.
AB - Sensitive skin is a widespread condition, which is most frequently reported by
women. Changing hormone levels during the menstrual cycle and menopause have been
suggested among the stimuli triggering sensitive skin. To investigate the
perceived influence of fluctuating hormone levels on self-assessed sensitive
skin, including symptoms and stimuli linked to skin sensitivity, as well as
potential changes in facial and body skin and sensitive body parts, depending on
hormonal status. A digital questionnaire was distributed to a population of women
aged 20-65 years old. A total of 278 women were included in the analysis. About
42% premenopausal women declared a perception of (increased) skin sensitivity
just before and during the menstrual cycle, while this was reported by almost 32%
of peri- and postmenopausal women following the menopause. The majority of
reported symptoms included the presence of bumps/pimples, dryness, itching, and
redness, and the majority of reported stimuli were shaving, weather, toiletries,
and emotions. No differences emerged regarding characteristics of facial and body
skin across different hormonal status. Significant differences in sensitivity of
body parts emerged for the face and feet, reported by a larger percentage of
premenopausal women and peri- and postmenopausal women, respectively. The
prevalence of the perceived effects of fluctuating hormone levels on self
assessed sensitive skin in women is high. These effects should be taken into
consideration in skin testing and dermatological practice, and support the need
for selecting personal care routine or treatment during the menstrual cycle and
menopause.
PMID- 27873739
TI - Red Blood Cell Transfusions in Greece: Results of a Survey of Red Blood Cell Use
in 2013.
AB - OBJECTIVE: Greece is ranked as the second highest consumer of blood components in
Europe. For an effective transfusion system and in order to reduce variability of
transfusion practice by implementing evidence-based transfusion guidelines it is
necessary to study and monitor blood management strategies. Our study was
conducted in order to evaluate the use of red blood cell units (RBC-U) in
nationwide scale mapping parameters that contribute to their proper management in
Greece. MATERIALS AND METHODS: The survey was conducted by the Working Committee
of Transfusion Medicine&Apheresis of the Hellenic Society of Hematology from
January to December 2013. The collected data included the number, ABO/D blood
group, patients' department, and storage age of RBC-U transfused. RESULTS: The
number of RBC-U evaluated was 103,702 (17.77%) out of 583,457 RBC-U transfused in
Greece in 2013. RBC-U transfused by hospital department (mean percentage) was as
follows: Surgery 29.34%, Internal Medicine 29.48%, Oncology/Hematology 14.65%,
Thalassemia 8.87%, Intensive Care Unit 6.55%, Nephrology 1.78%,
Obstetrics/Gynecology 1.46%, Neonatal&Pediatric 0.31%, Private Hospitals 8.57%.
RBC-U distribution according to ABO/D blood group was: A: 39.02%, B: 12.41%, AB:
5.16%, O: 43.41%, D+: 87.99%, D-: 12.01%. The majority of RBC-U (62.46%) was
transfused in the first 15 days of storage, 25.24% at 16 to 28 days, and 12.28%
at 29-42 days. CONCLUSION: Despite a high intercenter variability in RBC
transfusions, surgical and internal medicine patients were the most common groups
of patients transfused with an increasing rate for internal medicine patients.
The majority of RBC-U were transfused within the first 15 days of storage, which
is possibly the consequence of blood supply insufficiency leading to the direct
use of fresh blood. Benchmarking transfusion activity may help to decrease the
inappropriate use of blood products, reduce the cost of care, and optimize the
use of the voluntary donor's gift.
PMID- 27873740
TI - Response to Anastrozole Treatment in a Case with Peutz-Jeghers Syndrome and a
Large Cell Calcifying Sertoli Cell Tumor.
AB - Peutz-Jeghers syndrome (PJS) is inherited as an autosomal dominant trait
characterized by multiple gastrointestinal hamartomatous polyps, mucocutaneous
pigmentation, and an increased risk of neoplasm. Large-cell calcifying Sertoli
cell tumor (LCCSCT) is a kind of sex cord-stromal tumor which may co-exist with
PJS and which is characterized radiologically by calcification foci within the
testes. Surgical treatment options for this tumor range from testis-preserving
surgery to radical orchiectomy. Not with standing this invasive approach,
recently, there are some case reports demonstrating the efficacy of aromatase
inhibitors in avoiding orchiectomy and its associated complications. In this
paper, we have presented a LCCSCT case diagnosed in a boy with PJS and his
response to anastrozole treatment.
PMID- 27873741
TI - Sole Infrequent Karyotypic Aberration Trisomy 6 in a Patient with Acute Myeloid
Leukemia and Breast Cancer in Remission.
PMID- 27873742
TI - Pachymeningeal Involvement with Blindness as the Presenting Manifestation of Non
Hodgkin Lymphoma.
PMID- 27873743
TI - Aneurysmal wall imaging in a case of cortical superficial siderosis and multiple
unruptured aneurysms.
AB - We report a case of interhemispheric and bifrontal cortical superficial siderosis
in association with two intracranial aneurysms. The patient had no clinical
history suggestive of aneurysm rupture, no feature of amyloid angiopathy or other
apparent etiology for cortical siderosis. We performed high resolution brain MRI
with dark blood T1 sequences before and after IV contrast injection. An anterior
communicating aneurysm showed partial wall enhancement on the posterior wall
whereas a left posterior communicating aneurysm did not. In the light of recent
reports of the association of wall enhancement with unstable aneurysms, we
considered wall enhancement to be a marker of inflammation and remodeling of the
aneurysm wall, resulting in chronic hemorrhagic suffusion in the subarachnoid
spaces. To our knowledge, this is the first report offering proof for a possible
link between apparently unruptured aneurysms and cortical siderosis.
PMID- 27873744
TI - Q fever hepatitis and endocarditis in the context of haemochromatosis.
AB - Hereditary haemochromatosis is associated with increased susceptibility to some
infections. We report here a case of Q fever in a patient with coexistent
haemochromatosis. The literature is reviewed in regard to the effect of
haemochromatosis on susceptibility to infectious disease in general and Q fever
in particular. Although there is documented increased risk in these patients for
some infectious conditions, a specific association with Q fever has not been
previously reported. The present report raises the possibility of such a
clinically relevant connection.
PMID- 27873745
TI - Life-threatening Wunderlich's syndrome with concurrent clopidogrel use.
AB - Spontaneous non-traumatic renal haemorrhage, or Wunderlich's syndrome, is a rare
but potentially life-threatening event. We present the case of a 63-year-old man
on clopidogrel who became haemodynamically unstable as a result of this
condition. Angioembolisation revealed the unusual finding of active bleeding from
multiple distinct subsegmental renal vessels, for which haemostasis was
successfully achieved by coil placement. The patient remains well and with near
normal renal function on follow-up.
PMID- 27873746
TI - Acute aortic dissection in postpartum.
PMID- 27873747
TI - Atypical presentation of appendicitis.
AB - A woman aged 64 years presented as an emergency with a mass in the right iliac
fossa, clinically within the abdominal wall, but an otherwise soft abdomen.
Systemically, she was quite well with normal vital signs. Blood tests revealed
raised inflammatory markers, and an abdominal CT scan demonstrated a perforated
appendix with associated large subcutaneous abscess. This represents a rare
presentation of a common condition, demonstrating how appendicitis can still be a
challenging problem for the clinician. The patient underwent incision and
drainage of the abscess, resulting in the formation of an enterocutaneous fistula
(ECF). This was managed with enteral nutritional support, wound dressings and
antibiotics. Following normal CT imaging, an ileocaecal resection was planned in
the expectation that the ECF would persist. The operation was postponed due to
intercurrent illness, during which time her symptoms and the ECF fully resolved.
PMID- 27873748
TI - Intracranial venous thrombosis in a man taking clomiphene citrate.
AB - Clomiphene citrate is widely used by the female population for the management of
a wide array of medical conditions especially those related to ovulation, but its
use in male population remains controversial. Efficacy of clomiphene is not well
established in male hypogonadism and infertility. Its side effects and
complications are also poorly studied or reported. We present a case of a man
with infertility issues for which he started receiving clomiphene citrate 3 weeks
before presenting with progressive symptoms of headache, vomiting, transient
blurred vision and diplopia, which eventually turned out to be the symptoms of
intracranial venous thrombosis. After excluding the common causes of intracranial
venous thrombosis and hypercoagulable state, clomiphene citrate seems the most
likely culprit.
PMID- 27873749
TI - Neurosarcoidosis presenting as a large dural mass lesion.
PMID- 27873752
TI - Hibernoma: diagnostic and surgical considerations of a rare benign tumour.
AB - Hibernoma is a rare, benign tumour of brown fat origin. Less than 250 cases have
been reported in the literature. We present a case of a 19-year-old man referred
to surgical oncology for evaluation of a large soft tissue mass near the apex of
his right scapula. Complete surgical excision was performed, sparing the
overlying latissimus dorsi musculature. Surgical pathology revealed findings were
consistent with hibernoma, grossly showing a well-encapsulated fluctuant mass
measuring 21.4*14.4*5.3 cm, and histologically composed of brown fat adipocytes.
The mainstay of treatment is surgical excision of the mass. Primary goals of the
operation include complete removal of the mass to prevent recurrence and sparing
of adjacent structures as it is a benign, non-invasive tumour. We present a case
of a large chest wall hibernoma in a young adult, diagnosed on final pathology
after complete surgical excision.
PMID- 27873750
TI - Persistent spontaneous fungal peritonitis secondary to Candida albicans in a
patient with alcoholic cirrhosis and review of the literature.
AB - Candida albicans is a common human pathogen. Occasionally, it can cause
peritonitis in immunocompromised and postsurgical patients. We report a case of a
male patient who presented with abdominal pain and distention. He had a history
of end-stage liver disease secondary to alcoholism. His peritoneal fluid culture
revealed C albicans, and (1-3)-beta-d glucan (BDG) level was elevated. His
hospital course was complicated by sepsis and renal failure. He was treated with
antifungals for spontaneous fungal peritonitis. Fungal peritonitis should be
suspected in patients with chronic liver disease particularly with elevated BDG
levels.
PMID- 27873751
TI - Rheumatoid vasculitis: early presentation of rheumatoid arthritis.
AB - Rheumatoid vasculitis is a rare and late complication of rheumatoid arthritis and
may affect small-to-medium-sized vessels. Here, we report a case of a 49-year-old
man who presented with amaurosis fugax in the left eye, symmetric polyarthritis,
Raynaud's symptoms and paraesthesia in both lower extremities. The patient
subsequently experienced right foot drop, nail fold infracts and gangrene of his
right second toe. He was found to have a high titre of rheumatoid factor and
treatment with rituximab and high dose of corticosteroids led to significant
improvement of his symptoms. This is rare case describing the early onset of
rheumatoid vasculitis in a patient with rheumatoid arthritis.
PMID- 27873753
TI - Primary Mycobacterium tuberculosis infection over insulin injection site.
PMID- 27873755
TI - The DNA-based diet.
PMID- 27873754
TI - Addressing the limitations of the CDC guideline for prescribing opioids for
chronic noncancer pain.
PMID- 27873756
TI - Infantile acne.
PMID- 27873757
TI - Russia targets dissidents with punitive psychiatry.
PMID- 27873758
TI - Seniors and self-harm factor in the opioid crisis.
PMID- 27873759
TI - The curious career of Dr. Monica Kidd.
PMID- 27873760
TI - Multimodality imaging in Bertolotti's syndrome: an important cause of low back
pain in young adults.
PMID- 27873761
TI - Hereditary angioedema (HAE): a cause for recurrent abdominal pain.
AB - A 44-year-old Hispanic woman presented to the emergency room with a 2-day history
of sudden onset of severe cramping left lower quadrant abdominal pain associated
with ~20 episodes diarrhoea. Abdominal CT scan exhibited bowel wall oedema and
acute extensive colitis. On the basis of the preliminary diagnosis of acute
abdomen, the patient was admitted under the surgical team and treated for acute
colitis. Since her family history was significant for hereditary angioedema
(HAE), complement studies were performed which revealed low complement C4 levels
and abnormally low values of C1q esterase inhibitor. Thus, the diagnosis of HAE
type I was established. This case report summarises that the symptoms of HAE are
often non-specific, hence making the underlying cause difficult to diagnose.
PMID- 27873762
TI - Ganglioneuroma of the pancreas in a 4-year-old girl.
AB - Ganglioneuroma (GN) is the uncommon, benign representative of the peripheral
neuroblastic tumours (PNTs), which arise from primitive sympathetic ganglion
cells. PNTs comprise one of the most common groups of neoplastic diseases in
infants and children, but its occurrence in the pancreas is rare. We report a 4
year-old girl with GN of the pancreas requiring pancreaticoduodenectomy as a
definitive therapy and with a great outcome, and we review the published
literature.
PMID- 27873763
TI - Pericardiectomy as a diagnostic and therapeutic procedure.
AB - A 70-year-old man presented with recent onset, predominantly right-sided heart
failure. Echocardiogram demonstrated features of hypertensive heart disease and
was suggestive of, but non-diagnostic for, constrictive pericarditis (CP). CT
demonstrated mild pericardial thickening. Right heart catheterisation showed
elevation and equalisation of diastolic pressures in all cardiac chambers with
early rapid filling, minimal ventricular interdependence, and no dissociation of
intrathoracic and intracardiac pressures. While several features pointed towards
CP, the minimal ventricular interdependence and no dissociation of intrathoracic
and intracardiac pressures suggested other pathology. Diagnostic pericardiectomy
was performed, after which the central venous pressure decreased from 22 to 12 mm
Hg. Pathology revealed pericardial fibrosis. The patient experienced sustained
resolution of his heart failure. A potential explanation for lack of CP criteria
was the presence of hypertensive heart disease. CP needs to be considered when
approaching patients with heart failure as diagnostic evaluation can be
multifaceted and treatment curative.
PMID- 27873764
TI - Medial rectus muscle cysticercosis: an assessment using ultrasonography and CT.
PMID- 27873765
TI - Extensive biatrial thrombus straddling the patent foramen ovale and traversing
into the left and right ventricle.
AB - We report an extremely rare case of an extensive biatrial thrombus straddling a
patent foramen ovale (PFO) extending into the bilateral ventricles in a patient
presenting with an acute embolic stroke. Our patient further developed a massive
saddle pulmonary embolus (PE) with haemodynamic instability during the course of
his hospitalisation. The risks of pharmacological thrombolysis or surgical
thrombectomy for PE in a haemodynamically unstable patient with recent embolic
stroke posed a significant therapeutic dilemma. Ultimately, the decision was made
to continue anticoagulation with unfractionated heparin followed by oral
Coumadin. The patient responded well to therapy and at 1-month follow-up, a
complete resolution of the thrombus was documented on transoesophageal
echocardiogram with full clinical recovery of the patient.
PMID- 27873766
TI - Incidental finding of giant pericardial lipoma.
AB - We describe a patient with an incidental finding of a large mass adjacent to the
heart detected on a screening CT scan. The differential diagnosis included
primary and secondary tumours of the heart. Subsequent investigation with trans
thoracic echocardiogram and cardiac MRI confirmed a diagnosis of a giant
pericardial lipoma, one of the common benign primary cardiac tumours.
PMID- 27873767
TI - High-pressure studies with x-rays using diamond anvil cells.
AB - Pressure profoundly alters all states of matter. The symbiotic development of
ultrahigh-pressure diamond anvil cells, to compress samples to sustainable multi
megabar pressures; and synchrotron x-ray techniques, to probe materials'
properties in situ, has enabled the exploration of rich high-pressure (HP)
science. In this article, we first introduce the essential concept of diamond
anvil cell technology, together with recent developments and its integration with
other extreme environments. We then provide an overview of the latest
developments in HP synchrotron techniques, their applications, and current
problems, followed by a discussion of HP scientific studies using x-rays in the
key multidisciplinary fields. These HP studies include: HP x-ray emission
spectroscopy, which provides information on the filled electronic states of HP
samples; HP x-ray Raman spectroscopy, which probes the HP chemical bonding
changes of light elements; HP electronic inelastic x-ray scattering spectroscopy,
which accesses high energy electronic phenomena, including electronic band
structure, Fermi surface, excitons, plasmons, and their dispersions; HP resonant
inelastic x-ray scattering spectroscopy, which probes shallow core excitations,
multiplet structures, and spin-resolved electronic structure; HP nuclear resonant
x-ray spectroscopy, which provides phonon densities of state and time-resolved
Mossbauer information; HP x-ray imaging, which provides information on
hierarchical structures, dynamic processes, and internal strains; HP x-ray
diffraction, which determines the fundamental structures and densities of single
crystal, polycrystalline, nanocrystalline, and non-crystalline materials; and HP
radial x-ray diffraction, which yields deviatoric, elastic and rheological
information. Integrating these tools with hydrostatic or uniaxial pressure media,
laser and resistive heating, and cryogenic cooling, has enabled investigations of
the structural, vibrational, electronic, and magnetic properties of materials
over a wide range of pressure-temperature conditions.
PMID- 27873768
TI - Sexual dysfunction and prostate cancer risk: one more piece of a complex puzzle.
PMID- 27873770
TI - Coordinate cis-[Cr(C2O4)(pm)(OH2)2]+ Cation as Molecular Biosensor of Pyruvate's
Protective Activity Against Hydrogen Peroxide Mediated Cytotoxity.
AB - In this paper instrumental methods of carbon dioxide (CO2) detection in
biological material were compared. Using cis-[Cr(C2O4)(pm)(OH2)2]+ cation as a
specific molecular biosensor and the stopped-flow technique the concentrations of
CO2 released from the cell culture medium as one of final products of pyruvate
decomposition caused by hydrogen peroxide were determined. To prove the
usefulness of our method of CO2 assessment in the case of biological samples we
investigated protective properties of exogenous pyruvate in cultured osteosarcoma
143B cells exposed to 1 mM hydrogen peroxide (H2O2) added directly to culture
medium. Pyruvic acid is well known scavenger of H2O2 and, moreover, a molecule
which is recognized as one of the major mediator of oxidative stress detected in
many diseases and pathological situations like ischemiareperfusion states. The
pyruvate's antioxidant activity is described as its rapid reaction with
H2O2,which causes nonenzymatic decarboxylation of pyruvate and releases of CO2,
water and acetate as final products. In this work for the first time we have
correlated the concentration of CO2 dissolved in culture medium with pyruvate's
oxidant-scavenging abilities. Moreover, the kinetics of the reaction between
aqueous solution of CO2 and coordinate ion, cis-[Cr(C2O4)(pm)(OH2)2]+ was
analysed. The results obtained enabled determination of the number of steps of
the reaction studied. Based on the kinetic equations, rate constants were
determined for each step.
PMID- 27873771
TI - Object-Based Point Cloud Analysis of Full-Waveform Airborne Laser Scanning Data
for Urban Vegetation Classification.
AB - Airborne laser scanning (ALS) is a remote sensing technique well-suited for 3D
vegetation mapping and structure characterization because the emitted laser
pulses are able to penetrate small gaps in the vegetation canopy. The
backscattered echoes from the foliage, woody vegetation, the terrain, and other
objects are detected, leading to a cloud of points. Higher echo densities (> 20
echoes/m2) and additional classification variables from full-waveform (FWF) ALS
data, namely echo amplitude, echo width and information on multiple echoes from
one shot, offer new possibilities in classifying the ALS point cloud. Currently
FWF sensor information is hardly used for classification purposes. This
contribution presents an object-based point cloud analysis (OBPA) approach,
combining segmentation and classification of the 3D FWF ALS points designed to
detect tall vegetation in urban environments. The definition tall vegetation
includes trees and shrubs, but excludes grassland and herbage. In the applied
procedure FWF ALS echoes are segmented by a seeded region growing procedure. All
echoes sorted descending by their surface roughness are used as seed points.
Segments are grown based on echo width homogeneity. Next, segment statistics
(mean, standard deviation, and coefficient of variation) are calculated by
aggregating echo features such as amplitude and surface roughness. For
classification a rule base is derived automatically from a training area using a
statistical classification tree. To demonstrate our method we present data of
three sites with around 500,000 echoes each. The accuracy of the classified
vegetation segments is evaluated for two independent validation sites. In a point
wise error assessment, where the classification is compared with manually
classified 3D points, completeness and correctness better than 90% are reached
for the validation sites. In comparison to many other algorithms the proposed 3D
point classification works on the original measurements directly, i.e. the
acquired points. Gridding of the data is not necessary, a process which is
inherently coupled to loss of data and precision. The 3D properties provide
especially a good separability of buildings and terrain points respectively, if
they are occluded by vegetation.
PMID- 27873772
TI - An Energy-Efficient and High-Quality Video Transmission Architecture in Wireless
Video-Based Sensor Networks.
AB - Technological progress in the fields of Micro Electro-Mechanical Systems (MEMS)
and wireless communications and also the availability of CMOS cameras,
microphones and small-scale array sensors, which may ubiquitously capture
multimedia content from the field, have fostered the development of low-cost
limited resources Wireless Video-based Sensor Networks (WVSN). With regards to
the constraints of videobased sensor nodes and wireless sensor networks, a
supporting video stream is not easy to implement with the present sensor network
protocols. In this paper, a thorough architecture is presented for video
transmission over WVSN called Energy-efficient and high-Quality Video
transmission Architecture (EQV-Architecture). This architecture influences three
layers of communication protocol stack and considers wireless video sensor nodes
constraints like limited process and energy resources while video quality is
preserved in the receiver side. Application, transport, and network layers are
the layers in which the compression protocol, transport protocol, and routing
protocol are proposed respectively, also a dropping scheme is presented in
network layer. Simulation results over various environments with dissimilar
conditions revealed the effectiveness of the architecture in improving the
lifetime of the network as well as preserving the video quality.
PMID- 27873769
TI - Androgen receptor gene CAG and GGN repeat lengths as predictors of recovery of
spermatogenesis following testicular germ cell cancer treatment.
AB - Spermatogenesis is an androgen-regulated process that depends on the action of
androgen receptor (AR). Sperm production may be affected in men treated for
testicular cancer (TC), and it is important to identify the factors influencing
the timing of spermatogenesis recovery following cancer treatment. It is known
that the CAG and GGN repeat numbers affect the activity of the AR; therefore, the
aim of this study is to investigate if the CAG and GGN polymorphisms in the AR
gene predict recovery of sperm production after TC treatment. TC patients (n =
130) delivered ejaculates at the following time points: postorchiectomy and at 6,
12, 24, 36, and 60 months posttherapy (T0, T6, T12, T24, T36, and T60). The CAG
lengths were categorized into three groups, <22 CAG, 22-23 CAG, and >23 CAG, and
the GGN tracts were also categorized into three groups, <23 GGN, 23 GGN, and >23
GGN. At T12, men with 22-23 CAG presented with a statistically significantly (P =
0.045) lower sperm concentration than those with other CAG numbers (8.4 * 106 ml
1 vs 16 * 106 ml-1 ; 95% CI: 1.01-2.65). This association was robust to omitting
adjustment for treatment type and sperm concentration at T0 (P = 0.021; 3.7 * 106
ml-1 vs 10 * 106 ml-1 ; 95% CI: 1.13-4.90). The same trends were observed for
total sperm number. The least active AR variant seems to be associated with a
more rapid recovery of spermatogenesis. This finding adds to our understanding of
the biology of postcancer therapy recovery of fertility in males and has clinical
implications.
PMID- 27873773
TI - Anodic Voltammetry of Thioacetamide and its Amperometric Determination in Aqueous
Media.
AB - TAA is a harmful, presumptive pollutant in tap waters and waste waters. Several
alternatives have been tested as new possibilities for the anodic determination
of TAA in aqueous solutions, simulated waste waters and tap water. The
electrochemical behaviour of thioacetamide (TAA) was investigated at a boron
doped diamond (BDD) electrode both in unbuffered 0.1 M Na2SO4 and buffered
solutions as supporting electrolytes. The anodic oxidation of TAA showed well
defined limiting currents or current peaks and a good linearity of the
amperometric signal vs. concentration plots. The analytical parameters of
sensitivity, RSD and LOD, obtained under various experimental conditions, suggest
the suitability of the BDD electrode for electroanalytical purposes. Low fouling
effects, good reproducibility and stability, as well as the sharpness of the
amperometric signals in both unbuffered/ buffered acidic or neutral media, highly
superior to those obtained using a glassy carbon (GC) electrode, recommend the
unmodified BDD electrode as a promising potential amperometric sensor for
environmental applications, regarding the direct anodic determination of TAA in
aqueous media.
PMID- 27873774
TI - Water Quality Monitoring for Lake Constance with a Physically Based Algorithm for
MERIS Data.
AB - A physically based algorithm is used for automatic processing of MERIS level 1B
full resolution data. The algorithm is originally used with input variables for
optimization with different sensors (i.e. channel recalibration and weighting),
aquatic regions (i.e. specific inherent optical properties) or atmospheric
conditions (i.e. aerosol models). For operational use, however, a lake-specific
parameterization is required, representing an approximation of the spatio
temporal variation in atmospheric and hydrooptic conditions, and accounting for
sensor properties. The algorithm performs atmospheric correction with a LUT for
at-sensor radiance, and a downhill simplex inversion of chl-a, sm and y from
subsurface irradiance reflectance. These outputs are enhanced by a selective
filter, which makes use of the retrieval residuals. Regular chl-a sampling
measurements by the Lake's protection authority coinciding with MERIS
acquisitions were used for parameterization, training and validation.
PMID- 27873775
TI - Determination of Parathion and Carbaryl Pesticides in Water and Food Samples
Using a Self Assembled Monolayer /Acetylcholinesterase Electrochemical Biosensor.
AB - An acetylcholinesterase (AchE) based amperometric biosensor was developed by
immobilisation of the enzyme onto a self assembled modified gold electrode.
Cyclic voltammetric experiments performed with the SAM-AchE biosensor in
phosphate buffer solutions (pH = 7.2) containing acetylthiocholine confirmed the
formation of thiocholine and its electrochemical oxidation at Ep = 0.28 V vs
Ag/AgCl. An indirect methodology involving the inhibition effect of parathion and
carbaryl on the enzymatic reaction was developed and employed to measure both
pesticides in spiked natural water and food samples without pre-treatment or pre
concentration steps. Values higher than 91-98.0% in recovery experiments
indicated the feasibility of the proposed electroanalytical methodology to
quantify both pesticides in water or food samples. HPLC measurements were also
performed for comparison and confirmed the values measured amperometrically.
PMID- 27873776
TI - HF Radar Sea-echo from Shallow Water.
AB - HF radar systems are widely and routinely used for the measurement of ocean
surface currents and waves. Analysis methods presently in use are based on the
assumption of infinite water depth, and may therefore be inadequate close to
shore where the radar echo is strongest. In this paper, we treat the situation
when the radar echo is returned from ocean waves that interact with the ocean
floor. Simulations are described which demonstrate the effect of shallow water on
radar sea-echo. These are used to investigate limits on the existing theory and
to define water depths at which shallow-water effects become significant. The
second-order spectral energy increases relative to the first-order as the water
depth decreases, resulting in spectral saturation when the waveheight exceeds a
limit defined by the radar transmit frequency. This effect is particularly marked
for lower radar transmit frequencies. The saturation limit on waveheight is less
for shallow water. Shallow water affects second-order spectra (which gives wave
information) far more than first-order (which gives information on current
velocities), the latter being significantly affected only for the lowest radar
transmit frequencies for extremely shallow water. We describe analysis of radar
echo from shallow water measured by a Rutgers University HF radar system to give
ocean wave spectral estimates. Radar-derived wave height, period and direction
are compared with simultaneous shallow-water in-situ measurements.
PMID- 27873777
TI - Single Photon Avalanche Diodes: Towards the Large Bidimensional Arrays.
AB - Single photon detection is one of the most challenging goals of photonics. In
recent years, the study of ultra-fast and/or low-intensity phenomena has received
renewed attention from the academic and industrial communities. Intense research
activity has been focused on bio-imaging applications, bio-luminescence, bio
scattering methods, and, more in general, on several applications requiring high
speed operation and high timing resolution. In this paper we present design and
characterization of bi-dimensional arrays of a next generation of single photon
avalanche diodes (SPADs). Single photon sensitivity, dark noise, afterpulsing and
timing resolution of the single SPAD have been examined in several experimental
conditions. Moreover, the effects arising from their integration and the readout
mode have also been deeply investigated.
PMID- 27873778
TI - Performance and Transient Behavior of Vertically Integrated Thin-film Silicon
Sensors.
AB - Vertical integration of amorphous hydrogenated silicon diodes on CMOS readout
chips offers several advantages compared to standard CMOS imagers in terms of
sensitivity, dynamic range and dark current while at the same time introducing
some undesired transient effects leading to image lag. Performance of such
sensors is here reported and their transient behaviour is analysed and compared
to the one of corresponding amorphous silicon test diodes deposited on glass. The
measurements are further compared to simulations for a deeper investigation. The
long time constant observed in dark or photocurrent decay is found to be rather
independent of the density of defects present in the intrinsic layer of the
amorphous silicon diode.
PMID- 27873780
TI - Remote Sensing of Agro-droughts in Guangdong Province of China Using MODIS
Satellite Data.
AB - A practical approach was developed in the study for drought monitoring in
Guangdong province of China on the basis of vegetation supply water index (VSWI)
and precipitation distance index (PDI). A comprehensive index for assessment of
agro-drought severity (SADI) was then established from the normalized VSWI and
PDI. Using MODIS satellite images and precipitation data from ground-observed
meteorological stations, we applied the approach to Guangdong for drought
monitoring in 2006. The monitoring results showed that the drought severity on
average was very low in the province during the main growing season from May to
September in 2006. However, seasonal variation of the severity was also obvious
in difference counties of the province. Higher severity of drought could be seen
in the periods of late-June (In China each month is traditionally divided into 3
periods. Each is with 10 days and has different names. This division system is
mainly with consideration of farming seasons hence has been widely used as the
basis of drought monitoring periods in China. In order to keep this tradition, we
define, for example, for June, the early-June as the period from 1st to 10th of
June, the mid-June as the period from 11th to 20th, and the late-June as the
period from 21st to 30th. So mid-August denotes the period from 11th to 20th of
August, and early-July the period from 1st to 10th of July, and so on.), early
July, mid-August and late-September. Regionally, Leizhou Peninsula in the west
had the most serious drought before mid-May. Validation indicated that our
monitoring results were generally consistent with the drought statistics data and
the results from Chinese National Satellite Meteorological Center (CNSMC), which
used only remote sensing data. This consistence confirmed the applicability of
our approach for drought monitoring. Our better identification of drought
severity in Leizhou Peninsula of western Guangdong than that of CNSMC might
suggest that the approach developed in the study was able to provide a better
alternative to increase the accuracy of drought monitoring for agricultural
administration and farming.
PMID- 27873781
TI - Estimation of Tree Size Diversity Using Object Oriented Texture Analysis and
Aster Imagery.
AB - This study investigates the potential of object-based texture parameters
extracted from 15m spatial resolution ASTER imagery for estimating tree size
diversity in a Mediterranean forested landscape in Turkey. Tree size diversity
based on tree basal area was determined using the Shannon index and Gini
Coefficient at the sampling plot level. Image texture parameters were calculated
based on the grey level co-occurrence matrix (GLCM) for various image
segmentation levels. Analyses of relationships between tree size diversity and
texture parameters found that relationships between the Gini Coefficient and the
GLCM values were the most statistically significant, with the highest correlation
(r=0.69) being with GLCM Homogeneity values. In contrast, Shannon Index values
were weakly correlated with image derived texture parameters. The results suggest
that 15m resolution Aster imagery has considerable potential in estimating tree
size diversity based on the Gini Coefficient for heterogeneous Mediterranean
forests.
PMID- 27873779
TI - Antibody Fragments as Probe in Biosensor Development.
AB - Today's proteomic analyses are generating increasing numbers of biomarkers,
making it essential to possess highly specific probes able to recognize those
targets. Antibodies are considered to be the first choice as molecular
recognition units due to their target specificity and affinity, which make them
excellent probes in biosensor development. However several problems such as
difficult directional immobilization, unstable behavior, loss of specificity and
steric hindrance, may arise from using these large molecules. Luckily, protein
engineering techniques offer designed antibody formats suitable for biomarker
analysis. Minimization strategies of antibodies into Fab fragments, scFv or even
single-domain antibody fragments like VH, VL or VHHs are reviewed. Not only the
size of the probe but also other issues like choice of immobilization tag, type
of solid support and probe stability are of critical importance in assay
development for biosensing. In this respect, multiple approaches to specifically
orient and couple antibody fragments in a generic one-step procedure directly on
a biosensor substrate are discussed.
PMID- 27873782
TI - Detecting Land Subsidence in Shanghai by PS-Networking SAR Interferometry.
AB - Existing studies have shown that satellite synthetic aperture radar (SAR)
interferometry has two apparent drawbacks, i.e., temporal decorrelation and
atmospheric contamination, in the application of deformation mapping. It is
however possible to improve deformation analysis by tracking some natural or man
made objects with steady radar reflectivity, i.e., permanent scatterers (PS), in
the frame of time series of SAR images acquired over the same area. For detecting
land subsidence in Shanghai, China, this paper presents an attempt to explore an
approach of PS-neighborhood networking SAR interferometry. With use of 26 ERS-1/2
SAR images acquired 1992 through 2002 over Shanghai, the analysis of subsiding
process in time and space is performed on the basis of a strong network which is
formed by connecting neighboring PSs according to a distance threshold. The
linear and nonlinear subsidence, atmospheric effects as well as topographic
errors can be separated effectively in this way. The subsidence velocity field in
10 years over Shanghai is also derived. It was found that the annual subsidence
rates in the study area range from -2.1 to -0.6 cm/yr, and the averaged
subsidence rate reaches -1.1 cm/yr.
PMID- 27873783
TI - Geodetic Network Design and Optimization on the Active Tuzla Fault (Izmir,
Turkey) for Disaster Management.
AB - Both seismological and geodynamic research emphasize that the Aegean Region,
which comprises the Hellenic Arc, the Greek mainland and Western Turkey is the
most seismically active region in Western Eurasia. The convergence of the
Eurasian and African lithospheric plates forces a westward motion on the
Anatolian plate relative to the Eurasian one. Western Anatolia is a valuable
laboratory for Earth Science research because of its complex geological
structure. Izmir is a large city in Turkey with a population of about 2.5 million
that is at great risk from big earthquakes. Unfortunately, previous geodynamics
studies performed in this region are insufficient or cover large areas instead of
specific faults. The Tuzla Fault, which is aligned trending NE-SW between the
town of Menderes and Cape Doganbey, is an important fault in terms of seismic
activity and its proximity to the city of Izmir. This study aims to perform a
large scale investigation focusing on the Tuzla Fault and its vicinity for better
understanding of the region's tectonics. In order to investigate the crustal
deformation along the Tuzla Fault and Izmir Bay, a geodetic network has been
designed and optimizations were performed. This paper suggests a schedule for a
crustal deformation monitoring study which includes research on the tectonics of
the region, network design and optimization strategies, theory and practice of
processing. The study is also open for extension in terms of monitoring different
types of fault characteristics. A one-dimensional fault model with two parameters
- standard strike-slip model of dislocation theory in an elastic half-space - is
formulated in order to determine which sites are suitable for the campaign based
geodetic GPS measurements. Geodetic results can be used as a background data for
disaster management systems.
PMID- 27873784
TI - Feature Reduction in Graph Analysis.
AB - A common approach to improve medical image classification is to add more features
to the classifiers; however, this increases the time required for preprocessing
raw data and training the classifiers, and the increase in features is not always
beneficial. The number of commonly used features in the literature for training
of image feature classifiers is over 50. Existing algorithms for selecting a
subset of available features for image analysis fail to adequately eliminate
redundant features. This paper presents a new selection algorithm based on graph
analysis of interactions among features and between features to classifier
decision. A modification of path analysis is done by applying regression
analysis, multiple logistic and posterior Bayesian inference in order to
eliminate features that provide the same contributions. A database of 113
mammograms from the Mammographic Image Analysis Society was used in the
experiments. Tested on two classifiers - ANN and logistic regression - cancer
detection accuracy (true positive and false-positive rates) using a 13-feature
set selected by our algorithm yielded substantially similar accuracy as using a
26-feature set selected by SFS and results using all 50-features. However, the 13
feature greatly reduced the amount of computation needed.
PMID- 27873785
TI - CO2 Selective Potentiometric Sensor in Thick-film Technology.
AB - A potentiometric sensor device based on screen-printed Nasicon films was
investigated. In order to transfer the promising sensor concept of an open sodium
titanate reference to thick film technology, "sodium-rich" and "sodium-poor"
formulations were compared. While the "sodium-rich" composition was found to
react with the ion conducting Nasicon during thermal treatment, the "sodium-poor"
reference mixture was identified as an appropriate reference composition. Screen
printed sensor devices were prepared and tested with respect to CO2 response,
reproducibility, and cross-interference of oxygen. Excellent agreement with the
theory was observed. With the integration of a screen-printed heater, sensor
elements were operated actively heated in a cold gas stream.
PMID- 27873786
TI - An Interactive Technique for Cartographic Feature Extraction from Aerial and
Satellite Image Sensors.
AB - In this paper, an interactive technique for extracting cartographic features from
aerial and spatial images is presented. The method is essentially an interactive
method of image region segmentation based on pixel grey level and texture
information. The underlying segmentation method is seeded region growing. The
criterion for growing regions is based on both texture and grey level, where
texture is quantified using cooccurrence matrices. The Kullback distance is
utilised with co-occurrence matrices in order to describe the image texture, then
the Theory of Evidence is applied to merge the information coming from texture
and grey level image from the RGB bands. Several results from aerial and spatial
images that support the technique are presented.
PMID- 27873787
TI - Modelling Amperometric Biosensors Based on Chemically Modified Electrodes.
AB - The response of an amperometric biosensor based on a chemically modified
electrode was modelled numerically. A mathematical model of the biosensor is
based on a system of non-linear reaction-diffusion equations. The modelling
biosensor comprises two compartments: an enzyme layer and an outer diffusion
layer. In order to define the main governing parameters the corresponding
dimensionless mathematical model was derived. The digital simulation was carried
out using the finite difference technique. The adequacy of the model was
evaluated using analytical solutions known for very specific cases of the model
parameters. By changing model parameters the output results were numerically
analyzed at transition and steady state conditions. The influence of the
substrate and mediator concentrations as well as of the thicknesses of the enzyme
and diffusion layers on the biosensor response was investigated. Calculations
showed complex kinetics of the biosensor response, especially when the biosensor
acts under a mixed limitation of the diffusion and the enzyme interaction with
the substrate.
PMID- 27873788
TI - Distributed Principal Component Analysis for Wireless Sensor Networks.
AB - The Principal Component Analysis (PCA) is a data dimensionality reduction
technique well-suited for processing data from sensor networks. It can be applied
to tasks like compression, event detection, and event recognition. This technique
is based on a linear transform where the sensor measurements are projected on a
set of principal components. When sensor measurements are correlated, a small set
of principal components can explain most of the measurements variability. This
allows to significantly decrease the amount of radio communication and of energy
consumption. In this paper, we show that the power iteration method can be
distributed in a sensor network in order to compute an approximation of the
principal components. The proposed implementation relies on an aggregation
service, which has recently been shown to provide a suitable framework for
distributing the computation of a linear transform within a sensor network. We
also extend this previous work by providing a detailed analysis of the
computational, memory, and communication costs involved. A compression experiment
involving real data validates the algorithm and illustrates the tradeoffs between
accuracy and communication costs.
PMID- 27873789
TI - Using the Remote Sensing and GIS Technology for Erosion Risk Mapping of
Kartalkaya Dam Watershed in Kahramanmaras, Turkey.
AB - The soil erosion is the most serious environmental problem in watershed areas in
Turkey. The main factors affecting the amount of soil erosion include vegetation
cover, topography, soil, and climate. In order to describe the areas with high
soil erosion risks and to develop adequate erosion prevention measures in the
watersheds of dams, erosion risk maps should be generated considering these
factors. Remote Sensing (RS) and Geographic Information System (GIS) technologies
were used for erosion risk mapping in Kartalkaya Dam Watershed of Kahramanmaras,
Turkey, based on the methodology implemented in COoRdination of INformation on
the Environment (CORINE) model. ASTER imagery was used to generate a land
use/cover classification in ERDAS Imagine. The digital maps of the other factors
(topography, soil types, and climate) were generated in ArcGIS v9.2, and were
then integrated as CORINE input files to produce erosion risk maps. The results
indicate that 33.82%, 35.44%, and 30.74% of the study area were under low,
moderate, and high actual erosion risks, respectively. The CORINE model
integrated with RS and GIS technologies has great potential for producing
accurate and inexpensive erosion risk maps in Turkey.
PMID- 27873790
TI - A Fast Inspection of Tool Electrode and Drilling Depth in EDM Drilling by
Detection Line Algorithm.
AB - The purpose of this study was to develop a novel measurement method using a
machine vision system. Besides using image processing techniques, the proposed
system employs a detection line algorithm that detects the tool electrode length
and drilling depth of a workpiece accurately and effectively. Different
boundaries of areas on the tool electrode are defined: a baseline between base
and normal areas, a ND-line between normal and drilling areas (accumulating
carbon area), and a DD-line between drilling area and dielectric fluid droplet on
the electrode tip. Accordingly, image processing techniques are employed to
extract a tool electrode image, and the centroid, eigenvector, and principle axis
of the tool electrode are determined. The developed detection line algorithm
(DLA) is then used to detect the baseline, ND-line, and DD-line along the
direction of the principle axis. Finally, the tool electrode length and drilling
depth of the workpiece are estimated via detected baseline, ND-line, and DD-line.
Experimental results show good accuracy and efficiency in estimation of the tool
electrode length and drilling depth under different conditions. Hence, this
research may provide a reference for industrial application in EDM drilling
measurement.
PMID- 27873791
TI - Estimation of Phytoplankton Responses to Hurricane Gonu over the Arabian Sea
Based on Ocean Color Data.
AB - In this study the authors investigated phytoplankton variations in the Arabian
Sea associated with Hurricane Gonu using remote-sensing data of chlorophyll-a
(Chl-a), sea surface temperature (SST) and winds. Additional data sets used for
the study included the hurricane and Conductivity-Temperature-Depth data.
Hurricane Gonu, presenting extremely powerful wind intensity, originated over the
central Arabian Sea (near 67.7oE, 15.1oN) on June 2, 2007; it traveled along a
northwestward direction and made landfall in Iran around June 7. Before Hurricane
Gonu, Chl-a data indicated relatively low phytoplankton biomass (0.05-0.2 mg m
3), along with generally high SST (>28.5 oC) and weak wind (.
PMID- 27873792
TI - SAR Observation and Modeling of Gap Winds in the Prince William Sound of Alaska.
AB - Alaska's Prince William Sound (PWS) is a unique locale tending to have strong gap
winds, especially in the winter season. To characterize and understand these
strong surface winds, which have great impacts on the local marine and aviation
activities, the surface wind retrieval from the Synthetic Aperture Radar data
(SAR-wind) is combined with a numerical mesoscale model. Helped with the SAR-wind
observations, the mesoscale model is used to study cases of strong winds and
relatively weak winds to depict the nature of these winds, including the area of
extent and possible causes of the wind regimes. The gap winds from the Wells
Passage and the Valdez Arm are the most dominant gap winds in PWS. Though the
Valdez Arm is north-south trending and Wells Passage is east-west oriented, gap
winds often develop simultaneously in these two places when a low pressure system
is present in the Northern Gulf of Alaska. These two gap winds often converge at
the center of PWS and extend further out of the Sound through the Hinchinbrook
Entrance. The pressure gradients imposed over these areas are the main driving
forces for these gap winds. Additionally, the drainage from the upper stream
glaciers and the blocking effect of the banks of the Valdez Arm probably play an
important role in enhancing the gap wind.
PMID- 27873793
TI - Empirical Retrieval of Surface Melt Magnitude from Coupled MODIS Optical and
Thermal Measurements over the Greenland Ice Sheet during the 2001 Ablation
Season.
AB - Accelerated ice flow near the equilibrium line of west-central Greenland Ice
Sheet (GIS) has been attributed to an increase in infiltrated surface melt water
as a response to climate warming. The assessment of surface melting events must
be more than the detection of melt onset or extent. Retrieval of surface melt
magnitude is necessary to improve understanding of ice sheet flow and surface
melt coupling. In this paper, we report on a new technique to quantify the
magnitude of surface melt. Cloud-free dates of June 10, July 5, 7, 9, and 11,
2001 Moderate Resolution Imaging Spectroradiometer (MODIS) daily reflectance Band
5 (1.230-1.250MUm) and surface temperature images rescaled to 1km over western
Greenland were used in the retrieval algorithm. An optical-thermal feature space
partitioned as a function of melt magnitude was derived using a one-dimensional
thermal snowmelt model (SNTHERM89). SNTHERM89 was forced by hourly meteorological
data from the Greenland Climate Network (GC-Net) at reference sites spanning dry
snow, percolation, and wet snow zones in the Jakobshavn drainage basin in western
GIS. Melt magnitude or effective melt (E-melt) was derived for satellite
composite periods covering May, June, and July displaying low fractions (0-1%) at
elevations greater than 2500m and fractions at or greater than 15% at elevations
lower than 1000m assessed for only the upper 5 cm of the snow surface. Validation
of E-melt involved comparison of intensity to dry and wet zones determined from
QSCAT backscatter. Higher intensities (> 8%) were distributed in wet snow zones,
while lower intensities were grouped in dry zones at a first order accuracy of ~
+/-2%.
PMID- 27873794
TI - Ship Detection in SAR Image Based on the Alpha-stable Distribution.
AB - This paper describes an improved Constant False Alarm Rate (CFAR) ship detection
algorithm in spaceborne synthetic aperture radar (SAR) image based on Alphastable
distribution model. Typically, the CFAR algorithm uses the Gaussian distribution
model to describe statistical characteristics of a SAR image background clutter.
However, the Gaussian distribution is only valid for multilook SAR images when
several radar looks are averaged. As sea clutter in SAR images shows spiky or
heavy-tailed characteristics, the Gaussian distribution often fails to describe
background sea clutter. In this study, we replace the Gaussian distribution with
the Alpha-stable distribution, which is widely used in impulsive or spiky signal
processing, to describe the background sea clutter in SAR images. In our proposed
algorithm, an initial step for detecting possible ship targets is employed. Then,
similar to the typical two-parameter CFAR algorithm, a local process is applied
to the pixel identified as possible target. A RADARSAT-1 image is used to
validate this Alpha-stable distribution based algorithm. Meanwhile, known ship
location data during the time of RADARSAT-1 SAR image acquisition is used to
validate ship detection results. Validation results show improvements of the new
CFAR algorithm based on the Alpha-stable distribution over the CFAR algorithm
based on the Gaussian distribution.
PMID- 27873795
TI - Chemical Sensors Based on Cyclodextrin Derivatives.
AB - This review focuses on chemical sensors based on cyclodextrin (CD) derivatives.
This has been a field of classical interest, and is now of current interest for
numerous scientists. First, typical chemical sensors using chromophore appended
CDs are mentioned. Various "turn-off" and "turn-on" fluorescent chemical sensors,
in which fluorescence intensity was decreased or increased by complexation with
guest molecules, respectively, were synthesized. Dye modified CDs and photoactive
metal ion-ligand complex appended CDs, metallocyclodextrins, were also applied
for chemical sensors. Furthermore, recent novel approaches to chemical sensing
systems using supramolecular structures such as CD dimers, trimers and
cooperative binding systems of CDs with the other macrocycle [2]rotaxane and
supramolecular polymers consisting of CD units are mentioned. New chemical
sensors using hybrids of CDs with p-conjugated polymers, peptides, DNA,
nanocarbons and nanoparticles are also described in this review.
PMID- 27873796
TI - Mapping Regional Distribution of a Single Tree Species: Whitebark Pine in the
Greater Yellowstone Ecosystem.
AB - Moderate resolution satellite imagery traditionally has been thought to be
inadequate for mapping vegetation at the species level. This has made
comprehensive mapping of regional distributions of sensitive species, such as
whitebark pine, either impractical or extremely time consuming. We sought to
determine whether using a combination of moderate resolution satellite imagery
(Landsat Enhanced Thematic Mapper Plus), extensive stand data collected by land
management agencies for other purposes, and modern statistical classification
techniques (boosted classification trees) could result in successful mapping of
whitebark pine. Overall classification accuracies exceeded 90%, with similar
individual class accuracies. Accuracies on a localized basis varied based on
elevation. Accuracies also varied among administrative units, although we were
not able to determine whether these differences related to inherent spatial
variations or differences in the quality of available reference data.
PMID- 27873797
TI - Free Base Porphyrins as Ionophores for Heavy Metal Sensors.
AB - Two functionalized porphyrins: 5,10,15,20-tetrakis(3,4-dimethoxyphenyl) porphyrin
(A) and 5,10,15,20-tetrakis(3-hydroxyphenyl)porphyrin (B) obtained and
characterized by us were used as ionophores (I) for preparing PVC-based membrane
sensors selective to Ag+, Pb2+ and Cu2+. The membranes were prepared using three
different plasticizers: (bis(2-ethylhexyl)sebacate (DOS), dioctylphtalate (DOP),
o-nitrophenyl octyl ether (NPOE) and potassium tetrakis(4-chlorophenyl)borate
(KTClPB) as additive. The functional parameters (linear concentration range,
slope and selectivity) of the sensors with membrane composition:
(I:PVC:KTClPB:Plasticizer) in different ratios were investigated. The best
results were obtained for the membranes in the ratio I:PVC:KTClPB:Plasticizer
10:165:5:330. The influence of pH on the sensors response was studied. The
sensors were used for a period of four months and their utility has been tested
on synthetic and real samples.
PMID- 27873798
TI - Wireless and Powerless Sensing Node System Developed for Monitoring Motors.
AB - Reliability and maintainability of tooling systems can be improved through
condition monitoring of motors. However, it is difficult to deploy sensor nodes
due to the harsh environment of industrial plants. Sensor cables are easily
damaged, which renders the monitoring system deployed to assure the machine's
reliability itself unreliable. A wireless and powerless sensing node integrated
with a MEMS (Micro Electro-Mechanical System) sensor, a signal processor, a
communication module, and a self-powered generator was developed in this study
for implementation of an easily mounted network sensor for monitoring motors. A
specially designed communication module transmits a sequence of electromagnetic
(EM) pulses in response to the sensor signals. The EM pulses can penetrate
through the machine's metal case and delivers signals from the sensor inside the
motor to the external data acquisition center. By using induction power, which is
generated by the motor's shaft rotation, the sensor node is self-sustaining;
therefore, no power line is required. A monitoring system, equipped with novel
sensing nodes, was constructed to test its performance. The test results
illustrate that, the novel sensing node developed in this study can effectively
enhance the reliability of the motor monitoring system and it is expected to be a
valuable technology, which will be available to the plant for implementation in a
reliable motor management program.
PMID- 27873799
TI - Detection of Aspens Using High Resolution Aerial Laser Scanning Data and Digital
Aerial Images.
AB - The aim was to use high resolution Aerial Laser Scanning (ALS) data and aerial
images to detect European aspen (Populus tremula L.) from among other deciduous
trees. The field data consisted of 14 sample plots of 30 m * 30 m size located in
the Koli National Park in the North Karelia, Eastern Finland. A Canopy Height
Model (CHM) was interpolated from the ALS data with a pulse density of 3.86/m2,
low-pass filtered using Height-Based Filtering (HBF) and binarized to create the
mask needed to separate the ground pixels from the canopy pixels within
individual areas. Watershed segmentation was applied to the low-pass filtered CHM
in order to create preliminary canopy segments, from which the non-canopy
elements were extracted to obtain the final canopy segmentation, i.e. the ground
mask was analysed against the canopy mask. A manual classification of aerial
images was employed to separate the canopy segments of deciduous trees from those
of coniferous trees. Finally, linear discriminant analysis was applied to the
correctly classified canopy segments of deciduous trees to classify them into
segments belonging to aspen and those belonging to other deciduous trees. The
independent variables used in the classification were obtained from the first
pulse ALS point data. The accuracy of discrimination between aspen and other
deciduous trees was 78.6%. The independent variables in the classification
function were the proportion of vegetation hits, the standard deviation of in
pulse heights, accumulated intensity at the 90th percentile and the proportion of
laser points reflected at the 60th height percentile. The accuracy of
classification corresponded to the validation results of earlier ALS-based
studies on the classification of individual deciduous trees to tree species.
PMID- 27873800
TI - Surface Temperature Mapping of the University of Northern Iowa Campus Using High
Resolution Thermal Infrared Aerial Imageries.
AB - The goal of this project was to map the surface temperature of the University of
Northern Iowa campus using high-resolution thermal infrared aerial imageries. A
thermal camera with a spectral bandwidth of 3.0-5.0 MUm was flown at the average
altitude of 600 m, achieving ground resolution of 29 cm. Ground control data was
used to construct the pixelto-temperature conversion model, which was later used
to produce temperature maps of the entire campus and also for validation of the
model. The temperature map then was used to assess the building rooftop
conditions and steam line faults in the study area. Assessment of the temperature
map revealed a number of building structures that may be subject to insulation
improvement due to their high surface temperatures leaks. Several hot spots were
also identified on the campus for steam pipelines faults. High-resolution thermal
infrared imagery proved highly effective tool for precise heat anomaly detection
on the campus, and it can be used by university facility services for effective
future maintenance of buildings and grounds.
PMID- 27873801
TI - Empirical Evidence for Impacts of Internal Migration on Vegetation Dynamics in
China from 1982 to 2000.
AB - Migration is one of the major socio-economic characteristics of China since the
country adopted the policy of economic reform in late 1970s. Many studies have
been dedicated to understand why and how people move, and the consequences of
their welfare. The purpose of this study is to investigate the environmental
impacts of the large scale movement of population in China. We analyzed the trend
in the Normalized Difference Vegetation Index (NDVI) from the Advanced Very High
Resolution Radiometer (AVHRR) along with China migration data from the 1 percent
national survey during 1982-1987, the 4th national census during 1985-1990 and
the 5th national census during1995~2000. We found that the internal migration in
China has a statistically significant negative impact on vegetation growth at the
provincial scale from 1982 to 2000 even though the overall vegetation abundance
increased in China. The impact from migration (R2=0.47, P=0.0001) on vegetation
dynamics is the second strongest as among the factors considered, including
changes in annual mean air temperature (R2=0.50, P=0.0001) and annual total
precipitation (R2=0.30, P=0.0049) and gross domestic production (R2= 0.25,
P=0.0102). The negative statistical relationship between the rate of increase in
total migration and the change in vegetation abundance is stronger (R2=0.56,
P=0.0000) after controlling for the effects of changes in temperature and
precipitation. In-migration dominates the impacts of migration on vegetation
dynamics. Therefore, it is important for policy makers in China to take the
impacts of migration on vegetation growth into account while making policies
aiming at sustainable humanenvironment relations.
PMID- 27873802
TI - A Polypyrrole-based Strain Sensor Dedicated to Measure Bladder Volume in Patients
with Urinary Dysfunction.
AB - This paper describes a new technique to measure urine volume in patients with
urinary bladder dysfunction. Polypyrrole - an electronically conducting polymer -
is chemically deposited on a highly elastic fabric. This fabric, when placed
around a phantom bladder, produced a reproducible change in electrical resistance
on stretching. The resistance response to stretching is linear in 20%-40% strain
variation. This change in resistance is influenced by chemical fabrication
conditions. We also demonstrate the dynamic mechanical testing of the patterned
polypyrrole on fabric in order to show the feasibility of passive interrogation
of the strain sensor for biomedical sensing applications.
PMID- 27873803
TI - Electrocatalytic Detection of Amitrole on the Multi-Walled Carbon Nanotube - Iron
(II) tetra-aminophthalocyanine Platform.
AB - It is shown that iron(II) tetra-aminophthalocyanine complex electropolymerized
onto a multi-walled carbon nanotube-modified basal plane pyrolytic graphite
electrode greatly enhanced the electrocatalytic detetion of amitrole (a toxic
herbicide), resulting in a very low detection limit (0.5 nM) and excellent
sensitivity of 8.80+/-0.44 MUA/nM, compared to any known work reported so far.
The electrocatalytic detection of amitrole at this electrode occurred at less
positive potential (~0.3 V vs Ag|ACl) and also revealed a typical coupled
chemical reaction. The mechanism for this response is proposed. The electrode
gave satisfactory selectivity to amitrole in the presence of other potential
interfering pesticides in aqueous solutions.
PMID- 27873804
TI - The Statistical Meaning of Kurtosis and Its New Application to Identification of
Persons Based on Seismic Signals.
AB - This paper presents a new algorithm making use of kurtosis, which is a
statistical parameter, to distinguish the seismic signal generated by a person's
footsteps from other signals. It is adaptive to any environment and needs no
machine study or training. As persons or other targets moving on the ground
generate continuous signals in the form of seismic waves, we can separate
different targets based on the seismic waves they generate. The parameter of
kurtosis is sensitive to impulsive signals, so it's much more sensitive to the
signal generated by person footsteps than other signals generated by vehicles,
winds, noise, etc. The parameter of kurtosis is usually employed in the financial
analysis, but rarely used in other fields. In this paper, we make use of kurtosis
to distinguish person from other targets based on its different sensitivity to
different signals. Simulation and application results show that this algorithm is
very effective in distinguishing person from other targets.
PMID- 27873805
TI - The Improved Dual-view Field Goniometer System FIGOS.
AB - In spectrodirectional Remote Sensing (RS) the Earth's surface reflectance
characteristics are studied by means of their angular dimensions. Almost all
natural surfaces exhibit an individual anisotropic reflectance behaviour due to
the contrast between the optical properties of surface elements and background
and the geometric surface properties of the observed scene. The underlying
concept, which describes the reflectance characteristic of a specific surface
area, is called the bidirectional reflectance distribution function (BRDF). BRDF
knowledge is essential for both correction of directional effects in RS data and
quantitative retrieval of surface parameters. Ground-based spectrodirectional
measurements are usually performed with goniometer systems. An accurate retrieval
of the bidirectional reflectance factors (BRF) from field goniometer measurements
requires hyperspectral knowledge of the angular distribution of the reflected and
the incident radiation. However, prior to the study at hand, no operational
goniometer system was able to fulfill this requirement. This study presents the
first dual-view field goniometer system, which is able to simultaneously collect
both the reflected and the incident radiation at high angular and spectral
resolution and, thus, providing the necessary spectrodirectional datasets to
accurately retrieve the surface specific BRF. Furthermore, the angular
distribution of the incoming diffuse radiation is characterized for various
atmospheric conditions and the BRF retrieval is performed for an artificial
target and compared to laboratory spectrodirectional measurement results obtained
with the same goniometer system. Suggestions for further improving goniometer
systems are given and the need for intercalibration of various goniometers as
well as for standardizing spectrodirectional measurements is expressed.
PMID- 27873806
TI - A Novel Nonenzymatic Hydrogen Peroxide Sensor Based on a Polypyrrole Nanowire
Copper Nanocomposite Modified Gold Electrode.
AB - A novel nonenzymatic hydrogen peroxide (H2O2) sensor has been fabricated by
dispersing copper nanoparticles onto polypyrrole (PPy) nanowires by cyclic
voltammetry (CV) to form PPy-copper nanocomposites on gold electrodes. Scanning
electron microscopy (SEM) was used to characterize the morphologies of the PPy
nanowires and the PPy-copper nanocomposite. The reactivity of the PPy-copper
nanocomposite towards H2O2 was characterized by cyclic voltammetry and
chronoamperometry. Effects of applied potential, the concentrations of detection
solution upon the response currents of the sensor were investigated for an
optimum analytical performance. It was proved that the PPy-copper nanocomposite
showed excellent catalytic activity for the reduction of hydrogen peroxide
(H2O2). The sensor showed a linear response to hydrogen peroxide in the
concentration range between 7.0*10-6 and 4.3*10-3 mol L-1 with a high
sensitivity, and a detection limit of 2.3*10-6 mol L-1. Experiment results also
showed that the sensor had good stability.
PMID- 27873807
TI - Biotests and Biosensors for Ecotoxicology of Metal Oxide Nanoparticles: A
Minireview.
AB - Nanotechnologies have become a significant priority worldwide. Several
manufactured nanoparticles - particles with one dimension less than 100 nm - are
increasingly used in consumer products. At nanosize range, the properties of
materials differ substantially from bulk materials of the same composition,
mostly due to the increased specific surface area and reactivity, which may lead
to increased bioavailability and toxicity. Thus, for the assessment of
sustainability of nanotechnologies, hazards of manufactured nanoparticles have to
be studied. Despite all the above mentioned, the data on the potential
environmental effects of nanoparticles are rare. This mini-review is summarizing
the emerging information on different aspects of ecotoxicological hazard of metal
oxide nanoparticles, focusing on TiO2, ZnO and CuO. Various biotests that have
been successfully used for evaluation of ecotoxic properties of pollutants to
invertebrates, algae and bacteria and now increasingly applied for evaluation of
hazard of nanoparticles at different levels of the aquatic food-web are
discussed. Knowing the benefits and potential drawbacks of these systems, a suite
of tests for evaluation of environmental hazard of nanoparticles is proposed.
Special attention is paid to the influence of particle solubility and to
recombinant metal-sensing bacteria as powerful tools for quantification of metal
bioavailability. Using recombinant metal-specific bacterial biosensors and
multitrophic ecotoxicity assays in tandem will create new scientific knowledge on
the respective role of ionic species and of particles in toxicity of metal oxide
nanoparticles.
PMID- 27873808
TI - Critical Evaluation of Acetylcholine Determination in Rat Brain Microdialysates
using Ion-Pair Liquid Chromatography with Amperometric Detection.
AB - Liquid chromatography with amperometric detection remains the most widely used
method for acetylcholine quantification in microdialysis samples. Separation of
acetylcholine from choline and other matrix components on a microbore
chromatographic column (1 mm internal diameter), conversion of acetylcholine in
an immobilized enzyme reactor and detection of the produced hydrogen peroxide on
a horseradish peroxidase redox polymer coated glassy carbon electrode, achieves
sufficient sensitivity for acetylcholine quantification in rat brain
microdialysates. However, a thourough validation within the concentration range
required for this application has not been carried out before. Furthermore, a
rapid degradation of the chromatographic columns and enzyme systems have been
reported. In the present study an ion-pair liquid chromatography assay with
amperometric detection was validated and its long-term stability evaluated.
Working at pH 6.5 dramatically increased chromatographic stability without a loss
in sensitivity compared to higher pH values. The lower limit of quantification of
the method was 0.3 nM. At this concentration the repeatability was 15.7%, the
inter-day precision 8.7% and the accuracy 103.6%. The chromatographic column was
stable over 4 months, the immobilized enzyme reactor up to 2-3 months and the
enzyme coating of the amperometric detector up to 1-2 months. The concentration
of acetylcholine in 30 MUl microdialysates obtained under basal conditions from
the hippocampus of freely moving rats was 0.40 +/- 0.12 nM (mean +/- SD, n = 30).
The present method is therefore suitable for acetylcholine determination in rat
brain microdialysates.
PMID- 27873809
TI - Surface Energy Balance Based Evapotranspiration Mapping in the Texas High Plains.
AB - Agriculture on the Texas High Plains (THP) uses approximately 89% of groundwater
withdrawals from the Ogallala Aquifer. Consequently, groundwater levels are
declining faster than the recharge rate. Therefore, efficient agricultural water
use is essential for economic viability and sustainability of the THP. Accurate
regional evapotranspiration (ET) maps would provide valuable information on
actual crop water use. In this study, METRIC (Mapping Evapotranspiration at High
Resolution using Internalized Calibration), a remote sensing based ET algorithm,
was evaluated for mapping ET in the THP. Two Landsat 5 Thematic Mapper images
acquired on 27 June (DOY 178) and 29 July (DOY 210) 2005 were used for this
purpose. The performance of the ET model was evaluated by comparing the predicted
daily ET with values derived from soil moisture budget at four commercial
agricultural fields. Daily ET estimates resulted with a prediction error of
12.7+/-8.1% (mean bias error +/- root mean square error) on DOY 178 and -4.7+/
9.4% on DOY 210 when compared with ET derived from measured soil moisture through
the soil water balance. These results are good considering the prevailing
advective conditions in the THP. METRIC have the potential to be used for mapping
regional ET in the THP region. However, more evaluation is needed under different
agroclimatological conditions.
PMID- 27873811
TI - Diagnosis of Intoxication by the Organophosphate VX: Comparison Between an
Electrochemical Sensor and Ellman's Photometric Method.
AB - An electrochemical sensor is introduced as a tool applicable for diagnosis of
intoxication by cholinesterase inhibitors caused by the well-known nerve agent
VX. The traditional Ellman method was chosen for comparison with the sensor's
analytical parameters. Both methods are based on estimation of blood
cholinesterase inhibition as a marker of intoxication. While Ellman's method
provided a limit of detection of 5.2'10-7 M for blood containing VX, the
electrochemical sensor was able to detect 4.0'10-7 M. Good correlation between
both methods was observed (R = 0.92). The electrochemical sensor could be
considered a convenient tool for a fast yet accurate method, easily available for
field as well as laboratory use. Time and cost savings are key features of the
sensor-based assay.
PMID- 27873812
TI - Retrieval Algorithms for Road Surface Modelling Using Laser-Based Mobile Mapping.
AB - Automated processing of the data provided by a laser-based mobile mapping system
will be a necessity due to the huge amount of data produced. In the future,
vehiclebased laser scanning, here called mobile mapping, should see considerable
use for road environment modelling. Since the geometry of the scanning and point
density is different from airborne laser scanning, new algorithms are needed for
information extraction. In this paper, we propose automatic methods for
classifying the road marking and kerbstone points and modelling the road surface
as a triangulated irregular network. On the basis of experimental tests, the mean
classification accuracies obtained using automatic method for lines, zebra
crossings and kerbstones were 80.6%, 92.3% and 79.7%, respectively.
PMID- 27873810
TI - Mesoporous Silicate Materials in Sensing.
AB - Mesoporous silicas, especially those exhibiting ordered pore systems and uniform
pore diameters, have shown great potential for sensing applications in recent
years. Morphological control grants them versatility in the method of deployment
whether as bulk powders, monoliths, thin films, or embedded in coatings. High
surface areas and pore sizes greater than 2 nm make them effective as adsorbent
coatings for humidity sensors. The pore networks also provide the potential for
immobilization of enzymes within the materials. Functionalization of materials by
silane grafting or through cocondensation of silicate precursors can be used to
provide mesoporous materials with a variety of fluorescent probes as well as
surface properties that aid in selective detection of specific analytes. This
review will illustrate how mesoporous silicas have been applied to sensing
changes in relative humidity, changes in pH, metal cations, toxic industrial
compounds, volatile organic compounds, small molecules and ions, nitroenergetic
compounds, and biologically relevant molecules.
PMID- 27873814
TI - Deriving Vegetation Dynamics of Natural Terrestrial Ecosystems from MODIS
NDVI/EVI Data over Turkey.
AB - The 16-day composite MODIS vegetation indices (VIs) at 500-m resolution for the
period between 2000 to 2007 were seasonally averaged on the basis of the
estimated distribution of 16 potential natural terrestrial ecosystems (NTEs)
across Turkey. Graphical and statistical analyses of the time-series VIs for the
NTEs spatially disaggregated in terms of biogeoclimate zones and land cover types
included descriptive statistics, correlations, discrete Fourier transform (DFT),
time-series decomposition, and simple linear regression (SLR) models. Our spatio
temporal analyses revealed that both MODIS VIs, on average, depicted similar
seasonal variations for the NTEs, with the NDVI values having higher mean and SD
values. The seasonal VIs were most correlated in decreasing order for:
barren/sparsely vegetated land > grassland > shrubland/woodland > forest;
(sub)nival > warm temperate > alpine > cool temperate > boreal = Mediterranean;
and summer > spring > autumn > winter. Most pronounced differences between the
MODIS VI responses over Turkey occurred in boreal and Mediterranean climate zones
and forests, and in winter (the senescence phase of the growing season). Our
results showed the potential of the time-series MODIS VI datasets in the
estimation and monitoring of seasonal and interannual ecosystem dynamics over
Turkey that needs to be further improved and refined through systematic and
extensive field measurements and validations across various biomes.
PMID- 27873813
TI - Sodium Gill Potential as a Tool to Monitor Valve Closure Behavior in Freshwater
Clam Corbicula fluminea in Response to Copper.
AB - Valve closure behavior in freshwater clam Corbicula fluminea is a biologically
sensitive endpoint. The purpose of this paper was to derive an
electrophysiological response model of C. fluminea to assess copper (Cu)-sodium
(Na) interactions in gill membrane, whereby valve closure behavior and Cu
toxicity could be monitored. The proposed model was based on the integration of
Cu bioavailability, Na and Cu internalizations, and electrochemically-based gill
potentials. Based on Na active transport under non-equilibrium conditions,
predicted gill potential of -8.2 mV agreed reasonably well with published the
measured transepithelial potential of -7 mV in C. fluminea. Our proposed
framework captured the general features observed in model applications including:
(i) 50% inhibitory Cu2+ activities for Na membrane potential (ENa) and uptake
rate (JNa) were estimated to be 0.072 and 0.043 mM, respectively, with a
stoichiometry of 3Cu2+: 1ENa and 1JNa; (ii) the external Cu2+-dependent internal
Na concentration could be parsimoniously estimated, and (iii) the site-specific
clam gill potentials could be monitored. Here we provided a new approach to
monitor waterborne metal toxicity to reduce the nationwide economic losses due to
bans on harvesting of contaminated clam and the potential risks to the health of
clams.
PMID- 27873815
TI - Amperometric Biosensors for Real Time Assays of Organophosphates.
AB - An amperometric biosensor based on acetylcholinesterase (AChE) immobilized in
gelatin was used to develop an assay for the organophosphate paraoxon. The more
traditional manner employing preincubation was used for comparison between
measurement procedures, although the aim of the study was to examine the
performance of the biosensor for real time monitoring of organophosphates. The
biosensor was immersed in a reaction chamber and paraoxon was injected inside. We
were able to detect 200 pg of paraoxon within one minute or 2.5 ppb when the
biosensor was preincubed in the sample solution for 15 minutes. The practical
impact and expectations are discussed.
PMID- 27873816
TI - Extended Calix[4]arene-Based Receptors for Molecular Recognition and Sensing.
AB - Recent advances in the area of recognition and sensing have shown that artificial
receptors derived from extended calix[4]arenes bearing multiple pi-conjugated
fluorophoric or chromophoric systems have found useful to enhance binding
affinity, selectivity and sensitivity for recognition and sensing of a targeted
ion or molecule. A comprehensive review of various pi-conjugation-extended
calyx[4]arene-based receptors with the highlight on the design and binding
characterization for recognition and sensing is presented.
PMID- 27873817
TI - Monolithic Active Pixel Sensors (MAPS) in a Quadruple Well Technology for Nearly
100% Fill Factor and Full CMOS Pixels.
AB - In this paper we present a novel, quadruple well process developed in a modern
0.18 mm CMOS technology called INMAPS. On top of the standard process, we have
added a deep P implant that can be used to form a deep P-well and provide
screening of N-wells from the P-doped epitaxial layer. This prevents the
collection of radiation-induced charge by unrelated N-wells, typically ones where
PMOS transistors are integrated. The design of a sensor specifically tailored to
a particle physics experiment is presented, where each 50 mm pixel has over 150
PMOS and NMOS transistors. The sensor has been fabricated in the INMAPS process
and first experimental evidence of the effectiveness of this process on charge
collection is presented, showing a significant improvement in efficiency.
PMID- 27873818
TI - Neuromorphic VLSI Models of Selective Attention: From Single Chip Vision Sensors
to Multi-chip Systems.
AB - Biological organisms perform complex selective attention operations continuously
and effortlessly. These operations allow them to quickly determine the motor
actions to take in response to combinations of external stimuli and internal
states, and to pay attention to subsets of sensory inputs suppressing non salient
ones. Selective attention strategies are extremely effective in both natural and
artificial systems which have to cope with large amounts of input data and have
limited computational resources. One of the main computational primitives used to
perform these selection operations is the Winner-Take-All (WTA) network. These
types of networks are formed by arrays of coupled computational nodes that
selectively amplify the strongest input signals, and suppress the weaker ones.
Neuromorphic circuits are an optimal medium for constructing WTA networks and for
implementing efficient hardware models of selective attention systems. In this
paper we present an overview of selective attention systems based on neuromorphic
WTA circuits ranging from single-chip vision sensors for selecting and tracking
the position of salient features, to multi-chip systems implement saliency-map
based models of selective attention.
PMID- 27873819
TI - Verifying Pressure of Water on Dams, a Case Study.
AB - Sensing and monitoring deformation pattern of dams is often one of the most
effective ways to understand their safety status. The main objective of the
present study is to find the extent to which rising reservoir level affects the
mechanism of deformation of the Yamula dam under certain changes in the reservoir
level conditions during the first filling period. A new dynamic deformation
analysis technique was developed to analyze four geodetic monitoring records
consisting of vertical and horizontal displacements of nine object points
established on the dam and six reference points surrounding it, to see whether
the rising reservoir level is responsible for the vertical and horizontal
deformations during the first filling period. The largest displacements were
determined in the middle points of the dam construction. There is an apparent
linear relationship between the dam subsidence and the reservoir level. The
dynamic deformation model was developed to model this situation. The model infers
a causative relationship between the reservoir level and the dam deformations.
The analysis of the results determines the degree of the correlation between the
change in the reservoir level and the observed structural deformation of the dam.
PMID- 27873820
TI - Drift and Hysteresis Characteristics of Drug Sensors Based on Ruthenium Dioxide
Membrane.
AB - The drug sensing properties of procaine and berberine drug sensors based on
ruthenium dioxide thin film were investigated. Ruthenium dioxide (RuO2) membrane
prepared using a sputtering method was used as substrates for the drug sensors.
The procaine and berberine drug sensors were prepared using a drug-sensitive
membrane that measured the procaine and berberine concentration in a linear range
from 1*10-2 M to 1*10-6 M and from 1*10-2 M to 1*10-7 M, respectively. The drift
rates and hyteresis widths of these ruthenium dioxide based drug sensors were
also investigated.
PMID- 27873821
TI - Long-term Satellite NDVI Data Sets: Evaluating Their Ability to Detect Ecosystem
Functional Changes in South America.
AB - In the last decades, South American ecosystems underwent important functional
modifications due to climate alterations and direct human intervention on land
use and land cover. Among remotely sensed data sets, NOAA-AVHRR "Normalized
Difference Vegetation Index" (NDVI) represents one of the most powerful tools to
evaluate these changes thanks to their extended temporal coverage. In this paper
we explored the possibilities and limitations of three commonly used NOAA-AVHRR
NDVI series (PAL, GIMMS and FASIR) to detect ecosystem functional changes in the
South American continent. We performed pixel-based linear regressions for four
NDVI variables (average annual, maximum annual, minimum annual and intra-annual
coefficient of variation) for the 1982-1999 period and (1) analyzed the
convergences and divergences of significant multi-annual trends identified across
all series, (2) explored the degree of aggregation of the trends using the O-ring
statistic, and (3) evaluated observed trends using independent information on
ecosystem functional changes in five focal regions. Several differences arose in
terms of the patterns of change (the sign, localization and total number of
pixels with changes). FASIR presented the highest proportion of changing pixels
(32.7%) and GIMMS the lowest (16.2%). PAL and FASIR data sets showed the highest
agreement, with a convergence of detected trends on 71.2% of the pixels. Even
though positive and negative changes showed substantial spatial aggregation,
important differences in the scale of aggregation emerged among the series, with
GIMMS showing the smaller scale (<=11 pixels). The independent evaluations
suggest higher accuracy in the detection of ecosystem changes among PAL and FASIR
series than with GIMMS, as they detected trends that match expected shifts. In
fact, this last series eliminated most of the long term patterns over the
continent. For example, in the "Eastern Paraguay" and "Uruguay River margins"
focal regions, the extensive changes due to land use and land cover change
expansion were detected by PAL and FASIR, but completely ignored by GIMMS.
Although the technical explanation of the differences remains unclear and needs
further exploration, we found that the evaluation of this type of remote sensing
tools should not only be focused at the level of assumptions (i.e. physical or
mathematical aspects of image processing), but also at the level of results (i.e.
contrasting observed patterns with independent proofs of change). We finally
present the online collaborative initiative "Land ecosystem change utility for
South America", which facilitates this type of evaluations and helps to identify
the most important functional changes of the continent.
PMID- 27873822
TI - Atmospheric Effects on InSAR Measurements and Their Mitigation.
AB - Interferometric Synthetic Aperture Radar (InSAR) is a powerful technology for
observing the Earth surface, especially for mapping the Earth's topography and
deformations. InSAR measurements are however often significantly affected by the
atmosphere as the radar signals propagate through the atmosphere whose state
varies both in space and in time. Great efforts have been made in recent years to
better understand the properties of the atmospheric effects and to develop
methods for mitigating the effects. This paper provides a systematic review of
the work carried out in this area. The basic principles of atmospheric effects on
repeat-pass InSAR are first introduced. The studies on the properties of the
atmospheric effects, including the magnitudes of the effects determined in the
various parts of the world, the spectra of the atmospheric effects, the isotropic
properties and the statistical distributions of the effects, are then discussed.
The various methods developed for mitigating the atmospheric effects are then
reviewed, including the methods that are based on PSInSAR processing, the methods
that are based on interferogram modeling, and those that are based on external
data such as GPS observations, ground meteorological data, and satellite data
including those from the MODIS and MERIS. Two examples that use MODIS and MERIS
data respectively to calibrate atmospheric effects on InSAR are also given.
PMID- 27873823
TI - Analyzing Land Use Changes in the Metropolitan Jilin City of Northeastern China
Using Remote Sensing and GIS.
AB - Remote sensing and GIS have been widely employed to study temporal and spatial
urban land use changes in southern and southeastern China. However, few studies
have been conducted in northeastern regions. This study analyzed land use change
and spatial patterns of urban expansion in the metropolitan area of Jilin City,
located on the extension of Changbai Mountain, based on aerial photos from 1989
and 2005 Spot images. The results indicated that urban land and transportation
land increased dramatically (by 94.04% and 211.20%, respectively); isolated
industrial and mining land decreased moderately (by 29.54%); rural residential
land increased moderately (by 26.48%); dry land and paddy fields increased
slightly (by 15.68% and 11.78%, respectively); forest and orchards decreased
slightly (by 5.27% and 4.61%, respectively); grasslands and unused land decreased
dramatically (by 99.12% and 86.04%, respectively). Sloped dry land (more than 4
degrees) was mainly distributed on the land below 10 degrees with an east,
southeastern and south sunny direction aspect, and most sloped dry land
transformed to forest was located on an east aspect lower than 12 degrees, while
forest changed to dry land were mainly distributed on east and south aspects
lower than 10 degrees. A spatial dependency analysis of land use change showed
that the increased urban land was a logarithmic function of distance to the
Songhua River. This study also provided some data with spatial details about the
uneven land development in the upstream areas of Songhua River basin.
PMID- 27873825
TI - Impact of Soil Moisture Dynamics on ASAR sigmao Signatures and Its Spatial
Variability Observed over the Tibetan Plateau.
AB - This paper reports on the analysis of a 2.5 year-long time series of ASAR wide
swath mode (WSM) observations for characterizing the soil moisture dynamics. The
employed ASAR WSM data set consists of 152 VV-polarized scenes acquired in the
period between April 2005 and September 2007 over the Naqu river basin located on
the Tibetan Plateau. For four different spatial domains, with areas of 30x30 km2,
5x5 km2 and (two domains of) 1x1 km2, the mean backscatter (sigmao) and the
standard deviation (stdev) have been computed for each ASAR acquisition.
Comparison of the mean sigmao values with the stdev values results in a specific
triangular distribution of data points for all spatial domains. Analysis of the
mean sigmao and stdev with respect to in-situ soil moisture measurements
demonstrates that this triangular shaped distribution can be explained by soil
moisture dynamics during monsoon and winter periods. This shows that the
relationship between the spatial mean soil moisture and variability is not
uniquely defined and may change throughout seasons. Downscaling of coarse
resolution soil moisture products should, therefore, be ideally based on
additional near real time data sources. In this context, the presented results
could form a basis for the development of SAR-based soil moisture downscaling
methodologies.
PMID- 27873824
TI - Mid-Infrared Tunable Resonant Cavity Enhanced Detectors.
AB - Mid-infrared detectors that are sensitive only in a tunable narrow spectral band
are presented. They are based on the Resonant Cavity Enhanced Detector (RCED)
principle and employing a thin active region using IV-VI narrow gap semiconductor
layers. A Fabry-Perot cavity is formed by two mirrors. The active layer is grown
onto one mirror, while the second mirror can be displaced. This changes the
cavity length thus shifting the resonances where the detector is sensitive. Using
electrostatically actuated MEMS micromirrors, a very compact tunable detector
system has been fabricated. Mirror movements of more than 3 MUm at 30V are
obtained. With these mirrors, detectors with a wavelength tuning range of about
0.7 MUm have been realized. Single detectors can be used in mid-infrared micro
spectrometers, while a detector arrangement in an array makes it possible to
realize Adaptive Focal Plane Arrays (AFPA).
PMID- 27873826
TI - An Amperometric Biosensor for Uric Acid Determination Prepared From Uricase
Immobilized in Polyaniline-Polypyrrole Film.
AB - A new amperometric uric acid biosensor was developed by immobilizing uricase by a
glutaraldehyde crosslinking procedure on polyaniline-polypyrrole (pani-ppy)
composite film on the surface of a platinum electrode. Determination of uric acid
was performed by the oxidation of enzymatically generated H2O2 at 0.4 V vs.
Ag/AgCl. The linear working range of the biosensor was 2.5*10-6 - 8.5*10-5 M and
the response time was about 70 s. The effects of pH, temperature were
investigated and optimum parameters were found to be 9.0, 55 oC, respectively.
The stability and reproducibility of the enzyme electrode have been also studied.
PMID- 27873827
TI - Integrated Design and Implementation of Embedded Control Systems with Scilab.
AB - Embedded systems are playing an increasingly important role in control
engineering. Despite their popularity, embedded systems are generally subject to
resource constraints and it is therefore difficult to build complex control
systems on embedded platforms. Traditionally, the design and implementation of
control systems are often separated, which causes the development of embedded
control systems to be highly timeconsuming and costly. To address these problems,
this paper presents a low-cost, reusable, reconfigurable platform that enables
integrated design and implementation of embedded control systems. To minimize the
cost, free and open source software packages such as Linux and Scilab are used.
Scilab is ported to the embedded ARM-Linux system. The drivers for interfacing
Scilab with several communication protocols including serial, Ethernet, and
Modbus are developed. Experiments are conducted to test the developed embedded
platform. The use of Scilab enables implementation of complex control algorithms
on embedded platforms. With the developed platform, it is possible to perform all
phases of the development cycle of embedded control systems in a unified
environment, thus facilitating the reduction of development time and cost.
PMID- 27873828
TI - A Role for Adenosine A1 Receptors in GABA and NMDA-Receptor Mediated Modulation
of Dopamine Release: Studies Using Fast Cyclic Voltammetry.
AB - In the striatum many neurotransmitters including GABA, glutamate, acetylcholine,
dopamine, nitric oxide and adenosine interact to regulate synaptic transmission.
Dopamine release in the striatum is regulated by a number of pre- and
postsynaptic receptors including adenosine. We have recently shown using isolated
rat striatal slices, and the technique of fast cyclic voltammetry, that adenosine
A1 receptor-mediated inhibition of dopamine release is modulated by dopamine D1
receptors. In the present study we have investigated the influence of NMDA and
GABA receptor activation on the modulation of electrically stimulated dopamine
release by adenosine. Application of the adenosine A1 receptor agonist, N6
cyclopentyladenosine (CPA), concentration-dependently inhibited dopamine release
to a maxiumum of 50%. Perfusion of the glutamate receptor agonist, NMDA, in low
magnesium, caused a rapid and concentration-dependent inhibition of dopamine
release. Prior perfusion with the adenosine A1 receptor antagonist, DPCPX,
significantly reduced the effect of 5 mM and 10 mM NMDA on dopamine release. The
GABAA receptor agonist, isoguvacine, had a significant concentration-dependent
inhibitory effect on dopamine release which was reversed by prior application of
the GABAA receptor antagonist, picrotoxin, but not DPCPX. Finally inhibition of
dopamine release by CPA (1mM) was significantly enhanced by prior perfusion with
picrotoxin. These data demonstrate an important role for GABA, NMDA and adenosine
in the modulation of dopamine release.
PMID- 27873830
TI - The Graphical Cadastre Problem in Turkey: The Case of Trabzon Province.
AB - Cadastral projects in Turkey have been accelerated in recent years by the
involvement of the private sector. These projects aim at completing the country's
cadastre, along with producing bases in standards that could be a foundation for
Land Registry and Cadastre Information System (LRCIS). It is possible to produce
cadastral data with today's technological means. In this context, three
dimensional cadastre data can be properly produced, especially in digital
cadastre projects with the required point accuracy. Nevertheless this is not
enough for LRCIS. The cadastre bases that have been produced so far by different
methods with different scales and bases, with or without coordinates, should also
be converted into digital form based on National Basic GPS Network of Turkey
(NBGN) in required point-location accuracy. As the result of evaluation of
graphical cadastre bases produced without coordinates, actual land measurements,
and information obtained from sheets and field book data together, it was found
out that there are significant base problems in the graphical maps. These bases,
comprising 20% of Turkey's cadastre constitutes the most important bottleneck of
completing the country's cadastre. In the scope of this paper, the possibilities
of converting the field book measurement values of graphic cadastre bases into
digital forms in national coordinate system by comparing them with actual land
measurements are investigated, along with Turkey's Cadastre and its problems.
PMID- 27873831
TI - Quantitative Hyperspectral Reflectance Imaging.
AB - Hyperspectral imaging is a non-destructive optical analysis technique that can
for instance be used to obtain information from cultural heritage objects
unavailable with conventional colour or multi-spectral photography. This
technique can be used to distinguish and recognize materials, to enhance the
visibility of faint or obscured features, to detect signs of degradation and
study the effect of environmental conditions on the object. We describe the basic
concept, working principles, construction and performance of a laboratory
instrument specifically developed for the analysis of historical documents. The
instrument measures calibrated spectral reflectance images at 70 wavelengths
ranging from 365 to 1100 nm (near-ultraviolet, visible and near-infrared). By
using a wavelength tunable narrow-bandwidth light-source, the light energy used
to illuminate the measured object is minimal, so that any light-induced
degradation can be excluded. Basic analysis of the hyperspectral data includes a
qualitative comparison of the spectral images and the extraction of quantitative
data such as mean spectral reflectance curves and statistical information from
user-defined regions-of-interest. More sophisticated mathematical feature
extraction and classification techniques can be used to map areas on the
document, where different types of ink had been applied or where one ink shows
various degrees of degradation. The developed quantitative hyperspectral imager
is currently in use by the Nationaal Archief (National Archives of The
Netherlands) to study degradation effects of artificial samples and original
documents, exposed in their permanent exhibition area or stored in their deposit
rooms.
PMID- 27873829
TI - Over-the-Counter Biosensors: Past, Present, and Future.
AB - The demand for specific, low cost, rapid, sensitive and easy detection of
biomolecules is huge. A well-known example is the glucose meters used by
diabetics to monitor their blood glucose levels. Nowadays, a vast majority of the
glucose meters are based on electrochemical biosensor technology. The inherent
small size and simple construction of the electrochemical transducer and
instrument are ideally suited for pointof-care biosensing. Besides glucose, a
wide variety of electrochemical biosensors have been developed for the
measurements of some other key metabolites, proteins, and nucleic acids.
Nevertheless, unlike the glucose meters, limited success has been achieved for
the commercialization of the protein and nucleic acid biosensors. In this review
article, key technologies on the electrochemical detection of key metabolites,
proteins, and DNAs are discussed in detail, with particular emphasis on those
that are compatible to home-use setting. Moreover, emerging technologies of lab
on-a-chip microdevices and nanosensors (i.e., silicon and carbon nanotube field
effect sensors) offer opportunities for the construction of new generation
biosensors with much better performances. Together with the continuous
innovations in the basic components of biosensors (i.e., transducers,
biorecognition molecules, immobilization and signal transduction schemes),
consumers could soon buy different kinds of biosensing devices in the pharmacy
stores.
PMID- 27873833
TI - Immobilization of the Enzyme Glucose Oxidase on Both Bulk and Porous SiO2
Surfaces.
AB - Silicon dioxide surfaces, both bulk and porous, were used to anchor the enzyme
glucose oxidase. The immobilization protocol was optimized and the samples
characterized using X-ray Photoelectron Spectroscopy, Energy Dispersive X-rays
coupled to scanning electron microscopy and enzymatic activity measurements. We
show that a uniform layer was obtained by activating the oxide before
immobilization. X-ray Photoelectron Spectroscopy measurements carried out on bulk
oxide showed that the silicon substrate signal was fully screened after the
enzyme deposition showing the absence of uncovered surface regions. The enzyme
presence was detected monitoring both the C 1s and N 1s signals. Finally,
enzymatic activity measurements confirmed that the glucose oxidase activity was
preserved after immobilization and maintained after three months of shelf life if
the sample was properly stored. The importance of using porous silicon oxide to
maximize the surface area was also evidenced.
PMID- 27873832
TI - Amperometric Sensor for Detection of Chloride Ions.
AB - Chloride ion sensing is important in many fields such as clinical diagnosis,
environmental monitoring and industrial applications. We have measured chloride
ions at a carbon paste electrode (CPE) and at a CPE modified with solid AgNO3, a
solution of AgNO3 and/or solid silver particles. Detection limits (3 S/N) for
chloride ions were 100 MUM, 100 MUM and 10 MUM for solid AgNO3, solution of AgNO3
and/or solid silver particles, respectively. The CPE modified with silver
particles is the most sensitive to the presence chloride ions. After that we
approached to the miniaturization of the whole electrochemical instrument.
Measurements were carried out on miniaturized instrument consisting of a
potentiostat with dimensions 35 * 166 * 125 mm, screen printed electrodes, a
peristaltic pump and a PC with control software. Under the most suitable
experimental conditions (Britton-Robinson buffer, pH 1.8 and working electrode
potential 550 mV) we estimated the limit of detection (3 S/N) as 500 nM.
PMID- 27873834
TI - Electrochemical Behaviors of Methylene Blue on DNA Modified Electrode and Its
Application to the Detection of PCR Product from NOS Sequence.
AB - An electrochemical DNA biosensor for the detection of NOS gene sequences from
genetically modified organisms (GMOs) is presented in this paper. Single-stranded
DNA (ssDNA) was covalently attached through the carboxylate ester formed by the
3'- hydroxy end of the DNA with the carboxyl of a mercaptoacetic acid self
assembled monolayer-modified gold electrode using N-hydroxysuccinimide (NHS) and
N-(3-dimethylaminopropyl)-N'-ethylcarbodiimide hydrochloride (EDC) as linkers.
The electrochemical behavior of methylene blue (MB) on the ssDNA and dsDNA
modified gold electrode were carefully studied. Compared with ssDNA/Au electrode,
an increase of redox peak current of MB on dsDNA/Au electrode was found, which
could be further used for monitoring the recognition of DNA hybridization. Based
on this result, the polymerase chain reaction (PCR) product of the common inserts
NOS terminator from real GMOs samples was detected successfully.
PMID- 27873835
TI - An Immunosensing System Using Stilbene Glycoside as a Fluorogenic Substrate for
an Enzymatic Reaction Model.
AB - A natural product, stilbene glycoside (2,3,5,4'-tetrahydroxydiphenylethylene-2-O
glucoside, TBG), has been evaluated for the first time as a potential substrate
for horseradish peroxidase (HRP)-catalyzed fluorogenic reactions. The properties
of TBG as a fluorogenic substrate for HRP and its application in a fluorometric
enzyme-linked immunosensing system were compared with commercially available
substrates such as p-hydroxyphenylpropionic acid (pHPPA), chavicol and Amplex red
using Brucella melitensis antibody (BrAb) as a model analyte. The immunosensing
body based on HRP-BrAb was constructed by dispersing graphite, BrAg and paraffin
wax at room temperature. In a competitive immunoassay procedure, the BrAb
competed with HRP-BrAb to react with the immobilized BrAg. In the enzymatic
reaction, the binding HRP-BrAb on the sensing body surface can catalyze the
polymerization reaction of TBG by H2O2 forming fluorescent dimers and causing an
increase in fluorescence intensity. TBG showed comparable ability for HRP
detection and its enzyme-linked immunosensing reaction system, in a linear
detection ranging of 3.5'10-8~7.6'10-6g/L and with a detection limit of 1.7'10-9
g/L. The immobilized biocomposite surface could be regenerated with excellent
reproducibility (RSD=3.8%) by simply polishing with an alumina paper. The
proposed immunosensing system has been used to determine the BrAb in rabbit serum
samples with satisfactory results.
PMID- 27873837
TI - Value Benefit Analysis Software and Its Application in Bolu-Lake Abant Natural
Park.
AB - Value benefit analysis (VBA) is a psychometric instrument for finding the best
compromise in forestry multiple-use planning, when the multiple objectives cannot
be expressed in the same physical or monetary unit. It insures a systematic
assessment of the consequences of proposed alternatives and thoroughly documents
the decision process. The method leads to a ranking of alternatives based upon
weighting of the objectives and evaluation of the contribution of each
alternative to these objectives. The use of the method is illustrated with
hypothetical data about Bolu-Lake Abant Natural Park (BLANP). In this study, in
addition, computer software controlling the confidence was created. This software
puts into practice the method proposed by Churchman and Ackoff, and determines
the significance of the alternatives quickly and accurately.
PMID- 27873836
TI - Thermographic Phosphors for High Temperature Measurements: Principles, Current
State of the Art and Recent Applications.
AB - This paper reviews the state of phosphor thermometry, focusing on developments in
the past 15 years. The fundamental principles and theory are presented, and the
various spectral and temporal modes, including the lifetime decay, rise time and
intensity ratio, are discussed. The entire phosphor measurement system, including
relative advantages to conventional methods, choice of phosphors, bonding
techniques, excitation sources and emission detection, is reviewed. Special
attention is given to issues that may arise at high temperatures. A number of
recent developments and applications are surveyed, with examples including:
measurements in engines, hypersonic wind tunnel experiments, pyrolysis studies
and droplet/spray/gas temperature determination. They show the technique is
flexible and successful in measuring temperatures where conventional methods may
prove to be unsuitable.
PMID- 27873838
TI - Electrical Characterization of Microelectromechanical Silicon Carbide Resonators.
AB - This manuscript describes the findings of a study to investigate the performance
of SiC MEMS resonators with respect to resonant frequency and quality factor
under a variety of testing conditions, including various ambient pressures, AC
drive voltages, bias potentials and temperatures. The sample set included both
single-crystal and polycrystalline 3C-SiC lateral resonators. The experimental
results show that operation at reduced pressures increases the resonant frequency
as damping due to the gas-rarefaction effect becomes significant. Both DC bias
and AC drive voltages result in nonlinearities, but the AC drive voltage is more
sensitive to noise. The AC voltage has a voltage coefficient of 1~4ppm/V at a DC
bias of 40V. The coefficient of DC bias is about -11ppm/V to - 21ppm/V for poly
SiC, which is more than a factor of two better than a similarly designed
polysilicon resonator (-54 ppm/V). The effective stiffness of the resonator
decreases (softens) as the bias potential is increased, but increases (hardens)
as drive voltage increase when scan is from low to high frequency. The resonant
frequency decreases slightly with increasing temperature, exhibiting a
temperature coefficient of -22 ppm/oC, between 22oC and 60oC. The thermal
expansion mismatch between the SiC device and the Si substrate could be a reason
that thermal coefficient for these SiC resonators is about twofold higher than
similar polysilicon resonators. However, the Qs appear to exhibit no temperature
dependence in this range.
PMID- 27873839
TI - Use of Fe3O4 Nanoparticles for Enhancement of Biosensor Response to the Herbicide
2,4-Dichlorophenoxyacetic Acid.
AB - Magnetic nanoparticles of Fe3O4 were synthesized and characterized using
transmission electron microscopy and X-ray diffraction. The Fe3O4 nanoparticles
were found to have an average diameter of 5.48 +/-1.37 nm. An electrochemical
biosensor based on immobilized alkaline phosphatase (ALP) and Fe3O4 nanoparticles
was studied. The amperometric biosensor was based on the reaction of ALP with the
substrate ascorbic acid 2-phosphate (AA2P). The incorporation of the Fe3O4
nanoparticles together with ALP into a sol gel/chitosan biosensor membrane has
led to the enhancement of the biosensor response, with an improved linear
response range to the substrate AA2P (5-120 MUM) and increased sensitivity. Using
the inhibition property of the ALP, the biosensor was applied to the
determination of the herbicide 2,4-dichlorophenoxyacetic acid (2,4-D). The use of
Fe3O4 nanoparticles gives a two-fold improvement in the sensitivity towards 2,4
D, with a linear response range of 0.5-30 MUgL-1. Exposure of the biosensor to
other toxicants such as heavy metals demonstrated only slight interference from
metals such as Hg2+, Cu2+, Ag2+ and Pb2+. The biosensor was shown to be useful
for the determination of the herbicide 2, 4-D because good recovery of 95-100
percent was obtained, even though the analysis was performed in water samples
with a complex matrix. Furthermore, the results from the analysis of 2,4-D in
water samples using the biosensor correlated well with a HPLC method.
PMID- 27873840
TI - Electrochemical Preparation of a Molecularly Imprinted Polypyrrole-modified
Pencil Graphite Electrode for Determination of Ascorbic Acid.
AB - A molecularly imprinted polymer (MIP) polypyrrole (PPy)-based film was fabricated
for the determination of ascorbic acid. The film was prepared by incorporation of
a template molecule (ascorbic acid) during the electropolymerization of pyrrole
onto a pencil graphite electrode (PGE) in aqueous solution using a cyclic
voltammetry method. The performance of the imprinted and non-imprinted (NIP)
films was evaluated by differential pulse voltammetry (DPV). The effect of pH,
monomer and template concentrations, electropolymerization cycles and
interferents on the performance of the MIP electrode was investigated and
optimized. The molecularly imprinted film exhibited a high selectivity and
sensitivity toward ascorbic acid. The DPV peak current showed a linear dependence
on the ascorbic acid concentration and a linear calibration curve was obtained in
the range of 0.25 to 7.0 mM of ascorbic acid with a correlation coefficient of
0.9946. The detection limit (3sigma) was determined as 7.4x10-5 M (S/N=3). The
molecularly-imprinted polypyrrole-modified pencil graphite electrode showed a
stable and reproducible response, without any influence of interferents commonly
existing in pharmaceutical samples. The proposed method is simple and quick. The
PPy electrodes have a low response time, good mechanical stability and are
disposable simple to construct.
PMID- 27873841
TI - Voltammetric Detection of Urea on an Ag-Modified Zeolite-Expanded Graphite-Epoxy
Composite Electrode.
AB - In this paper, a modified expanded graphite composite electrode based on natural
zeolitic volcanic tuff modified with silver (EG-Ag-Z-Epoxy) was developed. Cyclic
voltammetry measurements revealed a reasonably fast electron transfer and a good
stability of the electrode in 0.1 M NaOH supporting electrolyte. This modified
electrode exhibited moderate electrocatalytic effect towards urea oxidation,
allowing its determination in aqueous solution. The linear dependence of the
current versus urea concentration was reached using square-wave voltammetry in
the concentrations range of urea between 0.2 to 1.4 mM, with a relatively low
limit of detection of 0.05 mM. A moderate enhancement of electroanalytical
sensitivity for the determination of urea at EG-Ag-Z-Epoxy electrode was reached
by applying a chemical preconcentration step prior to voltammetric/amperometric
quantification.
PMID- 27873842
TI - Remote Water Temperature Measurements Based on Brillouin Scattering with a
Frequency Doubled Pulsed Yb:doped Fiber Amplifier.
AB - Temperature profiles of the ocean are of interest for weather forecasts, climate
studies and oceanography in general. Currently, mostly in situ techniques such as
fixed buoys or bathythermographs deliver oceanic temperature profiles. A LIDAR
method based on Brillouin scattering is an attractive alternative for remote
sensing of such water temperature profiles. It makes it possible to deliver cost
effective on-line data covering an extended region of the ocean. The temperature
measurement is based on spontaneous Brillouin scattering in water. In this
contribution, we present the first water temperature measurements using a
Yb:doped pulsed fiber amplifier. The fiber amplifier is a custom designed device
which can be operated in a vibrational environment while emitting narrow
bandwidth laser pulses. The device shows promising performance and demonstrates
the feasibility of this approach. Furthermore, the current status of the receiver
is briefly discussed; it is based on an excited state Faraday anomalous
dispersion optical filter.
PMID- 27873843
TI - A Voltammetric Biosensor Based on Glassy Carbon Electrodes Modified with Single
Walled Carbon Nanotubes/Hemoglobin for Detection of Acrylamide in Water Extracts
from Potato Crisps.
AB - The presence of toxic acrylamide in a wide range of food products such as potato
crisps, French fries or bread has been confirmed by Swedish scientists from
Stockholm University. The neurotoxicity, possible carcinogenicity of this
compound and its metabolites compels us to control them by quantitative and
qualitative assays. Acrylamide forms adduct with hemoglobin (Hb) as a result of
the reaction the -NH2 group of the Nterminal valine with acrylamide. In this work
we present the use of glassy carbon electrodes coated with single-walled carbon
nanotubes (SWCNTs) and Hb for voltammetric detection of acrylamide in water
solutions. The electrodes presented a very low detection limit (1.0*10-9 M). The
validation made in the matrix obtained by water extraction of potato crisps
showed that the electrodes presented are suitable for the direct determination of
acrylamide in food samples.
PMID- 27873844
TI - Fabrication of Pillar Shaped Electrode Arrays for Artificial Retinal Implants.
AB - Polyimide has been widely applied to neural prosthetic devices, such as the
retinal implants, due to its well-known biocompatibility and ability to be
micropatterned. However, planar films of polyimide that are typically employed
show a limited ability in reducing the distance between electrodes and targeting
cell layers, which limits site resolution for effective multi-channel
stimulation. In this paper, we report a newly designed device with a pillar
structure that more effectively interfaces with the target. Electrode arrays were
successfully fabricated and safely implanted inside the rabbit eye in
suprachoroidal space. Optical Coherence Tomography (OCT) showed well-preserved
pillar structures of the electrode without damage. Bipolar stimulation was
applied through paired sites (6:1) and the neural responses were successfully
recorded from several regions in the visual cortex. Electrically evoked cortical
potential by the pillar electrode array stimulation were compared to visual
evoked potential under full-field light stimulation.
PMID- 27873845
TI - Determination of the Content of Heavy Metals in Pyrite Contaminated Soil and
Plants.
AB - Determination of a pyrite contaminated soil texture, content of heavy metals in
the soil and soil pH, was the aim in the investigation. Acidification of damaged
soil was corrected by calcium carbonate. Mineral nutrients and organic matter
(NPK, dung, earthworm cast, straw and coal dust) were added to damaged soil.
Afterwards, the soil was used for oat production. Determination of total heavy
metal contents (Cu, Pb, Zn, Fe) in soil was performed by atomic absorption
spectrofotometry. Plant material (stems, seeds ) was analysed, too. Total
concentration of the heavy metals in the plant material were greater than in crop
obtained in unaffected soil.
PMID- 27873846
TI - A New Approach for Structural Monitoring of Large Dams with a Three-Dimensional
Laser Scanner.
AB - Driven by progress in sensor technology, computer methods and data processing
capabilities, 3D laser scanning has found a wide range of new application fields
in recent years. Particularly, monitoring the static and dynamic behaviour of
large dams has always been a topic of great importance, due to the impact these
structures have on the whole landscape where they are built. The main goal of
this paper is to show the relevance and novelty of the laserscanning methodology
developed, which incorporates different statistical and modelling approaches not
considered until now. As a result, the methods proposed in this paper have
provided the measurement and monitoring of the large "Las Cogotas" dam (Avila,
Spain).
PMID- 27873847
TI - Tomographic Imaging of a Forested Area By Airborne Multi-Baseline P-Band SAR.
AB - In recent years, various attempts have been undertaken to obtain information
about the structure of forested areas from multi-baseline synthetic aperture
radar data. Tomographic processing of such data has been demonstrated for
airborne L-band data but the quality of the focused tomographic images is limited
by several factors. In particular, the common Fourierbased focusing methods are
susceptible to irregular and sparse sampling, two problems, that are unavoidable
in case of multi-pass, multi-baseline SAR data acquired by an airborne system. In
this paper, a tomographic focusing method based on the time-domain back
projection algorithm is proposed, which maintains the geometric relationship
between the original sensor positions and the imaged target and is therefore able
to cope with irregular sampling without introducing any approximations with
respect to the geometry. The tomographic focusing quality is assessed by
analysing the impulse response of simulated point targets and an in-scene corner
reflector. And, in particular, several tomographic slices of a volume
representing a forested area are given. The respective P-band tomographic data
set consisting of eleven flight tracks has been acquired by the airborne E-SAR
sensor of the German Aerospace Center (DLR).
PMID- 27873848
TI - Structural Simulation of a Bone-Prosthesis System of the Knee Joint.
AB - In surgical knee replacement, the damaged knee joint is replaced with artificial
prostheses. An accurate clinical evaluation must be carried out before applying
knee prostheses to ensure optimal outcome from surgical operations and to reduce
the probability of having long-term problems. Useful information can be inferred
from estimates of the stress acting onto the bone-prosthesis system of the knee
joint. This information can be exploited to tailor the prosthesis to the
patient's anatomy. We present a compound system for pre-operative surgical
planning based on structural simulation of the bone-prosthesis system, exploiting
patient-specific data.
PMID- 27873849
TI - Influence of Fluid Cell Design on the Frequency Response of AFM Microcantilevers
in Liquid Media.
AB - A study of the frequency response of AFM microcantilevers in liquid media
contained in a commercial fluid cell is presented. Such systems exhibit
complicated dynamics which are often not well described by available theories.
Their dynamic behavior has a direct effect on the use of the AFM in dynamic mode
while imaging in liquid or while extracting the rheological properties of the
fluid. We explore the issues related to the design of the cantilever holder/fluid
cell and propose an approach for evaluating, minimizing and recognizing the
ultimate limitations of commercial cantilever holders. A technique for estimating
the frequency response spectrum of the fluid cell itself from experimental data
is presented. This spectrum can then be used to evaluate whether or not the fluid
cell is suited for the desired purpose.
PMID- 27873850
TI - Developments and Applications of Electrogenerated Chemiluminescence Sensors Based
on Micro- and Nanomaterials.
AB - A variety of recent developments and applications of electrogenerated
chemiluminescence (ECL) for sensors are described. While tris(2,2'-bipyridyl)
ruthenium(II) and luminol have dominated and continue to pervade the field of ECL
based sensors, recent work has focused on use of these lumophores with micro- and
nanomaterials. It has also extended to inherently luminescent nanomaterials, such
as quantum dots. Sensor configurations including microelectrode arrays and
microfluidics are reviewed and, with the recent trend toward increased use of
nanomaterials, special attention has been given to sensors which include thin
films, nanoparticles and nanotubes. Applications of ECL labels and examples of
label-free sensing that incorporate nanomaterials are also discussed.
PMID- 27873852
TI - Integrated Evaluation of Urban Development Suitability Based on Remote Sensing
and GIS Techniques - A Case Study in Jingjinji Area, China.
AB - Jingjinji area (namely Beijing, Tianjin and He Bei Province) is one of the three
largest regional economic communities in China. Urban expansion has sped up in
the past 20 years in this area due to the rapid economic and population growth.
Evaluating the landuse suitability for urban growth on a regional scale is an
urgent need, because the most suitable areas and the most suitable scale of urban
growth can thus be determined accordingly. In order to meet this requirement,
remote sensing and geographic information system (GIS) techniques were adopted,
and an integrated evaluating model was developed supported by AHP method. The
integrated urban development suitability index (UDSI) was calculated using this
model. According to the UDSI result, the spatial distribution of urban
development suitability and its driving forces were analyzed. Urban boundaries in
1995, 2000 and 2005, which were derived from Landsat TM/ETM+ satellite data, were
overlaid on the UDSI map, and the suitable urban develop tendency in this area
were discussed. The result of this study indicated that integrated evaluation of
urban development could be conducted in an operational way using remote sensing
data, GIS spatial analysis technique and AHP modeling method.
PMID- 27873851
TI - Color Changes of UHT Milk During Storage.
AB - In this study measurements of color parameters of UHT milk were performed, by
using a MOM-color 100 photoelectric tristimulus colorimeter. Colors of UHT milk
samples containing 3.2% and 1.6% milk fat, processed under industrial conditions,
packed in polyethylene terephtalate (PET) based packages, and stored for 0, 15,
30, 45, 60 and 90 days at ambient temperature (20+/-5 degrees C) were examined.
Results are shown in four different systems that define measurement of color
parameters expressed in: CIE, CIE L*a*b*, Hunter and ANLAB - Adams Nickerson
systems. Average value of mean reflectance of UHT milk determined in CIE system
statistically is highly significantly changed, (p < 0.01) depending on duration
of storaging, percentage of milk fat, as well as on the interaction of the
mentioned factors. For the UHT milk with 1.6% milk fat statistically significant
(p < 0.05) decrease of psychometric chroma b* occurs after 60 days, and for milk
with 3.2% milk fat established on 45th day of storage.
PMID- 27873853
TI - Electrochemical Assay of Human Islet Amyloid Polypeptide and Its Aggregation.
AB - Square wave voltammetry is used in this work to detect human islet amyloid
polypeptide (hIAPP) by using the oxidized signal of the tyrosine residue in
hIAPP. A detection limit of 1*10-6 M for hIAPP has been obtained. A kinetic study
of the aggregation process has been carried out according to the relationship
between the anodic peak current in the square wave voltammograms of hIAPP and the
incubation period. The results show that the nucleation starts in the first hour
of incubation and then, during the next two hours, aggregation may occur rapidly.
hIAPP can therefore be monitored with a label-free electrochemical method with
low detection limit and high sensitivity. This electrochemical method can be also
utilized to study the kinetics of hIAPP aggregation, and it may be also employed
to study the conformational changes of the polypeptide.
PMID- 27873854
TI - Programming an Artificial Neural Network Tool for Spatial Interpolation in GIS -
A Case Study for Indoor Radio Wave Propagation of WLAN.
AB - Wireless communication networks offer subscribers the possibilities of free
mobility and access to information anywhere at any time. Therefore,
electromagnetic coverage calculations are important for wireless mobile
communication systems, especially in Wireless Local Area Networks (WLANs). Before
any propagation computation is performed, modeling of indoor radio wave
propagation needs accurate geographical information in order to avoid the
interruption of data transmissions. Geographic Information Systems (GIS) and
spatial interpolation techniques are very efficient for performing indoor radio
wave propagation modeling. This paper describes the spatial interpolation of
electromagnetic field measurements using a feed-forward back-propagation neural
network programmed as a tool in GIS. The accuracy of Artificial Neural Networks
(ANN) and geostatistical Kriging were compared by adjusting procedures. The
feedforward back-propagation ANN provides adequate accuracy for spatial
interpolation, but the predictions of Kriging interpolation are more accurate
than the selected ANN. The proposed GIS ensures indoor radio wave propagation
model and electromagnetic coverage, the number, position and transmitter power of
access points and electromagnetic radiation level. Pollution analysis in a given
propagation environment was done and it was demonstrated that WLAN (2.4 GHz)
electromagnetic coverage does not lead to any electromagnetic pollution due to
the low power levels used. Example interpolated electromagnetic field values for
WLAN system in a building of Yildiz Technical University, Turkey, were generated
using the selected network architectures to illustrate the results with an ANN.
PMID- 27873855
TI - Fabrication of a Novel Conductometric Biosensor for Detecting Mycobacterium avium
subsp. paratuberculosis Antibodies.
AB - Johne's disease (JD) is one of the most costly bacterial diseases in cattle. In
the U.S., economic losses from the disease have been estimated to exceed
$1,500,000,000 per year, mainly from the effects of reduced milk production.
Current diagnostic tests for JD are laboratory based and many of those tests
require specialized equipment and training. Development of rapid and inexpensive
diagnostic assays, which are adapted for point-ofcare applications, would aid in
the control of JD. In this study, a polyaniline (Pani)-based conductometric
biosensor, in an immunomigration format, was fabricated for the detection of
serum antibody (IgG) against the causal organism of JD, Mycobacterium avium
subsp. paratuberculosis (MAP). Immobilized Mycobacterium avium purified proteins
in the capture membrane were used to detect MAP IgG, previously bound with
Pani/anti-bovine IgG* conjugate in the conjugate membrane. After detection, the
Pani in the sandwiched captured complex bridges an electrical circuit between the
silver electrodes, flanking the capture membrane. The electrical conductance,
caused by Pani, was measured as drop in electrical resistance. Testing of the
biosensor with known JD positive and negative serum samples demonstrated a
significant difference in the mean resistance observed between the groups. This
proof-of-concept study demonstrated that a conductometric biosensor could detect
MAP IgG in 2 minutes. The biosensor's speed of detection and the equipment
involved would, among other things, support its application towards the various
point-ofcare opportunities aimed at JD management and control.
PMID- 27873856
TI - Surface Heat Balance Analysis of Tainan City on March 6, 2001 Using ASTER and
Formosat-2 Data.
AB - The urban heat island phenomenon occurs as a mixed result of anthropogenic heat
discharge, decreased vegetation, and increased artificial impervious surfaces. To
clarify the contribution of each factor to the urban heat island, it is necessary
to evaluate the surface heat balance. Satellite remote sensing data of Tainan
City, Taiwan, obtained from Terra ASTER and Formosat-2 were used to estimate
surface heat balance in this study. ASTER data is suitable for analyzing heat
balance because of the wide spectral range. We used Formosat-2 multispectral data
to classify the land surface, which was used to interpolate some surface
parameters for estimating heat fluxes. Because of the high spatial resolution of
the Formosat-2 image, more roads, open spaces and small vegetation areas could be
distinguished from buildings in urban areas; however, misclassifications of land
cover in such areas using ASTER data would overestimate the sensible heat flux.
On the other hand, the small vegetated areas detected from the Formosat-2 image
slightly increased the estimation of latent heat flux. As a result, the storage
heat flux derived from Formosat-2 is higher than that derived from ASTER data in
most areas. From these results, we can conclude that the higher resolution land
coverage map increases accuracy of the heat balance analysis. Storage heat flux
occupies about 60 to 80% of the net radiation in most of the artificial surface
areas in spite of their usages. Because of the homogeneity of the building roof
materials, there is no contrast between the storage heat flux in business and
residential areas. In sparsely vegetated urban areas, more heat is stored and
latent heat is smaller than that in the forested suburbs. This result implies
that density of vegetation has a significant influence in decreasing
temperatures.
PMID- 27873857
TI - Sensors and Biosensors for the Determination of Small Molecule Biological Toxins.
AB - The following review of sensors and biosensors focuses on the determination of
commonly studied small molecule biological toxins, including mycotoxins and small
molecule neurotoxins. Because of the high toxicity of small molecule toxins, an
effective analysis technique for determining their toxicity is indispensable.
Sensors and biosensors have emerged as sensitive and rapid techniques for
toxicity analysis in the past decade. Several different sensors for the
determination of mycotoxins and other small molecule neurotoxins have been
reported in the literature, and many of these sensors such as tissue biosensors,
enzyme sensors, optical immunosensors, electrochemical sensors, quartz crystal
sensors, and surface plasmon resonance biosensors are reviewed in this paper.
Sensors are a practical and convenient monitoring tool in the area of routine
analysis, and their specificity, sensitivity, reproducibility and analysis
stability should all be improved in future work. In addition, accuracy field
portable sensing devices and multiplexing analysis devices will be important
requirement for the future.
PMID- 27873859
TI - An Evaluation of Radarsat-1 and ASTER Data for Mapping Veredas (Palm Swamps).
AB - Veredas (palm swamps) are wetland complexes associated with the Brazilian savanna
(cerrado) that often represent the only available source of water for the
ecosystem during the dry months. Their extent and condition are mainly unknown
and their cartography is an essential issue for their protection. This research
article evaluates some of the fine resolution satellite data both in the radar
(Radarsat-1) and optical domain (ASTER) for the delineation and characterization
of veredas. Two separate approaches are evaluated. First, given the known
potential of Radarsat-1 images for wetland inventories, the automatic delineation
of veredas is tested using only Radarsat-1 data and a Markov random fields region
based segmentation. In this case, to increase performance, processing is limited
to a buffer zone around the river network. Then, characterization of their type
is attempted using traditional classification methods of ASTER optical data
combined with Radarsat-1 data. The automatic classification of Radarsat data
yielded results with an overall accuracy between 62 and 69%, that proved reliable
enough for delineating wide and very humid veredas. Scenes from the wet season
and with a smaller angle of incidence systematically yielded better results. For
the classification of the main vegetation types, better results (overall success
of 78.8%) were obtained by using only the visible and near infrared (VNIR) bands
of the ASTER image. Radarsat data did not bring any improvement to these
classification results. In fact, when using solely the Radarsat data from two
different angle of incidence and two different dates, the classification results
were low (50.8%) but remained powerful for delineating the permanently moist
riparian forest portion of the veredas with an accuracy better than 75% in most
cases. These results are considered good given the width of some types often less
than 50 m wide compared with the resolution of the images (12.5 - 15 m).
Comparing the classification results with the Radarsat-generated delineation
allows an understanding of the relation between synthetic aperture radar (SAR)
backscattering and vegetation types of the veredas.
PMID- 27873860
TI - Spectral-Based Blind Image Restoration Method for Thin TOMBO Imagers.
AB - With the recent advances in microelectronic fabrication technology, it becomes
now possible to fabricate thin imagers, less than half a millimeter thick. Dubbed
TOMBO (an acronym for thin observation module by bound optics), a thin camera-on
a-chip integrates micro-optics and photo-sensing elements, together with advanced
processing circuitry, all on a single silicon chip. Modeled after the compound
eye found in insects and many other arthropods, the TOMBO imager captures
simultaneously a mosaic of low resolution images. In this paper, we describe and
analyze a novel spectral-based blind algorithm that enables the restoration of a
high resolution image from the captured low resolution images.The proposed blind
restoration method does not require prior information about the imaging system
nor the original scene. Furthermore, it alleviates the need for conventional de
shading and rearrangement processing techniques. Experimental results demonstrate
that the proposed method can restore images for SNER lower than 3dB.
PMID- 27873861
TI - Utilization of Electrochemical Sensors and Biosensors in Biochemistry and
Molecular Biology.
AB - Editorial note concerning the "Utilization of Electrochemical Sensors and
Biosensors in Biochemistry and Molecular Biology" special issue.
PMID- 27873862
TI - Modelling Spatial and Temporal Forest Cover Change Patterns (1973-2020): A Case
Study from South Western Ghats (India).
AB - This study used time series remote sensing data from 1973, 1990 and 2004 to
assess spatial forest cover change patterns in the Kalakad-Mundanthurai Tiger
Reserve (KMTR), South Western Ghats (India). Analysis of forest cover changes and
its causes are the most challenging areas of landscape ecology, especially due to
the absence of temporal ground data and comparable space platform based data.
Comparing remotely sensed data from three different sources with sensors having
different spatial and spectral resolution presented a technical challenge.
Quantitative change analysis over a long period provided a valuable insight into
forest cover dynamics in this area. Time-series maps were combined within a
geographical information system (GIS) with biotic and abiotic factors for
modelling its future change. The land-cover change has been modelled using GEOMOD
and predicted for year 2020 using the current disturbance scenario. Comparison of
the forest change maps over the 31-year period shows that evergreen forest being
degraded (16%) primarily in the form of selective logging and clear felling to
raise plantations of coffee, tea and cardamom. The natural disturbances such as
forest fire, wildlife grazing, invasions after clearance and soil erosion induced
by anthropogenic pressure over the decades are the reasons of forest cover change
in KMTR. The study demonstrates the role of remote sensing and GIS in monitoring
of large-coverage of forest area continuously for a given region over time more
precisely and in cost-effective manner which will be ideal for conservation
planning and prioritization.
PMID- 27873863
TI - Fabrication and Optimization of a Nanoporous Platinum Electrode and a Non
enzymatic Glucose Micro-sensor on Silicon.
AB - In this paper, optimal conditions for fabrication of nanoporous platinum (Pt)
were investigated in order to use it as a sensitive sensing electrode for silicon
CMOS integrable non-enzymatic glucose micro-sensor applications. Applied charges,
voltages, and temperatures were varied during the electroplating of Pt into the
formed nonionic surfactant C16EO8 nano-scaled molds in order to fabricate
nanoporous Pt electrodes with large surface roughness factor (RF), uniformity,
and reproducibility. The fabricated nanoporous Pt electrodes were characterized
using atomic force microscopy (AFM) and electrochemical cyclic voltammograms.
Optimal electroplating conditions were determined to be an applied charge of 35
mC/mm2, a voltage of -0.12 V, and a temperature of 25 degrees C, respectively.
The optimized nanoporous Pt electrode had an electrochemical RF of 375 and
excellent reproducibility. The optimized nanoporous Pt electrode was applied to
fabricate non-enzymatic glucose micro-sensor with three electrode systems. The
fabricated sensor had a size of 3 mm x 3 mm, air gap of 10 um, working electrode
(WE) area of 4.4 mm2, and sensitivity of 37.5 uA*L/mmol*cm2. In addition, it
showed large detection range from 0.05 to 30 mmolL-1 and stable recovery
responsive to the step changes in glucose concentration.
PMID- 27873858
TI - BioMEMS -Advancing the Frontiers of Medicine.
AB - Biological and medical application of micro-electro-mechanical-systems (MEMS) is
currently seen as an area of high potential impact. Integration of biology and
microtechnology has resulted in the development of a number of platforms for
improving biomedical and pharmaceutical technologies. This review provides a
general overview of the applications and the opportunities presented by MEMS in
medicine by classifying these platforms according to their applications in the
medical field.
PMID- 27873864
TI - Two Improvements of an Operational Two-Layer Model for Terrestrial Surface Heat
Flux Retrieval.
AB - In order to make the prediction of land surface heat fluxes more robust, two
improvements were made to an operational two-layer model proposed previously by
Zhang. These improvements are: 1) a surface energy balance method is used to
determine the theoretical boundary lines (namely 'true wet/cool edge' and 'true
dry/warm edge' in the trapezoid) in the scatter plot for the surface temperature
versus the fractional vegetation cover in mixed pixels; 2) a new assumption that
the slope of the Tm - f curves is mainly controlled by soil water content is
introduced. The variables required by the improved method include near surface
vapor pressure, air temperature, surface resistance, aerodynamic resistance,
fractional vegetation cover, surface temperature and net radiation. The model
predictions from the improved model were assessed in this study by in situ
measurements, which show that the total latent heat flux from the soil and
vegetation are in close agreement with the in situ measurement with an RMSE (Root
Mean Square Error) ranging from 30 w/m2~50 w/m2,which is consistent with the site
scale measurement of latent heat flux. Because soil evaporation and vegetation
transpiration are not measured separately from the field site, in situ measured
CO2 flux is used to examine the modeled lambdaEveg. Similar trends of seasonal
variations of vegetation were found for the canopy transpiration retrievals and
in situ CO2 flux measurements. The above differences are mainly caused by 1) the
scale disparity between the field measurement and the MODIS observation; 2) the
non-closure problem of the surface energy balance from the surface fluxes
observations themselves. The improved method was successfully used to predict the
component surface heat fluxes from the soil and vegetation and it provides a
promising approach to study the canopy transpiration and the soil evaporation
quantitatively during the rapid growing season of winter wheat in northern China.
PMID- 27873865
TI - Analyzing Land Use/Land Cover Changes Using Remote Sensing and GIS in Rize, North
East Turkey.
AB - Mapping land use/land cover (LULC) changes at regional scales is essential for a
wide range of applications, including landslide, erosion, land planning, global
warming etc. LULC alterations (based especially on human activities), negatively
effect the patterns of climate, the patterns of natural hazard and socio-economic
dynamics in global and local scale. In this study, LULC changes are investigated
by using of Remote Sensing and Geographic Information Systems (GIS) in Rize,
North-East Turkey. For this purpose, firstly supervised classification technique
is applied to Landsat images acquired in 1976 and 2000. Image Classification of
six reflective bands of two Landsat images is carried out by using maximum
likelihood method with the aid of ground truth data obtained from aerial images
dated 1973 and 2002. The second part focused on land use land cover changes by
using change detection comparison (pixel by pixel). In third part of the study,
the land cover changes are analyzed according to the topographic structure (slope
and altitude) by using GIS functions. The results indicate that severe land cover
changes have occurred in agricultural (36.2%) (especially in tea gardens), urban
(117%), pasture (-72.8%) and forestry (-12.8%) areas has been experienced in the
region between 1976 and 2000. It was seen that the LULC changes were mostly
occurred in coastal areas and in areas having low slope values.
PMID- 27873866
TI - GACEM: Genetic Algorithm Based Classifier Ensemble in a Multi-sensor System.
AB - Multi-sensor systems (MSS) have been increasingly applied in pattern
classification while searching for the optimal classification framework is still
an open problem. The development of the classifier ensemble seems to provide a
promising solution. The classifier ensemble is a learning paradigm where many
classifiers are jointly used to solve a problem, which has been proven an
effective method for enhancing the classification ability. In this paper, by
introducing the concept of Meta-feature (MF) and Trans-function (TF) for
describing the relationship between the nature and the measurement of the
observed phenomenon, classification in a multi-sensor system can be unified in
the classifier ensemble framework. Then an approach called Genetic Algorithm
based Classifier Ensemble in Multi-sensor system (GACEM) is presented, where a
genetic algorithm is utilized for optimization of both the selection of features
subset and the decision combination simultaneously. GACEM trains a number of
classifiers based on different combinations of feature vectors at first and then
selects the classifiers whose weight is higher than the pre-set threshold to make
up the ensemble. An empirical study shows that, compared with the conventional
feature-level voting and decision-level voting, not only can GACEM achieve better
and more robust performance, but also simplify the system markedly.
PMID- 27873867
TI - Mesoporous Silicon with Modified Surface for Plant Viruses and Their Protein
Particle Sensing.
AB - Changes in electric parameters of a mesoporous silicon treated by a plasma
chemical etching with fluorine and hydrogen ions, under the adsorption of NEPO
(Nematodetransmitted Polyhedral) plant viruses such as TORSV (Tomato Ringspot
Virus), GFLV (Grapevine Fan Leaf Virus) and protein macromolecule from TORSV
particles are described. The current response to the applied voltage is measured
for each virus particle to investigate the material parameters which are
sensitive to the adsorbed particles. The peculiar behaviors of the response are
modeled by the current-voltage relationship in a MOSFET. This model explains the
behavior well and the double gate model of the MOSFET informs that the mesoporous
silicon is a highly sensitive means of detecting the viruses in the size range
less than 50 nm.
PMID- 27873868
TI - APEX - the Hyperspectral ESA Airborne Prism Experiment.
AB - The airborne ESA-APEX (Airborne Prism Experiment) hyperspectral mission simulator
is described with its distinct specifications to provide high quality remote
sensing data. The concept of an automatic calibration, performed in the
Calibration Home Base (CHB) by using the Control Test Master (CTM), the In-Flight
Calibration facility (IFC), quality flagging (QF) and specific processing in a
dedicated Processing and Archiving Facility (PAF), and vicarious calibration
experiments are presented. A preview on major applications and the corresponding
development efforts to provide scientific data products up to level 2/3 to the
user is presented for limnology, vegetation, aerosols, general classification
routines and rapid mapping tasks. BRDF (Bidirectional Reflectance Distribution
Function) issues are discussed and the spectral database SPECCHIO (Spectral
Input/Output) introduced. The optical performance as well as the dedicated
software utilities make APEX a state-of-the-art hyperspectral sensor, capable of
(a) satisfying the needs of several research communities and (b) helping the
understanding of the Earth's complex mechanisms.
PMID- 27873869
TI - A New Method to Define the VI-Ts Diagram Using Subpixel Vegetation and Soil
Information: A Case Study over a Semiarid Agricultural Region in the North China
Plain.
AB - The VI-Ts diagram determined by the scatter points of the vegetation index (VI)
and surface temperature (Ts) has been widely applied in land surface studies. In
the VI-Ts diagram, dry point is defined as a pixel with maximum Ts and minimum
VI, while wet point is defined as a pixel with minimum Ts and maximum VI. If both
dry and wet points can be obtained simultaneously, a triangular VI-Ts diagram can
be readily defined. However, traditional methods cannot define an ideal VI-Ts
diagram if there are no full ranges of land surface moisture and VI, such as
during rainy season or in a period with a narrow VI range. In this study, a new
method was proposed to define the VI-Ts diagram based on the subpixel vegetation
and soil information, which was independent of the full ranges of land surface
moisture and VI. In this method, a simple approach was firstly proposed to
decompose Ts of a given pixel into two components, the surface temperatures of
soil (Tsoil) and vegetation (Tveg), by means of Ts and VI information of
neighboring pixels. The minimum Tveg and maximum Tsoil were then used to
determine the wet and dry points respectively within a given sampling window.
This method was tested over a 30 km * 30 km semiarid agricultural area in the
North China Plain through 2003 using Advanced Spaceborne Thermal Emission
Reflection Radiometer (ASTER) and MODerate-resolution Imaging Spectroradiometer
(MODIS) data. The wet and dry points obtained from our proposed method and from a
traditional method were compared with those obtained from ground data within the
sampling window with the 30 km * 30 km size. Results show that Tsoil and Tveg can
be obtained with acceptable accuracies, and that our proposed method can define
reasonable VI-Ts diagrams over a semiarid agricultural region throughout the
whole year, even for both cases of rainy season and narrow range of VI.
PMID- 27873870
TI - Crime Scene Reconstruction Using a Fully Geomatic Approach.
AB - This paper is focused on two main topics: crime scene reconstruction, based on a
geomatic approach, and crime scene analysis, through GIS based procedures.
According to the experience of the authors in performing forensic analysis for
real cases, the aforesaid topics will be examined with the specific goal of
verifying the relationship of human walk paths at a crime scene with blood
patterns on the floor. In order to perform such analyses, the availability of
pictures taken by first aiders is mandatory, since they provide information about
the crime scene before items are moved or interfered with. Generally, those
pictures are affected by large geometric distortions, thus - after a brief
description of the geomatic techniques suitable for the acquisition of reference
data (total station surveying, photogrammetry and laser scanning) - it will be
shown the developed methodology, based on photogrammetric algorithms, aimed at
calibrating, georeferencing and mosaicking the available images acquired on the
scene. The crime scene analysis is based on a collection of GIS functionalities
for simulating human walk movements and creating a statistically significant
sample. The developed GIS software component will be described in detail, showing
how the analysis of this statistical sample of simulated human walks allows to
rigorously define the probability of performing a certain walk path without
touching the bloodstains on the floor.
PMID- 27873872
TI - A Multivariate Model for Coastal Water Quality Mapping Using Satellite Remote
Sensing Images.
AB - his study demonstrates the feasibility of coastal water quality mapping using
satellite remote sensing images. Water quality sampling campaigns were conducted
over a coastal area in northern Taiwan for measurements of three water quality
variables including Secchi disk depth, turbidity, and total suspended solids.
SPOT satellite images nearly concurrent with the water quality sampling campaigns
were also acquired. A spectral reflectance estimation scheme proposed in this
study was applied to SPOT multispectral images for estimation of the sea surface
reflectance. Two models, univariate and multivariate, for water quality
estimation using the sea surface reflectance derived from SPOT images were
established. The multivariate model takes into consideration the wavelength
dependent combined effect of individual seawater constituents on the sea surface
reflectance and is superior over the univariate model. Finally, quantitative
coastal water quality mapping was accomplished by substituting the pixel-specific
spectral reflectance into the multivariate water quality estimation model.
PMID- 27873871
TI - First Contact to Odors: Our Current Knowledge about Odorant Receptor.
AB - Chemical senses - especially smell - are known to be important for the
fundamental life events such as sensing predators, selecting mates, as well as
finding food. The chemical senses are decoded in the olfactory system which is
able to detect and differentiate thousands of odorous substances comprised of
chemically divergent structures (i.e. odorants). The high selectivity of the
olfactory system is heavily dependent on the receptors for each odorants (i.e.
odorant receptors). Thus, studying odorant receptors may not only facilitate our
understanding the initial events of olfaction but provide crucial knowledge for
developing a novel, odorant receptor-based biosensor for chemical screening. Here
we provide a review of recent advances in our understanding of odorant receptors.
PMID- 27873873
TI - Thin Film on CMOS Active Pixel Sensor for Space Applications.
AB - A 664 x 664 element Active Pixel image Sensor (APS) with integrated analog signal
processing, full frame synchronous shutter and random access for applications in
star sensors is presented and discussed. A thick vertical diode array in Thin
Film on CMOS (TFC) technology is explored to achieve radiation hardness and
maximum fill factor.
PMID- 27873874
TI - Room Temperature Ammonia Gas Sensing Using Mixed Conductor based TEMPOS
Structures.
AB - The current/voltage characteristics of mixed (ion+electron) conductor-based
'TEMPOS' (Tunable Electronic Material with Pores in Oxide on Silicon) structures
are reported. TEMPOS are novel electronic MOS-like structures having etched swift
heavy ion tracks (i.e., nanopores) in the dielectric layer filled with some
conducting material. The three contacts (two on top and one on the bottom), which
resemble the classical bipolar or field effect transistor arrangements are, in
principle, interchangeable when the overall electrical resistance along the
tracks and on the surface are similar. Consequently, three configurations are
obtained by interchanging the top contacts with the base contact in electronic
circuits. The current/voltage characteristics show a diode like behaviour.
Impedance measurements have been made for TEMPOS structures with tracks filled
with ion conductors and also mixed conductors to study the ammonia sensing
behaviour. The impedance has been found to be a function of frequency and
magnitude of the applied signal and concentration of the ammonia solution. This
is attributed to the large number of charge carriers (here protons) available for
conduction on exposure to ammonia and also to the large surface to volume ratio
of the polymer composites embedded in the ion tracks. The measurement of both,
the real and imaginary parts of impedance allows one to enhance the detection
sensitivity greatly.
PMID- 27873875
TI - Mining the Urban Sprawl Pattern: A Case Study on Sunan, China.
AB - China's urbanization is going into a fast development stage. This paper focuses
on the recent evolution of an urbanized area - Sunan, the southern part of
Jiangsu province in the Yangtze River Delta in China - by means of complementary
approaches, especially different fractal and autocorrelation measures. The
research shows that Sunan's urban clusters are becoming more and more homogenous
and compact and are growing up along the important transportation axes. The
enriching discussion of the findings establishes the links between the morphology
of urban sprawl and recent socio-economic changes in China.
PMID- 27873876
TI - Effects of Gold Nanoparticles on the Response of Phenol Biosensor Containing
Photocurable Membrane with Tyrosinase.
AB - The role of incorporation of gold nanoparticles (50-130 nm in diameter) into a
series of photocurable methacrylic-acrylic based biosensor membranes containing
tyrosinase on the response for phenol detection was investigated. Membranes with
different hydrophilicities were prepared from 2-hydroxyethyl methacrylate and n
butyl acrylate via direct photocuring. A range of gold nanoparticles
concentrations from 0.01 to 0.5 % (w/w) was incorporated into these membranes
during the photocuring process. The addition of gold nanoparticles to the
biosensor membrane led to improvement in the response time by a reduction of
approximately 5 folds to give response times of 5-10 s. The linear response range
of the phenol biosensor was also extended from 24 to 90 mM of phenol. The
hydrophilicities of the membrane matrices demonstrated strong influence on the
biosensor response and appeared to control the effect of the gold nanoparticles.
For less hydrophilic methacrylic-acrylic membranes, the addition of gold
nanoparticles led to a poorer sensitivity and detection limit of the biosensor
towards phenol. Therefore, for the application of gold nanoparticles in the
enhancement of a phenol biosensor response, the nanoparticles should be
immobilized in a hydrophilic matrix rather than a hydrophobic material.
PMID- 27873877
TI - Development of Light Powered Sensor Networks for Thermal Comfort Measurement.
AB - Recent technological advances in wireless communications have enabled easy
installation of sensor networks with air conditioning equipment control
applications. However, the sensor node power supply, through either power lines
or battery power, still presents obstacles to the distribution of the sensing
systems. In this study, a novel sensor network, powered by the artificial light,
was constructed to achieve wireless power transfer and wireless data
communications for thermal comfort measurements. The sensing node integrates an
IC-based temperature sensor, a radiation thermometer, a relative humidity sensor,
a micro machined flow sensor and a microprocessor for predicting mean vote (PMV)
calculation. The 935 MHz band RF module was employed for the wireless data
communication with a specific protocol based on a special energy beacon enabled
mode capable of achieving zero power consumption during the inactive periods of
the nodes. A 5W spotlight, with a dual axis tilt platform, can power the
distributed nodes over a distance of up to 5 meters. A special algorithm, the
maximum entropy method, was developed to estimate the sensing quantity of climate
parameters if the communication module did not receive any response from the
distributed nodes within a certain time limit. The light-powered sensor networks
were able to gather indoor comfort-sensing index levels in good agreement with
the comfort-sensing vote (CSV) preferred by a human being and the experimental
results within the environment suggested that the sensing system could be used in
air conditioning systems to implement a comfort-optimal control strategy.
PMID- 27873878
TI - Real-time Monitoring of Non-specific Toxicity Using a Saccharomyces cerevisiae
Reporter System.
AB - Baker's yeast, Saccharomyces cerevisiae, is the simplest and most well-known
representative of eukaryotic cells and thus a convenient model organism for
evaluating toxic effects in human cells and tissues. Yeast cell sensors are easy
to maintain with short generation times, which makes the analytical method of
assessing antifungal toxicity cheap and less-time consuming. In this work, the
toxicity of test compounds was assessed in bioassays based on bioluminescence
inhibition and on traditional growth inhibition on agar plates. The model
organism in both tests was a modified S. cerevisiae sensor strain that produces
light when provided with D-luciferin in an insect luciferase reporter gene
activity assay. The bioluminescence assay showed toxic effects for yeast cell
sensor of 5,6-benzo-flavone, rapamycin, nystatin and cycloheximide at
concentrations of nM to uM. In addition, arsenic compounds, cadmium chloride,
copper sulfate and lead acetate were shown to be potent non-specific inhibitors
of the reporter organism described here. The results from a yeast agar diffusion
assay correlated with the bioluminescence assay results.
PMID- 27873879
TI - Extreme Silica Optical Fibre Gratings.
AB - A regenerated optical fibre Bragg grating that survives temperature cycling up to
1,295 degrees C is demonstrated. A model based on seeded crystallisation or
amorphisation is proposed.
PMID- 27873880
TI - Detection of Staphylococcus epidermidis by a Quartz Crystal Microbalance Nucleic
Acid Biosensor Array Using Au Nanoparticle Signal Amplification.
AB - Staphylococcus epidermidis is a critical pathogen of nosocomial blood infections,
resulting in significant morbidity and mortality. A piezoelectric quartz crystal
microbalance (QCM) nucleic acid biosensor array using Au nanoparticle signal
amplification was developed to rapidly detect S. epidermidis in clinical samples.
The synthesized thiolated probes specific targeting S. epidermidis 16S rRNA gene
were immobilized on the surface of QCM nucleic acid biosensor arrays.
Hybridization was induced by exposing the immobilized probes to the PCR amplified
fragments of S. epidermidis, resulting in a mass change and a consequent
frequency shift of the QCM biosensor. To further enhance frequency shift results
from above described hybridizations, streptavidin coated Au nanoparticles were
conjugated to the PCR amplified fragments. The results showed that the lowest
detection limit of current QCM system was 1.3*103 CFU/mL. A linear correlation
was found when the concentration of S. epidermidis varied from 1.3*103 to 1.3*107
CFU/mL. In addition, 55 clinical samples were detected with both current QCM
biosensor system and conventional clinical microbiological method, and the
sensitivity and specificity of current QCM biosensor system were 97.14% and 100%,
respectively. In conclusion, the current QCM system is a rapid, low-cost and
sensitive method that can be used to identify infection of S. epidermidis in
clinical samples.
PMID- 27873882
TI - Two-dimensional Co-Seismic Surface Displacements Field of the Chi-Chi Earthquake
Inferred from SAR Image Matching.
AB - The Mw=7.6 Chi-Chi earthquake in Taiwan occurred in 1999 over the Chelungpu fault
and caused a great surface rupture and severe damage. Differential Synthetic
Aperture Radar Interferometry (DInSAR) has been applied previously to study the
co-seismic ground displacements. There have however been significant limitations
in the studies. First, only one-dimensional displacements along the Line-of-Sight
(LOS) direction have been measured. The large horizontal displacements along the
Chelungpu fault are largely missing from the measurements as the fault is nearly
perpendicular to the LOS direction. Second, due to severe signal decorrelation on
the hangling wall of the fault, the displacements in that area are un-measurable
by differential InSAR method. We estimate the co-seismic displacements in both
the azimuth and range directions with the method of SAR amplitude image matching.
GPS observations at the 10 GPS stations are used to correct for the orbital ramp
in the amplitude matching and to create the two-dimensional (2D) co-seismic
surface displacements field using the descending ERS-2 SAR image pair. The
results show that the co-seismic displacements range from about -2.0 m to 0.7 m
in the azimuth direction (with the positive direction pointing to the flight
direction), with the footwall side of the fault moving mainly southwards and the
hanging wall side northwards. The displacements in the LOS direction range from
about -0.5 m to 1.0 m, with the largest displacement occuring in the northeastern
part of the hanging wall (the positive direction points to the satellite from
ground). Comparing the results from amplitude matching with those from DInSAR, we
can see that while only a very small fraction of the LOS displacement has been
recovered by the DInSAR mehtod, the azimuth displacements cannot be well detected
with the DInSAR measurements as they are almost perpendicular to the LOS.
Therefore, the amplitude matching method is obviously more advantageous than the
DInSAR in studying the Chi-Chi earthquake. Another advantage of the method is
that the displacement in the hanging wall of the fault that is un-measurable with
DInSAR due to severe signal decorrelation can almost completely retrieved in this
research. This makes the whole co-seismic displacements field clearly visible and
the location of the rupture identifiable. Using displacements measured at 15
independent GPS stations for validation, we found that the RMS values of the
differences between the two types of results were 6.9 cm and 5.7 cm respectively
in the azimuth and the range directions.
PMID- 27873881
TI - Effect of the Temperature-Emissivity Contrast on the Chemical Signal for Gas
Plume Detection Using Thermal Image Data.
AB - Detecting and identifying weak gaseous plumes using thermal imaging data is
complicated by many factors. These include variability due to atmosphere, ground
and plume temperature, and background clutter. This paper presents an analysis of
one formulation of the physics-based radiance model, which describes at-sensor
observed radiance. The background emissivity and plume/ground temperatures are
isolated, and their effects on chemical signal are described. This analysis shows
that the plume's physical state, emission or absorption, is directly dependent on
the background emissivity and plume/ground temperatures. It then describes what
conditions on the background emissivity and plume/ground temperatures have
inhibiting or amplifying effects on the chemical signal. These claims are
illustrated by analyzing synthetic hyperspectral imaging data with the adaptive
matched filter using two chemicals and three distinct background emissivities.
PMID- 27873883
TI - Arc-Welding Spectroscopic Monitoring based on Feature Selection and Neural
Networks.
AB - A new spectral processing technique designed for application in the on-line
detection and classification of arc-welding defects is presented in this paper. A
noninvasive fiber sensor embedded within a TIG torch collects the plasma
radiation originated during the welding process. The spectral information is then
processed in two consecutive stages. A compression algorithm is first applied to
the data, allowing real-time analysis. The selected spectral bands are then used
to feed a classification algorithm, which will be demonstrated to provide an
efficient weld defect detection and classification. The results obtained with the
proposed technique are compared to a similar processing scheme presented in
previous works, giving rise to an improvement in the performance of the
monitoring system.
PMID- 27873884
TI - Characterization of Laser Cleaning of Artworks.
AB - The main tasks of conservators of artworks and monuments are the estimation and
analysis of damages (present condition), object conservation (cleaning process),
and the protection of an object against further degradation. One of the physical
methods that is becoming more and more popular for dirt removal is the laser
cleaning method. This method is non-contact, selective, local, controlled, self
limiting, gives immediate feedback and preserves even the gentlest of relief -
the trace of a paintbrush. Paper presents application of different, selected
physical sensing methods to characterize condition of works of art as well as
laser cleaning process itself. It includes, tested in our laboratories, optical
surface measurements (e.g. colorimetry, scatterometry, interferometry), infrared
thermography, optical coherent tomography and acoustic measurements for "on-line"
evaluation of cleaning progress. Results of laser spectrometry analyses (LIBS,
Raman) will illustrate identification and dating of objects superficial layers.
PMID- 27873885
TI - Porous Silicon Based Resonant Mirrors for Biochemical Sensing.
AB - We report on our preliminary results in the realization and characterization of a
porous silicon (PSi) resonant mirror (RM) for optical biosensing. We have
numerically and experimentally studied the coupling between the electromagnetic
field, totally reflected at the base of a high refractive index prism, and the
optical modes of a PSi waveguide. This configuration is very sensitive to changes
in the refractive index and/or in thickness of the sensor surface. Due to the
high specific area of the PSi waveguide, very low DNA concentrations can be
detected confirming that the RM could be a very sensitive and labelfree optical
biosensor.
PMID- 27873886
TI - Pre-Launch Absolute Calibration of CCD/CBERS-2B Sensor.
AB - Pre-launch absolute calibration coefficients for the CCD/CBERS-2B sensor have
been calculated from radiometric measurements performed in a satellite
integration and test hall in the Chinese Academy of Space Technology (CAST)
headquarters, located in Beijing, China. An illuminated integrating sphere was
positioned in the test hall facilities to allow the CCD/CBERS-2B imagery of the
entire sphere aperture. Calibration images were recorded and a relative
calibration procedure adopted exclusively in Brazil was applied to equalize the
detectors responses. Averages of digital numbers (DN) from these images were
determined and correlated to their respective radiance levels in order to
calculate the absolute calibration coefficients. It has been the first time these
pre-launch absolute calibration coefficients have been calculated considering the
Brazilian image processing criteria. Now it will be possible to compare them to
those that will be calculated from vicarious calibration campaigns. This
comparison will permit the CCD/CBERS-2B monitoring and the frequently data
updating to the user community.
PMID- 27873887
TI - A Review of the CMOS Buried Double Junction (BDJ) Photodetector and its
Applications.
AB - A CMOS Buried Double Junction PN (BDJ) photodetector consists of two vertically
stacked photodiodes. It can be operated as a photodiode with improved performance
and wavelength-sensitive response. This paper presents a review of this device
and its applications. The CMOS implementation and operating principle are firstly
described. This includes the description of several key aspects directly related
to the device performances, such as surface reflection, photon absorption and
electron-hole pair generation, photocurrent and dark current generation, etc.
SPICE modelling of the detector is then presented. Next, design and process
considerations are proposed in order to improve the BDJ performance. Finally,
several BDJ-detector-based image sensors provide a survey of their applications.
PMID- 27873888
TI - Femtosecond Laser Microfabrication of an Integrated Device for Optical Release
and Sensing of Bioactive Compounds.
AB - Flash photolysis of caged compounds is one of the most powerful approaches to
investigate the dynamic response of living cells. Monolithically integrated
devices suitable for optical uncaging are in great demand since they greatly
simplify the experiments and allow their automation. Here we demonstrate the
fabrication of an integrated bio-photonic device for the optical release of caged
compounds. Such a device is fabricated using femtosecond laser micromachining of
a glass substrate. More in detail, femtosecond lasers are used both to cut the
substrate in order to create a pit for cell growth and to inscribe optical
waveguides for spatially selective uncaging of the compounds present in the
culture medium. The operation of this monolithic bio-photonic device is tested
using both free and caged fluorescent compounds to probe its capability of
multipoint release and optical sensing. Application of this device to the study
of neuronal network activity can be envisaged.
PMID- 27873890
TI - Oil Spill Detection by SAR Images: Dark Formation Detection, Feature Extraction
and Classification Algorithms.
AB - This paper provides a comprehensive review of the use of Synthetic Aperture Radar
images (SAR) for detection of illegal discharges from ships. It summarizes the
current state of the art, covering operational and research aspects of the
application. Oil spills are seriously affecting the marine ecosystem and cause
political and scientific concern since they seriously effect fragile marine and
coastal ecosystem. The amount of pollutant discharges and associated effects on
the marine environment are important parameters in evaluating sea water quality.
Satellite images can improve the possibilities for the detection of oil spills as
they cover large areas and offer an economical and easier way of continuous coast
areas patrolling. SAR images have been widely used for oil spill detection. The
present paper gives an overview of the methodologies used to detect oil spills on
the radar images. In particular we concentrate on the use of the manual and
automatic approaches to distinguish oil spills from other natural phenomena. We
discuss the most common techniques to detect dark formations on the SAR images,
the features which are extracted from the detected dark formations and the most
used classifiers. Finally we conclude with discussion of suggestions for further
research. The references throughout the review can serve as starting point for
more intensive studies on the subject.
PMID- 27873889
TI - Molecular Recognition and Specific Interactions for Biosensing Applications.
AB - Molecular recognition and specific interactions are reliable and versatile routes
for site-specific and well-oriented immobilization of functional biomolecules on
surfaces. The control of surface properties via the molecular recognition and
specific interactions at the nanoscale is a key element for the nanofabrication
of biosensors with high sensitivity and specificity. This review intends to
provide a comprehensive understanding of the molecular recognition- and specific
interaction-mediated biosensor fabrication routes that leads to biosensors with
well-ordered and controlled structures on both nanopatterned surfaces and
nanomaterials. Herein self-assembly of the biomolecules via the molecular
recognition and specific interactions on nanoscaled surfaces as well as
nanofabrication techniques of the biomolecules for biosensor architecture are
discussed. We also describe the detection of molecular recognition- and specific
interaction-mediated molecular binding as well as advantages of nanoscale
detection.
PMID- 27873892
TI - Impact of Link Unreliability and Asymmetry on the Quality of Connectivity in
Large-scale Sensor Networks.
AB - Connectivity is a fundamental issue in research on wireless sensor networks.
However, unreliable and asymmetric links have a great impact on the global
quality of connectivity (QoC). By assuming the deployment of nodes a homogeneous
Poisson point process and eliminating the border effect, this paper derives an
explicit expression of node non-isolation probability as the upper bound of one
connectivity, based on an analytical link model which incorporates important
parameters such as path loss exponent, shadowing variance of channel, modulation,
encoding method etc. The derivation has built a bridge over the local link
property and the global network connectivity, which makes it clear to see how
various parameter impact the QoC. Numerical results obtained further confirm the
analysis and can be used as reference for practical design and simulation of
wireless ad hoc and sensor networks. Besides, we find giant component size a good
relaxed measure of connectivity in some applications that do not require full
connectivity.
PMID- 27873891
TI - Surface-Modified Gold Nanoparticles with Folic Acid as Optical Probes for
Cellular Imaging.
AB - In this study, we demonstrate that the uptake rate of the surface-modified gold
nanoparticles (GNPs) with folic acid by specific cells can be increased
significantly, if the membranes of these cells have sufficient folic-acid
receptors. Two human breast cancer cell lines were studied; one is MDA-MB-435S
cell, and the other T-47D cell. The expression of the folic acid receptors of the
former is much higher than that of the latter. These cells were incubated with
media containing bare GNPs or GNPs conjugated with folic acid individually. Due
to the unique optical behavior (i.e. surface plasmon resonance) of GNPs, the
uptake amount of GNPs by cells can be identified by using the laser scanning
confocal microscopy. Our experiments show that the uptake amount of GNPs in MDAMB
435S cells is higher than that in T-47D cells for the same culture time, if the
culture medium contains bare GNPs. Moreover, if the GNPs conjugated with folic
acid are used for the culture, the uptake rate of GNPs by MDA-MB-435S cells is
improved more. In contrast, the uptake rates of both GNPs are almost the same by
T-47D cells. The phenomenon indicates that the uptake rate of GNPs can be
improved via the ligand-receptor endocytosis, compared with the nonspecific
endocytosis. Therefore, the uptake rate of GNPs conjugated with folic acid by MDA
MB-435S cells is higher than that of bare GNPs.
PMID- 27873893
TI - Comparative and Combinative Study of Urban Heat island in Wuhan City with Remote
Sensing and CFD Simulation.
AB - Urban heat islands are one of the most critical urban environment heat problems.
Landsat ETM+ satellite data were used to investigate the land surface temperature
and underlying surface indices such as NDVI and NDBI. A comparative study of the
urban heat environment at different scales, times and locations was done to
verify the heat island characteristics. Since remote sensing technology has
limitations for dynamic flow analysis in the study of urban spaces, a CFD
simulation was used to validate the improvement of the heat environment in a city
by means of wind. CFD technology has its own shortcomings in parameter setting
and verification, while RS technology is helpful to remedy this. The city of
Wuhan and its climatological condition of being hot in summer and cold in winter
were chosen to verify the comparative and combinative application of RS with CFD
in studying the urban heat island.
PMID- 27873895
TI - Immunoglobulin G Determination in Human Serum and Milk Using an Immunosensor of
New Conception Fitted with an Enzyme Probe as Transducer.
AB - To completely overcome the problem of the presence of urea in the serum, which
can be the cause (especially at low immunoglobulin G concentrations) of a small
but non negligible interference in the enzyme reaction of the enzymatic marker,
when the measurement was performed by a potentiometric immunosensor that we
constructed and characterized in previous work, and which used urease as marker,
we have now constructed an entirely different and highly innovative immunosensor.
This new device uses the enzyme alkaline phosphatase as marker, sodium
phenylphosphate as substrate but above all, a tyrosinase biosensor obtained by
coupling a Clark type gas diffusion amperometric electrode and the tyrosinase
enzyme, immobilized in a cellulose triacetate membrane, as transducer. After
optimizing the 'competitive' measurement procedures, the new immunosensor was
used to determine both HIgG and the anti-HIgG, with a limit of detection (LOD) of
the order of 3x10-11 M. Clearly this highly innovative construction geometry
makes the immunosensor extremely selective. This makes it possible to determine
immunoglobulin G both in human serum and milk without the slightest interference
by any urea present in these biological matrixes.
PMID- 27873894
TI - NeuroMEMS: Neural Probe Microtechnologies.
AB - Neural probe technologies have already had a significant positive effect on our
understanding of the brain by revealing the functioning of networks of biological
neurons. Probes are implanted in different areas of the brain to record and/or
stimulate specific sites in the brain. Neural probes are currently used in many
clinical settings for diagnosis of brain diseases such as seizers, epilepsy,
migraine, Alzheimer's, and dementia. We find these devices assisting paralyzed
patients by allowing them to operate computers or robots using their neural
activity. In recent years, probe technologies were assisted by rapid advancements
in microfabrication and microelectronic technologies and thus are enabling highly
functional and robust neural probes which are opening new and exciting avenues in
neural sciences and brain machine interfaces. With a wide variety of probes that
have been designed, fabricated, and tested to date, this review aims to provide
an overview of the advances and recent progress in the microfabrication
techniques of neural probes. In addition, we aim to highlight the challenges
faced in developing and implementing ultralong multi-site recording probes that
are needed to monitor neural activity from deeper regions in the brain. Finally,
we review techniques that can improve the biocompatibility of the neural probes
to minimize the immune response and encourage neural growth around the electrodes
for long term implantation studies.
PMID- 27873896
TI - Modeling of an Optical Sensor Based on Whispering Gallery Modes (WGMs) on the
Surface Guiding Layer of Glass Filaments.
AB - A ring-resonator-based refractive index sensor is proposed in this paper. Glass
filaments with surface guiding layers created by ion exchange are crossed with a
fiber taper to act as a ring resonator sensor. Theoretical simulation of the
sensor response is proposed, and optimization of structural parameters including
thickness and refractive index of the surface guiding layer and the diameter of
the ring resonator for higher sensitivity is investigated. Results show that a
detection limit of a variation of ~10-5RIU can be reached. Due to its simple
fabrication and easy manipulation as well as good sensing performance, we believe
such a micro-cavity sensor will find potential applications in high sensitivity
optical sensing.
PMID- 27873897
TI - Optimization of Single-Sensor Two-State Hot-Wire Anemometer Transmission
Bandwidth.
AB - Hot-wire anemometric measurements of non-isothermal flows require the use of
thermal compensation or correction circuitry. One possible solution is a two
state hot-wire anemometer that uses the cyclically changing heating level of a
single sensor. The area in which flow velocity and fluid temperature can be
measured is limited by the dimensions of the sensor's active element. The system
is designed to measure flows characterized by high velocity and temperature
gradients, although its transmission bandwidth is very limited. In this study, we
propose a method to optimize the two-state hot-wire anemometer transmission
bandwidth. The method is based on the use of a specialized constanttemperature
system together with variable dynamic parameters. It is also based on a suitable
measurement cycle paradigm. Analysis of the method was undertaken using model
testing. Our results reveal a possible significant broadening of the two-state
hot-wire anemometer's transmission bandwidth.
PMID- 27873898
TI - Linear FBG Temperature Sensor Interrogation with Fabry-Perot ITU Multi-wavelength
Reference.
AB - The equidistantly spaced multi-passbands of a Fabry-Perot ITU filter are used as
an efficient multi-wavelength reference for fiber Bragg grating sensor
demodulation. To compensate for the nonlinear wavelength tuning effect in the FBG
sensor demodulator, a polynomial fitting algorithm was applied to the temporal
peaks of the wavelength-scanned ITU filter. The fitted wavelength values are
assigned to the peak locations of the FBG sensor reflections, obtaining constant
accuracy, regardless of the wavelength scan range and frequency. A linearity
error of about 0.18% against a reference thermocouple thermometer was obtained
with the suggested method.
PMID- 27873899
TI - Interfacial Recognition of Acetylcholine by an Amphiphilic p
Sulfonatocalix[8]arene Derivative Incorporated into Dimyristoyl
Phosphatidylcholine Vesicles.
AB - Dodecyl ether derivatives 1-3 of p-sulfonatocalix[n]arene were incorporated into
dimyristoyl phosphatidylcholine (DMPC) vesicles, and their binding abilities for
acetylcholine (ACh) were examined by using steady-state fluorescence/fluorescence
anisotropy and fluorescence correlation spectroscopy (FCS). For the detection of
ACh binding to the DMPC vesicles containing 5 mol % of 1-3, competitive
fluorophore displacement experiments were performed, where rhodamine 6G (Rh6G)
was used as a fluorescent guest. The addition of Rh6G to the DMPC vesicles
containing 3 resulted in a decrease in the fluorescence intensity of Rh6G with an
increase of its fluorescence anisotropy, indicating that Rh6G binds to the DMPC-3
vesicles. In the case of DMPC-1 and DMPC-2 vesicles, significant changes in the
fluorescence spectra of Rh6G were not observed. When ACh was added to the DMPC-3
vesicles in the presence of Rh6G ([3]/[Rh6G]=100), the fluorescence intensity of
Rh6G increased with a decrease in its fluorescence anisotropy. From the analysis
of fluorescence titration data, the association constants were determined to be
7.1*105 M-1 for Rh6G-3 complex and 1.1*102 M-1 for ACh-3 complex at the DMPC-3
vesicles. To get a direct evidence for the binding of Rh6G and its displacement
by ACh at the DMPC-3 vesicles, diffusion times of the Rh6G were measured by using
FCS. Binding selectivity of the DMPC-3 vesicles for ACh, choline, GABA, L
aspartic acid, L-glutamic acid, L-arginine, L-lysine, L-histamine and ammonium
chloride was also evaluated using FCS.
PMID- 27873901
TI - Dielectric Constant Modelling with Soil-Air Composition and Its Effect on Sar
Radar Signal Backscattered over Soil Surface.
AB - The objective of this paper is to present the contribution of a new dielectric
constant characterisation for the modelling of radar backscattering behaviour.
Our analysis is based on a large number of radar measurements acquired during
different experimental campaigns (Orgeval'94, Pays de Caux'98, 99). We propose a
dielectric constant model, based on the combination of contributions from both
soil and air fractions. This modelling clearly reveals the joint influence of the
air and soil phases, in backscattering measurements over rough surfaces with
large clods. A relationship is established between the soil fraction and soil
roughness, using the Integral Equation Model (IEM), fitted to real radar data.
Finally, the influence of the air fraction on the linear relationship between
moisture and the backscattered radar signal is discussed.
PMID- 27873900
TI - An Electrochemical DNA Biosensor Developed on a Nanocomposite Platform of Gold
and Poly(propyleneimine) Dendrimer.
AB - An electrochemical DNA nanobiosensor was prepared by immobilization of a 20mer
thiolated probe DNA on electro-deposited generation 4 (G4) poly(propyleneimine)
dendrimer (PPI) doped with gold nanoparticles (AuNP) as platform, on a glassy
carbon electrode (GCE). Field emission scanning electron microscopy results
confirmed the codeposition of PPI (which was linked to the carbon electrode
surface by C-N covalent bonds) and AuNP ca 60 nm. Voltammetric interrogations
showed that the platform (GCE/PPI-AuNP) was conducting and exhibited reversible
electrochemistry (E degrees ' = 235 mV) in pH 7.2 phosphate buffer saline
solution (PBS) due to the PPI component. The redox chemistry of PPI was pH
dependent and involves a two electron, one proton process, as interpreted from a
28 mV/pH value obtained from pH studies. The charge transfer resistance (Rct)
from the electrochemical impedance spectroscopy (EIS) profiles of GCE/PPI-AuNP
monitored with ferro/ferricyanide (Fe(CN)63-/4-) redox probe, decreased by 81%
compared to bare GCE. The conductivity (in PBS) and reduced Rct (in Fe(CN)63-/4-)
values confirmed PPI-AuNP as a suitable electron transfer mediator platform for
voltammetric and impedimetric DNA biosensor. The DNA probe was effectively wired
onto the GCE/PPI-AuNP via Au-S linkage and electrostatic interactions. The
nanobiosensor responses to target DNA which gave a dynamic linear range of 0.01 -
5 nM in PBS was based on the changes in Rct values using Fe(CN)63-/4- redox
probe.
PMID- 27873902
TI - An All Fiber White Light Interferometric Absolute Temperature Measurement System.
AB - Recently the author of this article proposed a new signal processing algorithm
for an all fiber white light interferometer. In this article, an all fiber white
light interferometric absolute temperature measurement system is presented using
the previously proposed signal processing algorithm. Stability and absolute
temperature measurement were demonstrated. These two tests demonstrated the
feasibility of absolute temperature measurement with an accuracy of 0.015 fringe
and 0.0005 fringe, respectively. A hysteresis test from 373K to 873K was also
presented. Finally, robustness of the sensor system towards laser diode
temperature drift, AFMZI temperature drift and PZT non-linearity was
demonstrated.
PMID- 27873903
TI - Effect of External Vibration on PZT Impedance Signature.
AB - Piezoelectric ceramic Lead Zirconate Titanate (PZT) transducers, working on the
principle of electromechanical impedance (EMI), are increasingly applied for
structural health monitoring (SHM) in aerospace, civil and mechanical
engineering. The PZT transducers are usually surface bonded to or embedded in a
structure and subjected to actuation so as to interrogate the structure at the
desired frequency range. The interrogation results in the electromechanical
admittance (inverse of EMI) signatures which can be used to estimate the
structural health or integrity according to the changes of the signatures. In the
existing EMI method, the monitored structure is only excited by the PZT
transducers for the interrogating of EMI signature, while the vibration of the
structure caused by the external excitations other than the PZT actuation is not
considered. However, many structures work under vibrations in practice. To
monitor such structures, issues related to the effects of vibration on the EMI
signature need to be addressed because these effects may lead to
misinterpretation of the structural health. This paper develops an EMI model for
beam structures, which takes into account the effect of beam vibration caused by
the external excitations. An experimental study is carried out to verify the
theoretical model. A lab size specimen with different external excitations is
tested and the effect of vibration on EMI signature is discussed.
PMID- 27873904
TI - Microsensors for in vivo Measurement of Glutamate in Brain Tissue.
AB - Several immobilized enzyme-based electrochemical biosensors for glutamate
detection have been developed over the last decade. In this review, we compare
first and second generation sensors. Structures, working mechanisms, interference
prevention, in vitro detection characteristics and in vivo performance are
summarized here for those sensors that have successfully detected brain glutamate
in vivo. In brief, first generation sensors have a simpler structure and are
faster in glutamate detection. They also show a better sensitivity to glutamate
during calibration in vitro. For second generation sensors, besides their less
precise detection, their fabrication is difficult to reproduce, even with a semi
automatic dip-coater. Both generations of sensors can detect glutamate levels in
vivo, but the reported basal levels are different. In general, second generation
sensors detect higher basal levels of glutamate compared with the results
obtained from first generation sensors. However, whether the detected glutamate
is indeed from synaptic sources is an issue that needs further attention.
PMID- 27873906
TI - Determination of Dopamine in the Presence of Ascorbic Acid by Nafion and Single
Walled Carbon Nanotube Film Modified on Carbon Fiber Microelectrode.
AB - Carbon fiber microelectrode (CFME) modified by Nafion and single-walled carbon
nanotubes (SWNTs) was studied by voltammetric methods in phosphate buffer saline
(PBS) solution at pH 7.4. The Nafion-SWNTs/CFME modified microelectrode exhibited
strongly enhanced voltammetric sensitivity and selectivity towards dopamine (DA)
determination in the presence of ascorbic acid (AA). Nafion-SWNTs film
accelerated the electron transfer reaction of DA, but Nafion film as a negatively
charged polymer restrained the electrochemical response of AA. Voltammetric
techniques separated the anodic peaks of DA and AA, and the interference from AA
was effectively excluded from DA determination. Linear calibration plots were
obtained in the DA concentration range of 10 nM - 10 MUM and the detection limit
of the anodic current was determined to be 5 nM at a signal-to-noise ratio of 3.
The study results demonstrate that DA can be determined without any interference
from AA at the modified microelectrode, thereby increasing the sensitivity,
selectivity, and reproducibility and stability.
PMID- 27873905
TI - A Solid Trap and Thermal Desorption System with Application to a Medical
Electronic Nose.
AB - In this paper, a solid trap/thermal desorption-based odorant gas condensation
system has been designed and implemented for measuring low concentration odorant
gas. The technique was successfully applied to a medical electronic nose system.
The developed system consists of a flow control unit, a temperature control unit
and a sorbent tube. The theoretical analysis and experimental results indicate
that gas condensation, together with the medical electronic nose system can
significantly reduce the detection limit of the nose system and increase the
system's ability to distinguish low concentration gas samples. In addition, the
integrated system can remove the influence of background components and
fluctuation of operational environment. Even with strong disturbances such as
water vapour and ethanol gas, the developed system can classify the test samples
accurately.
PMID- 27873907
TI - Bioavailability of Cd, Zn and Hg in Soil to Nine Recombinant Luminescent Metal
Sensor Bacteria.
AB - A set of nine recombinant heavy metal-specific luminescent bacterial sensors
belonging to Gram-negative (Escherichia and Pseudomonas) and Gram-positive
(Staphylococcus and Bacillus) genera and containing various types of recombinant
metalresponse genetic elements was characterized for heavy metal bioavailability
studies. All nine strains were induced by Hg and Cd and five strains also by Zn.
As a lowest limit, the sensors were detecting 0.03 MUg.L-1 of Hg, 2 MUg.L-1 of Cd
and 400 MUg.L-1 of Zn. Limit of determination of the sensors depended mostly on
metal-response element, whereas the toxicity of those metals towards the sensor
bacteria was mostly dependent on the type of the host bacterium, with Gram
positive strains being more sensitive than Gram-negative ones. The set of sensors
was used to evaluate bioavailability of Hg, Cd and Zn in spiked soils. The
bioavailable fraction of Cd and Zn in soil suspension assay (2.6 - 5.1% and 0.32
0.61%, of the total Cd and Zn, respectively) was almost comparable for all the
sensors, whereas the bioavailability of Hg was about 10-fold higher for Gram
negative sensor cells (30.5% of total Hg), compared to Gram-positive ones (3.2%
of the total Hg). For Zn, the bioavailable fraction in soil-water suspensions and
respective extracts was comparable (0.37 versus 0.33% of the total Zn). However,
in the case of Cd, for all the sensors used and for Hg concerning only Gram
negative sensor strains, the bioavailable fraction in soilwater suspensions
exceeded the water-extracted fraction about 14-fold, indicating that upon direct
contact, an additional fraction of Cd and Hg was mobilized by those sensor
bacteria. Thus, for robust bioavailability studies of heavy metals in soils any
type of genetic metal-response elements could be used for the construction of the
sensor strains. However, Gram-positive and Gram-negative senor strains should be
used in parallel as the bioavailability of heavy metals to those bacterial groups
may be different.
PMID- 27873909
TI - A Novel Vehicle Classification Using Embedded Strain Gauge Sensors.
AB - This paper presents a new vehicle classification and develops a traffic
monitoring detector to provide reliable vehicle classification to aid traffic
management systems. The basic principle of this approach is based on measuring
the dynamic strain caused by vehicles across pavement to obtain the corresponding
vehicle parameters - wheelbase and number of axles - to then accurately classify
the vehicle. A system prototype with five embedded strain sensors was developed
to validate the accuracy and effectiveness of the classification method.
According to the special arrangement of the sensors and the different time a
vehicle arrived at the sensors one can estimate the vehicle's speed accurately,
corresponding to the estimated vehicle wheelbase and number of axles. Because of
measurement errors and vehicle characteristics, there is a lot of overlap between
vehicle wheelbase patterns. Therefore, directly setting up a fixed threshold for
vehicle classification often leads to low-accuracy results. Using the machine
learning pattern recognition method to deal with this problem is believed as one
of the most effective tools. In this study, support vector machines (SVMs) were
used to integrate the classification features extracted from the strain sensors
to automatically classify vehicles into five types, ranging from small vehicles
to combination trucks, along the lines of the Federal Highway Administration
vehicle classification guide. Test bench and field experiments will be introduced
in this paper. Two support vector machines classification algorithms (one-against
all, one-against-one) are used to classify single sensor data and multiple sensor
combination data. Comparison of the two classification method results shows that
the classification accuracy is very close using single data or multiple data. Our
results indicate that using multiclass SVM-based fusion multiple sensor data
significantly improves the results of a single sensor data, which is trained on
the whole multisensor data set.
PMID- 27873910
TI - Usage of Underground Space for 3D Cadastre Purposes and Related Problems in
Turkey.
AB - Modern cities have been trying to meet their needs for space by using not only
surface structures but also by considering subsurface space use. It is also
anticipated that without planning of underground spaces for supporting surface
city life in the years and generations to come, there will be serious and
unavoidable problems with growing populations. The current Turkish cadastral
system, including land right registrations, has been trying to meet users' needs
in all aspects since 1924. Today Turkey's national cadastre services are carried
out by the General Directorate of Land Titles and Cadastre (TKGM). The Cadastre
Law, Number 3402, was approved in 1985 to eliminate problems by gathering all
existing cadastral regulations under one law and also to produce 3D cadastral
bases to include underground spaces and determine their legal status in Turkey.
Although the mandate for 3D cadastre works is described and explained by the
laws, until now the bases have been created in 2D and the reality is that legal
gaps and deficiencies presently exist in them. In this study, the usage of
underground spaces for the current cadastral system in Turkey was briefly
evaluated, the concept of 3D cadastral data is examined and the need for using
subsurface and 3D cadastre in addition to the traditional 2D register system,
related problems and registration are mentioned with specific examples, but
without focusing on a specific model.
PMID- 27873908
TI - Selective D3 Receptor Antagonist SB-277011-A Potentiates the Effect of Cocaine on
Extracellular Dopamine in the Nucleus Accumbens: a Dual Core-Shell Voltammetry
Study in Anesthetized Rats.
AB - Dopamine (DA) D3 receptors have been associated with drug intake and abuse and
selectively distribute in the brain circuits responding to drug administration.
Here we examined the effects of an acute systemic administration of cocaine (15
mg/kg) alone or preceded by treatment with the selective D3 receptor antagonist
SB-277011-A (10 mg/kg) on DA levels concurrently in the rat nucleus accumbens
shell and core sub-regions (NAcshell and NAccore, respectively). It is shown that
cocaine increases extracellular DA in both compartments and that blocking D3
receptors with SB-277011-A, although the latter is devoid of dopaminergic effects
per se, potentiates these effects. No differences in the amplitude of the
response were observed between NAcshell and NAccore compartments, though the
dopaminergic response in the NAcshell was transient whereas that in the NAccore
rose slowly to reach a plateau. These results demonstrate the feasibility to use
multiprobe voltammetry to measure discrete monoaminergic responses in discrete
areas of the brain and confirm the effect of D3 receptors antagonist at modifying
the neurochemical effects of cocaine.
PMID- 27873911
TI - Classification Metrics for Improved Atmospheric Correction of Multispectral VNIR
Imagery.
AB - Multispectral visible/near-infrared (VNIR) earth observation satellites, e.g.,
Ikonos, Quickbird, ALOS AVNIR-2, and DMC, usually acquire imagery in a few (3 -
5) spectral bands. Atmospheric correction is a challenging task for these images
because the standard methods require at least one shortwave infrared band (around
1.6 or 2.2 um) or hyperspectral instruments to derive the aerosol optical
thickness. New classification metrics for defining cloud, cloud over water, haze,
water, and saturation are presented to achieve improvements for an automatic
processing system. The background is an ESA contract for the development of a
prototype atmospheric processor for the optical payload AVNIR-2 on the ALOS
platform.
PMID- 27873912
TI - Quantifying Cutting and Wearing Behaviors of TiN- and CrNCoated AISI 1070 Steel.
AB - Hard coatings such as titanium nitride (TiN) and chromium nitride (CrN) are
widely used in cutting and forming tools against wear and corrosion. In the
present study, hard coating films were deposited onto AISI 1070 steels by a
cathodic arc evaporation plating (CAVP) technique. These samples were subjected
to wear in a conventional lathe for investigating the tribological behaviour of
coating structure, and prenitrided subsurface composition was characterized using
scanning electron microscopy (SEM), line scan analyses and X-ray diffraction
(XRD). The wear properties of TiN- and CrNcoated samples were determined using an
on-line monitoring system. The results show that TiN-coated samples demonstrate
higher wear resistance than CrN-coated samples.
PMID- 27873913
TI - Mesoscale Near-Surface Wind Speed Variability Mapping with Synthetic Aperture
Radar.
AB - Operationally-significant wind speed variability is often observed within
synthetic aperture radar-derived wind speed (SDWS) images of the sea surface.
This paper is meant as a first step towards automated distinguishing of
meteorological phenomena responsible for such variability. In doing so, the
research presented in this paper tests feature extraction and pixel aggregation
techniques focused on mesoscale variability of SDWS. A sample of twenty eight
SDWS images possessing varying degrees of near-surface wind speed variability
were selected to serve as case studies. Gaussian high- and low-pass, local
entropy, and local standard deviation filters performed well for the feature
extraction portion of the research while principle component analysis of the
filtered data performed well for the pixel aggregation. The findings suggest
recommendations for future research.
PMID- 27873914
TI - Remote Sensing Monitoring of Changes in Soil Salinity: A Case Study in Inner
Mongolia, China.
AB - This study used archived remote sensing images to depict the history of changes
in soil salinity in the Hetao Irrigation District in Inner Mongolia, China, with
the purpose of linking these changes with land and water management practices and
to draw lessons for salinity control. Most data came from LANDSAT satellite
images taken in 1973, 1977, 1988, 1991, 1996, 2001, and 2006. In these years salt
affected areas were detected using a normal supervised classification method.
Corresponding cropped areas were detected from NVDI (Normalized Difference
Vegetation Index) values using an unsupervised method. Field samples and
agricultural statistics were used to estimate the accuracy of the classification.
Historical data concerning irrigation/drainage and the groundwater table were
used to analyze the relation between changes in soil salinity and land and water
management practices. Results showed that: (1) the overall accuracy of remote
sensing in detecting soil salinity was 90.2%, and in detecting cropped area, 98%;
(2) the installation/innovation of the drainage system did help to control
salinity; and (3) a low ratio of cropped land helped control salinity in the
Hetao Irrigation District. These findings suggest that remote sensing is a useful
tool to detect soil salinity and has potential in evaluating and improving land
and water management practices.
PMID- 27873916
TI - Electrochemical Performance of a Carbon Nanotube/La-Doped TiO2 Nanocomposite and
its Use for Preparation of an Electrochemical Nicotinic Acid Sensor.
AB - A carbon nanotube/La-doped TiO2 (La-TiO2) nanocomposite (CLTN) was prepared by a
procedure similar to a complex/adsorption process. Scanning electron microscopy
(SEM) images show that the La-TiO2 distributes on the carbon nanotube walls. The
CLTN was mixed with paraffin to form a CLTN paste for the CLTN paste electrode
(CLTNPE). The electrochemical characteristics of CLTNPE were compared with that
of conventional carbon electrodes such as the carbon paste electrode (CPE) and
glass carbon electrode (GC). The CLTNPE exhibits electrochemical activity and was
used to investigate the electrochemistry of nicotinic acid (NA). The modified
electrode has a strong electrocatalytic effect on the redox of NA. The cyclic
voltammetry (CV) redox potential of NA at the CLTNPE is 320 mV. The oxidation
process of NA on the CLTNPE is pH dependent. A sensitive chronoamperometric
response for NA was obtained covering a linear range from 1.0*10-6 mol.L-1 to
1.2*10-4 mol.L-1, with a detection limit of 2.7*10-7 mol.L-1. The NA sensor
displays a remarkable sensitivity and stability. The mean recovery of NA in the
human urine is 101.8%, with a mean variation coefficient (RSD) of 2.6%.
PMID- 27873915
TI - Recent Progress in Nucleic Acid Aptamer-Based Biosensors and Bioassays.
AB - As the key constituents of the genetic code, the importance of nucleic acids to
life has long been appreciated. Despite being composed of only four structurally
similar nucleotides, single-stranded nucleic acids, as in single-stranded DNAs
and RNAs, can fold into distinct three-dimensional shapes due to specific
intramolecular interactions and carry out functions beyond serving as templates
for protein synthesis. These functional nucleic acids (FNAs) can catalyze
chemical reactions, regulate gene expression, and recognize target molecules.
Aptamers, whose name is derived from the Latin word aptus meaning "to fit", are
oligonucleotides that can bind their target ligands with high affinity and
specificity. Since aptamers exist in nature but can also be artificially isolated
from pools of random nucleic acids through a process called in vitro selection,
they can potentially bind a diverse array of compounds. In this review, we will
discuss the research that is being done to develop aptamers against various
biomolecules, the progress in engineering biosensors by coupling aptamers to
signal transducers, and the prospect of employing these sensors for a range of
chemical and biological applications. Advances in aptamer technology emphasizes
that nucleic acids are not only the fundamental molecules of life, they can also
serve as research tools to enhance our understanding of life. The possibility of
using aptamer-based tools in drug discovery and the identification of infectious
agents can ultimately augment our quality of life.
PMID- 27873917
TI - Determination of Vitamin C (Ascorbic Acid) Using High Performance Liquid
Chromatography Coupled with Electrochemical Detection.
AB - Vitamin C (ascorbic acid, ascorbate, AA) is a water soluble organic compound that
participates in many biological processes. The main aim of this paper was to
utilize two electrochemical detectors (amperometric - Coulouchem III and
coulometric - CoulArray) coupled with flow injection analysis for the detection
of ascorbic acid. Primarily, we optimized the experimental conditions. The
optimized conditions were as follows: detector potential 100 mV, temperature 25
degrees C, mobile phase 0.09% TFA:ACN, 3:97 (v/v) and flow rate 0.13 mL.min-1.
The tangents of the calibration curves were 0.3788 for the coulometric method and
0.0136 for the amperometric one. The tangent of the calibration curve measured by
the coulometric detector was almost 30 times higher than the tangent measured by
the amperometric detector. Consequently, we coupled a CoulArray electrochemical
detector with high performance liquid chromatography and estimated the detection
limit for AA as 90 nM (450 fmol per 5 MUL injection). The method was used for the
determination of vitamin C in a pharmaceutical preparations (98 +/- 2 mg per
tablet), in oranges (Citrus aurantium) (varied from 30 to 56 mg/100 g fresh
weight), in apples (Malus sp.) (varied from 11 to 19 mg/100 g fresh weight), and
in human blood serum (varied from 38 to 78 MUM). The recoveries were also
determined.
PMID- 27873918
TI - Integrated Optic Surface Plasmon Resonance Measurements in a Borosilicate Glass
Substrate.
AB - The surface plasmon resonance (SPR) technique is a well-known optical method that
can be used to measure the refractive index of organic nano-layers adsorbed on a
thin metal film. Although there are many configurations for measuring
biomolecular interactions, SPR-based techniques play a central role in many
current biosensing experiments, since they are the most suited for sensitive and
quantitative kinetic measurements. Here we give some results from the analysis
and numerical elaboration of SPR data from integrated optics experiments in a
particular borosilicate glass, chosen for its composition offering the rather low
refractive index of 1.4701 at 633 nm wavelength. These data regard the flow over
the sensing region (metal window) of different solutions with refractive indexes
in the range of interest (1.3/1.5) for the detection of contaminants in aqueous
solutions. After a discussion of the principles of SPR, of the metal window
design optimization by means of optical interaction numerical modeling, and of
waveguide fabrication techniques, we give a description of system setup and
experimental results. Optimum gold film window thickness and width in this guided
wave configuration has been for the first time derived and implemented on an
integrated optic prototype device. Its characterization is given by means of the
real time waveguide output intensity measurements, which correspond to the
interaction between the sensing gold thin film window and the flowing analyte.
The SPR curve was subsequently inferred. Finally, a modified version of the
device is reported, with channel waveguides arranged in a Y-junction optical
circuit, so that laser source stability requirements are lowered by a factor of
85 dB, making possible the use of low cost sources in practical applications.
PMID- 27873919
TI - NO2 Detection Using Microcantilever Based Potentiometry.
AB - A highly sensitive and novel sensor platform for gases and volatile chemicals
using microcantilever based potentiometry is reported. A resonant cantilever is
used to detect the changes in surface work functions of functionalized substrates
caused by adsorption of target gas molecules. Surface work function (SWF) changes
were measured for different functionalization layers made of transition metal
oxide thin films with the flow of NO2. The rate of change in SWF for In2O3 and
SnO2 were found to be ~80 and ~100 MUV/sec, respectively, for 70 ppm NO2. A
sensitivity of 64 MUV/sec for SWF change was also found for 70 ppm NO2
concentration for isolated clusters of ZnO nanowires, indicating that this
technique is applicable even for nano-clusters of sensing materials where
amperometric detection is impossible due to material discontinuity. NO2 detection
as low as 400 ppb was possible using highly insulating In2O3 and SnO2 thin films
(resistivity > 1 TOmega/?). Two different forms of nano scale graphite were
compared with the transition oxide based functionalization layer for sensing sub
ppm NO2 sensing. It was observed that nanostructured graphite (NG) shows much
higher sensitivity and lower response time than transition metal oxides.
PMID- 27873920
TI - Mercury Determination in Fish Samples by Chronopotentiometric Stripping Analysis
Using Gold Electrodes Prepared from Recordable CDs.
AB - A simple method for manufacturing gold working electrodes for
chronopotentiometric stripping measurements from recordable CD-R's is described.
These gold electrodes are much cheaper than commercially available ones. The
electrochemical behavior of such an electrode and the working parameters for
mercury determination by chronopotentiometric stripping analysis were studied.
Detection limit was 0.30 MUg Hg/L and determination limit was 1.0 MUg Hg/L for a
deposition time of 600 s. Using the developed working electrodes it was possible
to determine the total mercury in fish samples. A method for fish sample
digestion was developed by using a mixture of fuming nitric acid and both
concentrated sulfuric and hydrochloric acids. The recovery degree for a known
amount of mercury introduced in the sample before digestion was 95.3% (n=4).
PMID- 27873921
TI - Rapid Urban Mapping Using SAR/Optical Imagery Synergy.
AB - This paper highlights the potential of combining Synthetic Aperture Radar (SAR)
and optical data for operational rapid urban mapping. An algorithm consisting of
a completely unsupervised procedure for processing pairs of co-registered
SAR/optical images is proposed. In a first stage, a texture analysis is conducted
independently on the two images using eight different chain-based Gaussian
models. In a second stage, the resulting texture images are partitioned by an
unsupervised fuzzy K-means approach. Finally, a fuzzy decision rule is used to
aggregate the results provided by the classification of texture images obtained
from the pair of SAR and optical images. The method was tested and validated on
images of Bucharest (Romania) and Cayenne (French Guiana). These two study areas
are of different terrain relief, urban settlement structure and land cover
complexity. The data set included Radarsat-1/ENVISAT and SPOT-4/5 images. The
developed SAR/optical information fusion scheme improved the capabilities of
urban areas extraction when compared with the separate use of SAR and optical
sensors. It also proved to be suitable for monitoring urbanization development.
The encouraging results thus confirm the potential of combining information from
SAR and optical sensors for timely urban area analysis, as required in cases of
disaster management and planning in urban sprawl areas.
PMID- 27873922
TI - Interferometric Phase Improvement Based on Polarimetric Data Fusion.
AB - In this paper, a method is proposed to improve the interferometric phase quality,
based on fusing data from different polarimetric channels. Since lower amplitude
implies less reliable phase in general, the phase quality of polarimetric
interferometric data can be improved by seeking optimal fusion of data from
different polarizations to maximize the resulting amplitude. In the proposed
approach, for each pixel, two coherent polarimetric scattering vectors are
synchronously projected onto a same optimum direction, maximizing the lower
amplitude of the two projections. In the single-look case, the fused phase is
equivalent to the weighted average of phases in all polarimetric channels. It
provides a good physical explanation of the proposed approach. Without any
filtering, the phase noise and the number of residue points are significantly
reduced, and the interferometric phase quality is greatly improved. It is a
useful tool to preprocess the phase ahead of phase unwrapping. The Cloude's
coherence optimization method is used for a comparison. Using the data collected
by SIR-C/X-SAR, the authors demonstrate the effectiveness and the robustness of
the proposed approach.
PMID- 27873923
TI - Recent Updates of DNA Incorporated in Carbon Nanotubes and Nanoparticles for
Electrochemical Sensors and Biosensors.
AB - Innovations in the field of electrochemical sensors and biosensors are of much
importance nowadays. These devices are designed with probes and micro electrodes.
The miniaturized designs of these sensors allow analyses of materials without
damaging the samples. Some of these sensors are also useful for real time
analysis within the host system, so these sensors are considered to be more
advantageous than other types of sensors. The active sensing materials used in
these types of sensors can be any material that acts as a catalyst for the
oxidation or reduction of particular analyte or set of analytes. Among various
kinds of sensing materials, deoxyribonucleic acid (DNA), carbon nanotubes (CNTs)
and nanoparticles have received considerable attraction in recent years. DNA is
one of the classes of natural polymers, which can interact with CNTs and
nanoparticles to form new types of composite materials. These composite materials
have also been used as sensing materials for sensor applications. They have
advantages in characteristics such as extraordinary low weight and
multifunctional properties. In this article, advantages of DNA incorporated in
CNT and nanoparticle hybrids for electrochemical sensors and biosensors are
presented in detail, along with some key results noted from the literature.
PMID- 27873924
TI - Analysis of Land Use Change and Urbanization in the Kucukcekmece Water Basin
(Istanbul, Turkey) with Temporal Satellite Data using Remote Sensing and GIS.
AB - Accurate and timely information about land use and land cover (LULC) and its
changes in urban areas are crucial for urban land management decision-making,
ecosystem monitoring and urban planning. Also, monitoring and representation of
urban sprawl and its effects on the LULC patterns and hydrological processes of
an urbanized watershed is an essential part of water resource planning and
management. This paper presents an image analysis study using multi temporal
digital satellite imagery of LULC and changes in the Kucukcekmece Watershed
(Metropolitan Istanbul, Turkey) from 1992 to 2006. The Kucukcekmece Basin
includes portions of the Kucukcekmece District within the municipality of
Istanbul so it faces a dramatic urbanization. An urban monitoring analysis
approach was first used to implement a land cover classification. A change
detection method controlled with ground truth information was then used to
determine changes in land cover. During the study period, the variability and
magnitude of hydrological components based on land-use patterns were cumulatively
influenced by urban sprawl in the watershed. The proposed approach, which uses a
combination of Remote Sensing (RS) and Geographical Information System (GIS)
techniques, is an effective tool that enhances land-use monitoring, planning, and
management of urbanized watersheds.
PMID- 27873925
TI - Multifunctional Polypeptide EQCN Sensors: Probing the Cysteamine-Glutathione Film
Permeability with Hg(II) Ions.
AB - Multifunctional films are the basis of biosensors and play an important role in
the emerging field of nanobioelectronics. In this work, films of a tripeptide
glutathione (GSH) immobilized on a self-assembled monolayer of cysteamine (CA
SAM) on a quartz crystal Au piezosensor have been synthesized and characterized
using electrochemical quartz crystal nanogravimetry (EQCN) with a Hg(II) ion
probe. It has been found that in contrast to previously studied Au/GSH films, the
Au/CA-GSH films strongly hinder the formation of Hg0 with bulk properties while
still allowing for relatively easy permeation by Hg(II) ions. This results in
complete disappearance of the sharp Hg0 electrodissolution peak which is observed
on bare Au and Au/GSH piezosensors. The multiple-peak anodic behavior of Au/CA
and bare Au is replaced by a single high-field anodic peak of mercury reoxidation
in the case of Au/CA-GSH sensors. The mass-to-charge plots indicate predominant
ingress/egress of Hg(II) to/from the film. The strong hindrance of CA-SAM to bulk
Hg0 formation is attributed to film-stabilizing formation of surface (CA)2Hg2+
complexes with conformation evaluated by ab initio quantum mechanical
calculations of electronic structure using Hartree-Fock methods. The associates
CA-GSH provide an additional functionality of the side sulfhydryl group which is
free for interactions, e.g. with heavy metals. It is proposed that in the film,
the CA-GSH molecules can assume open (extended) conformation or bent hydrogen
bonded conformation with up to four possible internal hydrogen bonds.
PMID- 27873926
TI - Microwave Imaging of Cotton Bales.
AB - Modern moisture restoration systems are increasingly capable of adding water to
cotton bales. However, research has identified large variations in internal
moisture within bales that are not readily monitored by current systems. While
microwave moisture sensing systems can measure average bale moisture, this can be
deceptive where water is unevenly distributed. In some cases, localized internal
moisture levels exceed 7.5%, the upper safe limit for cotton bale storage, as
determined by the USDA, as above this level, bales degrade and lose value. A high
proportion of stored bales containing excess moisture have been discovered
throughout the US in increasing numbers over the past several seasons, making the
detection and prevention of this occurrence a critical goal. Previous research by
the authors resulted in the development of microwave moisture-sensing technology.
The current study examines an extension to this technology to allow for detailed
cotton bale moisture imaging. The new technique incorporates a narrow beam
imaging antenna coupled to a tomographic imaging algorithm. The imaging technique
was able to resolve small (< 1 cm) high-permittivity structures against a low
permittivity background. Moreover, the system was able to identify structures of
known permittivity with high accuracy (coefficient of determination (r2) > 0.99).
In preliminary testing on a wet commercial UD bale, the technique was able to
accurately image and resolve the location of the pre-placed internal wet layer.
PMID- 27873927
TI - A Mobile Sensor Network System for Monitoring of Unfriendly Environments.
AB - Observing microclimate changes is one of the most popular applications of
wireless sensor networks. However, some target environments are often too
dangerous or inaccessible to humans or large robots and there are many challenges
for deploying and maintaining wireless sensor networks in those unfriendly
environments. This paper presents a mobile sensor network system for solving this
problem. The system architecture, the mobile node design, the basic behaviors and
advanced network capabilities have been investigated respectively. A wheel-based
robotic node architecture is proposed here that can add controlled mobility to
wireless sensor networks. A testbed including some prototype nodes has also been
created for validating the basic functions of the proposed mobile sensor network
system. Motion performance tests have been done to get the positioning errors and
power consumption model of the mobile nodes. Results of the autonomous deployment
experiment show that the mobile nodes can be distributed evenly into the
previously unknown environments. It provides powerful support for network
deployment and maintenance and can ensure that the sensor network will work
properly in unfriendly environments.
PMID- 27873928
TI - Use of Reflectance Measurements for the Detection of N, P, K, ADF and NDF
Contents in Sainfoin Pasture.
AB - The objective of this study was to determine the relationships between nitrogen
(N), phosphorus (P), potassium (P), acid detergent fiber (ADF) and neutral
detergent fiber (NDF) contents of sainfoin (Onobrychis sativa Lam.) pasture and
canopy reflectance. Canopy reflectance measurements were made by using a portable
spectroradiometer. An experiment was conducted in the Turkey in May and June in
2007 and 2008. Sainfoin pasture N, P, K, ADF and NDF contents correlated linearly
with the reflectance ratios R780/650 (0.61<= r2 <=0.80) and first derivatives of
the reflectance ratios 760/630 (0.70<= r2 <=0.84). Linear equations between each
forage variable and reflectance or first derivatives reflectance had high r2
(0.68<= r2 <=0.83 and 0.79<= r2 <=0.90, respectively) in R780 and R760
wavelengths. In stepwise regression of the reflectance (in 460, 550, 650 and 780
nm wavelengths), the r2 of predicted and measured N, P, K, ADF and NDF contents
of sainfoin pasture were (0.85, 0.85, 0.78, 0.81 and 0.74, respectively), in
stepwise regression of the first derivatives of reflectance (in 440, 530, 630 and
760 nm wavelengths), the r2 of predicted and measured N, P, K, ADF and NDF
contents of sainfoin pasture were (0.87, 0.91, 0.83, 0.93 and 0.86,
respectively). Our results suggest that canopy reflectance in blue, green, red
and near infrared wavebands with NIR/Red and NDVI ratios can be used for
nondestructive prediction of forage quality variables in sainfoin pasture.
PMID- 27873929
TI - Minimal-Drift Heading Measurement using a MEMS Gyro for Indoor Mobile Robots.
AB - To meet the challenges of making low-cost MEMS yaw rate gyros for the precise
self-localization of indoor mobile robots, this paper examines a practical and
effective method of minimizing drift on the heading angle that relies solely on
integration of rate signals from a gyro. The main idea of the proposed approach
is consists of two parts; 1) self-identification of calibration coefficients that
affects long-term performance, and 2) threshold filter to reject the broadband
noise component that affects short-term performance. Experimental results with
the proposed phased method applied to Epson XV3500 gyro demonstrate that it
effectively yields minimal drift heading angle measurements getting over major
error sources in the MEMS gyro output.
PMID- 27873930
TI - Can Commercial Digital Cameras Be Used as Multispectral Sensors? A Crop
Monitoring Test.
AB - The use of consumer digital cameras or webcams to characterize and monitor
different features has become prevalent in various domains, especially in
environmental applications. Despite some promising results, such digital camera
systems generally suffer from signal aberrations due to the on-board image
processing systems and thus offer limited quantitative data acquisition
capability. The objective of this study was to test a series of radiometric
corrections having the potential to reduce radiometric distortions linked to
camera optics and environmental conditions, and to quantify the effects of these
corrections on our ability to monitor crop variables. In 2007, we conducted a
five-month experiment on sugarcane trial plots using original RGB and modified
RGB (Red-Edge and NIR) cameras fitted onto a light aircraft. The camera settings
were kept unchanged throughout the acquisition period and the images were
recorded in JPEG and RAW formats. These images were corrected to eliminate the
vignetting effect, and normalized between acquisition dates. Our results suggest
that 1) the use of unprocessed image data did not improve the results of image
analyses; 2) vignetting had a significant effect, especially for the modified
camera, and 3) normalized vegetation indices calculated with vignetting-corrected
images were sufficient to correct for scene illumination conditions. These
results are discussed in the light of the experimental protocol and
recommendations are made for the use of these versatile systems for quantitative
remote sensing of terrestrial surfaces.
PMID- 27873931
TI - A Comprehensive Automated 3D Approach for Building Extraction, Reconstruction,
and Regularization from Airborne Laser Scanning Point Clouds.
AB - Three dimensional city models are necessary for supporting numerous management
applications. For the determination of city models for visualization purposes,
several standardized workflows do exist. They are either based on photogrammetry
or on LiDAR or on a combination of both data acquisition techniques. However, the
automated determination of reliable and highly accurate city models is still a
challenging task, requiring a workflow comprising several processing steps. The
most relevant are building detection, building outline generation, building
modeling, and finally, building quality analysis. Commercial software tools for
building modeling require, generally, a high degree of human interaction and most
automated approaches described in literature stress the steps of such a workflow
individually. In this article, we propose a comprehensive approach for automated
determination of 3D city models from airborne acquired point cloud data. It is
based on the assumption that individual buildings can be modeled properly by a
composition of a set of planar faces. Hence, it is based on a reliable 3D
segmentation algorithm, detecting planar faces in a point cloud. This
segmentation is of crucial importance for the outline detection and for the
modeling approach. We describe the theoretical background, the segmentation
algorithm, the outline detection, and the modeling approach, and we present and
discuss several actual projects.
PMID- 27873932
TI - Evaluation of Different Outlier Detection Methods for GPS Networks.
AB - GPS (Global Positioning System) devices can be used in many applications which
require accurate point positioning in geosciences. Accuracy of GPS decreases due
to outliers resulted from the errors inherent in GPS observations. Several
approaches have been developed to detect outliers in geodetic observations. It is
important to determine which method is most effective at distinguishing outliers
from normal observations. This paper investigates the behavior of conventional
statistical test methods (Data Snooping (DS), Tau and t tests), some robust
methods (Andrews's M-Estimation, Huber's MEstimation, Tukey's M-Estimation,
Danish Method, Yang-I M-Estimation, Yang-II MEstimation, and fuzzy logic method
in detection of outliers for three GPS networks having different characteristics.
Test results are evaluated and the performances of different methods are
presented quantitatively.
PMID- 27873933
TI - Nano-Scale Characterization of a Piezoelectric Polymer (Polyvinylidene
Difluoride, PVDF).
AB - The polymer polyvinylidene difluoride (PVDF) has unique piezoelectric properties
favorable for Micro-Electro-Mechanical Systems (MEMS) and Nano-Electro-Mechanical
Systems (NEMS) applications. In the present research, we conducted nanometer
length scale characterization of this material using several high-resolution
techniques. Specifically, we used an atomic force microscope (AFM) to study the
nanoand microstructures of the PVDF under stress and to measure their nanoscale
conductivity and piezoelectricity. We found that the surface morphology,
electronic structure, and microstructure are profoundly affected under electrical
potential. Such a behavior is important for the properties and performance of
MEMS and NEMS.
PMID- 27873934
TI - Selectivity Enhancement in Multisensor Systems Using Flow Modulation Techniques.
AB - In this paper, the use of a new technique to obtain transient sensor information
is introduced and its usefulness to improve the selectivity of metal oxide gas
sensors is discussed. The method is based on modulating the flow of the carrier
gas that brings the species to be measured into the sensor chamber. In such a
way, the analytes' concentration at the surface of the sensors is altered. As a
result, reproducible patterns in the sensor response develop, which carry
important information for helping the sensor system, not only to discriminate
among the volatiles considered but also to semi-quantify them. This has been
proved by extracting features from sensor dynamics using the discrete wavelet
transform (DWT) and by building and validating support vector machine (SVM)
classification models. The good results obtained (100% correct identification
among 5 volatile compounds and nearly a 89% correct simultaneous identification
and quantification of these volatiles), which clearly outperform those obtained
when the steady-state response is used, prove the concept behind flow modulation.
PMID- 27873936
TI - Improved Progressive Polynomial Algorithm for Self-Adjustment and Optimal
Response in Intelligent Sensors.
AB - The development of intelligent sensors involves the design of reconfigurable
systems capable of working with different input sensors signals. Reconfigurable
systems should expend the least possible amount of time readjusting. A self
adjustment algorithm for intelligent sensors should be able to fix major problems
such as offset, variation of gain and lack of linearity with good accuracy. This
paper shows the performance of a progressive polynomial algorithm utilizing
different grades of relative nonlinearity of an output sensor signal. It also
presents an improvement to this algorithm which obtains an optimal response with
minimum nonlinearity error, based on the number and selection sequence of the
readjust points. In order to verify the potential of this proposed criterion, a
temperature measurement system was designed. The system is based on a thermistor
which presents one of the worst nonlinearity behaviors. The application of the
proposed improved method in this system showed that an adequate sequence of the
adjustment points yields to the minimum nonlinearity error. In realistic
applications, by knowing the grade of relative nonlinearity of a sensor, the
number of readjustment points can be determined using the proposed method in
order to obtain the desired nonlinearity error. This will impact on readjustment
methodologies and their associated factors like time and cost.
PMID- 27873935
TI - From Maxwell's Equations to Polarimetric SAR Images: A Simulation Approach.
AB - A new electromagnetic approach for the simulation of polarimetric SAR images is
proposed. It starts from Maxwell's equations, employs the spectral domain full
wave technique, the moment method, and the stationary phase method to compute the
far electromagnetic fields scattered by multilayer structures. A multilayer
structure is located at each selected position of a regular rectangular grid of
coordinates, which defines the scene area under imaging. The grid is determined
taking into account the elementary scatter size and SAR operational parameters,
such as spatial resolution, pixel spacing, look angle and platform altitude. A
two-dimensional separable "sinc" function to represent the SAR spread point
function is also considered. Multifrequency sets of single-look polarimetric SAR
images are generated, in L-, C- and X-bands and the images are evaluated using
several measurements commonly employed in SAR data analysis. The evaluation shows
that the proposed simulation process is working properly, since the obtained
results are in accordance with those presented in the literature. Therefore, this
new approach becomes suitable for carrying out theoretical and practical studies
using polarimetric SAR images.
PMID- 27873937
TI - Intracerebroventricular Administration of Amyloid beta-protein Oligomers
Selectively Increases Dorsal Hippocampal Dialysate Glutamate Levels in the Awake
Rat.
AB - Extensive evidence supports an important role for soluble oligomers of the
amyloid beta-protein (Abeta) in Alzheimer's Disease pathogenesis. In the present
study we combined intracerebroventricular (icv) injections with brain
microdialysis technology in the fully conscious rat to assess the effects of icv
administered SDS-stable low-n Abeta oligomers (principally dimers and trimers) on
excitatory and inhibitory amino acid transmission in the ipsilateral dorsal
hippocampus. Microdialysis was employed to assess the effect of icv
administration of Abeta monomers and Abeta oligomers on dialysate glutamate,
aspartate and GABA levels in the dorsal hippocampus. Administration of Abeta
oligomers was associated with a +183% increase (p.
PMID- 27873938
TI - Sputtered Encapsulation as Wafer Level Packaging for Isolatable MEMS Devices: A
Technique Demonstrated on a Capacitive Accelerometer.
AB - This paper discusses sputtered silicon encapsulation as a wafer level packaging
approach for isolatable MEMS devices. Devices such as accelerometers, RF
switches, inductors, and filters that do not require interaction with the
surroundings to function, could thus be fully encapsulated at the wafer level
after fabrication. A MEMSTech 50g capacitive accelerometer was used to
demonstrate a sputtered encapsulation technique. Encapsulation with a very
uniform surface profile was achieved using spin-on glass (SOG) as a sacrificial
layer, SU-8 as base layer, RF sputtered silicon as main structural layer,
eutectic gold-silicon as seal layer, and liquid crystal polymer (LCP) as outer
encapsulant layer. SEM inspection and capacitance test indicated that the movable
elements were released after encapsulation. Nanoindentation test confirmed that
the encapsulated device is sufficiently robust to withstand a transfer molding
process. Thus, an encapsulation technique that is robust, CMOS compatible, and
economical has been successfully developed for packaging isolatable MEMS devices
at the wafer level.
PMID- 27873939
TI - Study of the Relationships between the Spatial Extent of Surface Urban Heat
Islands and Urban Characteristic Factors Based on Landsat ETM+ Data.
AB - Ten cities with different population and urban sizes located in the Pearl River
Delta, Guangdong Province, P.R. China were selected to study the relationships
between the spatial extent of surface urban heat islands (SUHI) and five urban
characteristic factors such as urban size, development area, water proportion,
mean NDVI (Normalized Vegetation Index) and population density, etc. The spatial
extent of SUHI was quantified by using the hot island area (HIA). All the cities
are almost at the same latitude, showing similar climate and solar radiation, the
influence of which could thus be eliminated during our computation and
comparative study. The land surface temperatures (LST) were retrieved from the
data of Landsat 7 Enhanced Thematic Mapper Plus (ETM+) band 6 using a mono-window
algorithm. A variance-segmenting method was proposed to compute HIA for each city
from the retrieved LST. Factors like urban size, development area and water
proportion were extracted directly from the classification images of the same
ETM+ data and the population density factor is from the official census.
Correlation and regression analyses were performed to study the relationships
between the HIA and the related factors, and the results show that HIA is highly
correlated to urban size (r=0.95), population density (r=0.97) and development
area (r=0.83) in this area. It was also proved that a weak negative correlation
existed between HIA and both mean NDVI and water proportion for each city. Linear
functions between HIA and its related factors were established, respectively. The
HIA can reflect the spatial extent and magnitude of the surface urban heat island
effect, and can be used as reference in the urban planning.
PMID- 27873940
TI - Geological Interpretation of PSInSAR Data at Regional Scale.
AB - Results of a PSInSARTM project carried out by the Regional Agency for
Environmental Protection (ARPA) in Piemonte Region (Northern Italy) are presented
and discussed. A methodology is proposed for the interpretation of the PSInSARTM
data at the regional scale, easy to use by the public administrations and by
civil protection authorities. Potential and limitations of the PSInSARTM
technique for ground movement detection on a regional scale and monitoring are
then estimated in relationship with different geological processes and various
geological environments.
PMID- 27873941
TI - Large Scale Environmental Monitoring through Integration of Sensor and Mesh
Networks.
AB - Monitoring outdoor environments through networks of wireless sensors has received
interest for collecting physical and chemical samples at high spatial and
temporal scales. A central challenge to environmental monitoring applications of
sensor networks is the short communication range of the sensor nodes, which
increases the complexity and cost of monitoring commodities that are located in
geographically spread areas. To address this issue, we propose a new
communication architecture that integrates sensor networks with medium range
wireless mesh networks, and provides users with an advanced web portal for
managing sensed information in an integrated manner. Our architecture adopts a
holistic approach targeted at improving the user experience by optimizing the
system performance for handling data that originates at the sensors, traverses
the mesh network, and resides at the server for user consumption. This holistic
approach enables users to set high level policies that can adapt the resolution
of information collected at the sensors, set the preferred performance targets
for their application, and run a wide range of queries and analysis on both real
time and historical data. All system components and processes will be described
in this paper.
PMID- 27873942
TI - Pattern Recognition via PCNN and Tsallis Entropy.
AB - In this paper a novel feature extraction method for image processing via PCNN and
Tsallis entropy is presented. We describe the mathematical model of the PCNN and
the basic concept of Tsallis entropy in order to find a recognition method for
isolated objects. Experiments show that the novel feature is translation and
scale independent, while rotation independence is a bit weak at diagonal angles
of 45 degrees and 135 degrees . Parameters of the application on face
recognition are acquired by bacterial chemotaxis optimization (BCO), and the
highest classification rate is 72.5%, which demonstrates its acceptable
performance and potential value.
PMID- 27873943
TI - A Study on Increasing Sensitivity of Rectangular Microcantilevers Used in
Biosensors.
AB - This study proposes a new microcantilever design with a rectangular hole at the
fixed end of the cantilever that is more sensitive than conventional ones. A
commercial finite element analysis software ANSYS is used to analyze it. The
Stoney equation is first used to calculate the surface stress induced moment, and
then applied to the microcantilever free end to produce deflection. The stress
analysis of the proposed and conventional designs is performed, followed by
dynamic analysis of the proposed design. We found that the Sader equation is more
accurate than Stoney in predicting cantilever deflections, and that for
increasing the sensitivity of a microcantilever biosensor increasing the
cantilever thickness is more practical.
PMID- 27873944
TI - An Open Localization and Local Communication Embodied Sensor.
AB - In this paper we describe a localization and local communication system which
allows situated agents to communicate locally, obtaining at the same time both
the range and the bearing of the emitter without the need of any centralized
control or any external reference. The system relies on infrared communications
with frequency modulation and is composed of two interconnected modules for data
and power measurement. Thanks to the open hardware license under which it is
released, the research community can easily replicate the system at a low cost
and/or adapt it for applications in sensor networks and in robotics.
PMID- 27873946
TI - Sensitive Aflatoxin B1 Determination Using a Magnetic Particles-Based Enzyme
Linked Immunosorbent Assay.
AB - A magnetic particle-based enzyme-liked immunosorbent assay (mp-ELISA) has been
developed as new an alternative immunoassay for Aflatoxin B1 determination. The
method is based on conventional competitive ELISA whereby the anti-Aflatoxin B1
antibody is immobilized on the magnetic particles' surface. The influence of the
antibody type as well as antibody immobilization on the magnetic beads surface
was investigated in detail. Also, optimum values for the general parameters of
the method (e.g. tracer concentration, type of antibody, and incubation time)
were established. Finally, a sensitive immunoassay method (mp-ELISA) was
performed for Aflatoxin B1 determination at ppt level (LOD = 1 ppt Aflatoxin B1).
PMID- 27873947
TI - Fine Resolution Air Quality Monitoring from a Small Satellite: CHRIS/PROBA.
AB - Current remote sensing techniques fail to address the task of air quality
monitoring over complex regions where multiple pollution sources produce high
spatial variability. This is due to a lack of suitable satellite-sensor
combinations and appropriate aerosol optical thickness (AOT) retrieval
algorithms. The new generation of small satellites, with their lower costs and
greater flexibility has the potential to address this problem, with customised
platform-sensor combinations dedicated to monitoring single complex regions or
mega-cities. This paper demonstrates the ability of the European Space Agency's
small satellite sensor CHRIS/PROBA to provide reliable AOT estimates at a
spatially detailed level over Hong Kong, using a modified version of the dense
dark vegetation (DDV) algorithm devised for MODIS. Since CHRIS has no middle-IR
band such as the MODIS 2,100 nm band which is transparent to fine aerosols, the
longest waveband of CHRIS, the 1,019 nm band was used to approximate surface
reflectance, by the subtraction of an offset derived from synchronous field
reflectance spectra. Aerosol reflectance in the blue and red bands was then
obtained from the strong empirical relationship observed between the CHRIS 1,019
nm, and the blue and red bands respectively. AOT retrievals for three different
dates were shown to be reliable, when compared with AERONET and Microtops II
sunphotometers, and a Lidar, as well as air quality data at ground stations. The
AOT images exhibited considerable spatial variability over the 11 x 11km image
area and were able to indicate both local and long distance sources.
PMID- 27873945
TI - Electrochemical Determination of the Antioxidant Potential of Some Less Common
Fruit Species.
AB - Various berries and fruit types of less common fruit species are known to contain
antioxidants. Consumption of high amounts of antioxidant flavonoids, which
display a variety of biological properties, including antiproliferative and anti
inflammatory activity, may have a positive impact on human health, particularly
for the prevention of cancer and other inflammatory diseases. In these studies,
based on the hypothesis that the fruit extract with the highest content would
possess significantly higher health benefits, flavonoid-rich extracts were
obtained from some less common fruit species - Blue Honeysuckles (Lonicera
Kamtschatica and Lonicera edulis, Turcz. ex. Freyn), Saskatoon berry (Amelanchier
alnifolia Nutt.) and Chinese Hawthorn (Crataegus pinnatifida BUNGE) - grown from
germplasm held at the Mendel University of Agriculture and Forestry in Brno,
Czech Republic and then characterized in terms of biological value based on the
results from a relative antioxidant capacity assessment. The antioxidant content
evaluation was based on the total flavonoid amount, determined by liquid
chromatography with electrochemical detection (HPLC-ED). A DPPH* test was applied
as a reference. The antioxidant content measured in Chinese Hawthorn fruit
extract identified it as a potent source of flavonoid antioxidants, with a
content 9-fold higher than that seen in Amelanchier fruit. The multifunctional
HPLC-ED array method coupled with a DPPH* reference appears to be the optimal
analytical progress, accurately reflecting the nutritivetherapeutic properties of
a fruit.
PMID- 27873948
TI - Planar Pressure Field Determination in the Initial Merging Zone of an Annular
Swirling Jet Based on Stereo-PIV Measurements.
AB - In this paper the static pressure field of an annular swirling jet is measured
indirectly using stereo-PIV measurements. The pressure field is obtained from
numerically solving the Poisson equation, taken into account the axisymmetry of
the flow. At the boundaries no assumptions are made and the exact boundary
conditions are applied. Since all source terms can be measured using stereo-PIV
and the boundary conditions are exact, no assumptions other than axisymmetry had
to be made in the calculation of the pressure field. The advantage of this method
of indirect pressure measurement is its high spatial resolution compared to the
traditional pitot probes. Moreover this method is non-intrusive while the
insertion of a pitot tube disturbs the flow. It is shown that the annular
swirling flow can be divided into three regimes: a low, an intermediate and a
high swirling regime. The pressure field of the low swirling regime is the
superposition of the pressure field of the non-swirling jet and a swirl induced
pressure field due to the centrifugal forces of the rotating jet. As the swirl
increases, the swirl induced pressure field becomes dominant and for the
intermediate and high swirling regimes, the simple radial equilibrium equation
holds.
PMID- 27873949
TI - High Precision Signal Processing Algorithm for White Light Interferometry.
AB - A new signal processing algorithm for absolute temperature measurement using
white light interferometry has been proposed and investigated theoretically. The
proposed algorithm determines the phase delay of an interferometer with very high
precision (.
PMID- 27873950
TI - Direct-Dispense Polymeric Waveguides Platform for Optical Chemical Sensors.
AB - We describe an automated robotic technique called direct-dispense to fabricate a
polymeric platform that supports optical sensor arrays. Direct-dispense, which is
a type of the emerging direct-write microfabrication techniques, uses fugitive
organic inks in combination with cross-linkable polymers to create microfluidic
channels and other microstructures. Specifically, we describe an application of
direct-dispensing to develop optical biochemical sensors by fabricating planar
ridge waveguides that support sol-gelderived xerogel-based thin films. The
xerogel-based sensor materials act as host media to house luminophore biochemical
recognition elements. As a prototype implementation, we demonstrate gaseous
oxygen (O2) responsive optical sensors that operate on the basis of monitoring
luminescence intensity signals. The optical sensor employs a Light Emitting Diode
(LED) excitation source and a standard silicon photodiode as the detector. The
sensor operates over the full scale (0%-100%) of O2 concentrations with a
response time of less than 1 second. This work has implications for the
development of miniaturized multisensor platforms that can be cost-effectively
and reliably mass-produced.
PMID- 27873952
TI - A Novel Sensor System for Measuring Wheel Loads of Vehicles on Highways.
AB - With the development of the highway transportation and business trade, vehicle
Weigh-In-Motion (WIM) technology has become a key technology for measuring
traffic loads. In this paper a novel WIM system based on monitoring of pavement
strain responses in rigid pavement was investigated. In this WIM system multiple
low cost, light weight, small volume and high accuracy embedded concrete strain
sensors were used as WIM sensors to measure rigid pavement strain responses. In
order to verify the feasibility of the method, a system prototype based on
multiple sensors was designed and deployed on a relatively busy freeway. Field
calibration and tests were performed with known two-axle truck wheel loads and
the measurement errors were calculated based on the static weights measured with
a static weighbridge. This enables the weights of other vehicles to be calculated
from the calibration constant. Calibration and test results for individual
sensors or three-sensor fusions are both provided. Repeatability, sources of
error, and weight accuracy are discussed. Successful results showed that the
proposed method was feasible and proven to have a high accuracy. Furthermore, a
sample mean approach using multiple fused individual sensors could provide better
performance compared to individual sensors.
PMID- 27873953
TI - Energy Harvesting Chip and the Chip Based Power Supply Development for a Wireless
Sensor Network.
AB - In this study, an energy harvesting chip was developed to scavenge energy from
artificial light to charge a wireless sensor node. The chip core is a miniature
transformer with a nano-ferrofluid magnetic core. The chip embedded transformer
can convert harvested energy from its solar cell to variable voltage output for
driving multiple loads. This chip system yields a simple, small, and more
importantly, a battery-less power supply solution. The sensor node is equipped
with multiple sensors that can be enabled by the energy harvesting power supply
to collect information about the human body comfort degree. Compared with lab
instruments, the nodes with temperature, humidity and photosensors driven by
harvested energy had variation coefficient measurement precision of less than 6%
deviation under low environmental light of 240 lux. The thermal comfort was
affected by the air speed. A flow sensor equipped on the sensor node was used to
detect airflow speed. Due to its high power consumption, this sensor node
provided 15% less accuracy than the instruments, but it still can meet the
requirement of analysis for predicted mean votes (PMV) measurement. The energy
harvesting wireless sensor network (WSN) was deployed in a 24-hour convenience
store to detect thermal comfort degree from the air conditioning control. During
one year operation, the sensor network powered by the energy harvesting chip
retained normal functions to collect the PMV index of the store. According to the
one month statistics of communication status, the packet loss rate (PLR) is 2.3%,
which is as good as the presented results of those WSNs powered by battery.
Referring to the electric power records, almost 54% energy can be saved by the
feedback control of an energy harvesting sensor network. These results illustrate
that, scavenging energy not only creates a reliable power source for electronic
devices, such as wireless sensor nodes, but can also be an energy source by
building an energy efficient program.
PMID- 27873954
TI - Assessment of Polarimetric SAR Interferometry for Improving Ship Classification
based on Simulated Data.
AB - This paper uses a complete and realistic SAR simulation processing chain,
GRECOSAR, to study the potentialities of Polarimetric SAR Interferometry
(POLInSAR) in the development of new classification methods for ships. Its high
processing efficiency and scenario flexibility have allowed to develop exhaustive
scattering studies. The results have revealed, first, vessels' geometries can be
described by specific combinations of Permanent Polarimetric Scatterers (PePS)
and, second, each type of vessel could be characterized by a particular spatial
and polarimetric distribution of PePS. Such properties have been recently
exploited to propose a new Vessel Classification Algorithm (VCA) working with
POLInSAR data, which, according to several simulation tests, may provide
promising performance in real scenarios. Along the paper, explanation of the main
steps summarizing the whole research activity carried out with ships and GRECOSAR
are provided as well as examples of the main results and VCA validation tests.
Special attention will be devoted to the new improvements achieved, which are
related to simulations processing a new and highly realistic sea surface model.
The paper will show that, for POLInSAR data with fine resolution, VCA can help to
classify ships with notable robustness under diverse and adverse observation
conditions.
PMID- 27873951
TI - Polarographic Electrode Measures of Cerebral Tissue Oxygenation: Implications for
Functional Brain Imaging.
AB - The changes in blood flow, blood volume and oxygenation that accompany focal
increases in neural activity are collectively referred to as the hemodynamic
response and form the basis of non-invasive neuroimaging techniques such as blood
oxygen level dependent (BOLD) functional magnetic resonance imaging. A principle
factor influencing blood oxygenation, the cerebral metabolic rate of oxygen
consumption is poorly understood and as such, data from imaging techniques are
difficult to interpret in terms of the underlying neural activity. In particular
how neurometabolic changes vary temporally, spatially and in magnitude remains
uncertain. Furthermore knowledge of which aspects of neural activity are closely
reflected by metabolic changes is essential for the correct interpretation of
cognitive neuroscience studies in terms of information processing. Polarographic
electrode measurements of cerebral tissue oxygenation in animal models following
presentation of sensory stimuli have started to address these issues. Early
studies demonstrated both increases and decreases in tissue oxygenation following
neural activation. However a recent series of elegant studies in the cat visual
system demonstrated a tight spatial and temporal coupling between evoked peri
synaptic activity and oxygen consumption following presentation of visual
stimuli.
PMID- 27873955
TI - Cosmic Influence on the Sun-Earth Environment.
AB - SOHO satellite data reveals geophysical changes before sudden changes in the
Earth's Sun-Earth environment. The influence of extragalactic changes on the Sun
as well as the Sun-Earth environment seems to be both periodic and episodic. The
periodic changes in terms of solar maxima and minima occur every 11 years,
whereas the episodic changes can happen at any time. Episodic changes can be
monitored by cosmic ray detectors as a sudden increase or decrease of activity.
During these solar and cosmic anomaly periods the environment of the Earth is
affected. The Star-Sun-Earth connection has the potential to influence the
thermosphere, atmosphere, ionosphere and lithosphere. Initial correlation of the
cosmic and Sun-Earth connection has shown the possibility of predicting
earthquakes, sudden changes in atmospheric temperatures and erratic
rainfall/snowfall patterns.
PMID- 27873956
TI - An Energy-Efficient Secure Routing and Key Management Scheme for Mobile Sinks in
Wireless Sensor Networks Using Deployment Knowledge.
AB - For many sensor network applications such as military or homeland security, it is
essential for users (sinks) to access the sensor network while they are moving.
Sink mobility brings new challenges to secure routing in large-scale sensor
networks. Previous studies on sink mobility have mainly focused on efficiency and
effectiveness of data dissemination without security consideration. Also, studies
and experiences have shown that considering security during design time is the
best way to provide security for sensor network routing. This paper presents an
energy-efficient secure routing and key management for mobile sinks in sensor
networks, called SCODEplus. It is a significant extension of our previous study
in five aspects: (1) Key management scheme and routing protocol are considered
during design time to increase security and efficiency; (2) The network topology
is organized in a hexagonal plane which supports more efficiency than previous
square-grid topology; (3) The key management scheme can eliminate the impacts of
node compromise attacks on links between non-compromised nodes; (4) Sensor node
deployment is based on Gaussian distribution which is more realistic than uniform
distribution; (5) No GPS or like is required to provide sensor node location
information. Our security analysis demonstrates that the proposed scheme can
defend against common attacks in sensor networks including node compromise
attacks, replay attacks, selective forwarding attacks, sinkhole and wormhole,
Sybil attacks, HELLO flood attacks. Both mathematical and simulation-based
performance evaluation show that the SCODEplus significantly reduces the
communication overhead, energy consumption, packet delivery latency while it
always delivers more than 97 percent of packets successfully.
PMID- 27873957
TI - Field Calibration of Wind Direction Sensor to the True North and Its Application
to the Daegwanryung Wind Turbine Test Sites.
AB - This paper proposes a field calibration technique for aligning a wind direction
sensor to the true north. The proposed technique uses the synchronized
measurements of captured images by a camera, and the output voltage of a wind
direction sensor. The true wind direction was evaluated through image processing
techniques using the captured picture of the sensor with the least square sense.
Then, the evaluated true value was compared with the measured output voltage of
the sensor. This technique solves the discordance problem of the wind direction
sensor in the process of installing meteorological mast. For this proposed
technique, some uncertainty analyses are presented and the calibration accuracy
is discussed. Finally, the proposed technique was applied to the real
meteorological mast at the Daegwanryung test site, and the statistical analysis
of the experimental testing estimated the values of stable misalignment and
uncertainty level. In a strict sense, it is confirmed that the error range of the
misalignment from the exact north could be expected to decrease within the
credibility level.
PMID- 27873958
TI - An Annual Plant Growth Proxy in the Mojave Desert Using MODIS-EVI Data.
AB - In the arid Mojave Desert, the phenological response of vegetation is largely
dependent upon the timing and amount of rainfall, and maps of annual plant cover
at any one point in time can vary widely. Our study developed relative annual
plant growth models as proxies for annual plant cover using metrics that captured
phenological variability in Moderate-Resolution Imaging Spectroradiometer (MODIS)
Enhanced Vegetation Index (EVI) satellite images. We used landscape phenologies
revealed in MODIS data together with ecological knowledge of annual plant
seasonality to develop a suite of metrics to describe annual growth on a yearly
basis. Each of these metrics was applied to temporally-composited MODIS-EVI
images to develop a relative model of annual growth. Each model was evaluated by
testing how well it predicted field estimates of annual cover collected during
2003 and 2005 at the Mojave National Preserve. The best performing metric was the
spring difference metric, which compared the average of three spring MODIS-EVI
composites of a given year to that of 2002, a year of record drought. The spring
difference metric showed correlations with annual plant cover of R2 = 0.61 for
2005 and R2 = 0.47 for 2003. Although the correlation is moderate, we consider it
supportive given the characteristics of the field data, which were collected for
a different study in a localized area and are not ideal for calibration to MODIS
pixels. A proxy for annual growth potential was developed from the spring
difference metric of 2005 for use as an environmental data layer in desert
tortoise habitat modeling. The application of the spring difference metric to
other imagery years presents potential for other applications such as fuels,
invasive species, and dust-emission monitoring in the Mojave Desert.
PMID- 27873959
TI - Selecting Map Projections in Minimizing Area Distortions in GIS Applications.
AB - Varioussoftware for Geographical Information Systems (GISs) have been developed
and used in many different engineering projects. In GIS applications, map
coverage is important in terms of performing reliable and meaningful queries. Map
projections can be conformal, equal-area and equidistant. The goal of an
application plays an important role in choosing one of those projections.
Choosing the equal-area projection for an application in which area information
is used (forestry, agriculture, ecosystem etc) reduces the amount of distortion
on the area, but many users using GIS ignore this fact and continue to use
applications with present map sheets no matter in what map projection it is. For
example, extracting area information from data whose country system's map sheet
is in conformal projection is relatively more distorted, compared to an equal
area projection one. The goal of this study is to make the best decision in
choosing the most proper equal-area projection among the choices provided by
ArcGIS 9.0, which is a popular GIS software package, and making a comparison on
area errors when conformal projection is used. In this study, the area of parcels
chosen in three different regions and geographic coordinates and whose sizes vary
between 0.01 to 1,000,000 ha are calculated according to Transversal Mercator
(TM, 3 degrees ), Universal Transversal Mercator (UTM, 6 degrees ) and 14
different equal-area projections existing in the ArcGIS 9.0 GIS software package.
The parcel areas calculated with geographical coordinates are accepted as
definite. The difference between the sizes calculated according to projection
coordinates and real sizes of the parcels are determined. Consequently, the
appropriate projections are decided for the areas smaller and equal than 1,000 ha
and greater than 1,000 ha in the GIS software package.
PMID- 27873960
TI - Raman Tweezers as a Diagnostic Tool of Hemoglobin-Related Blood Disorders.
AB - This review presents the development of a Raman Tweezers system for detecting
hemoglobin-related blood disorders at a single cell level. The study demonstrates
that the molecular fingerprint insight provided by Raman analysis holds great
promise for distinguishing between healthy and diseased cells in the field of
biomedicine. Herein a Raman Tweezers system has been applied to investigate the
effects of thalassemia, a blood disease quite diffuse in the Mediterranean Sea
region. By resonant excitation of hemoglobin Raman bands, we examined the
oxygenation capability of normal, alpha- and beta-thalassemic erythrocytes. A
reduction of this fundamental red blood cell function, particularly severe for
beta-thalassemia, has been found. Raman spectroscopy was also used to draw
hemoglobin distribution inside single erythrocytes; the results confirmed the
characteristic anomaly (target shape), occurring in thalassemia and some other
blood disorders. The success of resonance Raman spectroscopy for thalassemia
detection reported in this review provide an interesting starting point to
explore the application of a Raman Tweezers system in the analysis of several
blood disorders.
PMID- 27873961
TI - Design and Validation of a Ten-Port Waveguide Reflectometer Sensor: Application
to Efficiency Measurement and Optimization of Microwave-Heating Ovens.
AB - This work presents the design, manufacturing process, calibration and validation
of a new microwave ten-port waveguide reflectometer based on the use of neural
networks. This low-cost novel device solves some of the shortcomings of previous
reflectometers such as non-linear behavior of power sensors, noise presence and
the complexity of the calibration procedure, which is often based on complex
mathematical equations. These problems, which imply the reduction of the
reflection coefficient measurement accuracy, have been overcome by using a higher
number of probes than usual six-port configurations and by means of the use of
Radial Basis Function (RBF) neural networks in order to reduce the influence of
noise and non-linear processes over the measurements. Additionally, this sensor
can be reconfigured whenever some of the eight coaxial power detectors fail,
still providing accurate values in real time. The ten-port performance has been
compared against a high-cost measurement instrument such as a vector network
analyzer and applied to the measurement and optimization of energy efficiency of
microwave ovens, with good results.
PMID- 27873962
TI - Coupling a Neural Network-Based forward Model and a Bayesian Inversion Approach
to Retrieve Wind Field from Spaceborne Polarimetric Radiometers.
AB - A simulation study to assess the potentiality of sea surface wind vector
estimation based on the approximation of the forward model through Neural
Networks and on the Bayesian theory of parameter estimation is presented. A
polarimetric microwave radiometer has been considered and its observations have
been simulated by means of the two scale model. To perform the simulations, the
atmospheric and surface parameters have been derived from ECMWF analysis fields.
To retrieve wind speed, Minimum Variance (MV) and Maximum Posterior Probability
(MAP) criteria have been used while, for wind direction, a Maximum Likelihood
(ML) criterion has been exploited. To minimize the cost function of MAP and ML,
conventional Gradient Descent method, as well as Simulated Annealing optimization
technique, have been employed. Results have shown that the standard deviation of
the wind speed retrieval error is approximately 1.1 m/s for the best estimator.
As for the wind direction, the standard deviation of the estimation error is less
than 13 degrees for wind speeds larger than 6 m/s. For lower wind velocities,
the wind direction signal is too weak to ensure reliable retrievals. A method to
deal with the non-uniqueness of the wind direction solution has been also
developed. A test on a case study has yielded encouraging results.
PMID- 27873963
TI - A Novel Re-keying Function Protocol (NRFP) For Wireless Sensor Network Security.
AB - This paper describes a novel re-keying function protocol (NRFP) for wireless
sensor network security. A re-keying process management system for sensor
networks is designed to support in-network processing. The design of the protocol
is motivated by decentralization key management for wireless sensor networks
(WSNs), covering key deployment, key refreshment, and key establishment. NRFP
supports the establishment of novel administrative functions for sensor nodes
that derive/re-derive a session key for each communication session. The protocol
proposes direct connection, in-direct connection and hybrid connection. NRFP also
includes an efficient protocol for local broadcast authentication based on the
use of one-way key chains. A salient feature of the authentication protocol is
that it supports source authentication without precluding in-network processing.
Security and performance analysis shows that it is very efficient in computation,
communication and storage and, that NRFP is also effective in defending against
many sophisticated attacks.
PMID- 27873964
TI - Problems Encountered in Fluctuating Flame Temperature Measurements by
Thermocouple.
AB - Some thermocouple experiments were carried out in order to obtain sensitivity of
thermocouple readings to fluctuations in flames and to determine if the average
thermocouple reading was representative of the local volume temperature for
fluctuating flames. The thermocouples considered were an exposed junction
thermocouple and a fully sheathed thermocouple with comparable time constants.
Either the voltage signal or indicated temperature for each test was recorded at
sampling rates between 300-4,096 Hz. The trace was then plotted with respect to
time or sample number so that time variation in voltage or temperature could be
visualized and the average indicated temperature could be determined. For
experiments where high sampling rates were used, the signal was analyzed using
Fast Fourier Transforms (FFT) to determine the frequencies present in the
thermocouple signal. This provided a basic observable as to whether or not the
probe was able to follow flame oscillations. To enhance oscillations, for some
experiments, the flame was forced. An analysis based on thermocouple time
constant, coupled with the transfer function for a sinusoidal input was tested
against the experimental results.
PMID- 27873965
TI - Vesicles for Signal Amplification in a Biosensor for the Detection of Low Antigen
Concentrations.
AB - The sensitivity of biosensors is often not sufficient to detect diagnostically
relevant biomarker concentrations. In this paper we have utilized a Quartz
Crystal Microbalance with Dissipation monitoring (QCM-D) to detect dissipative
losses induced by the attachment of intact vesicles. We modified a sandwich assay
by coupling the secondary antibodies to vesicles. This resulted in an increase of
detection sensitivity, achieving a diagnostically relevant detection limit of 5
ng/ml or 30 pM antigens. In addition, we could combine the individual assay steps
to decrease the total time to result in about 30 minutes.
PMID- 27873966
TI - Zeolite-based Impedimetric Gas Sensor Device in Low-cost Technology for
Hydrocarbon Gas Detection.
AB - Due to increasing environmental concerns the need for inexpensive selective gas
sensors is increasing. This work deals with transferring a novel zeolite-based
impedimetric hydrocarbon gas sensor principle, which has been originally
manufactured in a costly combination of photolithography, thin-film processes,
and thick-film processes to a lowcost technology comprising only thick-film
processes and one electroplating step. The sensing effect is based on a thin
chromium oxide layer between the interdigital electrodes and a Pt-loaded ZSM-5
zeolite film. When hydrocarbons are present in the sensor ambient, the electrical
sensor impedance increases strongly and selectively. In the present work, the
chromium oxide film is electroplated on Au screen-printed interdigital electrodes
and then oxidized to Cr2O3. The electrode area is covered with the screen-printed
zeolite. The sensor device is self-heated utilizing a planar platinum heater on
the backside. The best sensor performance is obtained at a frequency of 3 Hz at
around 350 degrees C. The good selectivity of the original sensor setup could be
confirmed, but a strong cross-sensitivity to ammonia occurs, which might prohibit
its original intention for use in automotive exhausts.
PMID- 27873967
TI - A Love Wave Reflective Delay Line with Polymer Guiding Layer for Wireless Sensor
Application.
AB - This paper presents an optimal design for a Love wave reflective delay line on
41o YX LiNbO3 with a polymer guiding layer for wireless sensor applications. A
theoretical model was established to describe the Love wave propagation along the
larger piezoelectric substrate with polymer waveguide, and the lossy mechanism
from the viscoelastic waveguide was discussed, which results in the optimal
guiding layer thickness. Coupling of modes (COM) was used to determine the
optimal design parameters of the reflective delay line structured by single phase
unidirectional transducers (SPUDTs) and shorted grating reflectors. Using the
network analyzer, the fabricated Love wave reflective delay line was
characterized, high signal noise ratio (S/N), sharp reflection peaks, and few
spurious noise between the peaks were found, and the measured result agrees well
with the simulated one. Also, the optimal guiding layer thickness of 1.5~1.8MUm
was extracted experimentally, and it is consistent with the theoretical analysis.
PMID- 27873968
TI - TinyONet: A Cache-Based Sensor Network Bridge Enabling Sensing Data Reusability
and Customized Wireless Sensor Network Services.
AB - In recent years, a few protocol bridge research projects have been announced to
enable a seamless integration of Wireless Sensor Networks (WSNs) with the TCP/IP
network. These studies have ensured the transparent end-to-end communication
between two network sides in the node-centric manner. Researchers expect this
integration will trigger the development of various application domains. However,
prior research projects have not fully explored some essential features for WSNs,
especially the reusability of sensing data and the data-centric communication. To
resolve these issues, we suggested a new protocol bridge system named TinyONet.
In TinyONet, virtual sensors play roles as virtual counterparts of physical
sensors and they dynamically group to make a functional entity, Slice. Instead of
direct interaction with individual physical sensors, each sensor application uses
its own WSN service provided by Slices. If a new kind of service is required in
TinyONet, the corresponding function can be dynamically added at runtime. Beside
the data-centric communication, it also supports the node-centric communication
and the synchronous access. In order to show the effectiveness of the system, we
implemented TinyONet on an embedded Linux machine and evaluated it with several
experimental scenarios.
PMID- 27873970
TI - Horizontal Positional Accuracy of Google Earth's High-Resolution Imagery Archive.
AB - Google Earth now hosts high-resolution imagery that spans twenty percent of the
Earth's landmass and more than a third of the human population. This contemporary
highresolution archive represents a significant, rapidly expanding, cost-free and
largely unexploited resource for scientific inquiry. To increase the scientific
utility of this archive, we address horizontal positional accuracy
(georegistration) by comparing Google Earth with Landsat GeoCover scenes over a
global sample of 436 control points located in 109 cities worldwide. Landsat
GeoCover is an orthorectified product with known absolute positional accuracy of
less than 50 meters root-mean-squared error (RMSE). Relative to Landsat GeoCover,
the 436 Google Earth control points have a positional accuracy of 39.7 meters
RMSE (error magnitudes range from 0.4 to 171.6 meters). The control points
derived from satellite imagery have an accuracy of 22.8 meters RMSE, which is
significantly more accurate than the 48 control-points based on aerial
photography (41.3 meters RMSE; t-test p-value < 0.01). The accuracy of control
points in more-developed countries is 24.1 meters RMSE, which is significantly
more accurate than the control points in developing countries (44.4 meters RMSE;
t-test p-value < 0.01). These findings indicate that Google Earth highresolution
imagery has a horizontal positional accuracy that is sufficient for assessing
moderate-resolution remote sensing products across most of the world's peri-urban
areas.
PMID- 27873971
TI - A Passive Wireless Temperature Sensor for Harsh Environment Applications.
AB - High temperature sensors capable of operating in harsh environments are needed in
order to prevent disasters caused by structural or system functional failures due
to increasing temperatures. Most existing temperature sensors do not satisfy the
needs because they require either physical contact or a battery power supply for
signal communication, and furthermore, neither of them can withstand high
temperatures nor rotating applications. This paper presents a novel passive
wireless temperature sensor, suitable for working in harsh environments for high
temperature rotating component monitoring. A completely passive LC resonant
telemetry scheme, relying on a frequency variation output, which has been applied
successfully in pressure, humidity and chemical measurement, is integrated with a
unique high-k temperature sensitive ceramic material, in order to measure the
temperatures without contacts, active elements, or power supplies within the
sensor. In this paper, the high temperature sensor design and performance
analysis are conducted based on mechanical and electrical modeling, in order to
maximize the sensing distance, the Q factor and the sensitivity. In the end, the
sensor prototype is fabricated and calibrated successfully up to 235oC, so that
the concept of temperature sensing through passive wireless communication is
proved.
PMID- 27873969
TI - Optoelectronic Plethysmography has Improved our Knowledge of Respiratory
Physiology and Pathophysiology.
AB - It is well known that the methods actually used to track thoraco-abdominal volume
displacement have several limitations. This review evaluates the clinical
usefulness of measuring chest wall kinematics by optoelectronic plethysmography
[OEP]. OEP provides direct measurements (both absolute and its variations) of the
volume of the chest wall and its compartments, according to the model of Ward and
Macklem, without requiring calibration or subject cooperation. The system is non
invasive and does not require a mouthpiece or nose-clip which may modify the
pattern of breathing, making the subject aware of his breathing. Also, the
precise assessment of compartmental changes in chest wall volumes, combined with
pressure measurements, provides a detailed description of the action and control
of the different respiratory muscle groups and assessment of chest wall dynamics
in a number of physiological and clinical experimental conditions.
PMID- 27873972
TI - Sparse Detector Imaging Sensor with Two-Class Silhouette Classification.
AB - This paper presents the design and test of a simple active near-infrared sparse
detector imaging sensor. The prototype of the sensor is novel in that it can
capture remarkable silhouettes or profiles of a wide-variety of moving objects,
including humans, animals, and vehicles using a sparse detector array comprised
of only sixteen sensing elements deployed in a vertical configuration. The
prototype sensor was built to collect silhouettes for a variety of objects and to
evaluate several algorithms for classifying the data obtained from the sensor
into two classes: human versus non-human. Initial tests show that the
classification of individually sensed objects into two classes can be achieved
with accuracy greater than ninety-nine percent (99%) with a subset of the sixteen
detectors using a representative dataset consisting of 512 signatures. The
prototype also includes a Webservice interface such that the sensor can be tasked
in a network-centric environment. The sensor appears to be a low-cost alternative
to traditional, high-resolution focal plane array imaging sensors for some
applications. After a power optimization study, appropriate packaging, and
testing with more extensive datasets, the sensor may be a good candidate for
deployment in vast geographic regions for a myriad of intelligent electronic
fence and persistent surveillance applications, including perimeter security
scenarios.
PMID- 27873973
TI - Retrieval of Surface Air Specific Humidity Over the Ocean Using AMSR-E
Measurements.
AB - We have developed a new algorithm to estimate the surface air specific humidity
over the ocean from AMSR-E data. It should be noted that remarkably reduced
random errors of the estimated surface air specific humidity result from using
the surface air specific humidity provided by reanalysis data. We validated our
new algorithm using independent ship and buoy data. The bias, RMS error, and
correlation coefficient of the products obtained using our algorithm for global
buoys are 0.38 g/kg, 0.61 g/kg and 0.99, respectively. It should be noted that
surface specific humidity having similar accuracy to the reanalysis data near in
situ data could be derived from AMSR-E data by the present algorithm.
PMID- 27873974
TI - Measurements of Impedance and Attenuation at CENELEC Bands for Power Line
Communications Systems.
AB - Power line impedance is a very important parameter on the design of power line
communications (PLC) modem architecture. Variations on the impedance of the power
line affect the communications circuit performance. In order to determine
impedance of the power lines, measurements were carried out in Turkey at
frequencies ranging from 10 to 170 kHz, (CENELEC A,B,C,D bands). Measurements
were conducted in three categories: rural, urban and the industrial power lines.
Experimental results are presented in graphical form. The measured impedances
were determined as 3-17 ohms, 1-17 ohms, and 1-21 ohms for rural, urban and the
industrial lines, respectively. A set of the formulas between impedance and
frequency are developed on the power lines using the regression analysis from the
obtained empirical data. Signal attenuations on the power lines in the CENELEC
band are also measured for rural, urban and industrial regions. Attenuation
measurements are repeated for phase-neutral, phase-ground and the neutral-ground
conductors. Signal attenuations are found to be 4-30 dB, for different power
lines. To establish validity of obtained results for the design of PLC systems,
the results are compared with previous investigations. The effects of some
household appliances such as TV, PC, UPS, lighting and cooling systems on the
impedances and the attenuations for power line communications systems are
observed. Some suggestions and proposals are presented for PLC modem designers.
PMID- 27873975
TI - Energy Options for Wireless Sensor Nodes.
AB - Reduction in size and power consumption of consumer electronics has opened up
many opportunities for low power wireless sensor networks. One of the major
challenges is in supporting battery operated devices as the number of nodes in a
network grows. The two main alternatives are to utilize higher energy density
sources of stored energy, or to generate power at the node from local forms of
energy. This paper reviews the state-of-the art technology in the field of both
energy storage and energy harvesting for sensor nodes. The options discussed for
energy storage include batteries, capacitors, fuel cells, heat engines and
betavoltaic systems. The field of energy harvesting is discussed with reference
to photovoltaics, temperature gradients, fluid flow, pressure variations and
vibration harvesting.
PMID- 27873976
TI - Spectral and Spatial-Based Classification for Broad-Scale Land Cover Mapping
Based on Logistic Regression.
AB - Improvement of satellite sensor characteristics motivates the development of new
techniques for satellite image classification. Spatial information seems to be
critical in classification processes, especially for heterogeneous and complex
landscapes such as those observed in the Mediterranean basin. In our study, a
spectral classification method of a LANDSAT-5 TM imagery that uses several
binomial logistic regression models was developed, evaluated and compared to the
familiar parametric maximum likelihood algorithm. The classification approach
based on logistic regression modelling was extended to a contextual one by using
autocovariates to consider spatial dependencies of every pixel with its
neighbours. Finally, the maximum likelihood algorithm was upgraded to contextual
by considering typicality, a measure which indicates the strength of class
membership. The use of logistic regression for broad-scale land cover
classification presented higher overall accuracy (75.61%), although not
statistically significant, than the maximum likelihood algorithm (64.23%), even
when the latter was refined following a spatial approach based on Mahalanobis
distance (66.67%). However, the consideration of the spatial autocovariate in the
logistic models significantly improved the fit of the models and increased the
overall accuracy from 75.61% to 80.49%.
PMID- 27873977
TI - Globally Optimal Multisensor Distributed Random Parameter Matrices Kalman
Filtering Fusion with Applications.
AB - This paper proposes a new distributed Kalman filtering fusion with random state
transition and measurement matrices, i.e., random parameter matrices Kalman
filtering. It is proved that under a mild condition the fused state estimate is
equivalent to the centralized Kalman filtering using all sensor measurements;
therefore, it achieves the best performance. More importantly, this result can be
applied to Kalman filtering with uncertain observations including the measurement
with a false alarm probability as a special case, as well as, randomly variant
dynamic systems with multiple models. Numerical examples are given which support
our analysis and show significant performance loss of ignoring the randomness of
the parameter matrices.
PMID- 27873978
TI - Land Use/Cover Dynamics in Response to Changes in Environmental and Socio
Political Forces in the Upper Reaches of Yangtze River, China.
AB - Land use/cover change (LUCC), which results from the complex interaction of
social, ecological and geophysical processes, is a major issue and the main cause
of global environmental change. This study analyzed the land use/cover dynamics
and their environmental and socio-political forces in the upper reaches of
Yangtze River from 1980 to 2000 by using remote sensing, climatic and socio
economic data from both research institutes and government departments. The
results indicated that there had been significant land use/cover changes between
1980 and 2000 in the study area, which were characterized by a severe replacement
of cropland and woodland with grassland and built-up land. The transition
matrices highlight the dominant dynamic events and the internal conversions
between land use/cover types during the study period and reveal two distinct
transition phases. Land use/cover changes in the upper reaches of Yangtze River
during 1980 to 2000, while restricted by environmental attributes, were strongly
driven by socio-political factors. However, excessively pursuing higher land use
benefits likely results in serious environmental degradation. This study suggests
that the restructuring of land use should be based on land suitability and
sustainable protection of fragile environment in the upper reaches of Yangtze
River. A thorough comprehension of historical changes will enhance our capability
to predict future land use change and contribute to effective management
strategies and policies for the rational land use.
PMID- 27873979
TI - Wireless Monitoring of Automobile Tires for Intelligent Tires.
AB - This review discusses key technologies of intelligent tires focusing on sensors
and wireless data transmission. Intelligent automobile tires, which monitor their
pressure, deformation, wheel loading, friction, or tread wear, are expected to
improve the reliability of tires and tire control systems. However, in installing
sensors in a tire, many problems have to be considered, such as compatibility of
the sensors with tire rubber, wireless transmission, and battery installments. As
regards sensing, this review discusses indirect methods using existing sensors,
such as that for wheel speed, and direct methods, such as surface acoustic wave
sensors and piezoelectric sensors. For wireless transmission, passive wireless
methods and energy harvesting are also discussed.
PMID- 27873980
TI - Use of Automatic Target Recognition System for the Displacement Measurements in a
Small Diameter Tunnel Ahead of the Face of the Motorway Tunnel During Excavation.
AB - During construction of the Sentvid tunnel a unique opportunity arose to measure
the 3D displacements ahead of the motorway tunnel excavation face, since the
exploratory tunnel was already constructed in the axis of the main tunnel.
According to reviewed literature such measurements had not been performed yet and
several problems regarding equipment and complete scheme of the experiment needed
to be overcome. The paper gives a brief description of the Sentvid tunnel
project, presents significant factors that affected the choice of the geodetic
equipment and describes the scheme of the experiment. A special attention is
focused on the problems relating to the operation of the instrument in demanding
environmental conditions (water, dust).
PMID- 27873981
TI - Water Productivity Mapping (WPM) Using Landsat ETM+ Data for the Irrigated
Croplands of the Syrdarya River Basin in Central Asia.
AB - The overarching goal of this paper was to espouse methods and protocols for water
productivity mapping (WPM) using high spatial resolution Landsat remote sensing
data. In a world where land and water for agriculture are becoming increasingly
scarce, growing "more crop per drop" (increasing water productivity) becomes
crucial for food security of future generations. The study used time-series
Landsat ETM+ data to produce WPMs of irrigated crops, with emphasis on cotton in
the Galaba study area in the Syrdarya river basin of Central Asia. The WPM
methods and protocols using remote sensing data consisted of: (1) crop
productivity (ton/ha) maps (CPMs) involvingcrop type classification, crop yield
and biophysical modeling, and extrapolating yield models to larger areas using
remotely sensed data; (2) crop water use (m3/ha) maps (WUMs) (or actual seasonal
evapotranspiration or actual ET) developed through Simplified Surface Energy
Balance (SSEB) model; and (3) water productivity (kg/m3) maps (WPMs) produced by
dividing raster layers of CPMs by WUMs. The SSEB model calculated WUMs (actual
ET) by multiplying the ET fractionby reference ET. The ETfraction was determined
using Landsat thermal imagery by selecting the "hot" pixels (zero ET) and "cold"
pixels (maximum ET). The grass reference ET was calculated by FAO Penman-Monteith
method using meteorological data. The WPMs for the Galaba study area demonstrated
a wide variations (0-0.54 kg/m3) in water productivity of cotton fields with
overwhelming proportion (87%) of the area having WP less than 0.30 kg/m3, 11% of
the area having WP in range of 0.30-0.36 kg/m3, and only 2% of the area with WP
greater than 0.36 kg/m3. These results clearly imply that there are opportunities
for significant WP increases in overwhelming proportion of the existing
croplands. The areas of low WP are spatially pin-pointed and can be used as focus
for WP improvements through better land and water management practices.
PMID- 27873982
TI - Inversion of Electromagnetic Models for Bare Soil Parameter Estimation from
Multifrequency Polarimetric SAR Data.
AB - The potentiality of polarimetric SAR data for the estimation of bare soil
geophysical parameters (i.e., roughness and soil moisture) is investigated in
this work. For this purpose, two forward models available in the literature, able
to simulate the measurements of a multifrequency radar polarimeter, have been
implemented for use within an inversion scheme. A multiplicative noise has been
considered in the multidimensional space of the elements of the polarimetric
Covariance Matrix, by adopting a complex Wishart distribution to account for
speckle effects. An additive error has been also introduced on the simulated
measurements to account for calibration and model errors. Maximum a Posteriori
Probability and Minimum Variance criteria have been considered to perform the
inversion. As for the algorithms to implement the criteria, simple
optimization/integration procedures have been used. A Neural Network approach has
been adopted as well. A correlation between the roughness parameters has been
also supposed in the simulation as a priori information, to evaluate its effect
on the estimation accuracy. The methods have been tested on simulated data to
compare their performances as function of number of looks, incidence angles and
frequency bands, thus identifying the best radar configuration in terms of
estimation accuracy. Polarimetric measurements acquired during MAC Europe and SIR
C campaigns, over selected bare soil fields, have been also used as validation
data.
PMID- 27873983
TI - Rural Land Use Change during 1986-2002 in Lijiang, China, Based on Remote Sensing
and GIS Data.
AB - As a local environmental issue with global importance, land use/land cover change
(LUCC) has always been one of the key issues in geography and environmental
studies with the expansion of regional case studies. While most of LUCC studies
in China have focused on urban land use change, meanwhile, compared with the
rapid change of urban land use in the coastal areas of eastern China, slow but
distinct rural land use changes have also occurred in the mountainous areas of
western China since the late 1980s. In this case through a study in Lijiang
County of Yunnan Province, with the application of remote sensing data and
geographic information system techniques, the process of rural land use change in
mountain areas of western China was monitored through extensive statistical
analysis of detailed regional data. The results showed significant increases in
construction land, paddy field and dry land, and a decrease in dense forest land
and waste grassland between 1986 and 2002. The conversions between dense forest
land and sparse forest land, grassland, waste grassland and dry land were the
primary processes of rural land use change. Sparse forest land had the highest
rate of land use change, with glacier or snow-capped land the lowest; while human
settlement and rural economic development were found to be the main driving
forces of regional difference in the integrated land use change rate among the 24
towns of Lijiang County. Quantified through landscape metrics, spatial patterns
of rural land use change were represented as an increase in landscape diversity
and landscape fragmentation, and the regularization of patch shapes, suggesting
the intensification of human disturbances and degradation of ecological quality
in the rural landscape.
PMID- 27873984
TI - A Modified Subpulse SAR Processing Procedure Based on the Range-Doppler Algorithm
for Synthetic Wideband Waveforms.
AB - Synthetic wideband waveforms (SWW) combine a stepped frequency CW waveform and a
chirp signal waveform to achieve high range resolution without requiring a large
bandwidth or the consequent very high sampling rate. If an efficient algorithm
like the range-Doppler algorithm (RDA) is used to acquire the SAR images for
synthetic wideband signals, errors occur due to approximations, so the images may
not show the best possible result. This paper proposes a modified subpulse SAR
processing algorithm for synthetic wideband signals which is based on RDA. An
experiment with an automobile-based SAR system showed that the proposed algorithm
is quite accurate with a considerable improvement in resolution and quality of
the obtained SAR image.
PMID- 27873985
TI - Eliminating the Interference of Oxygen for Sensing Hydrogen Peroxide with the
Polyaniline Modified Electrode.
AB - Polyaniline (PANI) has been shown to possess excellent catalytic activity toward
oxygen reduction, however, this molecule may interfere with the electrochemical
measurement of other targets when using a polyaniline modified platinum (PANI/Pt)
electrode. In this study, we have demonstrated the considerable effects of
dissolved oxygen on the sensing of hydrogen peroxide with the PANI/Pt electrode.
Accordingly, we proposed a strategy to eliminate the influence of dissolved
oxygen with oxygen scavengers. Our results indicated that as an oxygen scavenger
sodium thiosulfate was very effective in the removal of dissolved oxygen from the
sample solution, and had negligible effect on the quantification of hydrogen
peroxide when its applied concentration was below 1 mM.
PMID- 27873986
TI - Objective Error Criterion for Evaluation of Mapping Accuracy Based on Sensor Time
of-Flight Measurements.
AB - An objective error criterion is proposed for evaluating the accuracy of maps of
unknown environments acquired by making range measurements with different sensing
modalities and processing them with different techniques. The criterion can also
be used for the assessment of goodness of fit of curves or shapes fitted to map
points. A demonstrative example from ultrasonic mapping is given based on
experimentally acquired time-of-flight measurements and compared with a very
accurate laser map, considered as absolute reference. The results of the proposed
criterion are compared with the Hausdorff metric and the median error criterion
results. The error criterion is sufficiently general and flexible that it can be
applied to discrete point maps acquired with other mapping techniques and sensing
modalities as well.
PMID- 27873987
TI - Electrochemical Immunosensor Based on Polythionine/Gold Nanoparticles for the
Determination of Aflatoxin B1.
AB - An aflatoxin B1 (AFB1) electrochemical immunosensor was developed by the
immobilisation of aflatoxin B1-bovine serum albumin (AFB1-BSA) conjugate on a
polythionine (PTH)/gold nanoparticles (AuNP)-modified glassy carbon electrode
(GCE). The surface of the AFB1-BSA conjugate was covered with horseradish
peroxidase (HRP), in order to prevent non-specific binding of the immunosensors
with ions in the test solution. The AFB1 immunosensor exhibited a quasi
reversible electrochemistry as indicated by a cyclic voltammetric (CV) peak
separation (DeltaEp) value of 62 mV. The experimental procedure for the detection
of AFB1 involved the setting up of a competition between free AFB1 and the
immobilised AFB1-BSA conjugate for the binding sites of free anti-aflatoxin B1
(anti-AFB1) antibody. The immunosensor's differential pulse voltammetry (DPV)
responses (peak currents) decreased as the concentration of free AFB1 increased
within a dynamic linear range (DLR) of 0.6 - 2.4 ng/mL AFB1 and a limit of
detection (LOD) of 0.07 ng/mL AFB1. This immunosensing procedure eliminates the
need for enzyme-labeled secondary antibodies normally used in conventional ELISA
based immunosensors.
PMID- 27873988
TI - Comparison of a Resonant Mirror Biosensor (IAsys) and a Quartz Crystal
Microbalance (QCM) for the Study on Interaction between Paeoniae Radix 801 and
Endothelin-1.
AB - A resonant mirror biosensor, IAsys, and a quartz crystal microbalance (QCM) are
known independently as surface sensitive analytical devices capable of label-free
and in situ bioassays. In this study, an IAsys and a QCM are employed for a new
study on the action mechanism of Paeoniae Radix 801 (P. radix 801) by detecting
the specific interaction between P. radix 801 and endothelin-1 (ET-1). In the
experiments, ET-1 was immobilized on the surfaces of the IAsys cuvette and the
QCM substrate by surface modification techniques, and then P. radix 801 solution
was contacted to the cuvette and the substrate, separately. Then, the binding and
interaction process between P. radix 801 and ET-1 was monitored by IAsys and QCM,
respectively. The experimental results showed that P. radix 801 binds ET-1
specifically. The IAsys and QCM response curves to the ET-1 immobilization and P.
radix 801 binding are similar in reaction process, but different in binding
profiles, reflecting different resonation principles. Although both IAsys and QCM
could detect the interaction of P. radix 801 and ET-1 with high reproducibility
and reliability through optimization of the ET-1 coating, the reproducibility and
reliability obtained by IAsys are better than those obtained by QCM, since the
QCM frequency is more sensitive to temperature fluctuations, atmospheric changes
and mechanical disturbances. However, IAsys and QCM are generally potent and
reliable tools to study the interaction of P. radix 801 and ET-1, and can
conclusively be applied to the action mechanism of P. radix 801.
PMID- 27873989
TI - Imprinting of Molecular Recognition Sites on Nanostructures and Its Applications
in Chemosensors.
AB - Biological receptors including enzymes, antibodies and active proteins have been
widely used as the detection platform in a variety of chemo/biosensors and
bioassays. However, the use of artificial host materials in chemical/biological
detections has become increasingly attractive, because the synthetic recognition
systems such as molecularly imprinted polymers (MIPs) usually have lower costs,
higher physical/chemical stability, easier preparation and better engineering
possibility than biological receptors. Molecular imprinting is one of the most
efficient strategies to offer a synthetic route to artificial recognition systems
by a template polymerization technique, and has attracted considerable efforts
due to its importance in separation, chemo/biosensors, catalysis and biomedicine.
Despite the fact that MIPs have molecular recognition ability similar to that of
biological receptors, traditional bulky MIP materials usually exhibit a low
binding capacity and slow binding kinetics to the target species. Moreover, the
MIP materials lack the signal-output response to analyte binding events when used
as recognition elements in chemo/biosensors or bioassays. Recently, various
explorations have demonstrated that molecular imprinting nanotechniques may
provide a potential solution to these difficulties. Many successful examples of
the development of MIP-based sensors have also been reported during the past
several decades. This review will begin with a brief introduction to the
principle of molecular imprinting nanotechnology, and then mainly summarize
various synthesis methodologies and recognition properties of MIP nanomaterials
and their applications in MIP-based chemosensors. Finally, the future
perspectives and efforts in MIP nanomaterials and MIP-based sensors are given.
PMID- 27873992
TI - Nondestructive Characterization by Advanced Synchrotron Light Techniques:
Spectromicroscopy and Coherent Radiology.
AB - The advanced characteristics of synchrotron light has led in recent years to the
development of a series of new experimental techniques to investigate chemical
and physical properties on a microscopic scale. Although originally developed for
materials science and biomedical research, such techniques find increasing
applications in other domains - and could be quite useful for the study and
conservation of cultural heritage. Specifically, they can nondestructively
provide detailed chemical composition information that can be useful for the
identification of specimens, for the discovery of historical links based on the
sources of chemical raw materials and on chemical processes, for the analysis of
damage, their causes and remedies and for many other issues. Likewise,
morphological and structural information on a microscopic scale is useful for the
identification, study and preservation of many different cultural and historical
specimens. We concentrate here on two classes of techniques: in the first case,
photoemission spectromicroscopy. This is the result of the advanced evolution of
photoemission techniques like ESCA (Electron Microscopy for Chemical Analysis).
By combining high lateral resolution to spectroscopy, photoemission
spectromicroscopy can deliver fine chemical information on a microscopic scale in
a nondestructive fashion. The second class of techniques exploits the high
lateral coherence of modern synchrotron sources, a byproduct of the quest for
high brightness or brilliance. We will see that such techniques now push
radiology into the submicron scale and the submillisecond time domain.
Furthermore, they can be implemented in a tomographic mode, increasing the
information and becoming potentially quite useful for the analysis of cultural
heritage specimens.
PMID- 27873991
TI - Array Biosensor for Toxin Detection: Continued Advances.
AB - The following review focuses on progress made in the last five years with the NRL
Array Biosensor, a portable instrument for rapid and simultaneous detection of
multiple targets. Since 2003, the Array Biosensor has been automated and
miniaturized for operation at the point-of-use. The Array Biosensor has also been
used to demonstrate (1) quantitative immunoassays against an expanded number of
toxins and toxin indicators in food and clinical fluids, and (2) the efficacy of
semi-selective molecules as alternative recognition moieties. Blind trials, with
unknown samples in a variety of matrices, have demonstrated the versatility,
sensitivity, and reliability of the automated system.
PMID- 27873990
TI - Na+,K+-ATPase as the Target Enzyme for Organic and Inorganic Compounds.
AB - This paper gives an overview of the literature data concerning specific and non
specific inhibitors of Na+,K+-ATPase receptor. The immobilization approaches
developed to improve the rather low time and temperature stability of Na+,K+
ATPase, as well to preserve the enzyme properties were overviewed. The functional
immobilization of Na+,K+-ATPase receptor as the target, with preservation of the
full functional protein activity and access of various substances to an optimum
number of binding sites under controlled conditions in the combination with high
sensitive technology for the detection of enzyme activity is the basis for
application of this enzyme in medical, pharmaceutical and environmental research.
PMID- 27873993
TI - Fully-Non-Contact Masking-Based Holography Inspection on Dimensionally Responsive
Artwork Materials.
AB - Environmental control in galleries and museums is a necessity and is informed by
the knowledge of ongoing processes of deterioration which can threaten the
integrity and stability of artworks. Invisible dimensional changes in many works
of art occur following environmental fluctuations as materials respond to the
changes in humidity and temperature. The constant influence of dimensional
changes usually remains invisible until displacement generates visible
deterioration and irreversible damage. This paper exploits fully non contact
coherent interferometry in a sequential masking procedure for visualising and
studying surface deformation which is the direct effect of dimensional
alterations induced by humidity changes. Surface deformation during dimensional
displacements of constituent materials may occur on any artwork within an
unstable environment. In this context, the presented research study explores the
diagnostic potential of fully non contact sensors for the direct structural
assessment of environmental effects as they occur in real time on works of art.
The method is employed to characterise material responses, complementing and
improving understanding of material behaviour in unstable environments.
PMID- 27873995
TI - Conformational Mobility of GOx Coenzyme Complex on Single-Wall Carbon Nanotubes.
AB - A critical issue in bioelectrochemical applications that use electrodes modified
by Single Wall Carbon Nanotubes (SWCNTs) is to ensure high activity of the
catalytic site of an immobilized enzyme protein interacting with nanomaterials.
Since Flavin Adenine Dinucleotide (FAD), a coenzyme of glucose oxidase (GOx), is
the active center of the catalytic site, conformation of which could determine
the activity of enzyme, it is important to understand the dynamic mechanism of
its conformational mobility while GOx is adsorbed on SWCNTs with multiple
orientations. However, this dynamic mechanism still remains unclear at the atomic
level due to the coenzyme being embedded in the apo-GOx and the limitations of
appropriate experimental methods. In this study, a molecular dynamics (MD)
simulation was performed to investigate the conformational mobility mechanism of
the coenzyme. The trajectory and the interaction energy clearly indicate that the
adsorption of GOx onto SWCNTs plays an important role in the conformational
mobility of the coenzyme, and its mobility is greatly affected by the
distribution of water molecules due to it being hydrophobic.
PMID- 27873994
TI - A Nonoxidative Electrochemical Sensor Based on a Self-Doped Polyaniline/Carbon
Nanotube Composite for Sensitive and Selective Detection of the Neurotransmitter
Dopamine: A Review.
AB - Most of the current techniques for in vivo detection of dopamine exploit the ease
of oxidation of this compound. The major problem during the detection is the
presence of a high concentration of ascorbic acid that is oxidized at nearly the
same potential as dopamine on bare electrodes. Furthermore, the oxidation product
of dopamine reacts with ascorbic acid present in samples and regenerates dopamine
again, which severely limits the accuracy of the detection. Meanwhile, the
product could also form a melanin-like insulating film on the electrode surface,
which decreases the sensitivity of the electrode. Various surface modifications
on the electrode, new materials for making the electrodes, and new
electrochemical techniques have been exploited to solve these problems. Recently
we developed a new electrochemical detection method that did not rely on direct
oxidation of dopamine on electrodes, which may naturally solve these problems.
This approach takes advantage of the high performance of our newly developed
poly(anilineboronic acid)/carbon nanotube composite and the excellent
permselectivity of the ion-exchange polymer Nafion. The high affinity binding of
dopamine to the boronic acid groups of the polymer affects the electrochemical
properties of the polyaniline backbone, which act as the basis for the
transduction mechanism of this non-oxidative dopamine sensor. The unique
reduction capability and high conductivity of single-stranded DNA functionalized
single-walled carbon nanotubes greatly improved the electrochemical activity of
the polymer in a physiologically-relevant buffer, and the large surface area of
the carbon nanotubes increased the density of the boronic acid receptors. The
high sensitivity and selectivity of the sensor show excellent promise toward
molecular diagnosis of Parkinson's disease. In this review, we will focus on the
discussion of this novel detection approach, the new interferences in this
detection approach, and how to eliminate these interferences toward in vivo and
in vitro detection of the neurotransmitter dopamine.
PMID- 27873996
TI - Voltammetric Behaviour of Sulfamethoxazole on Electropolymerized-Molecularly
Imprinted Overoxidized Polypyrrole.
AB - In this work, preparation of a molecularly imprinted polymer (MIP) film and its
recognition properties for sulfamethoxazolewere investigated. The overoxidized
polypyrrole (OPPy) film was prepared by the cyclic voltammetric deposition of
pyrrole (Py) in the presence of supporting electrolyte (tetrabutylammonium
perchlorate-TBAP) with and without a template molecule (sulfamethoxazole) on a
pencil graphite electrode (PGE). The voltammetric behaviour of sulfamethoxazole
on imprinted and non-imprinted (NIP) films was investigated by differential pulse
voltammetry (DPV) in Britton-Robinson (BR) buffer solutions prepared in different
ratio of acetonitrile-water binary mixture, between the pH 1.5 and 7.0. The
effect of the acetonitrile-water ratio and pH, monomer and template
concentrations, electropolymerization cycles on the performance of the MIP
electrode was investigated and optimized. The MIP electrode exhibited the best
reproducibility and highest sensitivity. The results showed that changing
acetonitrile-water ratio and pH of BR buffer solution changes the oxidation peak
current values. The highest anodic signal of sulfamethoxazole was obtained in BR
buffer solution prepared in 50% (v/v) acetonitrile-water at pH 2.5. The
calibration curve for sulfamethoxazole at MIP electrode has linear region for a
concentration range of 25.10-3 to 0.75 mM (R2=0.9993). The detection limit of
sulfamethoxazole was found as 3.59.10-4 mM (S/N=3). The same method was also
applied to determination of sulfamethoxazole in commercial pharmaceutical
samples. Method precision (RSD87%) were satisfactory. The proposed method is
simple and quick. The polypyrrole (PPy) electrodes have low response time, good
mechanical stability and are disposable simple to construct.
PMID- 27873998
TI - Corrigendum: Sequences flanking the core-binding site modulate glucocorticoid
receptor structure and activity.
PMID- 27873997
TI - Estimation of Atmospheric Path Delays in TerraSAR-X Data using Models vs.
Measurements.
AB - Spaceborne synthetic aperture radar (SAR) measurements of the Earth's surface
depend on electromagnetic waves that are subject to atmospheric path delays, in
turn affecting geolocation accuracy. The atmosphere influences radar signal
propagation by modifying its velocity and direction, effects which can be
modeled. We use TerraSAR-X (TSX) data to investigate improvements in the
knowledge of the scene geometry. To precisely estimate atmospheric path delays,
we analyse the signal return of four corner reflectors with accurately surveyed
positions (based on differential GPS), placed at different altitudes yet with
nearly identical slant ranges to the sensor. The comparison of multiple
measurements with path delay models under these geometric conditions also makes
it possible to evaluate the corrections for the atmospheric path delay made by
the TerraSAR processor and to propose possible improvements.
PMID- 27873999
TI - Evidence from stable isotopes and 10Be for solar system formation triggered by a
low-mass supernova.
AB - About 4.6 billion years ago, some event disturbed a cloud of gas and dust,
triggering the gravitational collapse that led to the formation of the solar
system. A core-collapse supernova, whose shock wave is capable of compressing
such a cloud, is an obvious candidate for the initiating event. This hypothesis
can be tested because supernovae also produce telltale patterns of short-lived
radionuclides, which would be preserved today as isotopic anomalies. Previous
studies of the forensic evidence have been inconclusive, finding a pattern of
isotopes differing from that produced in conventional supernova models. Here we
argue that these difficulties either do not arise or are mitigated if the
initiating supernova was a special type, low in mass and explosion energy. Key to
our conclusion is the demonstration that short-lived 10Be can be readily
synthesized in such supernovae by neutrino interactions, while anomalies in
stable isotopes are suppressed.
PMID- 27874001
TI - Spray printing of organic semiconducting single crystals.
AB - Single-crystal semiconductors have been at the forefront of scientific interest
for more than 70 years, serving as the backbone of electronic devices. Inorganic
single crystals are typically grown from a melt using time-consuming and energy
intensive processes. Organic semiconductor single crystals, however, can be grown
using solution-based methods at room temperature in air, opening up the
possibility of large-scale production of inexpensive electronics targeting
applications ranging from field-effect transistors and light-emitting diodes to
medical X-ray detectors. Here we demonstrate a low-cost, scalable spray-printing
process to fabricate high-quality organic single crystals, based on various
semiconducting small molecules on virtually any substrate by combining the
advantages of antisolvent crystallization and solution shearing. The crystals'
size, shape and orientation are controlled by the sheer force generated by the
spray droplets' impact onto the antisolvent's surface. This method demonstrates
the feasibility of a spray-on single-crystal organic electronics.
PMID- 27874002
TI - Atomically resolved phase transition of fullerene cations solvated in helium
droplets.
AB - Helium has a unique phase diagram and below 25 bar it does not form a solid even
at the lowest temperatures. Electrostriction leads to the formation of a solid
layer of helium around charged impurities at much lower pressures in liquid and
superfluid helium. These so-called 'Atkins snowballs' have been investigated for
several simple ions. Here we form HenC60+ complexes with n exceeding 100 via
electron ionization of helium nanodroplets doped with C60. Photofragmentation of
these complexes is measured by merging a tunable narrow-bandwidth laser beam with
the ions. A switch from red- to blueshift of the absorption frequency of HenC60+
on addition of He atoms at n=32 is associated with a phase transition in the
attached helium layer from solid to partly liquid (melting of the Atkins
snowball). Elaborate molecular dynamics simulations using a realistic force field
and including quantum effects support this interpretation.
PMID- 27874000
TI - Defective mitochondrial DNA homeostasis in the substantia nigra in Parkinson
disease.
AB - Increased somatic mitochondrial DNA (mtDNA) mutagenesis causes premature aging in
mice, and mtDNA damage accumulates in the human brain with aging and
neurodegenerative disorders such as Parkinson disease (PD). Here, we study the
complete spectrum of mtDNA changes, including deletions, copy-number variation
and point mutations, in single neurons from the dopaminergic substantia nigra and
other brain areas of individuals with Parkinson disease and neurologically
healthy controls. We show that in dopaminergic substantia nigra neurons of
healthy individuals, mtDNA copy number increases with age, maintaining the pool
of wild-type mtDNA population in spite of accumulating deletions. This
upregulation fails to occur in individuals with Parkinson disease, however,
resulting in depletion of the wild-type mtDNA population. By contrast, neuronal
mtDNA point mutational load is not increased in Parkinson disease. Our findings
suggest that dysregulation of mtDNA homeostasis is a key process in the
pathogenesis of neuronal loss in Parkinson disease.
PMID- 27874003
TI - Nanomechanical electro-optical modulator based on atomic heterostructures.
AB - Two-dimensional atomic heterostructures combined with metallic nanostructures
allow one to realize strong light-matter interactions. Metallic nanostructures
possess plasmonic resonances that can be modulated by graphene gating. In
particular, spectrally narrow plasmon resonances potentially allow for very high
graphene-enabled modulation depth. However, the modulation depths achieved with
this approach have so far been low and the modulation wavelength range limited.
Here we demonstrate a device in which a graphene/hexagonal boron nitride
heterostructure is suspended over a gold nanostripe array. A gate voltage across
these devices alters the location of the two-dimensional crystals, creating
strong optical modulation of its reflection spectra at multiple wavelengths: in
ultraviolet Fabry-Perot resonances, in visible and near-infrared diffraction
coupled plasmonic resonances and in the mid-infrared range of hexagonal boron
nitride's upper Reststrahlen band. Devices can be extremely subwavelength in
thickness and exhibit compact and truly broadband modulation of optical signals
using heterostructures of two-dimensional materials.
PMID- 27874004
TI - Spontaneous formation of structurally diverse membrane channel architectures from
a single antimicrobial peptide.
AB - Many antimicrobial peptides (AMPs) selectively target and form pores in microbial
membranes. However, the mechanisms of membrane targeting, pore formation and
function remain elusive. Here we report an experimentally guided unbiased
simulation methodology that yields the mechanism of spontaneous pore assembly for
the AMP maculatin at atomic resolution. Rather than a single pore, maculatin
forms an ensemble of structurally diverse temporarily functional low-oligomeric
pores, which mimic integral membrane protein channels in structure. These pores
continuously form and dissociate in the membrane. Membrane permeabilization is
dominated by hexa-, hepta- and octamers, which conduct water, ions and small
dyes. Pores form by consecutive addition of individual helices to a transmembrane
helix or helix bundle, in contrast to current poration models. The diversity of
the pore architectures-formed by a single sequence-may be a key feature in
preventing bacterial resistance and could explain why sequence-function
relationships in AMPs remain elusive.
PMID- 27874005
TI - The Hippo signalling pathway coordinates organ growth and limits developmental
variability by controlling dilp8 expression.
AB - Coordination of organ growth during development is required to generate fit
individuals with fixed proportions. We recently identified Drosophila Dilp8 as a
key hormone in coupling organ growth with animal maturation. In addition, dilp8
mutant flies exhibit elevated fluctuating asymmetry (FA) demonstrating a function
for Dilp8 in ensuring developmental stability. The signals regulating Dilp8
activity during normal development are not yet known. Here, we show that the
transcriptional co-activators of the Hippo (Hpo) pathway, Yorkie (Yki, YAP/TAZ)
and its DNA-binding partner Scalloped (Sd), directly regulate dilp8 expression
through a Hpo-responsive element (HRE) in the dilp8 promoter. We further
demonstrate that mutation of the HRE by genome-editing results in animals with
increased FA, thereby mimicking full dilp8 loss of function. Therefore, our
results indicate that growth coordination of organs is connected to their growth
status through a feedback loop involving Hpo and Dilp8 signalling pathways.
PMID- 27874006
TI - Fission and fusion scenarios for magnetic microswimmer clusters.
AB - Fission and fusion processes of particle clusters occur in many areas of physics
and chemistry from subnuclear to astronomic length scales. Here we study fission
and fusion of magnetic microswimmer clusters as governed by their hydrodynamic
and dipolar interactions. Rich scenarios are found that depend crucially on
whether the swimmer is a pusher or a puller. In particular a linear magnetic
chain of pullers is stable while a pusher chain shows a cascade of fission (or
disassembly) processes as the self-propulsion velocity is increased. Contrarily,
magnetic ring clusters show fission for any type of swimmer. Moreover, we find a
plethora of possible fusion (or assembly) scenarios if a single swimmer collides
with a ringlike cluster and two rings spontaneously collide. Our predictions are
obtained by computer simulations and verifiable in experiments on active
colloidal Janus particles and magnetotactic bacteria.
PMID- 27874007
TI - Phase transformation strengthening of high-temperature superalloys.
AB - Decades of research has been focused on improving the high-temperature properties
of nickel-based superalloys, an essential class of materials used in the hot
section of jet turbine engines, allowing increased engine efficiency and reduced
CO2 emissions. Here we introduce a new 'phase-transformation strengthening'
mechanism that resists high-temperature creep deformation in nickel-based
superalloys, where specific alloying elements inhibit the deleterious deformation
mode of nanotwinning at temperatures above 700 degrees C. Ultra-high-resolution
structure and composition analysis via scanning transmission electron microscopy,
combined with density functional theory calculations, reveals that a superalloy
with higher concentrations of the elements titanium, tantalum and niobium
encourage a shear-induced solid-state transformation from the gamma' to eta phase
along stacking faults in gamma' precipitates, which would normally be the
precursors of deformation twins. This nanoscale eta phase creates a low-energy
structure that inhibits thickening of stacking faults into twins, leading to
significant improvement in creep properties.
PMID- 27874008
TI - The GCN5-CITED2-PKA signalling module controls hepatic glucose metabolism through
a cAMP-induced substrate switch.
AB - Hepatic gluconeogenesis during fasting results from gluconeogenic gene activation
via the glucagon-cAMP-protein kinase A (PKA) pathway, a process whose
dysregulation underlies fasting hyperglycemia in diabetes. Such transcriptional
activation requires epigenetic changes at promoters by mechanisms that have
remained unclear. Here we show that GCN5 functions both as a histone
acetyltransferase (HAT) to activate fasting gluconeogenesis and as an
acetyltransferase for the transcriptional co-activator PGC-1alpha to inhibit
gluconeogenesis in the fed state. During fasting, PKA phosphorylates GCN5 in a
manner dependent on the transcriptional coregulator CITED2, thereby increasing
its acetyltransferase activity for histone and attenuating that for PGC-1alpha.
This substrate switch concomitantly promotes both epigenetic changes associated
with transcriptional activation and PGC-1alpha-mediated coactivation, thereby
triggering gluconeogenesis. The GCN5-CITED2-PKA signalling module and associated
GCN5 substrate switch thus serve as a key driver of gluconeogenesis. Disruption
of this module ameliorates hyperglycemia in obese diabetic animals, offering a
potential therapeutic strategy for such conditions.
PMID- 27874009
TI - Corrigendum: Regulation of PERK-eIF2alpha signalling by tuberous sclerosis
complex-1 controls homoeostasis and survival of myelinating oligodendrocytes.
PMID- 27874010
TI - Modified relaxation dynamics and coherent energy exchange in coupled vibration
cavity polaritons.
AB - Coupling vibrational transitions to resonant optical modes creates vibrational
polaritons shifted from the uncoupled molecular resonances and provides a
convenient way to modify the energetics of molecular vibrations. This approach is
a viable method to explore controlling chemical reactivity. In this work, we
report pump-probe infrared spectroscopy of the cavity-coupled C-O stretching band
of W(CO)6 and the direct measurement of the lifetime of a vibration-cavity
polariton. The upper polariton relaxes 10 times more quickly than the uncoupled
vibrational mode. Tuning the polariton energy changes the polariton transient
spectra and relaxation times. We also observe quantum beats, so-called vacuum
Rabi oscillations, between the upper and lower vibration-cavity polaritons. In
addition to establishing that coupling to an optical cavity modifies the energy
transfer dynamics of the coupled molecules, this work points out the possibility
of systematic and predictive modification of the excited-state kinetics of
vibration-cavity polariton systems.
PMID- 27874012
TI - Secondary migration and leakage of methane from a major tight-gas system.
AB - Tight-gas and shale-gas systems can undergo significant depressurization during
basin uplift and erosion of overburden due primarily to the natural leakage of
hydrocarbon fluids. To date, geologic factors governing hydrocarbon leakage from
such systems are poorly documented and understood. Here we show, in a study of
produced natural gas from 1,907 petroleum wells drilled into a Triassic tight-gas
system in western Canada, that hydrocarbon fluid loss is focused along distinct
curvilinear pathways controlled by stratigraphic trends with superior matrix
permeability and likely also structural trends with enhanced fracture
permeability. Natural gas along these pathways is preferentially enriched in
methane because of selective secondary migration and phase separation processes.
The leakage and secondary migration of thermogenic methane to surficial strata is
part of an ongoing carbon cycle in which organic carbon in the deep sedimentary
basin transforms into methane, and ultimately reaches the near-surface
groundwater and atmosphere.
PMID- 27874011
TI - Inhibition of glycine transporter-1 in the dorsal vagal complex improves
metabolic homeostasis in diabetes and obesity.
AB - Impaired glucose homeostasis and energy balance are integral to the
pathophysiology of diabetes and obesity. Here we show that administration of a
glycine transporter 1 (GlyT1) inhibitor, or molecular GlyT1 knockdown, in the
dorsal vagal complex (DVC) suppresses glucose production, increases glucose
tolerance and reduces food intake and body weight gain in healthy, obese and
diabetic rats. These findings provide proof of concept that GlyT1 inhibition in
the brain improves glucose and energy homeostasis. Considering the clinical
safety and efficacy of GlyT1 inhibitors in raising glycine levels in clinical
trials for schizophrenia, we propose that GlyT1 inhibitors have the potential to
be repurposed as a treatment of both obesity and diabetes.
PMID- 27874014
TI - Epithelial extracellular ATP: an initiator of immunity to parasitic infections.
PMID- 27874013
TI - Crystal structure of FabZ-ACP complex reveals a dynamic seesaw-like catalytic
mechanism of dehydratase in fatty acid biosynthesis.
AB - Fatty acid biosynthesis (FAS) is a vital process in cells. Fatty acids are
essential for cell assembly and cellular metabolism. Abnormal FAS directly
correlates with cell growth delay and human diseases, such as metabolic syndromes
and various cancers. The FAS system utilizes an acyl carrier protein (ACP) as a
transporter to stabilize and shuttle the growing fatty acid chain throughout
enzymatic modules for stepwise catalysis. Studying the interactions between
enzymatic modules and ACP is, therefore, critical for understanding the
biological function of the FAS system. However, the information remains unclear
due to the high flexibility of ACP and its weak interaction with enzymatic
modules. We present here a 2.55 A crystal structure of type II FAS dehydratase
FabZ in complex with holo-ACP, which exhibits a highly symmetrical FabZ hexamer
ACP3 stoichiometry with each ACP binding to a FabZ dimer subunit. Further
structural analysis, together with biophysical and computational results, reveals
a novel dynamic seesaw-like ACP binding and catalysis mechanism for the
dehydratase module in the FAS system, which is regulated by a critical gatekeeper
residue (Tyr100 in FabZ) that manipulates the movements of the beta-sheet layer.
These findings improve the general understanding of the dehydration process in
the FAS system and will potentially facilitate drug and therapeutic design for
diseases associated with abnormalities in FAS.
PMID- 27874017
TI - Corrigendum: Determination of Arabidopsis thaliana telomere length by PCR.
PMID- 27874016
TI - Mitochondrial growth during the cell cycle of Trypanosoma brucei bloodstream
forms.
AB - Mitochondrial organelles need to be replicated during cell division. Many aspects
of this process have been studied in great detail, however the actual size
increase and the position of organelle growth are less well understood. We use
the protozoan parasite Trypanosoma brucei that contains a single mitochondrion to
study organelle biogenesis by fluorescence microscopy. From the analysis of more
than 1000 T. brucei bloodstream form cells of a nonsynchronous population we
conclude that the mitochondrial network mostly grows from two areas along the
main organelle axis, posterior and anterior of the nucleus. Loops and branches
from these two areas eventually fuse to build a complex network. Together with
the appearance of the division fold in the posterior part of the cell, pruning of
the mitochondrial network and finally separation into the two daughter cells
occurs. Overall organelle biogenesis is not continuous during cell growth and
occurs mostly in the last part of the cell cycle. Furthermore, using 3D STED
super resolution microscopy we reconstruct the volume of the organelle and
characterize the region where the mitochondrial genome is positioned by serial
block face scanning electron microscopy.
PMID- 27874015
TI - Metabolic sialic acid blockade lowers the activation threshold of moDCs for TLR
stimulation.
AB - Sialic acid sugars cover the surface of dendritic cells (DCs) and have been
suggested to impact several aspects of DC biology. Research into the role of
sialic acids in DCs, however, is complicated by the limited number of tools
available to modulate sialic acid expression. Here we report on a synthetic,
fluorinated sialic acid mimetic, Ac53FaxNeu5Ac, which potently blocks sialic acid
expression in human monocyte-derived DCs (moDCs). Sialic acid blockade enhanced
the responsiveness of moDCs to Toll-like receptor (TLR) stimulation as measured
by increased maturation marker expression and cytokine production. Consequently,
the T-cell activation capacity of Ac53FaxNeu5Ac-treated moDCs was strongly
increased. In addition to sialic acids, moDCs also expressed the sialic acid
binding immunoglobulin-like lectins (Siglecs) -3, -5, -7, -9 and -10, immune
inhibitory receptors recognizing these sialic acids. Treatment with Ac53FaxNeu5Ac
abrogated putative cis and trans interactions between sialic acids and Siglec-7/
9. Together, these data indicate that sialic acids limit the activation of moDCs
via the TLR pathway, potentially by interacting with Siglec-7 or Siglec-9.
Metabolic sialic acid blockade with Ac53FaxNeu5Ac could therefore potentially be
used to generate more potent DC-based vaccines for induction of robust anti-viral
or anti-tumor immune responses.
PMID- 27874018
TI - Three-dimensional label-free imaging and quantification of lipid droplets in live
hepatocytes.
AB - Lipid droplets (LDs) are subcellular organelles with important roles in lipid
storage and metabolism and involved in various diseases including cancer,
obesity, and diabetes. Conventional methods, however, have limited ability to
provide quantitative information on individual LDs and have limited capability
for three-dimensional (3-D) imaging of LDs in live cells especially for fast
acquisition of 3-D dynamics. Here, we present an optical method based on 3-D
quantitative phase imaging to measure the 3-D structural distribution and
biochemical parameters (concentration and dry mass) of individual LDs in live
cells without using exogenous labelling agents. The biochemical change of LDs
under oleic acid treatment was quantitatively investigated, and 4-D tracking of
the fast dynamics of LDs revealed the intracellular transport of LDs in live
cells.
PMID- 27874021
TI - Erratum: Symmetry Breaking by Surface Blocking: Synthesis of Bimorphic Silver
Nanoparticles, Nanoscale Fishes and Apples.
PMID- 27874019
TI - Long-range synchrony and emergence of neural reentry.
AB - Neural synchronization across long distances is a functionally important
phenomenon in health and disease. In order to access the basis of different modes
of long-range synchrony, we monitor spiking activities over centimetre scale in
cortical networks and show that the mode of synchrony depends upon a length
scale, lambda, which is the minimal path that activity should propagate through
to find its point of origin ready for reactivation. When lambda is larger than
the physical dimension of the network, distant neuronal populations operate
synchronously, giving rise to irregularly occurring network-wide events that last
hundreds of milliseconds to several seconds. In contrast, when lambda approaches
the dimension of the network, a continuous self-sustained reentry propagation
emerges, a regular seizure-like mode that is marked by precise spatiotemporal
patterns ('synfire chains') and may last many minutes. Termination of a reentry
phase is preceded by a decrease of propagation speed to a halt. Stimulation
decreases both propagation speed and lambda values, which modifies the synchrony
mode respectively. The results contribute to the understanding of the origin and
termination of different modes of neural synchrony as well as their long-range
spatial patterns, while hopefully catering to manipulation of the phenomena in
pathological conditions.
PMID- 27874020
TI - Friedelin Synthase from Maytenus ilicifolia: Leucine 482 Plays an Essential Role
in the Production of the Most Rearranged Pentacyclic Triterpene.
AB - Among the biologically active triterpenes, friedelin has the most-rearranged
structure produced by the oxidosqualene cyclases and is the only one containing a
cetonic group. In this study, we cloned and functionally characterized friedelin
synthase and one cycloartenol synthase from Maytenus ilicifolia (Celastraceae).
The complete coding sequences of these 2 genes were cloned from leaf mRNA, and
their functions were characterized by heterologous expression in yeast. The
cycloartenol synthase sequence is very similar to other known OSCs of this type
(approximately 80% identity), although the M. ilicifolia friedelin synthase amino
acid sequence is more related to beta-amyrin synthases (65-74% identity), which
is similar to the friedelin synthase cloned from Kalanchoe daigremontiana.
Multiple sequence alignments demonstrated the presence of a leucine residue two
positions upstream of the friedelin synthase Asp-Cys-Thr-Ala-Glu (DCTAE) active
site motif, while the vast majority of OSCs identified so far have a valine or
isoleucine residue at the same position. The substitution of the leucine residue
with valine, threonine or isoleucine in M. ilicifolia friedelin synthase
interfered with substrate recognition and lead to the production of different
pentacyclic triterpenes. Hence, our data indicate a key role for the leucine
residue in the structure and function of this oxidosqualene cyclase.
PMID- 27874022
TI - In-depth comparison of somatic point mutation callers based on different tumor
next-generation sequencing depth data.
AB - Four popular somatic single nucleotide variant (SNV) calling methods (Varscan,
SomaticSniper, Strelka and MuTect2) were carefully evaluated on the real whole
exome sequencing (WES, depth of ~50X) and ultra-deep targeted sequencing (UDT
Seq, depth of ~370X) data. The four tools returned poor consensus on candidates
(only 20% of calls were with multiple hits by the callers). For both WES and UDT
Seq, MuTect2 and Strelka obtained the largest proportion of COSMIC entries as
well as the lowest rate of dbSNP presence and high-alternative-alleles-in-control
calls, demonstrating their superior sensitivity and accuracy. Combining different
callers does increase reliability of candidates, but narrows the list down to
very limited range of tumor read depth and variant allele frequency. Calling SNV
on UDT-Seq data, which were of much higher read-depth, discovered additional true
positive variations, despite an even more tremendous growth in false positive
predictions. Our findings not only provide valuable benchmark for state-of-the
art SNV calling methods, but also shed light on the access to more accurate SNV
identification in the future.
PMID- 27874024
TI - Exploring Spatio-temporal Dynamics of Cellular Automata for Pattern Recognition
in Networks.
AB - Network science is an interdisciplinary field which provides an integrative
approach for the study of complex systems. In recent years, network modeling has
been used for the study of emergent phenomena in many real-world applications.
Pattern recognition in networks has been drawing attention to the importance of
network characterization, which may lead to understanding the topological
properties that are related to the network model. In this paper, the Life-Like
Network Automata (LLNA) method is introduced, which was designed for pattern
recognition in networks. LLNA uses the network topology as a tessellation of
Cellular Automata (CA), whose dynamics produces a spatio-temporal pattern used to
extract the feature vector for network characterization. The method was evaluated
using synthetic and real-world networks. In the latter, three pattern recognition
applications were used: (i) identifying organisms from distinct domains of life
through their metabolic networks, (ii) identifying online social networks and
(iii) classifying stomata distribution patterns varying according to different
lighting conditions. LLNA was compared to structural measurements and surpasses
them in real-world applications, achieving improvement in the classification rate
as high as 23%, 4% and 7% respectively. Therefore, the proposed method is a good
choice for pattern recognition applications using networks and demonstrates
potential for general applicability.
PMID- 27874023
TI - Methylphenidate and Memory and Attention Adaptation Training for Persistent
Cognitive Symptoms after Traumatic Brain Injury: A Randomized, Placebo-Controlled
Trial.
AB - The purpose of this multicenter, prospective, randomized, placebo-controlled
study was to evaluate and compare the efficacy of two cognitive rehabilitation
interventions (Memory and Attention Adaptation Training (MAAT) and Attention
Builders Training (ABT)), with and without pharmacological enhancement (ie, with
methylphenidate (MPH) or placebo), for treating persistent cognitive problems
after traumatic brain injury (TBI). Adults with a history of TBI at least 4
months before study enrollment with either objective cognitive deficits or
subjective cognitive complaints were randomized to receive MPH or placebo and
MAAT or ABT, yielding four treatment combinations: MAAT/MPH (N=17), ABT/MPH
(N=19), MAAT/placebo (N=17), and ABT/placebo (N=18). Assessments were conducted
pre-treatment (baseline) and after 6 weeks of treatment (post treatment). Outcome
measures included scores on neuropsychological measures and subjective rating
scales. Statistical analyses used linear regression models to predict post
treatment scores for each outcome variable by treatment type, adjusting for
relevant covariates. Statistically significant (P<0.05) treatment-related
improvements in cognitive functioning were found for word-list learning
(MAAT/placebo>ABT/placebo), nonverbal learning (MAAT/MPH>MAAT/placebo and
MAAT/MPH>ABT/MPH), and auditory working memory and divided attention
(MAAT/MPH>ABT/MPH). These results suggest that combined treatment with
metacognitive rehabilitation (MAAT) and pharmacotherapy (MPH) can improve aspects
of attention, episodic and working memory, and executive functioning after TBI.
PMID- 27874025
TI - Beyond Antibodies: Development of a Novel Protein Scaffold Based on Human
Chaperonin 10.
AB - Human Chaperonin 10 (hCpn10) was utilised as a novel scaffold for presenting
peptides of therapeutic and diagnostic significance. Molecular dynamic
simulations and protein sizing analyses identified a peptide linker (P1) optimal
for the formation of the quarternary hCpn10 heptamer structure. hCpn10 scaffold
displaying peptides targeting Factor VIIa (CE76-P1) and CD44 (CP7) were expressed
in E. coli. Functional studies of CE76-P1 indicated nanomolar affinity for Factor
VIIa (3 nM) similar to the E-76 peptide (6 nM), with undetectable binding to
Factor X. CE76-P1 was a potent inhibitor of FX activity (via inhibition of Factor
VIIa) and prolonged clot formation 4 times longer than achieved by E-76 peptide
as determined by prothrombin time (PT) assays. This improvement in clotting
function by CE76-P1, highlights the advantages of a heptamer-based scaffold for
improving avidity by multiple peptide presentation. In another example of hCPn10
utility as a scaffold, CP7 bound to native CD44 overexpressed on cancer cells and
bound rCD44 with high affinity (KD 9.6 nM). The ability to present various
peptides through substitution of the hCpn10 mobile loop demonstrates its utility
as a novel protein scaffold.
PMID- 27874026
TI - Bandgap tuning of mixed organic cation utilizing chemical vapor deposition
process.
AB - Bandgap tuning of a mixed organic cation perovskite is demonstrated via chemical
vapor deposition process. The optical and electrical properties of the mixed
organic cation perovskite can be manipulated by varying the growth time. A slight
shift of the absorption band to shorter wavelengths is demonstrated with
increasing growth time, which results in the increment of the current density.
Hence, based on the optimized growth time, our device exhibits an efficiency of
15.86% with negligible current hysteresis.
PMID- 27874027
TI - Circulating long-non coding RNAs as biomarkers of left ventricular diastolic
function and remodelling in patients with well-controlled type 2 diabetes.
AB - Contractile dysfunction is underdiagnosed in early stages of diabetic
cardiomyopathy. We evaluated the potential of circulating long non-coding RNAs
(lncRNAs) as biomarkers of subclinical cardiac abnormalities in type 2 diabetes.
Forty-eight men with well-controlled type 2 diabetes and 12 healthy age-matched
volunteers were enrolled in the study. Left ventricular (LV) parameters were
measured by magnetic resonance imaging. A panel of lncRNAs was quantified in
serum by RT-qPCR. No differences in expression levels of lncRNAs were observed
between type 2 diabetes patients and healthy volunteers. In patients with type 2
diabetes, long intergenic non-coding RNA predicting cardiac remodeling (LIPCAR)
was inversely associated with diastolic function, measured as E/A peak flow (P <
0.050 for all linear models). LIPCAR was positively associated with grade I
diastolic dysfunction (P < 0.050 for all logistic models). Myocardial infarction
associated transcript (MIAT) and smooth muscle and endothelial cell-enriched
migration/differentiation-associated long noncoding RNA (SENCR) were directly
associated with LV mass to LV end-diastolic volume ratio, a marker of cardiac
remodelling (P < 0.050 for all linear models). These findings were validated in a
sample of 30 patients with well-controlled type 2 diabetes. LncRNAs are
independent predictors of diastolic function and remodelling in patients with
type 2 diabetes.
PMID- 27874028
TI - A mouse model of hereditary hemorrhagic telangiectasia generated by transmammary
delivered immunoblocking of BMP9 and BMP10.
AB - Hereditary hemorrhagic telangiectasia (HHT) is a potentially life-threatening
genetic vascular disorder caused by loss-of-function mutations in the genes
encoding activin receptor-like kinase 1 (ALK1), endoglin, Smad4, and bone
morphogenetic protein 9 (BMP9). Injections of mouse neonates with BMP9/10
blocking antibodies lead to HHT-like vascular defects in the postnatal retinal
angiogenesis model. Mothers and their newborns share the same immunity through
the transfer of maternal antibodies during lactation. Here, we investigated
whether the transmammary delivery route could improve the ease and consistency of
administering anti-BMP9/10 antibodies in the postnatal retinal angiogenesis
model. We found that anti-BMP9/10 antibodies, when intraperitoneally injected
into lactating dams, are efficiently transferred into the blood circulation of
lactationally-exposed neonatal pups. Strikingly, pups receiving anti-BMP9/10
antibodies via lactation displayed consistent and robust vascular pathology in
the retina, which included hypervascularization and defects in arteriovenous
specification, as well as the presence of multiple and massive arteriovenous
malformations. Furthermore, RNA-Seq analyses of neonatal retinas identified an
increase in the key pro-angiogenic factor, angiopoietin-2, as the most
significant change in gene expression triggered by the transmammary delivery of
anti-BMP9/10 antibodies. Transmammary-delivered BMP9/10 immunoblocking in the
mouse neonatal retina is therefore a practical, noninvasive, reliable, and robust
model of HHT vascular pathology.
PMID- 27874029
TI - An anti-TNF-alpha antibody mimetic to treat ocular inflammation.
AB - Infliximab is an antibody that neutralizes TNF-alpha and is used principally by
systemic administration to treat many inflammatory disorders. We prepared the
antibody mimetic Fab-PEG-Fab (FpFinfliximab) for direct intravitreal injection to
assess whether such formulations have biological activity and potential utility
for ocular use. FpFinfliximab was designed to address side effects caused by
antibody degradation and the presence of the Fc region. Surface plasmon resonance
analysis indicated that infliximab and FpFinfliximab maintained binding affinity
for both human and murine recombinant TNF-alpha. No Fc mediated RPE cellular
uptake was observed for FpFinfliximab. Both Infliximab and FpFinfliximab
suppressed ocular inflammation by reducing the number of CD45+ infiltrate cells
in the EAU mice after a single intravitreal injection at the onset of peak
disease. These results offer an opportunity to develop and formulate for ocular
use, FpF molecules designed for single and potentially multiple targets using bi
specific FpFs.
PMID- 27874030
TI - Ultrasonic spray coating polymer and small molecular organic film for organic
light-emitting devices.
AB - Ultrasonic spray coating process (USCP) with high material -utilization, low
manufacture costs and compatibility to streamline production has been attractive
in researches on photoelectric devices. However, surface tension exists in the
solvent is still a huge obstacle to realize smooth organic film for organic light
emitting devices (OLEDs) by USCP. Here, high quality polymer anode buffer layer
and small molecular emitting layer are successfully realized through USCP by
introducing extra-low surface tension diluent and surface tension control method.
The introduction of low surface tension methyl alcohol is beneficial to the
formation of poly (3,4-ethylenedioxythiophene) polystyrene sulfonate (PEDOT:PSS)
films and brings obvious phase separation and improved conductivity to PEDOT:PSS
film. Besides, a surface tension control method, in which new stable tension
equilibrium is built at the border of wetting layer, is proposed to eliminate the
effect of surface tension during the solvent evaporation stage of ultrasonic
spray coating the film consists of 9,9-Spirobifluoren-2-yl-diphenyl-phosphine
oxide doped with 10 wt% tris [2-(p -tolyl) pyridine] iridium (III). A smooth and
homogenous small molecular emitting layer without wrinkles is successfully
realized. The effectiveness of the ultrasonic spray coating polymer anode buffer
layer and small molecular emitting layer are also proved by introducing them in
OLEDs.
PMID- 27874031
TI - Harnessing short poly(A)-binding protein-interacting peptides for the suppression
of nonsense-mediated mRNA decay.
AB - Nonsense-mediated mRNA decay (NMD) is a cellular process that eliminates
messenger RNA (mRNA) substrates with premature translation termination codons
(PTCs). In addition, NMD regulates the expression of a number of physiological
mRNAs, for example transcripts containing long 3' UTRs. Current models implicate
the interaction between cytoplasmic poly(A)-binding protein (PABPC1) and
translation termination in NMD. Accordingly, PABPC1 present within close
proximity of a termination codon antagonizes NMD. Here, we use reporter mRNAs
with different NMD-inducing 3' UTRs to establish a general NMD-inhibiting
property of PABPC1. NMD-inhibition is not limited to PABPC1, but can also be
achieved by peptides consisting of the PABP-interacting motif 2 (PAM2) of
different proteins when recruited to an NMD-inhibiting position of NMD reporter
transcripts. The short PAM2 peptides efficiently suppress NMD activated by a long
3' UTR, an exon-junction complex (EJC) and individual EJC components, and
stabilize a PTC-containing beta-globin mRNA. In conclusion, our results establish
short PABPC1-recruiting peptides as potent but position-dependent inhibitors of
mammalian NMD.
PMID- 27874033
TI - Influence of combined fundamental potentials in a nonlinear vibration energy
harvester.
AB - Ambient mechanical vibrations have emerged as a viable energy source for low
power wireless sensor nodes aiming the upcoming era of the 'Internet of Things'.
Recently, purposefully induced dynamical nonlinearities have been exploited to
widen the frequency spectrum of vibration energy harvesters. Here we investigate
some critical inconsistencies between the theoretical formulation and
applications of the bistable Duffing nonlinearity in vibration energy harvesting.
A novel nonlinear vibration energy harvesting device with the capability to
switch amidst individually tunable bistable-quadratic, monostable-quartic and
bistable-quartic potentials has been designed and characterized. Our study
highlights the fundamentally different large deflection behaviors of the
theoretical bistable-quartic Duffing oscillator and the experimentally adapted
bistable-quadratic systems, and underlines their implications in the respective
spectral responses. The results suggest enhanced performance in the bistable
quartic potential in comparison to others, primarily due to lower potential
barrier and higher restoring forces facilitating large amplitude inter-well
motion at relatively lower accelerations.
PMID- 27874032
TI - Connexin 32-mediated cell-cell communication is essential for hepatic
differentiation from human embryonic stem cells.
AB - Gap junction-mediated cell-cell interactions are highly conserved and play
essential roles in cell survival, proliferation, differentiation and patterning.
We report that Connexin 32 (Cx32)-mediated gap junctional intercellular
communication (GJIC) is necessary for human embryonic stem cell-derived
hepatocytes (hESC-Heps) during step-wise hepatic lineage restriction and
maturation. Vitamin K2, previously shown to promote Cx32 expression in mature
hepatocytes, up-regulated Cx32 expression and GJIC activation during hepatic
differentiation and maturation, resulting in significant increases of hepatic
markers expression and hepatocyte functions. In contrast, negative Cx32 regulator
2-aminoethoxydiphenyl borate blocked hESC-to-hepatocyte maturation and muted
hepatocyte functions through disruption of GJIC activities. Dynamic gap junction
organization and internalization are phosphorylation-dependent and the p38
mitogen-activated protein kinases pathway (MAPK) can negatively regulate Cxs
through phosphorylation-dependent degradation of Cxs. We found that p38 MAPK
inhibitor SB203580 improved maturation of hESC-Heps correlating with up
regulation of Cx32; by contrast, the p38 MAPK activator, anisomycin, blocked hESC
Heps maturation correlating with down-regulation of Cx32. These results suggested
that Cx32 is essential for cell-cell interactions that facilitate driving hESCs
through hepatic-lineage maturation. Regulators of both Cx32 and other members of
its pathways maybe used as a promising approach on regulating hepatic lineage
restriction of pluripotent stem cells and optimizing their functional maturation.
PMID- 27874034
TI - Screening on binary Ti alloy with excellent mechanical property and castability
for dental prosthesis application.
AB - In the present study, the microstructure, mechanical property, castability,
corrosion behavior and in vitro cytocompatibility of binary Ti-2X alloys with
various alloying elements, including Ag, Bi, Ga, Ge, Hf, In, Mo, Nb, Sn and Zr,
were systematically investigated, in order to assess their potential applications
in dental field. The experimental results showed that all binary Ti-2X alloys
consisted entirely alpha-Ti phase. The tensile strength and microhardness of Ti
were improved by adding alloying elements. The castability of Ti was
significantly improved by separately adding 2 wt.% Bi, Ga, Hf, Mo, Nb, Sn and Zr.
The corrosion resistance of Ti in both normal artificial saliva solution (AS) and
extreme artificial saliva solution (ASFL, AS with 0.2 wt.% NaF and 0.3 wt.%
lactic acid) has been improved by separately adding alloying elements. In
addition, the extracts of studied Ti-2X alloys produced no significant
deleterious effect to both fibroblasts L929 cells and osteoblast-like MG63 cells,
indicating a good in vitro cytocompatibility, at the same level as pure Ti. The
combination of enhanced mechanical properties, castability, corrosion behavior,
and in vitro cytocompatibility make the developed Ti-2X alloys have great
potential for future stomatological applications.
PMID- 27874035
TI - p53 isoform Delta133p53 promotes efficiency of induced pluripotent stem cells and
ensures genomic integrity during reprogramming.
AB - Human induced pluripotent stem (iPS) cells have great potential in regenerative
medicine, but this depends on the integrity of their genomes. iPS cells have been
found to contain a large number of de novo genetic alterations due to DNA damage
response during reprogramming. Thus, to maintain the genetic stability of iPS
cells is an important goal in iPS cell technology. DNA damage response can
trigger tumor suppressor p53 activation, which ensures genome integrity of
reprogramming cells by inducing apoptosis and senescence. p53 isoform Delta133p53
is a p53 target gene and functions to not only antagonize p53 mediated apoptosis,
but also promote DNA double-strand break (DSB) repair. Here we report that
Delta133p53 is induced in reprogramming. Knockdown of Delta133p53 results 2-fold
decrease in reprogramming efficiency, 4-fold increase in chromosomal aberrations,
whereas overexpression of Delta133p53 with 4 Yamanaka factors showes 4-fold
increase in reprogamming efficiency and 2-fold decrease in chromosomal
aberrations, compared to those in iPS cells induced only with 4 Yamanaka factors.
Overexpression of Delta133p53 can inhibit cell apoptosis and promote DNA DSB
repair foci formation during reprogramming. Our finding demonstrates that the
overexpression of Delta133p53 not only enhances reprogramming efficiency, but
also results better genetic quality in iPS cells.
PMID- 27874036
TI - Natural zwitterionic betaine enables cells to survive ultrarapid
cryopreservation.
AB - Cryoprotectants (CPAs) play a critical role in cryopreservation because they can
resist the cell damage caused by the freezing process. Current state-of-the-art
CPAs are mainly based on an organic solvent dimethyl sulfoxide (DMSO), and
several DMSO-cryopreserved cell products have been brought to market. However,
the intrinsic toxicity and complex freezing protocol of DMSO still remain as the
bottleneck of the wide use for clinical applications. Herein, we reported that
betaine, a natural zwitterionic molecule, could serve as a nontoxic and high
efficient CPA. At optimum concentration of betaine, different cell types
exhibited exceptional post-thaw survival efficiency with ultrarapid freezing
protocol, which was straightforward, cost efficient but difficult to succeed
using DMSO. Moreover, betaine showed negligible cytotoxicity even after long-term
exposure of cells. Mechanistically, we hypothesized that betaine could be ultra
rapidly taken up by cells for intracellular protection during the freezing
process. This technology unlocks the possibility of alternating the traditional
toxic CPAs and is applicable to a variety of clinical applications.
PMID- 27874037
TI - Visualizing epithelial expression of EGFR in vivo with distal scanning side
viewing confocal endomicroscope.
AB - Confocal endomicroscopy is an emerging imaging technology that has recently been
introduced into the clinic to instantaneously collect "optical biopsies" in vivo
with histology-like quality. Here, we demonstrate a fast scanner located in the
distal end of a side-viewing instrument using a compact lens assembly with
numerical aperture of 0.5 to achieve a working distance of 100 MUm and field-of
view of 300 * 400 MUm2. The microelectromechanical systems (MEMS) mirror was
designed based on the principle of parametric resonance and images at 5 frames
per second. The instrument has a 4.2 mm outer diameter and 3 cm rigid length, and
can pass through the biopsy channel of a medical endoscope. We achieved real time
optical sections of NIR fluorescence with 0.87 MUm lateral resolution, and were
able to visualize in vivo binding of a Cy5.5-labeled peptide specific for EGFR to
the cell surface of pre-cancerous colonocytes within the epithelium of dysplastic
crypts in mouse colon. By performing targeted imaging with endomicroscopy, we can
visualize molecular expression patterns in vivo that provide a biological basis
for disease detection.
PMID- 27874038
TI - Neural mechanisms underlying touch-induced visual perceptual suppression: An fMRI
study.
AB - Crossmodal studies have demonstrated inhibitory as well as facilitatory neural
effects in higher sensory association and primary sensory cortices. A recent
human behavioral study reported touch-induced visual perceptual suppression
(TIVS). Here, we introduced an experimental setting in which TIVS could occur and
investigated brain activities underlying visuo-tactile interactions using a
functional magnetic resonance imaging technique. While the suppressive effect of
touch on vision was only found for half of the participants who could maintain
their baseline performance above chance level (i.e. TIVS was not well replicated
here), we focused on individual differences in the effect of touch on vision.
This effect could be suppressive or enhancement, and the neuronal basis of these
differences was analyzed. We found larger inhibitory responses in the anterior
part of the right visual cortex (V1, V2) with higher TIVS magnitude when visuo
tactile stimuli were presented as spatially congruent. Activations in the right
anterior superior temporal region, including the secondary somatosensory cortical
area, were more strongly related to those in the visual cortex (V1, V2) with
higher TIVS magnitude. These results indicate that inhibitory neural modulations
from somatosensory to visual cortices and the resulting inhibitory neural
responses in the visual cortex could be involved in TIVS.
PMID- 27874039
TI - Effects of light-emitting diode irradiation on the osteogenesis of human
umbilical cord mesenchymal stem cells in vitro.
AB - The aim of this study was to examine the effects of light-emitting diode (LED)
photobiomodulation therapy on the proliferation and differentiation of human
umbilical cord mesenchymal stem cells (hUMSCs) cultured in osteogenic
differentiation medium. HUMSCs were irradiated with an LED light at 620 nm and 2
J/cm2 and monitored for cell proliferation and osteogenic differentiation
activity. The experiment involved four groups of cells: the control group; the
osteogenic group (osteo group); the LED group; the osteogenic + LED group (LED +
osteo group). HUMSC proliferation was detected by performing a3-(4,5
dimethylthiazol-2yl)-2,5 diphenyltetrazolium bromide(MTT) assay. Osteogenic
activity was evaluated by performing alkaline phosphatase (ALP) and Von Kossa
staining, and osteopontin (OPN) gene mRNA expression was evaluated byreverse
transcription polymerase chain reaction (RT-PCR). The hUMSCs in the LED + osteo
group exhibited a significantly higher proliferation rate than the other
subgroups. Additionally, there were greater numbers of ALP-positive cells and Von
Kossa nodules in the LED + osteo group. OPN mRNA expression in the LED + osteo
group was higher than other subgroups. In conclusion, low levels of LED light at
a wavelength of 620 nm enhance the proliferation and osteogenic differentiation
of hUMSCs during a long culture period.
PMID- 27874040
TI - Role of valence changes and nanoscale atomic displacements in BiS2-based
superconductors.
AB - Superconductivity within layered crystal structures has attracted sustained
interest among condensed matter community, primarily due to their exotic
superconducting properties. EuBiS2F is a newly discovered member in the BiS2
based superconducting family, which shows superconductivity at 0.3 K without
extrinsic doping. With 50 at.% Ce substitution for Eu, superconductivity is
enhanced with Tc increased up to 2.2 K. However, the mechanisms for the Tc
enhancement have not yet been elucidated. In this study, the Ce-doping effect on
the self-electron-doped superconductor EuBiS2F was investigated by X-ray
absorption spectroscopy (XAS). We have established a relationship between Ce
doping and the Tc enhancement in terms of Eu valence changes and nanoscale atomic
displacements. The new finding sheds light on the interplay among
superconductivity, charge and local structure in BiS2-based superconductors.
PMID- 27874041
TI - Surface studies of solids using integral X-ray-induced photoemission yield.
AB - X-ray induced photoemission yield contains structural information complementary
to that provided by X-ray Fresnel reflectivity, which presents an advantage to a
wide variety of surface studies if this information is made easily accessible.
Photoemission in materials research is commonly acknowledged as a method with a
probing depth limited by the escape depth of the photoelectrons. Here we show
that the integral hard-X-ray-induced photoemission yield is modulated by the
Fresnel reflectivity of a multilayer structure and carries structural information
that extends well beyond the photoelectron escape depth. A simple electric self
detection of the integral photoemission yield and Fourier data analysis permit
extraction of thicknesses of individual layers. The approach does not require
detection of the reflected radiation and can be considered as a framework for non
invasive evaluation of buried layers with hard X-rays under grazing incidence.
PMID- 27874042
TI - Electrical Stimulus Controlled Binding/Unbinding of Human Thrombin-Aptamer
Complex.
AB - The binding/unbinding of the human thrombin and its 15-mer single stranded DNA
aptamer, under the application of external stimulus in the form of electrostatic
potential/electric field, is investigated by a combination of continuum analysis
and atomistic molecular dynamics simulation. In agreement with the experiments
that demonstrate the influence of electrostatic potential on the thrombin/aptamer
complex, our computations show that the application of positive electric field
successfully unbinds the thrombin from the aptamer. Results from umbrella
sampling simulations reveal that there is a decrease in the free energy of
binding between the thrombin and aptamer in presence of positive electric fields.
Hydrogen bonding and non-bonded interaction energies, and hence the free energy
of binding, between the thrombin and its aptamer reduce as the applied electric
field is shifted from negative to positive values. Our analyses demonstrate that
application of electrical stimulus modifies the molecular interactions within the
complex and consequently, electrical field can be used to modulate the
association between the thrombin and its aptamer.
PMID- 27874043
TI - Correlation analysis of the mRNA and miRNA expression profiles in the nascent
synthetic allotetraploid Raphanobrassica.
AB - Raphanobrassica is an allopolyploid species derived from inter-generic
hybridization that combines the R genome from R. sativus and the C genome from B.
oleracea var. alboglabra. In the present study, we used a high-throughput
sequencing method to identify the mRNA and miRNA profiles in Raphanobrassica and
its parents. A total of 33,561 mRNAs and 283 miRNAs were detected, 9,209 mRNAs
and 134 miRNAs were differentially expressed respectively, 7,633 mRNAs and 39
miRNAs showed ELD expression, 5,219 mRNAs and 57 miRNAs were non-additively
expressed in Raphanobrassica. Remarkably, differentially expressed genes (DEGs)
were up-regulated and maternal bias was detected in Raphanobrassica. In addition,
a miRNA-mRNA interaction network was constructed based on reverse regulated miRNA
mRNAs, which included 75 miRNAs and 178 mRNAs, 31 miRNAs were non-additively
expressed target by 13 miRNAs. The related target genes were significantly
enriched in the GO term 'metabolic processes'. Non-additive related target genes
regulation is involved in a range of biological pathways, like providing a
driving force for variation and adaption in this allopolyploid. The integrative
analysis of mRNA and miRNA profiling provides more information to elucidate gene
expression mechanism and may supply a comprehensive and corresponding method to
study genetic and transcription variation of allopolyploid.
PMID- 27874044
TI - New Fluoride-arsenide Diluted Magnetic Semiconductor (Ba,K)F(Zn,Mn)As with
Independent Spin and Charge Doping.
AB - We report the discovery of a new fluoride-arsenide bulk diluted magnetic
semiconductor (Ba,K)F(Zn,Mn)As with the tetragonal ZrCuSiAs-type structure which
is identical to that of the "1111" iron-based superconductors. The joint hole
doping via (Ba,K) substitution &spin doping via (Zn,Mn) substitution results in
ferromagnetic order with Curie temperature up to 30 K and demonstrates that the
ferromagnetic interactions between the localized spins are mediated by the
carriers. Muon spin relaxation measurements confirm the intrinsic nature of the
long range magnetic order in the entire volume in the ferromagnetic phase. This
is the first time that a diluted magnetic semiconductor with decoupled spin and
charge doping is achieved in a fluoride compound. Comparing to the isostructure
oxide counterpart of LaOZnSb, the fluoride DMS (Ba,K)F(Zn,Mn)As shows much
improved semiconductive behavior that would be benefit for further application
developments.
PMID- 27874046
TI - Body height as risk factor for emphysema in COPD.
AB - Pulmonary emphysema is a phenotypic component of chronic obstructive pulmonary
disease (COPD) which carries substantial morbidity and mortality. We explored the
association between emphysema and body height in 726 patients with COPD using
computed tomography as the reference diagnostic standard for emphysema. We
applied univariate analysis to look for differences between patients with
emphysema and those without, and multivariate logistic regression to identify
significant predictors of the risk of emphysema. As covariates we included age,
sex, body height, body mass index, pack-years of smoking, and forced expiratory
volume in one second (FEV1) as percent predicted. The overall prevalence of
emphysema was 52%. Emphysemic patients were significantly taller and thinner than
non-emphysemic ones, and featured significantly higher pack-years of smoking and
lower FEV1 (P < 0.001). The prevalence of emphysema rose linearly by 10-cm
increase in body height (r2 = 0.96). In multivariate analysis, the odds of
emphysema increased by 5% (95% confidence interval, 3 to 7%) along with one
centimeter increase in body height, and remained unchanged after adjusting for
all the potential confounders considered (P < 0.001). The odds of emphysema were
not statistically different between males and females. In conclusion, body height
is a strong, independent risk factor for emphysema in COPD.
PMID- 27874045
TI - Morphological and proteomic analysis of biofilms from the Antarctic archaeon,
Halorubrum lacusprofundi.
AB - Biofilms enhance rates of gene exchange, access to specific nutrients, and cell
survivability. Haloarchaea in Deep Lake, Antarctica, are characterized by high
rates of intergenera gene exchange, metabolic specialization that promotes niche
adaptation, and are exposed to high levels of UV-irradiation in summer.
Halorubrum lacusprofundi from Deep Lake has previously been reported to form
biofilms. Here we defined growth conditions that promoted the formation of
biofilms and used microscopy and enzymatic digestion of extracellular material to
characterize biofilm structures. Extracellular DNA was found to be critical to
biofilms, with cell surface proteins and quorum sensing also implicated in
biofilm formation. Quantitative proteomics was used to define pathways and
cellular processes involved in forming biofilms; these included enhanced purine
synthesis and specific cell surface proteins involved in DNA metabolism; post
translational modification of cell surface proteins; specific pathways of carbon
metabolism involving acetyl-CoA; and specific responses to oxidative stress. The
study provides a new level of understanding about the molecular mechanisms
involved in biofilm formation of this important member of the Deep Lake
community.
PMID- 27874047
TI - Energetics of vacancy segregation to [100] symmetric tilt grain boundaries in bcc
tungsten.
AB - The harsh irradiation environment poses serious threat to the structural
integrity of leading candidate for plasma-facing materials, tungsten (W), in
future nuclear fusion reactors. It is thus essential to understand the radiation
induced segregation of native defects and impurities to defect sinks, such as
grain boundaries (GBs), by quantifying the segregation energetics. In this work,
molecular statics simulations of a range of equilibrium and metastable [100]
symmetric tilt GBs are carried out to explore the energetics of vacancy
segregation. We show that the low-angle GBs have larger absorption length scales
over their high-angle counterparts. Vacancy sites that are energetically
unfavorable for segregation are found in all GBs. The magnitudes of minimum
segregation energies for the equilibrium GBs vary from -2.61 eV to -0.76 eV
depending on the GB character, while those for the metastable GB states tend to
be much lower. The significance of vacancy delocalization in decreasing the
vacancy segregation energies and facilitating GB migration has been discussed.
Metrics such as GB energy and local stress are used to interpret the simulation
results, and correlations between them have been established. This study
contributes to the possible application of polycrystalline W under irradiation in
advanced nuclear fusion reactors.
PMID- 27874048
TI - Inverse changes in L1 retrotransposons between blood and brain in major
depressive disorder.
AB - Long interspersed nuclear element-1 (LINE-1 or L1) is a type of retrotransposons
comprising 17% of the human and mouse genome, and has been found to be associated
with several types of neurological disorders. Previous post-mortem brain studies
reveal increased L1 copy number in the prefrontal cortex from schizophrenia
patients. However, whether L1 retrotransposition occurs similarly in major
depressive disorder (MDD) is unknown. Here, L1 copy number was measured by
quantitative PCR analysis in peripheral blood of MDD patients (n = 105) and
healthy controls (n = 105). The results showed that L1 copy number was increased
in MDD patients possibly due to its hypomethylation. Furthermore, L1 copy number
in peripheral blood and five brain regions (prefrontal cortex, hippocampus,
amygdala, nucleus accumbens and paraventricular hypothalamic nucleus) was
measured in the chronic unpredictable mild stress (CUMS) model of depression in
mice. Intriguingly, increased L1 copy number in blood and the decreased L1 copy
number in the prefrontal cortex were observed in stressed mice, while no change
was found in other brain regions. Our results suggest that the changes of L1 may
be associated with the pathophysiology of MDD, but the biological mechanism
behind dysfunction of L1 retrotransposition in MDD remains to be further
investigated.
PMID- 27874049
TI - Control on Surface Plasmon Polaritons Propagation Properties by Continuously
Moving a Nanoparticle along a Silver Nanowire Waveguide.
AB - Surface plasmon polaritons (SPPs)-based nanowire waveguides possess potential
applications for nanophotonic circuits. Precise control on the propagation of
SPPs in metal nanowires is thus of significant importance. In this work, we
report the control on SPPs propagation properties by moving a silver nanoparticle
(Ag NP) along a silver nanowire (Ag NW). The emission intensity at NP can be
attenuated to about 25% of the maximum emission value with increasing the
distance between excitation end and NP. When NP is gradually moved away from
excitation end, the intensity of emission light at Ag NP shows an exponential
decay with a superposition of wavy appearance, while the emission at NW end is
almost a constant value. It is found that the former is related to the local SPPs
field distribution in NW, and the latter is dependent on the distance between
excitation end and NW terminal. Moreover, the propagation loss in Ag NP-NW
structure has been investigated. Our experiments demonstrate the important role
of NP location in NW-based waveguides and provide an effective method of tuning
scattering light in NW, which is instructive to design the future specialized
function of SPPs-based nanophotonic circuits and devices.
PMID- 27874050
TI - Automatic Identification of Systolic Time Intervals in Seismocardiogram.
AB - Continuous and non-invasive monitoring of hemodynamic parameters through
unobtrusive wearable sensors can potentially aid in early detection of cardiac
abnormalities, and provides a viable solution for long-term follow-up of patients
with chronic cardiovascular diseases without disrupting the daily life
activities. Electrocardiogram (ECG) and siesmocardiogram (SCG) signals can be
readily acquired from light-weight electrodes and accelerometers respectively,
which can be employed to derive systolic time intervals (STI). For this purpose,
automated and accurate annotation of the relevant peaks in these signals is
required, which is challenging due to the inter-subject morphological variability
and noise prone nature of SCG signal. In this paper, an approach is proposed to
automatically annotate the desired peaks in SCG signal that are related to STI by
utilizing the information of peak detected in the sliding template to narrow-down
the search for the desired peak in actual SCG signal. Experimental validation of
this approach performed in conventional/controlled supine and
realistic/challenging seated conditions, containing over 5600 heart beat cycles
shows good performance and robustness of the proposed approach in noisy
conditions. Automated measurement of STI in wearable configuration can provide a
quantified cardiac health index for long-term monitoring of patients, elderly
people at risk and health-enthusiasts.
PMID- 27874052
TI - Multifunctional single beam acoustic tweezer for non-invasive cell/organism
manipulation and tissue imaging.
AB - Non-contact precise manipulation of single microparticles, cells, and organisms
has attracted considerable interest in biophysics and biomedical engineering.
Similar to optical tweezers, acoustic tweezers have been proposed to be capable
of manipulating microparticles and even cells. Although there have been concerted
efforts to develop tools for non-contact manipulation, no alternative to complex,
unifunctional tweezer has yet been found. Here we report a simple, low-cost,
multifunctional single beam acoustic tweezer (SBAT) that is capable of
manipulating an individual micrometer scale non-spherical cell at Rayleigh regime
and even a single millimeter scale organism at Mie regime, and imaging tissue as
well. We experimentally demonstrate that the SBAT with an ultralow f-number (f# =
focal length/aperture size) could manipulate an individual red blood cell and a
single 1.6 mm-diameter fertilized Zebrafish egg, respectively. Besides, in vitro
rat aorta images were collected successfully at dynamic foci in which the lumen
and the outer surface of the aorta could be clearly seen. With the ultralow f
number, the SBAT offers the combination of large acoustic radiation force and
narrow beam width, leading to strong trapping and high-resolution imaging
capabilities. These attributes enable the feasibility of using a single acoustic
device to perform non-invasive multi-functions simultaneously for biomedical and
biophysical applications.
PMID- 27874051
TI - Stable Upconversion Nanohybrid Particles for Specific Prostate Cancer Cell
Immunodetection.
AB - Prostate cancer is one of the male killing diseases and early detection of
prostate cancer is the key for better treatment and lower cost. However, the
number of prostate cancer cells is low at the early stage, so it is very
challenging to detect. In this study, we successfully designed and developed
upconversion immune-nanohybrids (UINBs) with sustainable stability in a
physiological environment, stable optical properties and highly specific
targeting capability for early-stage prostate cancer cell detection. The
developed UINBs were characterized by transmission electron microscopy (TEM), X
ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), dynamic
light scattering (DLS) and luminescence spectroscopy. The targeting function of
the biotinylated antibody nanohybrids were confirmed by immunofluorescence assay
and western blot analysis. The UINB system is able to specifically detect
prostate cancer cells with stable and background-free luminescent signals for
highly sensitive prostate cancer cell detection. This work demonstrates a
versatile strategy to develop UCNPs based sustainably stable UINBs for sensitive
diseased cell detection.
PMID- 27874053
TI - Coherent control of double deflected anomalous modes in ultrathin trapezoid
shaped slit metasurface.
AB - Coherent light-matter interaction in ultrathin metamaterials has been
demonstrated to dynamically modulate intensity, polarization and propagation
direction of light. The gradient metasurface with a transverse phase variation
usually exhibits an anomalous refracted beam of light dictated by so-called
generalized Snell's law. However, less attention has been paid to coherent
control of the metasurface with multiple anomalous refracted beams. Here we
propose an ultrathin gradient metasurface with single trapezoid-shaped slot
antenna as its building block that allows one normal and two deflected
transmitted beams. It is numerically demonstrated that such metasurface with
multiple scattering modes can be coherently controlled to modulate output
intensities by changing the relative phase difference between two
counterpropagating coherent beams. Each mode can be coherently switched on/off
and two deflected anomalous beams can be synchronously dictated by the phase
difference. The coherent control effect in the trapezoid-shaped slit metasurface
will offer a promising opportunity for multichannel signals modulation,
multichannel sensing and wave front shaping.
PMID- 27874054
TI - Combinational Immunotherapy with Allo-DRibble Vaccines and Anti-OX40 Co
Stimulation Leads to Generation of Cross-Reactive Effector T Cells and Tumor
Regression.
AB - It is well-known that vaccines comprising of irradiated whole tumor cells or
tumor-derived heat shock proteins can generate tumor-specific immune responses.
In contrast, we showed recently that vaccines composed of autophagosomes
(DRibbles) derived from syngeneic sarcomas could induce cross-reactive T-cell
responses and cross-protection against the tumor. This unusual property of
DRibbles was related to the selective recruitment of defective ribosomal products
(DRiPs) and other short-lived proteins (SLiPs) into autophagosomes via
sequestosome (SQSTM1, p62) mediated association of ubiquitinated SLiPs to the
autophagy gene product LC3. Here, we extend our observations to mammary
carcinomas from mice of different genetic background. We demonstrated that
combined of intranodal administration of autologous or allogeneic DRibbles
together with anti-OX40 antibody led to robust proliferation, expansion, and
differentiation of memory and effector T cells. We also showed that SLiPs is an
excellent source of antigen for cross-priming of CD8+ T-cells that recognize
shared tumor antigens in the context of host MHC class I molecules. Thus, our
results provide a strong basis for novel clinical trials that combine allogeneic
"off-the-shelf" DRibble vaccines together with antibodies against co-stimulatory
molecules.
PMID- 27874057
TI - Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon
Nanowires and their associated photoluminescence properties.
AB - Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial
inductively-coupled plasma (ICP) based process. Two families of SiNWs have been
identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100
nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and
a silica shell, of which the thickness varies from 5 to 20 nm. By combining
advanced transmission electron microscopy (TEM) techniques, we demonstrate that
the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG)
mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the
growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the
existence of chapelet-like Si nanocrystals embedded in an otherwise silica
nanowire. Such nanostructures are believed to result from the exposure of some
OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the
intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm
spectral range is a clear indication of the occurrence of quantum confinement.
Such a PL emission is in accordance with the TEM results which revealed that the
size of nanostructures are indeed below the exciton Bohr radius of silicon.
PMID- 27874056
TI - Genomic regions responsible for amenability to Agrobacterium-mediated
transformation in barley.
AB - Different plant cultivars of the same genus and species can exhibit vastly
different genetic transformation efficiencies. However, the genetic factors
underlying these differences in transformation rate remain largely unknown. In
barley, 'Golden Promise' is one of a few cultivars reliable for Agrobacterium
mediated transformation. By contrast, cultivar 'Haruna Nijo' is recalcitrant to
genetic transformation. We identified genomic regions of barley important for
successful transformation with Agrobacterium, utilizing the 'Haruna Nijo' *
'Golden Promise' F2 generation and genotyping by 124 genome-wide SNP markers. We
observed significant segregation distortions of these markers from the expected
1:2:1 ratio toward the 'Golden Promise'-type in regions of chromosomes 2H and 3H,
indicating that the alleles of 'Golden Promise' in these regions might contribute
to transformation efficiency. The same regions, which we termed Transformation
Amenability (TFA) regions, were also conserved in transgenic F2 plants generated
from a 'Morex' * 'Golden Promise' cross. The genomic regions identified herein
likely include necessary factors for Agrobacterium-mediated transformation in
barley. The potential to introduce these loci into any haplotype of barley opens
the door to increasing the efficiency of transformation for target alleles into
any haplotype of barley by the TFA-based methods proposed in this report.
PMID- 27874055
TI - The coordinated roles of miR-26a and miR-30c in regulating TGFbeta1-induced
epithelial-to-mesenchymal transition in diabetic nephropathy.
AB - MicroRNAs (miRNAs) play vital roles in the development of diabetic nephropathy.
Here, we compared the protective efficacies of miR-26a and miR-30c in renal
tubular epithelial cells (NRK-52E) and determined whether they demonstrated
additive effects in the attenuation of renal fibrosis. TGFbeta1 suppressed miR
26a and miR-30c expression but up-regulated pro-fibrotic markers in NRK-52E
cells, and these changes were also found in the kidney cortex of 40-week-old
diabetic Otsuka Long-Evans Tokushima fatty (OLETF) rats. Bioinformatic analyses
and luciferase assays further demonstrated that both miR-26a and miR-30c targeted
connective tissue growth factor (CTGF); additionally, Snail family zinc finger 1
(Snail1), a potent epithelial-to-mesenchymal transition (EMT) inducer, was
targeted by miR-30c. Overexpression of miR-26a and miR-30c coordinately decreased
CTGF protein levels and subsequently ameliorated TGFbeta1-induced EMT in NRK-52E
cells. Co-silencing of miR-26a and miR-30c exhibited the opposite effect.
Moreover, miR-26a and miR-30c co-silenced CTGF to decrease ERK1/2 and p38 MAPK
activation. Furthermore, miR-26a was up-regulated in urinary extracellular
vesicles of diabetic nephropathy patients. Our study provides evidence for the
cooperative roles of miR-26a and miR-30c in the pathogenesis of diabetic
nephropathy, and the co-targeting of miR-26a and miR-30c could provide a new
direction for diabetic nephropathy treatment.
PMID- 27874059
TI - Super-enhancement of 1.54 MUm emission from erbium codoped with oxygen in silicon
on-insulator.
AB - We report on the super enhancement of the 1.54 MUm Er emission in erbium doped
silicon-on-insulator when codoped with oxygen at a ratio of 1:1. This is
attributed to a more favourable crystal field splitting in the substitutional
tetrahedral site favoured for the singly coordinated case. The results on these
carefully matched implant profiles show that optical response is highly
determined by the amount and ratio of erbium and oxygen present in the sample and
ratios of O:Er greater than unity are severely detrimental to the Er emission.
The most efficient luminescence is forty times higher than in silicon-on
insulator implanted with Er only. This super enhancement now offers a realistic
route not only for optical communication applications but also for the
implementation of silicon photonic integrated circuits for sensing, biomedical
instrumentation and quantum communication.
PMID- 27874058
TI - Perceptual learning in a non-human primate model of artificial vision.
AB - Visual perceptual grouping, the process of forming global percepts from discrete
elements, is experience-dependent. Here we show that the learning time course in
an animal model of artificial vision is predicted primarily from the density of
visual elements. Three naive adult non-human primates were tasked with
recognizing the letters of the Roman alphabet presented at variable size and
visualized through patterns of discrete visual elements, specifically, simulated
phosphenes mimicking a thalamic visual prosthesis. The animals viewed a spatially
static letter using a gaze-contingent pattern and then chose, by gaze fixation,
between a matching letter and a non-matching distractor. Months of learning were
required for the animals to recognize letters using simulated phosphene vision.
Learning rates increased in proportion to the mean density of the phosphenes in
each pattern. Furthermore, skill acquisition transferred from trained to
untrained patterns, not depending on the precise retinal layout of the simulated
phosphenes. Taken together, the findings suggest that learning of perceptual
grouping in a gaze-contingent visual prosthesis can be described simply by the
density of visual activation.
PMID- 27874060
TI - Close relationship between a dry-wet transition and a bubble rearrangement in two
dimensional foam.
AB - Liquid foams are classified into a dry foam and a wet foam, empirically judging
from the liquid fraction or the shape of the gas bubbles. It is known that
physical properties such as elasticity and diffusion are different between the
dry foam and the wet foam. Nevertheless, definitions of those states have been
vague and the dry-wet transition of foams has not been clarified yet. Here we
show that the dry-wet transition is closely related to rearrangement of the gas
bubbles, by simultaneously analysing the shape change of the bubbles and that of
the entire foam in two dimensional foam. In addition, we also find a new state in
quite low liquid fraction, which is named "superdry foam". Whereas the shape
change of the bubbles strongly depends on the change of the liquid fraction in
the superdry foam, the shape of the bubbles does not change with changing the
liquid fraction in the dry foam. Our results elucidate the relationship between
the transitions and the macroscopic mechanical properties.
PMID- 27874063
TI - CNS cancer: Tracing stem cells in oligodendroglioma.
PMID- 27874064
TI - Prostate cancer: Screening and treatment: where do we go from here?
PMID- 27874061
TI - Strategies to avoid treatment-induced lineage crisis in advanced prostate cancer.
AB - The increasing potency of therapies that target the androgen receptor (AR)
signalling axis has correlated with a rise in the proportion of patients with
prostate cancer harbouring an adaptive phenotype, termed treatment-induced
lineage crisis. This phenotype is characterized by features that include soft
tissue metastasis and/or resistance to standard anticancer therapies. Potent
anticancer treatments might force cancer cells to evolve and develop alternative
cell lineages that are resistant to primary therapies, a mechanism similar to the
generation of multidrug- resistant microorganisms after continued antibiotic use.
Herein, we assess the hypothesis that treatment-adapted phenotypes harbour
reduced AR expression and/or activity, and acquire compensatory strategies for
cell survival. We highlight the striking similarities between castration
resistant prostate cancer and triple-negative breast cancer, another poorly
differentiated endocrine malignancy. Alternative treatment paradigms are needed
to avoid therapy-induced resistance. Herein, we present a new clinical trial
strategy designed to evaluate the potential of rapid drug cycling as an approach
to delay the onset of resistance and treatment-induced lineage crisis in patients
with metastatic castration-resistant prostate cancer.
PMID- 27874065
TI - Antimicrobial Peptide Potency is Facilitated by Greater Conformational
Flexibility when Binding to Gram-negative Bacterial Inner Membranes.
AB - The interaction of antimicrobial peptides (AMPs) with the inner membrane of Gram
negative bacteria is a key determinant of their abilities to exert diverse
bactericidal effects. Here we present a molecular level understanding of the
initial target membrane interaction for two cationic alpha-helical AMPs that
share structural similarities but have a ten-fold difference in antibacterial
potency towards Gram-negative bacteria. The binding and insertion from solution
of pleurocidin or magainin 2 to membranes representing the inner membrane of Gram
negative bacteria, comprising a mixture of 128 anionic and 384 zwitterionic
lipids, is monitored over 100 ns in all atom molecular dynamics simulations. The
effects of the membrane interaction on both the peptide and lipid constituents
are considered and compared with new and published experimental data obtained in
the steady state. While both magainin 2 and pleurocidin are capable of disrupting
bacterial membranes, the greater potency of pleurocidin is linked to its ability
to penetrate within the bacterial cell. We show that pleurocidin displays much
greater conformational flexibility when compared with magainin 2, resists self
association at the membrane surface and penetrates further into the hydrophobic
core of the lipid bilayer. Conformational flexibility is therefore revealed as a
key feature required of apparently alpha-helical cationic AMPs for enhanced
antibacterial potency.
PMID- 27874062
TI - Systemic, perioperative management of muscle-invasive bladder cancer and future
horizons.
AB - Many patients diagnosed with muscle-invasive bladder cancer (MIBC) will develop
distant metastatic disease. Over the past three decades, perioperative cisplatin
based chemotherapy has been investigated for its ability to reduce the number of
deaths from bladder cancer. Insufficient evidence is available to fully support
the use of such chemotherapy in the adjuvant setting; however, neoadjuvant
cisplatin-based combination chemotherapy has become a standard of care for
eligible patients based on the improved disease-specific and overall survival
demonstrated in two randomized phase III trials, compared with surgery alone. For
patients with disease downstaging to non-MIBC at the time of radical cystectomy
as a result of neoadjuvant chemotherapy, outcomes are outstanding, with 5-year
overall survival of 80-90%. Nevertheless, the inability to define before
treatment the patients who will and those who will not achieve such a response
has impeded the achievement of better outcomes for patients with MIBC. High
throughput DNA and RNA profiling technologies might help to overcome this barrier
and enable a more-personalized approach to the use of cytotoxic neoadjuvant
chemotherapy. In the past 2 years, trial results have demonstrated the
unprecedented ability of immune- checkpoint blockade to induce durable remissions
in patients with metastatic disease that has progressed after chemotherapy;
studies are now urgently needed to determine how best to incorporate this
powerful therapeutic modality into the care of patients with MIBC. Herein, we
review the evolution of chemotherapy and immunotherapy for muscle-invasive
bladder cancer.
PMID- 27874066
TI - CL316,243, a beta3-adrenergic receptor agonist, induces muscle hypertrophy and
increased strength.
AB - Studies in vitro have demonstrated that beta3-adrenergic receptors (beta3-ARs)
regulate protein metabolism in skeletal muscle by promoting protein synthesis and
inhibiting protein degradation. In this study, we evaluated whether activation of
beta3-ARs by the selective agonist CL316,243 modifies the functional and
structural properties of skeletal muscles of healthy mice. Daily injections of
CL316,243 for 15 days resulted in a significant improvement in muscle force
production, assessed by grip strength and weight tests, and an increased myofiber
cross-sectional area, indicative of muscle hypertrophy. In addition, atomic force
microscopy revealed a significant effect of CL316,243 on the transversal
stiffness of isolated muscle fibers. Interestingly, the expression level of
mammalian target of rapamycin (mTOR) downstream targets and neuronal nitric oxide
synthase (NOS) was also found to be enhanced in tibialis anterior and soleus
muscles of CL316,243 treated mice, in accordance with previous data linking beta3
ARs to mTOR and NOS signaling pathways. In conclusion, our data suggest that
CL316,243 systemic administration might be a novel therapeutic strategy worthy of
further investigations in conditions of muscle wasting and weakness associated
with aging and muscular diseases.
PMID- 27874067
TI - 5-HTR3 and 5-HTR4 located on the mitochondrial membrane and functionally
regulated mitochondrial functions.
AB - 5-HT has been reported to possess significant effects on cardiac activities, but
activation of 5-HTR on the cell membrane failed to illustrate the controversial
cardiac reaction. Because 5-HT constantly comes across the cell membrane via 5-HT
transporter (5-HTT) into the cytoplasm, whether 5-HTR is functional present on
the cellular organelles is unknown. Here we show 5-HTR3 and 5-HTR4 were located
in cardiac mitochondria, and regulated mitochondrial activities and cellular
functions. Knock down 5-HTR3 and 5-HTR4 in neonatal cardiomyocytes resulted in
significant increase of cell damage in response to hypoxia, and also led to
alternation in heart beating. Activation of 5-HTR4 attenuated mitochondrial Ca2+
uptake under the both normoxic and hypoxic conditions, whereas 5-HTR3 augmented
Ca2+ uptake only under hypoxia. 5-HTR3 and 5-HTR4 exerted the opposite effects on
the mitochondrial respiration: 5-HTR3 increased RCR (respiration control ratio),
but 5-HTR4 reduced RCR. Moreover, activation of 5-HTR3 and 5-HTR4 both
significantly inhibited the opening of mPTP. Our results provided the first
evidence that 5-HTR as a GPCR and an ion channel, functionally expressed in
mitochondria and participated in the mitochondria function and regulation to
maintain homeostasis of mitochondrial [Ca2+], ROS, and ATP generation efficiency
in cardiomyocytes in response to stress and O2 tension.
PMID- 27874069
TI - Direct observation of electronic-liquid-crystal phase transitions and their
microscopic origin in La1/3Ca2/3MnO3.
AB - The ground-state electronic order in doped manganites is frequently associated
with a lattice modulation, contributing to their many interesting properties.
However, measuring the thermal evolution of the lattice superstructure with
reciprocal-space probes alone can lead to ambiguous results with competing
interpretations. Here we provide direct observations of the evolution of the
superstructure in La1/3Ca2/3MnO3 in real space, as well as reciprocal space,
using transmission electron microscopic (TEM) techniques. We show that the
transitions are the consequence of a proliferation of dislocations plus
electronic phase separation. The resulting states are well described by the
symmetries associated with electronic-liquid-crystal (ELC) phases. Moreover, our
results resolve the long-standing controversy over the origin of the
incommensurate superstructure and suggest a new structural model that is
consistent with recent theoretical calculations.
PMID- 27874068
TI - Identification of inhibitors that dually target the new permeability pathway and
dihydroorotate dehydrogenase in the blood stage of Plasmodium falciparum.
AB - Plasmodium parasites are responsible for the devastating disease malaria that
affects hundreds of millions of people each year. Blood stage parasites establish
new permeability pathways (NPPs) in infected red blood cell membranes to
facilitate the uptake of nutrients and removal of parasite waste products.
Pharmacological inhibition of the NPPs is expected to lead to nutrient starvation
and accumulation of toxic metabolites resulting in parasite death. Here, we have
screened a curated library of antimalarial compounds, the MMV Malaria Box,
identifying two compounds that inhibit NPP function. Unexpectedly, metabolic
profiling suggested that both compounds also inhibit dihydroorotate dehydrogense
(DHODH), which is required for pyrimidine synthesis and is a validated drug
target in its own right. Expression of yeast DHODH, which bypasses the need for
the parasite DHODH, increased parasite resistance to these compounds. These
studies identify two potential candidates for therapeutic development that
simultaneously target two essential pathways in Plasmodium, NPP and DHODH.
PMID- 27874070
TI - Waterborne aripiprazole blunts the stress response in zebrafish.
AB - Here we provide, at least to our knowledge, the first evidence that aripiprazole
(APPZ) in the water blunts the stress response of exposed fish in a concentration
ten times lower than the concentration detected in the environment. Although the
mechanism of APPZ in the neuroendocrine axis is not yet determined, our results
highlight that the presence of APPZ residues in the environment may interfere
with the stress responses in fish. Since an adequate stress response is crucial
to restore fish homeostasis after stressors, fish with impaired stress response
may have trouble to cope with natural and/or imposed stressors with consequences
to their welfare and survival.
PMID- 27874071
TI - An ancient role for nitric oxide in regulating the animal pelagobenthic life
cycle: evidence from a marine sponge.
AB - In many marine invertebrates, larval metamorphosis is induced by environmental
cues that activate sensory receptors and signalling pathways. Nitric oxide (NO)
is a gaseous signalling molecule that regulates metamorphosis in diverse
bilaterians. In most cases NO inhibits or represses this process, although it
functions as an activator in some species. Here we demonstrate that NO positively
regulates metamorphosis in the poriferan Amphimedon queenslandica. High rates of
A. queenslandica metamorphosis normally induced by a coralline alga are inhibited
by an inhibitor of nitric oxide synthase (NOS) and by a NO scavenger. Consistent
with this, an artificial donor of NO induces metamorphosis even in the absence of
the alga. Inhibition of the ERK signalling pathway prevents metamorphosis in
concert with, or downstream of, NO signalling; a NO donor cannot override the ERK
inhibitor. NOS gene expression is activated late in embryogenesis and in larvae,
and is enriched in specific epithelial and subepithelial cell types, including a
putative sensory cell, the globular cell; DAF-FM staining supports these cells
being primary sources of NO. Together, these results are consistent with NO
playing an activating role in induction of A. queenslandica metamorphosis,
evidence of its highly conserved regulatory role in metamorphosis throughout the
Metazoa.
PMID- 27874072
TI - Cas9-catalyzed DNA Cleavage Generates Staggered Ends: Evidence from Molecular
Dynamics Simulations.
AB - The CRISPR-associated endonuclease Cas9 from Streptococcus pyogenes (spCas9)
along with a single guide RNA (sgRNA) has emerged as a versatile toolbox for
genome editing. Despite recent advances in the mechanism studies on spCas9-sgRNA
mediated double-stranded DNA (dsDNA) recognition and cleavage, it is still
unclear how the catalytic Mg2+ ions induce the conformation changes toward the
catalytic active state. It also remains controversial whether Cas9 generates
blunt-ended or staggered-ended breaks with overhangs in the DNA. To investigate
these issues, here we performed the first all-atom molecular dynamics simulations
of the spCas9-sgRNA-dsDNA system with and without Mg2+ bound. The simulation
results showed that binding of two Mg2+ ions at the RuvC domain active site could
lead to structurally and energetically favorable coordination ready for the non
target DNA strand cleavage. Importantly, we demonstrated with our simulations
that Cas9-catalyzed DNA cleavage produces 1-bp staggered ends rather than
generally assumed blunt ends.
PMID- 27874073
TI - Loss-of-function of an Arabidopsis NADPH pyrophosphohydrolase, AtNUDX19, impacts
on the pyridine nucleotides status and confers photooxidative stress tolerance.
AB - The levels and redox states of pyridine nucleotides, such as NADP(H), regulate
the cellular redox homeostasis, which is crucial for photooxidative stress
response in plants. However, how they are controlled is poorly understood. An
Arabidopsis Nudix hydrolase, AtNUDX19, was previously identified to have NADPH
hydrolytic activity in vitro, suggesting this enzyme to be a regulator of the
NADPH status. We herein examined the physiological role of AtNUDX19 using its
loss-of-function mutants. NADPH levels were increased in nudx19 mutants under
both normal and high light conditions, while NADP+ and NAD+ levels were
decreased. Despite the high redox states of NADP(H), nudx19 mutants exhibited
high tolerance to moderate light- or methylviologen-induced photooxidative
stresses. This tolerance might be partially attributed to the activation of
either or both photosynthesis and the antioxidant system. Furthermore, a
microarray analysis suggested the role of ANUDX19 in regulation of the salicylic
acid (SA) response in a negative manner. Indeed, nudx19 mutants accumulated SA
and showed high sensitivity to the hormone. Our findings demonstrate that ANUDX19
acts as an NADPH pyrophosphohydrolase to modulate cellular levels and redox
states of pyridine nucleotides and fine-tunes photooxidative stress response
through the regulation of photosynthesis, antioxidant system, and possibly
hormonal signaling.
PMID- 27874074
TI - Second-trimester urine nephrin:creatinine ratio versus soluble fms-like tyrosine
kinase-1:placental growth factor ratio for prediction of preeclampsia among
asymptomatic women.
AB - This prospective observational study compare urine nephrin:creatinine ratio (NCR,
ng/mg) with serum soluble fms-like tyrosine kinase-1:placental growth factor
ratio (FPR, pg/pg) for preeclampsia (PE) prediction among unselected asymptomatic
pregnant women in 2nd trimester. NCR and FPR were determined in 254 paired
urine/blood samples collected simultaneously from 254 women at median gestational
week (GW) 24 (range, 22-27) without hypertension or significant proteinuria in
pregnancy (SPIP). Fifteen (5.9%) developed SPIP and hypertension at GW 34.0 (26.0
38.6) and 35.3 (27.6-38.6), respectively, and were diagnosed with PE at GW 35.7
(27.6-38.6). The 90th percentile level determined in 239 women normotensive
throughout pregnancy gave NCR (139) sensitivity and positive predictive values
(PPV) of 60% (9/15) and 27% (9/33), while those for serum FPR (4.85) were 40%
(6/15) and 20% (6/30), respectively. Relative risks (95%CI) of later PE were 10.0
(3.82-26.4; 27% [9/33] vs. 2.7% [6/221]) and 4.98 (1.91-13.0; 20% [6/30] vs. 4.0%
[9/224]) for NCR-positive and FPR-positive women, respectively. Cut-offs
suggested by ROC gave NCR (86.6) sensitivity and PPV of 87% (13/15) and 17%
(13/79), and FPR (8.8) values of 40% (6/15) and 40% (6/15), respectively. Thus,
2nd trimester NCR was superior to FPR for PE prediction.
PMID- 27874075
TI - A Rapid Response Thin-Film Plasmonic-Thermoelectric Light Detector.
AB - Light detection and quantification is fundamental to the functioning of a broad
palette of technologies. While expensive avalanche photodiodes and
superconducting bolometers are examples of detectors achieving single-photon
sensitivity and time resolutions down to the picosecond range, thermoelectric
based photodetectors are much more affordable alternatives that can be used to
measure substantially higher levels of light power (few kW/cm2). However, in
thermoelectric detectors, achieving broadband or wavelength-selective performance
with high sensitivity and good temporal resolution requires careful design of the
absorbing element. Here, combining the high absorptivity and low heat capacity of
a nanoengineered plasmonic thin-film absorber with the robustness and linear
response of a thermoelectric sensor, we present a hybrid detector for visible and
near-infrared light achieving response times of the order of 100 milliseconds,
almost four times shorter than the same thermoelectric device covered with a
conventional absorber. Furthermore, we show an almost two times higher light-to
electricity efficiency upon replacing the conventional absorber with a plasmonic
absorber. With these improvements, which are direct results of the efficiency and
ultra-small thickness of the plasmonic absorber, this hybrid detector constitutes
an ideal component for various medium-intensity light sensing applications
requiring spectrally tailored absorption coatings with either broadband or
narrowband characteristics.
PMID- 27874076
TI - Oligofructose as an adjunct in treatment of diabetes in NOD mice.
AB - In type 1 diabetes, restoration of normoglycemia can be achieved if the
autoimmune attack on beta cells ceases and insulin requirement is met by the
residual beta cells. We hypothesize that an adjunctive therapy that reduces
insulin demand by increasing insulin sensitivity will improve the efficacy of an
immunotherapy in reversing diabetes. We tested the gut microbiota-modulating
prebiotic, oligofructose (OFS), as the adjunctive therapy. We treated non-obese
diabetic mice with an immunotherapy, monoclonal anti-CD3 antibody (aCD3), with or
without concurrent dietary supplement of OFS. After 8 weeks of OFS supplement,
the group that received both aCD3 and OFS (aCD3 + OFS) had a higher diabetes
remission rate than the group that received aCD3 alone. The aCD3 + OFS group had
higher insulin sensitivity accompanied by reduced lymphocytic infiltrate into the
pancreatic islets, higher beta-cell proliferation rate, higher pancreatic insulin
content, and secreted more insulin in response to glucose. The addition of OFS
also caused a change in gut microbiota, with a higher level of Bifidobacterium
and lower Clostridium leptum. Hence, our results suggest that OFS can potentially
be an effective therapeutic adjunct in the treatment of type 1 diabetes by
improving insulin sensitivity and beta-cell function, leading to improved
glycemic control.
PMID- 27874078
TI - Glutamate dehydrogenase activator BCH stimulating reductive amination prevents
high fat/high fructose diet-induced steatohepatitis and hyperglycemia in C57BL/6J
mice.
AB - Individuals with non-alcoholic fatty liver disease (NAFLD) and type 2 diabetes
(T2D) induced by high calorie western diet are characterized by enhanced
lipogenesis and gluconeogenesis in the liver. Stimulation of reductive amination
may shift tricarboxylic acid cycle metabolism for lipogenesis and gluconeogenesis
toward glutamate synthesis with increase of NAD+/NADH ratio and thus, ameliorate
high calorie diet-induced fatty liver and hyperglycemia. Stimulation of reductive
amination through glutamate dehydrogenase (GDH) activator 2-aminobicyclo-(2,2,1)
heptane-2-carboxylic acid (BCH) reduced both de novo lipogenesis and
gluconeogenesis but increased the activities of sirtuins and AMP-activated kinase
in primary hepatocytes. Long-term BCH treatment improved most metabolic
alterations induced by high fat/high fructose (HF/HFr) diet in C57BL/6J mice. BCH
prevented HF/HFr-induced fat accumulation and activation of stress/inflammation
signals such as phospho-JNK, phospho-PERK, phospho-p38, and phospho-NFkappaB in
liver tissues. Furthermore, BCH treatment reduced the expression levels of
inflammatory cytokines such as TNF-alpha and IL-1beta in HF/HFr-fed mouse liver.
BCH also reduced liver collagen and plasma levels of alanine transaminase and
aspartate transaminase. On the other hand, BCH significantly improved fasting
hyperglycemia and glucose tolerance in HF/HFr-fed mice. In conclusion,
stimulation of reductive amination through GDH activation can be used as a
strategy to prevent high calorie western diet-induced NAFLD and T2D.
PMID- 27874079
TI - Crosstalk among proteome, acetylome and succinylome in colon cancer HCT116 cell
treated with sodium dichloroacetate.
AB - Protein lysine acetylation and succinylation play important regulatory roles in
cells, both of which or each other has a close relationship. Dichloroacetate
(DCA), a well-known pyruvate dehydrogenase kinase (PDK) inhibitor, has the
potential to be used as anti-cancer drugs for several tumors including colorectal
cancer. However, little is known about the potential mechanism of DCA-based
cancer therapy by protein posttranslational modifications (PTM) including global
proteome, acetylome and succinylome. Here the combinations with stable isotope
labeling (SILAC), antibody affinity enrichment and high resolution LC-MS/MS
analysis were performed in human colon cancer HCT116 cells. The quantifiable
proteome was annotated using bioinformatics. In total, 4,518 proteins, 1,436
acetylation sites, and 671 succinylation sites were quantified, respectively to
DCA treatment. Among the quantified acetylated sites, 158 were with increased
level (quantification ratio >1.5) and 145 with decreased level (quantification
ratio <0.67). Meanwhile, 179 up-regulated and 114 down-regulated succinylated
sites were identified. The bioinformatics analyses initially showed acetylation
and succinylation were involved in a wide range of cellular functions upon DCA
based anti-cancer effects. Notably, protein-protein interaction network analyses
demonstrated widespread interactions modulated by protein acetylation and
succinylation. Taken together, this study may shed a light on understanding the
mechanism of DCA-based cancer treatment.
PMID- 27874077
TI - Lymphocytic Microparticles Modulate Angiogenic Properties of Macrophages in Laser
induced Choroidal Neovascularization.
AB - Pathological choroidal neovascularization (CNV) is the common cause of vision
loss in patients with age-related macular degeneration (AMD). Macrophages possess
potential angiogenic function in CNV. We have demonstrated that human T
lymphocyte-derived microparticles (LMPs) exert a potent antiangiogenic effect in
several pathological neovascularization models. In this study, we investigated
the alteration of proangiogenic properties of macrophages by LMPs treatment in
vitro and in vivo models. LMPs regulated the expression of several angiogenesis
related factors in macrophages and consequently stimulated their antiangiogenic
effects evidenced by the suppression of the proliferation of human retinal
endothelial cells in co-culture experiments. The involvement of CD36 receptor in
LMPs uptake by macrophages was demonstrated by in vitro assays and by
immunostaining of choroidal flat mounts. In addition, ex vivo experiments showed
that CD36 mediates the antiangiogenic effect of LMPs in murine and human
choroidal explants. Furthermore, intravitreal injection of LMPs in the mouse
model of laser-induced CNV significantly suppressed CNV in CD36 dependent manner.
The results of this study suggested an ability of LMPs to alter the gene
expression pattern of angiogenesis-related factors in macrophages, which provide
important information for a new therapeutic approach for efficiently interfering
with both vascular and extravascular components of CNV.
PMID- 27874080
TI - Integrated omics study of lipid droplets from Plasmodiophora brassicae.
AB - Plasmodiophora brassicae causes clubroot disease in cruciferous. In this report,
lipid droplets were observed in the resting spores of P. brassicae. 295 lipid
droplet-associated proteins were identified and categorized into nine groups.
Transcriptome analysis of these proteins during three different zoosporic stages
revealed differences in gene expression pattern. GO enrichment analysis revealed
that these proteins associated with lipid droplets were mainly linked to
biosynthesis and metabolism. GC-MS analysis revealed that lipid droplets contain
seven types of free fatty acids: saturated fatty acids C16:0 and C18:0, and
unsaturated fatty acids C18:1Delta9, C18:1Delta11, C18:2, C20:4 and C20:5. P.
brassicae accumulated a large amount of triacylglycerols (TAGs). We
systematically analyzed the putative proteins involved in TAG biosynthesis and
its metabolic pathway. KEGG pathway analysis defined 3390 genes, including 167
genes involved in lipid metabolism. Transcriptome analysis revealed that 162
candidate enzymes involved in lipid metabolism were differential expressed. Our
omics studies are the first to investigate the lipid droplet organelles in P.
brassicae, providing a reference resource to study protist lipid droplets.
PMID- 27874081
TI - The response of the soil microbial food web to extreme rainfall under different
plant systems.
AB - An agroforestry experiment was conducted that involved four planting systems:
monoculture of the focal species Zanthoxylum bungeanum and mixed cultures of Z.
bungeanum and Capsicum annuum, Z. bungeanum and Medicago sativa and Z. bungeanum
and Glycine max. Soil microbial food web (microorganisms and nematodes) was
investigated under manipulated extreme rainfall in the four planting systems to
assess whether presence of neighbor species alleviated the magnitude of extreme
rainfall on nutrient uptake of the focal species by increasing the stability of
soil food web. Our results indicate that in the focal species and G. max mixed
culture, leaf nitrogen contents of the focal species were higher than in the
monoculture and in the other mixed cultures under extreme rainfall. This result
was mainly due to the significant increase under extreme rainfall of G. max
species root biomass, resulting in enhanced microbial resistance and subsequent
net nitrogen mineralization rate and leaf nitrogen uptake for the focal species.
Differences in functional traits of neighbors had additive effects and led to a
marked divergence of soil food-web resistance and nutrient uptake of the focal
species. Climate change can indirectly alleviate focal species via its influence
on their neighbors.
PMID- 27874082
TI - Ultra-broadband Reflective Metamaterial with RCS Reduction based on Polarization
Convertor, Information Entropy Theory and Genetic Optimization Algorithm.
AB - We proposed an ultra-broadband reflective metamaterial with controlling the
scattering electromagnetic fields based on a polarization convertor. The unit
cell of the polarization convertor was composed of a three layers substrate with
double metallic split-rings structure and a metal ground plane. The proposed
polarization convertor and that with rotation angle of 90 deg had been employed
as the "0" and "1" elements to design the digital reflective metamaterial. The
numbers of the "0" and "1" elements were chosen based on the information entropy
theory. Then, the optimized combinational format was selected by genetic
optimization algorithm. The scattering electromagnetic fields had been
manipulated due to destructive interference, which was attributed to the control
of phase and amplitude by the proposed polarization convertor. Simulated and
experimental results indicated that the reflective metamaterial exhibited
significantly RCS reduction in an ultra-broad frequency band for both normal and
oblique incidences.
PMID- 27874085
TI - Silicon deposition in nanopores using a liquid precursor.
AB - Techniques for depositing silicon into nanosized spaces are vital for the further
scaling down of next-generation devices in the semiconductor industry. In this
study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of
70 by exploiting thermodynamic behaviour based on the van der Waals energy of
vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid
precursor for semiconducting silicon. Here we used CPS as a gas source in thermal
chemical vapour deposition under atmospheric pressure because vaporized CPS can
fill nanopores spontaneously. Our estimation of the free energy of CPS based on
Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour
in the nanopores readily undergoes capillary condensation because of its large
molar volume compared to those of other vapours such as water, toluene, silane,
and disilane. Consequently, a liquid-specific feature was observed during the
deposition process; specifically, condensed CPS penetrated into the nanopores
spontaneously via capillary force. The CPS that filled the nanopores was then
transformed into solid silicon by thermal decomposition at 400 degrees C. The
developed method is expected to be used as a nanoscale silicon filling
technology, which is critical for the fabrication of future quantum scale silicon
devices.
PMID- 27874084
TI - Silymarin attenuates cigarette smoke extract-induced inflammation via
simultaneous inhibition of autophagy and ERK/p38 MAPK pathway in human bronchial
epithelial cells.
AB - Cigarette smoke (CS) is a major risk of chronic obstructive pulmonary disease
(COPD), contributing to airway inflammation. Our previous study revealed that
silymarin had an anti-inflammatory effect in CS-exposed mice. In this study, we
attempt to further elucidate the molecular mechanisms of silymarin in CS extract
(CSE)-induced inflammation using human bronchial epithelial cells. Silymarin
significantly suppressed autophagy activation and the activity of ERK/p38 mitogen
activated protein kinase (MAPK) pathway in Beas-2B cells. We also observed that
inhibiting the activity of ERK with specific inhibitor U0126 led to reduced
autophagic level, while knockdown of autophagic gene Beclin-1 and Atg5 decreased
the levels of ERK and p38 phosphorylation. Moreover, silymarin attenuated CSE
induced upregulation of inflammatory cytokines TNF-alpha, IL-6 and IL-8 which
could also be dampened by ERK/p38 MAPK inhibitors and siRNAs for Beclin-1 and
Atg5. Finally, we validated decreased levels of both autophagy and inflammatory
cytokines (TNF-alpha and KC) in CS-exposed mice after silymarin treatment. The
present research has demonstrated that CSE-induced autophagy in bronchial
epithelia, in synergism with ERK MAPK pathway, may initiate and exaggerate airway
inflammation. Silymarin could attenuate inflammatory responses through
intervening in the crosstalk between autophagy and ERK MAPK pathway, and might be
an ideal agent treating inflammatory pulmonary diseases.
PMID- 27874083
TI - Poly-L-ornithine enhances migration of neural stem/progenitor cells via promoting
alpha-Actinin 4 binding to actin filaments.
AB - The recruitment of neural stem/progenitor cells (NSPCs) for brain restoration
after injury is a promising regenerative therapeutic strategy. This strategy
involves enhancing proliferation, migration and neuronal differentation of NSPCs.
To date, the lack of biomaterials, which facilitate these processes to enhance
neural regeneration, is an obstacle for the cell replacement therapies. Our
previous study has shown that NSPCs grown on poly-L-ornithine (PO) could
proliferate more vigorously and differentiate into more neurons than that on Poly
L-Lysine (PLL) and Fibronectin (FN). Here, we demonstrate that PO could promote
migration of NSPCs in vitro, and the underlying mechanism is PO activates alpha
Actinins 4 (ACTN4), which is firstly certified to be expessed in NSPCs, to
promote filopodia formation and therefore enhances NSPCs migration. Taken
together, PO might serve as a better candidate for transplanted biomaterials in
the regenerative therapeutic strategy, compared with PLL and FN.
PMID- 27874087
TI - Development of Commercial Thermo-sensitive Genic Male Sterile Rice Accelerates
Hybrid Rice Breeding Using the CRISPR/Cas9-mediated TMS5 Editing System.
AB - Hybrid rice breeding offers an important strategy to improve rice production, in
which the cultivation of a male sterile line is the key to the success of cross
breeding. CRISPR/Cas9 systems have been widely used in target-site genome
editing, whereas their application for crop genetic improvement has been rarely
reported. Here, using the CRISPR/Cas9 system, we induced specific mutations in
TMS5, which is the most widely applied thermo-sensitive genic male sterility
(TGMS) gene in China, and developed new "transgene clean" TGMS lines. We designed
10 target sites in the coding region of TMS5 for targeted mutagenesis using the
CRISPR/Cas9 system and assessed the potential rates of on- and off-target
effects. Finally, we established the most efficient construct, the TMS5ab
construct, for breeding potentially applicable "transgene clean" TGMS lines. We
also discussed factors that affect the editing efficiency according to the
characteristics of different target sequences. Notably, using the TMS5ab
construct, we developed 11 new "transgene clean" TGMS lines with potential
applications in hybrid breeding within only one year in both rice subspecies. The
application of our system not only significantly accelerates the breeding of
sterile lines but also facilitates the exploitation of heterosis.
PMID- 27874086
TI - Combined administration of anisodamine and neostigmine rescued acute lethal crush
syndrome through alpha7nAChR-dependent JAK2-STAT3 signaling.
AB - Previously we showed that Ani (anisodamine)/Neo (neostigmine) combination
produced anti-shock effect via activating alpha7 nicotinic acetylcholine receptor
(alpha7nAChR). In this study, we aim to investigate the therapeutic effect and
underlying mechanisms of Ani/Neo combination in acute lethal crush syndrome (CS).
In rat and rabbit CS models, Ani/Neo combination increased the 24 h survival
rates, improved hemodynamics and decreased the levels of creatine kinase, MB
isoenzyme of creatine kinase, blood urea nitrogen, creatinine, K+ in serum. It
also decreased the levels of H2O2, myeloperoxidase (MPO) and nitric oxide (NO) in
serum and compressed muscle in rat CS model. In wild-type (WT) mice with CS,
Ani/Neo combination increased 24 h survival rate and decreased the levels of
H2O2, MPO, NO, TNFalpha, IL-6 and IL-10 in compressed muscle. These effects were
attenuated by alpha7nAChR knockout (KO). Moreover, Ani/Neo combination prevented
the decrease of phosphorylation of Janus kinase 2 (JAK2) and phosphorylation of
signal transducer and activator of transcription 3 (STAT3) induced by CS. These
effects of Ani/Neo in CS mice were cancelled by methyllycaconitine (alpha7nAChR
antagonist) and alpha7nAChR KO. Collectively, our results demonstrate that
Ani/Neo combination could produce therapeutic effects in CS. The underlying
mechanism involves the activation of alpha7nAChR-dependent JAK2-STAT3 signaling
pathway.
PMID- 27874089
TI - Robust encoding of scene anticipation during human spatial navigation.
AB - In a familiar city, people can recall scene views (e.g., a particular street
corner scene) they could encounter again in the future. Complex objects with
multiple features are represented by multiple neural units (channels) in the
brain, but when anticipating a scene view, the kind of feature that is assigned
to a specific channel is unknown. Here, we studied neural encoding of scene view
anticipation during spatial navigation, using a novel data-driven analysis to
evaluate encoding channels. Our encoding models, based on functional magnetic
resonance imaging (fMRI) activity, provided channel error correction via
redundant channel assignments that reflected the navigation environment. We also
found that our encoding models strongly reflected brain activity in the inferior
parietal gyrus and precuneus, and that details of future scenes were locally
represented in the superior prefrontal gyrus and temporal pole. Furthermore, a
decoder associated with the encoding models accurately predicted future scene
views in both passive and active navigation. These results suggest that the human
brain uses scene anticipation, mediated especially by parietal and medial
prefrontal cortical areas, as a robust and effective navigation processing.
PMID- 27874088
TI - G9a participates in nerve injury-induced Kcna2 downregulation in primary sensory
neurons.
AB - Nerve injury-induced downregulation of voltage-gated potassium channel subunit
Kcna2 in the dorsal root ganglion (DRG) is critical for DRG neuronal excitability
and neuropathic pain genesis. However, how nerve injury causes this
downregulation is still elusive. Euchromatic histone-lysine N-methyltransferase
2, also known as G9a, methylates histone H3 on lysine residue 9 to predominantly
produce a dynamic histone dimethylation, resulting in condensed chromatin and
gene transcriptional repression. We showed here that blocking nerve injury
induced increase in G9a rescued Kcna2 mRNA and protein expression in the
axotomized DRG and attenuated the development of nerve injury-induced pain
hypersensitivity. Mimicking this increase decreased Kcna2 mRNA and protein
expression, reduced Kv current, and increased excitability in the DRG neurons and
led to spinal cord central sensitization and neuropathic pain-like symptoms. G9a
mRNA is co-localized with Kcna2 mRNA in the DRG neurons. These findings indicate
that G9a contributes to neuropathic pain development through epigenetic silencing
of Kcna2 in the axotomized DRG.
PMID- 27874090
TI - A novel process of viral vector barcoding and library preparation enables high
diversity library generation and recombination-free paired-end sequencing.
AB - Detailed characterization and mapping of oligonucleotide function in vivo is
generally a very time consuming effort that only allows for hypothesis driven
subsampling of the full sequence to be analysed. Recent advances in deep
sequencing together with highly efficient parallel oligonucleotide synthesis and
cloning techniques have, however, opened up for entirely new ways to map genetic
function in vivo. Here we present a novel, optimized protocol for the generation
of universally applicable, barcode labelled, plasmid libraries. The libraries are
designed to enable the production of viral vector preparations assessing coding
or non-coding RNA function in vivo. When generating high diversity libraries, it
is a challenge to achieve efficient cloning, unambiguous barcoding and detailed
characterization using low-cost sequencing technologies. With the presented
protocol, diversity of above 3 million uniquely barcoded adeno-associated viral
(AAV) plasmids can be achieved in a single reaction through a process achievable
in any molecular biology laboratory. This approach opens up for a multitude of in
vivo assessments from the evaluation of enhancer and promoter regions to the
optimization of genome editing. The generated plasmid libraries are also useful
for validation of sequencing clustering algorithms and we here validate the newly
presented message passing clustering process named Starcode.
PMID- 27874091
TI - HMGA1P7-pseudogene regulates H19 and Igf2 expression by a competitive endogenous
RNA mechanism.
AB - Recent studies have revealed that pseudogene transcripts can function as
competing endogenous RNAs, and thereby can also contribute to cancer when
dysregulated. We have recently identified two pseudogenes, HMGA1P6 and HMGA1P7
for the HMGA1 gene whose overexpression has a critical role in cancer
progression. These pseudogenes work as competitive endogenous RNA decoys for
HMGA1 and other cancer related genes suggesting their role in carcinogenesis.
Looking for new HMGA1 pseudogene ceRNAs, we performed RNA sequencing technology
on mouse embryonic fibroblasts deriving from transgenic mice overexpressing
HMGA1P7. Here, we report that HMGA1P7 mRNA sustains the H19 and Igf2
overexpression by acting as miRNA decoy. Lastly, the expression of HMGA1P7 was
significantly correlated with H19 and IGF2 levels in human breast cancer thereby
suggesting a role for HMGA1P7 deregulation in this neoplasia.
PMID- 27874092
TI - Spatially differentiated trends in urbanization, agricultural land abandonment
and reclamation, and woodland recovery in Northern China.
AB - Uncovering magnitude, trend, and spatial pattern of land cover/land use changes
(LCLUC) is crucial for understanding mechanisms of LCLUC and assisting land use
planning and conservation. China has been undergoing unprecedented economic
growth, massive rural-to-urban migration, and large-scale policy-driven
ecological restoration, and therefore encountering enormous LCLUC in recent
decades. However, comprehensive understandings of spatiotemporal LCLUC dynamics
and underlying mechanisms are still lacking. Based on classification of annual
LCLU maps from MODIS satellite imagery, we proposed a land change detection
method to capture significant land change hotspots over Northern China during
2001-2013, and further analyzed temporal trends and spatial patterns of LCLUC. We
found rapid decline of agricultural land near urban was predominantly caused by
urban expansion. The process was especially strong in North China Plain with
14,057 km2 of urban gain and -21,017 km2 of agricultural land loss. To offset the
loss of agricultural land, Northeast China Plain and Xinjiang were reclaimed.
Substantial recovery of forests (49,908 km2) and closed shrubland (60,854 km2)
occurred in mountainous regions due to abandoned infertile farmland, secondary
succession, and governmental conservation policies. The spatial patterns and
trends of LCLUC in Northern China provide information to support effective
environmental policies towards sustainable development.
PMID- 27874093
TI - Enterohemorrhagic Escherichia coli promotes the invasion and tissue damage of
enterocytes infected with Candida albicans in vitro.
AB - The principal aim of this study was to investigate the in vitro co-infection of
Caco-2 cells with Candida albicans and enterohemorrhage Escherichia coli (EHEC).
The ability of both species to colonize or invade the Caco-2 cells was evaluated
by indirect immunofluorescence and inverted microscopy. The damage to Caco-2
cells was evaluated by measuring lactate dehydrogenase (LDH) activity. C.
albicans virulence gene expression (HWP1, ALS3, PLB1, SAP4, and EFG1) was
evaluated by quantitative real-time polymerase chain reaction (qRT-PCR). Compared
to single infections with enterohemorrhage Escherichia coli or C. albicans, a co
infection colonized or invaded Caco-2 cells more quickly, and C. albicans tended
to accumulate more easily, accompanied by the upregulation of related genes. In
addition, the LDH activity in the co-infected group was higher than in cells
infected with C. albicans or with enterohemorrhage Escherichia coli, accompanied
by the upregulation of toxicity-related genes. Using Caco-2 cells as an infection
model, this study demonstrated that co-infecting in vitro enterocytes with C.
albicans and enterohemorrhage Escherichia coli enhanced the invasiveness and
tissue damaging effects of C. albicans.
PMID- 27874094
TI - Identification of Polo-like kinase 1 interaction inhibitors using a novel cell
based assay.
AB - Polo-like kinase 1 (Plk1) plays several roles in cell division and it is a
recognized cancer drug target. Plk1 levels are elevated in cancer and several
types of cancer cells are hypersensitive to Plk1 inhibition. Small molecule
inhibitors of the kinase domain (KD) of Plk1 have been developed. Their
selectivity is limited, which likely contributes to their toxicity. Polo-like
kinases are characterized by a Polo-Box Domain (PBD), which mediates interactions
with phosphorylation substrates or regulators. Inhibition of the PBD could allow
better selectivity or result in different effects than inhibition of the KD. In
vitro screens have been used to identify PBD inhibitors with mixed results. We
developed the first cell-based assay to screen for PBD inhibitors, using
Bioluminescence Resonance Energy Transfer (BRET). We screened through 112 983
compounds and characterized hits in secondary biochemical and biological assays.
Subsequent Structure-Activity Relationship (SAR) analysis on our most promising
hit revealed that it requires an alkylating function for its activity. In
addition, we show that the previously reported PBD inhibitors thymoquinone and
Poloxin are also alkylating agents. Our cell-based assay is a promising tool for
the identification of new PBD inhibitors with more drug-like profiles using
larger and more diverse chemical libraries.
PMID- 27874095
TI - Longitudinal metagenomic profiling of bovine milk to assess the impact of
intramammary treatment using a third-generation cephalosporin.
AB - Antimicrobial usage in food animals has a direct impact on human health, and
approximately 80% of the antibiotics prescribed in the dairy industry are used to
treat bovine mastitis. Here we provide a longitudinal description of the changes
in the microbiome of milk that are associated with mastitis and antimicrobial
therapy. Next-generation sequencing, 16 S rRNA gene quantitative real-time PCR,
and aerobic culturing were applied to assess the effect of disease and antibiotic
therapy on the milk microbiome. Cows diagnosed with clinical mastitis associated
with Gram-negative pathogens or negative aerobic culture were randomly allocated
into 5 days of Ceftiofur intramammary treatment or remained as untreated
controls. Serial milk samples were collected from the affected quarter and the
ipsilateral healthy quarter of the same animal. Milk from the mastitic quarter
had a higher bacterial load and reduced microbial diversity compared to healthy
milk. Resolution of the disease was accompanied by increases in diversity indexes
and a decrease in pathogen relative abundance. Escherichia coli-associated
mastitic milk samples had a remarkably distinct bacterial profile, dominated by
Enterobacteriaceae, when compared to healthy milk. However, no differences were
observed in culture-negative mastitis samples when compared to healthy milk.
Antimicrobial treatment had no significant effect on clinical cure,
bacteriological cure, pathogen clearance rate or bacterial load.
PMID- 27874096
TI - Complex lasso: new entangled motifs in proteins.
AB - We identify new entangled motifs in proteins that we call complex lassos. Lassos
arise in proteins with disulfide bridges (or in proteins with amide linkages),
when termini of a protein backbone pierce through an auxiliary surface of minimal
area, spanned on a covalent loop. We find that as much as 18% of all proteins
with disulfide bridges in a non-redundant subset of PDB form complex lassos, and
classify them into six distinct geometric classes, one of which resembles
supercoiling known from DNA. Based on biological classification of proteins we
find that lassos are much more common in viruses, plants and fungi than in other
kingdoms of life. We also discuss how changes in the oxidation/reduction
potential may affect the function of proteins with lassos. Lassos and associated
surfaces of minimal area provide new, interesting and possessing many potential
applications geometric characteristics not only of proteins, but also of other
biomolecules.
PMID- 27874097
TI - Molecular Insight into Affinities of Gallated and Nongallated Proanthocyanidins
Dimers to Lipid Bilayers.
AB - Experimental studies have proved the beneficial effects of proanthocyanidins
(Pas) relating to interaction with the cell membrane. But the detailed mechanisms
and structure-function relationship was unclear. In present study, molecular
dynamics (MD) simulations were used to study the interactions of four PA dimers
with a lipid bilayer composed of 1:1 mixed 1-palmitoyl-2-oleoyl
phosphatidylcholine (POPC) and 1-palmitoyl-2-oleoyl phosphatidylethanolamine
(POPE). The results showed that the gallated PA dimers had much higher affinities
to the bilayer with lower binding free energies compared with nongallated PA
dimers. The gallated PA dimers penetrated deeper into the bilayer and formed more
hydrogen bonds (H-bonds) with bilayer oxygen atoms, especially the deeper oxygen
atoms of the lipids simultaneously, thus inducing stronger lateral expansion of
the membrane and lipid tails disorder. The present results provided molecular
insights into the interactions between PA dimers and bio-membranes and agreed
with our experimental results well. These molecular interactions helped to
elucidate the structure-function relationship of the PA dimers and provided a
foundation for a better understanding of the underlying mechanisms of the
bioactivities of PA oligomers.
PMID- 27874098
TI - Local expectation violations result in global activity gain in primary visual
cortex.
AB - During natural perception, we often form expectations about upcoming input. These
expectations are usually multifaceted - we expect a particular object at a
particular location. However, expectations about spatial location and stimulus
features have mostly been studied in isolation, and it is unclear whether feature
based expectation can be spatially specific. Interestingly, feature-based
attention automatically spreads to unattended locations. It is still an open
question whether the neural mechanisms underlying feature-based expectation
differ from those underlying feature-based attention. Therefore, establishing
whether the effects of feature-based expectation are spatially specific may
inform this debate. Here, we investigated this by inducing expectations of a
specific stimulus feature at a specific location, and probing the effects on
sensory processing across the visual field using fMRI. We found an enhanced
sensory response for unexpected stimuli, which was elicited only when there was a
violation of expectation at the specific location where participants formed a
stimulus expectation. The neural consequences of this expectation violation,
however, spread to cortical locations processing the stimulus in the opposite
hemifield. This suggests that an expectation violation at one location in the
visual world can lead to a spatially non-specific gain increase across the visual
field.
PMID- 27874099
TI - Pre-dispersal strategies by Quercus schottkyana to mitigate the effects of weevil
infestation of acorns.
AB - We investigated how pre-dispersal strategies may mitigate the effects of weevil
infestation of acorns in a population of Quercus schottkyana, a dominant oak in
Asian evergreen broad-leaved forests, and assess if weevil infestation
contributes to low seedling recruitment. We counted the number of acorns
produced, daily from the end of August to mid-late November for 9 years from 2006
2014. We also recorded the rate of acorn infestation by weevils and acorn
germination rates of weekly collections. Annual acorn production was variable,
but particularly low in 2011 and 2013. There was no trade-off between acorn
production and acorn dry mass. However, acorns produced later in the season were
significantly heavier. For most years: (i) the rate of weevil infestation was
negatively density dependent (a greater proportion of acorns died with increased
acorn density), (ii) the percentage germination of acorns was positively density
dependent (proportionately more acorns germinated with increased density), and
(iii) as the season progressed, the percentage of infested acorns declined while
germination rates increased. Finally, (iv) maximum acorn production, percentage
infestation and percentage germination were asynchronous. Although pre-dispersal
mortality is important it is unlikely to be the primary factor leading to low
recruitment of oak seedlings.
PMID- 27874100
TI - Structural basis of TRPA1 inhibition by HC-030031 utilizing species-specific
differences.
AB - Pain is a harmful sensation that arises from noxious stimuli. Transient receptor
potential ankyrin 1 (TRPA1) is one target for studying pain mechanisms. TRPA1 is
activated by various stimuli such as noxious cold, pungent natural products and
environmental irritants. Since TRPA1 is an attractive target for pain therapy, a
few TRPA1 antagonists have been developed and some function as analgesic agents.
The responses of TRPA1 to agonists and antagonists vary among species and these
species differences have been utilized to identify the structural basis of
activation and inhibition mechanisms. The TRPA1 antagonist HC-030031 (HC) failed
to inhibit frog TRPA1 (fTRPA1) and zebrafish TRPA1 activity induced by
cinnamaldehyde (CA), but did inhibit human TRPA1 (hTRPA1) in a heterologous
expression system. Chimeric studies between fTRPA1 and hTRPA1, as well as
analyses using point mutants, revealed that a single amino acid residue (N855 in
hTRPA1) significantly contributes to the inhibitory action of HC. Moreover, the
N855 residue and the C-terminus region exhibited synergistic effects on the
inhibition by HC. Molecular dynamics simulation suggested that HC stably binds to
hTRPA1-N855. These findings provide novel insights into the structure-function
relationship of TRPA1 and could lead to the development of more effective
analgesics targeted to TRPA1.
PMID- 27874101
TI - Molecular mechanism of carbon nanotube to activate Subtilisin Carlsberg in polar
and non-polar organic media.
AB - In the work, we mainly used molecular dynamics (MD) simulation and protein
structure network (PSN) to study subtilisin Carlsberg (SC) immobilized onto
carbon nanotube (CNT) in water, acetonitrile and heptane solvents, in order to
explore activation mechanism of enzymes in non-aqueous media. The result
indicates that the affinity of SC with CNT follows the decreasing order of water
> acetonitrile > heptane. The overall structure of SC and the catalytic triad
display strong robustness to the change of environments, responsible for the
activity retaining. However, the distances between two beta-strands of substrate
binding pocket are significantly expanded by the immobilization in the increasing
order of water < acetonitrile < heptane, contributing to the highest substrate
binding energy in heptane media. PSN analysis further reveals that the
immobilization enhances structural communication paths to the substrate-binding
pocket, leading to its larger change than the free-enzymes. Interestingly, the
increase in the number of the pathways upon immobilization is not dependent on
the absorbed extent but the desorbed one, indicating significant role of shifting
process of experimental operations in influencing the functional region. In
addition, some conserved and important hot-residues in the paths are identified,
providing molecular information for functional modification.
PMID- 27874102
TI - Development and comparative study of chemosynthesized antigen and mimotope-based
immunoassays for class-specific analysis of O,O-dimethyl organophosphorus
pesticides.
AB - The multi-residue determination of organophosphorus pesticides (OPs) is an
important task due to the wide application and high toxicity of OPs. However,
there is no promising immunoassay to monitor the multi-residue of O,O-dimethyl
OPs. In this study, a monoclonal antibody (mAb) against a generic hapten of O,O
dimethyl OPs (O,O-dimethyl O-(3-carboxyphenyl)phosphorothioate) was prepared. To
develop an effective class-specific immunoassay, two strategies were performed to
select the appropriate coating antigen or competing antigen. On the one hand, a
total of 20 haptens were chemosynthesized, attached to ovalbumin for use as
coating antigen candidates, and selected by direct competitive ELISA (dcELISA).
As a second strategy, mimotopes of the mAb were selected from a random phage
display peptide library by panning, and the optimum mimotope was expressed as a
fusion protein and biotinylated in vitro. Based on the selected chemosynthesized
coating antigen and the biotinylated mimotope fusion protein, two sensitive broad
specificity dcELISAs were developed. The sensitivity, selectivity and
practicability of the two immunoassays were compared. The results demonstrated
that both methods showed similar selectivity and sensitivity and were reliable
for O,O-dimethyl OP residues screening. However, the screening operation of
mimotopes was much simpler and safer compared to the preparation of
chemosynthesized coating antigens.
PMID- 27874103
TI - Cordyceps collected from Bhutan, an appropriate alternative of Cordyceps
sinensis.
AB - Natural Cordyceps collected in Bhutan has been widely used as natural Cordyceps
sinensis, an official species of Cordyceps used as Chinese medicines, around the
world in recent years. However, whether Cordyceps from Bhutan could be really
used as natural C. sinensis remains unknown. Therefore, DNA sequence, bioactive
components including nucleosides and polysaccharides in twelve batches of
Cordyceps from Bhutan were firstly investigated, and compared with natural C.
sinensis. Results showed that the fungus of Cordyceps from Bhutan was C. sinensis
and the host insect belonged to Hepialidae sp. In addition, nucleosides and their
bases such as guanine, guanosine, hypoxanthine, uridine, inosine, thymidine,
adenine, and adenosine, as well as compositional monosaccharides, partial acid or
enzymatic hydrolysates, molecular weights and contents of polysaccharides in
Cordyceps from Bhutan were all similar to those of natural C. sinensis. All data
suggest that Cordyceps from Bhutan is a rational alternative of natural C.
sinensis, which is beneficial for the improvement of their performance in health
and medicinal food areas.
PMID- 27874104
TI - Identifying mutations in Tunisian families with retinal dystrophy.
AB - Retinal dystrophies (RD) are a rare genetic disorder with high genetic
heterogeneity. This study aimed at identifying disease-causing variants in
fifteen consanguineous Tunisian families. Full ophthalmic examination was
performed. Index patients were subjected to IROme analysis or whole exome
sequencing followed by homozygosity mapping. All detected variations were
confirmed by direct Sanger sequencing. Mutation analysis in our patients revealed
two compound heterozygous mutations p.(R91W);(V172D) in RPE65, and five novel
homozygous mutations: p.R765C in CNGB1, p.H337R in PDE6B, splice site variant
c.1129-2A > G and c.678_681delGAAG in FAM161A and c.1133 + 3_1133 + 6delAAGT in
CERKL. The latter mutation impacts pre-mRNA splicing of CERKL. The other changes
detected were six previously reported mutations in CNGB3 (p.R203*), ABCA4
(p.W782*), NR2E3 (p.R311Q), RPE65 (p.H182Y), PROM1 (c.1354dupT) and EYS (c.5928
2A > G). Segregation analysis in each family showed that all affected individuals
were homozygotes and unaffected individuals were either heterozygote carriers or
homozygous wild type allele. These results confirm the involvement of a large
number of genes in RD in the Tunisian population.
PMID- 27874105
TI - Patterns in longitudinal growth of refraction in Southern Chinese children:
cluster and principal component analysis.
AB - In the present study we attempt to use hypothesis-independent analysis in
investigating the patterns in refraction growth in Chinese children, and to
explore the possible risk factors affecting the different components of
progression, as defined by Principal Component Analysis (PCA). A total of 637
first-born twins in Guangzhou Twin Eye Study with 6-year annual visits (baseline
age 7-15 years) were available in the analysis. Cluster 1 to 3 were classified
after a partitioning clustering, representing stable, slow and fast progressing
groups of refraction respectively. Baseline age and refraction, paternal
refraction, maternal refraction and proportion of two myopic parents showed
significant differences across the three groups. Three major components of
progression were extracted using PCA: "Average refraction", "Acceleration" and
the combination of "Myopia stabilization" and "Late onset of refraction
progress". In regression models, younger children with more severe myopia were
associated with larger "Acceleration". The risk factors of "Acceleration"
included change of height and weight, near work, and parental myopia, while
female gender, change of height and weight were associated with "Stabilization",
and increased outdoor time was related to "Late onset of refraction progress". We
therefore concluded that genetic and environmental risk factors have different
impacts on patterns of refraction progression.
PMID- 27874106
TI - Is Leukocyte Telomere Length Related with Lung Cancer Risk?: A Meta-Analysis.
AB - BACKGROUND: Epidemiological studies have probed the correlation between telomere
length and the risk of lung cancer, but their findings are inconsistent in this
regard. The present meta-analysis study has been carried out to demonstrate the
association between relative telomere length in peripheral blood leukocytes and
the risk of lung cancer using an established Q-PCR technique. METHODS: A
systematic search was carried out using PubMed, EMBASE, and ISI before 2015. A
total of 2925 cases of lung cancer and 2931 controls from 9 studies were employed
to probe the relationship between lung cancer and telomere length .ORs were used
at 95% CI. Random-effects models were used to investigate this relationship based
on the heterogeneity test. Heterogeneity among studies was analyzed employing
subgroup analysis based on type studies and the year of publication. RESULTS:
Random-effects meta-analysis revealed that patients with lung cancer were
expected to have shorter telomere length than the control (1.13, 95% CI: 0.82
1.81, P=0.46). The summary of the pooled ORs of telomere length in adenocarcinoma
lung cancer patients was 1 (95%CI=0.68-1.47, I2=93%) compared to patients with
squamous cell lung cancer, which was 1.78 (95% CI=1.25-2.53, I2=3.9%). The meta
regression revealed that the effect of telomere length shortening, decreased and
increased with the year of publication and the age of risks to lung cancer, was
clearly related to short telomeres lengths. CONCLUSION: Lung cancer risks clearly
related with short telomeres lengths. In patients with breathing problems, lung
cancer risk can be predicted by telomere length adjustment with age, sex, and
smoking.
PMID- 27874108
TI - Dirac fermions induced in strained zigzag phosphorus nanotubes and their
applications in field effect transistors.
AB - In this work, Dirac fermions have been obtained and engineered in one-dimensional
(1D) zigzag phosphorus nanotubes (ZPNTs). We have performed a comprehensive first
principles computational study of the electronic properties of ZPNTs with various
diameters. The results indicate that as the lattice parameter (Lc) along the
axial direction increases, ZPNTs undergo transitions from metal to semimetal and
semimetal to semiconductor, whereas Dirac fermions appear at Lc ranging from 3.90
A to 4.10 A. In particular, a field effect transistor (FET) based on 12-ZPNT
(with 12 unit cells in the transverse direction) exhibits semiconductor behaviors
with efficient gate-effect modulation at Lc = 4.60 A. However, only weak gate
modulation is demonstrated when the nanotube becomes a semimetal at Lc = 4.10 A.
This study indicates that ZPNTs are profoundly appealing for applications in
strain sensors. Our findings pave the way for the development of high-performance
strain-engineered electronics based on Dirac fermions in 1D materials.
PMID- 27874107
TI - The Effect of Oral Consumption of Probiotics in Prevention of Heart Injury in a
Rat Myocardial Infarction Model: a Histopathological, Hemodynamic and Biochemical
Evaluation.
AB - BACKGROUND: Despite the emerging evidence on beneficial effects of probiotics on
the cardiovascular system, their impact on the management of ischemic heart
diseases and its possible mechanism have not been elucidated. METHODS: Four
viable probiotics bacterial strains, including Bifidobacterium breve,
Lactobacillus casei, Lactobacillus bulgaricus and Lactobacillus acidophilus, at
the concentrations of 2*106 colony-forming units/ml were orally administered to
the rats daily for 14 days before the induction of infarct-like myocardial injury
using isoproterenol. Subsequently, 24 h after myocardial injury, the right
carotid artery and the left ventricle were catheterized for recording blood
pressure and cardiac parameters. At the end of the experiment, the heart was
removed for the evaluation of histopathological and biochemical parameters, as
well as tumor necrosis factor-alpha (TNF-alpha) assay. RESULTS: The induction of
acute myocardial injury resulted in significant (P<=0.01) left ventricular (LV)
dysfunction, as shown by an increase in LV end-diastolic pressure and a decrease
in LV dp/dt max, LV dp/dt min, LV systolic pressure, and blood pressure, as
compared with normal rats. Pretreatment with viable probiotics significantly
reduced lipid peroxidation and TNF-alpha level and improved cardiac function
(P<0.01). CONCLUSION: This study shows that viable probiotics have a
cardioprotective effect on infarct-like myocardial injury through suppressing TNF
alpha and oxidative stress damage in a rat model. Probiotic supplements may be
used as a new option for prophylaxis in patients at the risk of ischemic heart
disease in future.
PMID- 27874110
TI - Molecular thermodynamics of metabolism: hydration quantities and the equation-of
state approach.
AB - The present work is part of a series of papers aiming at a thorough understanding
of the thermodynamics of metabolism over a broad range of external conditions.
The focus here is on the systematic study of solvation/hydration of a variety of
fluids via an equation-of-state approach. This approach permits the study not
only of the overall free energy, enthalpy or entropy of hydration but also their
key components from cavitation, charging, and solute conformations/solvent
restructuring contributions. These latter components shed light into the
mechanism of hydration and contribute to our understanding of solvation phenomena
at remote conditions of temperature and pressure. Hydrogen bonding is of central
importance in this respect and is handled via the partial solvation parameter
(PSP) approach. The developed solvation model is used for the estimation of the
hydration quantities of key metabolites. The challenges and perspectives of this
equation-of-state approach are critically discussed.
PMID- 27874109
TI - Molecular electrometer and binding of cations to phospholipid bilayers.
AB - Despite the vast amount of experimental and theoretical studies on the binding
affinity of cations - especially the biologically relevant Na+ and Ca2+ - for
phospholipid bilayers, there is no consensus in the literature. Here we show that
by interpreting changes in the choline headgroup order parameters according to
the 'molecular electrometer' concept [Seelig et al., Biochemistry, 1987, 26,
7535], one can directly compare the ion binding affinities between simulations
and experiments. Our findings strongly support the view that in contrast to Ca2+
and other multivalent ions, Na+ and other monovalent ions (except Li+) do not
specifically bind to phosphatidylcholine lipid bilayers at sub-molar
concentrations. However, the Na+ binding affinity was overestimated by several
molecular dynamics simulation models, resulting in artificially positively
charged bilayers and exaggerated structural effects in the lipid headgroups.
While qualitatively correct headgroup order parameter response was observed with
Ca2+ binding in all the tested models, no model had sufficient quantitative
accuracy to interpret the Ca2+:lipid stoichiometry or the induced atomistic
resolution structural changes. All scientific contributions to this open
collaboration work were made publicly, using nmrlipids.blogspot.fi as the main
communication platform.
PMID- 27874111
TI - First-principles calculations of the electronic structure and bonding in metal
cluster-fullerene materials considered within the superatomic framework.
AB - Inspired by recent success of synthesizing cluster assembled compounds we address
the question to what extent the three new materials [Co6Se8(PEt3)6][C60]2,
[Cr6Te8(PEt3)6][C60]2, and [Ni9Te6(PEt3)8]C60, upon forming bulk compounds,
imitate atomic analogues. Although experimental results suggest the latter, a
theoretical approach is the method of choice for offering a conclusive answer and
for studying the actual superatomic character. The concept of superatoms for
describing atom-imitating clusters is very intriguing since it allows chemists to
apply their chemical intuition - a useful tool for predicting new materials -
when it comes to inter-cluster reactions. Thus, we systematically study the
lattice structure, the intercluster binding, and the electronic structure by
density functional theory and assess them in terms of their superatomic features.
We show that collective properties arise upon bulk formation, which promotes
arguments for the formation of solids in which the constituent clusters have a
superatomic character that determines some form of chemical bonding.
Additionally, we find evidence for the formation of superatomic states.
Unfortunately, however, due to the mixing of electronic states of transition
metals and chalcogen atoms, no typical electronic shell closing in the cluster
cores can be identified.
PMID- 27874112
TI - Surface reaction network of CO oxidation on CeO2/Au(110) inverse model catalysts.
AB - CeO2/Au(110) inverse model catalysts were prepared and their activity toward the
adsorption and co-adsorption of O2, CO, CO2 and water was studied by means of X
ray photoelectron spectroscopy, low energy electron diffraction, thermal
desorption spectra and temperature-programmed reaction spectra. The Au surface of
CeO2/Au(110) inverse model catalysts molecularly adsorbs CO, CO2 and water, and
the polycrystalline CeO2 surface of CeO2/Au(110) inverse model catalysts
molecularly adsorbs O2, and molecularly and reactively adsorbs CO, CO2 and water.
By controllably preparing co-adsorbed surface species on CeO2/Au(110) inverse
model catalysts, we successfully identified various surface reaction pathways of
CO oxidation to produce CO2 with different barriers both on the CeO2 surface and
at the Au-CeO2 interface, including CO oxidation by various oxygen species, and
water/hydroxyl group-involved CO oxidation. These results establish a surface
reaction network of CO oxidation catalyzed by Au/CeO2 catalysts, greatly
advancing the fundamental understandings of catalytic CO oxidation reactions.
PMID- 27874113
TI - An atomically thin layer of Ru/MoS2 heterostructure: structural, electronic, and
magnetic properties.
AB - The fabrication of a transition metal (TM) atomically thin layer with robust
ferromagnetic ordering (FM) for the continuous miniaturization of spintronic and
quantum computing devices is desired. Through first-principles calculations, we
establish that Ru atoms can be epitaxially aligned on MoS2 monolayers, thus
forming an atomically thin layer of 2D Ru/MoS2 heterostructure with high
structural stability. The Ru layer possesses a robust FM (more than 300 K) and an
out-of-plane easy axis with the magnetic anisotropy energy (MAE) of ~3.4 meV per
atom. In particular, we find that the FM can be switched by an external electric
field (Efield) of 1.5 V nm-1. We propose that this atomically thin layer of
Ru/MoS2 heterostructure can be used as an alternative candidate for free-standing
magnetic TM layers and provides new possibilities to design 2D spintronic
devices.
PMID- 27874114
TI - Supracolloidal fullerene-like cages: design principles and formation mechanisms.
AB - How to create novel desired structures by rational design of building blocks
represents a significant challenge in materials science. Here we report a
conceptually new design principle for creating supracolloidal fullerene-like
cages through the self-assembly of soft patchy particles interacting via
directional nonbonded interactions by mimicking non-planar sp2 hybridized carbon
atoms in C60. Our numerical investigations demonstrate that the rational design
of patch configuration, size, and interaction can drive soft three-patch
particles to reversibly self-assemble into a vast collection of supracolloidal
fullerene-like cages. We further elucidate the formation mechanisms of
supracolloidal fullerene-like cages by analyzing the structural characteristics
and the formation process. Our results provide conceptual and practical guidance
towards the experimental realization of supracolloidal fullerene-like cages, as
well as a new perspective on understanding the fullerene formation mechanisms.
PMID- 27874115
TI - Magnetic fluorescent bifunctional spin-crossover complexes.
AB - The rational design of magnetic and fluorescent bifunctional materials is
attracting increasing interest. In this study, two mononuclear Fe(ii) complexes,
namely [Fe(L)2(NCS)2] (1) and [Fe(L)2(NCSe)2] (2) are synthesised by combining a
spin-crossover unit and a fluorescent ligand (naphth-1-yl)-N-(3,5-di(pyridin-2
yl)-4H-1,2,4-triazol-4-yl)methanimine (L) to achieve bifunctionality. Single
crystal X-ray studies and magnetic measurements confirm the presence of spin
crossover behaviours; these measurements agree with the results of the
temperature depended Raman spectra and infrared spectra. Thermally and light
induced spin crossover is clearly observed for both complexes. The nature of the
co-ligand (NCS-vs. NCSe-) shifts the transition temperature by approximately 60
K. The infrared spectra after irradiation reveal that the electronic states are
ascribable to the photomagnetic effect at 10 K. Furthermore, temperature
dependent fluorescence emission spectra exhibit the coexistence of spin crossover
and fluorescence for 1 and 2.
PMID- 27874116
TI - Understanding carbohydrate-protein interactions using homologous supramolecular
chiral Ru(ii)-glyconanoclusters.
AB - Multivalent glycodendrimers make promising tools to tackle the basic and
translational research in the field of carbohydrate-mediated interactions.
Despite advances in glycodendrimers and glycopolymers, the multivalent probes
available to date are still far from being ideal biological mimics. This work
demonstrates the inherent chirality of glycodendrimers to be one of the promising
factors to generate different spatial carbohydrate micro-environments to modulate
specific carbohydrate-protein interactions. By exploiting the host-guest
strategy, chiral Ru(ii) complexes (Delta and Lambda) and mannose capped beta
cyclodextrin (beta-CD), we generated a library of homologous metallo
glycodendrimers (MGDs) with sizes of 50-70 nm. These nanoclusters can
enantioselectively bind to specific C-type lectins and displayed selectivity in
cellular uptake. We also discovered their potential clathrin-mediated endocytotic
pathway in DC-SIGN and SIGNR3-transfected cell lines. Finally, in vivo
biodistribution and sequestration of MGDs was determined to understand the role
of chirality mediated spatial arrangement in carbohydrate-mediated interactions.
PMID- 27874117
TI - Quantitative analyses of enhanced thermoelectric properties of modulation-doped
PEDOT:PSS/undoped Si (001) nanoscale heterostructures.
AB - Poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS) has high
electrical conductivity (~103 S cm-1) but it exhibits a low Seebeck coefficient
(<15 MUV K-1), resulting in a low power factor. Mixing PEDOT:PSS with
nanostructured semiconductors can enhance the Seebeck coefficient and achieve an
improved thermoelectric power factor. However, underlying mechanisms for those
composite thermoelectric systems are scarcely understood so far. In this study,
quantitative analyses on the electrical conductivity and Seebeck coefficient for
the heterostructures of nanometer-thick PEDOT:PSS on single-crystal Si (001) on
sapphire (SOS) are reported. The heterostructures have larger Seebeck
coefficients up to 7.3 fold and power factors up to 17.5 fold relative to
PEDOT:PSS. The electrical conductivity increased with decreasing combined
thicknesses of PEDOT:PSS and Si, and the Seebeck coefficient increased with
decreasing PEDOT:PSS thickness, which can be attributed to modulation doping
caused by diffusion of holes from PEDOT:PSS into undoped Si. This hypothesis is
supported by simulation per band alignment. The valence band offset between Si
and PEDOT:PSS dominantly controls the electrical conductivity and Seebeck
coefficient of the heterostructures. This study not only suggests mechanistic
insights to increase the power factors of PEDOT:PSS-based composites but also
opens the door for new strategies to enhance the thermoelectric efficiencies of
heterostructured nanocomposite materials.
PMID- 27874118
TI - The influence of temperature, pressure and Ag doping on the physical properties
of TiO2 nanoceramics.
AB - Undoped and Ag-doped TiO2 ceramics have been prepared at temperatures between 500
1000 degrees C and under pressures up to 8 GPa. Their crystal structures and
physical properties were investigated by means of EDX, SEM, TEM, X-ray powder
diffraction, and magnetization M, specific heat Cp and electrical resistance rho
measurements. It is found that the anatase-structured As-cast powder transforms
into rutile and columbite-type at 500 degrees C and 5.5 GPa. The stabilization
of the latter phase is fulfilled under a pressure of 8 GPa and at temperatures
above 800 degrees C. On the basis of experimental results, we conclude that the
physical properties of TiO2 can be tailored along with its crystal structure. In
particular, magnetic properties change from paramagnetic in anatase and rutile to
magnetic correlations and in all likelihood magnetic-field-induced
antiferromagnetic short-range order in columbite-structured TiO2. Contrasting
behaviour in the temperature dependences of specific heat between anatase/rutile
and columbite-type TiO2 is obvious. Differently from anatase/rutile, the Cp of
columbite-type TiO2 exhibits a low-temperature excess, being interpreted as due
to magnetic correlations, or else the prevalence of soft modes. An analysis of
rho(T) for columbite-type TiO2 in the temperature range of 280-400 K reveals the
presence of a new trapping state at an energy level of ~28 meV within the
originally forbidden gap. Furthermore, thermal fluctuation-induced tunnelling and
hopping conductivities are suggested to govern in a lower temperature range. We
recognize that the Ag-doped contents do not alter the crystal structure but
considerably enhance magnetic correlations, compared to undoped samples.
PMID- 27874119
TI - 99mTc-conjugated manganese-based mesoporous silica nanoparticles for SPECT, pH
responsive MRI and anti-cancer drug delivery.
AB - In recent decades, hybrid imaging techniques that exploit the advantages of
multiple imaging technologies have aroused extensive attention due to the
deficiencies of single imaging modes. Along with the development of single photon
emission computed tomography-magnetic resonance imaging (SPECT-MRI), it is
currently necessary to develop a series of dual probes that can combine the
outstanding sensitivity of SPECT with the high spatial resolution of MRI. Herein,
the commonly used technetium-99 (99mTc) was labelled on the surface of manganese
oxide-based mesoporous silica nanoparticles (MnOx-MSNs) for use in SPECT-MRI dual
modal imaging. The radiolabelling yield was as high as 99.1 +/- 0.6%, and the r1
value of the nanoprobes was able to reach 6.60 mM-1 s-1 due to the pH-responsive
properties of the MnOx-MSNs. The high-performance SPECT-MRI dual-modal imaging
was confirmed in vivo in tumour-bearing mice, which could also provide semi
quantitative information for tumour detection. Importantly, these nanoprobes can
deliver anti-cancer drugs in cancer therapy due to their unique mesoporous
structures. Thus, nanotheranostics combining dual-modal imaging with anti-cancer
therapeutic properties were achieved.
PMID- 27874121
TI - Emissive CdTe/ZnO/GO quasi-core-shell-shell hybrid quantum dots for white light
emitting diodes.
AB - Colloidal quantum dots (QDs) have been extensively studied for optoelectronic and
biological applications due to their unique physical and optical properties. In
particular, among the optoelectronics applications, the white light emitting
diode (WLED) has great potential in flat panel displays and solid-state lighting.
Herein, we demonstrate a novel, facile, and efficient technique for the synthesis
of CdTe/ZnO/GO quasi-core-shell-shell hybrid quantum dots containing the CdTe
core with multi shells of ZnO and graphene oxide (GO) and fabrication of WQDLEDs.
The CdTe/ZnO/GO quasi-core-shell-shell QDs have a unique strong photoluminescence
(PL) peak at 624 nm related to the CdTe core and new weak peaks at 382, 404, 422,
and 440 nm due to conjugation with ZnO and GO. Also, in the electroluminescence
(EL), multiple emission peaks are observed, which can be correlated to the
recombination process inside the CdTe core and also recombination of electrons in
the lowest unoccupied molecular orbital (LUMO) and LUMO+2 of GO and holes in the
valence band (VB) of ZnO. The QDLEDs show clear white color emission with a
maximum luminance value of about 480 cd m-2 with Commission Internationale de
l'Eclairage (CIE) color coordinates of (0.35, 0.28).
PMID- 27874122
TI - Surface-induced symmetry reduction in molecular switching: asymmetric cis-trans
switching of CH3S-Au-SCH3 on Au(111).
AB - The cis-trans isomerization of CH3S-Au-SCH3 driven by the tip of the scanning
tunneling microscope is investigated at 77 K. CH3S-Au-SCH3 anchored on the
Au(111) surface with the S-Au-S axis parallel to the substrate functions as a
molecular switch due to the flipping of the CH3 groups. The bonding between CH3S
Au-SCH3 and Au(111) leads to asymmetric isomerization where one of the two methyl
groups flips much more effectively than the other, despite the symmetry of CH3S
Au-SCH3. Our findings suggest the possibility of constructing similar molecular
switches that can be operated at room temperature and a potential route for fine
tuning of molecular switches in future nanoscale electro-mechanical devices.
PMID- 27874123
TI - Bacteria-derived fluorescent carbon dots for microbial live/dead differentiation.
AB - Microbial viability assessment plays a key role in many areas such as pathogen
detection, infectious disease treatment and antimicrobial drug development. Many
conventional viability dyes (such as propidium iodide, PI) used for
differentiating live/dead microbes suffer from notable cytotoxicity, poor
photostability and are of high cost. Thus their applications for accurate
microbial viability determination are limited. Herein, for the first time we
report the successful synthesis of fluorescent carbon dots (CDs) from bacteria
via one-step hydrothermal carbonization. Benefiting from their highly negative
surface charge (the zeta potential is as high as around -42 mV) and suitable
size, the CDs can selectively stain dead microbial cells (bacteria and fungi) but
not live ones. Importantly, compared to the widely used commercial dye PI, the
developed CDs possess many great advantages including low cytotoxicity,
multicolor imaging ability, excellent photostability and high selectivity.
Moreover, because the synthetic method is simple, inexpensive and eco-friendly,
this type of CD is suitable for large-scale production, making it an excellent
candidate for microbial live/dead differentiation and viability assessment. The
present work explores the feasibility of using bacteria to fabricate novel CDs
and broadens the applications of CDs for biomedical applications.
PMID- 27874124
TI - alpha-Fe2O3/TiO2 3D hierarchical nanostructures for enhanced photoelectrochemical
water splitting.
AB - We report the fabrication of 3D hierarchical hetero-nanostructures composed of
thin alpha-Fe2O3 nanoflakes branched on TiO2 nanotubes. The novel alpha
Fe2O3/TiO2 hierarchical nanostructures, synthesized on FTO through a multi-step
hydrothermal process, exhibit enhanced performances in photo-electrochemical
water splitting and in the photocatalytic degradation of an organic dye, with
respect to pure TiO2 nanotubes. An enhanced separation of photogenerated charge
carriers is here proposed as the main factor for the observed photo-activities:
electrons photogenerated in TiO2 are efficiently collected at FTO, while holes
are transferred to the alpha-Fe2O3 nanobranches that serve as charge mediators to
the electrolyte. The morphology of alpha-Fe2O3 that varies from ultrathin
nanoflakes to nanorod/nanofiber structures depending on the Fe precursor
concentration was shown to have a significant impact on the photo-induced
activity of the alpha-Fe2O3/TiO2 composites. In particular, it is shown that for
an optimized photo-electrochemical structure, a combination of critical factors
should be achieved such as (i) TiO2 light absorption and photo-activation
vs.alpha-Fe2O3-induced shadowing effect and (ii) the availability of free TiO2
surface vs.alpha-Fe2O3-coated surface. Finally, theoretical analysis, based on
DFT calculations, confirmed the optical properties experimentally determined for
the alpha-Fe2O3/TiO2 hierarchical nanostructures. We anticipate that this new
multi-step hydrothermal process can be a blueprint for the design and development
of other hierarchical heterogeneous metal oxide electrodes suitable for photo
electrochemical applications.
PMID- 27874127
TI - Enhanced CO oxidation on CeO2/Co3O4 nanojunctions derived from annealing of metal
organic frameworks.
AB - The interface of nanojunctions plays an important role in the performance of
heterogeneous catalysts. However, it is highly challenging to construct
nanojunctions which are usually prepared by complex multistep processes. Metal
organic frameworks (MOFs), with designable metal centers and tunable organic
ligands, are promising precursors for the one-step synthesis of nanojunctions.
Herein, we prepared porous CeO2/Co3O4 nanojunctions by direct annealing of MOFs
in air. These unique nanojunctions exhibit remarkable catalytic activity for CO
oxidation, which can achieve complete oxidization of CO to CO2 at 110 degrees C.
In contrast, the temperature required for 100% CO oxidation is 190 degrees C for
pure Co3O4. Moreover, the nanojunctions can maintain complete CO conversion after
16 h at 110 degrees C. Density functional theory calculations revealed that the
enhancement in the catalytic activity of CeO2/Co3O4 nanojunctions can be
attributed to the charge transfer through the interfaces of the nanojunctions.
PMID- 27874128
TI - Boron distributions in individual core-shell Ge/Si and Si/Ge heterostructured
nanowires.
AB - Ge/Si and Si/Ge core-shell nanowires (NWs) have substantial potential for
application in many kinds of devices. Because impurity distributions in Ge/Si and
Si/Ge core-shell NWs strongly affect their electrical properties, which in turn
affect device performance, this issue needs urgent attention. Here we report an
atom probe tomographic study of the distribution of boron (B), one of the most
important impurities, in two kinds of NWs. B atoms were doped into the Si regions
of Ge/Si and Si/Ge core-shell NWs. It was found that the B atoms were randomly
distributed in the Si shell of the Ge/Si core-shell NWs. In the Si/Ge core-shell
NWs, on the other hand, the B distributions depended on the growth temperature
and the B2H6 flux. With a higher growth temperature and an increased B2H6 flux,
the B atoms piled up in the outer region of the Si core. However, the B atoms
were observed to be randomly distributed in the Si core after decreasing both the
growth temperature and the B2H6 flux.
PMID- 27874129
TI - Binding of human serum albumin to PEGylated liposomes: insights into binding
numbers and dynamics by fluorescence correlation spectroscopy.
AB - Liposomes for medical applications are often administered by intravenous
injection. Once in the bloodstream, the liposomes are covered with a "protein
corona", which impacts the behavior and eventual fate of the liposomes.
Currently, many aspects of the liposomal protein corona are not well understood.
For example, there is generally a lack of knowledge about the liposome binding
affinities and dynamics of common types of blood plasma proteins. Fluorescence
correlation spectroscopy (FCS) is a powerful experimental technique that
potentially can provide such knowledge. In this study, we have used FCS to
investigate the binding of human serum albumin (HSA) to standard types of
PEGylated fluid-phase liposomes (consisting of DOPC and DOPE-PEG2k) and PEGylated
gel-phase liposomes (consisting of DSPC and DSPE-PEG2k) with various PEG chain
surface densities. We detected no significant binding of HSA to the PEGylated
fluid-phase liposomes. In contrast, we found that HSA bound tightly to the
PEGylated gel-phase liposomes, although only a low number of HSA molecules could
be accommodated per liposome. Overall, we believe that our data provides a useful
benchmark for other researchers interested in studying the liposomal protein
corona.
PMID- 27874130
TI - Enhanced performance of perovskite solar cells by modulating the Lewis acid-base
reaction.
AB - The Lewis acid-base reaction between PbI2 and solvent molecules is popular in
fabricating PbI2 films by a two-step method for making perovskite solar cells.
Here, we control the microstructure of PbI2 films through modulating the Lewis
acid-base reaction by adding a small amount of N-methyl pyrrolidone into PbI2/DMF
solution. PbI2 films with excellent crystallinity and full coverage are
fabricated by spin-coating the mixed solution on the substrate, which leads to
high quality perovskite layers with low recombination rate and high efficiency
for carrier transfer. As a result, the power conversion efficiency of the best
perovskite solar cells increases from 13.3% to 17.5%.
PMID- 27874132
TI - Cubic mesoporous Ag@CN: a high performance humidity sensor.
AB - The fabrication of highly responsive, rapid response/recovery and durable
relative humidity (%RH) sensors that can precisely monitor humidity levels still
remains a considerable challenge for realizing the next generation humidity
sensing applications. Herein, we report a remarkably sensitive and rapid %RH
sensor having a reversible response using a nanocasting route for synthesizing
mesoporous g-CN (commonly known as g-C3N4). The 3D replicated cubic mesostructure
provides a high surface area thereby increasing the adsorption, transmission of
charge carriers and desorption of water molecules across the sensor surfaces.
Owing to its unique structure, the mesoporous g-CN functionalized with well
dispersed catalytic Ag nanoparticles exhibits excellent sensitivity in the 11-98%
RH range while retaining high stability, negligible hysteresis and superior real
time %RH detection performances. Compared to conventional resistive sensors based
on metal oxides, a rapid response time (3 s) and recovery time (1.4 s) were
observed in the 11-98% RH range. Such impressive features originate from the
planar morphology of g-CN as well as unique physical affinity and favourable
electronic band positions of this material that facilitate water adsorption and
charge transportation. Mesoporous g-CN with Ag nanoparticles is demonstrated to
provide an effective strategy in designing high performance %RH sensors and show
great promise for utilization of mesoporous 2D layered materials in the Internet
of Things and next generation humidity sensing applications.
PMID- 27874134
TI - Visible-light-induced phenylchalcogenyl-oxygenation of allenes having aryl or
electron withdrawing substituents with ambient air as a sole oxidant.
AB - The synthesis of regio- and stereoselective aryl substituted alpha,beta
unsaturated aldehydes and ketones from activated allenes was achieved. This mild
and non-metallic oxidation is exclusively driven by benign ambient air and
triggered by visible light. The same starting materials under ideal anaerobic
conditions led to the 2,3-diphenylselenation adduct with no trace of oxygenated
products, demonstrating dissolved oxygen as a chemical switch for two different
reaction pathways. The salient feature of this protocol is the single electron
transfer (SET) achieved by irradiation of one of two organic molecules thereby
avoiding a sensitizer to form a radical ion pair.
PMID- 27874133
TI - The structural and electronic properties of NbSin-/0 (n = 3-12) clusters: anion
photoelectron spectroscopy and ab initio calculations.
AB - Niobium-doped silicon clusters, NbSin- (n = 3-12), were generated by laser
vaporization and investigated by anion photoelectron spectroscopy. The structures
and electronic properties of NbSin- anions and their neutral counterparts were
investigated with ab initio calculations and compared with the experimental
results. It is found that the Nb atom in NbSin-/0 prefers to occupy the high
coordination sites to form more Nb-Si bonds. The most stable structures of NbSi3
7-/0 are all exohedral structures with the Nb atom face-capping the Sin
frameworks. At n = 8, both the anion and neutral adopt a boat-shaped structure
and the openings of the boat-shaped structures remain unclosed in NbSi9-10-/0
clusters. The most stable structure of the NbSi11- anion is endohedral, while
that of neutral NbSi11 is exohedral. The global minima of both the NbSi12- anion
and neutral NbSi12 are D6h symmetric hexagonal prisms with the Nb atom at the
center. The perfect D6h symmetric hexagonal prism of NbSi12- is electronically
stable as it obeys the 18-electron rule and has a shell-closed electronic
structure with a large HOMO-LUMO gap of 2.70 eV. The molecular orbital analysis
of NbSi12- suggests that the delocalized Nb-Si12 ligand interactions may
contribute to the stability of the D6h symmetric hexagonal prism. The AdNDP
analysis shows that the delocalized 2c-2e Si-Si bonds and multicenter-2e NbSin
bonds are important for the structural stability of the NbSi12- anion.
PMID- 27874135
TI - Correction: Characteristics and reactivity of ruthenium-oxo complexes.
AB - Correction for 'Characteristics and reactivity of ruthenium-oxo complexes' by
Tomoya Ishizuka et al., Dalton Trans., 2016, 45, 16727-16750.
PMID- 27874136
TI - A self-quenching-resistant carbon nanodot powder with multicolored solid-state
fluorescence for ultra-fast staining of various representative bacterial species
within one minute.
AB - In this study, we prepared self-quenching-resistant solid-state fluorescent
carbon nanodots (SFCDs) without any other solid matrices. The SFCDs were prepared
using a one-step microwave synthesis method through precise control of the
heating power and time. The resulting SFCD powder showed excitation-dependent
emission behavior with a maximum fluorescence quantum yield of 40%. The
multicolored SFCDs were successfully used as fluorescent agents for rapid
staining of 14 representative bacterial species, including Gram-negative, Gram
positive, and acid-fast bacteria. Moreover, some pathogenic bacteria, including
Bacillus anthracis (vegetative cells and endospores), Yersinia pestis, Vibrio
cholera O1, Listeria monocytogenes, Neisseria meningitidis, and Klebsiella
pneumoniae, could all be stained within just 1 min by the smear staining method
without any incubation, which was also applicable by using the liquid incubation
method. Moreover, excellent staining quality, superior resistance to
photobleaching, high stability in solutions of different pH values, and low
toxicity were also demonstrated.
PMID- 27874138
TI - Self-supported three-dimensional mesoporous semimetallic WP2 nanowire arrays on
carbon cloth as a flexible cathode for efficient hydrogen evolution.
AB - The design and development of high-efficiency and non-noble metal hydrogen
evolution reaction (HER) electrocatalysts with optimized nanostructures for human
clean and sustainable energy systems has attracted significant research interest
over the past years. Herein, self-supported semimetallic tungsten diphosphide
nanowire arrays on carbon cloth (WP2 NWs/CC) were topotactically fabricated by in
situ phosphidation of a WO3 NWs/CC precursor. Such a binder-free flexible HER
cathode with integrated three-dimensional nanostructures can not only provide a
large surface area to expose abundant active sites, but also facilitate
electrolyte penetration for electrons and electrolyte ions. The WP2 NWs/CC
electrode exhibits superior catalytic performance, and it needs overpotentials of
109 and 160 mV with a small Tafel slope of 56 mV dec-1 to achieve current
densities of 10 and 50 mA cm-2, respectively. High stability in acidic media is
also observed for the catalyst for a duration of 20 hours at least. In addition,
density functional theory (DFT) calculations indicate a low kinetic energy
barrier for H atom adsorption on the WP2 surface which guarantees the excellent
catalytic activity of the catalyst, and the influences of phosphidation
temperature on the HER activity are also studied. The excellent electrocatalytic
activity makes the present 3D structured WP2 NWs/CC a promising catalyst for
large scale highly pure hydrogen evolution by electrochemical water splitting.
PMID- 27874139
TI - The origin of facet selectivity and alignment in anatase TiO2 nanoparticles in
electrolyte solutions: implications for oriented attachment in metal oxides.
AB - Oriented attachment (OA) is an important nonclassical pathway for crystal growth
from solution, occurring by the self-assembly of nanoparticles and often leading
to highly organized three-dimensional crystal morphologies. The forces that drive
nanocrystal reorientation for face-selective attachment and exclude improperly
aligned particles have remained unknown. Here we report evidence at the
microscopic level that ion correlation forces arising from dynamically
interacting electrical double layers are responsible for face-selective
attraction and particle rotation into lattice co-alignment as particles interact
at long range. Atomic-to-mesoscale simulations developed and performed for the
archetype OA system of anatase TiO2 nanoparticles in aqueous HCl solutions show
that face-selective attraction from ion correlation forces outcompetes
electrostatic repulsion at several nanometers apart, drawing particle face pairs
into a metastable solvent-separated captured state. The analysis of the facet and
pH dependence of interparticle interactions is in quantitative agreement with the
observed decreasing frequency of attachment between the (112), (001), and (101)
face pairs, revealing an adhesion barrier that is largely due to steric hydration
forces from structured intervening solvents. This finding helps open new avenues
for controlling crystal growth pathways leading to highly ordered three
dimensional nanomaterials.
PMID- 27874140
TI - Carbon nanotube fibers and films: synthesis, applications and perspectives of the
direct-spinning method.
AB - The direct-spinning method of creation of CNT macroassemblies has received a lot
of attention because of its simplicity to produce high-performance material
without apparent limits to its size. CNT fibers or films have shown unparalleled
properties and opened new areas of research and commercial development. The
process designed more than a decade ago has already given interesting information
about the basic science of nanomaterials, which in parallel led to the creation
of the first prototypes with high potential of implementation in everyday life.
Because of this, there has been growing interest in this technique with research
articles coming into view from all around the world on a frequent basis. This
review aims to summarize all the progress made in the direct-spinning process on
a spectrum of fronts ranging from the study of complex synthesis parameters,
material properties to its viable applications. The strong and weak points of the
"Cambridge process" are carefully evaluated to put forward what challenges are
most pressing. The future overlook puts the state of the art into perspective and
suggests the prospective research directions.
PMID- 27874141
TI - Halogen bonding rotaxanes for nitrate recognition in aqueous media.
AB - Targeting the biologically and environmentally important nitrate anion, halogen
bonding (XB) has been incorporated into three novel [2]rotaxane structural
frameworks via an axle component containing covalently linked 3,5-bis
iodotriazole pyridine-pyridinium motifs. This has enabled the recognition of
nitrate in aqueous media containing up to 90% water with equivalent binding
affinity to chloride, illustrating the potency of XB for anion recognition in
highly competitive aqueous solvent mixtures.
PMID- 27874142
TI - Designed synthesis of MOx (M = Zn, Fe, Sn, Ni, Mn, Co, Ce, Mg, Ag), Pt, and Au
nanoparticles supported on hierarchical CuO hollow structures.
AB - Despite intensive research into support substrates for the dispersal of
nanoparticles and their applications, there has been a lack of general methods to
produce metal oxide hollow substrates supporting a wide range of metal and metal
oxides. Herein, a synthetic protocol for the preparation of CuO hollow structure
supported MOx (M = Zn, Fe, Ni, Sn, Mn, Co, Ce, Mg, and Ag) and noble metals (Pt
and Au) with the desired properties and shell structure, such as CuO/Fe2O3,
CuO/ZnO, CuO/SnO2, CuO/MgO, CuO/NiO, CuO/Mn2O3, CuO/CoO, CuO/CeO2, CuO/Ag2O,
CuO/Pt, CuO/Au hollow cubes, CuO/ZnO double-shell hollow cubes, CuO/SnO2 double
shell hollow octahedra, CuO/SnO2/Fe2O3 and CuO/Mn2O3/NiO double-shell hollow
cubes, was developed based on controlled calcination and etching. These hybrid
hollow structures were employed not only as support substrates but also as active
constituents for catalytic reactions. As an example, we demonstrated that CuO/ZnO
hollow cubes are remarkably efficient in converting solid chitin biomass to
liquid chemicals in methanol. In addition, CuO/ZnO double-shell hollow cubes were
highly effective in the oxidation of benzyl alcohol in the presence of H2O2,
whereas CuO/Pt and CuO/Au hollow cubes promoted the oxidation of benzyl alcohol
in pure O2. The strategy developed in this work extends the controllable
fabrication of high-quality CuO hollow structure-supported nanoparticles using
various compositions and shell structures, paving the way to the exploration and
systematic comparison of these materials in a wider range of applications.
PMID- 27874143
TI - Total synthesis of natural derivatives and artificial analogs of 13-oxyingenol
and their biological evaluation.
AB - We have established an efficient synthetic methodology for the 13-oxyingenol
natural derivative (13-oxyingenol-13-dodecanoate-20-hexanoate), featuring a ring
closing olefin metathesis reaction for the "direct" construction of a highly
strained inside-outside framework and a Mislow-Evans-type [2,3]-sigmatropic
rearrangement for the stereoselective introduction of the hydroxy group at C5. We
also synthesized artificial analogs of 13-oxyingenol and ingenol by using our
synthetic strategy. In vitro activation assays of protein kinase C (PKC) alpha
and delta revealed that the dodecanoyl group at O13 on 13-oxyingenol analogs had
a significant role in PKCdelta activation. The PKCalpha- or PKCdelta-activating
13-oxyingenol and ingenol analogs induced both distinct morphological changes and
increases of CD11b expression in HL-60 cells, which would be typical signs of HL
60 cell differentiation to macrophage-like cells, as expected by previous
reports. Intriguingly, however, similar differentiation phenotypes were observed
with the use of 13-oxyingenol natural derivatives and 13-oxyingenol-13
dodecanoate showing a remarkably less potent PKCalpha or PKCdelta activation
ability, which the PKC inhibitor Go6983 diminished. This indicated the
involvement of other PKC isozymes or related kinase activities. 13-Oxyingenol
analogs, which induced HL-60 cell differentiation, also induced HL-60 cell death,
similar to the action of a phorbol ester, a strong PKC activator.
PMID- 27874144
TI - A novel photosensitizer: An l-glutamide lipid conjugate with improved properties
for photodynamic therapy.
AB - Photosensitizers (PS) are used in photodynamic therapy to treat several cancers.
The efficacy of photodynamic therapy (PDT) could be further improved by
overcoming aggregation-dependent quenching of PS and by improving the
biodistribution of the PS. In this work we attempted to overcome these issues by
conjugating a PS with a lipid molecule and tested the liposomes prepared with
this PS conjugated lipid for PDT. A novel lipid-porphyrin conjugate (1 : 1) was
synthesized by attaching a PS, 5-(4-methoxycarbonylphenyl)-10,15,20-triphenyl
21H,23H-porphine, to the head group of a glutamide lipid. Two liposomal
preparations, with egg phosphatidylcholine as the bulk lipid, were prepared viz.
liposomes with PS conjugated lipid (LPSL) and PS entrapped in liposomes (PSL). At
equimolar concentrations of the PS, both liposomal preparations were found to
generate comparable amounts of reactive oxygen species as free PS upon light
exposure. Electron micrographs and dynamic light scattering measurements
indicated uniform and circular liposomes of 150 nm in size and near neutral zeta
potential. Uptake of these liposomes by the human ovarian carcinoma cell line, SK
OV-3, was shown by FACS and confocal microscopy. Upon light exposure, the LPSL,
i.e., with the conjugate lipid, have shown a substantial decrease (>4 times) in
the PS requirement compared to PSL or free PS in its ability to cause light
mediated cell death of SK-OV-3 cells. The light mediate cell death by LPSL was
shown to be not dependent on the bulk properties of the lipid. Our data suggest a
potential benefit of conjugating PS with a lipid in improving the efficiency of
PDT.
PMID- 27874145
TI - Personalized medicine. Is it time for infectious diseases?
AB - [No Abstract Available].
PMID- 27874146
TI - Roles of nucleolin. Focus on cancer and anti-cancer therapy.
AB - Nucleolin, a multifunctional protein distributed in the nucleolus, participates
in many modulations including rDNA transcription, RNA metabolism, and ribosome
assembly. Nucleolin is also found in the cytoplasm and on the cell membrane, and
surface nucleolin can bind to various ligands to affect many physiological
functions. The expression and localization of nucleolin is often abnormal in
cancers, as the differential distribution of nucleolin in cancer can influence
the carcinogenesis, proliferation, survival, and metastasis of cancer cells,
leading to the cancer progression. Thus, nucleolin may be a novel and promising
target for anti-cancer treatment. Here, we describe how nucleolin act functions
in cancer development and describe nucleolin-dependent anti-cancer therapies.
PMID- 27874147
TI - Open globe eye injury characteristics and prognostic factors in Jazan, Saudi
Arabia.
AB - OBJECTIVES: To evaluate characteristics and prognostic factors of open globe
injuries (OGI) presented to King Fahad Specialist Hospital in the Jazan region,
Saudi Arabia. Methods: This study is a retrospective review of medical records
of OGI patients who underwent operative repair of their injuries in King Fahad
Central Hospital, Jazan, Saudi Arabia between January 2011 and December 2013.
Demographic information, eye injury, preoperative, and postoperative visual
acuity were collected. The initial and final visual acuity outcomes were compared
to identify subjects who witnessed any improvement in their visual acuity.
Logistic regression was used to assess characteristics associated with
improvements in the visual acuity. Results: Number of included cases was 120.
Most frequently reported causes of injury were blunt trauma (20%) and shattered
glass (18.3%). Approximately half of the cases were reported to have iris
injuries or hyphema. Most cases suffered penetration (37.5%) of the eye globe.
Only zone I injury was significantly associated with better visual acuity
outcomes (odds ratio [OR]: 2.447, p=0.036). Among the variables that were
associated with poorer prognostic outcomes, only aphakia (OR: 0.180), retinal
damage (OR: 0.062), vitreous hemorrhage (OR: 0.266), and zone III injuries (OR:
0.092) were statistically significant (p less than 0.05). Conclusion: Zone I
injury appears to have a better prognostic effect on visual acuity where injuries
related to Zone III were associated with worse prognostic outcomes.
PMID- 27874148
TI - Dry eye disease in patients with metabolic syndrome.
AB - OBJECTIVES: To evaluate dry eye disease (DED) in patients with metabolic syndrome
(MetS) and compare with healthy individuals. METHODS: The study was conducted in
the Ophthalmology and Endocrinology Department of Bagcilar Education and Research
Hospital, a tertiary care center in Istanbul, Turkey, between January and
December 2015. In this prospective case-controlled study, dry eye disease tests
were performed on 44 patients with MetS and 43 healthy controls. TearLab
Osmolarity System, which is a lab-on-a-chip technology, was used to measure tear
osmolarity. McMonnies & Ho symptoms questionnaire along with Schirmer I test and
tear film break-up time (TFBUT) test were also performed. Statistical evaluation
was performed by students' independent test. RESULTS: There was no statistically
significant difference in tear osmolarity, TFBUT, and McMonnies and Ho
questionnaire scores between MetS and normal group. However, Schirmer I test was
significantly higher in MetS group (14.8+/-9.4mm versus 20.4+/-9.4, p=0.007). In
women subgroup, tear osmolarity was significantly higher in MetS group compared
to the normal group and over the cut-off score 308 mOsm/L (309.4+/-13.1 mOsm/L
versus 301.2+/-8.7mOsm/L, p=0.012). CONCLUSION: Patients with MetS present with
lower tear volumes and a higher incidence of lacrimal gland hypofunction than age
matched controls. Especially women with MetS have higher tear osmolarities, which
disrupt the normal functioning of the ocular surface and cause inflammation.
Clinicians should be aware of higher DED incidence in patients with MetS for
early treatment to prevent serious ocular complications.
PMID- 27874149
TI - Gastroesophageal stenting for the management of post sleeve gastrectomy leak. A
single institution experience.
AB - OBJECTIVES: To retrospectively evaluate the effectiveness of gastroesophageal
stenting for post sleeve gastrectomy staple line leaks using removable self
expandable stents. METHODS: Between April 2012 and June 2015, 12 consecutive
patients (6 males) with mean age of 34 years (21-38 years) presented with staple
line leak 1-8 weeks after the operation (mean 2.8 weeks). Patients underwent
gastroesophageal stenting by interventional radiology. A total of 23 stents were
deployed with mean length of 17.8 cm (7-24 cm) and mean diameter 25.6 mm (18-36
mm). Stent re-insertion was needed in 7 patients (9 procedure), while 6 patients
required percutaneous collection drainage and 3 patients required endoscopic glue
injection with clipping. Two stent removal procedures were carried out under
endoscopic visualization after failed stent capture under fluoroscopy, while the
remaining stents were successfully removed by interventional radiology. Results:
Stent placement was technically successful in all patients. Stent migration
occurred in 6 patients (50%). There is a tendency for stent migration with
shorter stent length (R= -0.557, p=0.008). The mean duration of stenting was 60.5
days (14-137 days). All patients underwent stent removal and resumed oral intake
with no recurrence of leak at a mean follow up time of 190 days (14-410 days).
Complications included gastrointestinal bleeding (n=1), proximal esophageal
stricture (n=1) and stent occlusion (n=1). CONCLUSION: Gastroesophageal stenting
as a primary measure after diagnosis of early post sleeve gastrectomy leak
appears to offer a safe and effective alternative option in obviating repeat
surgical interventions. Minimally invasive interventions may still be required
for the management of persistent leak.
PMID- 27874150
TI - The radiological research for pelvis asymmetry of unilateral developmental
dysplasia of the hip in adult.
AB - OBJECTIVES: To investigate whether adult patients with unilateral developmental
dysplasia of the hip (UDDH) have pelvic asymmetry and what correlation existing
between them. Methods: A total of 100 adult patients with UDDH were enrolled in
the retrospective observational study in Shanghai Jiaotong University Affiliated
Sixth People's Hospital, Shanghai, China, between January 2012 and February 2014.
The anteroposterior pelvic radiographs were reviewed and the pelvic heights and
ischium heights were measured and compared between the affected and non-affected
sides to find out the relationship between the pelvic morphology and hip
dysplasia. Results: The pelvic heights demonstrated significant differences
between the non-affected side and the affected side in patients with Crowe type
II-IV UDDH (p less than 0.05), but not in patients with Crowe type I UDDH
(p=0.09). There were significant differences in the bilateral ischium heights in
patients with Crowe type III and IV UDDH (p less than 0.05), but not in patients
with Crowe type I and II UDDH (p=0.78, p=0.055). In addition, the degree of hip
dysplasia was positively associated with the degrees of asymmetry of pelvis
(r=0.78, p less than 0.001) and ischium (r=0.72, p less than 0.001) in UDDH
patients. Conclusion: The pelvic asymmetry exists in adult patients with UDDH. In
addition, the degree of asymmetry has correlation with the degree of hip
dysplasia. We recommend that it should be taken more cautions to use teardrops
and ischial tuberosity as anatomy landmarks to balance leg-length discrepancy for
unilateral DDH patients in preoperative planning and total hip arthroplasty.
PMID- 27874151
TI - A stepwise introduction of a successful antimicrobial stewardship program.
Experience from a tertiary care university hospital in Western, Saudi Arabia.
AB - OBJECTIVES: To analyze and evaluate the safety and cost-effectiveness of a
gradually-implemented antimicrobial stewardship programs (ASP) in a tertiary care
center. METHODS: Prospective data were collected from an ASP that was gradually
introduced between April 2012 and December 2013 in 6 hospital departments, over
successive periods of 3 months each. A multidisciplinary team supervised
antibiotic use and regulated pharmacy dispensations of a list of restricted
antimicrobials (RAs). Indicators were prospectively monitored and included
hospital mortality as the safety indicator; incidence of multi-drug resistance
(MDR) infections as the effectiveness indicator, RA cost savings as the cost
effectiveness indicator and RA consumption indicated the process implementation.
RESULTS: Between 2012 and 2014, dispensations of RAs decreased by 67% and
prescriptions by 75%; no increase in mortality rate was observed.
Microbiologically, there was a decreasing trend of incidence across all monitored
infections, but this was only significant for Acinetobacter baumannii (p=0.007).
Cost analysis showed a decrease in expenditure for RAs, with an average monthly
saving of up to 326,020USD. CONCLUSIONS: Stepwise implementation of ASPs is a
safe and cost-effective strategy for improving antibiotic prescribing practice
and to reduce multi-drug resistance.
PMID- 27874152
TI - Healthcare professionals' awareness and knowledge of adverse drug reactions and
pharmacovigilance.
AB - OBJECTIVES: To document the knowledge of, attitudes toward, and practices of
adverse drug reaction (ADR) reporting and pharmacovigilance systems among
healthcare professionals. Methods: This descriptive cross-sectional study was
conducted using a questionnaire. This study took place at King Fahd Hospital of
the University (KFHU), Khobar, Kingdom of Saudi Arabia, between April 2015 and
April 2016. Healthcare professionals, including physicians, pharmacists, pharmacy
technicians, and nurses, were considered eligible and invited to take part in the
study. A link to the online questionnaire was sent to each participant via E
mail, and a hard copy was circulated at the hospital after the objectives of the
study were explained. The questionnaire comprised items regarding
knowledge/awareness of pharmacovigilance and ADRs, perception/attitude towards
pharmacovigilance and ADR reporting, and practices of ADR reporting. Descriptive
statistics were used to analyze the data. Results: A total of 400 questionnaires
were distributed to the healthcare professionals and 331 participants responded,
providing a response rate of 82.75%. The healthcare professionals comprised 161
physicians, 39 pharmacists, 21 pharmacist technicians, and 110 nurses. Most of
the participants were female (n=198) and Saudi (61.9%). Most healthcare
professionals (62.5%) were unaware of the term pharmacovigilance; the pharmacists
and pharmacist technicians had the highest rate of pharmacovigilance awareness
(60.5% of the pharmacists and 40% of pharmacist technicians). Conclusion: There
is a lack of awareness and knowledge of pharmacovigilance and ADR reporting among
healthcare professionals working at KFHU.
PMID- 27874153
TI - Effects of personality traits on collaborative performance in problem-based
learning tutorials.
AB - OBJECTIVES: To examine the relationship between students' collaborative
performance in a problem-based learning (PBL) environment and their personality
traits. Methods:This retrospective, cross-sectional study was conducted using
student data of a PBL program between 2013 and 2014 at Sungkyunkwan University
School of Medicine, Seoul, South Korea. Eighty students were included in the
study. Student data from the Temperament and Character Inventory were used as a
measure of their personality traits. Peer evaluation scores during PBL were used
as a measure of students' collaborative performance. Results: Simple regression
analyses indicated that participation was negatively related to harm avoidance
and positively related to persistence, whereas preparedness for the group work
was negatively related to reward dependence. On multiple regression analyses, low
reward dependence remained a significant predictor of preparedness. Grade-point
average (GPA) was negatively associated with novelty seeking and cooperativeness
and was positively associated with persistence. Conclusion: Medical students who
are less dependent on social reward are more likely to complete assigned
independent work to prepare for the PBL tutorials. The findings of this study can
help educators better understand and support medical students who are at risk of
struggling in collaborative learning environments.
PMID- 27874154
TI - Characterizing sleeping habits and disturbances among Saudi adults.
AB - OBJECTIVES: To characterize sleeping habits, assess sleep disturbance prevalence,
and identify associated factors among Saudi adults. Methods: A total of 1720
adults were approached for this observational cross-sectional study between
October 2014 and March 2015. The study took place in Riyadh, the capital of Saudi
Arabia. We used a questionnaire to describe sleeping characteristics in relation
to existing chronic diseases, smoking status, obesity, daily performance and
sociodemographic variables. Results: The response rate was 79.6% (1369
participants), 61.6% have or may have sleeping disturbances of which 18.6%
claimed either slowed or stopped breathing during sleep. Women reported a higher
prevalence of sleep disturbances (65.2%). Feeling tired was significantly
associated with sleep disturbance (49% versus 19.7%) (p greater than 0.001).
Approximately 78.4% of those with sleep disturbance significantly believed that
their ability to perform daily tasks is affected (p=0.005). Moreover, smoking and
obesity were significantly associated with sleep disturbances (p less than 0.01).
Participants with asthma, hypertension, chronic heart disease, and diabetes
mellitus reported significantly more sleeping disturbance (p=0.016 to p=0.001).
Conclusions: Sleep disturbances are associated with obesity, smoking, chronic
health conditions, and lower performance among Saudi adults.
PMID- 27874155
TI - Phase down of amalgam. Awareness of Minamata convention among Jordanian dentists.
AB - OBJECTIVES: To assess the knowledge of Jordanian dentists toward phase down of
dental amalgam as recommended by the Minamata Convention, and their training and
competency in placing posterior composites. Methods: This study was conducted
through structured questionnaire interviews with randomly selected cohort of
dentists in Jordan between March 2015 and June 2015. Out of 230 dentists who were
invited, 196 (85.2%) agreed to participate. Dentists were asked if they know
about the Minamata Convention. They were also asked about their training in
placement of posterior composite. Results: Out of the 196 interviewed, only
13.8% know about Minamata Convention and 17% had an undergraduate training in
favor of placing composites in posterior teeth. Approximately 50% of those
dentists were not trained in using rubber dam when placing posterior composites,
while only 38.3% had training in sectional matrix placement. Undergraduate
training did not influence (p=0.00) the dentists' decision to remove old amalgam
based on patient's demands. Only 28.1% were of the opinion of discontinuing the
use of amalgam due to its alleged health and environmental hazards. There was no
general agreement on the type of composite, liner, and bonding strategy when
placing posterior composites. Conclusion: Dentists are not well informed on the
Minamata Convention and the phase down of amalgam. Training in posterior
composite placement should be given more room in undergraduate curriculum and
continuous dental education.
PMID- 27874157
TI - Excision of oral pyogenic granuloma in a diabetic patient with 940nm diode laser.
AB - Pyogenic granuloma (PG) is a common, acquired, benign vascular reactive
proliferation that typically develops as a small erythematous papule on the skin
or oral mucosal surface. Oral PG is often caused by constant low-grade infection,
minor trauma, poor oral hygiene, and due to hormonal disturbances. It shows a
striking predilection for the gingiva. Lesions can be excised surgically with
removal of the underlying causes. However, this modality may be associated with
unnecessary complications. Recently, different laser wavelengths have been used
for removal of oral PG. Herein, we present a case of gingival PG in a 51-year-old
uncontrolled diabetic woman. The lesion was excised successfully with a 940nm
diode laser as a conservative and non-stressful procedure that resulted in a
bloodless surgical and post-surgical course with rapid healing, minimal pain,
swelling, and scarring. The 940nm Diode laser offers a new efficient noninvasive
tool for excising oral soft tissue lesions, especially in medically compromised
patients.
PMID- 27874156
TI - Prevalence of obesity in elementary school children and its association with
dental caries.
AB - OBJECTIVES: To investigate the prevalence of obesity among elementary school
children and to examine the association between obesity and caries activity in
the mixed dentition stage. Methods: This cross-sectional study was conducted in
King Abdulaziz University, Jeddah, Kingdom of Saudi Arabia between September 2014
and June 2015 using a multi-stage stratified sample of 915 elementary school
children (482 boys, 433 girls) in Jeddah, Saudi Arabia. Anthropometric
measurements, consisting of height, weight, body mass index (BMI), and waist
circumference (WC), were obtained. Children were classified as
underweight/healthy, overweight, or obese and as non-obese or obese according to
their BMI and WC, respectively. Each child's caries experience was assessed using
the decay score in the primary and permanent teeth. Results: Based on BMI, 18% of
children were obese, 18% were overweight, and 64% were underweight/normal. Based
on WC, 16% of children were obese, and 84% were non-obese. Girls had a
significantly higher prevalence of obesity based on WC measurements (p less than
0.001), but not BMI. Children enrolled in private schools had a significantly
higher prevalence of obesity (p less than 0.05) than those in public schools. For
primary and permanent teeth combined, children with higher BMI and WC had a lower
prevalence of caries (p less than 0.05). Conclusion: The prevalence of obesity
was high among male and female elementary school children. Overall caries
activity was inversely proportional to BMI and WC.
PMID- 27874158
TI - Urogenital myiasis caused by Psychoda albipennis.
AB - Myiasis is a parasitic infestation of vertebrate animals caused by the eggs and
larvae of flies within the Diptera species. Psychoda albipennis is a rare cause
of urogenital myiasis in humans. We present the case of a 42-year-old male
diagnosed with urogenital myiasis caused by Psychoda albipennis.
PMID- 27874160
TI - Microvascular and macrovascular complications of type 2 diabetic mellitus in
Central, Kingdom of Saudi Arabia.
AB - OBJECTIVES: To determine the prevalence of macrovascular and microvascular
complications of type 2 diabetes mellitus and its associated determinants.
Methods: We evaluated the online medical records of patients with type 2 diabetes
mellitus who have been seen in chronic disease unit between April and June 2014.
Seven hundred and forty-eight participants satisfied the inclusion criteria. Of
these, 317 (42.4%) were males with a mean age of 57.9 years, 681 (64.3%) were
obese with body mass index (BMI) of greater than 30, while only 9.6% had normal
BMI (less than 25). Results: Only 158 (21.1%) had controlled hemoglobin A1c
level. Retinopathy prevalence was 14.8% and neuropathy was 5.6%. Macrovascular
complications accounted for 12.1%. Patients' age and duration of diabetes were
main predictors of developing complications. Patients with more than 20 years
history of diabetes have 30% more odds of developing the complications when
compared to patients with less than 10 years of diabetes history. Each increment
in age by one year increases the odds of developing microvascular complications
by 4% and macro vascular complication by 2%. Conclusion: Our results showed
diabetic patients with poor glycemic control, and longer duration of diabetic
history had higher prevalence of both macrovascular and microvascular.
PMID- 27874159
TI - Evaluation of GeneXpert MTB/RIF for detection of Mycobacterium tuberculosis
complex and rpo B gene in respiratory and non-respiratory clinical specimens at a
tertiary care teaching hospital in Saudi Arabia.
AB - OBJECTIVES: To assess the performance of Xpert MTB/RIF, an automated molecular
test for Mycobacterium tuberculosis (MTB) and resistance to rifampin (RIF),
against smear microscopy and culture method for diagnosis of MTB infection.
Methods: This is a retrospective analysis of 103 respiratory and 137 non
respiratory patient specimens suspected of tuberculosis at King Khalid University
Hospital, Riyadh, Kingdom of Saudi Arabia performed between April 2014 and March
2015. Each sample underwent smear microscopy, mycobacterial culture, and
GeneXpert MTB/RIF test. Results: Fifteen out of 103 respiratory samples were
smear and culture positive, whereas 9 out of 137 non-respiratory samples were
smear positive. Out of 9 smear positive specimens, 8 were also culture positive.
All 15 culture positive respiratory samples were detected by Xpert MTB/RIF
(sensitivity and positive predictive value [PPV]=100%). Similarly, all 8 culture
positive non-respiratory specimens were identified by Xpert MTB/RIF (sensitivity
100%; PPV 88.8%). The Xpert MTB/RIF detected only one false positive result in 88
smear negative respiratory specimens (specificity 98.9%; negative predictive
value [NPV]= 100%). All 125 smear negative non-respiratory specimens tested
negative by culture and Xpert MTB/RIF (sensitivity, specificity, PPV, NPV= 100%).
Conclusion: The performance of Xpert MTB/RIF was comparable to the gold standard
culture method for identification of MTB in both respiratory and non-respiratory
clinical specimens.
PMID- 27874161
TI - Diffusion weighted magnetic resonance imaging in the diagnosis of parotid masses.
Preliminary results.
AB - OBJECTIVE: To demonstrate the diagnostic potentials of MRI, diffusion weighted
imaging (DWI), and apparent diffusion coefficient (ADC) mapping in the detection
of parotid masses correlated to the histopathological results. Methods: Study
design was retrospective. Fifteen patients with parotid gland masses were
included as the study group and contralateral normal parotis glands of same
patients were taken as the control group. Patients with bilateral parotid gland
tumors were excluded, 7 right-sided and 8 left-sided parotid masses were included
in the research. The study took place at the Department of Radiology, Ankara,
Turkey, between May 2012 and September 2014. Results: Apparent diffusion
coefficient measurements of 15 parotis tumors in 1000 and 750 sec/mm2 b-values
with comparison to the contralateral normal gland parenchyma were demonstrated.
Neurofibromas was predicted as the highest, and lipomas as the lowest ADC values.
Pleomorphic adenomas, Warthin's tumor, and normal parotid parenchyma indicate
significant statistical differences from each other on the basis of mean ADC
values (p less than 0.05). Conclusion: The DWI and ADC mapping of parotis gland
could aid in the differential diagnosis of benign and malignant masses.
PMID- 27874162
TI - Emerging Zika virus.
AB - [No Abstract Available].
PMID- 27874163
TI - Family profile of victims of child abuse and neglect in the Kingdom of Saudi
Arabia.
AB - [No Abstract Available].
PMID- 27874164
TI - 'REM-related OSA': a forgotten diagnostic? Possible path to under-diagnosing
sleep apnea.
AB - INTRODUCTION: Restrictive criteria are proposed to define the disorder 'REM
related OSA' disorder, and questions remain about its nosological transcendence
and clinical management. AIM: To evaluate the criteria proposed to define 'REM
related OSA', its relationship with cardio-metabolic comorbidity, and aspects
related to it diagnostic. PATIENTS AND METHODS: Retrospective observational study
of clinical and polysomnographic data from outpatients. 525 patients over 18
years old who had an Apnea Hypopnea Index (AHI) = 5 (total, or partial, in REM
and/or NREM) were included. RESULTS: 'Phase-dependent' subgroups were formed
using a criterion based on the 'ratio = 2' and another 'strict' criterion based
on a partial AHI = 5 compared to another partial AHI <5 (in REM or in NREM). In
the 'strict REM-related OSA' subgroup, half of the patients showed an overall AHI
< 5, with less severity in the respiratory parameters, but with lower comorbidity
percentages. With the current diagnostic criteria, these patients would be
excluded from the sleep apnea diagnosis. CONCLUSIONS: The application of the
strict criterion to detect 'REM-related OSA' makes it possible to filter milder
forms of sleep apnea associated with percentages of cardiovascular and/or
metabolic comorbidity that are not significantly different from other more severe
forms of sleep apnea. To avoid under-diagnosis, it would be advisable to review
the sleep apnea diagnostic criteria and the indications of the reduced sleep
apnea diagnostic techniques.
PMID- 27874166
TI - [Massive cerebral air embolism following endoscopic retrograde
cholangiopancreatography. A case report and review of the literature].
AB - INTRODUCTION: Cerebral air embolism following endoscopic processes is an
infrequent complication, but can have catastrophic consequences. CASE REPORT: An
85-year-old male diagnosed with distal cholangiocarcinoma with criteria for
unresectability who was submitted to placement of a biliary drainage prosthesis.
Endoscopic retrograde cholangiopancreatography was performed to change the
prosthesis. After the procedure, the patient's vital signs and level of
consciousness underwent a decline, and orotracheal intubation was required. A
computerised axial tomography scan of the head showed evidence of a massive air
embolism with focal points of hyperacute ischaemia in both hemispheres. The
patient later died. CONCLUSIONS: Cerebral air embolism following endoscopic
retrograde cholangiopancreatography is infrequent, but potentially lethal.
Manipulation of the bilio-intestinal wall in endoscopic examinations could give
rise to communications between the lumen and the venous system. This, together
with the high insufflation pressure used to conduct this test, would condition
the passage of air to the portal venous system, and from there to the circulatory
system. In the central nervous system, air bubbles would lead to a vascular
obstruction, with the subsequent ischaemia and necrosis of tissues. An early
diagnosis and life support therapy are essential. Its timely management can
contribute to a better prognosis which, at least initially, is gloomy.
PMID- 27874165
TI - [Montreal Cognitive Assessment Test: normalization and standardization for
Spanish population].
AB - INTRODUCTION: The Montreal Cognitive Assessment (MoCA) is a brief screening test
that assesses the general cognitive state. It has become very popular and useful
as an alternative resource for the traditional Mini-Mental State Examination.
AIM: To normalize and to standardize the MoCA taking into account the
sociodemographic characteristics of the Spanish population (INE data, 2012).
SUBJECTS AND METHODS: The present study is part of the Normacog Project. Seven
hundred participants were recruited (older than 18 years old). The effect of age,
level of education and sex was analyzed on the performance of MoCA and
percentiles and scalar score for nine ranges of age and scalar score adjusted by
the level of education. RESULTS: Results showed a significant effect of age,
level of education and sex on the cognitive performance of MoCA. However, sex was
only significant in two domains (attention and delayed recall). Age, education
and sex explained from 1.0% to 32.3% of the variance of the performance. The
older participants with lower level of formal education obtained the worse
performance shown in MoCA. Percentiles and scalar score for each range of age,
and scalar score adjusted by the level of education were obtained. CONCLUSION:
The normative data of the MoCA is provided taking into account the Spanish
sociodemographic characteristics for adults in Spain and the cut-off to
distinguish between normal cognitive performance and mild cognitive impairment
according to different range of ages have been proposed.
PMID- 27874168
TI - [53.a Reunion de la Sociedad Espanola de Neurofisiologia Clinica (I).
Communications].
PMID- 27874169
TI - [Is it really necessary to perform a lumbar puncture in all cases in the
diagnosis of idiopathic intracranial hypertension?]
PMID- 27874167
TI - [Antibiotics and gait disorders].
AB - The neurological toxicity of many antibiotics has been reported in a number of
articles and clinical notes. In this review antibiotics are classified according
to the physiopathogenic mechanism that can give rise to a gait disorder, taking
both clinical and experimental data into account. An exhaustive search was
conducted in Google Scholar and PubMed with the aim of finding reviews, articles
and clinical cases dealing with gait disorders secondary to different
antibiotics. The different antibiotics were separated according to the
physiopathogenic mechanism that could cause them to trigger a gait disorder. They
were classified into antibiotics capable of producing cerebellar ataxia,
vestibular ataxia, sensitive ataxia or an extrapyramidal gait disorder. The main
aim was to group all the drugs that can give rise to a gait disorder, in order to
facilitate the clinical suspicion and, consequently, the management of patients.
PMID- 27874170
TI - [Neurocultural mosaic. Neuroscience in daily life and the news].
PMID- 27874171
TI - Anthropologists' views on race, ancestry, and genetics.
AB - : Controversies over race conceptualizations have been ongoing for centuries and
have been shaped, in part, by anthropologists. OBJECTIVE: To assess
anthropologists' views on race, genetics, and ancestry. METHODS: In 2012 a broad
national survey of anthropologists examined prevailing views on race, ancestry,
and genetics. RESULTS: Results demonstrate consensus that there are no human
biological races and recognition that race exists as lived social experiences
that can have important effects on health. DISCUSSION: Racial privilege affects
anthropologists' views on race, underscoring the importance that anthropologists
be vigilant of biases in the profession and practice. Anthropologists must
mitigate racial biases in society wherever they might be lurking and quash any
sociopolitical attempts to normalize or promote racist rhetoric, sentiment, and
behavior.
PMID- 27874172
TI - Development of crizotinib, a rationally designed tyrosine kinase inhibitor for
non-small cell lung cancer.
AB - Non-small cell lung cancer (NSCLC) is the number one cause of global mortality.
Despite aggressive treatment, the prognosis is dismal. Patients with advanced
NSCLC have a median survival of 4 months from the time of diagnosis. Fortunately,
molecularly based approaches to drug discovery have yielded a tyrosine kinase
inhibitor, crizotinib, which significantly prolongs median progression-free
survival in a subset of patients. Although initial clinical trial results
demonstrate crizotinib has a promising role to play in NSCLC treatment,
development of resistance leaves much to be elucidated about how to effectively
combat this deadly disease. In this review, we follow the discovery and
development of crizotinib from bench to bedside and provide an example of
successful bottom-up drug design. Then, we explore the clinical trial results
that fast-tracked its eventual use as a frontline therapy for sensitive NSCLC
patients and the development of resistance. Lastly, we discuss the potential for
future uses of crizotinib both within and beyond NSCLC.
PMID- 27874175
TI - Predictors of Engagement in Family Mediation and Outcomes for Families that Fail
to Engage.
AB - An important limitation to the effectiveness of family mediation in assisting
separated parents is parents failing to engage in the mediation process. In 524
parents who presented to a telephone-based mediation service, 113 (22%)
initiating parents withdrew from mediation before the other parent was invited to
participate, 241 (46%) initiating parents had respondent parents who declined to
participate in mediation, and 170 cases (33%) completed mediation. We tested
whether socio-demographic variables, psychological distress, coparental acrimony,
parenting problems, or children's behavioral difficulties predicted mediation
engagement. High interparental acrimony predicted failure to engage in mediation,
but none of the other variables predicted mediation engagement. We followed a
sample of 131 families that did not mediate and found they showed elevated
psychological distress, acrimony, parenting problems and child adjustment
difficulties, which remained unchanged 6 months later. Further research is needed
to explore strategies to enhance respondent parent engagement with mediation, and
to address the negative outcomes for those separated families not proceeding with
mediation.
PMID- 27874174
TI - Compound heterozygous mutations in the IFT140 gene cause Opitz trigonocephaly C
syndrome in a patient with typical features of a ciliopathy.
AB - < We report on an infant with Opitz trigonocephaly C syndrome (OTCS), who also
had manifestations of ciliopathy, including short ribs (non-asphyxiating),
trident acetabular roofs, postaxial polydactyly cone-shaped epiphyses, and
dysplasia of the renal, hepatic and pancreatic tissues. To investigate the
molecular cause, we used an exome sequencing strategy followed by Sanger
sequencing. Two rare variants, both predicted to result in loss of functional
protein, were identified in the IFT140 gene; a substitution at the splice donor
site of exon 24 (c.723 + 1 G > T) and a 17 bp deletion, impacting the first
coding exon (c.-11_6del). The variants were confirmed as being biallelic using
Sanger sequencing, showing that the splice variant was inherited from the
propositus mother and the deletion from the father. To date, Mainzer-Saldino
syndrome, Jeune syndrome, and a form of nonsyndromic retinal dystrophy, have been
identified as ciliopathies caused by IFT140 mutations. We provide the first
description of an OTCS phenotype that appears to result from IFT140 mutations.
The presentation of this patient is consistent with previous reports showing that
OTCS already exhibited skeleletal and nonskeletal features of a ciliopathy.
PMID- 27874173
TI - Identification and validation of an eight-gene expression signature for
predicting high Fuhrman grade renal cell carcinoma.
AB - Clear cell renal cell carcinoma (ccRCC) is a malignancy with heterogeneous
outcomes. Currently, renal mass biopsies are commonly employed to extract disease
characteristics and aid prognosis. Although the pathological diagnosis of
malignant disease is accurate in contemporary reports, the classification of
Fuhrman grade using biopsy specimens remains far from promising. To generate a
gene signature to distinguish high-grade ccRCC, we used the cancer genome atlas
(TCGA) database to develop a gene expression signature for distinguishing high
grade (G3/4) from low-grade (G1/2) disease. The expression profile was further
validated for performance and clinical use in 283 frozen renal cancer samples and
127 ex vivo renal mass biopsy samples, respectively. The area under curve (AUC)
was used to quantify discriminative ability and was compared using the De-long
test. Using the discovery dataset, we identified a 24-gene signature for high
grade disease with an AUC of 0.884. After applied to the development dataset, an
eight-gene profile was defined and achieved an AUC of 0.823. Accuracy of eight
gene panel was maintained in the renal mass biopsies (RMB) samples (AUC = 0.821).
In summary, using three-stage design, we validated an eight-gene expression
signature for predicting high Fuhrman grade of ccRCC. This tool may help to
reveal the characteristics of ccRCC biopsy specimens.
PMID- 27874176
TI - Effects of Tai Chi on Cognition and Fall Risk in Older Adults with Mild Cognitive
Impairment: A Randomized Controlled Trial.
AB - OBJECTIVES: To examine whether combined center- and home-based Tai Chi training
can improve cognitive ability and reduce physiological fall risk in older adults
with amnestic mild cognitive impairment (a-MCI). DESIGN: Randomized controlled
trial. SETTING: Chiang Mai, Thailand. PARTICIPANTS: Adults aged 60 and older who
met Petersen's criteria for multiple-domain a-MCI (N = 66). INTERVENTION: Three
weeks center-based and 12 weeks home-based Tai Chi (50 minutes per session, 3
times per week). MEASUREMENTS: Cognitive tests, including Logical Memory (LM)
delayed recall, Block Design, Digit Span forward and backward, and Trail-Making
Test Part B-A (TMT B-A), and fall risk index using the Physiological Profile
Assessment (PPA). RESULTS: At the end of the trial, performance on LM, Block
Design, and TMT B-A were significantly better for the Tai Chi group than the
control group after adjusting for baseline test performance. The Tai Chi group
also had significantly better composite PPA score and PPA parameter scores: knee
extension strength, reaction time, postural sway, and lower limb proprioception.
CONCLUSION: Combined center- and home-based Tai Chi training three times per week
for 15 weeks significantly improved cognitive function and moderately reduced
physiological fall risk in older adults with multiple-domain a-MCI. Tai Chi may
be particularly beneficial to older adults with this condition.
PMID- 27874177
TI - The Differentiation of Menstrual from Venous Blood and Other Body Fluids on
Various Substrates Using ATR FT-IR Spectroscopy.
AB - Crime scene investigators and laboratory analysts use chemical tests to detect
and differentiate body fluids. Testing often requires a sample of the stain, and
the chemicals may cause degradation of the fluid or interfere with subsequent
tests. Colorimetric chemical tests do not differentiate between different types
of the same fluid, such as venous and menstrual blood, and there is no
presumptive test available to simultaneously differentiate several body fluids.
In this study, we recorded ATR FT-IR spectra of venous and menstrual blood,
semen, saliva, and breastmilk. Neat and simulated casework body fluid samples
were analyzed on cotton, nylon, wood, paper, and glass substrates. Differences in
fluid composition, including proteins and small molecules, resulted in spectral
differences. Venous and menstrual blood is differentiated by the peak at 1039 cm
1 attributed to phosphoric acid found in menstrual blood. Peak intensity is
influenced by the porosity and weave of the substrate fabric.
PMID- 27874178
TI - Targeted Exercise Training to Optimize Leg Power, Leg Speed, and Mobility in
Older Adults.
PMID- 27874179
TI - Association Between Chewing Difficulty and Symptoms of Depression in Adults:
Results from the Korea National Health and Nutrition Examination Survey.
AB - OBJECTIVES: To assess the association between chewing difficulty and symptoms of
depression in a representative sample of the Korean population. DESIGN: Cross
sectional. SETTING: Korea National Health and Nutrition Examination Survey
(KNHANES). PARTICIPANTS: KNHANES participants (N = 5,158). MEASUREMENTS: Chewing
difficulty was assessed according to the self-reported presence of chewing
problems using a structured questionnaire. Symptoms of depression were defined as
having feelings of sadness or depression consecutively over 2 weeks during the
last 12 months. Multivariable logistic regression analysis was used to determine
the adjusted odds ratios (AORs) and 95% confidence intervals (CIs) of the
associations between chewing difficulty and symptoms of depression, adjusted for
age; sex; monthly household income; education; number of teeth; number of
decayed, missing, or filled permanent teeth; periodontitis; state of dentition;
tooth brushing frequency; regular dental visits; smoking status; alcohol
consumption; hypertension; diabetes mellitus; and obesity. The interaction
effects between chewing difficulty and confounders were evaluated, and age- and
sex-stratified analyses were performed. RESULTS: There was a significant positive
association between chewing difficulty and symptoms of depression in the fully
adjusted model (AOR = 1.86, 95% CI = 1.48-2.33). The strength of the association
was highest in men aged 60 and older (AOR = 3.28, 95% CI = 1.54-7.00).
CONCLUSION: Chewing difficulty was independently associated with symptoms of
depression in a representative sample of Korean adults.
PMID- 27874181
TI - AGS Position Statement: Making Medical Treatment Decisions for Unbefriended Older
Adults.
AB - In this position statement, we define unbefriended older adults as patients who:
(1) lack decisional capacity to provide informed consent to the medical treatment
at hand; (2) have not executed an advance directive that addresses the medical
treatment at hand and lack capacity to do so; and (3) lack family, friends or a
legally authorized surrogate to assist in the medical decision-making process.
Given the vulnerable nature of this population, clinicians, health care teams,
ethics committees and other stakeholders working with unbefriended older adults
must be diligent when formulating treatment decisions on their behalf. The
process of arriving at a treatment decision for an unbefriended older adult
should be conducted according to standards of procedural fairness and include
capacity assessment, a search for potentially unidentified surrogate decision
makers (including non-traditional surrogates) and a team-based effort to
ascertain the unbefriended older adult's preferences by synthesizing all
available evidence. A concerted national effort is needed to help reduce the
significant state-to-state variability in legal approaches to unbefriended
patients. Proactive efforts are also needed to identify older adults, including
"adult orphans," at risk for becoming unbefriended and to develop alternative
approaches to medical decision making for unbefriended older adults. This
document updates the 1996 AGS position statement on unbefriended older adults.
PMID- 27874180
TI - Passive Drip Stain Formation Dynamics of Blood onto Hard Surfaces and Comparison
with Simple Fluids for Blood Substitute Development and Assessment.
AB - The spreading dynamics of blood dripping onto hard surfaces is compared to two
spreading models. Samples of human blood, porcine blood, and Millipore(r) water
were dripped onto cardboard, foamcore, and glass surfaces in low velocity passive
drip simulations. Final stain diameter, the total number of spines and scallops,
and angle of impact were measured and analyzed. Spreading is best predicted by
applying the concept of effective viscosity to the Scheller and Bousfield (R2 =
0.91) and Roisman (R2 = 0.89) spreading models. In the tested conditions, blood
spreads with Newtonian tendencies; however, has quantifiable differences in stain
appearance to Newtonian fluids like water. This is encouraging for the
development of water-based fluids as synthetic blood substitutes (SBSs). The work
presents an assessment platform to quantify and score the performance of simple
water-based fluids using final stain diameter (6 points) and number of spines and
scallops (6 points) at six dripping heights between 20 and 120 cm. The angle of
impact of a stain alone is not a sensitive measure of SBS performance, but stain
formation scores the SBS's performance with another 1 point. Together these
features generate a quantitative relative ranking system, of a maximum possible
13 points, that can be used to support the use of a particular fluid for the
creation of a drip stain. The performance of twenty simple fluids in the
simulated dripping assessment test is described.
PMID- 27874182
TI - Synthesis and Analysis of Glucuronic Acid-Conjugated Metabolites of 4-Bromo-2,5
Dimethoxyphenethylamine.
AB - In the study reported here, two glucuronic acid-conjugated metabolites of 4-bromo
2,5-dimethoxyphenethylamine (2C-B)-a ring-substituted psychoactive phenethylamine
were chemically synthesized for the first time and a method for analyzing them in
urine was developed. beta-D-Glucuronide of 4-bromo-2,5
dimethoxyphenylethylalcohol was successfully synthesized using methyl 2,3,4-tri
Omicron-acetyl-1-O-(trichloroacetimidoyl)-alpha-D-glucuronate as a glucuronyl
donor and boron trifluoride diethylether complex as a Lewis acid catalyst. beta-D
Glucuronide of 4-bromo-2,5-dimethoxyphenylacetic acid was synthesized by
condensing 4-bromo-2,5-dimethoxyphenylacetic acid and benzyl D-glucuronate
followed by benzyl group deprotection based on catalytic hydrogenation. Two
glucuronic acid-conjugated metabolites of 2C-B in urine were qualitatively and
semiquantitatively evaluated via direct liquid chromatography/mass spectrometry
(LC/MS) analysis of a diluted urine sample. The simple method proposed is
expected to be useful for studying the metabolic fate of 2C-B.
PMID- 27874183
TI - Under Our Nose: The Use of GIS Technology and Case Notes to Focus Search Efforts.
AB - Missing person searches can entail much time, effort, and resources. With
scientific data and techniques increasingly available to law enforcement and
investigations units, can these tools be used to predict likely areas where
persons or remains may be discovered, especially in cases where little to no
information about the disappearance is available? A retrospective study of
seventy-three (73) cases was conducted in Onondaga County, New York, U.S.A., in
order to explore this question. Quantitative (geospatial) and qualitative
(investigator notes) data were utilized to determine whether patterns exist that
may assist in investigations of recent and "cold" missing person cases. Results
showed a majority of cases with relative proximity (<5 miles) between victim last
seen (VLS) and body recovered (BR) locations. Furthermore, investigators' notes
demonstrated repeated descriptors reflecting natural or cultural features
associated with hidden, clandestine provenance (e.g., near bodies of water,
wooded areas). With future external validation of this study, consistent priority
areas may be identified as foci of searches; these priority areas ideally should
be thoroughly checked/cleared before the search zone is expanded.
PMID- 27874184
TI - Antenatal ultrasound features in fetuses with gastroschisis and its prediction in
neonatal outcome.
AB - BACKGROUND: Gastroschisis is the most common major congenital anomaly managed by
paediatric surgeons. The significance of certain associated antenatal ultrasound
features in determining fetal outcome is under discussion. AIM: The study aims to
define if associated antenatal ultrasound features of gastroschisis are useful
prognostic markers for fetal outcome. By establishing the significance of
features, including extra- and intra-abdominal bowel dilatation, stomach
herniation, stomach dilatation, bowel matting, growth restriction, abnormal
umbilical artery (UA) Doppler ultrasounds, and abnormal amniotic fluid volume, it
is hoped clinicians will have enhanced ability to counsel parents about the
likely outcomes for their infant. MATERIALS AND METHODS: Retrospective analysis
of ultrasound images, reports and medical records of 101 pregnancies affected by
fetal gastroschisis managed by the Royal Brisbane and Women's Hospital Maternal
and Fetal Medicine Department over a 13 and a half year period was performed. The
presence of ultrasound features during antenatal surveillance corresponded to
fetal outcome measures, including the diagnosis of postnatal complex
gastroschisis, the number of operations required, length of parenteral feeding
and length of stay in neonatal intensive care. RESULTS: The only statistically
significant predictor of complex cases of gastroschisis was extra-abdominal bowel
dilatation. Although a statistically significant feature, approximately three
quarters (44/59) of all infants with gastroschisis with associated extra
abdominal bowel dilatation had simple gastroschisis. CONCLUSIONS: Extra-abdominal
bowel dilatation is a statistically significant marker of complex gastroschisis
and associated morbidity. Its presence in infants who had uncomplicated outcomes,
suggests that clinically, its usefulness in antenatal counselling is still
debatable.
PMID- 27874185
TI - Translating Delirium Prevention Strategies for Elderly Adults with Hip Fracture
into Routine Clinical Care: A Pragmatic Clinical Trial.
AB - OBJECTIVES: To compare the feasibility (adherence) and effectiveness (prevalence
of delirium, length of stay, mortality, discharge site) of delirium-friendly
preprinted postoperative orders (PPOs) for individuals with hip fracture,
administered by regular orthopedic nurses, with routine postoperative orders.
DESIGN: Pragmatic clinical trial to evaluate a quality improvement intervention.
SETTING: Tertiary care hospital. PARTICIPANTS: Individuals aged 65 and older
admitted for hip fracture repair (N = 283). INTERVENTION: PPOs with delirium
friendly options and doses for nighttime sedation, analgesia, and nausea and
attention to catheter removal and bowel movements. MEASUREMENTS: Adherence to PPO
was compared with adherence to routine orders. Drug doses were recorded. Presence
of delirium was documented using the Confusion Assessment Method and the Mini
Mental State Examination on postoperative Days 1, 3, and 5. Length of stay,
discharge site, and in-hospital mortality were recorded. RESULTS: Orthopedic
nurses adhered reasonably well with delirium-friendly PPOs. Of 283 participants,
42% developed postoperative delirium, with significantly less delirium in the
intervention group (intervention 33%, control 51%, P = .001). The effect of the
intervention was stronger in individuals with preexisting dementia (intervention
60%, control 97%, P < .001). Participants with postoperative delirium had longer
hospital stays and were more likely to die or be discharged to a nursing home,
but there was no significant between-group difference in these outcomes.
CONCLUSION: It is possible to introduce delirium-friendly PPOs into routine post
hip fracture care in a representative elderly population including individuals
with dementia. Delirium-friendly PPOs executed by regular nursing staff resulted
in a significant reduction in postoperative delirium but no difference in other
outcomes.
PMID- 27874186
TI - Lessons Learned from Autopsying an Unidentified Body with Iodine-125 Seeds
Implanted for Prostate Brachytherapy.
AB - We report here lessons learned from an autopsy case involving radioactive
materials. We performed an autopsy of an unidentified mummified man with no
available medical history whom from imaging findings we suspected had received
radioactive seed implants for prostate brachytherapy. We returned the excised
prostate and seeds to the body. A few days later, the body was identified by DNA
matching and cremated. According to the man's medical record, he had undergone
iodine-125 seeds implantation for prostate cancer 11 months earlier. We should
have removed the radioactive seeds from the body to prevent radiation exposure to
the bereaved family and/or environmental pollution due to cremation.
Surprisingly, one seed was found in the stored prostate specimen. Forensic
experts should be cognizant of the risk of both radiation exposure in the autopsy
room and environmental pollution. We must remain abreast of the latest advances
in medicine.
PMID- 27874187
TI - Performance of a new HPV and biomarker assay in the management of hrHPV positive
women: Subanalysis of the ongoing multicenter TRACE clinical trial (n > 6,000) to
evaluate POU4F3 methylation as a potential biomarker of cervical precancer and
cancer.
AB - The ongoing Triage and Risk Assessment of Cervical Precancer by Epigenetic
Biomarker (TRACE) prospective, multicenter study aimed to provide a clinical
evaluation of the CONFIDENCETM assay, which comprises a human papillomavirus
(HPV) DNA and a human epigenetic biomarker test. Between 2013 and 2015 over 6,000
women aged 18 or older were recruited in Hungary. Liquid-based cytology (LBC),
high-risk HPV (hrHPV) DNA detection and single target host gene methylation test
of the promoter sequence of the POU4F3 gene by quantitative methylation-specific
polymerase chain reaction (PCR) were performed from the same liquid-based
cytology sample. The current analysis is focused on the baseline cross-sectional
clinical results of 5,384 LBC samples collected from subjects aged 25 years or
older. The performance of the CONFIDENCE HPVTM test was found to be comparable to
the cobas(r) HPV test with good agreement. When applying the CONFIDENCE MarkerTM
test alone in hrHPV positives, it showed significantly higher sensitivity with
matching specificity compared to LBC-based triage. For CIN3+ histological
endpoint in the age group of 25-65 and 30-65, the methylation test of POU4F3
achieved relative sensitivities of 1.74 (95% CI: 1.25-2.33) and 1.64 (95% CI:
1.08-2.27), respectively, after verification bias adjustment. On the basis of our
findings, POU4F3 methylation as a triage test of hrHPV positives appears to be a
noteworthy method. We can reasonably assume that its quantitative nature offers
the potential for a more objective and discriminative risk assessment tool in the
prevention and diagnostics of high-grade cervical intraepithelial neoplasia (CIN)
lesions and cervical cancer.
PMID- 27874188
TI - Clinically Detectable Dental Identifiers Observed in Intra-oral Photographs and
Extra-oral Radiographs, Validated for Human Identification Purposes.
AB - Screening the prevalence and pattern of dental identifiers contributes toward the
process of human identification. This research investigated the uniqueness of
clinical dental identifiers in photographs and radiographs. Panoramic and lateral
cephalometric radiographs and five intra-oral photographs of 1727 subjects were
used. In a target set, two observers examined different subjects. In a subset,
both observers examined the same subjects (source set). The distance between
source and target subjects was quantified for each identifier. The percentage of
subjects in the target set being at least as close as the correct subject was
assessed. The number of molars (34.6%), missing teeth (42%), and displaced teeth
(59.9%) were the most unique identifiers in photographs and panoramic and lateral
cephalometric radiographs, respectively. The pattern of rotated teeth (14.9%) was
the most unique in photographs, while displaced teeth was in panoramic (37.6%)
and lateral cephalometric (54.8%) radiographs. Morphological identifiers were the
most unique, highlighting their importance for human identifications.
PMID- 27874189
TI - Incapacity of the Mind Secondary to Medication Misuse as a Not Criminally
Responsible Defense.
AB - The manifestations of disorders of the mind may play a role in the occurrence of
criminal behavior. In the majority of the cases, the presence of a psychiatric
disorder is cited as the reason that an individual was not fully aware of his
behavior. However, other conditions, such as seizure disorders or hypoglycemia,
have also been linked to an inability to understand the nature and consequences
of one's actions. On occasion, these situations can be explained by a state of
automatism that may be described as insane or noninsane. In this article, we
describe the case of a 77-year-old man, suffering from Parkinson's disease, where
the issue of criminal responsibility associated with incapacity of the mind
secondary to medication misuse was raised. We elaborate on the thinking behind
this opinion and the implications according to Canadian law. Although the legal
outcome of this case is specific to our jurisdiction, the clinical implication
may be common to any patient suffering from a similar condition and may inform
physicians, families, and lawyers.
PMID- 27874190
TI - The Value of Outsourcing Selected Cases in a Medical Examiner Population: A 10
Year Experience.
AB - Due to increasing caseloads and inadequate staffing, the burden on
Coroner/Medical Examiner Offices to comply with recommended autopsy limits for
forensic pathologists (FPs) has been difficult. Since 2006, pathologists at the
University of Alabama at Birmingham have performed select autopsies for the
Alabama Department of Forensic Sciences. Each case was reviewed by a state FP and
scene investigator to determine appropriateness for referral. All referred cases
received full postmortem examination including microscopic examination and
collection of toxicological samples, and toxicology was ordered by the referring
FP as appropriate. The final cause and manner of death were determined by the
referring state FP after review of all findings. A majority of the 421 cases were
ruled accidental deaths (233), most due to drug toxicity. Of the 178 natural
deaths, 118 were attributed to cardiovascular disease. Outsourcing select
forensic cases can be educational and an effective tool to manage workflow
without compromising quality.
PMID- 27874191
TI - Nitrate and Nitrite Determination in Gunshot Residue Samples by Capillary
Electrophoresis in Acidic Run Buffer.
AB - Simultaneous determination of nitrate and nitrite in gunshot residue has been
conducted by capillary electrophoresis using an acidic run buffer (pH 3.5). In
previously developed capillary electrophoretic methods, alkaline pH separation
buffers were used where nitrite and nitrate possess similar electrophoretic
mobility. In this study, the electroosmotic flow has been reversed by using low
pH running buffer without any additives. As a result of reversing the
electroosmotic flow, very fast analysis has been actualized, well-defined and
separated ion peaks emerge in less than 4 min. Besides, the limit of detection
was improved by employing large volume sample stacking. Limit of detection values
were 6.7 and 4.3 MUM for nitrate and nitrite, respectively. In traditional
procedure, mechanical agitation is employed for extraction, while in this work
the extraction efficiency of ultrasound mixing for 30 min was found sufficient.
The proposed method was successfully applied to authentic gunshot residue
samples.
PMID- 27874192
TI - Comparing Methods to Assess Intraobserver Measurement Error of 3D Craniofacial
Landmarks Using Geometric Morphometrics Through a Digitizer Arm.
AB - Intraobserver error (INTRA-OE) is the difference between repeated measurements of
the same variable made by the same observer. The objective of this work was to
evaluate INTRA-OE from 3D landmarks registered with a Microscribe, in different
datasets: (A) the 3D coordinates, (B) linear measurements calculated from A, and
(C) the six-first principal component axes. INTRA-OE was analyzed by digitizing
42 landmarks from 23 skulls in three events two weeks apart from each other.
Systematic error was tested through repeated measures ANOVA (ANOVA-RM), while
random error through intraclass correlation coefficient. Results showed that the
largest differences between the three observations were found in the first
dataset. Some anatomical points like nasion, ectoconchion, temporosphenoparietal,
asterion, and temporomandibular presented the highest INTRA-OE. In the second
dataset, local distances had higher INTRA-OE than global distances while the
third dataset showed the lowest INTRA-OE.
PMID- 27874193
TI - ALK oncoproteins in atypical inflammatory myofibroblastic tumours: novel RRBP1
ALK fusions in epithelioid inflammatory myofibroblastic sarcoma.
AB - ALK oncogenic activation mechanisms were characterized in four conventional
spindle-cell inflammatory myofibroblastic tumours (IMT) and five atypical IMT,
each of which had ALK genomic perturbations. Constitutively activated ALK
oncoproteins were purified by ALK immunoprecipitation and electrophoresis, and
were characterized by mass spectrometry. The four conventional IMT had TPM3/4-ALK
fusions (two cases) or DCTN1-ALK fusions (two cases), whereas two atypical
spindle-cell IMT had TFG-ALK and TPM3-ALK fusion in one case each, and three
epithelioid inflammatory myofibroblastic sarcomas had RANBP2-ALK fusions in two
cases, and a novel RRBP1-ALK fusion in one case. The epithelioid inflammatory
myofibroblastic sarcoma with RRBP1-ALK fusion had cytoplasmic ALK expression with
perinuclear accentuation, different from the nuclear membranous ALK localization
in epithelioid inflammatory myofibroblastic sarcomas with RANBP2-ALK fusions.
Evaluation of three additional uncharacterized epithelioid inflammatory
myofibroblastic sarcomas with ALK cytoplasmic/perinuclear- accentuation
expression demonstrated RRBP1-ALK fusion in two cases. These studies show that
atypical spindle-cell IMT can utilize the same ALK fusion mechanisms described
previously in conventional IMT, whereas in clinically aggressive epithelioid
inflammatory myofibroblastic sarcoma we identify a novel recurrent ALK oncogenic
mechanism, resulting from fusion with the RRBP1 gene. Copyright (c) 2016
Pathological Society of Great Britain and Ireland. Published by John Wiley &
Sons, Ltd.
PMID- 27874196
TI - Prenatal diagnosis of upper extremity malformations with ultrasonography:
Diagnostic features and perinatal outcome.
AB - OBJECTIVE: The aim of this study was to assess the sonographic (US)
characteristics, associated malformations, chromosomal status, and perinatal
outcomes of fetuses with an upper extremity malformation (UEM) detected by US
examination. METHODS: The data of all patients evaluated in the Department of
Obstetrics and Gynecology, Prenatal Diagnosis Unit between 2010 and 2015 were
searched for UEM. RESULTS: A total of 51 cases with UEM were detected. Eighteen
cases (35.2%) had defects in the formation of body parts (absence of
hand/forearm/digits); 25 cases (49%) had defects in differentiation
(contractures, syndactyly), and 8 cases (15.6%) had duplication defects
(polydactyly). The specificity of prenatal US for UEM was 96.2%. Ten cases
(19.7%) had isolated UEM, and 41 cases (80.3%) had additional anomalies, most of
which were cardiac, central nervous system, or facial malformations. Although
chromosomal structure in isolated cases was normal in 9 of 10 cases (90%), 15 of
41 cases (36.5%) with multiple defects showed abnormal karyotypes. The
chromosomal constituents of nine cases (17.6%) were not available. Although the
postnatal outcome of isolated cases was favorable except for the presence of
orthopedic problems, complex UEMs with or without abnormal karyotypes were always
lethal (97.5%). CONCLUSIONS: UEMs associated with other malformations are usually
a sign of underlying severe chromosomal abnormalities, and the prognosis is poor.
In contrast, chromosomal structure in isolated cases is normal, and the perinatal
and postnatal outcomes are good. In general, US is an effective tool in
differentiating fetuses with isolated UEM from those with UEM associated with
additional malformations. (c) 2016 Wiley Periodicals, Inc. J Clin Ultrasound
45:267-276, 2017.
PMID- 27874195
TI - Double peak sensory nerve action potentials to single stimuli in nerve conduction
studies.
AB - INTRODUCTION: In humans, sensory nerve action potentials (SNAPs) can show 2
separate deflections, i.e., double peak potentials (DPp), which necessarily means
that 1 peak is delayed with respect to the other. DPps may have various origins
and be due to either physical or physiological properties. METHODS: We review the
nature of commonly encountered DPps in clinical practice, provide the most likely
interpretations for their physiological origin, and assess their reproducibility
and clinical utility. RESULTS: We classified the DPps into 3 categories: (1)
simultaneous anodal and cathodal stimulation. (2) simultaneous recording from 2
different nerves at the same site, and (3) SNAP desynchronization. CONCLUSIONS:
Although the recording of DPps is not a standardized neurophysiological method,
their study brings interesting cues about the physiology of nerve stimulation and
paves the way for clinical application of such an observation. Muscle Nerve 55:
619-625, 2017.
PMID- 27874197
TI - Regional Gradients in Institutional Cesarean Delivery Rates: Evidence from Five
Countries in Asia.
AB - BACKGROUND: Although the influence of the type of institutional setting on the
risk of cesarean birth is well documented, less is known about the regional
variations in institution-specific cesarean rates within countries. Our purpose
was to examine regional variations in cesarean rates across public and private
facilities in five Asian countries with a sizeable private sector: Bangladesh,
India, Indonesia, Pakistan, and the Philippines. METHODS: Demographic Health
Survey data and a hierarchical model were used to assess regional variations in
the mode of delivery while controlling for a wide range of socioeconomic,
demographic, and maternal risk factors. RESULTS: The risk of cesarean birth was
greater in a private facility than in a government hospital by 36-48 percent in
India and Indonesia and by 130 percent in Bangladesh. Regional gradients in
cesarean birth were found to be steeper for deliveries in private facilities than
in government hospitals in India, Indonesia, and the Philippines. The residents
of India's high-use states were 55 percent more likely to undergo a cesarean
delivery in a government hospital and 83 percent more likely in a private
facility than their counterparts in the medium-use states. Similarly, compared to
the residents of the Philippines's medium-use provinces, giving birth in a
government facility increased the likelihood of a cesarean delivery by 84 percent
and by 173 percent in a private facility. CONCLUSIONS: Large regional variations
in cesarean rates suggest the need for more informed clinical decision making
with respect to the selection of cases for cesarean delivery and the
establishment of well-developed guidelines and standards at the provincial or
state levels.
PMID- 27874194
TI - Slow Gait Speed and Risk of Long-Term Nursing Home Residence in Older Women,
Adjusting for Competing Risk of Mortality: Results from the Study of Osteoporotic
Fractures.
AB - OBJECTIVES: To determine whether slow gait speed increases the risk of costly
long-term nursing home residence when accounting for death as a competing risk
remains unknown. DESIGN: Longitudinal cohort study using proportional hazards
models to predict long-term nursing home residence and subdistribution models
with death as a competing risk. SETTING: Community-based prospective cohort
study. PARTICIPANTS: Older women (mean age 76.3) participating in the Study of
Osteoporotic Fractures who were also enrolled in Medicare fee-for-service plans
(N = 3,755). MEASUREMENTS: Gait speed was measured on a straight 6-m course and
averaged over two trials. Long-term nursing home residence was defined using a
validated algorithm based on Medicare Part B claims for nursing home-related
care. RESULTS: Participants were followed until long-term nursing home residence,
disenrollment from Medicare plan, death, or December 31, 2010. Over the follow-up
period (median 11 years), 881 participants (23%) experienced long-term nursing
home residence, and 1,013 (27%) died before experiencing this outcome. Slow
walkers (55% of participants with gait speed <1 m/s) were significantly more
likely than fast walkers to reside in a nursing home long-term (adjusted hazards
ratio (aHR) = 1.79, 95% confidence interval (CI) = 1.54-2.09). Associations were
attenuated in subdistribution models (aHR = 1.52, 95% CI = 1.30-1.77) but
remained statistically significant. CONCLUSION: Older community-dwelling women
with slow gait speed are more likely to experience long-term nursing home
residence, as well as mortality without long-term residence. Ignoring the
competing mortality risk may overestimate long-term care needs and costs.
PMID- 27874198
TI - Crataeva nurvala nanoparticles inhibit virulence factors and biofilm formation in
clinical isolates of Pseudomonas aeruginosa.
AB - Green synthesized nanoparticles have gained great attention due to their non
toxic and non-hazardous nature. In the present study, bark extract of the
medicinal plant in Ayurveda Crataeva nurvala (Buch-Ham) (CN) was chosen for the
biosynthesis of silver nanoparticles (AgNPs). These NPs were characterized by
Ultra violet visible spectroscopy, Fourier Transform Infra Red, Atomic Force
Microscopy, and Transmission Electron Microscopy (TEM). The average particle size
of green synthesized CN-AgNPs was 15.2 +/- 1.01 nm. Gas chromatography- mass
spectrometry analysis of methanolic bark extract involved in the formation of CN
AgNPs revealed lupeol as a major active component. In this study, CN-AgNPs (15
MUg ml-1 ) efficiently suppressed the production of quorum sensing mediated
virulence factors viz. pyocyanin, protease, hemolysin, and biofilm formation in
Pseudomonas aeruginosa. The pyocyanin production was strongly inhibited (74.64%)
followed by proteolysis (47.3%) and hemolysin production (47.7%). However, the
biofilm forming ability was maximally reduced up to 79.70%. Moreover, the
Confocal Laser Scanning Microscopic Analysis showed that CN-AgNPs inhibit
colonization of P. aeruginosa on to the surface. Furthermore, TEM analysis
revealed internalization of CN-AgNPs inside the bacterial cell. It is concluded
that green synthesized AgNPs have great potential to inhibit virulence factors
and biofilm forming ability of drug-resistant clinical isolates of P. aeruginosa.
PMID- 27874199
TI - Glucose and lipid levels with lanreotide autogel 120 mg in treatment-naive
patients with acromegaly: data from the PRIMARYS study.
AB - OBJECTIVE: Impaired glycaemic control, characteristic of acromegaly, can be
exacerbated by treatment with somatostatin analogues (SSAs), particularly those
with multireceptor activity. We present data from the PRIMARYS study on the
impact of the SSA lanreotide, associated with tumour volume and hormonal
improvements, on glucose and other metabolic parameters in acromegaly. DESIGN:
PRIMARYS was a 48-week open-label single-arm phase 3b study of lanreotide autogel
120 mg/4 weeks. A priori and post hoc metabolic profile data are reported for the
overall population, patients with/without diabetes and patients achieving/not
achieving hormonal control. PATIENTS: Treatment-naive adults with pituitary
macroadenoma, mean growth hormone >1 MUg/l and elevated insulin-like growth
factor-1 levels (n = 90). MEASUREMENTS: Glycaemic parameters [glycated
haemoglobin (HbA1c ) and fasting plasma glucose (FPG) levels] assessed at
baseline and weeks 12, 24 and 48. Lipid-profile data (triglycerides, total
cholesterol, high-density lipoprotein cholesterol and low-density lipoprotein
cholesterol) collected at baseline and study end. RESULTS: In patients with
diabetes (n = 24), HbA1c showed a clinically relevant decrease during treatment
[mean change from baseline to week 48, -1.44% (95% CI: -2.52, -0.36)]. In the
overall population, in patients without diabetes, or in patients with/without
hormonal control, HbA1c did not significantly change by week 48. Mean FPG levels
showed no significant change by week 48 in all populations. Individually,
increases and decreases in glycaemic parameters affected some patients in all
populations. Glycaemic status as a composite measure of HbA1c and FPG
(classification as normal, mild or diabetic) was stable from baseline to study
end in most patients (overall, 70%; patients with diabetes, 50%; patients without
diabetes, 76%), but worsened by week 48 in nine (15%) patients [seven (50%) with
diabetes at baseline] and improved in nine (15%) patients (none with diabetes).
Changes in lipid profiles were not considered clinically meaningful. CONCLUSIONS:
Glucose and lipid levels were not detrimentally affected in most patients, while
only a relatively small proportion showed deterioration in glucose control.
PMID- 27874200
TI - Two patients with GMPPB mutation: The overlapping phenotypes of limb-girdle
myasthenic syndrome and limb-girdle muscular dystrophy dystroglycanopathy.
AB - INTRODUCTION: Mutations in the guanosine diphosphate-mannose pyrophosphorylase-B
gene (GMPPB) have been identified in congenital muscular dystrophies, limb-girdle
muscular dystrophy (LGMD2T), and congenital myasthenic syndromes (CMSs); overall,
41 patients have been described. METHODS: Two patients presented with a
myasthenic syndrome (patient 1; 74 years old) and rhabdomyolysis (patient 2; 23
years old). Examinations included repetitive nerve stimulation, muscle biopsy and
whole-body MRI (WBMRI); next generation sequencing facilitated diagnosis.
RESULTS: We identified the following GMPPB mutations: c.79G>C/c.859C>T in the 23
year-old man with LGMD2T-phenotype and c.79G>C homozygosity in the 74-year-old
woman with CMS phenotype. WBMRI showed fatty degeneration of paraspinal, thigh
adductor, and calf muscles in patient 1 and edematous changes of the soleus
muscle in patient 2. CONCLUSIONS: This case of c.79G>C homozygosity causing a
mild, late-onset CMS phenotype, confirms the mild nature of this common mutation.
The descriptions of these 2 new GMPPB cases add to the knowledge regarding this
recently discovered, heterogeneous disease. Muscle Nerve 56: 334-340, 2017.
PMID- 27874201
TI - Follicular Psoriasis: Differentiation from Pityriasis Rubra Pilaris-An
Illustrative Case and Review of the Literature.
AB - The follicular presentation of psoriasis is a well-described but uncommon
variant. In some cases, follicular psoriasis may clinically and
histopathologically mimic pityriasis rubra pilaris. There are several reports
discussing the resemblance of widespread follicular psoriasis in children to
pityriasis rubra pilaris. We describe a case of follicular psoriasis in a 16-year
old black girl with acrally distributed follicular hyperkeratotic papules with
associated keratoderma of her plantar surfaces resembling pityriasis rubra
pilaris.
PMID- 27874202
TI - Defining pollen exposure times for clinical trials of allergen immunotherapy for
pollen-induced rhinoconjunctivitis - an EAACI position paper.
AB - BACKGROUND: Clinical efficacy of pollen allergen immunotherapy (AIT) has been
broadly documented in randomized controlled trials. The underlying clinical
endpoints are analysed in seasonal time periods predefined based on the
background pollen concentration. However, any validated or generally accepted
definition from academia or regulatory authorities for this relevant pollen
exposure intensity or period of time (season) is currently not available.
Therefore, this Task Force initiative of the European Academy of Allergy and
Clinical Immunology (EAACI) aimed to propose definitions based on expert
consensus. METHODS: A Task Force of the Immunotherapy and Aerobiology and
Pollution Interest Groups of the EAACI reviewed the literature on pollen exposure
in the context of defining relevant time intervals for evaluation of efficacy in
AIT trials. Underlying principles in measuring pollen exposure and associated
methodological problems and limitations were considered to achieve a consensus.
RESULTS: The Task Force achieved a comprehensive position in defining pollen
exposure times for different pollen types. Definitions are presented for 'pollen
season', 'high pollen season' (or 'peak pollen period') and 'high pollen days'.
CONCLUSION: This EAACI position paper provides definitions of pollen exposures
for different pollen types for use in AIT trials. Their validity as standards
remains to be tested in future studies.
PMID- 27874203
TI - Multifocal Congenital Hemangiopericytoma.
AB - Congenital hemangiopericytoma (HPC) is a rare mesenchymal tumor with less
aggressive behavior and a more favorable prognosis than similar tumors in adults.
Multifocal presentation is even less common than isolated HPC and hence its
clinical and histologic recognition may be challenging. A newborn infant with
multifocal congenital HPC causing severe deformity but with a favorable outcome
after chemotherapy and surgical removal is reported.
PMID- 27874204
TI - Field-testing the new anaphylaxis' classification for the WHO International
Classification of Diseases-11 revision.
AB - BACKGROUND: To consolidate the new classification model addressed to the allergic
and hypersensitivity conditions according to the International Classification of
Diseases (ICD)-11 revision timeline, we here propose real-life application of
quality assurance methodology to evaluate sensitivity and accuracy of the
'Anaphylaxis' subsection. METHODS: We applied field-testing methodology by
analysing all the consecutive inpatients' files documented as allergies from the
University Hospital of Montpellier electronic database for the period of 1 year.
The files clinically validated as being anaphylaxis were manually blind-coded
under ICD-10 and current ICD-11 beta draft. The correspondence of coding and the
impressions regarding sensibility were evaluated. RESULTS: From all 2318 files
related to allergic or hypersensitivity conditions, 673 had some of the
anaphylaxis ICD-10 codes; 309 files (46%) from 209 patients had anaphylaxis and
allergic or hypersensitivity comorbidities description. The correspondence
between the two coders was perfect for 162 codes from all 309 entities (52.4%)
(Cohen-kappa value 0.63) with the ICD-10 and for 221 codes (71.5%) (Cohen-kappa
value 0.77) with the ICD-11. There was a high agreement regarding sensibility of
the ICD-11 usability (Cohen-kappa value 0.75). CONCLUSION: We here propose the
first attempt of real-life application to validate the new ICD-11 'Anaphylaxis'
subsection. Clearer was the improvement in accuracy reaching 71.5% of agreement
when ICD-11 was used. By allowing all the relevant diagnostic terms for
anaphylaxis to be included into the ICD-11 framework, WHO has recognized their
importance not only to clinicians but also to epidemiologists, statisticians,
healthcare planners and other stakeholders.
PMID- 27874205
TI - Blau Syndrome: A Systemic Granulomatous Disease of Cutaneous Onset and Phenotypic
Complexity.
PMID- 27874206
TI - Spitz Nevi and Other Spitzoid Neoplasms in Children: Overview of Incidence Data
and Diagnostic Criteria.
AB - Spitz nevi are benign melanocytic neoplasms characterized by epithelioid or
spindle melanocytes or both. In some rare cases their presentation overlaps with
the clinical and histopathologic features of malignant melanoma, so a
differential diagnosis can be difficult to make. Intermediate forms between Spitz
nevi and malignant melanoma, with unpredictable behavior, have been called
atypical Spitz tumors. A literature search was performed to review the clinical,
dermoscopic, genetic, and histopathologic aspects of spitzoid tumors. Spitz nevi
mainly occur in children, with no predilection for sex, and in young women.
Common sites are the head and lower arms, where Spitz nevi present as pink
nodules or hyperpigmented plaques. Spitzoid lesions may have diverse dermoscopic
patterns: vascular, starburst, globular, atypical, reticular, negative
homogeneous, or targetoid. The management of spitzoid lesions can be invasive or
conservative; surgical excision is usually reserved for those with doubtful
features, whereas clinical and dermoscopic follow-up is preferred for typical
pediatric Spitz nevi. The role of sentinel lymph node biopsy in atypical Spitz
tumors is debated. Immunohistochemistry and new molecular techniques such as
comparative genomic hybridization, polymerase chain reaction, and fluorescence in
situ hybridization offer new diagnostic perspectives, investigating genetic
alterations that are specific for malignant melanoma or for Spitz nevi.
PMID- 27874207
TI - Congenital high airway obstruction syndrome (CHAOS) associated with cervical
myelomeningocele.
AB - Congenital high airway obstruction syndrome (CHAOS) is a rare and potentially
fatal entity resulting from complete or near complete developmental airway
obstruction. Although most reported cases of CHAOS are sporadic, the condition
may also be associated with certain syndromes and a variety of cervical masses.
Meningocele and myelomeningocele have not yet been reported in association with
CHAOS. We describe the typical constellation of sonographic findings in a case of
early diagnosis of CHAOS associated with cervical myelomeningocele. (c) 2016
Wiley Periodicals, Inc. J Clin Ultrasound 45:507-510, 2017.
PMID- 27874208
TI - Steady-state protein focusing in carrier ampholyte based isoelectric focusing:
Part I-Analytical solution.
AB - The determination of an analytical solution to find the steady-state protein
concentration distribution in IEF is very challenging due to the nonlinear
coupling between mass and charge conservation equations. In this study,
approximate analytical solutions are obtained for steady-state protein
distribution in carrier ampholyte based IEF. Similar to the work of Svensson, the
final concentration profile for proteins is assumed to be Gaussian, but
appropriate expressions are presented in order to obtain the effective electric
field and pH gradient in the focused protein band region. Analytical results are
found from iterative solutions of a system of coupled algebraic equations using
only several iterations for IEF separation of three plasma proteins: albumin,
cardiac troponin I, and hemoglobin. The analytical results are compared with
numerically predicted results for IEF, showing excellent agreement. Analytically
obtained electric field and ionic conductivity distributions show significant
deviation from their nominal values, which is essential in finding the protein
focusing behavior at isoelectric points. These analytical solutions can be used
to determine steady-state protein concentration distribution for experiment
design of IEF considering any number of proteins and ampholytes. Moreover, the
model presented herein can be used to find the conductivity, electric field, and
pH field.
PMID- 27874209
TI - Airway eosinophil migration into lymph nodes in mice depends on leukotriene C4.
AB - BACKGROUND: We previously demonstrated in mice that airway eosinophils traffic
from the airway lumen into lung-draining paratracheal lymph nodes. However,
mechanisms whereby eosinophils traverse from the lungs and home to paratracheal
lymph nodes remain unclear. We investigated roles of cysteinyl leukotrienes in
mediating eosinophil trafficking from lungs to paratracheal lymph nodes. METHODS:
The expression of CCR7 was determined by flow cytometry. Transwell assays were
used to test chemotactic responses of leukotriene C4 synthase-deficient and
control airway eosinophils to the chemokine CCL19 ex vivo. Eosinophils from the
spleens of IL-5 transgenic mice, fluorescently labeled ex vivo, were
intratracheally injected into ovalbumin-sensitized and ovalbumin aerosol
challenged leukotriene C4 synthase-deficient and control mice. Eosinophils were
identified by microscopy and flow cytometry in the lungs and paratracheal lymph
nodes. RESULTS: Mouse eosinophils expressed CCR7, the receptor for CCL19, and
responded chemotactically to CCL19. Leukotriene C4 synthase-deficient eosinophils
exhibited impaired chemotaxis to CCL19 that was restored by exogenous leukotriene
C4 . The migration of intratracheally injected eosinophils into paratracheal
lymph nodes from distal alveolar lung was diminished in leukotriene C4 synthase
deficient mice compared with wild-type mice, with increased retention of
eosinophils in the lungs of leukotriene C4 synthase-deficient mice. Exogenous
administration of leukotriene C4 restored trafficking of eosinophils to
paratracheal lymph nodes in leukotriene C4 synthase-deficient mice. CONCLUSIONS:
Our findings that cysteinyl leukotrienes are involved in regulating airway and
lung eosinophil migration into paratracheal lymph nodes identify previously
unrecognized roles for the cysteinyl leukotrienes in regulating the pulmonary
trafficking of eosinophils in experimental allergic asthma.
PMID- 27874211
TI - Characteristics of volatile organic compounds produced from five pathogenic
bacteria by headspace-solid phase micro-extraction/gas chromatography-mass
spectrometry.
AB - The characteristics of volatile compounds from five different bacterial species,
Escherichia coli O157:H7, Salmonella Enteritidis, Shigella flexneri,
Staphylococcus aureus, and Listeria monocytogenes, growing, respectively, in
trypticase soy broth were monitored by headspace solid-phase micro-extraction/gas
chromatography-mass spectrometry. The results showed that most volatile organic
compounds (VOCs) of five pathogens started to increase after the sixth to tenth
hour. Methyl ketones and long chain alcohols were representative volatiles for
three Gram-negative bacteria. The especially high production of indole was
characterized to E. coli O157:H7. The production of 3-hydroxy-2-butanone was
indicative of the presence of two Gram-positive bacteria. Both 3-methyl-butanoic
acid and 3-methyl-butanal were unique biomarkers for S. aureus. The population
dynamics of individual pathogen could be monitored using the accumulation of VOCs
correlated with its growth. And these five pathogens could be distinguishable
though principle component analysis of 18 volatile metabolites. Moreover, the
mixed culture of S. aureus and E. coli O157:H7 was also investigated. The levels
of 3-methyl-butanal and 3-methyl-butanoic acid were largely reduced; while the
level of indole almost unchanged and correlated with E. coli O157:H7 growth very
well. The characteristics of volatiles from the five foodborne pathogens could
lay a fundamental basis for further research into pathogen contamination control
by detecting volatile signatures of pathogens.
PMID- 27874210
TI - Cost effectiveness of using cognitive screening tests for detecting dementia and
mild cognitive impairment in primary care.
AB - INTRODUCTION: We estimated the cost effectiveness of different cognitive
screening tests for use by General Practitioners (GPs) to detect cognitive
impairment in England. METHODS: A patient-level cost-effectiveness model was
developed using a simulated cohort that represents the elderly population in
England (65 years and older). Each patient was followed over a lifetime period.
Data from published sources were used to populate the model. The costs include
government funded health and social care, private social care and informal care.
Patient health benefit was measured and valued in Quality Adjusted Life Years
(QALYs). RESULTS: Base-case analyses found that adopting any of the three
cognitive tests (Mini-Mental State Examination, 6-Item Cognitive Impairment Test
or GPCOG (General Practitioner Assessment of Cognition)) delivered more QALYs for
patients over their lifetime and made savings across sectors including
healthcare, social care and informal care compared with GP unassisted judgement.
The benefits were due to early access to medications. Among the three cognitive
tests, adopting the GPCOG was considered the most cost-effective option with the
highest Incremental Net Benefit (INB) at the threshold of L30 000 per QALY from
both the National Health Service and Personal Social Service (NHS PSS)
perspective (L195 034 per 1000 patients) and the broader perspective that
includes private social care and informal care (L196 251 per 1000 patients).
Uncertainty was assessed in both deterministic and probabilistic sensitivity
analyses. CONCLUSIONS: Our analyses indicate that the use of any of the three
cognitive tests could be considered a cost-effective strategy compared with GP
unassisted judgement. The most cost-effective option in the base-case was the
GPCOG. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27874213
TI - Questioning the Clinical Utility of Exome Sequencing in Developing Countries.
AB - The availability of whole-exome sequencing has revolutionized the study of
genetic disease in recent years, particularly in dermatology, where clinical
phenotypes are readily recognized. As this technology becomes increasingly
affordable and accessible, questions are emerging regarding the clinical and
ethical responsibilities of physicians who determine variants underlying disease,
especially with regard to children, for whom treatment may be warranted and
clinical course improved based on a known genotype. These responsibilities are
accentuated in the developing countries, which harbor most consanguineous
populations and thus bear the brunt of monogenic genodermatoses. Although many
genetic disorders are identified in these populations, limited educational and
clinical infrastructure rarely offers opportunities to improve the course of
disease. Here we report a genetic study that illustrates these challenges.
PMID- 27874212
TI - Dorsal column myelopathy after intrathecal chemotherapy for leukemia.
AB - Intrathecal chemotherapy with methotrexate, a folate antagonist, is widely used
to treat central nervous system malignancies. The mechanisms underlying
methotrexate-induced neurotoxicity are unclear but may be related to increased
homocysteine levels. Intrathecal methotrexate-induced myelopathy mimicking
subacute combined degeneration, with normal B12 levels, has been documented. We
examined treatment and magnetic resonance imaging (MRI) characteristics of 13
patients with leukemia who received intrathecal methotrexate and developed
urinary and bowel incontinence, ascending motor weakness, and sensory loss with
dorsal column hyperintensity on MRI between 2000 and 2016. Cerebrospinal fluid
evaluation was negative for leukemia in all patients and positive for elevated
protein in 12 patients. Seven of eight patients with available data had reduced
serum folate, increased serum homocysteine, or both, implicating methotrexate as
the cause of neurotoxicity. Autopsy of one patient revealed loss of myelinated
axons in the posterior columns. These findings suggest that methotrexate
neurotoxicity may be mediated by folate antagonism. Awareness and a high index of
suspicion of these characteristic clinical and radiographic features in patients
who develop myelopathy after intrathecal methotrexate may help to avoid
additional neurotoxic therapy in such patients.
PMID- 27874214
TI - Surfactant-Free Aqueous Synthesis of Pure Single-Crystalline SnSe Nanosheet
Clusters as Anode for High Energy- and Power-Density Sodium-Ion Batteries.
AB - SnSe with 3D hierarchical nanostructure composed of interconnected single-crystal
SnSe nanosheets is synthesized via a fast and effective strategy. Unexpectedly,
when used as the anode material for Na-ion batteries (NIBs), the SnSe exhibits a
high capacity (738 mA h g-1 ), superior rate capability (40 A g-1 ), and high
energy density in a full cell. These results provide the possibility of SnSe use
as NIBs anodes.
PMID- 27874215
TI - Predicting Follow-up Outcomes in Emotionally Focused Couple Therapy: The Role of
Change in Trust, Relationship-Specific Attachment, and Emotional Engagement.
AB - Emotionally Focused Couple Therapy (EFT), an evidence-based couple therapy
(Johnson, Hunsley, Greenberg, & Schindler, 1999), strives to foster lasting
change through the creation of secure attachment bonds in distressed couples.
Although studies have demonstrated lasting change in follow-up (Wiebe et al., in
press), research is needed to investigate predictors of long-term outcomes. Our
goal was to investigate predictors of long-term outcomes in relationship
satisfaction. Relationship satisfaction was assessed across 24 months in a sample
of 32 couples who received an average of 21 EFT sessions. Decreases in attachment
avoidance were most predictive of higher relationship satisfaction across follow
up. These findings support the theoretical assumption that EFT helps couples
foster lasting change in relationship satisfaction through the facilitation of
secure attachment bonds.
PMID- 27874216
TI - Complementary and Alternative Therapies Used by Patients of Pediatric Dermatology
Outpatient Clinics in Turkey: A Multicenter Study.
AB - BACKGROUND: The aim of this study was to determine the frequency of complementary
and alternative medicine (CAM) methods used in children and adolescents with
chronic skin diseases, which methods parents prefer, and to what extent these
methods are useful. MATERIAL AND METHODS: This cross-sectional study was
conducted between June and November 2014 in patients with chronic skin diseases
attending the outpatient pediatric dermatology clinics of three hospitals in
Turkey. Data were collected using self-administered questionnaires from the
parents of the patients. RESULTS: A total of 307 patients were included in the
study, 168 (54.7%) of whom were female and 139 (45.3%) male. The mean age was
12.3 +/- 4.3 years. Eighty of the children (26.1%) had used CAM methods in the
previous year. Longer disease duration and having a father with at least a
university degree were highly associated with CAM use (p < 0.05). The most used
method was herbal remedies available at home. Most patients used CAM methods to
support the primary treatment given by their dermatologists. Only eight CAM users
(2.6%) reported any side effects. Thirty-nine (48.8%) did not mention CAM use to
their doctors. The overall satisfaction rate was 4.5 +/- 3.1 on a 10-point rating
scale. CONCLUSION: CAM use rates are high in children with chronic skin problems,
and parents generally prefer herbal treatments. Satisfaction with the results of
CAM use is moderate. Parents generally do not share CAM use information with
their doctors unless they are asked.
PMID- 27874217
TI - Atomically Thin Hexagonal Boron Nitride Nanofilm for Cu Protection: The
Importance of Film Perfection.
AB - Outstanding protection of Cu by high-quality boron nitride nanofilm (BNNF) 1-2
atomic layers thick in salt water is observed, while defective BNNF accelerates
the reaction of Cu toward water. The chemical stability, insulating nature, and
impermeability of ions through the BN hexagons render BNNF a great choice for
atomic-scale protection.
PMID- 27874218
TI - Supplementation of distiller's grains during late gestation in beef cows
consuming low-quality forage decreases uterine, but not mammary, blood flow.
AB - Positive effects have been observed in offspring from beef cows supplemented with
corn dried distillers grain with solubles (DDGS) during late gestation. The
hypothesis of this study was that late gestational DDGS supplementation to beef
cows would increase blood flow (BF) to the gravid uterus and mammary gland thus
impacting birthweight and post-natal growth of the offspring. Experiment 1
investigated mammary gland BF in multiparous cows during late pregnancy. Beef
cows were fed a control (CON1) diet of low-quality hay (n = 5) or a supplement
diet (SUP1) of low-quality hay with DDGS [1.7 g/kg of body weight (BW); n = 6].
In Experiment 2, multiparous late pregnant beef cows were fed either a control
(CON2) diet of a low-quality hay (n = 4) or a supplement diet (SUP2) of low
quality hay with DDGS (1.7 g/kg of BW; n = 5). Uterine and mammary gland BF were
recorded every 21 days during late gestation. In Experiment 1, there were no
effects of diet or day on mammary gland hemodynamics. In Experiment 2, total and
ipsilateral uterine BF was less (p <= 0.04) in SUP2 vs. CON2 cows and similar BF
to contralateral horns. Mammary gland BF was unaltered by maternal
supplementation. Even when measured in two different years in two different
environments, mammary gland BF remained unaltered to DDGS supplementation.
Investigations on the mechanism that may impact uterine BF during late gestation
remain to be known.
PMID- 27874219
TI - Sonographic appearance of pyomyositis of the sternocleidomastoid muscle: A case
report.
AB - Pyomyositis in the neck has rarely been described. We present the sonographic
findings in a case of pyomyositis of the sternocleidomastoid muscle. A 62-year
old man with poorly controlled diabetes presented with an induration of the neck
and fever. On gray-scale sonography, a part of the sternocleidomastoid muscle
appeared swollen and contained irregularly shaped hypoechoic areas. Power Doppler
imaging showed increased vascularity in the muscle. Sonographic-guided aspiration
confirmed abscesses in the sternocleidomastoid muscle. Surgical drainage was
successfully performed along with antibiotic treatment. (c) 2016 Wiley
Periodicals, Inc. J Clin Ultrasound 45:520-523, 2017.
PMID- 27874220
TI - Shape-Persistent Graphite Replica of Metal Wires.
AB - A facile one-step procedure for a template-assisted fabrication of hollow carbon
tubes is reported, using organic halides as the carbon source. The shape
persistent tubes are obtained with arbitrary shapes as hollow replicas of the
metal template. They feature a high quality of graphite, and a high conductivity
of 1.31 +/- 0.05 . 106 S.m-1 .
PMID- 27874221
TI - Ultrasound-guided core needle biopsy of cervical lymph nodes in the diagnosis of
toxoplasmosis.
AB - BACKGROUND: Our study investigates whether the histopathological features of
toxoplasmic lymphadenitis (TL), specifically noncaseating microgranuloma and
follicular hyperplasia, can be obtained by sonographic-guided core needle biopsy
(CNB) of cervical lymph nodes. METHODS: Thirty-two patients seen from June 2014
to March 2015 were positive for toxoplasma immunoglobulin M antibody. Among those
patients, 21 underwent CNB of a cervical lymph node and were enrolled in this
study. The pathologic findings were reviewed. RESULTS: Twenty-nine lymph nodes in
21 patients were sampled. Eighteen of the 21 (86%) patients had a microgranuloma
without caseating necrosis or giant cells, and all 21 (100%) patients had
follicular hyperplasia. CONCLUSIONS: The histologic findings of TL were detected
by sonographic-guided CNB, which can be used as part of the first line of
investigation in patients with unexplained cervical adenopathy. (c) 2016 Wiley
Periodicals, Inc. J Clin Ultrasound 45:192-196, 2017.
PMID- 27874222
TI - Piezophototronic-Effect-Enhanced Electrically Pumped Lasing.
AB - This study demonstrates significant improvements of ZnO nanowire lasers by the
piezophototronic effect. The laser output power can be enhanced by a factor of
4.96, and the threshold voltage can be decreased from 48 to 20 V by applying
pressure. The mechanism of the improved performance can be attributed to the
enhanced carrier injection and recombination due to the piezophototronic effect.
PMID- 27874223
TI - Metal-Semiconductor Phase-Transition in WSe2(1-x) Te2x Monolayer.
AB - A metal-semiconductor phase transition in a ternary transition metal
dichalcogenide (TMD) monolayer is achieved by alloying Te into WSe2 (WSe2(1-x)
Te2x , where x = 0%-100%). The optical bandgaps of the WSe2(1-x) Te2x monolayer
can be tuned from 1.67 to 1.44 eV (2H semiconductor) and drops to 0 eV (1Td
metal), which opens up an exciting opportunity in functional
electronic/optoelectronic devices.
PMID- 27874224
TI - Multiple transporters are involved in natamycin efflux in Streptomyces
chattanoogensis L10.
AB - Antibiotic-producing microorganisms have evolved several self-resistance
mechanisms to prevent auto-toxicity. Overexpression of specific transporters to
improve the efflux of toxic antibiotics has been found one of the most important
and intrinsic resistance strategies used by many Streptomyces strains. In this
work, two ATP-binding cassette (ABC) transporter-encoding genes located in the
natamycin biosynthetic gene cluster, scnA and scnB, were identified as the
primary exporter genes for natamycin efflux in Streptomyces chattanoogensis L10.
Two other transporters located outside the cluster, a major facilitator
superfamily transporter Mfs1 and an ABC transporter NepI/II were found to play a
complementary role in natamycin efflux. ScnA/ScnB and Mfs1 also participate in
exporting the immediate precursor of natamycin, 4,5-de-epoxynatamycin, which is
more toxic to S. chattanoogensis L10 than natamycin. As the major complementary
exporter for natamycin efflux, Mfs1 is up-regulated in response to intracellular
accumulation of natamycin and 4,5-de-epoxynatamycin, suggesting a key role in the
stress response for self-resistance. This article discusses a novel antibiotic
related efflux and response system in Streptomyces, as well as a self-resistance
mechanism in antibiotic-producing strains.
PMID- 27874225
TI - Networking Pyrolyzed Zeolitic Imidazolate Frameworks by Carbon Nanotubes Improves
Conductivity and Enhances Oxygen-Reduction Performance in Polymer-Electrolyte
Membrane Fuel Cells.
AB - A high-performance nonprecious-metal oxygen-reduction electrocatalyst is prepared
via in situ growth of bimetallic zeolitic imidazolate frameworks on multiwalled
carbon nanotubes (CNTs) followed by adsorption of furfuryl alcohol and pyrolysis.
The networking boosts the conductivity and performance in a polymer electrolyte
membrane fuel cell, yielding a maximal power density of 820 mW cm-2 .
PMID- 27874226
TI - Getting the Most out of Solar Irradiation: Efficient Use of Polychromatic Light
for Water Splitting.
AB - To increase the fraction of utilizable polychromatic light, a new reactor concept
was developed and manufactured by using rapid prototyping technologies.
Investigation of the prototypes revealed enhancements of the photocurrent by up
to one order of magnitude, when TiO2 was used as the photoanode in combination
with commercially available photovoltaic cells. The reported concept is scalable
and an easy transfer to technical scale is expected from a technological as well
as an economical perspective. Experimental results underline the conclusion that
to achieve efficient overall use of solar irradiation both the material as well
as the reactor/process must be considered. Combining these complementary
approaches allows largest possible optimization potential. With respect to
ongoing research, the concept also breaks ground for the development of
catalysts.
PMID- 27874227
TI - Csx WO3 Nanorods Coated with Polyelectrolyte Multilayers as a Multifunctional
Nanomaterial for Bimodal Imaging-Guided Photothermal/Photodynamic Cancer
Treatment.
AB - Csx WO3 nanorods coated with polyelectrolyte multilayers are developed as "four
in-one" multifunctional nanomaterials with significant potential for computed
tomography/photoacoustic tomography bimodal imaging-guided
photothermal/photodynamic cancer treatment.
PMID- 27874228
TI - Dually Ordered Porous TiO2 -rGO Composites with Controllable Light Absorption
Properties for Efficient Solar Energy Conversion.
AB - Quadruple-layered TiO2 films with controllable macropore size are prepared via a
confinement self-assembly method. The inverse opal structure with ordered
mesoporous (IOM) presents unique light reflection and scattering ability with
different wavelengths. Cyan light (400-600 nm) is reflected and scattered by IOM
195, which is in accord with N719 absorption spectra. By manipulating the
macropore size, different light responses are obtained.
PMID- 27874229
TI - Exopolysaccharides promote Myxococcus xanthus social motility by inhibiting
cellular reversals.
AB - The biofilm-forming bacterium Myxococcus xanthus moves on surfaces as structured
swarms utilizing type IV pili-dependent social (S) motility. In contrast to
isolated cells that reverse their moving direction frequently, individual cells
within swarms rarely reverse. The regulatory mechanisms that inhibit cellular
reversal and promote the formation of swarms are not well understood. Here we
show that exopolysaccharides (EPS), the major extracellular components of M.
xanthus swarms, inhibit cellular reversal in a concentration-dependent manner.
Thus, individual wild-type cells reverse less frequently in swarms due to high
local EPS concentrations. In contrast, cells defective in EPS production hyper
reverse their moving direction and show severe defects in S-motility.
Surprisingly, S-motility and wild-type reversal frequency are restored in double
mutants that are defective in both EPS production and the Frz chemosensory
system, indicating that EPS regulates cellular reversal in parallel to the Frz
pathway. Here we clarify that besides functioning as the structural scaffold in
biofilms, EPS is a self-produced signal that coordinates the group motion of the
social bacterium M. xanthus.
PMID- 27874230
TI - TiO2 -Supported Re as a General and Chemoselective Heterogeneous Catalyst for
Hydrogenation of Carboxylic Acids to Alcohols.
AB - TiO2 -supported Re, Re/TiO2 , was found to promote selective hydrogenation of
carboxylic acids having aromatic and aliphatic moieties to the corresponding
alcohols. Re/TiO2 showed superior results compared to other transition-metal
loaded TiO2 and supported Re catalysts for selective hydrogenation of 3
phenylpropionic acid. 3-phenylpropanol was produced in 97 % yield under mild
conditions (5 MPa H2 at 140 degrees C). Contrary to typical heterogeneous
catalysts, Re/TiO2 does not lead to the formation of dearomatized byproducts. The
catalyst is recyclable and shows a wide substrate scope in the synthesis of
alcohols (22 examples; up to 97 % isolated yield).
PMID- 27874231
TI - Stability of domain 4 of the anthrax toxin protective antigen and the effect of
the VWA domain of CMG2 on stability.
AB - The major immunogenic component of the current anthrax vaccine, anthrax vaccine
adsorbed (AVA) is protective antigen (PA). We have shown recently that the
thermodynamic stability of PA can be significantly improved by binding to the Von
Willebrand factor A (VWA) domain of capillary morphogenesis protein 2 (CMG2), and
improvements in thermodynamic stability may improve storage and long-term
stability of PA for use as a vaccine. In order to understand the origin of this
increase in stability, we have isolated the receptor binding domain of PA, domain
4 (D4), and have studied the effect of the addition of CMG2 on thermodynamic
stability. We are able to determine a binding affinity between D4 and CMG2 (~300
nM), which is significantly weaker than that between full-length PA and CMG2 (170
300 pM). Unlike full-length PA, we observe very little change in stability of D4
on binding to CMG2, using either fluorescence or 19 F-NMR experiments. Because in
previous experiments we could observe a stabilization of both domain 4 and domain
2, the mechanism of stabilization of PA by CMG2 is likely to involve a mutual
stabilization of these two domains.
PMID- 27874232
TI - Dynamic Self-Assembly Encodes A Tri-stable Au-TiO2 Photocatalyst.
AB - A tri-stable switchable catalyst is encoded by pH-controlled dynamic self
assembly of gold and TiO2 nanoparticles (NPs). Through precise adjustment of the
integrated dynamic covalent and noncovalent self-assembly process of the two
types of nanoparticles, the photocatalytic activity of the hybrid system is
modulated by switching pH conditions between tri-stable "highly active",
"active", and "inactive" states.
PMID- 27874234
TI - Bioorthogonal Probes for the Study of MDM2-p53 Inhibitors in Cells and
Development of High-Content Screening Assays for Drug Discovery.
AB - To study the behavior of MDM2-p53 inhibitors in a disease-relevant cellular
model, we have developed and validated a set of bioorthogonal probes that can be
fluorescently labeled in cells and used in high-content screening assays. By
using automated image analysis with single-cell resolution, we could visualize
the intracellular target binding of compounds by co-localization and quantify
target upregulation upon MDM2-p53 inhibition in an osteosarcoma model.
Additionally, we developed a high-throughput assay to quantify target occupancy
of non-tagged MDM2-p53 inhibitors by competition and to identify novel chemical
matter. This approach could be expanded to other targets for lead discovery
applications.
PMID- 27874235
TI - Extending the Life of Lithium-Based Rechargeable Batteries by Reaction of Lithium
Dendrites with a Novel Silica Nanoparticle Sandwiched Separator.
AB - A reaction-protective separator that slows the growth of lithium dendrites
penetrating into the separator is produced by sandwiching silica nanoparticles
between two polymer separators. The reaction between lithium dendrites and silica
nanoparticles consumes the dendrites and can extend the life of the battery by
approximately five times.
PMID- 27874233
TI - Induction of hepatocyte-like cells from human umbilical cord-derived mesenchymal
stem cells by defined microRNAs.
AB - Generating functional hepatocyte-like cells (HLCs) from mesenchymal stem cells
(MSCs) is of great urgency for bio-artificial liver support system (BALSS).
Previously, we obtained HLCs from human umbilical cord-derived MSCs by
overexpressing seven microRNAs (HLC-7) and characterized their liver functions in
vitro and in vivo. Here, we aimed to screen out the optimal miRNA candidates for
hepatic differentiation. We sequentially removed individual miRNAs from the pool
and examined the effect of transfection with remainder using RT-PCR, periodic
acid-Schiff (PAS) staining and low-density lipoprotein (LDL) uptake assays and by
assessing their function in liver injury models. Surprisingly, miR-30a and miR
1290 were dispensable for hepatic differentiation. The remaining five miRNAs (miR
122, miR-148a, miR-424, miR-542-5p and miR-1246) are essential for this process,
because omitting any one from the five-miRNA combination prevented hepatic trans
differentiation. We found that HLCs trans-differentiated from five microRNAs (HLC
5) expressed high level of hepatic markers and functioned similar to hepatocytes.
Intravenous transplantation of HLC-5 into nude mice with CCl4 -induced fulminant
liver failure and acute liver injury not only improved serum parameters and their
liver histology, but also improved survival rate of mice in severe hepatic
failure. These data indicated that HLC-5 functioned similar to HLC-7 in vitro and
in vivo, which have been shown to resemble hepatocytes. Instead of using seven
miRNA combination, a simplified five-miRNA combination can be used to obtain
functional HLCs in only 7 days. Our study demonstrated an optimized and efficient
method for generating functional MSC-derived HLCs that may serve as an attractive
cell alternative for BALSS.
PMID- 27874236
TI - On Approaching the Limit of Molecular Magnetic Anisotropy: A Near-Perfect
Pentagonal Bipyramidal Dysprosium(III) Single-Molecule Magnet.
AB - We report a monometallic dysprosium complex, [Dy(Ot Bu)2 (py)5 ][BPh4 ] (5), that
shows the largest effective energy barrier to magnetic relaxation of Ueff
=1815(1) K. The massive magnetic anisotropy is due to bis-trans-disposed tert
butoxide ligands with weak equatorial pyridine donors, approaching proposed
schemes for high-temperature single-molecule magnets (SMMs). The blocking
temperature, TB , is 14 K, defined by zero-field-cooled magnetization
experiments, and is the largest for any monometallic complex and equal with the
current record for [Tb2 N2 {N(SiMe3 )2 }4 (THF)2 ].
PMID- 27874237
TI - Tactile stimulation during different developmental periods modifies hippocampal
BDNF and GR, affecting memory and behavior in adult rats.
AB - Recent studies have shown that tactile stimulation (TS) in pups is able to
prevent and/or minimize fear, anxiety behaviors, and addiction to psychostimulant
drugs in adult rats. In these studies, animals have been exposed to handling from
postnatal day (PND) 1-21. This study was designed to precisely establish which
period of preweaning development has a greater influence of TS on neuronal
development. After birth, male pups were exposed to TS from PND1-7, PND8-14, and
PND15-21. In adulthood, the different periods of postnatal TS were assessed
through behavioral, biochemical, and molecular assessments. Animals that received
TS from PND8-14 showed lower anxiety-like symptoms, as observed by decreased
anxiety index in elevated plus maze. This same TS period was able to improve
rats' working memory by increasing the percentage of alternation rate in Y-maze,
and induce better ability to cope with stressful situations, as showed in the
defensive burying test by a reduced time of burying behavior. On the other hand,
animals receiving TS in the first week of life showed longest cumulative burying
time, which is directly related to increased anxiety-like behavior. Moreover, TS
from PND8-14 showed lower corticosterone levels and better oxidative status, as
observed by decreased lipid peroxidation and increased catalase activity in the
hippocampus. Brain-derived neurotrophic factor (BDNF) immunocontent was increased
in the hippocampus of animals receiving TS from PND8-14, while glucocorticoid
receptors immunocontent was decreased in both TS1-7 and TS15-21 , but not TS8-14
. To the best of our knowledge, this study is the first to show TS can be more
efficient if applied over a focused period of neonatal development (PND8-14) and
this beneficial influence can be reflected on reduced emotionality and increased
ability to address stressful situations in adulthood. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27874238
TI - Li-Ion Synaptic Transistor for Low Power Analog Computing.
AB - Nonvolatile redox transistors (NVRTs) based upon Li-ion battery materials are
demonstrated as memory elements for neuromorphic computer architectures with
multi-level analog states, "write" linearity, low-voltage switching, and low
power dissipation. Simulations of backpropagation using the device properties
reach ideal classification accuracy. Physics-based simulations predict energy
costs per "write" operation of <10 aJ when scaled to 200 nm * 200 nm.
PMID- 27874239
TI - Biocatalytic Properties and Structural Analysis of Phloroglucinol Reductases.
AB - Phloroglucinol reductases (PGRs) are involved in anaerobic degradation in
bacteria, in which they catalyze the dearomatization of phloroglucinol into
dihydrophloroglucinol. We identified three PGRs, from different bacterial
species, that are members of the family of NAD(P)H-dependent short-chain
dehydrogenases/reductases (SDRs). In addition to catalyzing the reduction of the
physiological substrate, the three enzymes exhibit activity towards 2,4,6
trihydroxybenzaldehyde, 2,4,6-trihydroxyacetophenone, and methyl 2,4,6
trihydroxybenzoate. Structural elucidation of PGRcl and comparison to known SDRs
revealed a high degree of conservation. Several amino acid positions were
identified as being conserved within the PGR subfamily and might be involved in
substrate differentiation. The results enable the enzymatic dearomatization of
monoaromatic phenol derivatives and provide insight into the functional diversity
that may be found in families of enzymes displaying a high degree of structural
homology.
PMID- 27874240
TI - Three-dimensional printing using open source software and JPEG images from
optical coherence tomography of an epiretinal membrane patient.
PMID- 27874241
TI - Living Cationic Polymerization of Vinyl Ethers through a Photoinduced Radical
Oxidation/Addition/Deactivation Sequence.
AB - A new photoinitiating system for living cationic polymerization of vinyl ethers
is reported. In the current approach, visible-light irradiation of dimanganese
decacarbonyl (Mn2 (CO)10 ) in the presence of an alkyl bromide results in the
formation of carbon-centered radicals. The photochemically generated radicals
were then oxidized by diphenyliodonium ions to the corresponding cations. These
cations can add vinyl ether monomers, which are then rapidly deactivated by the
bromide anions to give alpha-halide functional end groups. Poly(vinyl ether)
chains are then grown through successive photoinduced radical
oxidation/addition/deactivation (PROAD) in a controlled manner. The living nature
of the system is evaluated through kinetics studies and block copolymer
formation.
PMID- 27874242
TI - Template-Framework Interactions in Tetraethylammonium-Directed Zeolite Synthesis.
AB - Zeolites, having widespread applications in chemical industries, are often
synthesized using organic templates. These can be cost-prohibitive, motivating
investigations into their role in promoting crystallization. Herein, the
relationship between framework structure, chemical composition, synthesis
conditions and the conformation of the occluded, economical template
tetraethylammonium (TEA+ ) has been systematically examined by experimental and
computational means. The results show two distinct regimes of occluded conformer
tendencies: 1) In frameworks with a large stabilization energy difference, only a
single conformer was found (BEA, LTA and MFI). 2) In the frameworks with small
stabilization energy differences (AEI, AFI, CHA and MOR), less than the
interconversion of TEA+ in solution, a heteroatom-dependent (Al, B, Co, Mn, Ti,
Zn) distribution of conformers was observed. These findings demonstrate that host
guest chemistry principles, including electrostatic interactions and coordination
chemistry, are as important as ideal pore-filling.
PMID- 27874245
TI - OCT Angiography reveals changes in foveal vessel architecture and foveal flow in
central serous chorioretinopathy.
PMID- 27874243
TI - Ultra high performance liquid chromatography-electrospray ionization-tandem mass
spectrometry and pharmacokinetic analysis of justicidin B and 6'-hydroxy
justicidin C in rats.
AB - Arylnaphthalene lignans have attracted considerable interest with the discovery
of their antineoplastic activities. Two such compounds are justicidin B and 6'
hydroxy justicidin C, both of which have been isolated from the herb Justicia
procumbens. We sought to develop and validate a sensitive and accurate, ultra
high performance liquid chromatography with electrospray ionization tandem mass
spectrometry method for the structural determination and pharmacokinetics of
justicidin B and 6'-hydroxy justicidin C. Chromatographic separation was achieved
on an Agilent 300SB-C18 column using water (0.5% formic acid, 10 mM NH4 COOH)
methanol as the mobile phase. The plasma samples obtained after oral
administration of the active extract of Justicia procumbens were successfully
analyzed with our novel method, thereby demonstrating its sound applicability and
reliability. The lower limit of quantification for justicidin B and 6'-hydroxy
justicidin C was 0.50 and 1.00 ng/mL in 50 MUL rat plasma, respectively. The
elimination half-life and clearance of justicidin B was estimated to be 1.27 +/-
0.61 h and 5.40 +/- 0.22 L/h/kg while that of 6'-hydroxy justicidin C was 2.07 +/
0.70 h and 11.84 +/- 1.06 L/h/kg. This newly developed and validated method was
successfully applied to the quantification and pharmacokinetic study of
justicidin B and 6'-hydroxy justicidin C in rats.
PMID- 27874244
TI - Coarctation repair normalizes left ventricular function and aorto-septal angle in
neonates.
AB - BACKGROUND AND AIMS: Patients with coarctation of the aorta (CoA) have increased
left ventricular (LV) afterload that has been shown to impact the LV and
ascending aortic function. We aimed to examine the effect of coarctation on LV
function and aorto-septal angle (AoSA) before and after surgical repair. METHODS:
We retrospectively studied 21 patients with surgically repaired CoA at a median
age of 9 (2-53) days at three time points: (1) just before intervention, (2) at
short-term follow-up, and (3) at medium-term follow-up after intervention. AoSA
was measured from the parasternal long axis view, at three time points during the
cardiac cycle: (1) end diastole, (2) beginning of systole, and (3) at peak
ejection in the descending aorta. In addition to conventional LV structure and
function, global longitudinal strain, and strain rate were measured using STE
technique and Tomtec software. Three groups of age matched healthy children
served as controls at each time point. RESULTS: AoSA was significantly wider
before intervention, in particular at peak ejection in the descending aorta (144
degrees +/- 6.4 degrees vs. 136 degrees +/- 4.1 degrees ; P < .0001), and
correlated with CoA pressure gradient. After intervention, AoSA normalized and
significantly correlated with the increase of LV cavity function and overall LV
deformation parameters. CONCLUSIONS: AoSA is abnormally wide in neonates with CoA
and is associated with severity of obstruction, LV dysfunction and compromised LV
global deformation.
PMID- 27874246
TI - Predicting the intereye asymmetry in functional and structural damage in glaucoma
using automated pupillography.
AB - PURPOSE: To predict the intereye asymmetry in functional (mean deviation, MD on
visual field, VF) and structural (retinal nerve fibre layer, RNFL and ganglion
cell complex, GCC thickness on spectral domain optical coherence tomography,
SDOCT) measurements in glaucoma using the automated pupillography parameters.
METHODS: Fifty-nine subjects with a diagnosis of either glaucoma or glaucoma
suspect underwent automated pupillography along with VF and SDOCT examinations.
Association between pupillography and the absolute intereye difference in MD,
RNFL and GCC measurements was evaluated using regression analysis after
accounting for the multicollinearity. RESULTS: Univariate regression analysis
showed statistically significant associations (p < 0.05) between multiple
pupillography parameters and the intereye difference in MD, RNFL and GCC
thickness measurements. Multivariate regression with less strongly correlated
parameters identified intereye difference in amplitude change (Ac) per cent to be
the parameter that best predicted the intereye asymmetry in MD (Intereye
asymmetry in MD = 2.20 + 1.33*intereye difference in Ac per cent, R2 = 0.36),
RNFL thickness (3.38 + 3.55*intereye difference in Ac per cent, R2 = 0.49) and
GCC thickness (4.49 + 2.06* intereye difference in Ac per cent, R2 = 0.41).
Ability of intereye Ac per cent difference to predict intereye asymmetry in MD,
RNFL and GCC thickness was better in patients with angle closure disease (R2 =
0.38, 0.79, 0.66, respectively) compared to those with open angles (R2 = 0.25,
0.15, 0.16, respectively). CONCLUSIONS: Intereye asymmetry in MD, RNFL and GCC
thickness measurements was best predicted by the intereye difference in Ac per
cent on automated pupillography. The predicting ability was better in patients
with angle closure compared to those with open angles.
PMID- 27874247
TI - The road ahead: Implementing mass cytometry in clinical studies, one cell at a
time.
PMID- 27874248
TI - Frequency of IOP measurements and eye check-ups in elderly Finns.
PMID- 27874249
TI - Role of neural integrators in oculomotor systems: a systematic narrative
literature review.
AB - PURPOSE: To evaluate the role of neural integrators (NI) in the oculomotor
system. METHODS: A literature search was carried out using several electronic
databases during the months of June 2014 to March 2015. The following keywords
were used to generate focused results: 'neural integrators', 'gaze-holding',
'oculomotor integration', 'impaired gaze-holding', 'gaze evoked nystagmus' and
'gaze dysfunction'. Further materials were found through searching relevant
articles within reference lists. Seventy-one articles were sourced for this
review which analysed animal and human subjects and network models; 45 were
studies of humans, 16 studies of primates, three studies of felines and one study
from rats and network models. The remaining articles were literature reviews.
RESULTS: The horizontal and vertical, including torsional, NI are located
logically in the brainstem, nearby their appropriate target extraocular
motoneuron nuclei for stable eye position in eccentric position. The nucleus
prepositus hypoglossi (NPH) and medial vestibular nuclei (MVN) are closely linked
at the caudal pons and dorsal rostral medulla, integrating horizontal conjugate
eye movement. The interstitial nucleus of Cajal (INC) integrates vertical and
torsional eye movement at the upper midbrain. The integrator time constant is
averaged to 25 seconds in human horizontal and animal vertical NI to perform its
function. Case reports revealed that dysfunction of horizontal NI also resulted
in vertical ocular deviations, indicating some overlap of horizontal and vertical
gaze control. Furthermore, pharmacological inactivation of NI exposed a
population of inhibitory neurotransmitters that permits its mechanism of action;
allowing for smooth conjugate movement. CONCLUSIONS: Neural integrators operate
to integrate eye velocity and eye position information to provide signals to
extraocular motoneurons to attain and maintain a new position. Therefore, NI
allow image stabilization during horizontal and vertical eye movements at
eccentric positions for comfortable single vision.
PMID- 27874250
TI - Immunosuppression in pediatric liver transplant recipients: Unique aspects.
AB - Pediatric liver transplantation has experienced improved outcomes over the last
50 years. This can be attributed in part to establishing optimal use of
immunosuppressive agents to achieve a balance between minimizing the risks of
allograft rejection and infection. The management of immunosuppression in
children is generally more complex and can be challenging when compared with the
use of these agents in adult liver transplant patients. Physiologic differences
in children alter the pharmacokinetics of immunosuppressive agents, which affects
absorption, distribution, metabolism, and drug excretion. Children also have a
longer expected period of exposure to immunosuppression, which can impact growth,
risk of infection (bacterial, viral, and fungal), carcinogenesis, and likelihood
of nonadherence. This review discusses immunosuppressive options for pediatric
liver transplant recipients and the unique issues that must be addressed when
managing this population. Further advances in the field of tolerance and
accommodation are needed to relieve the acute and cumulative burden of chronic
immunosuppression in children. Liver Transplantation 23 244-256 2017 AASLD.
PMID- 27874251
TI - Simultaneous analysis of ten low-molecular-mass organic acids in the
tricarboxylic acid cycle and photorespiration pathway in Thalassiosira pseudonana
at different growth stages.
AB - A method using high-performance liquid chromatography coupled with tandem mass
spectrometry was developed for the simultaneous determination of organic acids in
microalgae. o-Benzylhydroxylamine was used to derivatize the analytes, and stable
isotope-labeled compounds were used as internal standards for precise
quantification. The proposed method was evaluated in terms of linearity,
recovery, matrix effect, sensitivity, and precision. Linear calibration curves
with correlation coefficients >0.99 were obtained over the concentration range of
0.4-40 ng/mL for glycolic acid, 0.1-10 ng/mL for malic acid and oxaloacetic
acid, 0.02-2 ng/mL for succinic acid and glyoxylic acid, 4-400 ng/mL for fumaric
acid, 20-2000 ng/mL for isocitric acid, 2-200 ng mL-1 for citric acid, 100-10000
ng mL-1 for cis-aconitic acid, and 1-100 ng mL-1 for alpha-ketoglutaric acid.
Analyte recoveries were between 80.2 and 115.1%, and the matrix effect was
minimal. Low limits of detection (0.003-1 ng/mL) and limits of quantification
(0.01-5 ng/mL) were obtained except cis-aconitic acid. Variations in
reproducibility for standard solution at three different concentrations levels
were <9%. This is the first report of the simultaneous analysis of ten organic
acids in microalgae, which promotes better understanding of their growth state
and provides reference value for high-yield microalgae cultures.
PMID- 27874252
TI - Pediatric cardiac readmissions: An opportunity for quality improvement?
AB - OBJECTIVE: Hospital readmissions are increasingly becoming a metric for quality
in the current landscape of changing and cost effective medicine. However, no 30
d readmission rates have been established for pediatric cardiac medical patients
in the United States. Thus, the objective was to determine 30 d readmission rates
and risk factors associated with readmission for pediatric cardiac patients,
hypothesizing that pediatric cardiac patients would have significantly higher
readmission rates than their general pediatric counterparts. DESIGN: This was a
retrospective cohort study. SETTING: The study took place at a large urban
academic children's hospital. PATIENTS: The 1124 included patients were
discharged from the medical cardiology service and had an unplanned readmission
within 30 d during the period of 2012-2014. MEASURES: Admissions, readmissions,
diagnoses, demographics, weights, medications, procedures, length of stay, were
all measured. RESULTS: There were 1993 visits and 408 (20.5%) 30-d readmissions
in our study. Among the 1124 patients, 219 (19.5%) had at least one 30-d
readmission. Patient factors associated with increased likelihood of 30-d
readmission were younger age (median: 197.5 vs 1365.5 d, P < .0001), lower
discharge weight (6.2 v 14.5 kg, P < .0001) and greater number of diagnoses (P <
.0001). The encounter factor associated with a 30-d readmission was longer length
of stay (4 vs 2 d, P < 0.0001). Factors associated with decreased readmissions
were having had an electrophysiology procedure during their stay, taking an
angiotensin converting enzyme inhibitor/angiotensin receptor blocker or taking an
antibiotic. CONCLUSIONS: Readmissions within 30 d among pediatric cardiology
patients are common. The most common factors associated with readmissions are not
likely to be modifiable but may serve as important prognostic indicators and as a
basis for counseling.
PMID- 27874253
TI - Combined delivery of FGF-2, TGF-beta1, and adipose-derived stem cells from an
engineered periosteum to a critical-sized mouse femur defect.
AB - Critical-sized long bone defects suffer from complications including impaired
healing and non-union due to substandard healing and integration of devitalized
bone allograft. Removal of the periosteum contributes to the limited healing of
bone allografts. Restoring a periosteum on bone allografts may provide improved
allograft healing and integration. This article reports a polysaccharide-based
tissue engineered periosteum that delivers basic fibroblast growth factor (FGF
2), transforming growth factor-beta1 (TGF-beta1), and adipose-derived mesenchymal
stem cells (ASCs) to a critical-sized mouse femur defect. The tissue engineered
periosteum was evaluated for improving bone allograft healing and incorporation
by locally delivering FGF-2, TGF-beta1, and supporting ASCs transplantation. ASCs
were successfully delivered and longitudinally tracked at the defect site for at
least 7 days post operation with delivered FGF-2 and TGF-beta1 showing a
mitogenic effect on the ASCs. At 6 weeks post implantation, data showed a non
significant increase in normalized bone callus volume. However, union ratio
analysis showed a significant inhibition in allograft incorporation, confirmed by
histological analysis, due to loosening of the nanofiber coating from the
allograft surface. Ultimately, this investigation shows our tissue engineered
periosteum can deliver FGF-2, TGF-beta1, and ASCs to a mouse critical-sized femur
defect and further optimization may yield improved bone allograft healing. (c)
2016 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 105A: 900-911, 2017.
PMID- 27874254
TI - High-throughput ultra high performance liquid chromatography coupled to
quadrupole time-of-flight mass spectrometry method for the rapid analysis and
characterization of multiple constituents of Radix Polygalae.
AB - Radix Polygalae, the dried roots of Polygala tenuifolia and P. sibirica, is one
of the most well-known traditional Chinese medicinal plants. It is an important
medicinal plant that has been used as a sedative and to improve memory for a
number of years in most of Asia. However, the in vivo constituents of the
multiple constituents from Radix Polygalae remain unknown. In the current study,
ultra high performance liquid chromatography coupled to quadrupole time-of-flight
mass spectrometry and the MarkerLynxTM software combined with multiple data
processing approach were used to study the constituents in vitro and in vivo. A
rapid and efficient method for the characterization of multiple constituents in
the herbal medicine Radix Polygalae by ultra high performance liquid
chromatography coupled to quadrupole time-of-flight mass spectrometry is
described. In total, 35 compounds in the Radix Polygalae and 13 compounds
absorbed into blood were characterized. Of the 35 compounds in vitro, ten were
reported for first time. In the 13 compounds in vivo, six were prototype
components and seven were metabolites were also elucidated for first time. This
work narrowed the range of screening the potentially bioactive components and
provided a basis for the quality control and mechanism of action.
PMID- 27874255
TI - Multimodal imaging of combined hamartoma of the retina and retinal pigment
epithelium.
PMID- 27874256
TI - Correlation of vitamin D levels with tear film stability and secretion in
patients with dry eye syndrome.
AB - PURPOSE: To investigate tear film stability and secretion according to serum 25
hydroxyvitamin D3 [25(OH)D] levels in dry eye patients. METHODS: In this
retrospective observational study, the medical charts of 92 patients who visited
the Department of Ophthalmology at Hallym University Kangnam Sacred Heart
Hospital from April to August 2015 were reviewed. Serum 25(OH)D levels were
measured. Subjects were divided into three groups according to serum 25(OH)D
levels: sufficient, inadequate or deficient group. Eye discomfort was measured by
ocular surface disease index (OSDI). Tear break-up time (TBUT), fluorescein
staining score and Schirmer's tear secretion test were measured. RESULTS: The
mean age was 53.38 +/- 13.69 years. Mean serum 25(OH)D level was 14.41 +/- 5.98
ng/ml. Tear break-up time (TBUT) and tear secretion were positively correlated
with serum 25(OH)D levels (r = 0.389, p = 0.001; and r = 0.428, p < 0.001,
Pearson correlation test). Tear break-up time (TBUT) and tear secretion were
shorter in the vitamin D-deficient group compared to the sufficient group (p =
0.022 and p = 0.004). Fluorescein staining scores and OSDI scores were not
different between the three groups. CONCLUSION: Tear break-up time (TBUT) and
secretion were correlated with serum vitamin D levels. Vitamin D status may be an
important factor for dry eye syndrome.
PMID- 27874257
TI - Triazole-Containing Dendrimer-like Core Cross-Linked Micelles that Stabilize Pd
Nanoparticles as Heterogenized Homogeneous Catalysts for Room-Temperature Suzuki
Miyaura Reactions in Water.
AB - Featuring the advantages of both homogeneous (high activity) and heterogeneous
(recyclability) catalysts, heterogenized homogeneous catalysts (e.g., dendrimer
stabilized metal nanoparticles) have received much attention in recent years.
Here, we develop a new triazole-containing dendrimer-like core cross-linked
micelle (DCCM) stabilized Pd nanoparticles as a highly efficient heterogenized
homogeneous catalyst for the Suzuki-Miyaura reaction. Both arylboronic acids and
iodobenzenes with diverse electronic properties performed with excellent
reactivity under the mild conditions of room temperature, water as the sole
solvent, and as low as 0.5 % catalyst loading. Importantly, the Pd@triazole-DCCMs
can tolerate various functional groups well (e.g., alcohol, aldehyde, ester,
acyl, amino, and cyano, etc.) and give the corresponding biphenyl products in
high yields. Recycling experiments suggest that the new heterogenized homogeneous
catalyst can be recovered simply and reused many times with negligible activity
change.
PMID- 27874258
TI - Predictive validity of the Braden Scale, Norton Scale, and Waterlow Scale in the
Czech Republic.
AB - The aim of this study was to determine the predictive validity of the Braden,
Norton, and Waterlow scales in 2 long-term care departments in the Czech
Republic. Assessing the risk for developing pressure ulcers is the first step in
their prevention. At present, many scales are used in clinical practice, but most
of them have not been properly validated yet (for example, the Modified Norton
Scale in the Czech Republic). In the Czech Republic, only the Braden Scale has
been validated so far. This is a prospective comparative instrument testing
study. A random sample of 123 patients was recruited. The predictive validity of
the pressure ulcer risk assessment scales was evaluated based on sensitivity,
specificity, positive and negative predictive values, and the area under the
receiver operating characteristic curve. The data were collected from April to
August 2014. In the present study, the best predictive validity values were
observed for the Norton Scale, followed by the Braden Scale and the Waterlow
Scale, in that order. We recommended that the above 3 pressure ulcer risk
assessment scales continue to be evaluated in the Czech clinical setting.
PMID- 27874259
TI - Transcatheter closure of calcified patent ductus arteriosus in older adult
patients: Immediate and 12-month follow-up results.
AB - OBJECTIVE: To present our experience in transcatheter closure of calcified patent
ductus arteriosus (PDA) in older adult patients, which has rarely been reported.
PATIENTS: From 2009 to 2014, a total of 16 patients (median age 58 years) with
calcified PDA underwent transcatheter closure in our center. All patients were
symptomatic with major symptoms being exertional dyspnea (in 12), palpitations
(in 8), and fatigue (in 5). A continuous murmur was heard in all patients. The
median ductus diameter was 4 mm (range 3-7 mm). The median Qp/Qs was 1.6 (range
1.4-2.9). INTERVENTIONS: Transcatheter closure was performed for all patients.
The size of the occluder selected was 2-3 mm greater than the narrowest portion
of PDA. We experienced difficulties in advancing the multipurpose catheter
through the calcified duct in about one third of patients (5/16). Considering
that calcified tissue has a greater tendency to rupture, hence, to close PDA in
these patients, they adopted the retrograde wire-assisted technique and modified
the procedure to reduce the shear stress of sheath and avoid any sheath kinking.
For the remaining 11 patients, the advancement of the multipurpose catheter
through the calcified duct was smooth and the conventional antegrade approach was
applied. OUTCOME MEASURES: Clinical examination, standard 12-lead
electrocardiography, chest x-ray, and transthoracic echocardiography were
performed before hospital discharge, at 1-, 3-, 6-, and 12-months follow-ups.
RESULTS: All PDAs were successfully closed. There were no deaths. Three patients
had a trivial residual shunt, with one also having intravascular hemolysis.
Following pharmacological treatment, hemolysis signs vanished at 7 days
postprocedure. The trivial residual shunt disappeared in all three patients at 3
month follow-up. No new-onset residual shunt, device embolization, device
dislocation, infective endocarditis, or embolism was observed at all follow-up
time points. CONCLUSION: Successful closure of calcified PDA with few
complications in older adult patients was achieved using the duct occluder.
PMID- 27874260
TI - Phototriggered Secretion of Membrane Compartmentalized Bioactive Agents.
AB - A strategy for the light-activated release of bioactive compounds (BODIPY,
colchicine, paclitaxel, and methotrexate) from membrane-enclosed depots is
described. We have found that membrane-permeable bioagents can be rendered
membrane impermeable by covalent attachment to cobalamin (Cbl) through a
photocleavable linker. These Cbl-bioagent conjugates are imprisoned within lipid
enclosed compartments in the dark, as exemplified by their retention in the
interior of erythrocytes. Subsequent illumination drives the secretion of the
bioactive species from red blood cells. Photorelease is triggered by wavelengths
in the red, far-red, and near-IR regions, which can be pre-assigned by affixing a
fluorophore with the desired excitation wavelength to the Cbl-bioagent conjugate.
Pre-assigned wavelengths allow different biologically active compounds to be
specifically and unambiguously photoreleased from common carriers.
PMID- 27874261
TI - Outcome of intra-arterial chemotherapy for retinoblastoma and its influencing
factors: a retrospective study.
AB - PURPOSE: Intra-arterial chemotherapy (IAC) has become an essential technique for
the treatment of advanced and relapsed intra-ocular retinoblastoma. The outcome
of IAC for retinoblastoma is influenced by a variety of medical and non-medical
factors. In this study, we aimed to examine the outcome of IAC and determine the
factors influencing clinical outcome. METHODS: A total of 107 eyes of 73 patients
with retinoblastoma undergoing IAC between January 2011 and April 2013 were
retrospectively reviewed for clinical outcomes. The factors influencing clinical
outcomes were determined using univariate and multivariate analyses. RESULTS:
After IAC, an overall globe salvage rate of 78.5% was observed during follow-up
periods. Specifically, globe salvage was achieved in Group B (100%), Group C
(100%), Group D (78.6%), and Group E (62%). Short-term ocular adverse events
included eyelid oedema (14%), bulbar conjunctiva congestion (29.9%) and excessive
tearing (9.3%). Long-term complications included vitreous haemorrhage (8.4%),
subretinal haemorrhage (9.3%), retinal vasculopathy (7.5%) and ophthalmic artery
spasm with reperfusion (4.7%). Univariate and multivariate analyses showed that
the globe salvage of IAC was significantly associated with tumour staging and
previous treatment (p < 0.05, respectively). CONCLUSION: Intra-arterial
chemotherapy (IAC) is safe and effective for the management of retinoblastoma.
Patients with advanced retinoblastoma and previous failed treatment may have a
poor outcome after IAC.
PMID- 27874262
TI - A Deep Hydrophobic Binding Cavity is the Main Interaction for Different Y2 R
Antagonists.
AB - The neuropeptide Y2 receptor (Y2 R) is involved in various pathophysiological
processes such as epilepsy, mood disorders, angiogenesis, and tumor growth.
Therefore, the Y2 R is an interesting target for drug development. A detailed
understanding of the binding pocket could facilitate the development of highly
selective antagonists to study the role of Y2 R in vitro and in vivo. In this
study, several residues crucial to the interaction of BIIE0246 and SF-11
derivatives with Y2 R were investigated by signal transduction assays. Using the
experimental results as constraints, the antagonists were docked into a
comparative structural model of the Y2 R. Despite differences in size and
structure, all three antagonists display a similar binding site, including a deep
hydrophobic cavity formed by transmembrane helices (TM) 4, 5, and 6, as well as a
hydrophobic patch at the top of TM2 and 7. Additionally, we suggest that the
antagonists block Q3.32 , a position that has been shown to be crucial for
binding of the amidated C terminus of NPY and thus for receptor activation.
PMID- 27874263
TI - Ensemble perception of color in autistic adults.
AB - Dominant accounts of visual processing in autism posit that autistic individuals
have an enhanced access to details of scenes [e.g., weak central coherence] which
is reflected in a general bias toward local processing. Furthermore, the
attenuated priors account of autism predicts that the updating and use of summary
representations is reduced in autism. Ensemble perception describes the
extraction of global summary statistics of a visual feature from a heterogeneous
set (e.g., of faces, sizes, colors), often in the absence of local item
representation. The present study investigated ensemble perception in autistic
adults using a rapidly presented (500 msec) ensemble of four, eight, or sixteen
elements representing four different colors. We predicted that autistic
individuals would be less accurate when averaging the ensembles, but more
accurate in recognizing individual ensemble colors. The results were consistent
with the predictions. Averaging was impaired in autism, but only when ensembles
contained four elements. Ensembles of eight or sixteen elements were averaged
equally accurately across groups. The autistic group also showed a corresponding
advantage in rejecting colors that were not originally seen in the ensemble. The
results demonstrate the local processing bias in autism, but also suggest that
the global perceptual averaging mechanism may be compromised under some
conditions. The theoretical implications of the findings and future avenues for
research on summary statistics in autism are discussed. Autism Res 2017, 10: 839
851. (c) 2016 International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27874265
TI - Incidental brain MRI findings in an autism twin study.
AB - Brain magnetic resonance imaging (MRI) studies suggest the prevalence of
asymptomatic "incidental" findings (IF) in autism spectrum disorder (ASD) is
similar to that of neurotypically developing (NT) controls. However, given the
causes of IF may include both genetic and environmental factors, a twin study
would facilitate comparing brain IF between ASD and NT subjects. MRI scans were
examined to assess the prevalence of brain IF in twin "case pairs" (at least one
twin with diagnosis of ASD) and twin "control pairs" (NT). Fifty case pairs and
thirty-two control pairs were analyzed. IF were found in 68% of subjects with
ASD, 71% of unaffected ASD siblings, and in 58% of control subjects (P = 0.4). IF
requiring clinical follow-up occurred more frequently in subjects with ASD
compared to NT controls (17% vs. 5%, respectively; P = 0.02). The concordance
rate of IF in twins was 83%. A mixed effects model found younger age, male sex,
and "family environment" to be significantly associated with IF. There was no
difference in the prevalence rate of IF between ASD subjects and NT controls.
More IF required clinical follow-up in ASD subjects compared to NT controls. The
prevalence rate of IF observed in this twin study was higher than rates
previously reported in singleton studies. Our results suggest the shared
environment of twins - perhaps in utero - increases the risk of brain IF. Brain
MRI in the initial work-up of ASD may be indicated in twins, especially in males.
Autism Res 2017, 10: 113-120. (c) 2016 International Society for Autism Research,
Wiley Periodicals, Inc.
PMID- 27874264
TI - Photobiomodulation in human muscle tissue: an advantage in sports performance?
AB - Photobiomodulation (PBM) describes the use of red or near-infrared (NIR) light to
stimulate, heal, and regenerate damaged tissue. Both preconditioning (light
delivered to muscles before exercise) and PBM applied after exercise can increase
sports performance in athletes. This review covers the effects of PBM on human
muscle tissue in clinical trials in volunteers related to sports performance and
in athletes. The parameters used were categorized into those with positive
effects or no effects on muscle performance and recovery. Randomized controlled
trials and case-control studies in both healthy trained and untrained
participants, and elite athletes were retrieved from MEDLINE up to 2016.
Performance metrics included fatigue, number of repetitions, torque, hypertrophy;
measures of muscle damage and recovery such as creatine kinase and delayed onset
muscle soreness. Searches retrieved 533 studies, of which 46 were included in the
review (n = 1045 participants). Studies used single laser probes, cluster of
laser diodes, LED clusters, mixed clusters (lasers and LEDs), and flexible LED
arrays. Both red, NIR, and red/NIR mixtures were used. PBM can increase muscle
mass gained after training, and decrease inflammation and oxidative stress in
muscle biopsies. We raise the question of whether PBM should be permitted in
athletic competition by international regulatory authorities.
PMID- 27874266
TI - Meta-analysis of neuropsychological measures of executive functioning in children
and adolescents with high-functioning autism spectrum disorder.
AB - Existing literature on the profile of executive dysfunction in autism spectrum
disorder showed inconsistent results. Age, comorbid attention
deficit/hyperactivity disorder (ADHD) and cognitive abilities appeared to play a
role in confounding the picture. Previous meta-analyses have focused on a few
components of executive functions. This meta-analysis attempted to delineate the
profile of deficit in several components of executive functioning in children and
adolescents with high-functioning autism spectrum disorder (HFASD). Ninety-eight
English published case-control studies comparing children and adolescents with
HFASD with typically developing controls using well-known neuropsychological
measures to assess executive functions were included. Results showed that
children and adolescents with HFASD were moderately impaired in verbal working
memory (g = 0.67), spatial working memory (g = 0.58), flexibility (g = 0.59),
planning (g = 0.62), and generativity (g = 0.60) except for inhibition (g =
0.41). Subgroup analysis showed that impairments were still significant for
flexibility (g = 0.57-0.61), generativity (g = 0.52-0.68), and working memory (g
= 0.49-0.56) in a sample of autism spectrum disorder (ASD) subjects without
comorbid ADHD or when the cognitive abilities of the ASD group and the control
group were comparable. This meta-analysis confirmed the presence of executive
dysfunction in children and adolescents with HFASD. These deficits are not solely
accounted for by the effect of comorbid ADHD and the general cognitive abilities.
Our results support the executive dysfunction hypothesis and contribute to the
clinical understanding and possible development of interventions to alleviate
these deficits in children and adolescents with HFASD. Autism Res 2017, 10: 911
939. (c) 2016 International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27874267
TI - Human Digital Meissner Corpuscles Display Immunoreactivity for the
Multifunctional Ion Channels Trpc6 and Trpv4.
AB - Ion channels are at the basis of the sensory processes including mechanosensing.
Some members of the transient receptor potential (TRP) ion channel superfamily
have been proposed as mechanosensors, but their putative role in
mechanotransduction is controversial. Among them there are TRP canonical 6
(TRPC6) and TRP vanilloid 4 (TRPV4) ion channels, which are known to cooperate in
mechanical hyperalgesia. Here, we investigated the occurrence, distribution, and
possible colocalization of TRPC6 and TRPV4 in human digital Meissner sensory
corpuscles using immunohistochemistry and double immunofluorescence (associate
with markers for specific corpuscular constituents). TRPC6 immunoreactivity was
restricted to the axon of Meissner corpuscles, whereas TRPV4 was detected in the
axon but also in the lamellar cells. Moreover, axonal colocalization of TRPV4 and
TRPC6 was found in the digital Meissner corpuscles. Present results demonstrate
for the first time the occurrence and colocalization of two ion channels
candidates to mechanosensors in human cutaneous mechanoreceptors. The functional
significance of these ion channels in that place remains to be clarified, but
should be related to different properties of mechanosensitivity. Anat Rec,
300:1022-1031, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27874269
TI - Highly Active NaTaO3 -Based Photocatalysts for CO2 Reduction to Form CO Using
Water as the Electron Donor.
AB - Doped NaTaO3 (NaTaO3 :A, where A=Mg, Ca, Sr, Ba, or La) has arisen as a highly
active photocatalyst for CO2 reduction to simultaneously form CO, H2 , and O2
using water as the electron donor when used with an Ag cocatalyst, under UV
irradiation, and with 1 atm (0.1 MPa) of CO2 . The ratio of the number of reacted
electrons/holes was almost unity, indicating that water was consumed as the
electron donor. A liquid-phase reduction method for loading of the Ag cocatalyst
was superior to photodeposition and impregnation methods. The Ag cocatalyst
loaded NaTaO3 :Ba was the most active photocatalyst in water with no required
additives. The addition of bases, such as hydrogencarbonate, was effective to
enhance the CO formation for Mg-, Ca-, Sr-, Ba-, and La-doped NaTaO3
photocatalysts with an Ag cocatalyst. Ca- and Sr-doped NaTaO3 photocatalysts
showed especially high activity along with the Ba-doped photocatalyst in the
aqueous NaHCO3 solution. The selectivity for the CO formation [CO/(CO+H2 )] on Ca
, Sr-, and Ba-doped NaTaO3 photocatalysts with Ag cocatalyst reached around 90 %.
PMID- 27874268
TI - A combination of resveratrol and quercetin induces browning in white adipose
tissue of rats fed an obesogenic diet.
AB - OBJECTIVE: To analyze whether a combination of quercetin (Q) and resveratrol
(RSV) would induce a white adipose tissue (WAT) browning effect. METHODS: Thirty
six rats were fed an obesogenic diet and divided into four groups: control,
treated with RSV (15 mg/kg body weight/day; RSV group), treated with Q (30 mg/kg
body weight/day; Q group), or treated with both polyphenols (RSV + Q group).
RESULTS: After 6 weeks, body and WAT weights were significantly reduced in the
RSV + Q group. In perirenal WAT of the control, RSV, and Q groups, white
unilocular adipocytes appeared in the majority of cells, while in the RSV + Q
group numerous multilocular adipocytes with positive immunostaining for UCP1 were
observed. The presence of UCP1 was confirmed by Western blot. This group also
revealed increased mRNA levels of Cidea, Hocx9, Bmp4, Slc27a1, Pat2, Atgl, and
Atp5d. Interscapular brown adipose tissue weight showed no differences between
groups, but the Cidea mRNA level was increased in the RSV group, the Cox-2 mRNA
level in the RSV + Q group, and UCP1 protein expression in the RSV and the RSV +
Q groups. CONCLUSIONS: This study demonstrated that the RSV + Q combination
produces a brown-like remodeling effect in perirenal WAT, as well as increased
UCP1 protein expression in interscapular brown adipose tissue.
PMID- 27874270
TI - Neurodevelopmental disorders are highly over-represented in children with
obesity: A cross-sectional study.
AB - OBJECTIVE: To investigate prevalence of neurodevelopmental disorders in children
with obesity and to compare body mass index (BMI) and metabolic profile in the
children. METHODS: Seventy-six children (37 girls, 39 boys) were consecutively
recruited from a university outpatient clinic specialized in severe obesity.
Neurodevelopmental disorders including attention-deficit/hyperactivity disorder
(ADHD), autism spectrum disorder (ASD), and developmental coordination disorder
(DCD) were assessed using interviews and questionnaires. Neurodevelopmental
diagnoses were collected retrospectively in medical records. RESULTS: BMI ranged
between 1.9 and 5.9 SDS and age between 5.1 and 16.5 years. In 13.2% and 18.4%
ASD and ADHD was assigned, respectively. In addition, 25% screened positive for
DCD, 31.6% had at least one neurodevelopmental disorder, and 18.4% had a parent
who screened positive for adult ADHD. Girls with ASD/ADHD had higher BMI SDS than
girls without neurodevelopmental disorder (P = 0.006). CONCLUSIONS: One third of
children with obesity referred to specialist centers have a neurodevelopmental
disorder including deviant motor skills, and these problems may deteriorate
weight status. One fifth of the parents exhibit ADHD symptomatology which could
partly explain the poor adherence by some families in obesity units. Future
obesity therapy could benefit from incorporating a neurodevelopmental treatment
approach.
PMID- 27874271
TI - Diagnostic model generated by MRI-derived brain features in toddlers with autism
spectrum disorder.
AB - Autism spectrum disorder (ASD) is a complex neurodevelopmental disorder mainly
showed atypical social interaction, communication, and restricted, repetitive
patterns of behavior, interests and activities. Now clinic diagnosis of ASD is
mostly based on psychological evaluation, clinical observation and medical
history. All these behavioral indexes could not avoid defects such as
subjectivity and reporter-dependency. Therefore researchers devoted themselves to
seek relatively stable biomarkers of ASD as supplementary diagnostic evidence.
The goal of present study is to generate relatively stable predictive model based
on anatomical brain features by using machine learning technique. Forty-six ASD
children and thirty-nine development delay children aged from 18 to 37 months
were evolved in. As a result, the predictive model generated by regional average
cortical thickness of regions with top 20 highest importance of random forest
classifier showed best diagnostic performance. And random forest was proved to be
the optimal approach for neuroimaging data mining in small size set and thickness
based classification outperformed volume-based classification and surface area
based classification in ASD. The brain regions selected by the models might
attract attention and the idea of considering biomarkers as a supplementary
evidence of ASD diagnosis worth exploring. Autism Res 2017, 0: 000-000. (c) 2016
International Society for Autism Research, Wiley Periodicals, Inc. Autism Res
2017, 10: 620-630. (c) 2016 International Society for Autism Research, Wiley
Periodicals, Inc.
PMID- 27874272
TI - Catalytic Transesterification of Starch with Plant Oils: A Sustainable and
Efficient Route to Fatty Acid Starch Esters.
AB - The transesterification of maize starch with olive oil or high oleic sunflower
oil was studied under homogeneous conditions in the presence of 1,5,7
triazabicyclo[4.4.0]dec-5-ene (TBD) as catalyst. Most importantly, this method
used two renewable resources directly, without any pretreatment or
derivatization, for the synthesis of polymeric materials with desirable
properties. Moreover, the solvent, oils, and catalyst could be recovered through
facile work-up and reused for further modifications. The obtained fatty acid
starch esters (FASEs) were highly soluble in common organic solvents and were
thoroughly characterized. Degrees of substitution (DS) were calculated using 31 P
NMR spectroscopy, and DS values of approximately 1.3 were obtained. Differential
scanning calorimetry analysis revealed thermal transitions of the modified
starches at approximately 80-90 degrees C. Films were produced from these FASEs,
and their hydrophobic surfaces were characterized using contact-angle
measurements. Furthermore, mechanical properties were examined using tensile
strength measurements and showed approximately 40 and 80 % elongation at break
for modified maize starch and modified amylose from maize, respectively.
PMID- 27874273
TI - Association testing of vasopressin receptor 1a microsatellite polymorphisms in
non-clinical autism spectrum phenotypes.
AB - Variation in the AVPR1a gene, which codes for a receptor for the neurohormone
vasopressin, has been found to relate to autism risk. Interestingly, variation in
this gene also relates to differences in social behaviour in non-clinical
populations. Variation in this gene may affect expression of AVPR1a receptors in
brain areas involved in social behaviour. Here, we tested whether AVPR1a
variation was associated with Autism Quotient (AQ) scores, a questionnaire that
measures non-clinical manifestations of autism, in a population of 873 healthy
university students. The AVPR1a RS1 and RS3 microsatellites were examined, and
variants were categorized as "long" or "short". The RS3 long/long genotype was
significantly associated with a higher AQ score (i.e., a more autistic-like
phenotype) for the combined population and for females only. Further examination
showed that this relationship was due to a specific RS3 variant, termed the
"target allele", which previous research has linked to reduced altruism and
increased marital problems in healthy individuals. We also observed that the
relationship between RS3 genotype and AQ score was mainly due to the "attention
switching" (the ability to shift attention from one task to another) component of
the questionnaire; this ability is commonly impaired in autism spectrum
disorders. Overall, our study establishes continuity between the existing AVPR1a
research in clinical and non-clinical populations. Our results suggest that
vasopressin may exert its effects on social behaviour in part by modulating
attentional focus between social and non-social cues. Autism Res 2017, 10: 750
756. (c) 2016 International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27874274
TI - Differential association between sarcopenia and metabolic phenotype in Korean
young and older adults with and without obesity.
AB - OBJECTIVE: To determine whether sarcopenia was associated with metabolic
phenotype in subjects with and without obesity. METHODS: A total of 6,021
participants (2,592 men, 3,429 women) aged 30 to 93 years were assessed using
data from the 2009 Korea National Health and Nutrition Examination Survey.
Sarcopenia was defined as appendicular skeletal muscle mass divided by weight (%)
that is <1 SD below the sex-specific mean for young adults. Metabolically
unhealthy was defined as >=2 components of metabolic syndrome or the presence of
hypertension, diabetes, or cardiovascular disease. Obesity was defined as body
mass index >=25.0 kg/m2 . RESULTS: Sarcopenia was associated with a metabolically
unhealthy phenotype in nonobese men independent of age, smoking, regular physical
activity, daily energy intake, total body fat, fasting insulin, non-HDL
cholesterol, white blood cell count, ferritin level, and 25(OH) vitamin D level
(OR per 1 SD increment (95% CI) 1.88 (1.28-2.75), P < 0.01), but this association
was confounded by and not independent of total body fat in nonobese women.
Sarcopenia was not associated with a metabolically unhealthy phenotype in
subjects with obesity. CONCLUSIONS: Sarcopenia was independently associated with
a metabolically unhealthy phenotype in nonobese men, but this association was not
evident in nonobese women or subjects with obesity.
PMID- 27874275
TI - Executive functioning in men and women with an autism spectrum disorder.
AB - Executive functioning (EF) is thought to be linked to autism spectrum disorders
(ASD) specific symptoms. The majority of research has focused on children and
adolescents with ASD and, therefore, little is known about EF in adults.
Furthermore, little is known about gender differences. Ninety-nine men and forty
women with ASD were compared with and 35 neurotypical men 25 neurotypical women.
Participants were matched on age, total intelligence, and verbal ability. The
following instruments were used to measure executive functioning: digit span and
letter and number sequencing of the WAIS-III, Tower of Hanoi, WCST, and Verbal
fluency. Multiple analysis of variance was conducted to determine group
differences. Women with ASD performed worse on the working memory tasks of the
WAIS-III than neurotypical women. Furthermore, women with ASD had more
perseverations on the WCST than neurotypical women. The gender comparison in the
ASD group showed differences in performance on mental flexibility (WCST), working
memory (WAIS-III), generativity and self-monitoring (Verbal fluency). However,
these differences were unequivocal and no gender specific cognitive profile could
be pinpointed. Individual strengths and frailties should be highlighted in
clinical practice, as impairments in EF can be under influence of the overall
cognitive abilities of the individual. Furthermore, gender differences were
found. This could explain differences in representation of ASD symptoms in both
groups. These differences show how important thorough diagnostics are. Autism Res
2017, 10: 940-948. (c) 2016 International Society for Autism Research, Wiley
Periodicals, Inc.
PMID- 27874276
TI - Prenatal toxoplasmosis antibody and childhood autism.
AB - There is evidence that some maternal infections during the prenatal period are
associated with neurodevelopmental disorders, such as childhood autism. However,
the association between autism and Toxoplasma gondii (T. gondii), an
intracellular parasite, remains unclear. The authors examined whether
serologically confirmed maternal antibodies to T. gondii are associated with odds
of childhood autism in offspring. The study is based on a nested case-control
design of a large national birth cohort (N = 1.2 million) and the national
psychiatric registries in Finland. There were 874 cases of childhood autism and
controls matched 1:1 on date of birth, sex, birthplace and residence in Finland.
Maternal sera were prospectively assayed from a national biobank for T. gondii
IgM and IgG antibodies; IgG avidity analyses were also performed. High maternal
T. gondii IgM antibody was associated with a significantly decreased odds of
childhood autism. Low maternal T. gondii IgG antibody was associated with
increased offspring odds of autism. In women with high T. gondii IgM antibodies,
the IgG avidity was high for both cases and controls, with the exception of three
controls. The findings suggest that the relationship between maternal T. gondii
antibodies and odds of childhood autism may be related to the immune response to
this pathogen or the overall activation of the immune system. Autism Res 2017,
10: 769-777. (c) 2016 International Society for Autism Research, Wiley
Periodicals, Inc.
PMID- 27874277
TI - Graphite//LiNi0.5 Mn1.5 O4 Cells Based on Environmentally Friendly Made-in-Water
Electrodes.
AB - The performance of graphite//LiNi0.5 Mn1.5 O4 (LNMO) cells, both electrodes of
which are made using water-soluble sodium carboxymethyl cellulose (CMC) binder,
is reported for the first time. The full cell performed outstandingly over 400
cycles in the conventional electrolyte ethylene carbonate/dimethyl carbonate-1 m
LiPF6 , and the delivered specific energy at the 100th, 200th, 300th, and 400th
cycle corresponded to 82, 78, 73, and 66 %, respectively, of the initial energy
value of 259 Wh kg-1 (referring to the sum of the two electrode-composite
weights). The good stability of high-voltage, LNMO-CMC-based electrodes upon long
term cycling is discussed and the results are compared to those of LNMO-composite
electrodes with polyvinylidene fluoride (PVdF). LNMO-CMC electrodes outperformed
those with PVdF binder, displaying a capacity retention of 83 % compared to 62 %
for the PVdF-based electrodes after 400 cycles at 1 C. CMC promotes a more
compact and stable electrode surface than PVdF; undesired interfacial reactions
at high operating voltages are mitigated, and the thickness of the passivation
layer on the LNMO surface is reduced, thereby enhancing its cycling stability.
PMID- 27874279
TI - Cucurmin, anticancer, & antitumor perspectives: A comprehensive review.
AB - Cucurmin, a naturally yellow component isolated from turmeric, ability to prevent
various life-style related disorders. The current review article mainly
emphasizes on different anticancer perspectives of cucurmin, i.e., colon,
cervical, uterine, ovarian, prostate head and neck, breast, pulmonary, stomach
and gastric, pancreatic, bladder oral, oesophageal, and bone cancer. It holds a
mixture of strong bioactive molecule known as cucurminoids that has ability to
reduce cancer/tumor at initial, promotion and progression stages of tumor
development. In particular, these compounds block several enzymes required for
the growth of tumors and may therefore involve in tumor treatments. Moreover, it
modulates an array of cellular progressions, i.e., nitric oxide synthetase
activity, protein kinase C activity, epidermal growth factor (EGF) receptor
intrinsic kinase activity, nuclear factor kappa (NF-kB) activity, inhibiting
lipid peroxidation and production of reactive oxygen species. However, current
manuscript summarizes most of the recent investigations of cucurmin but still
further research should be conducted to explore the role of curcumin to mitigate
various cancers.
PMID- 27874281
TI - The therapeutic potential of plant flavonoids on rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) is an autoimmune condition that mainly affects
peripheral joints. Although immunosuppressive drugs and non-steroidal anti
inflammatory drugs (NSAIDs) are used to treat this condition, these drugs have
severe side effects. Flavonoids are the most abundant phenolic compounds which
exhibit anti-oxidant, anti-inflammatory and immunomodulatory properties. Many
bioactive flavonoids have powerful anti-inflammatory effects. However, a very few
have reached clinical use. Dietary flavonoids have been reported to control joint
inflammation and alleviate arthritis symptoms in both human RA and animal models
of arthritis. There is little scientific evidence about their mechanism of
actions in RA. We review the therapeutic effects of different groups of
flavonoids belonging to the most common and abundant groups on RA. In particular,
the probable mechanisms of major flavonoids on cells and chemical messengers
involved in the inflammatory signaling components of RA are discussed in detail.
PMID- 27874278
TI - Placental growth factor and its potential role in diabetic retinopathy and other
ocular neovascular diseases.
AB - The role of vascular endothelial growth factor (VEGF), including in retinal
vascular diseases, has been well studied, and pharmacological blockade of VEGF is
the gold standard of treatment for neovascular age-related macular degeneration,
retinal vein occlusion and diabetic macular oedema. Placental growth factor (PGF,
previously known as PlGF), a homologue of VEGF, is a multifunctional peptide
associated with angiogenesis-dependent pathologies in the eye and non-ocular
conditions. Animal studies using genetic modification and pharmacological
treatment have demonstrated a mechanistic role for PGF in pathological
angiogenesis. Inhibition decreases neovascularization and microvascular
abnormalities across different models, including oxygen-induced retinopathy,
laser-induced choroidal neovascularization and in diabetic mice exhibiting
retinopathies. High levels of PGF have been found in the vitreous of patients
with diabetic retinopathy. Despite these strong animal data, the exact role of
PGF in pathological angiogenesis in retinal vascular diseases remains to be
defined, and the benefits of PGF-specific inhibition in humans with retinal
neovascular diseases and macular oedema remain controversial. Comparative
effectiveness research studies in patients with diabetic retinal disease have
shown that treatment that inhibits both VEGF and PGF may provide superior
outcomes in certain patients compared with treatment that inhibits only VEGF.
This review summarizes current knowledge of PGF, including its relationship to
VEGF and its role in pathological angiogenesis in retinal diseases, and
identifies some key unanswered questions about PGF that can serve as a pathway
for future basic, translational and clinical research.
PMID- 27874280
TI - A survey of the mechanisms of action of anticancer transition metal complexes.
AB - Metal complexes have been the subject of numerous investigations in oncology but,
despite the plethora of newly synthesized compounds, their precise mechanisms of
action remain generally unknown or, for the best, incompletely determined. The
continuous development of efficient and sensitive techniques in analytical
chemistry and molecular biology gives scientists new tools to gather information
on how metal complexes can be effective toward cancer. This review focuses on
recent findings about the anticancer mechanism of action of metal complexes and
how the ligands can be used to tune their pharmacological and physicochemical
properties.
PMID- 27874282
TI - Treatment management between orthodontists and general practitioners performing
clear aligner therapy.
AB - OBJECTIVE: To investigate differences in case selection, treatment management,
and aligner treatment expertise between orthodontists and general practitioners.
MATERIALS AND METHODS: A parallel pair of original surveys with three sections
(case selection, treatment management, and demographics) was sent to
orthodontists (N = 1000) and general dentists (N = 1000) who were providers of
aligner treatment. RESULTS: Orthodontists had treated significantly more patients
with aligners, had treated more patients with aligners in the previous 12 months,
and had received more aligner training than general dentists (P < .0001). In
general, case confidence increased with increasing experience for both
orthodontists and general dentists. After adjusting for experience, there was a
significant difference in aligner case confidence between orthodontists and
general dentists for several malocclusions. General dentists were more confident
than orthodontists in treating deep bite, severe crowding, and Class II
malocclusions with aligners (P <= .0001). Significant differences were also found
for all treatment management techniques except interproximal reduction.
CONCLUSION: There was a significant difference in case selection, treatment
management, and aligner expertise between orthodontists and general dentists,
although the differences in case selection were small. Overall, it was shown that
orthodontists and general dentists elected to treat a variety of moderate to
severe malocclusions with aligners but with different utilization of recommended
auxiliaries, perhaps demonstrating a difference in treatment goals.
PMID- 27874283
TI - The effect of root and bone visualization on perceptions of the quality of
orthodontic treatment simulations.
AB - OBJECTIVE: To evaluate the effect of root and bone visibility on orthodontists'
perceptions of the quality of treatment simulations. MATERIAL AND METHODS: An
online survey was used to present orthodontists with setups generated for 10
patients in two different types of view: with and without bone and roots as
modeled from a cone-beam computed tomography (CBCT) scan. The orthodontists were
asked to rate the quality of the setups from poor to ideal on a 100-point visual
analog scale and, if applicable, to identify features of concern that led them to
giving a setup a less-than-ideal rating. RESULTS: The quality ratings were
significantly lower when roots and bone were visible in the setups (P < .0001).
Buccolingual inclination and periodontal concerns were selected significantly
more often as reasons for a less-than-ideal rating when roots and bone were
shown, whereas occlusal relationship, overjet, occlusal contacts, and arch form
were selected significantly more often as reasons for a less-than-ideal rating
when roots and bone were not shown. The odds of selecting periodontal concerns as
a reason for a less-than-ideal setup rating were 331 times greater when roots and
bones were visible than when they were not. CONCLUSIONS: Additional diagnostic
information derived from CBCT scans affects orthodontists' perceptions of the
overall case quality, which may influence their treatment-planning decisions.
PMID- 27874285
TI - Modern tools for the chemical ligation and synthesis of modified peptides and
proteins.
AB - The ability to improve nature's capacity by introducing modification of
biological interest in proteins and peptides (P&P) is one of the modern
challenges in synthetic chemistry. Due to the unfavorable pharmacokinetic
properties, many native P&P are of little use as therapeutic agents. Today, few
methods for the preparation of modified proteins are available. Initially
introduced to realize the ligation between two standard peptidic sequences, and
hence to afford native proteins, the modern chemical methodologies, in other
words native chemical ligation, expressed ligation, Staudinger ligation,
auxiliary mediated ligation, aldehyde capture, etc., can be virtually utilized to
ligate a variety of peptidomimetic partners, allowing a systematic access to
modified, unnatural large P&P.
PMID- 27874284
TI - Effects of extremely low-frequency pulsed electromagnetic fields (ELF-PEMFs) on
glioblastoma cells (U87).
AB - The impact of extremely low-frequency pulsed electromagnetic fields (ELF-PEMFs)
at various frequencies and amplitudes was investigated on cell cycle, apoptosis
and viability of the Glioblastoma Multiforme (GBM) cell line (U87), in vitro. The
GBM is a malignant brain tumor with high mortality in humans and poorly
responsive to the most common type of cancer treatments, such as surgery,
chemotherapy and radiation therapy. U87 cells with five experimental groups (I-V)
were exposed to various ELF-PEMFs for 2, 4 and 24 h, as follows: (I) no exposure,
control; (II) 50 Hz 100 +/- 15 G; (III) 100 Hz 100 +/- 15 G; (IV) 10 Hz 50 +/- 10
G; (V) 50 Hz 50 +/- 10 G. The morphology properties, cell viability and gene
expression of proteins involved in cell cycle regulation (Cyclin-D1 and P53) and
apoptosis (Caspase-3) were investigated. After 24 h, the cell viability and
Cyclin-D1 expression increased in Group II (30%, 45%), whereas they decreased in
Groups III (29%, 31%) and IV (21%, 34%); P53 and Caspase-3 elevated only in Group
III; and no significant difference was observed in Group V, respectively,
compared with the control (p < 0.05). The data suggest that the proliferation and
apoptosis of human GBM are influenced by exposure to ELF-PEMFs in different time
dependent frequencies and amplitudes. The fact that some of the ELF-PEMFs
frequencies and amplitudes favor U87 cells proliferation indicates precaution for
the use of medical devices related to the MFs on cancer patients. On the other
hand, some other ELF-PEMFs frequencies and intensities arresting U87 cells growth
could open the way to develop novel therapeutic approaches.
PMID- 27874286
TI - Identification of bile survivin and carbohydrate antigen 199 in distinguishing
cholangiocarcinoma from benign obstructive jaundice.
AB - AIM: To investigate whether bile survivin and carbohydrate antigen 199 (CA199)
can be helpful in distinguishing cholangiocarcinoma (malignant obstructive
jaundice) from benign obstructive jaundice. METHODS: Receiver operating
characteristic curve was used to evaluate the feasibility of bile survivin and
CA199 in differentiating cholangiocarcinoma from benign obstructive jaundice.
RESULTS: The area under the curve for survivin and CA199 in bile and serum were
0.780 (p < 0.001), 0.6 (p = 0.084), 0.746 (p < 0.001) and 0.542 (p = 0.464),
respectively. Combination of bile survivin and CA199 could improve the diagnostic
capability. CONCLUSION: Bile survivin and CA199 are significantly increased in
patients with cholangiocarcinoma and may be useful biomarkers in differentiating
distinguishing cholangiocarcinoma from benign obstructive jaundice.
PMID- 27874287
TI - Impact of Saccharomyces cerevisiae metabolites produced during fermentation on
bread quality parameters: A review.
AB - Although bread making with the use of Baker's yeast has a long tradition in human
history, little attention has been paid to the connection between yeast addition
and the final bread quality. Nowadays, bakers mainly use different flour
additives such as enzymes (amylases, hemicellulases, and proteases) to change and
improve dough properties and/or bread quality. Another strategy is the use of
modified industrial Baker's yeast. To date, there is no yeast strain used in the
baking industry, which is genetically modified, despite some studies
demonstrating that the application of recombinant DNA technology is a possibility
for improved strains suitable for baking. However, due to the fact that the
majority of consumers in Europe highly reject the use of genetically modified
microorganisms in the production of food, other strategies to improve bread
quality must be investigated. Such a strategy would be a reconsideration of the
selection of yeast strains used for the baking process. Next to the common
criteria, the requirement for adequate gas production, more attention should be
paid on how yeast impacts flavor, shelf life, color, and the nutritional value of
baked products, in a similar way to which yeast strains are selected in the wine
and brewing industries.
PMID- 27874288
TI - N-heterocyclic carbene complexes of silver and gold as novel tools against breast
cancer progression.
AB - AIM: Metal carbenic complexes have received considerable attention in both the
catalysis and biological fields for their potential applications in cancer and
antimicrobial therapies. RESULTS: A small series of new silver and gold N
heterocyclic carbene complexes has been designed and synthesized. Among the
tested complexes, one compound was particularly active in inhibiting anchorage
dependent and -independent breast cancer proliferation, and inducing cell
apoptosis via a mitochondria-related process. The antitumor activity was
associated to the transcriptional activation of the tumor suppressor gene p53 in
an Sp1-dependent manner, as evidenced by biological and docking studies.
CONCLUSION: Our results highlight the importance and the versatility of N
heterocyclic carbene complexes of gold and silver as useful tools against breast
cancer progression.
PMID- 27874289
TI - Epigenetic changes as prognostic predictors in endometrial carcinomas.
AB - Endometrial carcinoma is one of the most frequent gynecological malignancies of
the female. The diagnostic and prognostic markers for the high-risk subgroups
with unfavorable prognosis are under intense debate worldwide, and, therefore,
the aim of this study was to identify new potential DNA methylation markers for
the high-risk groups. We used the Illumina Infinium HumanMethylation450 BeadChip
to analyze the DNA methylation pattern and investigated its association with
clinicopathological features important for defining the high-risk (FIGO-grade 3)
and low-risk (FIGO-grade 1) groups of patients with endometrial cancer (n = 31
and n = 39, respectively). We identified specific DNA methylation signature in
high-risk endometrial tumors, and potential molecular biomarker genes (TBX2,
CHST11, and NID2) associated with unfavorable clinical predictive and prognostic
factors.
PMID- 27874290
TI - Impact of clinical factors on outcome of leukemia patients with TLS-ERG fusion
gene.
AB - We report the clinical features and outcome of 22 TLS-ERG+ leukemia patients (20
AML and 2 B-ALL). TLS-ERG was tightly associated with extramedullary disease
(EMD), complex chromosome abnormalities, and high risk gene mutations including
IKZF1, WT1, TET2, NOTCH2, and PHF6. The 6-month leukemia free survival (LFS) with
and without EMD was 75% and 83.3% (p = .017). 11/20 AML patients received
allogeneic hematopoietic stem cell transplantation (HCT). The 1-year overall
survival (OS) in non-HCT and HCT group was 62.5% and 90% (p = .026), but the 6
month LFS in non-HCT and HCT group was 55.6% and 100% (p = .192). The 6-month LFS
of patients with complete remission (CR) before HCT versus those with no response
(NR) was 67.5% and 0, respectively (p = .034). In conclusion, the leukemia burden
before HCT and EMD had negative impact on the outcome of TLS-ERG patients; HCT
could prolong OS, but could not overcome the poor prognostic impact of TLS-ERG.
PMID- 27874292
TI - Granulocyte colony stimulating factor treatment of resistant thin endometrium in
women with frozen-thawed blastocyst transfer.
AB - : The aim of the study was to assess the granulocyte-colony stimulating factor (G
CSF) effect on unresponsive thin (<7 mm) endometrium in women undergoing frozen
thawed embryo transfer at the blastocyst stage. A total of 62 women with thin
unresponsive endometrium were included in the study, of which, 29 received a G
CSF infusion and 33 who opted out of the study served as controls. Patients in
both groups had similar endometrial thickness at the time of the initial
evaluation: 6.50 mm (5.50-6.80) in the G-CSF and 6.40 mm (5.50-7.0) in the
control group. However, after the infusion endometrial thickness increased
significantly in the G-CSF group in comparison with the controls (p=0.01),
(Delta) 0.5 (0.02-1.2) (p=0.005). In the G-CSF group endometrium expanded to 7.90
mm (6.58-8.70) while in the control group to 6.90 mm (6.0-7.75). Five women in
each group conceived. The clinical pregnancy rate was 5/29 (17.24%) in the G-CSF
treated group and 5/33 (15.15%) in the control group (p>0.05). The live birth
rate was 2/29 (6.89%) in the G-CSF group and 2/33 (6.06%) in the control group
(p>0.05). We concluded that G-CSF infusion leads to an improvement in endometrium
thickness but not to any improvement in the clinical pregnancy and live birth
rates. Until more data is available G-CSF treatment should be considered to be of
limited value in increasing pregnancy rate. ABBREVIATIONS: G-CSF: granulocyte
colony-stimulating factor; M-CSF: macrophagecolony-stimulating factor; GM-CSF:
granulocyte-macrophage colony-stimulating factor; FET: frozen embryo transfer;
IVF: in vitro fertilization.
PMID- 27874291
TI - Zwitterionic structures: from physicochemical properties toward computer-aided
drug designs.
AB - Zwitterions, used widely in chemical, biological and medicinal fields, show
distinct physicochemical properties relative to ordinary ampholytes, which
largely decide their bioavailability and biological activities. In the present
manuscript, these properties are discussed in order to facilitate our
understanding of zwitterionic structures, followed by various examples of
zwitterionic drugs and the critical role these properties play. We specifically
focus our discussions on neuraminidase inhibitors (NAIs), which are used in the
treatment and prevention of influenza, covering their computer-assisted design,
transformation to zwitterionic isomers and interaction mechanisms of NAIs with
proteins. The discovery and development of NAIs provide useful insights that may
assist in the exploration of new zwitterionic drugs.
PMID- 27874293
TI - Improved stability of solid dispersions of manidipine with polyethylene glycol
4000/copovidone blends: application of ternary phase diagram.
AB - CONTEXT: Manidipine (MDP) is generally used clinically as an antihypertensive
agent; however, the bioavailability of orally administered MDP is limited due to
their very low water solubility. OBJECTIVE: The objectives of this research were,
therefore, to increase the solubility of MDP by the formation of ternary solid
dispersions (tSD) with polyethylene glycol 4000 (PEG4000) and copovidone and to
improve their stability. METHODS: Solid ternary phase diagram was constructed to
find homogeneous solid dispersion region after melting and solidifying at low
temperature with different quenching substances. The pulverized powder of solid
dispersions was then determined, for their physicochemical properties, by
differential scanning calorimetry, powder X-ray diffractometry, Fourier transform
infrared (FTIR) spectroscopy and hot stage microscopy. The solubility and
dissolution of MDP from the tSD were investigated. The physical stability of tSD
was also determined under accelerated condition at 40 degrees C/75% relative
humidity (RH) for 6 months. RESULTS AND DISCUSSION: The results showed that MDP
was molecularly dispersed in PEG4000 and copovidone when the tSD was created from
homogeneous region of solid ternary phase diagram. FTIR results confirmed that
strong hydrogen bonding was presented between MDP and copovidone, leading to a
significant increase in the solubility and dissolution of MDP. After storage at
accelerated condition (40 degrees C/75%RH) for 6 months, the tSD still showed a
good appearance and high solubility. CONCLUSION: The results of this study
suggest that tSD prepared by melting has promising potential for oral
administration and may be an efficacious approach for improving the therapeutic
potential of MDP.
PMID- 27874294
TI - Dacryoadenitis and extraocular muscle inflammation associated with contact lens
related Acanthamoeba keratitis: A case report and review of the literature.
AB - The present report discusses a new case of dacryoadenitis with extraocular muscle
inflammation associated with Acanthamoeba keratitis (AK) in a contact lens
wearer. A 41-year-old male, who has worn silicone hydrogel contact lenses on an
extended basis for about 10 years, attended with the complaints of vision
disturbance, hyperemia, and pain in his right eye. His history revealed that 1.5
month ago, he had been diagnosed with allergic conjunctivitis and had used
steroid eye drops. Biomicroscopic examination revealed eyelid edema, chemosis,
and ring infiltration, radial keratoneuritis and an epithelial defect in the
cornea. Magnetic resonance imaging demonstrated enlarged lacrimal gland with
edematous changes consistent with inflammation due to dacryoadenitis. There were
also thickening and edema of the right superior oblique and lateral rectus
muscle. The treatment protocol for AK was applied with no specific treatment for
dacryoadenitis. After 4 months of the treatment, dacryoadenitis and keratitis
regressed. Dacryoadenitis and extraocular muscle inflammation may accompany AK
more frequently than expected and previously known. The evaluation of the
lacrimal gland and extraocular muscles in presence of AK might be beneficial for
understanding better the exact clinical picture and course of the keratitis.
PMID- 27874295
TI - Mechanism of low-level microwave radiation effect on nervous system.
AB - The aim of this study is to explain the mechanism of the effect of low-level
modulated microwave radiation on brain bioelectrical oscillations. The proposed
model of excitation by low-level microwave radiation bases on the influence of
water polarization on hydrogen bonding forces between water molecules, caused by
this the enhancement of diffusion and consequences on neurotransmitters transit
time and neuron resting potential. Modulated microwave radiation causes periodic
alteration of the neurophysiologic parameters and parametric excitation of brain
bioelectric oscillations. The experiments to detect logical outcome of the
mechanism on physiological level were carried out on 15 human volunteers. The 450
MHz microwave radiation modulated at 7, 40 and 1000 Hz frequencies was applied at
the field power density of 0.16 mW/cm2. A relative change in the EEG power with
and without radiation during 10 cycles was used as a quantitative measure.
Experimental data demonstrated that modulated at 40 Hz microwave radiation
enhanced EEG power in EEG alpha and beta frequency bands. No significant
alterations were detected at 7 and 1000 Hz modulation frequencies. These results
are in good agreement with the theory of parametric excitation of the brain
bioelectric oscillations caused by the periodic alteration of neurophysiologic
parameters and support the proposed mechanism. The proposed theoretical framework
has been shown to predict the results of experimental study. The suggested
mechanism, free of the restrictions related to field strength or time constant,
is the first one providing explanation of low-level microwave radiation effects.
PMID- 27874296
TI - CD44 mediates the catch-bond activated rolling of HEPG2Iso epithelial cancer
cells on hyaluronan.
AB - The attachment of cancer cells to the endothelium is an essential step during
metastatic dissemination. The cell surface receptor CD44 is capable of binding to
hyaluronan (HA) produced by tumor cells and by cells of the tumor
microenvironment, including blood endothelial cells. Here, we investigated the
role of CD44 in the interaction between the liver cancer cell line HepG2Iso and
HA surfaces. The rolling interaction was quantitatively analyzed using a
microfluidic shear force setup. It was found that rolling of the liver cancer
cells on HA depends on CD44, which mediates a catch-bond interaction and thus a
flow-induced rolling of the cells. Reduction of CD44 expression by means of
siRNA, inhibition of the interaction of CD44 with HA by antibody blocking, and
treatment with low molecular weight HA inhibited liver cancer cell rolling on HA
coated surfaces. The results not only clearly show the dependency of the shear
induced catch-bond interaction of HepG2Iso cells on CD44 and HA, but also for the
first time demonstrate CD44-mediated rolling for epithelium-derived cells that
are typically adherent.
PMID- 27874297
TI - Organizational Infrastructure in the Collegiate Athletic Training Setting, Part
I: Quality-of-Life Comparisons and Commonalities Among the Models.
AB - CONTEXT: Some anecdotal evidence has suggested that organizational
infrastructure may affect the quality of life of athletic trainers (ATs).
OBJECTIVE: To compare ATs' perspectives on work-life balance, role strain, job
satisfaction, and retention in collegiate practice settings within the various
models. DESIGN: Cross-sectional and qualitative study. SETTING: National
Collegiate Athletic Association Divisions I, II, and III. PATIENTS OR OTHER
PARTICIPANTS: Fifty-nine ATs from 3 models (athletics = 25, medical = 20,
academic = 14) completed phase I. A total of 24 ATs (15 men, 9 women), 8 from
each model, also completed phase II. DATA COLLECTION AND ANALYSIS: Participants
completed a Web-based survey for phase I and were interviewed via telephone for
phase II. Quantitative data were analyzed using statistical software. Likert
scale answers (1 = strongly disagree, 5 = strongly agree) to the survey questions
were analyzed using the Kruskal-Wallis, Mann-Whitney U, and Cohen f tests.
Qualitative data were evaluated using a general inductive approach. Multiple
analyst triangulation and peer review were conducted to satisfy data credibility.
RESULTS: Commonalities were communication, social support, and time management
and effective work-life balance strategies. Quantitative data revealed that ATs
employed in the athletics model worked more hours (69.6 +/- 11.8 hours) than
those employed in the medical (57.6 +/- 10.2 hours; P = .001) or academic (59.5
+/- 9.5 hours; P = .02) model, were less satisfied with their pay (2.68 +/- 1.1;
chi2 = 7.757, P = .02; f = 0.394), believed that they had less support from their
administrators (3.12 +/- 1.1; chi2 = 9.512, P = .009; f = 0.443), and had fewer
plans to remain in their current positions (3.20 +/- 1.2; chi2 = 7.134, P = .03;
f = 0.374). Athletic trainers employed in the academic model believed that they
had less support from coworkers (3.71 +/- 0.90; chi2 = 6.825, P = .03; f = 0.365)
and immediate supervisors (3.43 +/- 0.90; chi2 = 6.006, P = .050; f = 0.340). No
differences in role conflict were found among the models. CONCLUSIONS:
Organizational infrastructure may play a role in mediating various sources of
conflict, but regardless of facilitators, ATs need to be effective communicators,
have support networks in place, and possess time-management skills.
PMID- 27874300
TI - Parent Training Curriculum for Children With Autism.
PMID- 27874298
TI - Volitional Spine Stabilization During a Drop Vertical Jump From Different Landing
Heights: Implications for Anterior Cruciate Ligament Injury.
AB - CONTEXT: Volitional preemptive abdominal contraction (VPAC) during dynamic
activities may alter trunk motion, but the role of the core musculature in
positioning the trunk during landing tasks is unclear. OBJECTIVE: To determine
whether volitional core-muscle activation incorporated during a drop vertical
jump alters lower extremity kinematics and kinetics, as well as trunk and lower
extremity muscle activity at different landing heights. DESIGN: Controlled
laboratory study. SETTING: Clinical biomechanics laboratory. PATIENTS OR OTHER
PARTICIPANTS: Thirty-two young healthy adults, consisting of 17 men (age = 25.24
+/- 2.88 years, height = 1.85 +/- 0.06 m, mass = 89.68 +/- 16.80 kg) and 15 women
(age = 23.93 +/- 1.33 years, height = 1.67 +/- 0.08 m, mass = 89.68 +/- 5.28 kg).
INTERVENTION(S): Core-muscle activation using VPAC. MAIN OUTCOME MEASURE(S): We
collected 3-dimensional ankle, knee, and hip motions, moments, and powers; ground
reaction forces; and trunk and lower extremity muscle activity during 0.30- and
0.50-m drop vertical-jump landings. RESULTS: During landing from a 0.30-m height,
VPAC performance increased external oblique and semitendinosis activity, knee
flexion, and knee internal rotation and decreased knee-abduction moment and knee
energy absorption. During the 0.50-m landing, the VPAC increased external oblique
and semitendinosis activity, knee flexion, and hip flexion and decreased ankle
inversion and hip-energy absorption. CONCLUSIONS: The VPAC performance during
landing may protect the anterior cruciate ligament during different landing
phases from different heights, creating a protective advantage just before ground
contact and after the impact phase. Incorporating VPAC during high injury-risk
activities may enhance pelvic stability, improve lower extremity positioning and
sensorimotor control, and reduce anterior cruciate ligament injury risk while
protecting the lumbar spine.
PMID- 27874301
TI - Cognitive Predictors of Performance in Well-Trained Table Tennis Players With
Intellectual Disability.
AB - Evidence-based classification systems in Paralympic sport require knowledge of
the underlying effect of impairment in a specific sport. This study investigated
the relationship between cognition and tactical proficiency in 88 well-trained
table tennis players with intellectual disability (ID; 29 women, 59 men, M +/- SD
IQ 59.9 +/- 9.6). Data were collected at 3 competitions sanctioned by the
International Federation for Para-Athletes with Intellectual Disabilities (INAS).
A generic cognitive test consisting of 8 neuropsychological subtests was used to
assess cognitive abilities relevant to sport (reaction time, processing speed,
and decision speed; spatial visualization; fluid reasoning; memory; executive
functioning; and visual processing). The backward stepwise-regression analysis
model revealed that 18% of the variance in tactical proficiency was attributed to
spatial visualization and simple reaction time. Applications of these findings
resulted in an evidence-based classification system that led to the reinclusion
of athletes with ID in Paralympic table tennis and provide the basis for future
research in this important area.
PMID- 27874302
TI - Sprint Performance of Elite Wheelchair Basketball Players: Applicability of a
Laser System for Describing the Velocity Curve.
AB - The purpose of this study was to develop a methodology to accurately analyze
sprint performance of elite wheelchair basketball (WB) players in their own
training context using a laser system and to analyze the velocity curve performed
by the players regarding their functional classification and their playing
position. Twelve WB players, from the Spanish men's national team, took part in
an oncourt 20-m-sprint test. BioLaserSport(r) was used to obtain time, mean
velocities (Vm), maximum velocities (Vmax), and distances at 90%, 95%, and 98% of
their Vmax. Vm and Vmax reached high values in Classes II and III and in the
guard playing position. The protocol developed with the laser system makes it
possible to obtain a precise velocity curve in short sprints and allows easy
analysis of decisive kinematic performance variables in WB players, showing
immediate feedback to coaches and players. The normalized data allow an
interpretation of how much, where, and when Vmax occurs along the test.
PMID- 27874303
TI - Physical Activity Patterns in Youth With Intellectual Disabilities.
AB - The aim of this study was to assess the physical activity (PA) patterns of youth
with intellectual disabilities (ID). PA was monitored for 7 days in 70
participants, 5-15 years old, using accelerometers. There were 32 participants
included in the final analysis. Habitual PA and the number of continuous bouts
accrued for a range of bout lengths (5-600 s) for light (LPA), moderate (MPA),
and vigorous (VPA) PA were calculated. Multivariate analysis of covariance was
used to assess differences in the number of continuous bouts by sex, age, and ID
group and between week and weekend days. Participants exhibited short sporadic
bursts of activity. The number of continuous bouts decreased as the intensity and
duration increased. Few differences in PA patterns were reported by sex, ID
group, and age group and between week and weekend days, possibly due to the
generally low PA levels in this population.
PMID- 27874299
TI - Cooling Effectiveness of a Modified Cold-Water Immersion Method After Exercise
Induced Hyperthermia.
AB - CONTEXT: Recommended treatment for exertional heat stroke includes whole-body
cold-water immersion (CWI). However, remote locations or monetary or spatial
restrictions can challenge the feasibility of CWI. Thus, the development of a
modified, portable CWI method would allow for optimal treatment of exertional
heat stroke in the presence of these challenges. OBJECTIVE: To determine the
cooling rate of modified CWI (tarp-assisted cooling with oscillation [TACO])
after exertional hyperthermia. DESIGN: Randomized, crossover controlled trial.
SETTING: Environmental chamber (temperature = 33.4 degrees C +/- 0.8 degrees C,
relative humidity = 55.7% +/- 1.9%). PATIENTS OR OTHER PARTICIPANTS: Sixteen
volunteers (9 men, 7 women; age = 26 +/- 4.7 years, height = 1.76 +/- 0.09 m,
mass = 72.5 +/- 9.0 kg, body fat = 20.7% +/- 7.1%) with no history of compromised
thermoregulation. INTERVENTION(S): Participants completed volitional exercise
(cycling or treadmill) until they demonstrated a rectal temperature (Tre) >=39.0
degrees C. After exercise, participants transitioned to a semirecumbent position
on a tarp until either Tre reached 38.1 degrees C or 15 minutes had elapsed
during the control (no immersion [CON]) or TACO (immersion in 151 L of 2.1
degrees C +/- 0.8 degrees C water) treatment. MAIN OUTCOME MEASURE(S): The Tre,
heart rate, and blood pressure (reported as mean arterial pressure) were assessed
precooling and postcooling. Statistical analyses included repeated-measures
analysis of variance with appropriate post hoc t tests and Bonferroni correction.
RESULTS: Before cooling, the Tre was not different between conditions (CON:
39.27 degrees C +/- 0.26 degrees C, TACO: 39.30 degrees C +/- 0.39 degrees C; P =
.62; effect size = -0.09; 95% confidence interval [CI] = -0.2, 0.1). At
postcooling, the Tre was decreased in the TACO (38.10 degrees C +/- 0.16 degrees
C) compared with the CON condition (38.74 degrees C +/- 0.38 degrees C; P < .001;
effect size = 2.27; 95% CI = 0.4, 0.9). The rate of cooling was greater during
the TACO (0.14 +/- 0.06 degrees C/min) than the CON treatment (0.04 degrees C/min
+/- 0.02 degrees C/min; t15 = -8.84; P < .001; effect size = 2.21; 95% CI =
0.13, -0.08). These differences occurred despite an insignificant increase in
fluid consumption during exercise preceding CON (0.26 +/- 0.29 L) versus TACO
(0.19 +/- 0.26 L; t12 = 1.73; P = .11; effect size = 0.48; 95% CI = -0.02, 0.14)
treatment. Decreases in heart rate did not differ between the TACO and CON
conditions (t15 = -1.81; P = .09; effect size = 0.45; 95% CI = -22, 2). Mean
arterial pressure was greater at postcooling with TACO (84.2 +/- 6.6 mm Hg) than
with CON (67.0 +/- 9.0 mm Hg; P < .001; effect size = 2.25; 95% CI = 13, 21).
CONCLUSIONS: The TACO treatment provided faster cooling than did the CON
treatment. When location, monetary, or spatial restrictions are present, TACO
represents an effective alternative to traditional CWI in the emergency treatment
of patients with exertional hyperthermia.
PMID- 27874304
TI - Improving Paralympic Development Programs: Athlete and Institutional Pathways and
Organizational Quality Indicators.
PMID- 27874305
TI - Paralympic Legacy: Exploring the Impact of the Games on the Perceptions of Young
People With Disabilities.
AB - The London 2012 Olympic and Paralympic Games aimed to deliver a legacy to
citizens of the United Kingdom, which included inspiring a generation of young
people to participate in sport. This study aimed to understand the legacy of the
Paralympic Games for children with disabilities. Eight adolescents (11-16 yr)
with physical disabilities were interviewed about their perceptions of the
Paralympic Games. Thematic analysis found 3 key themes that further our
understanding of the Paralympic legacy. These were Paralympians as role models,
changing perceptions of disability, and the motivating nature of the Paralympics.
Findings demonstrate that the Games were inspirational for children with
disabilities, improving their self-perceptions. This is discussed in relation to
previous literature, and core recommendations are made.
PMID- 27874306
TI - Power and Speed Differences Between Brazilian Paralympic Sprinters With Visual
Impairment and Their Guides.
AB - This study compared the physical performance of Paralympic sprinters with visual
impairments (PSVI) and their guides in jump and sprint tests. Ten PSVI and guides
executed squat jumps (SJ), countermovement jumps (CMJ), horizontal quintuple
right/left-leg jumps (QR/QL), decuple jumps (DEC), and 50-m-sprint tests. The
guides were superior to the PSVI in SJ (35.9 +/- 6.3 vs 45.6 +/- 3.2 cm), CMJ
(38.5 +/- 6.2 vs 46.7 +/- 4.0 cm), QR (9.2 +/- 1.9 vs 12.7 +/- 1.0 m), QL (9.4 +/
1.9 vs 13.1 +/- 0.8 m), DEC (21.0 +/- 3.3 vs. 27.2 +/- 1.7 m), and 50-m sprints
(8.4 +/- 0.4 vs 7.6 +/- 0.5 m/s). The average differences between the PSVI and
guides in the sprint tests was 10%, range 1-24%. Therefore, substantial
differences in sprinting speed (in favor of the guides) between the peers were
observed. Coaches should develop strategies to train the guides to improve their
muscle-power performance.
PMID- 27874307
TI - Single-center, single-dose, open-label, randomized, two-period crossover study on
the bioavailability of methotrexate administered using a novel prefilled, needle
free delivery system.
AB - OBJECTIVE: Zeneo 1 is a needle-free injection device. We performed a
pharmacokinetic study to investigate the bioequivalence of methotrexate
administered subcutaneously using either the needle-free injection device or a
conventional needle and syringe. RESEARCH DESIGN AND METHODS: This was a single
dose, open-label, laboratory-blind, randomized crossover study performed in adult
healthy volunteers. Each participant received two methotrexate injections (each
25 mg), one via needle-free injection device and one via conventional injection,
with a 21-28 day wash-out interval between dosing. For each participant, the
administration site for both injections was either the abdomen or the thigh. MAIN
OUTCOME MEASURES: The primary pharmacokinetic outcome parameters were AUC(0-t)
and Cmax. Bioequivalence was assessed by standard criteria: whether 90%
confidence intervals of geometric mean ratios for the two administration methods
were within 80-125%. RESULTS: Fifty-two individuals completed the study.
Bioequivalence criteria were met for AUC(0-t), for the overall analysis (both
injection sites: 90% confidence interval: 99.4-103.1%), and for each injection
site separately. Bioequivalence was similarly demonstrated with AUC(0-infinity).
Bioequivalence criteria for Cmax were fulfilled for abdominal administration but
not for the overall analysis. Injection via the needle-free injection device was
well tolerated. LIMITATIONS: Limitations include conducting the study in healthy
volunteers and the relatively small subject number (albeit satisfactory for
bioequivalence). CONCLUSIONS: This study shows that methotrexate injection via
needle-free injection device is bioequivalent to a conventional needle and
syringe in relation to AUC(0-t) and AUC(0-infinity). Studies of needle-free
injection device use in patients requiring methotrexate therapy are planned.
PMID- 27874309
TI - Abundance and Characterization of Perfect Microsatellites on the Cattle Y
Chromosome.
AB - Microsatellites or simple sequence repeats (SSRs) are found in most organisms and
play an important role in genomic organization and function. To characterize the
abundance of SSRs (1-6 base-pairs [bp]) on the cattle Y chromsome, the relative
frequency and density of perfect or uninterrupted SSRs based on the published Y
chromosome sequence were examined. A total of 17,273 perfect SSRs were found,
with total length of 324.78 kb, indicating that approximately 0.75% of the cattle
Y chromosome sequence (43.30 Mb) comprises perfect SSRs, with an average length
of 18.80 bp. The relative frequency and density were 398.92 loci/Mb and 7500.62
bp/Mb, respectively. The proportions of the six classes of perfect SSRs were
highly variable on the cattle Y chromosome. Mononucleotide repeats had a total
number of 8073 (46.74%) and an average length of 15.45 bp, and were the most
abundant SSRs class, while the percentages of di-, tetra-, tri-, penta-, and hexa
nucleotide repeats were 22.86%, 11.98%, 11.58%, 6.65%, and 0.19%, respectively.
Different classes of SSRs varied in their repeat number, with the highest being
42 for dinucleotides. Results reveal that repeat categories A, AC, AT, AAC, AGC,
GTTT, CTTT, ATTT, and AACTG predominate on the Y chromosome. This study provides
insight into the organization of cattle Y chromosome repetitive DNA, as well as
information useful for developing more polymorphic cattle Y-chromosome-specific
SSRs.
PMID- 27874310
TI - Ancient microRNA families that regulate transcription factors are preferentially
preserved during plant radiation.
AB - Essential genes are usually less likely to be lost during evolution, whereas
dispensable genes are lost more frequently. Integrating sacred lotus and other
plant microRNA (miRNA) data, we found different ancient miRNA families that arose
before eudicot radiation exhibit different evolutionary trajectories. Those
ancient miRNA families with higher copy and target numbers, and older age are
more likely to be retained in plant descendants and more conserved in (hairpin
structured) miRNA gene sequences. Interestingly, a large portion of the well
conserved miRNA families in plant lineages can target transcription factors
(TFs). Also, we found miRNA families that target TFs are preferentially retained
after sacred lotus genome duplication. In this article, we provide some points to
discuss why miRNA families that regulate TFs are more likely to be preserved in
plants.
PMID- 27874308
TI - Microbial, metabolomic, and immunologic dynamics in a relapsing genetic mouse
model of colitis induced by T-synthase deficiency.
AB - Intestinal dysbiosis is thought to confer susceptibility to inflammatory bowel
disease (IBD), but it is unknown whether dynamic changes in the microbiome
contribute to fluctuations in disease activity. We explored this question using
mice with intestine-specific deletion of C1galt1 (also known as T-synthase) (Tsyn
mice). These mice develop spontaneous microbiota-dependent colitis with a
remitting/relapsing course due to loss of mucin core-1 derived O-glycans. 16S
rRNA sequencing and untargeted metabolomics demonstrated age-specific
perturbations in the intestinal microbiome and metabolome of Tsyn mice compare
with littermate controls at weeks 3 (disease onset), 5 (during remission), and 9
(after relapse). Colitis remission corresponded to increased levels of
FoxP3+RORgammat+CD4+ T cells in the colonic lamina propria that were positively
correlated with operational taxonomic units (OTUs) in the S24-7 family and
negatively correlated with OTUs in the Clostridiales order. Relapse was
characterized by marked expansion of FoxP3-RORgammat+CD4+ T cells expressing
IFNgamma and IL17A, which were associated with Clostridiales OTUs distinct from
those negatively correlated with FoxP3+RORgammat+CD4+ T cells. Our findings
suggest that colitis remission and relapse in the Tsyn model may reflect
alterations in the microbiome due to reduced core-1 O-glycosylation that shift
the balance of regulatory and pro-inflammatory T cell subsets. We investigated
whether genetic variation in C1galt1 correlated with the microbiome in a cohort
of 78 Crohn's disease patients and 101 healthy controls. Polymorphisms near
C1galt1 (rs10486157) and its molecular chaperone, Cosmc (rs4825729), were
associated with altered composition of the colonic mucosal microbiota, supporting
the relevance of core-1 O-glycosylation to host regulation of the microbiome.
PMID- 27874311
TI - Long-term persistence in protection and response to a hepatitis B vaccine booster
among adolescents immunized in infancy in the western region of China.
AB - OBJECTIVES: To evaluate the persistence of protection from hepatitis B (HB)
vaccination among adolescents immunized with a primary series of HB vaccine as
infants, and the immune response to booster doses. METHODS: Healthy adolescents
aged 15-17 y vaccinated with HB vaccine only at birth were enrolled. Baseline
serum hepatitis B surface antigen (HBsAg), antibody against hepatitis B surface
antigen (anti-HBs) and antibody against hepatitis B core antigen (anti-HBc) were
detected by Enzyme-Linked Immunosorbent Assay (ELISA) and anti-HBs level was
measured using Chemiluminescent Microparticle Immunoassay (CMIA). The rate of HBV
infection was calculated. The seroprotection rate of anti-HBs (>= 10 mIU/ml) and
GMC level were used to evaluate the persistence of immunity from HB vaccination.
Those with anti-HBs < 10 mIU/ml were immunized with booster doses of HB vaccine
and the anamnestic response was assessed. RESULTS: Of 180 adolescents who
received a primary series of HB vaccinations as infants, 3 (1.7%) had HBV
infection and 74 (41.1%) had anti-HBs >= 10 mIU/ml with a GMC of 145.11 mIU/ml.
The remaining 103 (57.2%) with anti-HBs < 10 mIU/ml received a booster dose of 20
MUg HB vaccine and achieved the seroprotection rate of 84% (84/100) and a GMC of
875.19 mIU/ml at one month post-booster. An additional dose of 60 MUg HB vaccine
was administered to the 16 adolescents with anti-HBs < 10 mIU/ml after the first
booster. All of them obtained anti-HBs seroprotection with a GMC of 271.02 mIU/ml
at 1.5 months after an additional dose. CONCLUSIONS: Vaccine-induced immunity
persisted for up to 15-17 y in 89.3% (158/177) of participants after a primary HB
vaccination in infancy. Administering a booster dose of 20MUg HB vaccine elicited
an anamnestic immune responses in the majority of individuals with baseline anti
HBs <10 mIU/ml.
PMID- 27874313
TI - Belief in miracles and attitudes towards voluntary euthanasia.
AB - Results of logistic regression analysis of data from the General Social Survey (N
= 1,799) find that those who have a strong belief in miracles are more likely to
say that a person with an incurable illness should not be allowed to accept
medical treatments that painlessly hasten death than those who have a less strong
belief in miracles or do not believe in miracles, net of respondents' religious
affiliations, frequency of religious attendance, views of the Bible, and other
sociodemographic controls. Results highlight the need to consider specific
religious beliefs when predicting individuals' attitudes towards voluntary
euthanasia.
PMID- 27874314
TI - Optimal control and cost effectiveness analysis for Newcastle disease eco
epidemiological model in Tanzania.
AB - In this paper, a deterministic compartmental eco- epidemiological model with
optimal control of Newcastle disease (ND) in Tanzania is proposed and analysed.
Necessary conditions of optimal control problem were rigorously analysed using
Pontryagin's maximum principle and the numerical values of model parameters were
estimated using maximum likelihood estimator. Three control strategies were
incorporated such as chicken vaccination (preventive), human education campaign
and treatment of infected human (curative) and its' impact were graphically
observed. The incremental cost effectiveness analysis technique used to determine
the most cost effectiveness strategy and we observe that combination of chicken
vaccination and human education campaign strategy is the best strategy to
implement in limited resources. Therefore, ND can be controlled if the farmers
will apply chicken vaccination properly and well in time.
PMID- 27874312
TI - Momilactone B Inhibits Ketosis In Vitro by Regulating the ANGPTL3-LPL Pathway and
Inhibiting HMGCS2.
AB - Ketogenesis is the production of ketone bodies, which provide energy when the
body lacks glucose. Under ketogenic conditions, the body switches from primarily
carbohydrate to fat metabolism to maintain energy balance. However, accumulation
of high levels of ketone bodies in the blood results in ketosis. Treating ketosis
with natural substances is preferable, because they are unlikely to cause side
effects. Momilactone B is an active compound isolated from Korean rice. Based on
previous studies, we hypothesized that momilactone B could inhibit ketosis. We
constructed an in vitro ketosis model by glucose starvation. We used this model
to test the anti-ketosis effects of momilactone B. A primary target for treating
ketosis is angiopoietin-like-3 (ANGPTL3), which modulates lipoprotein metabolism
by inhibiting lipoprotein lipase (LPL), a multifunctional enzyme that breaks down
stored fat to produce triglycerides. We showed that momilactone B could regulate
the ANGPTL3-LPL pathway. However, a strong anti-ketosis candidate drug should
also inhibit ketogenesis. Ketogenesis can be suppressed by inhibiting the
expression of 3-hydroxy-3-methylglutaryl-CoA synthase-2 (HMGCS2), a mitochondrial
enzyme that converts acetyl-CoA to ketone bodies. We found that momilactone B
suppressed the expression of HMGCS2 through the increased expression of STAT5b.
We also elucidated the relationship of STAT5b to ANGPTL3 and LPL expression.
PMID- 27874315
TI - A review of Cognitive neuroscience: A very short introduction.
PMID- 27874316
TI - Extra views on structure and dynamics of DNA loops on nucleosomes studied with
molecular simulations.
AB - It has been shown experimentally that the action of the RSC chromatin remodeler
leads to the formation of an irregular, partially remodeled nucleosome, termed a
remosome. The remosome contains an extra 30-40 base pairs of DNA compared to a
canonical nucleosome. Large-scale molecular simulations have provided information
on the probable structure of remosomes and have explained why they remain stable
in the absence of RSC. Here we explain how these simulations were carried out and
what the resulting remosome models imply in terms of the mechanism of action of
RSC. We notably show that local kinks within DNA are key in explaining how extra
DNA can be in added to nucleosomes without unduly disturbing DNA-histone binding.
PMID- 27874317
TI - Within-culture variation in the content of stereotypes: Application and
development of the stereotype content model in an Eastern European culture.
AB - There is little and unsystematic evidence about whether the content of
stereotypes can vary within a culture. Using the Stereotype Content Model (SCM)
as a theoretical framework, in two studies we examined the content of stereotypes
in an Eastern European culture, namely Romania. Data were collected from four
regions prototypical in terms of economic and social development in Romania, and
we examined whether the content of stereotypes varies across these regions. As
expected, the findings confirm the applicability of the SCM in Romania to reveal
culture-specific stereotypes and provide initial support for within-culture
variation in the content of stereotypes. We discuss, in particular, possible
reasons for two main findings: a strong one-dimensional structure of stereotypes,
and regional differences in stereotype content.
PMID- 27874318
TI - A study with Bangladeshi women: Seeking care for breast health.
AB - In many low- and middle-income countries, breast cancer survival is low. Reasons
for this are multifactorial, but delayed presentation for care is a common theme.
In this survey study with 100 urban Bangladeshi women, we examined the role of
socioeconomic and sociocultural factors on their likelihood to seek breast care
from a family physician. In our multivariate model, a woman's age and education
significantly predicted her likelihood to see a physician. Sociocultural aspects
(e.g., concerns about time commitment of family members, personal household
obligations) were significant at bivariate level. Findings are discussed in
relation to practice, policy, and research.
PMID- 27874319
TI - Grandiose and Vulnerable Narcissism, Materialism, Money Attitudes, and
Consumption Preferences.
AB - Narcissism is increasingly being investigated in the context of consumer
attitudes and behavior. Previous research showed that narcissism is reflected in
materialistic tendencies and money-related attitudes. However, almost all of
these studies concerned the grandiose type of narcissism. We examined
relationships of both grandiose and vulnerable narcissism with materialism, money
attitudes, and consumption preferences in a nonstudent sample. The results showed
that the two types of narcissism are equally associated with materialism and a
tendency to conspicuous (public) consumption. Differences between grandiose and
vulnerable narcissism with regard to money attitudes were observed. Materialism
mediated most of the relationships between narcissism, money attitudes, and
consumption preferences, but the patterns of mediation were different for
grandiose and vulnerable subtypes. Mutual suppression occurred when grandiose
narcissism and materialism predicted anxiety associated with money and when
vulnerable narcissism and materialism predicted money perceived as a source of
evil. The results suggested that not only grandiose, but also vulnerable
narcissists may be prone to excessive consumption and that this can be explained
by their materialistic tendencies.
PMID- 27874321
TI - The blocking effect in associative learning involves learned biases in rapid
attentional capture.
AB - Blocking refers to the finding that less is learned about the relationship
between a stimulus and an outcome if pairings are conducted in the presence of a
second stimulus that has previously been established as a reliable predictor of
that outcome. Attentional models of associative learning suggest that blocking
reflects a reduction in the attention paid to the blocked cue. We tested this
idea in three experiments in which participants were trained in an associative
learning task using a blocking procedure. Attention to stimuli was measured 250
ms after onset using an adapted version of the dot probe task. This task was
presented at the beginning of each learning trial (Experiments 1 and 2) or in
independent trials (Experiment 3). Results show evidence of reduced attention to
blocked stimuli (i.e. "attentional blocking"). In addition, this attentional bias
correlated with the magnitude of blocking in associative learning, as measured by
predictive-value judgments. Moreover, Experiments 2 and 3 found evidence of an
influence of learning about predictiveness on memory for episodes involving
stimuli. These findings are consistent with a central role of learned attentional
biases in producing the blocking effect, and in the encoding of new memories.
PMID- 27874320
TI - A consensual qualitative research analysis of the experience of inmate hospice
caregivers: Posttraumatic growth while incarcerated.
AB - A growing number of correctional facilities train inmates to provide end-of-life
care for dying inmates. This study explores the phenomenological perspective of
inmate-caregivers participating in an inmate-facilitated hospice program (IFHP)
with regard to meaning and purpose in life, attitudes on death and dying, and
perceived personal impact of participation. Twenty-two inmate-caregivers were
interviewed at a maximum-security state correctional facility in the United
States. The interviews were transcribed verbatim and analyzed using the
Consensual Qualitative Research Methodology. Results suggest that participating
in an IFHP may facilitate personal growth and transformation that mirrors the
tenets of posttraumatic growth.
PMID- 27874322
TI - The Relations Between Patterning, Executive Function, and Mathematics.
AB - Patterning, or the ability to understand patterns, is a skill commonly taught to
young children as part of school mathematics curricula. It seems likely that some
aspects of executive function, such as cognitive flexibility, inhibition, and
working memory, may be expressed in the patterning abilities of children. The
primary objective of the present study was to examine the relationship between
patterning and executive functioning for first grade children. In addition, the
relations between patterning, executive functioning, mathematics, and reading
were examined. The results showed that patterning was significantly related to
cognitive flexibility and working memory, but not to inhibition. Patterning,
cognitive flexibility, and working memory were significantly related to
mathematical skills. Only patterning and working memory were significantly
related to reading. Regression analyses and structural equation modeling both
showed that patterning had effects on both reading and mathematics measures, and
that the effects of cognitive flexibility were entirely mediated by patterning.
Working memory had independent effects on reading and mathematics, and also
effects moderated by patterning. In sum, these findings suggest that cognitive
flexibility and working memory are related to patterning and express their
effects on reading and mathematics in whole or in part through patterning.
PMID- 27874323
TI - Flotation of Toxocara canis Eggs in Commercial Bleach and Effects of Bleach
Treatment Times on Larval Development in These Eggs.
AB - Toxocara canis is a common intestinal nematode of young dogs. Puppies contaminate
the environment with large numbers of eggs that can embryonate and become
infective in less than a month. Embryonated eggs are infectious for humans and
other paratenic hosts. Most T. canis infections in humans are asymptomatic;
however, migration of T. canis larvae in the eye and in the central nervous
system can result in vision loss, blindness, and even death. The eggs of T. canis
are highly resistant to harsh environmental conditions and routinely used
chemical disinfectants. The objective of this study was to evaluate the effects
of full-strength commercial bleach (5.25% sodium hypochlorite solution) treatment
on development of T. canis eggs and to report our serendipitous finding that T.
canis eggs in dog feces can float in passive fecal flotation tests using bleach.
We also demonstrated that T. canis eggs could be identified using the McMaster's
fecal eggs counting test using 100% bleach. Toxocara canis eggs collected from
the feces of naturally infected 4-8 wk old puppies were treated with full
strength bleach (5.25% sodium hypochlorite solution) for 15 min, 30 min, 60 min,
and 120 min; washed free of bleach smell by centrifugation; and resuspended in
0.1 N sulfuric acid solution to undergo larval development at room temperature
for 18 days after exposure to bleach. Motile larvae were observed in T. canis
eggs in all groups treated for 15-120 min and eggs continuously exposed to bleach
for 18 days. Our results indicate that bleach may not be an appropriate
disinfectant for dog kennels, cages, or laboratory utensils and work surfaces.
Toxocara canis eggs are resistant to bleach treatment and continue to pose a risk
for canine and human infections. Further study is needed to find the most
appropriate methods for disinfection and removal of eggs to reduce the risk of
transmission of this parasite.
PMID- 27874324
TI - Interaction between Radioadaptive Response and Radiation-Induced Bystander Effect
in Caenorhabditis elegans : A Unique Role of the DNA Damage Checkpoint.
AB - Although radioadaptive responses (RAR) and radiation-induced bystander effects
(RIBE) are two important biological effects of low-dose radiation, there are
currently only limited data that directly address their interaction, particularly
in the context of whole organisms. In previous studies, we separately
demonstrated RAR and RIBE using an in vivo system of C. elegans . In the current
study, we further investigated their interaction in C. elegans , with the ratio
of protruding vulva as the biological end point for RAR. Fourteen-hour-old worms
were first locally targeted with a proton microbeam, and were then challenged
with a high dose of whole-body gamma radiation. Microbeam irradiation of the
posterior pharynx bulbs and rectal valves of C. elegans could significantly
suppress the induction of protruding vulva by subsequent gamma irradiation,
suggesting a contribution of RIBE to RAR in the context of the whole organism.
Moreover, C. elegans has a unique DNA damage response in which the upstream DNA
damage checkpoint is not active in most of somatic cells, including vulval cells.
However, its impairment in atm-1 and hus-1 mutants blocked the RIBE-initiated RAR
of vulva. Similarly, mutations in the atm-1 and hus-1 genes inhibited the RAR of
vulva initiated by microbeam irradiation of the vulva itself. These results
further confirm that the DNA damage checkpoint participates in the induction of
RAR of vulva in C. elegans in a cell nonautonomous manner.
PMID- 27874325
TI - Mixed Beam Murine Harderian Gland Tumorigenesis: Predicted Dose-Effect
Relationships if neither Synergism nor Antagonism Occurs.
AB - Complex mixed radiation fields exist in interplanetary space, and little is known
about their late effects on space travelers. In silico synergy analysis default
predictions are useful when planning relevant mixed-ion-beam experiments and
interpreting their results. These predictions are based on individual dose-effect
relationships (IDER) for each component of the mixed-ion beam, assuming no
synergy or antagonism. For example, a default hypothesis of simple effect
additivity has often been used throughout the study of biology. However, for more
than a century pharmacologists interested in mixtures of therapeutic drugs have
analyzed conceptual, mathematical and practical questions similar to those that
arise when analyzing mixed radiation fields, and have shown that simple effect
additivity often gives unreasonable predictions when the IDER are curvilinear.
Various alternatives to simple effect additivity proposed in radiobiology,
pharmacometrics, toxicology and other fields are also known to have important
limitations. In this work, we analyze upcoming murine Harderian gland (HG) tumor
prevalence mixed-beam experiments, using customized open-source software and
published IDER from past single-ion experiments. The upcoming experiments will
use acute irradiation and the mixed beam will include components of high atomic
number and energy (HZE). We introduce a new alternative to simple effect
additivity, "incremental effect additivity", which is more suitable for the HG
analysis and perhaps for other end points. We use incremental effect additivity
to calculate default predictions for mixture dose-effect relationships, including
95% confidence intervals. We have drawn three main conclusions from this work. 1.
It is important to supplement mixed-beam experiments with single-ion experiments,
with matching end point(s), shielding and dose timing. 2. For HG tumorigenesis
due to a mixed beam, simple effect additivity and incremental effect additivity
sometimes give default predictions that are numerically close. However, if
nontargeted effects are important and the mixed beam includes a number of
different HZE components, simple effect additivity becomes unusable and another
method is needed such as incremental effect additivity. 3. Eventually, synergy
analysis default predictions of the effects of mixed radiation fields will be
replaced by more mechanistic, biophysically-based predictions. However,
optimizing synergy analyses is an important first step. If mixed-beam experiments
indicate little synergy or antagonism, plans by NASA for further experiments and
possible missions beyond low earth orbit will be substantially simplified.
PMID- 27874489
TI - Multiple sclerosis e-registries.
PMID- 27874490
TI - Symptom tracking: from clinically isolated syndrome to advanced multiple
sclerosis.
PMID- 27874326
TI - Alcohol use patterns and alcohol use disorders among young adult, ethnically
diverse bariatric surgery patients.
AB - BACKGROUND: Research suggests that young adults and racial/ethnic minorities are
at an increased risk for problematic alcohol use. Recent studies have also
documented patterns of problematic alcohol use among a subset of weight loss
surgery (WLS) patients. However, to date, there are no published studies
investigating the intersection of these demographic and clinical characteristics.
METHODS: This study used descriptive and inferential analyses to examine alcohol
use patterns, prevalence of pre- and post-WLS alcohol use disorders (AUDs), and
predictors of increased alcohol use and problematic alcohol use post-WLS among
young adult (mean age 26.5 years, SD = 5.5 years) ethnically diverse (57%
Hispanic, 28% non-Hispanic black) WLS patients (N = 69). RESULTS: Over 21% of the
sample had a history of a lifetime AUD, and 4.2% of the sample developed an AUD
post-WLS. In the past 30 days, 14.5% of respondents reported binge drinking, and
42% reported drinking until intoxication. History of a pre-WLS AUD was associated
with an increased frequency of alcohol use post-WLS (P = .012). Age, time since
WLS, the gastric bypass procedure, and pre-WLS history of an AUD were not
significant predictors of binge drinking or drinking to intoxication post-WLS.
CONCLUSIONS: Ethnically diverse, young adults may have an elevated prevalence of
AUD diagnoses pre-WLS. Having a pre-WLS AUD appears to be a risk factor for
increased alcohol use post-WLS. Young adult WLS patients might also demonstrate
high rates of binge drinking and drinking to intoxication. Binge drinking could
be especially problematic considering that WLS could increase sensitivity to
alcohol. Further investigation is warranted with this important subpopulation to
explore risk factors for problematic alcohol use post-WLS; future assessments of
alcohol use should consider potential heightened alcohol sensitivity resulting
from WLS.
PMID- 27874491
TI - Movement disorders in multiple sclerosis and their treatment.
AB - Hyperkinetic movement disorders such as tremors are not uncommon in patients with
multiple sclerosis (MS). The classical feature is intention tremor, whereas rest
tremors appear not to occur. Treatment is mainly invasive, with options of Gamma
Knife surgery, thalamotomy or deep brain stimulation depending on individual
circumstances. Deep brain stimulation is the only option for patients who require
a bilateral intervention. All treatment recommendations have only low evidence.
Tremors can also be cured spontaneously by a subsequent strategic MS lesion.
Paroxysmal dyskinesias are rarer than tremors. The rarest MS movement disorder is
symptomatic paroxysmal choreoathetosis, tonic spasms or 'brain stem fits';
attacks are short but frequent, up to 200 per day and generally respond well to
carbamazepine.
PMID- 27874492
TI - An update on MS Nurse PROfessional, an ongoing project of the European Multiple
Sclerosis Platform.
AB - Within the multidisciplinary team required to manage people with multiple
sclerosis (MS) effectively, the nurse is the central component of coordinated
care and support. A 2009 survey led by the European Multiple Sclerosis Platform,
an umbrella organization of national MS associations, identified variance and
disparity across Europe in the nursing care of MS patients. This led to
development of MS Nurse PROfessional, a continuing medical education-accredited
modular online learning program endorsed and approved by leading international
nursing and professional groups, and people with MS, as a tool to support the
evolving role of the European MS nurse. Analysis of participant experience and
nurse practice to date has been overwhelmingly positive. Expansion of MS Nurse
PRO is underway or planned for future.
PMID- 27874493
TI - Web-based medical and health information in multiple sclerosis: for patients and
physicians.
PMID- 27874494
TI - Pediatric multiple sclerosis: updates in epidemiology, clinical features and
management.
AB - Consensus definitions for acute demyelinating syndromes in children have led to
increased recognition of pediatric multiple sclerosis and improved our
understanding of its pathogenesis, epidemiology and treatment. An estimated 2-10%
of MS patients experience their first clinical symptom in childhood. Multiple
genetic and environmental risk factors have been identified in the pathogenesis
of pediatric MS, although further research to determine their interplay is
required. Clinical trials of emerging disease-modifying therapies in children are
nearing completion. Additional treatment options are expected to bring associated
challenges. As pediatric MS remains relatively uncommon overall, international
collaboration is essential to facilitate research.
PMID- 27874496
TI - 2016 Multiple Sclerosis Experts Summit: Foreword.
PMID- 27874495
TI - Evolution of multiple sclerosis spasticity-associated symptoms: latest data.
AB - In addition to muscle stiffness and increasing mobility restrictions, symptoms
commonly associated with multiple sclerosis (MS) spasticity are spasms, sleep
disturbances, pain, fatigue and bladder dysfunction. Treatment options include
trigger factor avoidance, physiotherapy and antispasticity medication. Oral
antispasticity agents commonly used in Germany are baclofen, tizanidine and
gabapentin, but physician and patient satisfaction with their effectiveness is
low. Over the past few years, randomized controlled trials, observational studies
and registry data have demonstrated the positive risk:benefit profile of add-on 9
delta-tetra-hydrocannabinol:cannabidiol oromucosal spray for moderate-to-severe
resistant MS spasticity. Herein, evidence for this novel therapeutic option is
reviewed. A case study illustrates the level of improvement in daily functioning
that is possible in treatment responders.
PMID- 27874497
TI - Country breakout session highlights.
AB - At the 2016 MS Experts Summit, country-relevant aspects pertaining to the
management of symptoms and disability in multiple sclerosis (MS), with emphasis
on those associated with spasticity, were explored in interactive country
breakout sessions chaired by selected MS experts. Attendees had the opportunity
to review and discuss topics in their own native language. After feedback from
each session leader, key messages were collated and presented in a Plenary
Session by Summit chair, Professor Angelo Ghezzi. Topics at this year's Summit
included: gait tracking (Germany/Switzerland); the Care Alliance against MS
spasticity (Italy); MS spasticity and associated symptoms (France); improvement
in MS symptoms and functionality and patients' independence (Spain); Swedish MS
guidelines (Sweden/Rest of World).
PMID- 27874498
TI - What is new in multiple sclerosis spasticity research? Poster session highlights.
AB - Each year at the MS Experts Summit, relevant research in the field of multiple
sclerosis spasticity is featured in poster sessions. In 2016, six new studies
were presented.
PMID- 27874499
TI - Muscle elastography in multiple sclerosis spasticity.
AB - Ultrasound elastography is a novel imaging modality for evaluating the elasticity
of biological tissues. The technique is widely used in oncology to detect and
differentiate malignant lesions in soft tissues. Studies have explored use of
ultrasound elastography to measure the mechanical properties of muscle in
patients with multiple sclerosis spasticity. Real-time elastography was shown to
correlate well with subjective scales commonly used to measure MS spasticity.
Ultrasound elastography has the potential to become a new objective gold standard
to monitor symptom evolution in patients with MS spasticity and to evaluate the
efficacy of antispasticity treatment.
PMID- 27874500
TI - Rehabilitation in multiple sclerosis: the role of tele-rehabilitation/gaming.
PMID- 27874725
TI - Impact of Perpetrator Type on Attributions of Mother Fault in Child Sexual Abuse.
AB - Blaming nonoffending mothers for child sexual abuse has substantial negative
consequences for both the mother and child victim. Although perpetrator type has
been shown to influence how much blame and responsibility is placed on
nonoffending mothers in child sexual abuse cases, research to date has focused
primarily on perpetrators who are strangers to the child or the child's
biological father, ignoring the effect of other father-figure perpetrators. The
current study examined how differences in perpetrator's relationship to the
mother impacted blame, responsibility, cause, and prevention as separate
constructs. One hundred and eight participants from an online community sample
were randomly assigned to read a vignette describing a child sexual abuse
situation with a female victim and one of two perpetrators: the victim's
biological father or the mother's boyfriend. Participants assigned significantly
higher levels of fault for CSA to the mother when the perpetrator was the
mother's boyfriend. Implications and future directions are discussed.
PMID- 27874726
TI - Maternal Child Sexual Abuse Is Associated With Lower Maternal Warmth Toward
Daughters but Not Sons.
AB - Mothers with a history of child sexual abuse report less warmth toward their
children, but whether this association differs by child gender is unknown. We
examined the association of maternal child sexual abuse and warmth across child
gender, accounting for depression, post-traumatic stress disorder, and child
physical abuse. We verbally administered self-report measures to a cross
sectional sample of 154 mothers with a child between 8 and 12 years old. Eighty
five mothers based warmth responses on a son, and 69 on a daughter. We conducted
a hierarchical multiple regression, including child gender, maternal child sexual
abuse, child physical abuse, depression, post-traumatic stress disorder, and 4
two-way interaction terms with child gender. Maternal depression predicted
decreased warmth, regardless of child gender, and maternal child sexual abuse
predicted decreased warmth, but only toward daughters. Given previous research
suggesting that maternal warmth predicts child well-being, the current finding
may represent an important avenue of intergenerational transmission of risk in
girls.
PMID- 27874727
TI - Socialization Processes and Clergy Offenders.
AB - This article uses feminist theory to investigate how the socialization processes
used to maintain the clergy community in the Roman Catholic Church contributes to
a vulnerability in some clergy for sexually abusing children. This vulnerability
is identified first in an examination of the literature on the impact of
socialization processes on clergy offenders between the 1960s and 1980s. A
comparison is then made with the implications of the apostolic exhortation,
Pastores Dabo Vobis, which provides a theological basis to clergy formation. The
article argues that the document works to ensure a continuity of socialization
processes that not only have been shown to create a vulnerability for committing
child sexual abuse but compound existing vulnerabilities. The article concludes
that constraints produced by the preservation of a hegemonic masculinity and
patriarchy retain a threat of violence against children and require recommended
reforms.
PMID- 27874728
TI - Child Sexual Abuse in Zimbabwe.
AB - Although child sexual abuse is a significant public health problem globally, its
incidence, prevention, and management is less well described in resource-poor
settings. In poorer settings prevention initiatives assume even more importance
since resources for managing abused children are severely limited. This article
examines the current status of policy and practice related to the prevention of
child sexual abuse in Zimbabwe. It identifies implementation challenges and
highlights opportunities that could be embraced to reduce CSA in Zimbabwe, based
on evidence synthesized from recent work. Although Zimbabwe has a well
established legal and regulatory framework to protect children from child sexual
abuse, implementation of existing policies is weak. Financial, human, and
material resource constraints are frequently cited to explain limited prevention
activity. Effective strategies for the prevention of child sexual abuse should
focus on implementing existing legislation, targeting schoolchildren, and getting
community involvement. A dedicated budget would help entrench these strategies,
but gains can be achieved even in the absence of this.
PMID- 27874826
TI - Optimization and Validation of a Fast UPLC Method for Simultaneous Determination
of Hydroquinone, Kojic Acid, Octinoxate, Avobenzone, BHA, and BHT.
AB - A previously published HPLC method for the simultaneous determination of six
major components (hydroquinone, kojic acid, octinoxate, avobenzone, butylated
hydroxyanisole, and butylated hydroxytoluene) in a skin-whitening cream was
transferred and optimized to an ultra-performance LC system. Separation was
achieved in a ZORBAX SB-Phenyl Rapid-Resolution High Throughput column (2.1 * 100
mm, 1.8 MUm), using a mobile phase consisting of water with 0.1% acetic acid and
acetonitrile at a flow rate of 0.7 mL/min. The column was maintained at 40
degrees C, and detection was carried out at 230 nm using a diode-array detector.
These chromatographic conditions allow the separation of the six compounds in 3
min instead of 14 min. The extraction procedure was optimized, reducing the time
and demonstrating its suitability. The method was validated according to
International Conference on Harmonization guidelines, with respect to
specificity, precision, accuracy, and linearity. Selectivity was found to be
satisfactory. Linear regression analysis data for all compounds showed a good
linear relationship, with r2 > 0.999 in the concentration range of 50-120% of the
label claim for each compound. The RSD for precision and accuracy of the method
was found to be less than 2% for all compounds. Comparison of system performance
with the previously published HPLC method was made with respect to analysis time,
efficacy, and resolution. The proposed method is faster and consumes less solvent
and was applied in the determination of six major compounds in batches of skin
whitening cream manufactured during the validation process.
PMID- 27874828
TI - SF-1 expression in the hypothalamus is required for beneficial metabolic effects
of exercise.
AB - Exercise has numerous beneficial metabolic effects. The central nervous system
(CNS) is critical for regulating energy balance and coordinating whole body
metabolism. However, a role for the CNS in the regulation of metabolism in the
context of the exercise remains less clear. Here, using genetically engineered
mice we assessed the requirement of steroidogenic factor-1 (SF-1) expression in
neurons of the ventromedial hypothalamic nucleus (VMH) in mediating the
beneficial effects of exercise on metabolism. We found that VMH-specific deletion
of SF-1 blunts (a) the reductions in fat mass, (b) improvements in glycemia, and
(c) increases in energy expenditure that are associated with exercise training.
Unexpectedly, we found that SF-1 deletion in the VMH attenuates metabolic
responses of skeletal muscle to exercise, including induction of PGC-1alpha
expression. Collectively, this evidence suggests that SF-1 expression in VMH
neurons is required for the beneficial effects of exercise on metabolism.
PMID- 27874827
TI - Weighing serological evidence of human exposure to animal influenza viruses - a
literature review.
AB - Assessing influenza A virus strains circulating in animals and their potential to
cross the species barrier and cause human infections is important to improve
human influenza surveillance and preparedness. We reviewed studies describing
serological evidence of human exposure to animal influenza viruses. Comparing
serological data is difficult due to a lack of standardisation in study designs
and in laboratory methods used in published reports. Therefore, we designed a
scoring system to assess and weigh specificity of obtained serology results in
the selected articles. Many studies report reliable evidence of antibodies to
swine influenza viruses among persons occupationally exposed to pigs. Most avian
influenza studies target H5, H7 and H9 subtypes and most serological evidence of
human exposure to avian influenza viruses is reported for these subtypes. Avian
influenza studies receiving a low grade in this review often reported higher
seroprevalences in humans compared with studies with a high grade. Official
surveillance systems mainly focus on avian H5 and H7 viruses. Swine influenza
viruses and avian subtypes other than H5 and H7 (emphasising H9) should be
additionally included in official surveillance systems. Surveillance efforts
should also be directed towards understudied geographical areas, such as Africa
and South America.
PMID- 27874829
TI - In vivo vizualisation of mono-ADP-ribosylation by dPARP16 upon amino-acid
starvation.
AB - PARP catalysed ADP-ribosylation is a post-translational modification involved in
several physiological and pathological processes, including cellular stress. In
order to visualise both Poly-, and Mono-, ADP-ribosylation in vivo, we engineered
specific fluorescent probes. Using them, we show that amino-acid starvation
triggers an unprecedented display of mono-ADP-ribosylation that governs the
formation of Sec body, a recently identified stress assembly that forms in
Drosophila cells. We show that dPARP16 catalytic activity is necessary and
sufficient for both amino-acid starvation induced mono-ADP-ribosylation and
subsequent Sec body formation and cell survival. Importantly, dPARP16 catalyses
the modification of Sec16, a key Sec body component, and we show that it is a
critical event for the formation of this stress assembly. Taken together our
findings establish a novel example for the role of mono-ADP-ribosylation in the
formation of stress assemblies, and link this modification to a metabolic stress.
PMID- 27874830
TI - Cell culture-based profiling across mammals reveals DNA repair and metabolism as
determinants of species longevity.
AB - Mammalian lifespan differs by >100 fold, but the mechanisms associated with such
longevity differences are not understood. Here, we conducted a study on primary
skin fibroblasts isolated from 16 species of mammals and maintained under
identical cell culture conditions. We developed a pipeline for obtaining species
specific ortholog sequences, profiled gene expression by RNA-seq and small
molecules by metabolite profiling, and identified genes and metabolites
correlating with species longevity. Cells from longer lived species up-regulated
genes involved in DNA repair and glucose metabolism, down-regulated proteolysis
and protein transport, and showed high levels of amino acids but low levels of
lysophosphatidylcholine and lysophosphatidylethanolamine. The amino acid patterns
were recapitulated by further analyses of primate and bird fibroblasts. The study
suggests that fibroblast profiling captures differences in longevity across
mammals at the level of global gene expression and metabolite levels and reveals
pathways that define these differences.
PMID- 27874831
TI - Chronic social isolation reduces 5-HT neuronal activity via upregulated SK3
calcium-activated potassium channels.
AB - The activity of serotonin (5-HT) neurons is critical for mood regulation. In a
mouse model of chronic social isolation, a known risk factor for depressive
illness, we show that 5-HT neurons in the dorsal raphe nucleus are less
responsive to stimulation. Probing the responsible cellular mechanisms pinpoints
a disturbance in the expression and function of small-conductance Ca2+-activated
K+ (SK) channels and reveals an important role for both SK2 and SK3 channels in
normal regulation of 5-HT neuronal excitability. Chronic social isolation renders
5-HT neurons insensitive to SK2 blockade, however inhibition of the upregulated
SK3 channels restores normal excitability. In vivo, we demonstrate that
inhibiting SK channels normalizes chronic social isolation-induced
anxiety/depressive-like behaviors. Our experiments reveal a causal link for the
first time between SK channel dysregulation and 5-HT neuron activity in a
lifelong stress paradigm, suggesting these channels as targets for the
development of novel therapies for mood disorders.
PMID- 27874832
TI - Postictal behavioural impairments are due to a severe prolonged
hypoperfusion/hypoxia event that is COX-2 dependent.
AB - Seizures are often followed by sensory, cognitive or motor impairments during the
postictal phase that show striking similarity to transient hypoxic/ischemic
attacks. Here we show that seizures result in a severe hypoxic attack confined to
the postictal period. We measured brain oxygenation in localized areas from
freely-moving rodents and discovered a severe hypoxic event (pO2 < 10 mmHg) after
the termination of seizures. This event lasted over an hour, is mediated by
hypoperfusion, generalizes to people with epilepsy, and is attenuated by
inhibiting cyclooxygenase-2 or L-type calcium channels. Using inhibitors of these
targets we separated the seizure from the resulting severe hypoxia and show that
structure specific postictal memory and behavioral impairments are the
consequence of this severe hypoperfusion/hypoxic event. Thus, epilepsy is much
more than a disease hallmarked by seizures, since the occurrence of postictal
hypoperfusion/hypoxia results in a separate set of neurological consequences that
are currently not being treated and are preventable.
PMID- 27874833
TI - A Herpesviral induction of RAE-1 NKG2D ligand expression occurs through release
of HDAC mediated repression.
AB - Natural Killer (NK) cells are essential for control of viral infection and
cancer. NK cells express NKG2D, an activating receptor that directly recognizes
NKG2D ligands. These are expressed at low level on healthy cells, but are induced
by stresses like infection and transformation. The physiological events that
drive NKG2D ligand expression during infection are still poorly understood. We
observed that the mouse cytomegalovirus encoded protein m18 is necessary and
sufficient to drive expression of the RAE-1 family of NKG2D ligands. We
demonstrate that RAE-1 is transcriptionally repressed by histone deacetylase
inhibitor 3 (HDAC3) in healthy cells, and m18 relieves this repression by
directly interacting with Casein Kinase II and preventing it from activating
HDAC3. Accordingly, we found that HDAC inhibiting proteins from human
herpesviruses induce human NKG2D ligand ULBP-1. Thus our findings indicate that
virally mediated HDAC inhibition can act as a signal for the host to activate NK
cell recognition.
PMID- 27874834
TI - Recovery of erectile function comparing autologous nerve grafts, unseeded
conduits, Schwann-cell-seeded guidance tubes and GDNF-overexpressing Schwann cell
grafts.
AB - Dissection of the cavernous nerves during radical prostatectomy for prostate
cancer eliminates spontaneous erections. Using the rat as an experimental model,
we compared the regenerative capacity of autologous nerve grafts and Schwann-cell
seeded nerve guides. After bilateral excision of cavernous nerve segments,
cavernous nerves were reconstructed using unseeded silicon tubes, nerve
autografts and silicon tubes seeded with either Glial-cell-line-derived (GDNF)
overexpressing or green fluorescent protein (GFP)-expressing Schwann cells (SCs)
(16 study nerves per group). Control groups underwent either a sham operation or
bilateral excision of cavernous nerve segments without repair. After 12 weeks
erectile function was assessed by neurostimulation and intracavernous pressure
(ICP) measurement. The reconstructed nerve segments were excised and
histologically analyzed. We demonstrated an intact erectile response upon
neurostimulation in 25% (4/16) of autologous nerve grafts, in 50% (8/16) of
unseeded tubes, in 75% (12/16) of the Schwann-cell-GFP group and in 93.75%
(15/16) of the GDNF group. ICP was significantly increased when comparing the
Schwann-cell-GFP group with nerve autografts, unseeded conduits and negative
controls (P<0.005). In conclusion, Schwann-cell-seeded scaffolds combined with
neurotrophic factors are superior to unseeded tubes and autologous nerve grafts.
They present a promising therapeutic approach for the repair of erectile nerve
gaps.
PMID- 27874835
TI - Heterotypic mouse models of canine osteosarcoma recapitulate tumor heterogeneity
and biological behavior.
AB - Osteosarcoma (OS) is a heterogeneous and rare disease with a disproportionate
impact because it mainly affects children and adolescents. Lamentably, more than
half of patients with OS succumb to metastatic disease. Clarification of the
etiology of the disease, development of better strategies to manage progression,
and methods to guide personalized treatments are among the unmet health needs for
OS patients. Progress in managing the disease has been hindered by the extreme
heterogeneity of OS; thus, better models that accurately recapitulate the natural
heterogeneity of the disease are needed. For this study, we used cell lines
derived from two spontaneous canine OS tumors with distinctly different
biological behavior (OS-1 and OS-2) for heterotypic in vivo modeling that
recapitulates the heterogeneous biology and behavior of this disease. Both cell
lines demonstrated stability of the transcriptome when grown as orthotopic
xenografts in athymic nude mice. Consistent with the behavior of the original
tumors, OS-2 xenografts grew more rapidly at the primary site and had greater
propensity to disseminate to lung and establish microscopic metastasis. Moreover,
OS-2 promoted formation of a different tumor-associated stromal environment than
OS-1 xenografts. OS-2-derived tumors comprised a larger percentage of the
xenograft tumors than OS-1-derived tumors. In addition, a robust pro-inflammatory
population dominated the stromal cell infiltrates in OS-2 xenografts, whereas a
mesenchymal population with a gene signature reflecting myogenic signaling
dominated those in the OS-1 xenografts. Our studies show that canine OS cell
lines maintain intrinsic features of the tumors from which they were derived and
recapitulate the heterogeneous biology and behavior of bone cancer in mouse
models. This system provides a resource to understand essential interactions
between tumor cells and the stromal environment that drive the progression and
metastatic propensity of OS.
PMID- 27874837
TI - Effectiveness of Spiritist Passe (Spiritual Healing) on the Psychophysiological
Parameters in Hospitalized Patients.
AB - Context * The coexistence of affective disorders, especially anxiety and
depression, with medical illness is a topic of considerable clinical and research
interest. Complementary biofield modalities are therapies that involve touch or
placement of the hands in or through biofields. Spiritual healing, or Spiritist
passe (SP), is a kind of laying on of hands (LOH), and therefore is a biofield
therapy. Objective * The current study intended to evaluate the effects of SP on
psychological parameters such as anxiety and depression and on the perceptions of
muscle tension and wellness as well as physiological parameters, such as pain
intensity, heart rate (HR), and oxygen saturation (SpO2). Design * This study was
a randomized, controlled trial. Setting * The study took place at the medical
clinic of the clinical hospital of the Federal University of Triangulo Mineiro
(HC/UFTM) in Uberaba, Brazil. Participants * The participants were patients aged
>=18 y who had been hospitalized in the clinic between August 2014 and June 2015.
Intervention * Participants in the no-SP and SP groups were instructed to direct
their thoughts to Jesus with wishes to heal during the intervention. In the SP
group, the patients underwent application of the SP, and in the no-SP group,
workers, students, or volunteers at the Clinical Hospital of Uberaba practiced a
kind of LOH in a nonspiritual therapy with intention to healing emitting sincere
wishes of improvement to the patients by thought. All procedures in those groups
were carried out during a 10-min period on 3 consecutive days. In the control
group, the patients lay for 10 min during the same periods on the 3 days, with no
intervention occurring. Outcome Measures * The study evaluated depression and
anxiety using the Hospital Anxiety and Depression (HAD) scale as well as
patients' pain using a visual analog scale (VAS). The study also measured their
perceptions of muscle tension and wellness and their physiological parameters: HR
and SpO2. Results * Seventy-two patients consented to participate in the study.
The SP group showed statistically significant reductions in anxiety (P < .001)
and depression (P = .008) between baseline and postintervention, with perceptions
of muscle tension significantly decreasing on day 1 (D1) and day 3 (D3) of the
study (both < .001) after the interventions and wellness significantly increasing
between baseline and postintervention (P = .001) and when compared with the
control and no-SP groups, with P = .001. The SP group presented the smallest
numbers for HR after the interventions, but no significant differences were found
between groups for HR, SpO2, and pain on the VAS. Conclusions * The current
research team has concluded that the SP was effective in promoting a state of
muscle relaxation, reducing anxiety and depression, decreasing muscle tension,
and, consequently, raising the perceptions of wellness in hospitalized patients.
PMID- 27874836
TI - Whole-genome sequence, SNP chips and pedigree structure: building demographic
profiles in domestic dog breeds to optimize genetic-trait mapping.
AB - In the decade following publication of the draft genome sequence of the domestic
dog, extraordinary advances with application to several fields have been credited
to the canine genetic system. Taking advantage of closed breeding populations and
the subsequent selection for aesthetic and behavioral characteristics,
researchers have leveraged the dog as an effective natural model for the study of
complex traits, such as disease susceptibility, behavior and morphology,
generating unique contributions to human health and biology. When designing
genetic studies using purebred dogs, it is essential to consider the unique
demography of each population, including estimation of effective population size
and timing of population bottlenecks. The analytical design approach for genome
wide association studies (GWAS) and analysis of whole-genome sequence (WGS)
experiments are inextricable from demographic data. We have performed a
comprehensive study of genomic homozygosity, using high-depth WGS data for 90
individuals, and Illumina HD SNP data from 800 individuals representing 80
breeds. These data were coupled with extensive pedigree data analyses for 11
breeds that, together, allowed us to compute breed structure, demography, and
molecular measures of genome diversity. Our comparative analyses characterize the
extent, formation and implication of breed-specific diversity as it relates to
population structure. These data demonstrate the relationship between breed
specific genome dynamics and population architecture, and provide important
considerations influencing the technological and cohort design of association and
other genomic studies.
PMID- 27874839
TI - Online access and motivation of tutors of health professions higher education.
AB - The case study of PUNTOZERO as an open web lab for activities, research and
support to 5 Master's courses for the health professions is described. A virtual
learning environment integrated in a much wider network including social networks
and open resources was experimented on for five Master's Courses for the health
professions at the University of Parma. A social learning approach might be
applied by the engagement of motivated and skilled tutors. This is not only
needed for the improvement and integration of the digital and collaborative
dimension in higher education, but it aims to introduce issues and biases of
emerging e-health and online networking dimensions for future healthcare
professionals. Elements of e-readiness to train tutors and improve their digital
skills and e-moderation approaches are evident. This emerged during an online and
asynchronous interview with two tutors out of the four that were involved, by the
use of a wiki where interviewer and informants could both read and add contents
and comments.
PMID- 27874838
TI - Associations of Cardiovascular Risk Factors with Carotid Intima-Media Thickness
in Middle-Age Adults and Elders.
AB - AIMS: Elevated carotid intima-media thickness (cIMT) is a preclinical phenotype
of atherosclerotic diseases. There are significant sex differences in the
morbidities of cardiovascular diseases and their major determinants, and we
explored the sex-specific effects of cardiovascular factors on cIMT by a
community-based study. METHODS: We measured the cIMT and cardiovascular profiles
of 1579 residents aged 40-74 years in northern Taiwan. Multivariate regression
analyses were used to assess the effects and contributions of these factors on
cIMT. RESULTS: Males had significantly higher mean (+/-SD) of cIMT than females
(0.668+/-0.113 vs. 0.632+/- 0.100 nm, p<0.0001). The common factors of the best
fit regression models in both sexes were age, BMI, and LDL-/HDL-C ratio; however,
their contributions and effects were different. The partial coefficients of
determination (r2) were 17.9, 5.8, and 4.1%, respectively, for males and were
27.8, 1.4, and 1.2%, respectively, for females. Test statistics showed that the
regression coefficients of BMI and LDL-/HDL-C ratio of males were significantly
higher than those of females. As compared with females, per 1.0 SD increases of
BMI and LDL-/HDL-C in males resulted in 0.0971 (p=0.030) and 0.1177 (p=0.0087),
respectively, SD increases in cIMT. There was no difference in the means of cIMT
between pre- and post-menopausal women of the same age groups. CONCLUSIONS: There
was a significant sex difference in cIMT. The contributions and effects of LDL
/HDL-C ratio and BMI on cIMT were more profound in males. Our findings indicate
that sex-specific factors, but possibly not menstrual status-related factors,
contribute to thicker cIMT.
PMID- 27874840
TI - An integrated narrative nursing model: towards a new healthcare paradigm.
AB - In the traditional biomedical model of clinical practice, which assumes a
medicine focused on disease, diseases are considered as biological or psycho
physiological universal entities. This explanation, although necessary, is not
enough. Several authors have recently become interested in the use of narrative
practices in the medical care setting, underlining the increasing importance of
"a patient-centered approach", a "relationship -centered care" and "narrative
medicine". Even in Nursing, the challenge was to combine two models that seemed
incompatible: the Evidence-Based Nursing Model and the Narrative-Based Nursing
Model. The first one is based on the disease and is capable of reaching
measurable objectives. It is marked by rationality, objectivity, determinism,
unilateralism and linearity, and its methods emphasize logic, control,
measurement and deduction. The second model is based on a global approach,
resulting in a psycho-social perspective which stresses the importance of
individuality, interpersonal relationship, and the illness and sickness as
significant parts of healthcare. Through a short examination of different
narrative models in medicine, we underlined some principles which can be used in
nursing practice and we suggested a new healthcare paradigm based on integrated
narrative nursing. It represents a groundbreaking new normative approach,
deriving from different epistemological (positivist paradigm and interpretive
paradigm) and methodological approaches that integrate quantitative data already
normally detected on the patient, with subjective information obtained from the
person and his family, and by the social impact that the disease causes. The
integrated narrative nursing makes use of quantitative (e.g. scales and
scientific evidence) and qualitative tools (e.g. narratives, autobiographies,
therapeutic emplotment and patient's agenda). This approach, based on holistic
comprehension, hermeneutic dialogue and a high degree of narrative skill,
produces different ways of understanding and offering cure, care and assistance.
This could allow a targeted assessment, a precise diagnosis and a personalized
education. The benefits coming from the use of this paradigm are several, as for
example, to disseminate a personal experience in a perspective of humanization,
to improves quality of life and to create a positive effects on patient care
outcomes.
PMID- 27874841
TI - Using the Theory of Planned Behavior to explore hospital-based nurses' intention
to use peripherally inserted central catheter (PICC): a survey study.
AB - BACKGROUND AND AIM OF THE WORK: The peripherally inserted central catheters
(PICC) have become an alternative to the traditional CVC. PICCs are usually
inserted by trained nurses who decided to attend and complete a special training
on PICC insertion and management. The present work aimed to investigate the
intention of using PICC in a sample of hospital-based nurses using the theory of
planned behavior as theoretical framework. METHODS: A cross-sectional design was
used in which a questionnaire was delivered to 199 nurses. RESULTS: According to
the theory of planned behavior, the attitude toward the use of PICC, subjective
norms and perceived self-efficacy predicted the intention to use PICC. Contrary
to the expectations, the effect of subjective norms on intention to use PICC was
mediated by attitude and self-efficacy. Finally, age of participants was
negatively related to the intention to use the PICC. CONCLUSIONS: The theory of
planned behavior offers a useful framework to explain nurses' intention to use
PICC. Shared norms favoring the use of PICC seem to increase both nurse's
positive attitudes and self-efficacy whit respect to the use of these devices.
Thus, it appears that to train professionals individually does not necessarily
results in an increased use of PICC.
PMID- 27874842
TI - Efficacy of video-music therapy on quality of life improvement in a group of
patients with Alzheimer's disease: a pre-post study.
AB - BACKGROUND AND AIM OF THE STUDY: Alzheimer's disease is the most common
degenerative dementia with a predominantly senile onset. The difficult management
of altered behaviour related to this disorder, poorly responsive to
pharmacological treatments, has stimulated growth in non-pharmacological
interventions, such as music therapy, whose effectiveness has not been supported
by the literature up to now. The aim of this study was to evaluate the efficacy
of video-music therapy on quality of life improvement in Patients affected by
Alzheimer's Disease (AD). METHODS: A pre-post study was conducted in a
residential facility. 32 AD Patients, who attended this facility daily to
participate in supportive and rehabilitative programs, were treated with 2 cycles
of 6 video-music-therapy sessions, which consisted of folk music and video,
recalling local traditions. In order to investigate their cognitive status, Mini
Mental State Examination (MMSE) was administered and Patients were divided into
stages according to MMSE scores. After each session of video-music-therapy,
Quality of Life in Alzheimer's Disease Scale (QOL-AD) was administered to our
Patients. RESULTS: 21 AD Patients completed the 2 cycles of video-music therapy.
Among them, only the Patients with questionable, mild and moderate neurocognitive
impairment (MMSE Stages 1, 2, 3) reported an improvement in their quality of
life, whereas the Patients with severe deterioration (MMSE stage 4) did not
report any change. Many items of QOL-AD improved, showing a statistically
significantly correlation to each other. CONCLUSIONS: Video-music therapy was a
valuable tool for improving the quality of life only in Patients affected by less
severe neurocognitive impairment.
PMID- 27874843
TI - High Fidelity Simulation Experience in Emergency settings: doctors and nurses
satisfaction levels.
AB - BACKGROUND AND AIM OF THE WORK: Lots of studies describe High Fidelity Simulation
(HFS) as an experience well-accepted by the learners. This study has explored
doctors and nurses satisfaction levels during HFS sessions, searching the
associations with the setting of simulation events (simulation center or on the
field simulation). Moreover, we studied the correlation between HFS experience
satisfaction levels and the socio-demographic features of the participants.
METHODS: Mixed method study, using the Satisfaction of High-Fidelity Simulation
Experience (SESAF) questionnaire through an online survey. SESAF was administered
to doctors and nurses who previously took part to HFS sessions in a simulation
center or in the field. Quantitative data were analyzed through descriptive and
inferential statistics methods; qualitative data was performed through the Giorgi
method. RESULTS: 143 doctors and 94 nurses filled the questionnaire. The
satisfaction level was high: on a 10 points scale, the mean score was 8.17 (SD+/
1.924). There was no significant difference between doctors and nurses
satisfaction levels in almost all the SESAF factors. We didn't find any
correlation between gender and HFS experience satisfaction levels. The knowledge
of theoretical aspects of the simulated case before the HFS experience is related
to a higher general satisfaction (r=0.166 p=0.05), a higher effectiveness of
debriefing (r=0,143 p=0,05), and a higher professional impact (r=0.143 p=0.05).
The respondents that performed a HFS on the field, were more satisfied than the
others, and experienced a higher "professional impact", "clinical reasoning and
self efficacy", and "team dynamics" (p< 0,01). Narrative data suggest that HFS
facilitators should improve their behaviors during the debriefing. CONCLUSIONS:
Healthcare managers should extend the HFS to all kind of healthcare workers in
real clinical settings. There is the need to improve and implement the
communication competences of HFS facilitators.
PMID- 27874844
TI - Making visible the invisible and vice versa. Bodies and organisational
arrangements in the Intensive Care Unit.
AB - BACKGROUND AND AIMS: The effectiveness of the treatments carried out in the
Intensive Care Unit (ICU) is guaranteed by a socio-technical ensemble where
material resources, scientific knowledge, technological artefacts, social norms,
spatial dispositions, and professional practices coexist and constantly interact.
This paper intends to penetrate such an ensemble for analysing the moral order
produced and maintained in everyday medical practice. METHOD: The results of a
case study, carried out in the ICU of a hospital in the north of Italy between
2006 and 2007 are reported. The study was performed using ethnographic methods:
participant observation, ethnographic interviews, and semi-structured interviews.
RESULTS: I illustrate how ward organisation and professional practices of medical
and nurse staff create and reproduce two dispositions of body centred on the
staff's perception of the therapeutic appropriateness of patients. On one side,
there are the bodies that staff expect to get better, and these bodies are
exposed through a series of organisational devices and activities in order to
make them immediately accessible and available for every type of treatment; on
the other side, the bodies of chronic and terminal patients tend to become
invisibles in the working practice of the unit. This process does not follow any
guideline or protocol but is embodied in informal routine and communicative
interactions. CONCLUSIONS: The invisibility surrounding certain patients can be
seen as strategies for the management of professional conflict and bioethical
issues that stem from different interpretations of the degree of severity of the
patients' conditions.
PMID- 27874845
TI - Collaboration and emotions to the test: the experience of FILe volunteers in
hospices.
AB - BACKGROUND AND AIMS: This study seeks to provide an in-depth analysis of care
based interaction in the experiences of volunteers working in lenitherapy (pain
therapy) through the case study of hospices in Florence, Italy. METHOD: A
qualitative method of data collection was chosen: the field research made use of
open-ended questionnaires distributed to trained but unspecialised volunteers (V
= 32) and to volunteers with hospital-based medical expertise (PV = 35) acting
for the Italian Lenitherapy Foundation (FILe) and operating in hospices within
the ex-ASL (Local Health Unit) of Florence. RESULTS: By analysing the 67
questionnaires collected, it has been possible to reconstruct and interpret the
interactional dynamics that exist between the various figures present within a
hospice, bringing into focus the most salient aspects of both the organisational
context and emotional setting. CONCLUSIONS: The research experience, by
highlighting everyday unseen aspects that are inaccessible to an 'internal' eye,
has made it possible to better characterise the dynamics of unsuccessful
collaboration between team members and potential areas of conflict. Finally,
where these dynamics have been observed, an attempt has been made to outline
priorities for change and possible directions to be taken, so as to promote
defusing actions and restore synergy and fluidity to the FILe system.
PMID- 27874846
TI - Communications and relationships between patient and nurse in Intensive Care
Unit: knowledge, knowledge of the work, knowledge of the emotional state.
AB - BACKGROUND AND AIM: In an Intensive Care Unit (ICU) the communication between
nurse and patient, the core of the care, is often hindered by patient's cognitive
alterations and critical situation, by devices employed for the mechanical
ventilation, and by the clinical and care-giving setting. How to overcome these
barriers? How is the relational and communicative approach between nurse and
patient unable to express him or herself to be managed? The available literature
reveals that studies on communication with difficult patients, such as those
treated in ICU are currently scarce. METHOD: The present research offers a
contribution in this respect, through fact-finding about the knowledge acquired
by professional studies or work experiences, the personal and institutional
techniques implemented in regards to communication (knowledge of the work), the
relational behaviours and the emotional experience with patients (knowledge of
the emotional state) of nurses working in the Intensive Care Units. A semi
structured interview have been designed and submitted to 30 nurses working in
fourteen Highly Specialized Centres (HUB) in Emilia Romagna, Italy. Two nurses
with different years of experience in the field have been chosen for each
Operating Unit. RESULTS: According to the interviewees paraverbal communication
is the most common way to communicate with patients: different strategies are
employed such as facial expression or lip movement. In any case, the nurse has
the task to choose the most suitable technique according to his or her
experiences, his or her knowledge and the patient him or herself. The results
claim that lack of specific training on communicative aspects of care, should be
combined with an attitude of being prone to listening to and understanding the
needs of the patient and of his or her family as well. CONCLUSION: The
interviewees declare they have a solid preparation in the bio-clinical aspect of
care, but both new hired nurses and experts affirm that they need a specific
training in relational and communicative aspects, proving its importance.
PMID- 27874847
TI - Early changes in emotional processing as a marker of clinical response to SSRI
treatment in depression.
AB - Antidepressant treatment reduces behavioural and neural markers of negative
emotional bias early in treatment and has been proposed as a mechanism of
antidepressant drug action. Here, we provide a critical test of this hypothesis
by assessing whether neural markers of early emotional processing changes predict
later clinical response in depression. Thirty-five unmedicated patients with
major depression took the selective serotonin re-uptake inhibitor (SSRI),
escitalopram (10 mg), over 6 weeks, and were classified as responders (22
patients) versus non-responders (13 patients), based on at least a 50% reduction
in symptoms by the end of treatment. The neural response to fearful and happy
emotional facial expressions was assessed before and after 7 days of treatment
using functional magnetic resonance imaging. Changes in the neural response to
these facial cues after 7 days of escitalopram were compared in patients as a
function of later clinical response. A sample of healthy controls was also
assessed. At baseline, depressed patients showed greater activation to fear
versus happy faces than controls in the insula and dorsal anterior cingulate.
Depressed patients who went on to respond to the SSRI had a greater reduction in
neural activity to fearful versus happy facial expressions after just 7 days of
escitalopram across a network of regions including the anterior cingulate,
insula, amygdala and thalamus. Mediation analysis confirmed that the direct
effect of neural change on symptom response was not mediated by initial changes
in depressive symptoms. These results support the hypothesis that early changes
in emotional processing with antidepressant treatment are the basis of later
clinical improvement. As such, early correction of negative bias may be a key
mechanism of antidepressant drug action and a potentially useful predictor of
therapeutic response.
PMID- 27874849
TI - Systematic chemical-genetic and chemical-chemical interaction datasets for
prediction of compound synergism.
AB - The network structure of biological systems suggests that effective therapeutic
intervention may require combinations of agents that act synergistically.
However, a dearth of systematic chemical combination datasets have limited the
development of predictive algorithms for chemical synergism. Here, we report two
large datasets of linked chemical-genetic and chemical-chemical interactions in
the budding yeast Saccharomyces cerevisiae. We screened 5,518 unique compounds
against 242 diverse yeast gene deletion strains to generate an extended chemical
genetic matrix (CGM) of 492,126 chemical-gene interaction measurements. This CGM
dataset contained 1,434 genotype-specific inhibitors, termed cryptagens. We
selected 128 structurally diverse cryptagens and tested all pairwise combinations
to generate a benchmark dataset of 8,128 pairwise chemical-chemical interaction
tests for synergy prediction, termed the cryptagen matrix (CM). An accompanying
database resource called ChemGRID was developed to enable analysis, visualisation
and downloads of all data. The CGM and CM datasets will facilitate the
benchmarking of computational approaches for synergy prediction, as well as
chemical structure-activity relationship models for anti-fungal drug discovery.
PMID- 27874851
TI - Reduced dynamical maps in the presence of initial correlations.
AB - We introduce a framework for the construction of completely positive dynamical
evolutions in the presence of system-environment initial correlations. The
construction relies upon commutativity of the compatibility domain obtained by
considering the marginals with respect to the environmental degrees of freedom of
the considered class of correlated states, as well as basic properties of
completely positive maps. Our approach allows to consider states that can have
finite discord, though it does not include entangled states, and it explicitly
shows the non-uniqueness of the completely positive extensions of the obtained
dynamical map outside the compatibility domain. The possible relevance of such
maps for the treatment of open quantum system dynamics is critically discussed,
together with the connection to previous literature.
PMID- 27874848
TI - The human BDNF gene: peripheral gene expression and protein levels as biomarkers
for psychiatric disorders.
AB - Brain-derived neurotrophic factor (BDNF) regulates the survival and growth of
neurons, and influences synaptic efficiency and plasticity. The human BDNF gene
consists of 11 exons, and distinct BDNF transcripts are produced through the use
of alternative promoters and splicing events. The majority of the BDNF
transcripts can be detected not only in the brain but also in the blood cells,
although no study has yet investigated the differential expression of BDNF
transcripts at the peripheral level. This review provides a description of the
human BDNF gene structure as well as a summary of clinical and preclinical
evidence supporting the role of BDNF in the pathogenesis of psychiatric
disorders. We will discuss several mechanisms as possibly underlying BDNF
modulation, including epigenetic mechanisms. We will also discuss the potential
use of peripheral BDNF as a biomarker for psychiatric disorders, focusing on the
factors that can influence BDNF gene expression and protein levels. Within this
context, we have also characterized, for we believe the first time, the
expression of BDNF transcripts in the blood, with the aim to provide novel
insights into the molecular mechanisms and signaling that may regulate peripheral
BDNF gene expression levels.
PMID- 27874852
TI - A global gas flaring black carbon emission rate dataset from 1994 to 2012.
AB - Global flaring of associated petroleum gas is a potential emission source of
particulate matters (PM) and could be notable in some specific regions that are
in urgent need of mitigation. PM emitted from gas flaring is mainly in the form
of black carbon (BC), which is a strong short-lived climate forcer. However, BC
from gas flaring has been neglected in most global/regional emission inventories
and is rarely considered in climate modeling. Here we present a global gas
flaring BC emission rate dataset for the period 1994-2012 in a machine-readable
format. We develop a region-dependent gas flaring BC emission factor database
based on the chemical compositions of associated petroleum gas at various oil
fields. Gas flaring BC emission rates are estimated using this emission factor
database and flaring volumes retrieved from satellite imagery. Evaluation using a
chemical transport model suggests that consideration of gas flaring emissions can
improve model performance. This dataset will benefit and inform a broad range of
research topics, e.g., carbon budget, air quality/climate modeling, and
environmental/human exposure.
PMID- 27874850
TI - A microarray whole-genome gene expression dataset in a rat model of inflammatory
corneal angiogenesis.
AB - In angiogenesis with concurrent inflammation, many pathways are activated, some
linked to VEGF and others largely VEGF-independent. Pathways involving
inflammatory mediators, chemokines, and micro-RNAs may play important roles in
maintaining a pro-angiogenic environment or mediating angiogenic regression.
Here, we describe a gene expression dataset to facilitate exploration of pro
angiogenic, pro-inflammatory, and remodelling/normalization-associated genes
during both an active capillary sprouting phase, and in the restoration of an
avascular phenotype. The dataset was generated by microarray analysis of the
whole transcriptome in a rat model of suture-induced inflammatory corneal
neovascularisation. Regions of active capillary sprout growth or regression in
the cornea were harvested and total RNA extracted from four biological replicates
per group. High quality RNA was obtained for gene expression analysis using
microarrays. Fold change of selected genes was validated by qPCR, and protein
expression was evaluated by immunohistochemistry. We provide a gene expression
dataset that may be re-used to investigate corneal neovascularisation, and may
also have implications in other contexts of inflammation-mediated angiogenesis.
PMID- 27874853
TI - Introduction of neutralizing immunogenicity index to the rational design of MERS
coronavirus subunit vaccines.
AB - Viral subunit vaccines often contain immunodominant non-neutralizing epitopes
that divert host immune responses. These epitopes should be eliminated in vaccine
design, but there is no reliable method for evaluating an epitope's capacity to
elicit neutralizing immune responses. Here we introduce a new concept
'neutralizing immunogenicity index' (NII) to evaluate an epitope's neutralizing
immunogenicity. To determine the NII, we mask the epitope with a glycan probe and
then assess the epitope's contribution to the vaccine's overall neutralizing
immunogenicity. As proof-of-concept, we measure the NII for different epitopes on
an immunogen comprised of the receptor-binding domain from MERS coronavirus (MERS
CoV). Further, we design a variant form of this vaccine by masking an epitope
that has a negative NII score. This engineered vaccine demonstrates significantly
enhanced efficacy in protecting transgenic mice from lethal MERS-CoV challenge.
Our study may guide the rational design of highly effective subunit vaccines to
combat MERS-CoV and other life-threatening viruses.
PMID- 27874854
TI - Graphene materials as 2D non-viral gene transfer vector platforms.
AB - Advances in genomics and gene therapy could offer solutions to many diseases that
remain incurable today, however, one of the critical reasons halting clinical
progress is due to the difficulty in designing efficient and safe delivery
vectors for the appropriate genetic cargo. Safety and large-scale production
concerns counter-balance the high gene transfer efficiency achieved with viral
vectors, while non-viral strategies have yet to become sufficiently efficient.
The extraordinary physicochemical, optical and photothermal properties of
graphene-based materials (GBMs) could offer two-dimensional components for the
design of nucleic acid carrier systems. We discuss here such properties and their
implications for the optimization of gene delivery. While the design of such
vectors is still in its infancy, we provide here an exhaustive and up-to-date
analysis of the studies that have explored GBMs as gene transfer vectors,
focusing on the functionalization strategies followed to improve vector
performance and on the biological effects attained.
PMID- 27874855
TI - Conditional control of suicide gene expression in tumor cells with theophylline
responsive ribozyme.
AB - Numerous synthetic RNA-based controls for integrating sensing switches with
function devices have been demonstrated in a variety of organisms for gene
regulation. Although potential advantages of RNA-based genetic control strategies
have been shown in clinical applications, successfully extending these engineered
systems into medical applications has seldom been reported. Here, a synthetic RNA
based ribozyme system and its application in advancing rationally designed
cellular therapy were described. The theophylline-responsive, ribozyme-based
device provided a powerful platform for suicide gene expression regulation in
tumor cells. Moreover, we demonstrate the ability of our synthetic controller to
modulate effectively the viability of the cells in response to drug input. Our
RNA-based regulatory system could dose-dependently fine-tune transgene expression
in mammalian cells and address urgent limitations in existing genetic control
strategies for gene- and cell-based therapies in the future.
PMID- 27874856
TI - In vivo Editing of the Human Mutant Rhodopsin Gene by Electroporation of Plasmid
based CRISPR/Cas9 in the Mouse Retina.
AB - The bacterial CRISPR/Cas system has proven to be an efficient tool for genetic
manipulation in various organisms. Here we show the application of CRISPR-Cas9
technology to edit the human Rhodopsin (RHO) gene in a mouse model for autosomal
dominant Retinitis Pigmentosa. We designed single or double sgRNAs to knock-down
mutant RHO expression by targeting exon 1 of the RHO gene carrying the P23H
dominant mutation. By delivering Cas9 and sgRNAs in a single plasmid we induced
an efficient gene editing in vitro, in HeLa cells engineered to constitutively
express the P23H mutant RHO allele. Similarly, after subretinal electroporation
of the CRISPR/Cas9 plasmid expressing two sgRNAs into P23H RHO transgenic mice,
we scored specific gene editing as well as significant reduction of the mutant
RHO protein. Successful in vivo application of the CRISPR/Cas9 system confirms
its efficacy as a genetic engineering tool in photoreceptor cells.
PMID- 27874857
TI - Antisense Oligonucleotide Mediated Splice Correction of a Deep Intronic Mutation
in OPA1.
AB - Inherited optic neuropathies (ION) present an important cause of blindness in the
European working-age population. Recently we reported the discovery of four
independent families with deep intronic mutations in the main inherited optic
neuropathies gene OPA1. These deep intronic mutations cause mis-splicing of the
OPA1 pre-messenger-RNA transcripts by creating cryptic acceptor splice sites. As
a rescue strategy we sought to prevent mis-splicing of the mutant pre-messenger
RNA by applying 2'O-methyl-antisense oligonucleotides (AONs) with a full-length
phosphorothioate backbone that target the cryptic acceptor splice sites and the
predicted novel branch point created by the deep intronic mutations,
respectively. Transfection of patient-derived primary fibroblasts with these AONs
induced correct splicing of the mutant pre-messenger-RNA in a time and
concentration dependent mode of action, as detected by pyrosequencing of
informative heterozygous variants. The treatment showed strong rescue effects
(~55%) using the cryptic acceptor splice sites targeting AON and moderate rescue
(~16%) using the branch point targeting AON. The highest efficacy of Splice
correction could be observed 4 days after treatment however, significant effects
were still seen 14 days post-transfection. Western blot analysis revealed
increased amounts of OPA1 protein with maximum amounts at ~3 days post-treatment.
In summary, we provide the first mutation-specific in vitro rescue strategy for
OPA1 deficiency using synthetic AONs.
PMID- 27874858
TI - Prompt gravity signal induced by the 2011 Tohoku-Oki earthquake.
AB - Transient gravity changes are expected to occur at all distances during an
earthquake rupture, even before the arrival of seismic waves. Here we report on
the search of such a prompt gravity signal in data recorded by a superconducting
gravimeter and broadband seismometers during the 2011 Mw 9.0 Tohoku-Oki
earthquake. During the earthquake rupture, a signal exceeding the background
noise is observed with a statistical significance higher than 99% and an
amplitude of a fraction of MUGal, consistent in sign and order of magnitude with
theoretical predictions from a first-order model. While prompt gravity signal
detection with state-of-the-art gravimeters and seismometers is challenged by
background seismic noise, its robust detection with gravity gradiometers under
development could open new directions in earthquake seismology, and overcome
fundamental limitations of current earthquake early-warning systems imposed by
the propagation speed of seismic waves.
PMID- 27874859
TI - A single heterochronic blood exchange reveals rapid inhibition of multiple
tissues by old blood.
AB - Heterochronic parabiosis rejuvenates the performance of old tissue stem cells at
some expense to the young, but whether this is through shared circulation or
shared organs is unclear. Here we show that heterochronic blood exchange between
young and old mice without sharing other organs, affects tissues within a few
days, and leads to different outcomes than heterochronic parabiosis.
Investigating muscle, liver and brain hippocampus, in the presence or absence of
muscle injury, we find that, in many cases, the inhibitory effects of old blood
are more pronounced than the benefits of young, and that peripheral tissue injury
compounds the negative effects. We also explore mechanistic explanations,
including the role of B2M and TGF-beta. We conclude that, compared with
heterochronic parabiosis, heterochronic blood exchange in small animals is less
invasive and enables better-controlled studies with more immediate translation to
therapies for humans.
PMID- 27874860
TI - Targeted drug delivery through the traceless release of tertiary and heteroaryl
amines from antibody-drug conjugates.
AB - The reversible attachment of a small-molecule drug to a carrier for targeted
delivery can improve pharmacokinetics and the therapeutic index. Previous studies
have reported the delivery of molecules that contain primary and secondary amines
via an amide or carbamate bond; however, the ability to employ tertiary-amine
containing bioactive molecules has been elusive. Here we describe a bioreversible
linkage based on a quaternary ammonium that can be used to connect a broad array
of tertiary and heteroaryl amines to a carrier protein. Using a concise,
protecting-group-free synthesis we demonstrate the chemoselective modification of
12 complex molecules that contain a range of reactive functional groups. We also
show the utility of this connection with both protease-cleavable and reductively
cleavable antibody-drug conjugates that were effective and stable in vitro and in
vivo. Studies with a tertiary-amine-containing antibiotic show that the resulting
antibody-antibiotic conjugate provided appropriate stability and release
characteristics and led to an unexpected improvement in activity over the
conjugates previously connected via a carbamate.
PMID- 27874861
TI - The scope and mechanism of palladium-catalysed Markovnikov alkoxycarbonylation of
alkenes.
AB - Hydroesterification reactions represent a fundamental type of carbonylation
reaction and constitute one of the most important industrial applications of
homogeneous catalysis. Over the past 70 years, numerous catalyst systems have
been developed that allow for highly linear-selective (anti-Markovnikov)
reactions and are used in industry to produce linear carboxylates starting from
olefins. In contrast, a general catalyst system for Markovnikov-selective
alkoxycarbonylation of aliphatic olefins remains unknown. In this paper, we show
that a specific palladium catalyst system consisting of PdX2/N-phenylpyrrole
phosphine (X, halide) catalyses the alkoxycarbonylation of various alkenes to
give the branched esters in high selectivity (branched selectivity up to 91%).
The observed (and unexpected) selectivity has been rationalized by density
functional theory computation that includes a dispersion correction.
PMID- 27874863
TI - Antibody-drug conjugates: The missing link.
PMID- 27874862
TI - A decarboxylative approach for regioselective hydroarylation of alkynes.
AB - Regioselective activation of aromatic C-H bonds is a long-standing challenge for
arene functionalization reactions such as the hydroarylation of alkynes. One
possible solution is to employ a removable directing group that activates one of
several aromatic C-H bonds. Here we report a new catalytic method for
regioselective alkyne hydroarylation with benzoic acid derivatives during which
the carboxylate functionality directs the alkyne to the ortho-C-H bond with
elimination in situ to form a vinylarene product. The decarboxylation stage of
this tandem sequence is envisioned to proceed with the assistance of an ortho
alkenyl moiety, which is formed by the initial alkyne coupling. This ruthenium
catalysed decarboxylative alkyne hydroarylation eliminates the common need for
pre-existing ortho-substitution on benzoic acids for substrate activation,
proceeds under redox-neutral and relatively mild conditions, and tolerates a
broad range of synthetically useful aromatic functionality. Thus, it
significantly increases the synthetic utility of benzoic acids as easily
accessible aromatic building blocks.
PMID- 27874864
TI - New ligands for nickel catalysis from diverse pharmaceutical heterocycle
libraries.
AB - Ligands are essential for controlling the reactivity and selectivity of reactions
catalysed by transition metals. Access to large phosphine ligand libraries has
become an essential tool for the application of metal-catalysed reactions
industrially, but these existing libraries are not well suited to new catalytic
methods based on non-precious metals (for example, Ni, Cu and Fe). The
development of the requisite nitrogen- and oxygen-based ligand libraries lags far
behind that of the phosphines and the development of new libraries is anticipated
to be time consuming. Here we show that this process can be dramatically
accelerated by mining for new ligands in a typical pharmaceutical compound
library that is rich in heterocycles. Using this approach, we were able to screen
a structurally diverse set of compounds with minimal synthetic effort and
identify several new ligand classes for nickel-catalysed cross-electrophile
coupling. These new ligands gave improved yields for challenging cross-couplings
of pharmaceutically relevant substrates compared with those of those of
previously published ligands.
PMID- 27874867
TI - Einsteinium declassified.
PMID- 27874865
TI - Direct observation of subpicosecond vibrational dynamics in photoexcited
myoglobin.
AB - Determining the initial pathway for ultrafast energy redistribution within
biomolecules is a challenge, and haem proteins, for which energy can be deposited
locally in the haem moiety using short light pulses, are suitable model systems
to address this issue. However, data acquired using existing experimental
techniques that fail to combine sufficient structural sensitivity with adequate
time resolution have resulted in alternative hypotheses concerning the interplay
between energy flow among highly excited vibrational levels and potential
concomitant electronic processes. By developing a femtosecond-stimulated Raman
set-up, endowed with the necessary tunability to take advantage of different
resonance conditions, here we visualize the temporal evolution of energy
redistribution over different vibrational modes in myoglobin. We establish that
the vibrational energy initially stored in the highly excited Franck-Condon
manifold is transferred with different timescales into low- and high-frequency
modes, prior to slow dissipation through the protein. These findings demonstrate
that a newly proposed mechanism involving the population dynamics of specific
vibrational modes settles the controversy on the existence of transient
electronic intermediates.
PMID- 27874866
TI - Oxadiazole grafts in peptide macrocycles.
AB - Synthetic methods that provide control over macrocycle conformation and, at the
same time, mitigate the polarity of peptide bonds represent valuable tools for
the discovery of new bioactive molecules. Here, we report a macrocyclization
reaction between a linear peptide, an aldehyde and (N
isocyanimino)triphenylphosphorane. This process generates head-to-tail cyclic
peptidomimetics in a single step. This method is tolerant to variation in the
peptide and aldehyde components and has been applied for the synthesis of 15-, 18
, 21- and 24-membered rings. The resulting peptide macrocycles feature a 1,3,4
oxadiazole and a tertiary amine in their scaffolds. This non-canonical backbone
region acts as an endocyclic control element that promotes and stabilizes a
unique intramolecular hydrogen-bond network and can lead to macrocycles with
conformationally rigid turn structures. Oxadiazole-containing macrocycles can
also display a high passive membrane permeability, an important property for the
development of bioavailable peptide-based therapeutics.
PMID- 27874868
TI - Macrocyclic peptides: Tying up loose ends.
PMID- 27874870
TI - 2016 Nobel Prize in Chemistry: Molecular machines.
PMID- 27874869
TI - Oriented electric fields as future smart reagents in chemistry.
AB - Oriented external electric fields (OEEFs) as 'smart reagents' are no longer a
theoretical dream. Here, we discuss the wide-ranging potential of using OEEFs to
catalyse and control a variety of non-redox reactions and impart selectivity at
will. An OEEF along the direction of electron reorganization (the so-called
reaction axis) will catalyse nonpolar reactions by orders of magnitude, control
regioselectivity and induce spin-state selectivity. Simply flipping the direction
of the OEEF or orienting it off of the reaction axis, will control at will the
endo/exo ratio in Diels-Alder reactions and steps in enzymatic cycles. This
Perspective highlights these outcomes using theoretical results for hydrogen
abstraction reactions, epoxidation of double bonds, C-C bond forming reactions,
proton transfers and the cycle of the enzyme cytochrome P450, as well as recent
experimental data. We postulate that, as experimental techniques mature, chemical
syntheses may become an exercise in zapping oriented molecules with OEEFs.
PMID- 27874872
TI - Mechanically controlled quantum interference in individual pi-stacked dimers.
AB - Recent observations of destructive quantum interference in single-molecule
junctions confirm the role of quantum effects in the electronic conductance
properties of molecular systems. These effects are central to a broad range of
chemical and biological processes and may be beneficial for the design of single
molecule electronic components to exploit the intrinsic quantum effects that
occur at the molecular scale. Here we show that destructive interference can be
turned on or off within the same molecular system by mechanically controlling its
conformation. Using a combination of ab initio calculations and single-molecule
conductance measurements, we demonstrate the existence of a quasiperiodic
destructive quantum-interference pattern along the breaking traces of pi-stacked
molecular dimers. The results demonstrate that it is possible to control the
molecular conductance over more than one order of magnitude and with a sub
angstrom resolution by exploiting the subtle structure-property relationship of
pi-stacked dimers.
PMID- 27874871
TI - Mass spectrometry captures off-target drug binding and provides mechanistic
insights into the human metalloprotease ZMPSTE24.
AB - Off-target binding of hydrophobic drugs can lead to unwanted side effects, either
through specific or non-specific binding to unintended membrane protein targets.
However, distinguishing the binding of drugs to membrane proteins from that of
detergents, lipids and cofactors is challenging. Here, we use high-resolution
mass spectrometry to study the effects of HIV protease inhibitors on the human
zinc metalloprotease ZMPSTE24. This intramembrane protease plays a major role in
converting prelamin A to mature lamin A. We monitored the proteolysis of
farnesylated prelamin A peptide by ZMPSTE24 and unexpectedly found retention of
the C-terminal peptide product with the enzyme. We also resolved binding of zinc,
lipids and HIV protease inhibitors and showed that drug binding blocked prelamin
A peptide cleavage and conferred stability to ZMPSTE24. Our results not only have
relevance for the progeria-like side effects of certain HIV protease inhibitor
drugs, but also highlight new approaches for documenting off-target drug binding.
PMID- 27874874
TI - C-H activation: Good things come in threes.
PMID- 27874873
TI - Enabling singlet fission by controlling intramolecular charge transfer in pi
stacked covalent terrylenediimide dimers.
AB - When an assembly of two or more molecules absorbs a photon to form a singlet
exciton, and the energetics and intermolecular interactions are favourable, the
singlet exciton can rapidly and spontaneously produce two triplet excitons by
singlet fission. To understand this process is important because it may prove to
be technologically significant for enhancing solar-cell performance. Theory
strongly suggests that charge-transfer states are involved in singlet fission,
but their role has remained an intriguing puzzle and, up until now, no molecular
system has provided clear evidence for such a state. Here we describe a
terrylenediimide dimer that forms a charge-transfer state in a few picoseconds in
polar solvents, and undergoes equally rapid, high-yield singlet fission in
nonpolar solvents. These results show that adjusting the charge-transfer-state
energy relative to those of the exciton states can serve to either inhibit or
promote singlet fission.
PMID- 27874875
TI - Novel aspects of autoimmunity.
PMID- 27874876
TI - Immunology & Cell Biology Publication of the Year Awards 2015.
PMID- 27874877
TI - Low-dose radiotherapy for primary cutaneous anaplastic large-cell lymphoma while
on low-dose methotrexate.
AB - Primary cutaneous anaplastic large-cell lymphoma (pcALCL) is part of a spectrum
of CD30+ primary cutaneous lymphoproliferative disorders (pcLPDs) that also
includes lymphomatoid papulosis (LyP). Localized radiotherapy at doses of 34 to
44 Gy is first-line treatment of pcALCL, but the use of low-dose radiotherapy for
pcALCL has not been reported. We present the case of a patient with a history of
pcALCL/LyP who was treated with low-dose radiotherapy while on oral low-dose
methotrexate (MTX) once weekly. This report suggests that low-dose radiotherapy
can be an effective palliative treatment of pcALCL. Low-dose radiotherapy may
offer certain advantages over traditional radiotherapy, such as a more economical
and efficient treatment for patients, potentially fewer short-term and long-term
side effects, and the potential for concomitant use with low-dose MTX.
PMID- 27874878
TI - Presenting treatment safety data: subjective interpretations of objective
information.
AB - Educating patients about the risks of treatment is obligatory. An inherent
problem with risk education is that patients can become terrified of rare risks
associated with the treatment, resulting in possible treatment refusal, poor
adherence, and continued disease symptoms. Such fears are a common obstacle
observed with the use of biologic medications to treat psoriasis. In this
article, we use graphical presentation of psoriasis safety data to illustrate how
patients subjectively interpret objective information. Different ways of
presenting safety data graphically include the use of truncated, full, and
inversed y-axes, resulting in certain likely perceptions by the patient. Despite
a physician's best intentions to give a patient objective information, patients
will subjectively interpret objective safety data. Therefore, when presenting
information, whether in the form of numerical descriptions or graphical
presentations, the physician must use his/her best judgment to determine how to
present safety data to patients to ensure their well-being and properly inform
them about their treatment options.
PMID- 27874879
TI - Bullous pemphigoid associated with a lymphoepithelial cyst of the pancreas.
AB - Bullous pemphigoid (BP) is an acquired, autoimmune, subepidermal blistering
disorder. A possible paraneoplastic association has been suggested; however,
debate remains regarding the precise relationship of these neoplasms with BP. We
present a case of recalcitrant BP in a 67-year-old man with a pancreatic neoplasm
that was found to be a lymphoepithelial cyst. Immunoperoxidase staining of the
cyst demonstrated C3d along the basement membrane of the stratified squamous
epithelium, suggesting that the BP may have involved the lymphoepithelial cyst
itself. Shortly after excision of the cyst, BP rapidly resolved without any
immunosuppressive treatment, raising the possibility that the immunologic process
involving the lymphoepithelial cyst of the pancreas was the inciting factor for
the patient's cutaneous disease. Although rare, some cases of BP may be a
paraneoplastic process. A thorough screening via patient history and directed
laboratory studies may be warranted in recalcitrant cases.
PMID- 27874880
TI - What makes feedback productive?
PMID- 27874881
TI - Crusted plaque in the umbilicus.
PMID- 27874883
TI - Exploration of modern military research resources.
AB - This article outlines the unique resources available in the US Military to
investigate epidemiologic trends, disease pathology, and clinical outcomes. These
resources are available to military researchers and civilian collaborators and
provide an invaluable research platform.
PMID- 27874882
TI - Pruritic papules on the scalp and arms.
PMID- 27874884
TI - The Proposed Rule and payments for 2017: the good, the bad, and the ugly.
PMID- 27874885
TI - Patient-reported outcomes of azelaic acid foam 15% for patients with
papulopustular rosacea: secondary efficacy results from a randomized, controlled,
double-blind, phase 3 trial.
AB - Patient-reported treatment outcomes are important for evaluating the impact of
drug therapies on patient experience. A randomized, double-blind, vehicle
controlled, parallel-group, multicenter, phase 3 study was conducted in 961
participants to assess patient perception of efficacy, utility, and effect on
quality of life (QOL) of an azelaic acid (AzA) 15% foam formulation for the
treatment of papulopustular rosacea (PPR). Secondary end points included patient
reported global assessment of treatment response, global assessment of
tolerability, and opinion on cosmetic acceptability and practicability of product
use. Quality of life assessments included the Dermatology Quality of Life Index
(DLQI) and Rosacea Quality of Life Index (RosaQOL). Self-reported global
assessment of treatment response favored AzA foam over vehicle foam (P<.001),
with 57.2% of the AzA foam group reporting excellent or good improvement versus
44.7% in the vehicle foam group. Tolerability was rated excellent or good in
67.8% of the AzA foam group versus 78.2% of the vehicle foam group. Mean overall
DLQI scores at end of treatment (EoT) were improved (P=.018) in favor of the AzA
foam group compared with the vehicle foam group. Both treatment groups showed
improvements in RosaQOL. Treatment with AzA foam was associated with improved QOL
and meaningful reductions in the patient-perceived burden of PPR, which
correlates with earlier reported primary end points of this study and supports
the inclusion of patient perspectives in studies evaluating the effects of
topical dermatologic treatments.
PMID- 27874886
TI - Beaded papules along the eyelid margins.
PMID- 27874887
TI - Blaschkoid unilateral patch on the chest.
PMID- 27874888
TI - Epidermodysplasia verruciformis and the risk for malignancy.
PMID- 27874890
TI - Diagnosis of a rapidly growing preauricular nodule: chondroid syringoma or
pleomorphic adenoma?
PMID- 27874889
TI - Acute inflammatory skin reaction during neutrophil recovery after antileukemic
therapy.
PMID- 27874891
TI - Recurrent cerebriform connective tissue nevus on the foot of a patient with
Proteus syndrome.
PMID- 27874892
TI - Idiopathic livedo racemosa presenting with splenomegaly and diffuse
lymphadenopathy.
AB - Sneddon syndrome (SS) is a rare condition and the diagnosis is made only when
other more common disease entities have been excluded. Common manifestations in
SS patients include hypertension, coronary artery disease, venous thrombosis,
miscarriages, psychiatric disturbances, and arterial and venous thrombotic
events. Most patients present in their early 30s with classic neurovascular and
dermatologic signs. Currently, the main criteria for the diagnosis of SS include
livedo racemosa, focal neurological deficits or evidence of stroke on magnetic
resonance imaging, or characteristic vascular alterations seen on biopsy. We
present the case of a 37-year-old woman with extensive livedo racemosa, chronic
migraine headaches, splenomegaly, and lymphadenopathy. Cutaneous biopsies
demonstrated a superficial perivascular lymphocytic infiltrate without the
subendothelial proliferative changes or fibrosis seen in some patients with SS.
The patient's medical history suggested idiopathic livedo racemosa with possible
full progression to SS. This case highlights the variability in the clinical
presentation of SS and that the disease often can be diagnosed before
neurovascular events. Earlier diagnosis can lead to prevention of chronic
occlusive neurovascular manifestations and irreversible damage such as myocardial
infarction and stroke. Familiarity with the highly variable early course of SS
can aid in diagnosis and reduction of morbidity and mortality that is associated
with this disease.
PMID- 27874894
TI - How to increase patient adherence to therapy.
AB - How do we increase patient adherence to therapy? As dermatologists, we will see
thousands of patients over the course of our careers, most with treatable
conditions that will improve with therapy and others with chronic or genetic
conditions that will at least be made more tolerable with therapy. Approximately
half of patients with chronic conditions are adherent to therapy. Why some
patients adhere to treatment and others do not can be difficult to understand.
The emotional makeup, culture, family background, socioeconomic status, and
motivation of each person is unique, which leads to complexity. This column is
not meant to answer a question that is both complex and broad; rather, it is
meant to survey and summarize the literature on this enigmatic topic.
PMID- 27874893
TI - Abnormal wound healing related to high-dose systemic corticosteroid therapy in a
patient with Ehlers-Danlos syndrome benign hypermobility Type.
AB - The adverse impact of chronic corticosteroid therapy on wound healing has been
well characterized, as has abnormal wound healing known to occur in the classic
type of Ehlers-Danlos syndrome (EDS). In contrast, abnormal wound healing is
absent in cases of EDS benign hypermobility type (EDS-BHT). We present the case
of a patient with EDS-BHT with no history of abnormal wound healing who developed
large nonhealing ulcers to sites of minor trauma after initiating therapy with
high-dose systemic corticosteroids for dermatomyositis. This case provides a
dramatic illustration of the effects of chronic systemic corticosteroids on skin
fragility and wound healing in a patient with an underlying genetic defect of the
connective tissue.
PMID- 27874895
TI - CO2 reduction with Re(i)-NHC compounds: driving selective catalysis with a
silicon nanowire photoelectrode.
AB - The CO2-reduction activity of two Re(i)-NHC complexes is investigated employing a
silicon nanowire photoelectrode to drive catalysis. Photovoltages greater than
440 mV are observed along with excellent selectivity towards CO over H2
formation. The observed selectivity towards CO production correlates with strong
adsorption of the catalysts on the photoelectrode surface.
PMID- 27874896
TI - Unusual acid-base properties of the P4 molecule in hydrogen-, halogen-, and
pnicogen-bonded complexes.
AB - Ab initio MP2/aug'-cc-pVTZ calculations have been carried out to investigate
hydrogen bonding, halogen bonding, and pnicogen bonding involving tetrahedral P4
and the FH, ClH, and FCl molecules. P4 has three unique interaction sites: at a
vertex (designated the P1 atom); at an edge (the P2-P3 bond); and at the P2-P3-P4
face. The uniqueness of molecular P4 is its ability to act as an electron donor
and an electron acceptor at the same site, except for the P2-P3 bond, which is
only an electron donor. FCl and FH form five different complexes with P4, but ClH
forms only three. The type of complex formed and its binding energy depend on
both the interaction site of molecular P4 and the interacting molecule. For all
complexes with FH, ClH, and FCl, the binding energies at a given site with the P4
molecule acting as the base are greater than the binding energies when P4 is the
acid. Thus, P4 is a better electron donor than an electron acceptor. Charge
transfer interactions and EOM-CCSD spin-spin coupling constants across hydrogen,
halogen, and pnicogen bonds are reported for all of the P4 complexes. Relative to
1J(Pi-Pj) in molecular P4, 1J(P1-P2) coupling constants decrease in absolute
value and 1J(P2-P3) coupling constants increase in pnicogen-bonded complexes and
the complex with FCl that has a PF halogen bond. Absolute values of 1J(P1-P2)
increase and those of 1J(P2-P3) decrease in hydrogen-bonded complexes and
complexes with PCl halogen bonds. 1J(P1-P2) and 1J(P2-P3) exhibit a single linear
correlation with the corresponding Pi-Pj distances.
PMID- 27874897
TI - Extraction of Nb(v) by quaternary ammonium-based solvents: toward organic
hexaniobate systems.
AB - Solvent extraction of Nb(v) from alkaline aqueous media using quaternary ammonium
solutions, especially Aliquat(r) 336 diluted in an aliphatic diluent, was
investigated. The hexaniobate ions (HxNb6O19x-8) were extracted into the organic
phase with very high yields at room temperature and within a few minutes,
affording easy access to organic solutions of hexaniobates. Several parameters
were found to influence the extraction of HxNb6O19x-8 including the nature and
concentration of alkali cations, confirming subtle effects previously described
for polyoxoniobates such as ion-pairing with alkali ions. The extraction of
HxNb6O19x-8 with Aliquat(r) 336 is also influenced if competing anions are
present in the aqueous phase (NO3-, Cl-, C2O42-, SO42- and CO32-) and varies with
the pH mainly due to the competitive extraction of hydroxide ions at high pH. The
co-extraction of sodium ions with HxNb6O19x-8 was observed as well as the co
extraction of water molecules, suggesting a self-association of the extractant.
The proposed liquid-liquid extraction generic system paves the way for innovative
niobium (and potentially tantalum) hydrometallurgical processes and it may also
afford more direct routes for exploring the chemistry of hexaniobates in organic
solvents.
PMID- 27874899
TI - Exposure assessment of natural uranium from drinking water.
AB - The uranium concentration in the drinking water of the residents of the Jaipur
and Ajmer districts of Rajasthan has been measured for exposure assessment. The
daily intake of uranium from the drinking water for the residents of the study
area is found to vary from 0.4 to 123.9 MUg per day. For the average uranium
ingestion rate of 35.2 MUg per day for a long term exposure period of 60 years,
estimations have been made for the retention of uranium in different body organs
and its excretion with time using ICRP's biokinetic model of uranium. Radioactive
and chemical toxicity of uranium has been reported and discussed in detail in the
present manuscript.
PMID- 27874898
TI - Polymer-mediated ternary supramolecular interactions for sensitive detection of
peptides.
AB - A combination of donor-acceptor and electrostatic interactions in a three
component supramolecular system has been shown to form the basis for selective
and sensitive detection of peptides. Different substituents in the polymer and
the detection matrix were compared to demonstrate that the favorable donor
acceptor interactions explain the observed signal enhancement. The ternary
supramolecular interactions discovered in this work are enabled by the self
packing behavior of amphiphilic homopolymers and their ability to mediate
interactions between the detection matrix and peptide that facilitate sensitive
detection of peptides.
PMID- 27874900
TI - Photosensitive organic field effect transistors: the influence of ZnPc morphology
and bilayer dielectrics for achieving a low operating voltage and low bias stress
effect.
AB - Photosensitive-organic field effect transistors (PS-OFETs) based on a morphology
controlled zinc phthalocyanine (ZnPc) layer, with an inorganic-organic bilayer
gate dielectric system, fabricated on a glass substrate showed remarkable
efficiency as light sensors at various incident optical powers. The indium tin
oxide (ITO) and Si/SiO2 free low-cost OFET devices show low bias stress and a
reduced operating voltage with aluminum oxide and poly(methyl methacrylate)
(Al2O3/PMMA) as bilayer gate dielectrics and copper (Cu) as a top contact. They
exhibit excellent p-channel behavior with a remarkable photo-responsivity of
2679.40 A W-1 and a photo-ON/OFF current ratio of 933.56 with a very low
operating voltage (0 to -8 V), which have not been observed previously. The bias
stress effect of the device was investigated under both light and dark conditions
in a vacuum. It was observed that the effect of the stress is extremely small in
the presence of light (a decay of IDS of ~ 20% after 30 min) compared to the
dark, with a characteristic carrier relaxation time tau' ~ 104 s. This device
with high electrical stability under ambient conditions and a low threshold
voltage under constant electrical bias stress is expected to have potential
applications in optoelectronic devices and energy efficient sensors.
PMID- 27874901
TI - The assembly of thiophene-based bis-pyridyl-bis-amide CoII coordination polymers
and their polypyrrole-functionalized hybrid materials for boosting their
photocatalytic performances.
AB - Herein, a series of multifunctional CoII coordination polymer (CP) materials,
which were based on a new semi-rigid thiophene-containing bis-pyridyl-bis-amide
N,N'-bis(pyridine-3-yl)thiophene-2,5-dicarboxamide (3-bptpa) ligand and different
polycarboxylates, namely, [Co(3-bptpa)(1,3-BDC)].2H2O (CP1), [Co(3-bptpa)(5
MIP)].2H2O (CP2), [Co(3-bptpa)(1,3,5-HBTC)] (CP3), [Co(3-bptpa)(5-NIP)].2H2O
(CP4) and [Co(3-bptpa)(1,3-BDC)].2H2O (CP5) (1,3-H2BDC = 1,3-benzenedicarboxylic
acid, 5-H2MIP = 5-methylisophthalic acid, 1,3,5-H3BTC = 1,3,5
benzenetricarboxylic acid, 5-H2NIP = 5-nitroisophthalic acid) and their
polypyrrole (PPy)-functionalized hybrid materials PPy/CPn (n = 1, 2, 3, 4, 5),
have been prepared. The CP materials were structurally characterized by single
crystal X-ray diffraction analyses, IR spectra, UV-vis diffuse-reflectance
spectra (DRS), powder X-ray diffraction (PXRD) and thermal gravimetric analyses
(TG). The structural analyses indicate that CP1-CP4 reveal similar 2D networks.
CP5 exhibits a 2-fold interpenetrating 3D alpha-Po framework. The PPy
functionalized CP1-CP5 hybrid materials PPy/CPn were fabricated by the
combination of micro-size CP particles and PPyvia a facile in situ chemical
oxidation polymerization process under the initiation of ammonium persulfate
(APS). The photocatalytic properties of CP1-CP5 and PPy/CPn hybrid materials have
been investigated in detail. As a result, after being decorated with PPy, the
photocatalytic properties of the hybrid materials, particularly PPy/CP3, have
been observably enhanced for the degradation of methylene blue (MB) under visible
light irradiation.
PMID- 27874902
TI - Iridium(iii) phosphorescent complexes with dual stereogenic centers: single
crystal, electronic circular dichroism evidence and circularly polarized
luminescence properties.
AB - Iridium complexes with a chiral metal center and chiral carbons, Lambda/Delta
(dfppy)2Ir(chty-R) and Lambda/Delta-(dfppy)2Ir(chty-S), were synthesized and
characterized. These isomers have the same steady-state photophysical properties,
and obvious offsets in ECD spectra highlight both the chiral sources. Each
enantiomeric couple shows mirror-image CPL bands with a dissymmetry factor in the
order of 10-3.
PMID- 27874908
TI - Rivaroxaban for Thromboprophylaxis After Nonelective Orthopedic Trauma Surgery in
Switzerland.
AB - This study investigated the effectiveness and the outcomes of rivaroxaban vs the
standard of care for venous thromboembolic prophylaxis in patients undergoing
fracture-related surgery. A total of 413 patients undergoing fracture-related
surgery from 9 Swiss orthopedic and trauma centers were enrolled. The authors
selected the type of venous thromboembolic prophylaxis according to standardized
medical practice at the participating centers before the inclusion of patients:
208 patients received rivaroxaban and 205 received the standard of care. Data on
symptomatic thromboembolic and bleeding events, surgery-related complications,
death, adverse events, time to mobilization, and hospital discharge were
collected. Symptomatic thromboembolic events were reported in 1 patient (0.5%)
and 2 patients (1.0%) and treatment-emergent major bleeding events were reported
in 1 patient (0.5%) and 2 patients (1.0%) receiving rivaroxaban and the standard
of care, respectively. The durations of hospital stay and venous thromboembolic
prophylaxis were similar in the 2 groups. In both groups, adverse events related
to venous thromboembolic prophylaxis were reported in 12 patients. The proportion
of patients with minor and major fracture surgery was 74.3% and 25.7%,
respectively. In patients undergoing minor fracture surgery receiving rivaroxaban
(n=167) and the standard of care (n=140), no symptomatic thromboembolic events
and no major bleeding events were reported. Outcomes of this study indicate that
rivaroxaban might be an appropriate oral alternative for venous thromboembolic
prophylaxis in routine medical care after fracture-related major and minor
surgery. Reported results were comparable to those from other large-scale,
noninterventional and randomized controlled studies. [Orthopedics. 2017;
40(2):109-116.].
PMID- 27874909
TI - Risk Factors for Intraoperative Proximal Femoral Fracture During Primary
Cementless THA.
AB - Intraoperative proximal femoral fracture, one of the most common complications of
total hip arthroplasty (THA), occurs more often in cementless procedures and can
affect rehabilitation, hospitalization time, and cost of treatment. The goal of
this study was to identify risk factors for intraoperative proximal femoral
fracture in THA to identify high-risk groups preoperatively and minimize the
incidence of this complication. This nested case-control study included 904
primary cementless THA procedures (769 patients) performed between January 2009
and July 2015. Of this group, 24 fractures occurred, accounting for 2.65% of
cases. Predisposing factors for intraoperative proximal femoral fracture from the
medical records included patient sex, diagnosis of osteoarthritis, operated on
hip (left or right), type of implant, alcohol consumption, operative approach,
age, and body mass index. The Noble classification, Dorr classification, and
Metaphyseal-Diaphyseal Index score measured by picture archiving and
communication systems were used to evaluate the anatomy and morphologic features
of the proximal femur. A multivariate analysis was performed to evaluate
potential risk factors for fracture during THA, including anterolateral (modified
Hardinge) approach, use of the Corail (DePuy, Warsaw, Indiana) stem, Metaphyseal
Diaphyseal Index score, age, and sex. A Corail stem, the anterolateral approach,
advanced age, and a low Metaphyseal-Diaphyseal Index score were associated with
increased risk of fracture. All fractures were treated with cerclage wire
techniques, and none has required revision to date. [Orthopedics. 2017;
40(2):e281-e287.].
PMID- 27874910
TI - Short Versus Long Cephalomedullary Nails for Pertrochanteric Hip Fracture.
AB - This study compared patients who underwent treatment with short or long
cephalomedullary nails with integrated cephalocervical screws and linear
compression. Patients with AO/OTA 31-A2 or A3 pertrochanteric fractures treated
with either short (n=72) or long (n=97) InterTAN (Smith & Nephew, Memphis,
Tennessee) cephalomedullary nails were reviewed. Information on perioperative
measures (estimated blood loss, surgical time, and fluoroscopy time) and
postoperative orthopedic complications (infection, implant failure, screw cutout,
and periprosthetic femur fracture) was included. Estimated blood loss (short
nail, 161 mL; long nail, 208 mL; P=.002) and surgical time (short nail, 64
minutes; long nail, 83 minutes; P=.001) were lower in the short nail group. There
were no differences in fluoroscopy time (short nail, 90 seconds; long nail, 142
seconds; P=.071) or rates of infection (short nail, 1.4%; long nail, 3.1%;
P=.637) or overall orthopedic complications (short nail, 11.1%; long nail, 9.3%;
P=.798) between the 2 groups. The long nail group had a trend toward more screw
cutouts (long nail, 5.2%; short nail, 0.0%; P=.134) but fewer periprosthetic
femur fractures (short nail, 8.3%; long nail, 0.0%; P=.013). This study found a
similar overall rate of orthopedic complications between short and long nails
with integrated cephalocervical screws and linear compression. These results
confirm the suspected advantages of short nails, including faster surgery and
less blood loss; however, the rate of periprosthetic femur fracture remains high,
despite changes to implant design. [Orthopedics. 2017; 40(2):83-88.].
PMID- 27874911
TI - Outcomes of ACL Reconstruction With Fixed Versus Variable Loop Button Fixation.
AB - Suspensory femoral fixation of anterior cruciate ligament (ACL) grafts with fixed
loop button and variable loop button devices has gained popularity for ACL
reconstruction. This study examined these 2 methods of fixation to determine
their effect on graft laxity and patient-reported outcome scores. A database
search was performed to identify patients who had undergone ACL reconstruction
with either a fixed loop or a variable loop button technique performed by the
primary surgeon. Lysholm, Tegner, and 12-Item Short Form Health Survey scores
were obtained, and KT-1000 knee ligament arthrometer (MEDmetric, San Diego,
California) mechanical knee testing was performed. Results were compared with the
uninjured knee. Of the 112 patients who were identified, 91 met the study
criteria. Of these patients, 57 completed KT-1000 knee testing, 33 in the
variable group and 24 in the fixed group. The average KT-1000 value for the
variable group was 0.38 mm, and the average for the closed group was 0.92 mm
(P=.19; 95% confidence interval, -0.28 to 1.35). Among the 19 patients in the
variable group and the 13 in the closed group who completed the subjective
outcomes questionnaires, no statistically significant difference was found.
Clinically lax knees (KT-1000>3 mm) were found in 6.1% and 12.5% of patients in
the variable group and the fixed group, respectively (P=.2). The variable group
had a rerupture rate of 4.7%, whereas the fixed group had a rerupture rate of
8.7% (P=.21). The study found no statistical difference in ACL graft laxity or
postoperative functional outcomes between grafts fixed with the variable loop or
fixed loop button technique. [Orthopedics. 2017; 40(2):e275-e280.].
PMID- 27874912
TI - Corticosteroid Injections: A Review of Sex-Related Side Effects.
AB - Corticosteroid injections are used as a nonoperative modality to combat acute
inflammation when conservative treatments fail. As female patients are regularly
seen by orthopedic physicians, it is essential to identify and understand
potential sex-related side effects. The aim of this article is to examine
available literature for sex-related side effects of orthopedic-related
corticosteroid injections. Although the incidence is low, sex-related side
effects, such as abnormal menstruation, lactation disturbances, facial flushing,
and hirsutism, are associated with corticosteroid injections. Physicians should
be aware of these female-specific side effects and relay this information as part
of the informed consent process. [Orthopedics. 2017; 40(2):e211-e215.].
PMID- 27874913
TI - Metal-on-Metal Total Hip Arthroplasty: Quality of Online Patient Information.
AB - Metal-on-metal total hip arthroplasty (THA) has generated much attention in the
media because of early failure of certain implant systems. This study assessed
the quality, accuracy, and readability of online information on metal-on-metal
THA. The search terms "metal-on-metal hip replacement" and "metal hip
replacement" were entered into the 3 most popular search engines. Information
quality was assessed with the DISCERN score and a specific metal-on-metal THA
content score. Accuracy of information was assessed with a customized score.
Readability of the websites was assessed with the Flesch-Kincaid grade level
score. A total of 61 unique websites were assessed. For 56% of websites, the
target audience was patients. Media or medicolegal sources accounted for 44% of
websites. As assessed by DISCERN (range, 16-80) and metal-on-metal THA (range, 0
25) scores, quality of the websites was moderate at best (47.1 and 9.6,
respectively). Accuracy (range, 0-8) of the information presented also was
moderate, with a mean score of 6.6. Media and medicolegal websites had the lowest
scores for both quality and accuracy, despite making up the greatest proportion
of sites assessed. Only 1 website (2%) had a Flesch-Kincaid grade level at or
less than the recommended level of 8th grade. This study found that online
information on metal-on-metal THA was of poor quality, often was inaccurate, and
was presented at an inappropriately high reading level, particularly for media
and medicolegal websites. Health care providers should counsel patients on the
quality of information available and recommend appropriate online resources.
[Orthopedics. 2017; 40(2):e262-e268.].
PMID- 27874915
TI - ?
PMID- 27874914
TI - Surgical Cost Disclosure May Reduce Operating Room Expenditures.
AB - Health care expenditures are rising in the United States. Recent policy changes
are attempting to reduce spending through the development of value-based payment
systems that rely heavily on cost transparency. This study was conducted to
investigate whether cost disclosure influences surgeons to reduce operating room
expenditures. Beginning in 2012, surgeon scorecards were distributed at a
regional health care system. The scorecard reported the actual direct supply cost
per case for a specific procedure and compared each surgeon's data with those of
other surgeons in the same subspecialty. Rotator cuff repair was chosen for
analysis. Actual direct supply cost per case was calculated quarterly and
collected over a 2-year period. Surgeons were given a questionnaire to determine
their interest in the scorecard. Actual direct supply cost per rotator cuff
repair procedure decreased by $269 during the study period. A strong correlation
(R2=0.77) between introduction of the scorecards and cost containment was
observed. During the study period, a total of $39,831 was saved. Of the surgeons
who were queried, 89% were interested in the scorecard and 56% altered their
practice as a result. Disclosure of surgical costs may be an effective way to
control operating room spending. The findings suggest that providing physicians
with knowledge about their surgical charges can alter per-case expenditures.
[Orthopedics. 2017; 40(2):e269-e274.].
PMID- 27874916
TI - Treatment options for permanent teeth with deep caries.
AB - The goal of this narrative review was to summarize and compare treatment options
for permanent teeth carious lesions that are radiographically close to the pulp
chamber. Thanks to adhesive restorative materials, minimally invasive approaches
are possible. In this context, the old question arises as to whether caries must
be excavated completely, i.e., down to hard dentin, or whether it could be
advantageous to leave some soft dentin, or even merely seal the whole lesion. To
answer this question, the microbiological aspects of the lesion must be
considered, along with the immunological response in the dental pulp, with the
concurrent possible negative outcomes for the patient. Both aspects are
considered in this review, and clinical studies comparing different treatment
modalities are discussed. Situations in which calcium silicate cements could be
advantageous over the gold standard calcium hydroxide preparations for covering
the dentin/pulp wound are also discussed.
PMID- 27874917
TI - ?
AB - This script gives a pragmatic advice for dentists on pain control and anti
inflammation treatment considering current literature and state of the art of
analgesic treatment. Naproxen seems to be the feasible anti-inflammatory
painkiller as it has a lower cardio-vascular risk profile compared to other
NSAID. The higher gastrointestinal bleeding risk can be mitigated using proton
pump inhibitors. Additionally, the duration of the drug effect of about 12?15
hours allows excellent patient compliance and comfort in comparison to other
NSAID.
PMID- 27874907
TI - Natural products as mediators of disease.
AB - Covering: up to 2016Humans are walking microbial ecosystems, each harboring a
complex microbiome with the genetic potential to produce a vast array of natural
products. Recent sequencing data suggest that our microbial inhabitants are
critical for maintaining overall health. Shifts in microbial communities have
been correlated to a number of diseases including infections, inflammation,
cancer, and neurological disorders. Some of these clinically and diagnostically
relevant phenotypes are a result of the presence of small molecules, yet we know
remarkably little about their contributions to the health of individuals. Here,
we review microbe-derived natural products as mediators of human disease.
PMID- 27874918
TI - ?
AB - Dental aplasia of heterogenous etiology may cause jaw growth disturbance,
malocclusion, esthetic discontent and psychosocial impairment. By a case report
of a young patient suffering from hypodontia, class II malocclusion and a deep
bite the intricate interdisciplinary diagnosis- and treatment-protocol targeting
the functional and esthetic rehabilitation is illustrated.
PMID- 27874919
TI - ?
AB - In a case report the stomatognathic rehabilitation of a patient with class III
malocclusion and mandibular bilateral interdental gaps from the diagnosis and
treatment planning through to the stepwise realization of the orthodontic,
surgical and prosthetic treatment is presented. Explicit information about the
proposed treatment, risks and the prospective outcome beforehand ensured the
patient?s compliance during the extensive procedure.
PMID- 27874920
TI - [Better intensive care outcomes for men than women especially in septic shock and
after cardiac arrest].
AB - Better intensive care outcomes for men than women especially in septic shock and
after cardiac arrest In this study 11 764 intensive care admissions to Skane
University Hospital in Sweden between 2008 and 2015 were studied for any gender
differences in outcome for different diagnoses. As known from previous studies,
men had more intensive care admissions and had a higher morbidity necessitating
the use of risk adjusted mortality measures. Through a simple but new application
of VLAD we found this risk-adjusted mortality measure to be more sensitive in
detecting differences in mortality between groups than the more commonly used
SMR. The main finding was somewhat surprisingly that intensive care outcomes are
better for males than females. This difference was most marked in septic shock
and post cardiac arrest and did not seem to be caused by unequal distribution of
care. Our findings were also confirmed using a bootstrap technique on EMR-matched
cohorts.
PMID- 27874921
TI - ?
PMID- 27874923
TI - [Brave new health care].
PMID- 27874922
TI - [New model for the diagnosis of urinary tract infections proposed].
PMID- 27874924
TI - [A provincial doctor and his fight against infectious diseases].
PMID- 27874925
TI - ?
PMID- 27874926
TI - [Swedish child health is doing well--but increased segregation and growing health
care costs worries].
PMID- 27874927
TI - [Far from equal stroke care].
PMID- 27874928
TI - ?
PMID- 27874929
TI - [The prioritization center: We welcome a dialogue on open priorities].
PMID- 27874930
TI - [Time to remove health care from the issue about regions].
PMID- 27874931
TI - [The county council's own drug trials provide unequal care].
PMID- 27874932
TI - ?
PMID- 27874934
TI - Effect of nitric oxide inhalation for the treatment of neonatal pulmonary
hypertension.
AB - OBJECTIVE: To investigate the effect of nitric oxide (NO) inhalation for the
treatment of neonatal pulmonary hypertension. PATIENTS AND METHODS: Eighty-six
patients with neonatal pulmonary hypertension who were treated for the first time
Xuzhou Children's Hospital from January 2013 to January 2016 were selected and
randomly divided into the observation group and control group, with 43 cases
each. Patients in the control group were treated with high-frequency oscillatory
ventilation, while those in the observation group were treated with high
frequency oscillatory ventilation combined with inhalational NO therapy. The
therapeutic effects were compared. RESULTS: Over time, fraction of inspired
oxygen (FiO2) of patients in both groups decreased, and the FiO2 levels of
patients in the observation group at the different time points were lower than
those of the control group; oxygen pressure (PaO2) and oxygen saturation (SpO2)
showed an upward trend; the PaO2 and SpO2 levels in the observation group were
higher than those of the control group at all time points. Oxygenation index (OI)
increased, and the OI levels of the observation group at each time point were
higher than those of the control group. Pulmonary artery pressure decreased at
each time point, and the levels in the observation group were lower than those of
the control group. The differences were statistically significant (p < 0.05). The
duration of mechanical ventilation, duration of oxygen therapy, and mortality in
the observation group were significantly lower than those of the control group,
and the differences were statistically significant (p < 0.05). CONCLUSIONS: Using
NO inhalation to treat neonatal pulmonary hypertension can significantly improve
oxygen supply, reduce pulmonary artery pressure, shorten treatment time, and
reduce mortality. It is, therefore, worthy of clinical application.
PMID- 27874933
TI - Vitamin D supplementation for osteoporosis in older adults: can we make it help
better?
AB - With the increase of the average age of our population, the incidence of diseases
specific for older adults has been increasing. One of such diseases is
osteoporosis. The true incidence of osteoporosis is unknown. But the estimates
indicate that this disease affects wide proportions of the population, ranging in
millions or even ten millions in large countries like the United States. As this
poses a significant burden on the health care system, interventions that could
prevent or treat this condition are in the focus of clinical research. Vitamin D,
the determinant of bone health, has been tested in clinical studies as the agent
to treat osteoporosis. Despite the progress, there is still some controversy
about the targeted blood levels of vitamin D, most efficient way to supplement
this vitamin, and clinical efficacy of this supplementation in the elderly.In the
present review, we will highlight the metabolism of vitamin D and the
aforementioned unresolved issues, as well as review the recent interventional
studies on vitamin D supplementation. In the present review, we will highlight
the metabolism of vitamin D and the aforementioned unresolved issues, as well as
review the recent interventional studies on vitamin D supplementation.
PMID- 27874935
TI - Effect of administration of Streptococcus salivarius K12 on the occurrence of
streptococcal pharyngo-tonsillitis, scarlet fever and acute otitis media in 3
years old children.
AB - OBJECTIVE: Streptococcus salivarius K12 (BLIS K12) is a probiotic strain strongly
antagonistic to the growth of Streptococcus pyogenes, the most important
bacterial cause of pharyngeal infections in humans. Shown to colonize the oral
cavity and to be safe for human use, BLIS K12 has previously been reported to
reduce pharyngo-tonsillitis episodes in children or adults known to have
experienced recurrent streptococcal infection. The present study was focussed
upon evaluating the role of BLIS K12 in the control of streptococcal disease and
acute otitis media in children attending the first year of kindergarten. PATIENTS
AND METHODS: By randomization, 222 enrolled children attending the first year of
kindergarten were divided into a treated group (N = 111) receiving for 6 months a
daily treatment with BLIS K12 (Bactoblis(r)) and a control group (N = 111) who
were monitored as untreated controls. During the 6 months of treatment and 3
months of follow-up, the children were evaluated for treatment tolerance, and for
episodes of streptococcal pharyngo-tonsillitis, scarlet fever and acute otitis
media. RESULTS: During the 6-month trial (N = 111 per group) the incidence of
streptococcal pharyngo-tonsillitis, scarlet fever and acute otitis media was
approximately 16%, 9% and 44% respectively in the treated group and 48%, 4% and
80% in the control group. During the 3-months follow-up (N = 29 per group) the
corresponding rates of infection were 15%, 0% and 12% in the treated group and
26%, 6% and 36% in the controls. No apparent side effects were detected in the
treated group either during treatment or follow-up. All of the enrolled children
completed the study. CONCLUSIONS: The daily administration of BLIS K12 to
children attending their first year of kindergarten was associated with a
significant reduction in episodes of streptococcal pharyngitis and acute otitis
media. No protection against scarlet fever was detected.
PMID- 27874936
TI - Relationship between atrial fibrillation and heart failure.
AB - Heart failure (HF) and atrial fibrillation (AF) are major health issues and often
co-exist. HF and AF also share common mechanisms, as well as therapies and
treatment strategies. The relationship between AF and HF has not been clarified
and, therefore, remains an area of research interest. The choice of optimal
treatment is a challenge for AF and HF. Control of rate or rhythm is the most
important decision for physicians. New tactics for budgeting and centralized
monitoring may have an exciting effect on stroke occurrence. Because it is
predicted that AF or HF would markedly increase in the next two decades
worldwide, a significant burden on the health care systems in multiple countries
will occur. It remains imperative that further research about the epidemiology,
mechanism, detection, and treatment of AF and HF is urgently promoted. In this
paper, we review the recent progress on the relationship between HF and AF.
PMID- 27874937
TI - Impact of complete and incomplete revascularization on short- and long-term
quality of life in patients with multivessel coronary artery disease.
AB - OBJECTIVE: In this study, we compared the impact of two main strategies of
intervention against multivessel coronary disease (MVD), complete
revascularization (CR) and incomplete revascularization (IR), on patients'
quality of life. PATIENTS AND METHODS: 210 patients with multivessel disease were
selected for this study. The diagnosis was confirmed by coronary angiography, and
patients were divided in two different groups according to the type of
revascularization method they received: (1) the complete revascularization (CR)
group and (2) the incomplete revascularization (IR) group. Patients were asked to
fill out SF-36 life quality questionnaire in three-time points: (1) before the
operation; (2) one month after the operation; and (3) one year after the
operation. RESULTS: Baseline conditions showed no significant intergroup
differences. Scores obtained from different sections in the questionnaire were
compared between two groups and results revealed that scores in every section
were significantly different (p < 0.05) except for the general health condition
section. Patients in the CR group scored higher in physical functioning, physical
role, body pain, vitality, social role, emotional role and mental health. Scores
obtained from different time points also varied significantly (p < 0.05), with
those of one month and one year after operation being noticeably higher than the
preoperative ones. CONCLUSIONS: Both complete and incomplete revascularization
methods can effectively improve the short- and long-term quality of life in
patients with multivessel coronary artery disease. However, complete
revascularization can produce better results.
PMID- 27874938
TI - RANK/RANKL/OPG pathway: genetic association with history of ischemic stroke in
Italian population.
AB - OBJECTIVE: RANKL is a member of the TNF superfamily that stimulates chemokine
release, monocyte/macrophage matrix migration and matrix metalloproteinase
activity and plays an important role in atherosclerosis. In our study, we have
evaluated whether RANKL gene polymorphisms are involved in ischemic stroke in
Italian subjects. PATIENTS AND METHODS: In a retrospective study we have included
487 patients (242 males, 245 females) with history of ischemic stroke and 543
control subjects without history of ischemic stroke (277 males, 276 females). The
rs9533156, and rs2277438 gene polymorphisms of the RANKL gene were analyzed by
PCR and restriction fragment length polymorphism. RESULTS: We found that the
rs9533156 gene polymorphism of the RANKL gene was significantly (55.0% versus
36.5%, p < 0.0001) and independently (adjusted OR 6.28 [2.34-4.21]) associated
with history of ischemic stroke. No statistically significant difference was
found between the two groups in our population for the rs2277438 gene
polymorphism (p = 439). Furthermore, we have confirmed that rs 3134069, rs
2073617 and rs 2073618 polymorphisms of the OPG gene were significantly and
independently associated with cerebrovascular disorders. CONCLUSIONS: The present
study identifies, for the first time, the genetic variant of RANKL as an
independent risk factor for ischemic stroke.
PMID- 27874939
TI - Health status correlates of malnutrition in the polish elderly population -
Results of the Polsenior Study.
AB - OBJECTIVE: The aim of the study was to analyze health-related factors associated
with poor nutritional status (PNS) of a representative group of Polish older
people, based on data from the PolSenior project (the first nation-wide study of
Polish senior citizens). PATIENTS AND METHODS: Nutritional status was assessed in
3751 community-dwelling older people (1770 females, mean age: 77.4+/-8.0 years)
using the Mini Nutritional Assessment - Short Form. Elements of comprehensive
geriatric assessment (cognitive and mood screening), selected medical data were
analyzed in relation to the nutritional status. These were: the number of
medications, the number of chronic diseases, selected diseases potentially
related to malnutrition (anaemia, stroke, peptic ulcer, Parkinson's disease,
cancer - past or present), total edentulism, use of dentures, and chronic pain.
RESULTS: PNS was observed in 44.2% of participants. Female sex [OR 1.72, 95% Cl
(1.45-2.04)], advanced age [OR 2.16 (1.80-2.58)], symptoms of depression [OR
11.52 (9.24-14.38)], cognitive impairment [OR 1.52 (1.20-1.93], multimorbidity
[OR 1.27 (1.04-1.57)], anaemia [OR 1.80 (1.41-2.29)] and total edentulism [OR
1.26 (1.06-1.49)] were independently correlated with PNS. CONCLUSIONS: PNS in
Polish elderly population is strongly related to the occurrence of symptoms of
depression. People in advanced age with symptoms of depression, cognitive
impairment, multimorbidity, anaemia and total edentulism should be screened and
monitored for early symptoms of malnutrition.
PMID- 27874940
TI - Hospital readmissions to internal medicine departments: a higher risk for
females?
AB - OBJECTIVE: Readmissions to hospital after discharge are considered adverse,
serious and costly outcomes. In the last years, two new scores have been proposed
to identify patients at high risk of hospital readmission, the HOSPITAL and the
Elders Risk Assessment (ERA) indexes. The aim of this study was to evaluate these
two scores and the risk of death among internal medicine readmitted patients.
PATIENTS AND METHODS: During a 30-month period, we identified 613 readmitted
patients out of 13,237 admissions. Age, sex, length-of-hospital stay (LOS), and
deaths were retrospectively analyzed. Readmissions with diagnosis coincident with
the index hospitalization were classified as avoidable, whilst those with a
different diagnosis were defined as non-avoidable. HOSPITAL score for 30-day
potentially avoidable readmission and ERA indexes were calculated. RESULTS:
Readmitted patients (56.6% women), were aged 79+/-10.4 years. The incidence of 30
day readmission was 20.4 patients/month. Re-hospitalization could be classified
as avoidable in 286 cases (46.7%), and death at the end of follow-up was recorded
in 366 (59.7%). HOSPITAL score >= 7 and ERA score >= 16, both able to identify
high risk patients for readmission, were present in 108 (17.6%) and 385 (64.4%)
of cases, respectively. Patients with non-avoidable readmissions were older, more
frequently female, diabetic, and had higher ERA score than subjects with
avoidable readmission. Multivariate logistic regression analysis showed that non
avoidable readmissions were independently associated with female gender (OR 1.410
[95% CI 1.012-1.964], p=0.042), and age (OR 1.025 [95% CI 1.006-1.043], p=0.01),
while only age (OR 1.034 [95% CI 1.015-1.054], p<0.001) and ERA score (OR 1.047
[95% CI 1.001-1.095], p=0.047) were independently associated with death at the
end of follow-up. CONCLUSIONS: Although re-hospitalization represents frequent
phenomenon related to age, non-avoidable readmissions mainly involve female
patients. ERA score appears to be an useful practical tool, able to identify high
risk patients.
PMID- 27874941
TI - Gastroesophageal reflux disease. Are we acting in the best interest of our
patients?
AB - Oesophageal adenocarcinoma is strictly related to gastroesophageal reflux and
cylindrical metaplasia of the epithelium of the distal esophagus (Barrett's
esophagus) due to chronic inflammation. Worldwide incidence of oesophageal
adenocarcinoma is rising despite the availability of precise international
guidelines for the treatment of gastroesophageal reflux disease and the
increasing use of proton-pump inhibitors (PPIs). While PPIs can control GERD
symptoms in a significant amount of cases, still a large number of patients
progress to Barrett's esophagus and adenocarcinoma. Recent investigations have
demonstrated that in one-third of the patients their reflux symptoms are due to
non-acid reflux, obviously not affected by PPIs. Robust evidences are available
to demonstrate the role of non-acid reflux in the development of Barrett's
esophagus and adenocarcinoma. Therefore, PPIs are not effective in preventing the
worst complications of GERD. It is mandatory to develop new and more effective
guidelines on the treatment of GERD; that would take into account the fact that
GERD should be considered a "surgical" disease, as it is due, at least in its
late stages, to an anatomical defect of the lower oesophageal sphincter. Medical
treatment should be considered in early stage GERD, when reflux is due to
transient relaxations of the lower oesophageal sphincter, whereas surgery should
be considered in late stages, in the presence of a demonstrated mechanical
failure of the sphincter.
PMID- 27874942
TI - Breathing training on lower esophageal sphincter as a complementary treatment of
gastroesophageal reflux disease (GERD): a systematic review.
AB - OBJECTIVE: Gastroesophageal reflux disease (GERD) represents one of the most
common gastrointestinal disorders, but is still a challenge to cure. Proton pump
inhibitors (PPIs) are currently the GERD's standard treatment, although not
successful in all patients; some concerns have been raised regarding their long
term consumption. Recently, some studies showed the benefits of inspiratory
muscle training in increasing the lower esophageal sphincter pressure in patients
affected by GERD, thereby reducing their symptoms. MATERIALS AND METHODS:
Relevant published studies were searched in Pubmed, Google Scholar, Ovid or
Medical Subject Headings using the following keywords: "GERD" and physiotherapy",
"GERD" and "exercise", "GERD" and "breathing", "GERD and "training". RESULTS: At
the end of our selection process, four publications have been included for
systematic review. All of them were prospective controlled studies, mainly based
on the training of the diaphragm muscle. GERD symptoms, pH-manometry values and
PPIs usage were assessed. CONCLUSIONS: Among the non-surgical, non
pharmacological treatment modalities, the breathing training on diaphragm could
play an important role in selected patients to manage the symptoms of GERD.
PMID- 27874943
TI - MR-enterography with diffusion weighted imaging: ADC values in normal and
pathological bowel loops, a possible threshold ADC value to differentiate active
from inactive Crohn's disease.
AB - OBJECTIVE: The aim of our study was to compare the apparent diffusion coefficient
(ADC) values of pathological bowel loops wall (pADC) with the ADC values of
normal appearing ones (naADC) and to determine a discriminating threshold.
PATIENTS AND METHODS: 60 patients were studied at our Institution through a MR
enterography that included free-breathing axial Diffusion Weighted Imaging (DWI)
with two b (0 and 800 s/mm2) after histological diagnosis of active Crohn's
disease (CD). The one (when unique) or the best analyzable (when multiple)
pathological bowel loop was identified in each patient, on the basis of the MRI
features: wall thickness, presence of mural oedema and wall contrast enhancement
after contrast medium administration. A normal appearing bowel loop was used for
comparison. ADC values were measured in consensus by two radiologists, and they
were compared with t-test. The ADC threshold value for the differentiation
between pathological and normal appearing bowel loops was determined. RESULTS:
The pADC values were significantly lower than the naADC values (1.48 +/- 0.058 x
10-3 mm2/s versus 3.525 +/- 0.07 x 10-3 mm2/s; p < 0.05). A threshold of 2.416 x
10-3 mm2/s showed 100% sensitivity and 100% specificity for the discrimination
between normal and pathological bowel loops. CONCLUSIONS: In patients with active
CD the ADC values of the pathological bowel wall are significantly lower than
those of normal appearing bowel loops. A threshold of ADC value of 2.416 10-3
mm2/s could discriminate normal from pathological bowel loops.
PMID- 27874944
TI - Rupture of liver abscess following hepatogastric fistula caused by perforation of
remnant gastric carcinoma: a case report.
AB - OBJECTIVE: We report the case of a 73-year-old man, with a history of proximal
subtotal gastrectomy, who suffered acute abdominal symptoms and signs. Laparotomy
showed rupture of liver abscess and hepatogastric fistula formation caused by
perforation of remnant stomach. CASE REPORT: Residual stomach resection, incision
and drainage of liver abscess were performed, and the patient was smoothly
discharged from hospital nineteen days after the emergency operation. RESULTS:
The final pathology confirmed the remnant gastric adenocarcinoma. This case is so
far the first reported liver abscess caused by perforation of residual stomach
malignant tumor. CONCLUSIONS: Liver abscess and hepatogastric fistula are rare.
This is the first report on a remnant gastric adenocarcinoma (RGC) invading the
adjacent liver, with ruptured liver abscess resulting from gastric perforation.
We speculated that there were inevitable factors for this case. Direct invasion
to the liver capsule of gastric carcinoma was the bridging basic of the formation
of a hepatogastric fistula. Pyloric obstruction caused by gastric carcinoma was
the driver of liver abscess rupture since the increased proximal gastrointestinal
pressure led to the inner pressure of liver abscess rising through the conduction
of hepatogastric fistula. The recommended treatment protocol for this clinical
entity comprises removal of the primary lesions and drainage of the liver
abscess. This successful case provided us with a great deal of clinical
information and treatment experience.
PMID- 27874945
TI - Clinical effects in resection of congenital choledochal cyst of children and
jejunum Roux-Y anastomosis by laparoscope.
AB - OBJECTIVE: We compared the effects and complications in resection of the
congenital choledochal cyst (CCC) and hepatic duct jejunum Roux-Y anastomosis by
laparoscopy and open surgery. PATIENTS AND METHODS: We continuously selected 156
cases of pediatric patients with CCC, which were divided into 70 cases of the
laparoscopic group and 86 cases of laparotomy group according to the treatment
methods. Then the success rate of surgery, intraoperative and postoperative
bleeding, intraoperative and postoperative complications was compared. RESULTS:
It was found that after 65 cases (92.9%) completed in the laparoscopic group and
all completed in laparotomy group there was less intra-operative blood loss of
laparoscopic group than that of the open surgery group. However, the difference
of postoperative hemorrhage in two groups was not statistically significant (p
>0.05). The intra-operative complications in the two groups were not
significantly different (p >0.05); in the laparoscopic group, the postoperative
complication rate was significantly lower than open surgery group (p <0.05).
CONCLUSIONS: Laparoscopic resection of CCC combined with jejunum Roux-Y
anastomosis has good prospects.
PMID- 27874946
TI - Visual and somotosensory evoked potentials in asymptomatic patients with vitamin
B12 deficiency.
AB - OBJECTIVE: Vitamin B12 deficiency may be asymptomatic or present with a wide
range of neurological and hematological disorders. Our aim in this study is to
evaluate visual (VEP) and somatosensory evoked potential (SEP) parameters in
patients with vitamin B12 deficiency who had no clinical evidence of visual
impairment or neurological syndrome findings and compare the findings with
healthy controls to determine whether there is a correlation between VEP and SEP
parameters and serum vitamin B12 levels. PATIENTS AND METHODS: 30 patients (6
females [20%], 24 males [80%]; mean age, 52 years [range 17-80 years]), and 15
healthy subjects with vitamin B12 deficiency (3 females [20%], 12 [80%] male;
mean age, 49 years [range 17-78 years]) were included in the study. P100 wave
latencies and amplitudes were recorded as VEP parameters, and P40 wave latencies
and amplitudes were recorded as tibial SEP parameters. RESULTS: Comparison of VEP
and SEP parameters in the patient and control groups revealed significantly
prolonged SEP latencies and lower SEP amplitudes in the patient group. VEP
latencies did not significantly differ between the patient and the control groups
while VEP amplitudes were found to be lower in the patient group than in
controls. A significant correlation was obtained between serum vitamin B12 levels
and tibial SEP latencies (r > 0.5). CONCLUSIONS: These findings suggest that
asymptomatic patients with vitamin B12 deficiency may have SEP and VEP
abnormalities indicating the subclinical optic nerve and spinal cord involvement.
PMID- 27874947
TI - The relationship between the occurrence of intractable epilepsy with glial cells
and myelin sheath - an experimental study.
AB - OBJECTIVE: The occurrence of epilepsy is associated with myelin sheath injury;
oligodendrocyte (OL) is the main cell of myelin sheath; In this study, we
observed the changes of OL, demyelination, and myelin associated protein in
different stages of intractable epilepsy (IE) at the epileptic foci of patients,
and provide useful information for the pathophysiology of IE. PATIENTS AND
METHODS: IE patients who received epileptogenic focus resection were recruited as
the experimental group, their medical records were collected and postoperative
follow-up was performed. The brain tissues from10 cases with non-brain disorders
were obtained as controls. Immunofluorescence double staining was used to observe
OL expression. The demyelination in epileptic foci was observed by luxol fast
blue (LFB) staining method. Real-time fluorescent quantitative (RT) PCR, Western
blot methods were used to detect the expressions of myelin-related proteins.
RESULTS: We observed increased OL precursor cells, former OL and decreased mature
OL in experimental group when compared with controls (both p < 0.05). The
demyelination was obviously higher in experimental group when compared with
controls (p < 0.01). We also observed significantly decreasing of myelin basic
protein (MBP), oligodendrocytes myelin glycoprotein (OMgp), myelin associated
glycoprotein (MAG) and other MAG associated proteins-Nogo receptors (NgRs) and
GD1alpha (p < 0.01) in epileptic foci. CONCLUSIONS: OL is differentiated
abnormally at epileptic foci of patients with IE; the demyelination, decreasing
of demyelination and myelin associated protein are related to the occurrence of
IE.
PMID- 27874948
TI - Epicardial fat thickness is associated with severity of disease in patients with
chronic obstructive pulmonary disease.
AB - OBJECTIVE: Cardiovascular diseases (CVD) are common in patients with chronic
obstructive pulmonary disease (COPD) and the BODE index is an important tool for
the prognostic assessment of COPD patients. It is well known that epicardial fat
thickness (EFT) is related to CVD. However, there are very few data about the
relationship between EFT and BODE index. The aim of this study is to investigate
the relationship between EFT and BODE index in patients with COPD. PATIENTS AND
METHODS: We prospectively included 157 patients with COPD and 45 controls in the
present study. All patients underwent pulmonary function tests and six-minute
walking test. EFT and other echocardiographic parameters were measured using
transthoracic echocardiography on admission. Patients were divided into four
quartiles according to the BODE index scores (Quartile-1 (Q1): 0-2 points;
Quartile-2 (Q2): 3-4 points; Quartile-3 (Q3): 5-6 points; Quartile-4 (Q4): 7-10
points). High sensitive C-reactive protein (Hs-CRP) and other biochemical
parameters were measured in all participants. RESULTS: COPD patients had higher
EFT values compared with control group (p<0.05). When COPD patients were
classified according to BODE index quartiles, the highest EFT values were
observed in Q1 compared with other quartiles (p<0.05, for all). EFT values showed
a decreasing trend from Q1 to Q4. Furthermore, EFT was independently associated
with BODE index (beta=0.405, p<0.001), Hs-CRP (beta=0.300, p<0.001) and diabetes
(beta=0.338, p<0.001) in multivariate linear regression analysis. CONCLUSIONS:
Our findings suggested that EFT is independently and negatively associated with
the severity of disease as indicated by BODE index in patients with COPD.
PMID- 27874949
TI - miR-377 inhibited tumorous behaviors of non-small cell lung cancer through
directly targeting CDK6.
AB - OBJECTIVE: This study is aimed to investigate the cellular role of miR-377 and
demonstrate that miR-377 negatively regulated cyclin-dependent kinase 6(CDK6) in
human non-small cell lung cancer (NSCLC) cells. MATERIALS AND METHODS: qRT-PCR
was performed to identify the miR-377 expression level in 45 paired NSCLC and
adjacent normal lung tissues. Cell proliferation was measured by MTT. Apoptosis
was detected by flow cytometric analysis. Luciferase reporter assays were
employed to validate regulation of a putative target of miR-377. The effect of
miR-377 on endogenous levels of this target was subsequently confirmed via
Western blot. RESULTS: We found that the expression level of miR-377 was
significantly reduced in NSCLC tissues and cell lines. On the contrary, CDK6
expression level was up-regulated in NSCLC tissues and cell lines. Based on
Luciferase reporter assays, we confirmed that CDK6 was a direct target gene of
miR-377. In vitro studies demonstrated that miR-377 overexpression reduced NSCLC
cell proliferation and promoted apoptosis. CONCLUSIONS: Our discovery suggested
that miR-377 might be used as a therapeutic reagent for the treatment of NSCLC in
the future.
PMID- 27874951
TI - High expression of long noncoding RNA Sox2ot is associated with the aggressive
progression and poor outcome of gastric cancer.
AB - OBJECTIVE: The aim of our study was to investigate the expression of long non
coding RNA Sox2ot (Sox2ot) in gastric cancer (GC) patients and its association
with clinicopathologic parameters and the prognosis. PATIENTS AND METHODS:
Quantitative real-time polymerase chain reaction was performed to detect Sox2ot
expression in 155 GC tissues and paired adjacent normal tissues. The
relationships between Sox2ot expression and the clinicopathological features of
GC patients were analyzed. Furthermore, overall survival (OS) and disease-free
survival (DFS) were evaluated using the Kaplan-Meier method, and multivariate
analysis was performed using the Cox proportional hazard analysis. RESULTS:
Sox2ot expression levels were decreased in cancerous tissues compared to their
corresponding non-cancerous controls (p < 0.01). Sox2ot expression was associated
with T stage, distant metastasis and differentiation (p = 0.009, 0.034 and 0.001,
respectively). In addition, patients with high Sox2ot expression tended to have
poorer OS and DFS (p < 0.001, respectively). Finally, multivariate analysis
showed Sox2ot expression was an independent prognostic factor for GC patients.
CONCLUSIONS: Our findings showed that overexpressed Sox2ot was correlated with
aggressive tumor behavior. Sox2ot may serve as a novel prognostic factor and a
potential target to improve the long-term outcome of GC.
PMID- 27874950
TI - miR-194 inhibits gastric cancer cell proliferation and tumorigenesis by targeting
KDM5B.
AB - OBJECTIVE: MicroRNAs play critical roles in regulating gene expression and
various cellular processes in human cancer malignant progression. The aim of the
present study was to examine the expression pattern of miR-194 in gastric cancer
(GC) and its biological role in tumor progression. MATERIALS AND METHODS: Using
quantitative RT-PCR, we detected miR-194 expression in GC cell lines and primary
tumor tissues. The proliferation, migration, and invasion assays were performed
to investigate the effect of miR-194 on the GC cells. The target of miR-194 was
predicted by TargetScan and confirmed by luciferase reporter assay. KDM5B
expression was detected by Western blot. RESULTS: miR-194 was significantly down
regulated in GC tissues and cell lines. Over-expression of miRNA-194 could
inhibit GC cell proliferation, migration, and invasion in vitro. Also, miR-194
inhibited tumor growth and progression in vivo. Dual luciferase-based reporter
assay indicated direct regulation of KDM5B by miR-194. CONCLUSIONS: Our findings
suggested that miR-194 directly targeted KDM5B and thereby acted as a tumor
promoter in GC progression.
PMID- 27874952
TI - Nox1 promotes colon cancer cell metastasis via activation of the ADAM17 pathway.
AB - OBJECTIVE: Reactive oxygen species (ROS) generated by endogenous metabolic
enzymes are involved in a variety of pathology processes, including cancer. In
particular, superoxide-generating NADPH oxidase 1 (Nox1), a member of Nox enzyme
family, is highly expressed in the colon tissue and has been implicated in
physiological and pathophysiological states of colon cancer. However, the
underlying molecular mechanism of Nox1 in the regulation of colon cancer
progression remains largely unknown. MATERIALS AND METHODS: In vitro scratch
wound healing and invasion assays were used to compare the migration and invasion
abilities of HT29 cells in which Nox1 protein levels were manipulated. Western
blot assay was performed to detect the expression of key proteins of the EGFR
PI3K-AKT signaling pathway. Immunoprecipitation assay was performed to detect the
interaction between Nox1 and ADAM17. RESULTS: Nox1 overexpression promoted colon
cancer cell growth, migration, and invasion through the EGFR-PI3K-AKT signaling
pathway. At the molecular level, Nox1 regulated the expression of tumor necrosis
factor-alpha (TNF-alpha) converting enzyme (TACE)/a disintegrin and
metalloprotease domain 17 (ADAM17). Furthermore, Nox1 interacted with and
stabilized ADAM17 from ubiquitin-mediated degradation, leading to the activation
of the ADAM17 signaling pathway. CONCLUSIONS: This study suggests that Nox1
promotes colorectal cancer metastasis by modulating the stability of ADAM17.
PMID- 27874953
TI - The expression of B7-H3 and B7-H4 in human gallbladder carcinoma and their
clinical implications.
AB - OBJECTIVE: To investigate the expression of B7-H3 and B7-H4 and their clinical
implications in human gallbladder carcinoma. PATIENTS AND METHODS: The expression
of B7-H3 and B7-H4 in the 252 samples (126 cases of chronic cholecystitis and 126
cases of gallbladder cancer) was detected by the streptavidin-peroxidase
immunohistochemical method, and their associations with tumor classification,
clinical grade, and recurrence were assessed. RESULTS: In chronic cholecystitis
tissue, B7-H3 and B7-H4 were not detected. In 126 cases of gallbladder carcinoma,
the positive rates of B7-H3 and B7-H4 expression were 66.67% and 69.05%
respectively (p < 0.05). The positive rate of B7-H3 in the primary-onset group
was 53.57%, and that in recurrence group was 92.86% (p < 0.05). The positive rate
of B7-H4 in the primary-onset group was 85.19%, and that in recurrence group was
40.00% (p < 0.05). Expression of B7-H3 was consistent with B7-H4 expression in
gallbladder carcinoma. CONCLUSIONS: B7-H3 and B7-H4 were up-regulated in
gallbladder cancer; the high expression of B7-H3 may contribute to the early
diagnosis of gallbladder carcinoma and the assessment of postoperative survival
and recurrence. B7-H4 may play an important role in the incidence of gallbladder
cancer. B7-H3 and B7-H4 may play a synergetic role in gallbladder carcinoma.
Combined tests were available for the diagnosis, degree assessment and prognosis
of gallbladder carcinoma, which may be a new target for molecular targeted
therapy of gallbladder carcinoma.
PMID- 27874954
TI - The anti-cancer effects of cisplatin on hepatic cancer are associated with
modulation of miRNA-21 and miRNA-122 expression.
AB - OBJECTIVE: Cisplatin is an effective chemotherapeutic drug to treat hepatic
cancer, but its efficacy is marred by extensive adverse effects. Micro (mi) RNAs
are small regulatory RNAs that may be used as molecular targets to better fine
tune chemotherapy in hepatic cancer. In this study, we examined to what extent
the anti-cancer effects of cisplatin are associated with expressions of miRNA
(miR)-21 and miR-122. MATERIALS AND METHODS: The growth-inhibiting effects of
cisplatin on the human hepatic cell line HepG2 were assessed by MTT assay, while
cell apoptosis was documented using DAPI staining. Also, we tested the effects of
cisplatin on tumour growth in a mouse tumour xenograft model. Finally, we
quantified expression levels of miR-21 and miR-122 in cisplatin-treated HepG2
cells. RESULTS: We observed that cisplatin significantly decreased the growth of
HepG2 cells (p < 0.05 vs control cells) at all tested concentration (5-80 ug/ml)
after 24 or 48 hours of treatment. Microscopic studies demonstrated apoptotic
signs in cisplatin-treated cells. In the mouse tumour xenograft model, tumour
weights and volumes were significantly (p < 0.05 untreated animals) lower after
treatment with cisplatin. Also, treatment of HepG2 cells for 48 hours with 20
ug/ml cisplatin was associated with significant decreases in miR-21 expression
levels and up-regulation of miR-122. CONCLUSIONS: The anti-cancer effects of
cisplatin are associated with down-regulation of miR-21 expression and up
regulation of miR-122.
PMID- 27874955
TI - Expression and significances of MiRNA Let-7 and HMGA2 in laryngeal carcinoma.
AB - OBJECTIVE: High mobility group protein A2 (HMGA2) is a reported new oncogene been
regulated by tumor suppressor microRNA Let-7. HMGA2 has become a hot topic in
fundamental and clinical research of laryngeal carcinoma. The aim of the current
study is to investigate the molecular mechanism of Let-7 and HMGA2 in oncogenesis
and progression of laryngeal cancer. PATIENTS AND METHODS: We used quantitative
RT-PCR to detect the expression of miRNA Let-7a and HMGA2 mRNA from 59 pairs of
fresh laryngeal cancer tissues and adjacent tissues collected for the laryngeal
cancer patient. The expression of HMGA2 protein was detected by western blot
method. RESULTS: There is a negative correlation between low expressed miRNA Let
7a and high expression of HMGA2 mRNA in human laryngeal cancer (p < 0.05). The
expressions of miRNA Let-7a and HMGA2 have a significant difference in patients
with clinical stage I-II and clinical stage III-IV, patients with well
differentiated tumor and patients with poorly differentiated tumor, patients with
lymph node metastasis and patients without lymph node metastasis. Spearman
correlation analysis of miRNA Let-7a and HMGA2 mRNA showed expression of miRNA
Let-7a is negatively correlated with HMGA2 expression. CONCLUSIONS: The down
regulation of miRNA Let-7a and up-regulation of HMGA2 promote the invasion and
metastasis of laryngeal cancer.
PMID- 27874956
TI - Up-regulation of long non-coding RNA BCAR4 predicts a poor prognosis in patients
with osteosarcoma, and promotes cell invasion and metastasis.
AB - OBJECTIVE: The long non-coding RNA BCAR4 (BCAR4) has been reported to be
associated with cancer development. The aim of our study was to investigate the
expression of BCAR4 in osteosarcoma patients and its association with
clinicopathologic parameters and the prognosis. PATIENTS AND METHODS:
Quantitative RT-PCR (qRT-PCR) assay was used to detect the expression of BCAR4
and its correlations with clinicopathological factors were statistically
analyzed. The clinical and prognostic significance of BCAR4 expression was
analyzed statistically by Kaplan-Meier estimate and Cox regression model.
Furthermore, Cell proliferation, migration, and invasion were evaluated using
counting assay Kit-8 (CCK-8) and transwell assay, respectively. RESULTS: We found
that BCAR4 expression was higher in osteosarcoma tissues and cell lines than that
in normal controls. The BCAR4 levels were significantly correlated with clinical
stage and distant metastasis. Kaplan-Meier analysis with the log-rank test
indicated that high expression of BCAR4 had a decreased overall survival (OS).
Univariate and multivariate analyses showed that BCAR4 expression was an
independent predictor of overall survival. Furthermore, decreased expression of
BCAR4 markedly inhibited osteosarcoma cell proliferation, migration, and
invasion. CONCLUSIONS: The results of the present study identified a crucial
tumor promotive role of BCAR4 in the progression of osteosarcoma, and suggested
that BCAR4 may be a potential therapeutic agent for the treatment of
osteosarcoma.
PMID- 27874957
TI - Restoration of microRNA-373 suppresses growth of human T-cell lymphoma cells by
repressing CCND1.
AB - OBJECTIVE: Adult T cell lymphoma is a highly aggressive T-cell malignancy. This
study was designed to explore the expression and functional significance of
microRNA (miR)-373 in T cell lymphoma. PATIENTS AND METHODS: We analyzed the
levels of CCND1 and miR-373 in T cell lymphoma tissue and the relationship of miR
373 levels with patients' prognosis. We then overexpressed miR-373 by miRNA
mimics transfection and inhibited miR-373 by miRNA antisense transfection in T
cell lymphoma cells. Cell survival and growth were analyzed by CCK-8 assay and
MTT assay, respectively. Cell proliferation was analyzed by flow cytometry.
Bioinformatics analyses were applied to predict miR-373 targets, which were then
confirmed by luciferase reporter assay. RESULTS: We detected significantly higher
levels of CCND1, and significantly lower levels of miR-373 in T cell lymphoma
tissue, compared to the adjacent non-tumor tissue. Moreover, the low miR-373
levels were associated with poor survival of the patients. Overexpression of miR
373 significantly inhibited cell growth, while depletion of miR-373 increased
cell growth in T cell lymphoma cells. Moreover, the effects of miR-373 on cell
growth appeared to result from an alteration in cell proliferation. Finally, miR
373 was found to bind to the 3'-UTR of CCND1 mRNA to inhibit its translation in T
cell lymphoma cells. CONCLUSIONS: Our study suggests that reduced miR-373 levels
in T cell lymphoma tissue may promote T cell lymphoma growth, possibly through
CCND1-mediated cell proliferation.
PMID- 27874959
TI - New lift: the art of facial rejuvenation with minimal incisions rhytidectomy.
AB - OBJECTIVE: The new lift is a procedure for facial rejuvenation with minimal
incision, giving the patient a significant improvement of mid face and neck, with
limited dissection and minimal scars. A further "One-stitch" of anchorage to the
deep temporal fascia is required to hold tissues. By a minimal surgical access,
we get a suitable cheek lift with the improvement of nose-labial folds,
mandibular edge and neck contour. PATIENTS AND METHODS: Between February 2009 and
June 2012, 32 patients underwent facial rejuvenation surgery called new lift at a
mean age of 46 years (range 35-55 years). Seven of the patients had a previous
facelift. 12 out of 32 patients (37.5%) had concomitant eyelid surgery and 4
(12.5%) neck contouring procedure. RESULTS: The technique we used is a safe and
effective procedure with a high satisfaction rate; 28 patients (89%) were very
satisfied with their result at 24-months follow-up. CONCLUSIONS: The surgical
outcome was evaluated according to the analysis of photographs obtained before
and after surgery and the analysis of pre- and postoperative measurements.
Aesthetic results were evaluated also by patients themselves who indicated a high
satisfaction rate at three months post-surgery questionary and by a surgeon not
involved in the study using VAS (1-10) before and three months after surgery.
Acquired data on the aesthetic result were statistically evaluated using Student
t-test. This is a study aimed at assessing the effectiveness of the new-lift
technique for facial rejuvenation. Based on the results of our study, the new
lift is a very effective surgery for rejuvenation of the face with mild to
moderate aging. All patients healed uneventfully without any major postoperative
problems. This technique responds to an increasing demand from a wide range of
patients for less invasive, less expensive operations with faster healing time
and fewer potential complications.
PMID- 27874958
TI - Diagnostic accuracy of MDCT in the evaluation of patients with peritoneal
carcinomatosis from ovarian cancer: is delayed enhanced phase really effective?
AB - OBJECTIVE: To assess the diagnostic accuracy of delayed enhanced phase in
addition to portal enhanced phase in MDCT imaging for depicting peritoneal
carcinomatosis (PC) implants in patients with ovarian cancer. PATIENTS AND
METHODS: We retrospectively reviewed double-phase, portal enhanced phase (PEP)
and delayed enhanced phase (DEP), MDCT-examinations of 40 patients with clinical
suspicion of recurrent PC from histopathologically-proven ovarian cancer,
previously treated with both cytoreductive surgery and adjuvant/neoadjuvant
chemotherapy. Image assessment was performed by three independent blinded readers
(2 experienced and 1 less-experienced radiologists) in 3 different reading
sessions: PEP (set A), DEP (set B), and PVP + DEP (set C). All CT-images were
qualitatively assessed on the basis of the location of the lesion (based on
Sugarbaker scheme), presence (indicating a confidence level for the diagnosis of
PC), size and pattern. Reference standard both for detection and exclusion of PC
was the evaluation of double-phase MDCT exams performed by two experienced
readers in consensus, knowing clinical and laboratoristic parameters as well as
previous and subsequent imaging (follow-up minimum of 12 months). Sensitivity,
specificity, PPV, NPV and diagnostic accuracy of each reader for each reading
session were calculated and compared. A subgroup analysis based on lesion pattern
was also performed. RESULTS: On a total of 507 abdominal-pelvic sites evaluated,
PC was found in 182 regions (35.9%). When considering experienced radiologists,
no statistically significant differences (p>0.05) were found between the
different sets of images. The analysis by less-experienced radiologist showed
lower statistical results, which significantly improved when both PEP and DEP
were evaluated. In the subgroup analysis, DEP showed significantly higher
statistical results in the case of micronodular patterns. CONCLUSIONS: Our
results indicate that the CT-acquisition protocol in patients with ovarian cancer
for tumor staging should be based on portal phase alone, with a significant
radiation dose reduction, whereas the addition of delayed phase images is useful
for less-experienced readers.
PMID- 27874960
TI - Surgical therapy of breast hypertrophy: a comparison of complications and
satisfaction rate in large and small superior pedicle custom-made reduction
mammaplasty.
AB - OBJECTIVE: The reduction mammaplasty is indicated for patients with symptomatic
breast hypertrophy. Although surgery is considered the gold standard treatment,
it is still debated which is the complication rate and whether or not there is an
increased complication rate with increased tissue resection per breast. The main
objective of this retrospective study was to determine whether the rates of
complications are higher in large reductions (>=2000 g per breast) as compared
with smaller reductions (<=1999 g per breast) using the superior pedicle custom
made technique. PATIENTS AND METHODS: A retrospective study of 90 consecutive
operated patients was performed. All the patients underwent a bilateral breast
reduction for macromastia. 43 patients had a reduction of 1999 g or less and 47
patients had a reduction of 2000 g or more. RESULTS: There were no statistically
significant differences in the rates of nipple necrosis, hematoma, seroma, wound
dehiscence, wound infection, loss or reduction of nipple sensation, and a
pathologic scar between the large and small resections. CONCLUSIONS: The large
reduction patients pointed higher mean satisfaction rate than the small reduction
patients. The superior pedicle custom-made technique is a safe method of breast
reduction regardless of the degree of parenchymal resection, achieving a
successful aesthetic outcome with minimal scarring and high satisfaction rate for
both small and large breast reduction.
PMID- 27874961
TI - Letter to the Editor - miR-494 inhibits invasion and proliferation of gastric
cancer by targeting IGF-1R.
PMID- 27874962
TI - Analysis of risk factors of type a aortic dissection (TAAD) operation of frozen
elephant trunk and total arch replacement.
AB - OBJECTIVE: To investigate the incidence and risk factors of acute renal failure
(ARF) after operation of frozen elephant trunk and total arch replacement for
acute thoracic aortic aneurysm and dissection (TAAD) with mild hypothermic
circulatory arrest (MHCA), and to analyze the long-term survival rate of the
patients with ARF. PATIENTS AND METHODS: From February 2009 to March 2015,
patients with acute TAAD accepted operation of frozen elephant trunk and total
arch replacement were enrolled. Those patients who were treated with renal
replacement treatment (RRT) before the operation were excluded. The age, gender,
cardiovascular disease history, preoperative serum creatinine and extracorporeal
circulation duration in operation were recorded. On the basis of requiring RRT
after TAAD operation, the patients were divided into ARF group and non-ARF group.
The risk factors of ARF after TAAD operation were assessed by univariate and
multivariate analysis. After completion of clinical follow-up, Kaplan-Meier curve
was drawn to analyze five-year survival. RESULTS: A total of 524 patients were
included in the study. 51 cases of them got postoperative ARF. The incidence was
9.7%. The mortality rate of ARF group in the hospital was significantly higher
than non-ARF group (25.5% vs. 3.6%; p<0.001). Univariate analysis showed that
there was statistically significant difference in the age, gender, hypertension
history, preoperative serum creatinine >=200 umol/L, extracorporeal circulation
duration >=260 min and combined with coronary artery bypass surgery
simultaneously (p<0.05). Multiple logistic regression analysis showed that there
were three independent risk factors of ARF after the operation, including
hypertension (p=0.031, OR=2.377), preoperative serum creatinine >=200 umol/L
(p=0.005, OR=4.451) and extracorporeal circulation duration >=260 min (p=0.002,
OR=3.295). The total five-year survival rate of ARF group after the operation was
56%. There was no statistically significant difference in the five-year survival
rate between preoperative serum creatinine >=200 umol/L group and <200 umol/L
group (p>0.05). CONCLUSIONS: The incidence of ARF after the operation was 9.7%.
Preoperative serum creatinine >=200 umol/L, hypertension history and
extracorporeal circulation duration >=260 min were independent risk factors of
ARF after the operation. The five-year survival rate of ARF after the operation
was 56%. The preoperative serum creatinine level had no influence on the
postoperative long-term survival.
PMID- 27874963
TI - Study on the functions and mechanism of immune functions of human telomerase
reverse transcriptase regulating dendritic cells treating sepsis.
AB - OBJECTIVE: We analyzed the functions and mechanisms of immune functions of human
telomerase reverse transcriptase regulating dendritic cells (DC) treating sepsis
of mice models. MATERIALS AND METHODS: Eighty clean grade Balb/c animals aged
from 6 to 8 weeks, weighted from 18 g to 22 g were selected for this study. The
DC cells were harvested from the animals and cultivated to transfect with the
recombinant eukaryotic expression plasmid hTERT-IRES2-EGFP construct. The LPS (E.
coli 0111:B4, 5 mg/kg) was injected into the abdominal cavity of mice to
establish sepsis models. Afterwards, animals were divided randomly into the
sepsis group (A group), the group of hTERT transfecting DC (B group), the group
of DC un-transfected (C group) with 25 mice in each group. 5 mice were in the
normal control group (D group), without any treatment. An equivalent volume of
normal saline was injected into the abdominal cavity of A group. Subsequently, 1
ml of cell suspension (105/ml) was transfected into B and C groups respectively.
Five animals from A, B, C groups and one animal from group D were sacrificed
after 24h, 48h, 72d, 7d and 10d respectively. RESULTS: It was found that median
survival time of the group of hTERT transfecting DC was remarkably higher than
that of the untransfected group and the sepsis group. The average scores of the
pathology of kidney and intestine at each time were significantly lower than that
of the other two groups (p<0.05). At each time point, in the group of hTERT
transfecting DC, levels of CRP and Cr were remarkably lower than that of the
other two groups; HLA-DR, CD40 of immune phenotype and the expression level of
peripheral blood T cells MHC-II molecules were significantly higher than that of
the other two groups; the expression level of IL-12 and TNF-a were significantly
lower than that of the other two groups; apoptosis rate of DC were significantly
lower than that of the other two groups; the content and activity of NF-kappaB
were significantly higher than that of the other two groups (p<0.05).
CONCLUSIONS: The telomerase reverse transcriptase gene can raise the expression
and maturity of DC, reduce apoptosis, induce cytokine secretion, reduce the
inflammatory response and increase the survival time.
PMID- 27874964
TI - Kinetics of volatile marker compounds during ripening of cured loins inoculated
with Staphylococcus carnosus.
AB - BACKGROUND: The current study was designed to investigate the influence of
ripening time (12 weeks, 15 degrees C) on the volatile compounds and sensory
acceptance for North European cured loins inoculated with a proteolytic
Staphylococcus carnosus starter culture. RESULTS: The results demonstrated that
the trend of volatile compounds, sensory acceptance and proteolytic activity
increased during a ripening of 7 to 9 weeks. A further ripening led to a plateau
phase for sensory attributes and aroma-relevant volatile compounds such as
benzaldehyde, nonanone and acetophenone. The inoculation of the proteolytic S.
carnosus LTH 3838 significantly increased aroma-relevant volatile compounds (3
methylbutanal, benzaldehyde, acetophenone, 1-octen-3-ol) and sensory acceptance
up to a score 3.5 and 3.6 for the overall odour and taste by week 9. In addition,
compounds such as nonanal, hexanal, 2-pentanone and nonanone, which originate
from lipid oxidation, were significantly limited by S. carnosus LTH 3838.
CONCLUSION: A ripening time of 7 to 9 weeks seems to be an optimal period for the
production of aroma intensive smoked North European cured loins. (c) 2016 Society
of Chemical Industry.
PMID- 27874965
TI - Somatostatin Agonist Pasireotide Inhibits Exercise-Stimulated Growth in the Male
Siberian Hamster (Phodopus sungorus).
AB - The Siberian hamster (Phodopus sungorus) is a seasonal mammal, exhibiting a suite
of physiologically and behaviourally distinct traits dependent on the time of
year and governed by changes in perceived day length (photoperiod). These
attributes include significant weight loss, reduced food intake, gonadal atrophy
and pelage change with short-day photoperiod as in winter. The central mechanisms
driving seasonal phenotype change during winter are mediated by a reduced
availability of hypothalamic triiodothyronine (T3), although the downstream
mechanisms responsible for physiological and behavioural changes are yet to be
fully clarified. With access to a running wheel (RW) in short photoperiod,
Siberian hamsters that have undergone photoperiod-mediated weight loss over-ride
photoperiod-drive for reduced body weight and regain weight similar to a hamster
held in long days. These changes occur despite retaining the majority of
hypothalamic gene expression profiles appropriate for short-day hamsters.
Utilising the somatostatin agonist pasireotide, we recently provided evidence for
an involvement of the growth hormone (GH) axis in the seasonal regulation of
bodyweight. In the present study, we employed pasireotide to test for the
possible involvement of the GH axis in RW-induced body weight regulation.
Pasireotide successfully inhibited exercise-stimulated growth in short-day
hamsters and this was accompanied by altered hypothalamic gene expression of key
GH axis components. Our data provide support for an involvement of the GH axis in
the RW response in Siberian hamsters.
PMID- 27874966
TI - From Additivity to Cooperativity in Chemistry: Can Cooperativity Be Measured?
AB - Cooperative effects can be observed in various research areas in chemistry;
cooperative catalysis is well-established, the assembly of compounds on surfaces
can be steered by cooperative effects, and supramolecular polymerization can
proceed in a cooperative manner. In biological systems, cooperativity is observed
in protein-protein, protein-lipid and protein-molecule interactions. Synergistic
effects are relevant in frustrated Lewis pairs, organic multispin systems,
multimetallic clusters and also in nanoparticles. However, a general approach to
determine cooperativity in the different chemical systems is currently not known.
In the present concept paper it is suggested that, at least for simpler systems
that can be described at the molecular level, cooperativity can be defined based
on energy considerations. For systems in which no chemical transformation occurs,
determination of interaction energies of the whole system with respect to the
interaction energies between all individual component pairs (subsystems) will
allow determination of cooperativity. For systems comprising of chemical
transformations, cooperativity can be evaluated by determining the activation
energy of the synergistic system and by comparing this with activation energies
of the corresponding subsystems that lack an activating moiety. For more complex
systems, cooperativity is generally determined at a qualitative level.
PMID- 27874967
TI - Editorial.
PMID- 27874968
TI - Professionalization of surgical abdominal organ recovery leading to an increase
in pancreatic allografts accepted for transplantation in the Netherlands: a
serial analysis.
AB - Professional abdominal organ recovery with certification has been mandatory in
the Netherlands since 2010. This study analyses the effects of certification
(January 2010-September 2015) on pancreas transplantation and compares it to an
era before certification (February 2002-May 2008) for surgical injuries and the
number of pancreases transplanted. A total of 264 cases were analysed. Eighty
four recovered pancreases (31.8%) with surgically injuries were encountered.
Forty-six of those were surgically salvaged for transplantation, resulting in a
total of 226 (85.6%) being transplanted. It was found that certified surgeons
recovered grafts from older donors (36.8 vs. 33.3; P = 0.021), more often from
donation after circulatory death (DCD) donors (18% vs. 0%; P < 0.001) and had
less surgical injuries (21.6% vs. 41.0%; P < 0.001). Certification (OR: 0.285; P
< 0.001) and surgeons from a pancreas transplant centre (OR: 0.420; P = 0.002)
were independent risk factors for surgical organ injury. Predictors for
proceeding to the actual pancreas transplantation were a recovering surgeon from
a pancreas transplantation centre (OR: 3.230; P = 0.003), certification (OR:
3.750; P = 0.004), donation after brain death (DBD) (OR: 8.313; P = 0.002) and
donor body mass index (BMI) (OR: 0.851; P = 0.023). It is concluded that
certification in abdominal organ recovery will limit the number of surgical
injuries in pancreas grafts which will translate in more pancreases available for
transplantation.
PMID- 27874969
TI - Idiopathic myelofibrosis accompanied by peritoneal extramedullary hematopoiesis
presenting as refractory ascites in a dog.
AB - A 2.5-year-old spayed female American Pit Bull Terrier dog presented with a
primary complaint of chronic refractory ascites. The dog's CBC displayed a
moderate to severe macrocytic, hypochromic, nonregenerative anemia, and a
moderate leukopenia as result of a moderate neutropenia and monocytopenia.
Microscopic examination of the blood smear showed marked anisocytosis, mild
polychromasia, mild acanthocytosis and ovalocytosis, moderate schistocytosis and
poikilocytosis, and 4 metarubricytes/100 WBC. Abdominal ultrasonography revealed
a homogenous, mild to moderately hyperechoic appearing liver as well as marked
amounts of speckled anechoic to slightly hypoechoic peritoneal fluid. Cytology of
the ascitic fluid demonstrated a sterile transudate, with evidence of a chronic
inflammatory reaction as well as erythroid and myeloid precursor cells, and a few
megakaryocytes with occasional micromegakaryocytes. Histologic sections of bone
marrow, spleen, and liver were examined, using routine H&E stains, as well as a
variety of immunohistochemistry and other special stains. Histopathology of the
bone marrow and spleen revealed varying degrees of fibrosis, erythroid, and
myeloid hyperplasia, as well as multiple small hyperplastic clusters of
megakaryocytes. The megakaryocytes displayed many features of atypia such as
increased cytoplasmic basophilia and occasional abnormal chromatin clumping with
mitoses. Histopathologic examination of the liver disclosed evidence of mild
extramedullary hematopoiesis. This case represents the first report of canine
idiopathic myelofibrosis associated with peritoneal extramedullary hematopoiesis,
resulting in refractory ascites. Although idiopathic myelofibrosis is a
relatively rare condition in dogs, this case demonstrates that ascites caused by
peritoneal implants of hematopoietic tissue may be the initial manifestation of
myelofibrosis.
PMID- 27874970
TI - Tandem Aryne-Capture/Sigmatropic Rearrangement as a Metal-Free Entry to
Functionalized N-Aryl Pyrrolidines.
AB - We report a new method for the synthesis of novel N-aryl proline analogues. By
reacting an aryne precursor with N-(2-malonyl) tetrahydropyridines in the
presence of tetrabutylammonium fluoride (TBAF), a tandem aryne-capture/anion
isomerisation/[2,3]-sigmatropic rearrangement is induced, leading to good yields
of 3-substituted N-aryl-2-acylpyrrolidines. These products are known subunits of
biological probes, sensors and drug-like fragments, and are not easily accessed
directly by other methods. The reaction is also notable as the first [2,3]
rearrangement of cyclic ammonium ylides at room temperature.
PMID- 27874971
TI - Cefiderocol, a Siderophore Cephalosporin for Gram-Negative Bacterial Infections:
Pharmacokinetics and Safety in Subjects With Renal Impairment.
AB - Cefiderocol, a new injectable siderophore cephalosporin antibiotic, has promising
in vitro and in vivo activity against Gram-negative bacteria including multidrug
resistant Pseudomonas aeruginosa, Acinetobacter baumannii, and Klebsiella
pneumoniae. Cefiderocol is mainly renally eliminated. The pharmacokinetics and
safety of cefiderocol in subjects with renal impairment were assessed following a
single 1000-mg intravenous 1-hour infusion of cefiderocol. Subjects with mild,
moderate, or severe renal impairment and end-stage renal disease (ESRD) requiring
hemodialysis were compared with demographically (age, body mass index, and sex)
matched healthy subjects with normal renal function. The effect of hemodialysis
on the clearance of cefiderocol was also assessed. Total drug clearance from
plasma (CL) and terminal half-life (t1/2 ) correlated with renal function. Ratios
(90% confidence intervals) of area under the plasma concentration-time curve from
0 to infinity (AUC) in mild, moderate, severe, and ESRD groups compared to those
with normal renal function were 1.0 (0.8-1.3), 1.5 (1.2-1.9), 2.5 (2.0-3.3), and
4.1 (3.3-5.2), respectively. Maximum plasma concentration (Cmax ) was similar
between renal-impairment groups and the normal-renal-function group.
Approximately 60% of cefiderocol was removed by hemodialysis for 3 to 4 hours.
The plasma-protein-unbound fraction was similar between various renal function
groups. The incidence of adverse events did not appear to have any correlation
with the degree of renal impairment. Single 1000-mg intravenous doses of
cefiderocol were generally well tolerated in subjects with impaired renal
function except for 1 subject who discontinued due to urticaria. In conclusion,
renal impairment impacted AUC, CL, and t1/2 without affecting Cmax . Cefiderocol
was significantly removed by intermittent hemodialysis.
PMID- 27874972
TI - A Hemilabile and Cooperative N-Donor-Functionalized 1,2,3-Triazol-5-Ylidene
Ligand for Alkyne Hydrothiolation Reactions.
AB - A series of novel cationic and neutral Rh complexes with an N-donor
functionalized 1,2,3-triazol-5-ylidene (TRZ) ligand (in which the pendant N donor
is NHBoc, NH2 , or NMe2 ) is described. The catalytic activity of these complexes
was evaluated in the hydrothiolation of alkynes. Among the catalysts, a neutral
dicarbonyl complex featuring the tethered-NBoc amido-TRZ ligand proved very
selective for alkyne hydrothiolation with an aryl thiol. Remarkably, the reaction
could be carried out in the absence of pyridine or base additive. In addition,
during the reaction, no evidence for oxidative addition of the thiol S-H bond was
observed, strongly suggesting a reaction pathway in which a bifunctional ligand
is involved. Experimental and theoretical mechanistic investigations suggest a
ligand-assisted deprotonation of thiol, hemilabile dissociation of amine from the
metal, and thiolate coordination, which is indicative of a different reaction
mechanism to those previously reported for related alkyne hydrothiolation
reactions.
PMID- 27874973
TI - Degradation of benzo[a]pyrene by Pleurotus ostreatus PO-3 in the presence of
defined fungal and bacterial co-cultures.
AB - Benzo[a]pyrene, a high molecular weight polycyclic aromatic hydrocarbon possesses
carcinogenic, teratogenic, and mutagenic properties. The present study focuses on
benzo[a]pyrene degradation by Pleurotus ostreatus PO-3, characterization and
identification of metabolites produced and the extent of degradation in the
presence of axenic culture of P. ostreatus PO-3 and defined co-cultures of the
basidiomycete with bacteria and non-basidiomycete fungi. Thin-layer
chromatography revealed that P. ostreatus PO-3 transformed benzo[a]pyrene to
polar metabolites. Following degradation, appearance of numerous peaks in the
mass spectrum indicated that benzo[a]pyrene degradation was a result of the
metabolic activity of P. ostreatus PO-3. A degradation product corresponding to
the m/z 284.2 was detected which could possibly be BaP-quinone, resulting from
the oxidation of benzo[a]pyrene. Compared to the axenic culture of P. ostreatus
PO-3 (64.3%), co-cultures of P. ostreatus PO-3 and Penicillium chrysogenum MTCC
787 and P. ostreatus PO-3 and Pseudomonas aeruginosa MTCC 1688 could degrade 86.1
and 75.1% of benzo[a]pyrene, respectively. Thus it could be inferred from the
present investigation that the combined catabolic activities of P. ostreatus PO-3
with bacteria and non-basidiomycete fungi can produce synergistic effects to
enhance BaP degradation. The increase in the generation of polar metabolites as
degradation products from the recalcitrant parent compound advocates the
potential application of P. ostreatus PO-3 in benzo[a]pyrene bioremediation.
PMID- 27874974
TI - Nanoscale Fluorescent Metal-Organic Framework@Microporous Organic Polymer
Composites for Enhanced Intracellular Uptake and Bioimaging.
AB - Polymer-modified metal-organic frameworks combine the advantages of both soft
polymers and crystalline metal-organic frameworks (MOFs). It is a big challenge
to develop simple methods for surface modification of MOFs. In this work,
MOF@microporous organic polymer (MOP) hybrid nanoparticles (UNP) have been
synthesized by epitaxial growth of luminescent boron-dipyrromethene (BODIPYs)
imine MOPs on the surface of UiO-MOF seeds, which exhibit low cytotoxicity,
smaller size distribution, well-retained pore integrity, and available functional
sites. After folic acid grafting, the enhanced intracellular uptake and
bioimaging was validated.
PMID- 27874975
TI - Structural and functional brain alterations in a murine model of Angiotensin II
induced hypertension.
AB - Hypertension is a main risk factor for the development of cerebral small vessel
disease (cSVD) - a major contributor to stroke and the most common cause of
vascular dementia. Despite the increasing socioeconomic importance arising from
cSVD, currently only a few specific treatment strategies with proven efficacy are
known. Fundamental to the lack of specific treatments is poor understanding of
the disease pathogenesis and a lack of appropriate animal models resembling all
symptoms of the human disease. However, chronic hypertensive rat models have been
shown to bear similarities to most key features of cSVD. Despite a significantly
larger toolbox available for genotypic and phenotypic modifications compared to
rats, mouse models of hypertension are unusual when modeling cSVD and associated
cognitive impairment experimentally. In the present study, we therefore
characterized hypertension-mediated cerebrovascular alterations and accompanying
structural and functional consequences by simultaneously treating adult wild-type
mice (C57BL/6N) with Angiotensin II (AngII) and the nitric oxide synthases
inhibitor L-NAME for 4 weeks. Hypertension associated to cerebral alterations
reminiscent of early-onset cSVD and vascular cognitive impairment when combined
with additional AngII bolus injections. Most importantly, preventing the
elevation of blood pressure (BP) protected from the development of cSVD symptoms
and associated cognitive decline. Our data strongly support the suitability of
this particular mouse model of AngII-induced hypertension as an appropriate
animal model for early-onset cSVD and hence, vascular cognitive impairment,
pathologies commonly preceding vascular dementia.
PMID- 27874976
TI - Etidronate rescues cognitive deficits through improving synaptic transmission and
suppressing apoptosis in 2-vessel occlusion model rats.
AB - Vascular dementia is a neurodegenerative disorder caused by the reduction of
cerebral blood flow. It shows a progressive cognitive impairment. In our previous
study, we found that etidronate (ET) showed neuroprotective effects against
glutamate-injured PC12 cells. Thus, in this study, we aimed to observe the
effects of ET on learning and memory impairment and the related mechanism in 2
vessel occlusion (2VO) model rats. Rats were administered a permanent bilateral
common carotid artery occlusion to induce vascular dementia model. Two weeks
later, 2VO model rats were treated with ET (20 mg/kg/day i.p.) for 1 week.
Results showed that ET improved the spatial learning and memory function in 2VO
rats detected by Morris water maze experiment. A reduced long-term potentiation
was also rescued by ET treatment in 2VO rats. Moreover, the long-term
potentiation-related proteins, calcium/calmodulin-dependent protein kinase II
(CaMKII), NMDAR 2B and PSD95 were up-regulated after treatment with ET. By
testing the levels of malondialdehyde and superoxide dismutase in 2VO rats, we
discovered that ET lowered oxidative stress. Furthermore, ET displayed a better
anti-apoptosis ability through detecting the levels of Bcl-2 and Bax protein and
terminal deoxynucleotidyl transferase dUTP nick-end labeling-positive cells. In
conclusion, ET shows neuroprotective effects on 2VO rats through rescuing spatial
working memory deficits, and a possible mechanism may be related to the increased
synaptic transmission and the inhibition of oxidative stress and apoptosis.
PMID- 27874977
TI - Relationship Between Age and Trajectories of Rehospitalization Risk in Older
Adults.
AB - OBJECTIVES: To characterize the magnitude and duration of risk of
rehospitalization according to age after hospitalization for heart failure (HF),
acute myocardial infarction (AMI), or pneumonia. DESIGN: Retrospective cohort
study. SETTING: U.S. hospitals (n = 4,767). PARTICIPANTS: All Medicare fee-for
service beneficiaries aged 65 and older surviving hospitalization for HF, AMI, or
pneumonia between October 2012 and December 2013. MEASUREMENTS: Daily risk of
first rehospitalization for 1 year after hospital discharge was calculated
according to age category (65-74, 75-84, >=85) after adjustment for sex, race,
comorbidities, and median ZIP code income. Time required for adjusted
rehospitalization risk to decline 50% from maximum value after discharge, time
required for adjusted risk to approach a plateau period of minimal day-to-day
change, and degree to which adjusted risk was higher in recently hospitalized
individuals than in the general elderly population were identified. RESULTS:
There were 414,720 hospitalizations for HF, 177,752 for AMI, and 568,304 for
pneumonia. The adjusted risk of rehospitalization declined with increasing age
after HF hospitalization (P < .001), rose with increasing age after AMI
hospitalization (P < .001), and was slightly lower with increasing age after
pneumonia hospitalization (P = .002). Adjusted risks of rehospitalization were
high beyond 30 days after hospitalization for all ages. CONCLUSION: Although
older age has heterogeneous relationships with rehospitalization risk, risk of
readmission remains high for an extended time after discharge regardless of age
or admitting condition. Condition-specific data on risk can be used to guide
discussions on advanced care planning and strategies for longitudinal follow-up
after hospitalization.
PMID- 27874979
TI - Risk factors for recurrence of hypertensive disorders of pregnancy, a population
based cohort study.
AB - INTRODUCTION: Hypertensive disorders of pregnancy (HDP) tend to recur from one
pregnancy to the next. The aims of the study were to assess the recurrence risk
according to type of HDP defined by gestational age at birth and to examine
whether recurrence is associated with the following additional risk factors for
HDP: maternal age, smoking, inter-delivery interval, diabetes, body mass index,
and fetal growth restriction, and to assess temporal trends in these
associations. MATERIAL AND METHODS: All women with two singleton births in the
Medical Birth Registry of Norway 1967-2012 (n = 742 980) were included in this
population-based cohort study. Logistic regression was used to calculate odds
ratios for the risk of recurrent HDP according to type of HDP. RESULTS: The
highest odds ratio of recurrence was observed for the same type of HDP based on
gestational age at delivery. After gestational hypertension and term
preeclampsia, the risk for the same type to recur increased 10-fold, whereas
after late and early preterm preeclampsia, the risk increased 27- and 97-fold,
respectively. The recurrence of early preterm preeclampsia was less influenced by
additional risk factors compared with term HDP. Recurrence of early preterm HDP
was significantly lower from 1993 onwards. CONCLUSIONS: Recurrent HDP tended to
be of the same type as the previous HDP. Risk of recurrence associated with
additional risk factors was observed particularly after term. The odds ratio of
recurrence of early preterm HDP was significantly lower from 1993 onwards.
PMID- 27874980
TI - Nurses' shift reports: a systematic literature search and critical review of
qualitative field studies.
AB - AIMS AND OBJECTIVES: To identify reporting practices that feature in studies of
nurses' shift reports across diverse nursing specialities. The objectives were to
perform an exhaustive systematic literature search and to critically review the
quality and findings of qualitative field studies of nurses' shift reports.
BACKGROUND: Nurses' shift reports are routine occurrences in healthcare
organisations that are viewed as crucial for patient outcomes, patient safety and
continuity of care. Studies of communication between nurses attend primarily to
1:1 communication and analyse the adequacy and accuracy of patient information
and feature handovers at the bedside. Still, verbal reports between groups of
nurses about patients are commonplace. Shift reports are obvious sites for
studying the situated accomplishment of professional nursing at the group level.
This review is focused exclusively on qualitative field research for nuanced and
contextualised insights into nurses' everyday shift reporting practices. DESIGN:
The study is a systematic literature search and critical review of qualitative
field analyses of nurses' shift reports. We searched in the databases CIHAHL,
PubMed and PsycINFO and identified and reviewed 19 articles published 1992-2014.
Data were systematically extracted using criteria for the evaluation of
qualitative research reports. RESULTS: The studies described shift report
practices and identified several factors contributing to distribution of clinical
knowledge. Shift report practices were described as highly conventionalised and
locally situated, but with occasional opportunities for improvisation and
negotiation between nurses. Finally, shift reports were described as
multifunctional meetings, with individual and social effects for nurses and
teams. CONCLUSION: Innovations in between-shift communications can benefit from
this analysis, by providing for the many functions of handovers that are revealed
in field studies. RELEVANCE TO CLINICAL PRACTICE: Leaders and practising nurses
may consider what are the best opportunities for nurses to work up clinical
knowledge and negotiate care.
PMID- 27874978
TI - The treatment of bacterial vaginosis in pregnancy with clindamycin to reduce the
risk of infection-related preterm birth: a response to the Danish Society of
Obstetrics and Gynecology guideline group's clinical recommendations.
AB - Preterm birth is the major cause of perinatal mortality and morbidity worldwide.
Infection/inflammation is responsible for a significant percentage of preterm
birth, particularly at early gestations. A recent clinical recommendation by a
guidelines group of the Danish Society of Obstetrics and Gynecology advised
against the use of clindamycin for the treatment of bacterial vaginosis in
pregnancy to reduce the risk of spontaneous preterm birth based on lack of
evidence of efficacy. We believe that the evidence for the use of clindamycin for
this indication is robust and that this recommendation was reached erroneously on
the basis of flawed inclusion criteria: the inclusion of an unpublished study
with poorly diagnosed bacterial vaginosis and the exclusion of an important
pivotal study on the use of clindamycin in early pregnancy for the prevention of
preterm birth. Had these errors been corrected, the conclusions would have been
different.
PMID- 27874982
TI - Rethinking presence: a grounded theory of nurses and teleconsultation.
AB - AIMS AND OBJECTIVES: To develop a theory that offered an evidence-based insight
into the use of teleconsultation by nurses. BACKGROUND: Teleconsultation is the
use of video to facilitate real-time, remote interaction between healthcare
practitioners and patients. Although its popularity is growing, there is little
understanding of how teleconsultation impacts on the role of nurses. DESIGN: The
study adopted a constructivist grounded theory method, supplemented by the use of
Straussian analytical approaches. METHODS: Using selective and theoretical
approaches, registered nurses with experience of using video in health care were
sampled. Data were collected using semi-structured interviews exploring
experiences, knowledge and feelings surrounding teleconsultation. Interviews were
recorded, transcribed and subjected to three-stage, nonlinear manual analysis
(open, axial and selective coding). RESULTS: Theoretical saturation occurred
after 17 interviews. The core category identified from the data was 'nursing
presence' Four subcategories of nursing presence were identified: operational,
clinical, therapeutic and social. The degree to which presence could be achieved
was dependent upon three influencing factors - enablers, constraints and
compensation. CONCLUSIONS: Nurses provide different types of presence during
teleconsultation, with the degree of presence dependent on specific
characteristics of video-mediated communication. Where the use of video
constrains the delivery of presence, nurses use a range of compensatory
mechanisms to enhance patient care. RELEVANCE TO CLINICAL PRACTICE:
Teleconsultation provides an innovative approach to enhancing the delivery of
health care. This study provides nurses with insight into the impact of
teleconsultation on their professional role, and an understanding of how best to
use video-mediated communication to support patient care.
PMID- 27874983
TI - Physical health monitoring in mental health settings: a study exploring mental
health nurses' views of their role.
AB - AIMS AND OBJECTIVES: To explore nurses' views of their role in the screening and
monitoring of the physical care needs of people with serious mental illness in a
mental health service provider. BACKGROUND: There is increasing awareness through
research that people with serious mental illness disproportionately experience
and die early from physical health conditions. Mental health nurses are best
placed as front-line workers to offer screening, monitoring and interventions;
however, their views on physical care interventions are not studied often.
DESIGN: Qualitative exploratory study. METHODS: The study was carried out in a
mental health inpatient centre in England. Volunteer sampling was adopted for the
study with a total target sample of (n = 20) nurses from three inpatient wards.
Semistructured interviews were conducted with (n = 10) registered mental health
nurses who had consented to take part in the study. Inductive data analysis and
theme development were guided by a thematic analytic framework. RESULTS:
Participants shared a clear commitment regarding their role regarding physical
health screening and monitoring in mental health settings. Four themes emerged as
follows: features of current practice and physical health monitoring; perceived
barriers to physical health monitoring; education and training needs; and
strategies to improve physical health monitoring. CONCLUSIONS: Nurses were
unequivocal in their resolve to ensure good standard physical health monitoring
and screening interventions in practice. However, identified obstacles have to be
addressed to ensure that physical health screening and monitoring is integrated
adequately in everyday clinical activities. Achieving this would require
improvements in nurses' training, and an integrated multiservice and team-working
approach. RELEVANCE TO CLINICAL PRACTICE: Attending to the physical health needs
of people with serious mental illness has been associated with multiple
improvements in both mental and physical health; nurses have a vital role to play
in identifying and addressing causes of poor physical health to improve physical
health outcomes in people with serious mental illness.
PMID- 27874984
TI - Maternity groups in the postpartum period at well child clinics - mothers'
experiences.
AB - AIMS AND OBJECTIVES: To explore mothers' experiences as participants in maternity
groups at well child clinics (WCCs). BACKGROUND: The level of psychological
distress that new mothers have may be related to the quantity of social support
they receive. Maternity groups to support new parents have a long tradition at
WCCs in Norway, and most of the clinics have offered these groups. However, there
is little knowledge about mothers' experiences of participating in these groups.
DESIGN: Qualitative design. METHOD: Focus group interviews with mothers who had
participated in maternity groups facilitated by public health nurses (PHNs) at
WCCs. Interpretive description was used to analyse the transcripts from the
interviews. RESULTS: Eight focus group interviews were conducted with a total of
30 mothers who had participated in maternity groups at WCCs in two counties in
eastern Norway. The analysis resulted in one main category, 'The maternity
group's salutogenic importance' and three subcategories, 'Networks that can last
for many years', 'Fellowship with others in the same situation' and 'Become
confident in mothering'. CONCLUSION: Mothers wanted fellowship and found it
important to share experiences with other mothers. Maternity groups offered to
parents are essential to meet parents' need to discuss experiences and challenges
related to parenting. Maternity groups can also create a basis for establishing a
social network for those who want it. RELEVANCE TO CLINICAL PRACTICE: Well child
clinics should offer all mothers the opportunity to participate in groups to
strengthen their social relations and their confidence in parenting. PHNs play an
important role in facilitating groups for first-time parents. Group leadership
can influence how mothers in a group connect and whether the parental role is
affected.
PMID- 27874985
TI - Life's a beach - the colonization of the terrestrial environment.
PMID- 27874986
TI - Bridging evolution and development in plants.
PMID- 27874987
TI - Retraction.
AB - This article corrects: Retracted: Three BUB1 and BUBR1/MAD3-related spindle
assembly checkpoint proteins are required for accurate mitosis in Arabidopsis.
New Phytologist 205: 202-215. Article first published online: 29 September 2014.
This article has been retracted at the request of: Editor-in-Chief and Author
'Three BUB1 and BUBR1/MAD3-related spindle assembly checkpoint proteins are
required for accurate mitosis in Arabidopsis', by Paganelli L, Caillaud M-C,
Quentin M, Damiani I, Govetto B, Lecomte P, Karpov, PA, Abad P, Chaboute M-E and
Favery B. The above article, first published online on Wiley Online Library
(wileyonlinelibrary.com), and in New Phytologist 205: 202-215, has been retracted
by agreement between the authors, the journal Editor-in-Chief, Alistair
Hetherington, and John Wiley & Sons Ltd. Since publication of the above article,
it has been brought to our attention that errors occurred in the construction of
Figs 1 and 2(a); some components were inappropriately edited and duplicated,
including the duplication and editing of images that first appeared in Caillaud
et al. (2009), which were used by the authors as a basic template. Consequently,
the integrity of the yeast two-hybrid experiments reported in the article is
undermined, and, with agreement of all parties, the decision has been made to
retract this article. We apologize for any inconvenience the publication of this
work may have caused our readers. References Caillaud MC, Paganelli L, Lecomte P,
Deslandes L, Quentin M, Pecrix Y, Le Bris M, Marfaing N, Abad P, Favery B. 2009.
Spindle assembly checkpoint protein dynamics reveal conserved and unsuspected
roles in plant cell division. PLoS One 4: e6757. Paganelli L, Caillaud M-C,
Quentin M, Damiani I, Govetto B, Lecomte P, Karpov PA, Abad P, Chaboute M-E,
Favery B. 2015. Three BUB1 and BUBR1/MAD3-related spindle assembly checkpoint
proteins are required for accurate mitosis in Arabidopsis. New Phytologist 205:
202-215.
PMID- 27874988
TI - Clash between the borders: spotlight on apoplastic processes in plant-microbe
interactions.
PMID- 27874989
TI - Too many partners in root-shoot signals. Does hydraulics qualify as the only
signal that feeds back over time for reliable stomatal control?
PMID- 27874991
TI - Katie J. Field.
PMID- 27874990
TI - Plant volatile-mediated signalling and its application in agriculture: successes
and challenges.
AB - 856 I. 856 II. 857 III. 858 IV. 859 V. 860 VI. 862 VII. 863 VIII. 864 IX. 866 866
References 866 SUMMARY: The mediation of volatile secondary metabolites in
signalling between plants and other organisms has long been seen as presenting
opportunities for sustainable crop protection. Initially, exploitation of
interactions between plants and other organisms, particularly insect pests,
foundered because of difficulties in delivering, sustainably, the signal systems
for crop protection. We now have mounting and, in some cases, clear practical
evidence for successful delivery by companion cropping or next-generation genetic
modification (GM). At the same time, the type of plant signalling being exploited
has expanded to signalling from plants to organisms antagonistic to pests, and to
plant stress-induced, or primed, plant-to-plant signalling for defence and growth
stimulation.
PMID- 27874992
TI - Editorial: On the road to health equity: incorporating culture into research.
PMID- 27874993
TI - Bismuth Perfluoroalkylphosphinates: New Catalysts for Application in Organic
Syntheses.
AB - Commercially available BiPh3 was treated with perfluoroalkylphosphinic acids [for
example, (C2 F5 )2 P(O)OH] to generate novel, highly Lewis acidic bismuth(III)
perfluoroalkylphosphinates of the type Phx Bi[RF2 PO2 ]3-x (x=0, 1, 2) (RF =-C2
F5 , -C4 F9 ). The first bismuth(V) perfluoroalkylphosphinate, Ph3 Bi[(C2 F5 )2
PO2 ]2 , was synthesized from Ph3 BiCl2 and Ag[(C2 F5 )2 PO2 ]. Examples for the
successful application of the catalytically active bismuth(III) and bismuth(V)
phosphinates in carbon-carbon bond forming reactions, such as Friedel-Crafts
acylation and alkylation, Diels-Alder, Strecker and Mannich reaction, are
presented.
PMID- 27874994
TI - SSRI and SNRI use during pregnancy and the risk of persistent pulmonary
hypertension of the newborn.
AB - AIM: The use of selective serotonin reuptake inhibitors (SSRIs) in late pregnancy
may be associated with an increased risk of persistent pulmonary hypertension of
the newborn (PPHN). Limited data are available on the risk of PPHN associated
with serotonin norepinephrine reuptake inhibitors (SNRIs). We aimed to quantify
both associations. METHODS: Using data from the Quebec Pregnancy Cohort between
1998 and 2009, we included women covered by the provincial drug plan who had a
singleton live birth. Exposure categories were SSRI, SNRI and other
antidepressant use; non-users were considered as the reference category.
Generalized estimating equation models were used to obtain risk estimates and 95%
confidence intervals (CIs). Confounding by indication was minimized by adjusting
for history of maternal depression/anxiety before pregnancy. RESULTS: Overall,
143 281 pregnancies were included; PPHN was identified in 0.2% of newborns.
Adjusting for maternal depression, and other potential confounders, SSRI use
during the second half of pregnancy was associated with an increased risk of PPHN
[adjusted odds ratio (aOR) 4.29, 95% CI 1.34, 13.77] compared with non-use of
antidepressants; SNRI use during the same time window was not statistically
associated with the risk of PPHN (aOR 0.59, 95% CI 0.06, 5.62). Use of SSRIs and
SNRIs before the 20th week of gestation was not associated with the risk of PPHN.
CONCLUSIONS: Use of SSRIs in the second half of pregnancy was associated with the
risk of PPHN. Given our results on SNRIs and the lack of statistical power for
these analyses, it is unclear whether SNRI use during pregnancy also increases
the risk of PPHN.
PMID- 27874997
TI - Considerations for assessing model averaging of regression coefficients.
AB - Model choice is usually an inevitable source of uncertainty in model-based
statistical analyses. While the focus of model choice was traditionally on
methods for choosing a single model, methods to formally account for multiple
models within a single analysis are now accessible to many researchers. The
specific technique of model averaging was developed to improve predictive ability
by combining predictions from a set of models. However, it is now often used to
average regression coefficients across multiple models with the ultimate goal of
capturing a variable's overall effect. This use of model averaging implicitly
assumes the same parameter exists across models so that averaging is sensible.
While this assumption may initially seem tenable, regression coefficients
associated with particular explanatory variables may not hold equivalent
interpretations across all of the models in which they appear, making explanatory
inference about covariates challenging. Accessibility to easily implementable
software, concerns about being criticized for ignoring model uncertainty, and the
chance to avoid having to justify choice of a final model have all led to the
increasing popularity of model averaging in practice. We see a gap between the
theoretical development of model averaging and its current use in practice,
potentially leaving well-intentioned researchers with unclear inferences or
difficulties justifying reasons for using (or not using) model averaging. We
attempt to narrow this gap by revisiting some relevant foundations of regression
modeling, suggesting more explicit notation and graphical tools, and discussing
how individual model results are combined to obtain a model averaged result. Our
goal is to help researchers make informed decisions about model averaging and to
encourage question-focused modeling over method-focused modeling.
PMID- 27874995
TI - Changes in self-efficacy, collective efficacy and patient outcome following
interprofessional simulation training on postpartum haemorrhage.
AB - AIMS AND OBJECTIVES: To examine whether interprofessional simulation training on
management of postpartum haemorrhage enhances self-efficacy and collective
efficacy and reduces the blood transfusion rate after birth. BACKGROUND:
Postpartum haemorrhage is a leading cause of maternal morbidity and mortality
worldwide, although it is preventable in most cases. Interprofessional simulation
training might help improve the competence of health professionals dealing with
postpartum haemorrhage, and more information is needed to determine its
potential. DESIGN: Multimethod, quasi-experimental, pre-post intervention design.
METHODS: Interprofessional simulation training on postpartum haemorrhage was
implemented for midwives, obstetricians and auxiliary nurses in a university
hospital. Training included realistic scenarios and debriefing, and a measurement
scale for perceived postpartum haemorrhage-specific self-efficacy, and collective
efficacy was developed and implemented. Red blood cell transfusion was used as
the dependent variable for improved patient outcome pre-post intervention.
RESULTS: Self-efficacy and collective efficacy levels were significantly
increased after training. The overall red blood cell transfusion rate did not
change, but there was a significant reduction in the use of >=5 units of blood
products related to severe bleeding after birth. CONCLUSION: The study
contributes to new knowledge on how simulation training through mastery and
vicarious experiences, verbal persuasion and psychophysiological state might
enhance postpartum haemorrhage-specific self-efficacy and collective efficacy
levels and thereby predict team performance. The significant reduction in severe
postpartum haemorrhage after training, indicated by reduction in >=5 units of
blood transfusions, corresponds well with the improvement in collective efficacy,
and might reflect the emphasis on collective efforts to counteract severe cases
of postpartum haemorrhage. RELEVANCE TO CLINICAL PRACTICE: Interprofessional
simulation training in teams may contribute to enhanced prevention and management
of postpartum haemorrhage, shown by a significant increase in perceived efficacy
levels combined with an indicated reduction of severe postpartum haemorrhage
after training.
PMID- 27874996
TI - Patients' self-perceived burden, caregivers' burden and quality of life for
amyotrophic lateral sclerosis patients: a cross-sectional study.
AB - AIMS AND OBJECTIVES: This study surveys the quality of life of amyotrophic
lateral sclerosis patients and the factors associated with amyotrophic lateral
sclerosis patients' self-perceived burden and their caregivers' burden.
BACKGROUND: Burdens of patients with amyotrophic lateral sclerosis and their
caregivers in Chinese population are largely unknown. DESIGN: A cross-sectional
study was conducted among 81 pairs of amyotrophic lateral sclerosis patients and
their caregivers. METHODS: Amyotrophic lateral sclerosis patients' self-perceived
burden and caregivers' burden were assessed by the Self-Perceived Burden Scale
and Zarit-Burden Interview, respectively. Quality of life of amyotrophic lateral
sclerosis patients was measured using the World Health Organization Quality of
Life-Bref. The amyotrophic lateral sclerosis Functional Rating Scale-Revised
questionnaire was used to estimate patients' physical function. RESULTS: Both
patients and caregivers reported a mild to moderate burden. The World Health
Organization quality of life-Bref scores were decreased in respondents with lower
amyotrophic lateral sclerosis Functional Rating Scale-Revised, higher Self
Perceived Burden Scale and higher Zarit-Burden Interview scores. Self-Perceived
Burden Scale scores were associated with patients' knowledge of amyotrophic
lateral sclerosis, respiratory function and female sex. Zarit-Burden Interview
scores were associated with caregivers' age, patients' motor function and out-of
pocket payment. CONCLUSION: With increase in amyotrophic lateral sclerosis
patients' self-perceived burden and caregivers' burden, quality of life of
amyotrophic lateral sclerosis patients decreased. Female patients, who had known
more about the disease, and those with severe respiratory dysfunction were
subject to higher self-perceived burden. Older caregivers and caregivers of
patients with severe motor dysfunction and more out-of-pocket payment experienced
more care burdens. RELEVANCE TO CLINICAL PRACTICE: Our study suggests that paying
more attention to female amyotrophic lateral sclerosis patients might benefit
patients in China or other South-East Asian countries under the Confucian concept
of ethics. There is an urgent demand to expand medical insurance coverage to
cover amyotrophic lateral sclerosis in China and other developing countries. Long
and adequate supports are needed for relieving caregiver's burden. To improve the
quality of life of patients, relieving the patients' SBP and caregivers' burden
is likely to be not only required, but also essential.
PMID- 27874998
TI - Factors predictive of the efficacy of bezafibrate therapy in patients with
primary sclerosing cholangitis.
AB - AIM: Primary sclerosing cholangitis (PSC) is a rare cholestatic disease. We
previously reported the effects of bezafibrate on elevated hepatobiliary enzyme
levels in patients with this disease both retrospectively and prospectively. In
this study, we assessed factors predictive of bezafibrate efficacy. METHODS:
Twenty-five patients with PSC, who underwent bezafibrate therapy (400 mg per day)
from November 2006 to June 2015, were evaluated. Treatment was judged as being
effective if the levels of all of the hepatobiliary enzymes decreased after 12
weeks. We investigated the patients' characteristics, disease history,
concomitant medications, liver function, and liver stiffness. RESULTS: The
efficacy rate of bezafibrate was 60% (15/25 patients). The efficacy rate in
patients graded as Child-Pugh class A was significantly higher (75% [15/20]) than
that in patients graded as class B (0% [0/5], P < 0.01). Non-responders had
higher liver stiffness values (18.0 vs. 8.8 kPa, P = 0.19), and concomitantly
used ursodeoxycholic acid more frequently (100% vs. 73%, P = 0.12) than
responders. CONCLUSIONS: We could not elucidate the factors predictive for
bezafibrate efficacy for the treatment of PSC. However, bezafibrate was more
effective for patients with preserved liver function (Child-Pugh class A) when it
was prescribed before progression of liver fibrosis and failure of
ursodeoxycholic acid therapy.
PMID- 27874999
TI - Environmental controls on canopy foliar nitrogen distributions in a Neotropical
lowland forest.
AB - Distributions of foliar nutrients across forest canopies can give insight into
their plant functional diversity and improve our understanding of biogeochemical
cycling. We used airborne remote sensing and partial least squares regression to
quantify canopy foliar nitrogen (foliar N) across ~164 km2 of wet lowland
tropical forest in the Osa Peninsula, Costa Rica. We determined the relative
influence of climate and topography on the observed patterns of foliar N using a
gradient boosting model technique. At a local scale, where climate and substrate
were constant, we explored the influence of slope position on foliar N by
quantifying foliar N on remnant terraces, their adjacent slopes, and knife-edged
ridges. In addition, we climbed and sampled 540 trees and analyzed foliar N in
order to quantify the role of species identity (phylogeny) and environmental
factors in predicting foliar N. Observed foliar N heterogeneity reflected
environmental factors working at multiple spatial scales. Across the larger
landscape, elevation and precipitation had the highest relative influence on
predicting foliar N (30% and 24%), followed by soils (15%), site exposure (9%),
compound topographic index (8%), substrate (6%), and landscape dissection (6%).
Phylogeny explained ~75% of the variation in the field collected foliar N data,
suggesting that phylogeny largely underpins the response to the environmental
factors. Taken together, these data suggest that a large fraction of the variance
in foliar N across the landscape is proximately driven by species composition,
though ultimately this is likely a response to abiotic factors such as climate
and topography. Future work should focus on the mechanisms and feedbacks
involved, and how shifts in climate may translate to changes in forest function.
PMID- 27875000
TI - Combined hepatocellular-cholangiocarcinoma: Gadoxetic acid-enhanced MRI findings
correlated with pathologic features and prognosis.
AB - PURPOSE: To evaluate gadoxetic acid-enhanced magnetic resonance imaging (MRI)
findings of combined hepatocellular cholangiocarcinoma (cHCC-CC) with special
emphasis on correlation of MRI findings with histopathologic tumor
characteristics and survival outcomes after curative surgery. MATERIALS AND
METHODS: Our Institutional Review Board approved this study, with a waiver of
informed consent. For 82 patients (64 men, 18 women; mean age, 54.0 years; age
range, 30-81) with surgically confirmed cHCC-CCs, we evaluated clinical features,
histologic findings, and tumor morphologic and enhancement features on gadoxetic
acid-enhanced liver MRI at 1.5T (n = 67) or 3.0T (n = 15). Imaging features of
cHCC-CCs were correlated with pathologic findings according to the 2010 World
Health Organization classification system. Tumors were categorized as
hypervascular or nonhypervascular based on arterial phase enhancement and were
compared with respect to overall and recurrence-free survival after curative
intent surgery. RESULTS: Of the 82 lesions, 48 showing global arterial phase
enhancement were categorized as the hypervascular group, while 34 lesions
demonstrating rim, peripheral, or isoenhancement were categorized as the
nonhypervascular group. There was no significant difference in MRI findings
between pathologic tumor types (classical type versus stem cell feature type, P =
0.324-1.0). Compared with the nonhypervascular group, the hypervascular group had
a larger HCC component (P = 0.014), smaller CC component (P = 0.001), and lesser
amount of fibrotic stroma (P = 0.006) on pathologic analysis and was an
independent factor associated with better overall survival after surgical
resection (P = 0.033). CONCLUSION: Gadoxetic acid-enhanced MRI findings of cHCC
CCs were diverse, reflecting heterogeneous histologic features. The hypervascular
group on MRI is associated with a larger HCC component, smaller CC component,
less fibrotic stroma, and better overall survival after curative surgery than the
nonhypervascular group. LEVEL OF EVIDENCE: 4 J. MAGN. RESON. IMAGING 2017;46:267
280.
PMID- 27875001
TI - Patient- and family-centred care in the intensive care unit: a challenge in the
daily practice of healthcare professionals.
AB - AIMS AND OBJECTIVES: To evaluate the impact of supportive interventions perceived
by both the intensive care unit patients' relatives and the healthcare providers,
such as deferred intake interviews for providing information and discussing the
emotional impacts, encouragement to keep a diary, and the introduction of weekly
psychosocial rounds, on the perceptions of relatives of patients in the intensive
care unit. BACKGROUND: Patient- and family-centred care is gaining interest, with
a shift from provider-centric norms to care arranged around patients' and
relatives individual beliefs and needs. This is expected to have a positive
influence on the quality of care. Communication is one of the most important
factors impacting the perceived quality of care in the intensive care unit from
the perspective of patients' relatives. New interventions have been introduced to
help the patients' relatives to meet their communication needs. DESIGN: A time
trend quantitative design. METHODS: Two convenience samples of relatives were
included (in 2012 and 2013) in four different intensive care units from a large
university medical centre in the Netherlands. RESULTS: Survey data from 211
relatives (75% net response rate in 2012) and 123 relatives (66% net response
rate in 2013) were used for the analysis. The second measurement showed
significant improvements regarding informational aspects of care, clarification
of roles in participatory caretaking and shared decision-making. CONCLUSION: The
results suggest that the additional support offered to patients' relatives
increased perceived quality of care, particularly with respect to informational
needs. However, patient- and family-centred care still requires a change in the
mindset of healthcare professionals. This new point of view should overcome
perceived barriers and foster a culture of partnership with patients' relatives
in the intensive care unit. RELEVANCE TO CLINICAL PRACTICE: Training in providing
psychosocial support for the needs of relatives leads to a stronger perception of
patient-centredness.
PMID- 27875003
TI - Long time horizon for adaptive management to reveal predation effects in a salmon
fishery.
AB - Predator-prey interactions shape ecosystem structure and function, potentially
limiting the productivity of valuable species. Simultaneously, stochastic
environmental forcing affects species productivity, often through unknown
mechanisms. The interacting effects of trophic and environmental conditions
complicate management of exploited ecosystems and have motivated calls for more
holistic management via ecosystem-based approaches, yet the limitations to these
approaches are not widely appreciated. The Chignik salmon fishery in Alaska is
managed to achieve maximum sustainable yield for sockeye salmon, though research
suggests that predation by less economically valuable, and thus not targeted,
coho salmon during juvenile rearing limits the productivity of sockeye salmon. We
examined the relationship between historical sockeye salmon recruitment and coho
salmon abundance observed in the Chignik system and could not detect a clear
effect of coho salmon abundance on sockeye salmon productivity, given existing
data. Using simulation models, we examined the probability of detecting a known
predation effect on sockeye salmon recruitment in the presence of observation
error in coho salmon abundance and stochasticity in sockeye salmon recruitment.
Increased recruitment stochasticity reduced the ability to detect predator
effects in recruitment, an effect further strengthened when low frequency
environmental variation was added to the system. Further, increased observation
error biased estimates of predator effects towards zero. Thus, in systems with
high observation error on predator abundances, estimates of predation effects
will be substantially weaker than true effects. We examined the effects of
stochasticity on the ability of an adaptive management program to learn about
ecosystem structure and detect an effect of management actions intended to
release a prey species from its predators. Simulation models revealed that even
under scenarios of large predation effects on sockeye salmon, stochastic
recruitment masked detection of an effect of increased coho salmon harvest for
nearly a decade. These results highlight the challenges inherent in ecosystem
based management of predator-prey systems due to mismatched timescales of
ecosystem dynamics and the willingness of stakeholders to risk losses in order to
test uncertain hypotheses. It is critical for stakeholders considering EBFM
(ecosystem-based fisheries management) and adaptive management strategies to be
aware of the potential timelines of perceiving ecosystem change.
PMID- 27875002
TI - Superparamagnetic nanoparticle-enhanced MRI of Alzheimer's disease plaques and
activated microglia in 3X transgenic mouse brains: Contrast optimization.
AB - PURPOSE: To optimize magnetic resonance imaging (MRI) of antibody-conjugated
superparamagnetic nanoparticles for detecting amyloid-beta plaques and activated
microglia in a 3X transgenic mouse model of Alzheimer's disease. MATERIALS AND
METHODS: Ten 3X Tg mice were fed either chow or chow containing 100 ppm
resveratrol. Four brains, selected from animals injected with either anti-amyloid
targeted superparamagnetic iron oxide nanoparticles, or anti-Iba-1-conjugated
FePt-nanoparticles, were excised, fixed with formalin, and placed in Fomblin for
ex vivo MRI (11.7T) using multislice-multiecho, multiple gradient echo, rapid
acquisition with relaxation enhancement, and susceptibility-weighted imaging
(SWI). Abeta plaques and areas of neuroinflammation appeared as hypointense
regions whose number, location, and Z-score were measured as a function of
sequence type and echo time. RESULTS: The MR contrast was due to the shortening
of the transverse relaxation time of the plaque-adjacent tissue water. A
theoretical analysis of this effect showed that the echo time was the primary
determinant of plaque contrast and was used to optimize Z-scores. The Z-scores of
the detected lesions varied from 21 to 34 as the echo times varied from 4 to 25
msec, with SWI providing the highest Z-score and number of detected lesions.
Computation of the entire plaque and activated microglial distributions in 3D
showed that resveratrol treatment led to a reduction of ~24-fold of Abeta plaque
density and ~4-fold in microglial activation. CONCLUSION: Optimized MRI of
antibody-conjugated superparamagnetic nanoparticles served to reveal the 3D
distributions of both Abeta plaques and activated microglia and to measure the
effects of drug treatments in this 3X Tg model. LEVEL OF EVIDENCE: 1 Technical
Efficacy: Stage 2 J. MAGN. RESON. IMAGING 2017;46:574-588.
PMID- 27875004
TI - Grassland management impacts on soil carbon stocks: a new synthesis.
AB - Grassland ecosystems cover a large portion of Earths' surface and contain
substantial amounts of soil organic carbon. Previous work has established that
these soil carbon stocks are sensitive to management and land use changes:
grazing, species composition, and mineral nutrient availability can lead to
losses or gains of soil carbon. Because of the large annual carbon fluxes into
and out of grassland systems, there has been growing interest in how changes in
management might shift the net balance of these flows, stemming losses from
degrading grasslands or managing systems to increase soil carbon stocks (i.e.,
carbon sequestration). A synthesis published in 2001 assembled data from hundreds
of studies to document soil carbon responses to changes in management. Here we
present a new synthesis that has integrated data from the hundreds of studies
published after our previous work. These new data largely confirm our earlier
conclusions: improved grazing management, fertilization, sowing legumes and
improved grass species, irrigation, and conversion from cultivation all tend to
lead to increased soil C, at rates ranging from 0.105 to more than 1 Mg C.ha-1
.yr-1 . The new data include assessment of three new management practices: fire,
silvopastoralism, and reclamation, although these studies are limited in number.
The main area in which the new data are contrary to our previous synthesis is in
conversion from native vegetation to grassland, where we find that across the
studies the average rate of soil carbon stock change is low and not significant.
The data in this synthesis confirm that improving grassland management practices
and conversion from cropland to grassland improve soil carbon stocks.
PMID- 27875005
TI - Asunaprevir/daclatasvir and sofosbuvir/ledipasvir for recurrent hepatitis C
following living donor liver transplantation.
AB - AIM: This study aimed to clarify the efficacy and safety of interferon-free
therapy using asunaprevir and daclatasvir, or sofosbuvir and ledipasvir for post
living donor liver transplantation (LDLT) recipients with hepatitis C virus
(HCV). METHODS: A retrospective cohort study of LDLT recipients with HCV genotype
1b treated with asunaprevir (100 mg twice daily) and daclatasvir (60 mg once
daily), or sofosbuvir (400 mg/day) and ledipasvir (90 mg/day) was carried out.
RESULTS: Ten patients without mutations in the area of L31 and Y93 completed the
treatment with asunaprevir and daclatasvir. Five of them had end-stage chronic
kidney disease, including three hemodialysis patients. Of the 10 patients, nine
completed the protocol of 24 weeks; one stopped the treatment due to the
development of aortic valve stenosis. All nine patients who completed the 24-week
treatment protocol achieved end of treatment response. Nineteen patients received
treatment with sofosbuvir and ledipasvir. Of the 19 patients, 18 completed the
protocol of 12 weeks; one stopped treatment due to severe interstitial pneumonia.
All 18 patients who completed the 12-week treatment protocol achieved end of
treatment response. All patients in both treatment groups who completed the
regimen and reached 3 months after the end of treatment achieved sustained
virological response at 12 weeks after treatment. Liver functions were
significantly improved at the end of treatment, and no adverse events were
observed. CONCLUSIONS: Interferon-free therapy using asunaprevir and daclatasvir,
or sofosbuvir and ledipasvir, is highly effective for post-LDLT recipients with
HCV genotype 1b.
PMID- 27875007
TI - Landscape variation in tree regeneration and snag fall drive fuel loads in 24
year old post-fire lodgepole pine forests.
AB - Escalating wildfire in subalpine forests with stand-replacing fire regimes is
increasing the extent of early-seral forests throughout the western USA. Post
fire succession generates the fuel for future fires, but little is known about
fuel loads and their variability in young post-fire stands. We sampled fuel
profiles in 24-year-old post-fire lodgepole pine (Pinus contorta var. latifolia)
stands (n = 82) that regenerated from the 1988 Yellowstone Fires to answer three
questions. (1) How do canopy and surface fuel loads vary within and among young
lodgepole pine stands? (2) How do canopy and surface fuels vary with pre- and
post-fire lodgepole pine stand structure and environmental conditions? (3) How
have surface fuels changed between eight and 24 years post-fire? Fuel complexes
varied tremendously across the landscape despite having regenerated from the same
fires. Available canopy fuel loads and canopy bulk density averaged 8.5 Mg/ha
(range 0.0-46.6) and 0.24 kg/m3 (range: 0.0-2.3), respectively, meeting or
exceeding levels in mature lodgepole pine forests. Total surface-fuel loads
averaged 123 Mg/ha (range: 43-207), and 88% was in the 1,000-h fuel class.
Litter, 1-h, and 10-h surface fuel loads were lower than reported for mature
lodgepole pine forests, and 1,000-h fuel loads were similar or greater. Among
plot variation was greater in canopy fuels than surface fuels, and within-plot
variation was greater than among-plot variation for nearly all fuels. Post-fire
lodgepole pine density was the strongest positive predictor of canopy and fine
surface fuel loads. Pre-fire successional stage was the best predictor of 100-h
and 1,000-h fuel loads in the post-fire stands and strongly influenced the size
and proportion of sound logs (greater when late successional stands had burned)
and rotten logs (greater when early successional stands had burned). Our data
suggest that 76% of the young post-fire lodgepole pine forests have 1,000-h fuel
loads that exceed levels associated with high-severity surface fire potential,
and 63% exceed levels associated with active crown fire potential. Fire rotations
in Yellowstone National Park are predicted to shorten to a few decades and this
prediction cannot be ruled out by a lack of fuels to carry repeated fires.
PMID- 27875006
TI - The mental healthcare needs of undocumented migrants: an exploratory analysis of
psychological distress and living conditions among undocumented migrants in
Norway.
AB - AIMS AND OBJECTIVES: To explore undocumented migrants' mental healthcare needs
and more specifically to explore how undocumented adults living in Norway
perceive psychological distress, to gather insight on their living conditions and
to explore associated living condition risk factors. BACKGROUND: Undocumented
migrants are defined both nationally and internationally as an especially
vulnerable group with regard to their health status, living conditions and
barriers to access to health care and social welfare. DESIGN: An exploratory
mixed-methods design using primarily quantitative data with a qualitative
component was implemented. METHODS: Socio-demographic data on 90 undocumented
migrants were obtained, and self-report questionnaire on psychological distress
was completed, supplemented by qualitative data obtained through interviews.
RESULTS: The level of psychological distress was extremely high in our group of
undocumented migrants. Leaving their home country because of war or persecution,
economic strain, homelessness, hunger and having experienced abuse was
significantly positively associated with psychological distress. Contrary to what
was predicted, having family and work was not significantly associated with the
reduction of psychological distress. Additional qualitative data indicate that
having family entails great responsibilities and work implies exploitation to
such an extent that any potential positive influence this has on mental health,
such as a sense of belonging and a reasonable standard of living, is outweighed.
CONCLUSIONS: The high level of psychological distress indicates a need of
additional diagnostic evaluation and mental health care. The living conditions
were so marginal that their day-to-day existence was threatened. RELEVANCE TO
CLINICAL PRACTICE: We recognised a need for an interdisciplinary and dynamic
approach to mental health care. Undocumented migrants are in need of a minimum of
psychological and material support assuring basic needs such as shelter and
appropriate food and access to health care and social welfare.
PMID- 27875008
TI - Explicit modeling of abiotic and landscape factors reveals precipitation and
forests associated with aphid abundance.
AB - Increases in natural or noncrop habitat surrounding agricultural fields have been
shown to be correlated with declines in insect crop pests. However, these
patterns are highly variable across studies suggesting other important factors,
such as abiotic drivers, which are rarely included in landscape models, may also
contribute to variability in insect population abundance. The objective of this
study was to explicitly account for the contribution of temperature and
precipitation, in addition to landscape composition, on the abundance of a
widespread insect crop pest, the soybean aphid (Aphis glycines Matsumura), in
Wisconsin soybean fields. We hypothesized that higher soybean aphid abundance
would be associated with higher heat accumulation (e.g., growing degree days) and
increasing noncrop habitat in the surrounding landscape, due to the presence of
the overwintering primary hosts of soybean aphid. To evaluate these hypotheses,
we used an ecoinformatics approach that relied on a large dataset collected
across Wisconsin over a 9-year period (2003-2011), for an average of 235 sites
per year (n = 2,110 fields total). We determined surrounding landscape
composition (1.5-km radius) using publicly available satellite-derived land cover
imagery and interpolated daily temperature and precipitation information from the
National Weather Service COOP weather station network. We constructed linear
mixed models for soybean aphid abundance based on abiotic and landscape
explanatory variables and applied model averaging for prediction using an
information theoretic framework. Over this broad spatial and temporal extent in
Wisconsin, we found that variation in growing season precipitation was positively
related to soybean aphid abundance, while higher precipitation during the
nongrowing season had a negative effect on aphid populations. Additionally, we
found that aphid populations were higher in areas with proportionally more forest
but were lower in areas where minor crops, such as small grains, were more
prevalent. Thus, our findings support our hypothesis that including abiotic
drivers increases our understanding of crop pest abundance and distribution.
Moreover, by explicitly modeling abiotic factors, we may be able to explore how
variable climate in tandem with land cover patterns may affect current and future
insect populations, with potentially critical implications for crop yields and
agricultural food webs.
PMID- 27875010
TI - Nutrients influence the thermal ecophysiology of an intertidal macroalga:
multiple stressors or multiple drivers?
AB - Urbanization of coastlines is leading to increased introduction of nutrients from
the terrestrial environment to nearshore habitats. While such nutrient influxes
can be detrimental to coastal marine organisms due to increased eutrophication
and subsequent reduced oxygen, they could also have positive effects (i.e.,
increased food availability) on species that are nitrogen-limited such as
macroalgae. Nutrient enrichment in this environment thus has the potential to
counteract some of the negative impacts of increasing temperatures, at least for
some species. Characterizing the physiological response of organisms to
simultaneous changes in multiple drivers such as these is an important first step
in predicting how global climate change may lead to ecological responses at more
local levels. We evaluated how nutrient enrichment (i.e., nitrogen availability)
affected the growth of Fucus vesiculosus, a foundational macroalgal species in
the North Atlantic rocky intertidal zone, and found that nutrient-enriched algal
blades showed a significant increase in tissue growth compared to individuals
grown under ambient conditions. We further quantified net photosynthesis by
ambient and nutrient-enriched tissues at saturating irradiance over a range of
temperature conditions (6-30 degrees C). Respiration was unaffected by nutrient
treatment; however, there was a significant increase in photosynthetic oxygen
production for nutrient-enriched tissue compared to ambient, but only at elevated
(>=18 degrees C) temperatures. This study contributes to a growing body of
literature showing the complexity of responses to changes in multiple drivers,
and highlights the importance of studying the impacts of global climate change
within the context of more local environmental conditions.
PMID- 27875009
TI - 3D printing from MRI Data: Harnessing strengths and minimizing weaknesses.
AB - : 3D printing facilitates the creation of accurate physical models of patient
specific anatomy from medical imaging datasets. While the majority of models to
date are created from computed tomography (CT) data, there is increasing interest
in creating models from other datasets, such as ultrasound and magnetic resonance
imaging (MRI). MRI, in particular, holds great potential for 3D printing, given
its excellent tissue characterization and lack of ionizing radiation. There are,
however, challenges to 3D printing from MRI data as well. Here we review the
basics of 3D printing, explore the current strengths and weaknesses of printing
from MRI data as they pertain to model accuracy, and discuss considerations in
the design of MRI sequences for 3D printing. Finally, we explore the future of 3D
printing and MRI, including creative applications and new materials. LEVEL OF
EVIDENCE: 5 J. Magn. Reson. Imaging 2017;45:635-645.
PMID- 27875011
TI - Characterization of Tin/Ethylene Glycol Solar Nanofluids Synthesized by
Femtosecond Laser Radiation.
AB - Solar energy is available over wide geographical areas and its harnessing is
becoming an essential tool to satisfy the ever-increasing demand for energy with
minimal environmental impact. Solar nanofluids are a novel solar receiver concept
for efficient harvesting of solar radiation based on volumetric absorption of
directly irradiated nanoparticles in a heat transfer fluid. Herein, the
fabrication of a solar nanofluid by pulsed laser ablation in liquids was
explored. This study was conducted with the ablation of bulk tin immersed in
ethylene glycol with a femtosecond laser. Laser irradiation promotes the
formation of tin nanoparticles that are collected in the ethylene glycol as
colloids, creating the solar nanofluid. The ability to trap incoming
electromagnetic radiation, thermal conductivity, and the stability of the solar
nanofluid in comparison with conventional synthesis methods is enhanced.
PMID- 27875012
TI - Growth of nurse prescribing competence: facilitators and barriers during
education.
AB - AIMS AND OBJECTIVES: To describe facilitators and barriers in relation to the
growth of nurse prescribing competence from the perspective of the nurses
studying in a prescribing programme. BACKGROUND: The number of nurses enrolled in
a nurse prescribing programme is rapidly increasing in Finland. However, few
studies on nurse prescribing education are available and therefore research is
needed, particularly from the point of view of nurses studying in the programme.
DESIGN: The descriptive, qualitative study used the text of student online
learning diaries as data during a 14-month prescribing programme. The sample
consisted of 31 nurses, public health nurses or midwives enrolled in a
prescribing programme at a university of applied sciences. The data were analysed
using the inductive analysis method. RESULTS: The growth of nurses' prescribing
competence was facilitated by learning clinical examination of the patient,
networking with peers, receiving support from the workplace and supervisors,
doctors' positive attitude towards nurse prescribing and being able to apply
competencies directly to nursing practice. The barriers to the growth of nurses'
prescribing competence were unclear job description, incomplete care plans and
concerns about how consultation with doctors will be organised and realised.
CONCLUSIONS: The results show that, for the purpose of developing the new role
and position of nurse prescribers, educators and nursing managers must invest
more in staff awareness of nurse prescribing education and also offer more
support to nurse prescribers in their workplaces. RELEVANCE TO CLINICAL PRACTICE:
The results of this study can be used especially in countries where nurse
prescribing education is only in the process of being planned or has just been
started. Heads of nursing and educators in prescribing education will benefit
from the results when creating expanded job descriptions for nurses and
supporting networking between students during the period of training.
PMID- 27875013
TI - 'Intensive care unit survivorship' - a constructivist grounded theory of
surviving critical illness.
AB - AIMS AND OBJECTIVES: To theorise intensive care unit survivorship after a
critical illness based on longitudinal qualitative data. BACKGROUND:
Increasingly, patients survive episodes of critical illness. However, the short-
and long-term impact of critical illness includes physical, psychological, social
and economic challenges long after hospital discharge. An appreciation is
emerging that care needs to extend beyond critical illness to enable patients to
reclaim their lives postdischarge with the term 'survivorship' being increasingly
used in this context. What constitutes critical illness survivorship has, to
date, not been theoretically explored. DESIGN: Longitudinal qualitative and
constructivist grounded theory. Interviews (n = 46) with 17 participants were
conducted at four time points: (1) before discharge from hospital, (2) four to
six weeks postdischarge, (3) six months and (4) 12 months postdischarge across
two adult intensive care unit setting. METHOD: Individual face-to-face
interviews. Data analysis followed the principles of Charmaz's constructivist
grounded theory. 'Intensive care unit survivorship' emerged as the core category
and was theorised using concepts such as status passages, liminality and
temporality to understand the various transitions participants made postcritical
illness. FINDINGS: Intensive care unit survivorship describes the unscheduled
status passage of falling critically ill and being taken to the threshold of life
and the journey to a life postcritical illness. Surviving critical illness goes
beyond recovery; surviving means 'moving on' to life postcritical illness.
'Moving on' incorporates a redefinition of self that incorporates any lingering
intensive care unit legacies and being in control of one's life again. RELEVANCE
TO CLINICAL PRACTICE: For healthcare professionals and policymakers, it is
important to realise that recovery and transitioning through to survivorship
happen within an individual's time frame, not a schedule imposed by the
healthcare system. Currently, there are no care pathways or policies in place for
critical illness survivors that would support intensive care unit survivors and
their families in the transitions to survivorship.
PMID- 27875014
TI - A Viologen-Perylenediimide Conjugate as an Efficient Base Sensor with
Solvatochromic Property.
AB - A viologen-perylenediimide conjugate, denoted PDEV, is prepared for efficient
base sensing. The conjugate shows solvatochromic behavior as well. The base
sensitivity of viologen is purposefully coupled with the emission property of
perylenediimide (PDI) to lower the detection limit. PDEV shows base-sensing
ability at the ppb level, which is at least three orders of magnitude lower than
those of previously reported sensors. The probe is sensitive toward solvent
polarity and generates different shades of colors according to the polarity of
the medium (solvent). The photophysical properties show a linear correlation with
the solvent polarity, and this makes it an efficient solvatochromic agent. On the
other hand, the generation of viologen radical cations by bases affects the
aggregation and consequently the absorption and emission behavior of the PDI
core. The effect of bases can also be visualized, because the probe generates
different colors in the presence of bases, both under normal and under UV light.
Organic amines can be detected even in the crystalline state, since the dark red
color of the PDEV crystals changes to purple in a reversible fashion on exposure
to amine vapors. An easy and practical paper-based tool created by using the
probe can efficiently be used to detect solvent polarity and presence of bases
optically.
PMID- 27875015
TI - Getting evidence-based pressure ulcer prevention into practice: a process
evaluation of a multifaceted intervention in a hospital setting.
AB - AIMS AND OBJECTIVES: To describe registered nurses', assistant nurses' and first
line managers' experiences and perceptions of a multifaceted hospital setting
intervention focused on implementing evidence-based pressure ulcer prevention.
BACKGROUND: Pressure ulcer prevention is deficient. Different models exist to
support implementation of evidence-based care. Little is known about
implementation processes. DESIGN: A descriptive qualitative approach. METHOD:
Five focus-group nurse interviews and five individual first-line manager
interviews were conducted at five Swedish hospital units. Qualitative content
analysis was used. RESULT: The findings support that the intervention and the
implementation process changed the understanding and way of working with pressure
ulcer prevention: from treating to preventing. This became possible as 'Changed
understanding enables changed actions - through one's own performance and
reflection on pressure ulcer prevention'. Having a common outlook on pressure
ulcer prevention, easy access to pressure-reducing equipment, and external and
internal facilitator support were described as important factors for changed
practices. Bedside support, feedback and discussions on current results increased
the awareness of needed improvements. CONCLUSION: The multifaceted intervention
approach and the participants' positive attitudes seemed to be crucial for
changing understanding and working more preventatively. The strategies used and
the skills of the facilitators need to be tailored to the problems surrounding
the context. Feedback discussions among the staff regarding the results of the
care provided also appear to be vital. RELEVANCE TO CLINICAL PRACTICE: It is
crucial that dedicated facilitators are involved to promote the implementation
process. A preventative mindset should be strived for. Creating an implementation
plan with an outcome and a process evaluation should be emphasised. It is
important to give the staff regular feedback on the quality of care and on those
occasions allocate time for discussion and reflection.
PMID- 27875017
TI - Gum chewing combined with oral intake of a semi-liquid diet in the postoperative
care of patients after gynaecologic laparoscopic surgery.
AB - AIM AND OBJECTIVES: To evaluate the effects of gum chewing combined with a semi
liquid diet on patients after gynaecologic laparoscopic surgery. BACKGROUND:
Previous studies suggested that chewing gum before traditional postoperative care
promotes the postoperative recovery of bowel motility and function after open and
laparoscopic surgery. However, gum chewing combined with a semi-liquid diet has
not been reported in postoperative care of patients following gynaecologic
laparoscopic surgery. DESIGN: A prospective randomised study. METHODS: Total 234
patients were randomly assigned after elective gynaecologic laparoscopic surgery
to a gum chewing and semi-liquid diet group, a semi-liquid only diet group or a
liquid diet group. The gum chewing and semi-liquid diet group chewed sugar-free
gum with an oral intake of a semi-liquid diet six hours postoperatively. The semi
liquid only diet and liquid diet groups received a semi-liquid diet or a liquid
diet, respectively. The time to first bowel sounds, time to first regular
postoperative bowel sounds, time to first passage of flatus, time to first
defecation, serum gastrin and incidences of hunger, nausea, vomiting and
abdominal distension were recorded. Hunger and gastrointestinal sensations were
assessed using a four-point scale. Serum gastrin was assayed pre- and
postoperatively using a gastrin radioimmunoassay kit. RESULTS: The gum chewing
and semi-liquid diet group had first bowel sounds, first regular bowel sounds,
first passage of flatus and first defecation earlier than the semi-liquid only
and liquid groups. Increased serum gastrin was observed in the gum chewing and
semi-liquid diet group. Incidences of nausea, vomiting and abdominal distention
were not significantly different between these groups. CONCLUSION: Chewing gum
combined with an oral intake of a semi-liquid diet is safe and accelerates the
postoperative recovery of bowel function. It might be recommended as a better
postoperative care regimen for patients after gynaecologic laparoscopic surgery.
RELEVANCE TO CLINICAL PRACTICE: This study developed a new postoperative diet
regimen to improve the postoperative care of patients undergoing laparoscopic
gynecologic surgery.
PMID- 27875016
TI - Cryptococcal infections in solid organ transplant recipients over a 15-year
period at a state transplant center.
AB - BACKGROUND: The aim of this research paper was to determine the incidence, risk
factors, and clinical outcome of solid organ transplant (SOT) recipients
diagnosed and treated for cryptococcosis at our institution. METHODS:
Retrospective analysis of all patients with SOT diagnosed and treated for
cryptococcal infection occurring between January 2001 and December 2015. RESULTS:
Of 102 patients diagnosed with cryptococcal infection, 23 were SOT recipients.
Renal transplant accounted for 22/23 cases, of which 13 had meningitis. The
annual incidence of infection has risen significantly, and is now greater than
2/1000 prevalent renal transplant recipients. As expected, biochemical factors
associated with meningitis include lower glucose on cerebrospinal fluid (CSF)
analysis, median 2.4 vs 4.5 mmol/L (P=.02); CSF white blood cell median 50 vs
1/MUL (P<.001); CSF protein, median 950 vs 335 mg/L (P=.04). Serum cryptococcal
antigen titers were higher in the meningitis cohort, median 512 vs 32 (P=.03).
Clinically, headache on admission (odds ratio: 9 [1.29-63.03], P=.03) and a
prolonged length of stay (median of 36 vs 13 days) in the meningitis cohort
(P=.02) were significant. CONCLUSION: Cryptococcal infection in SOT recipients
remains rare; however, there has been a marked increase in cases since 2014. This
study reveals a need for increased vigilance for a potential emerging infectious
disease. It furthermore highlights the need for ongoing research to further aid
early diagnosis, prognostication, management, and screening cost-effectiveness.
PMID- 27875018
TI - The process and challenges of obtaining and sustaining clinical placements for
nursing and allied health students.
AB - AIMS AND OBJECTIVES: To describe the process and challenges from a project that
aimed to develop processes, source new placements and place students primarily in
the discipline of nursing, but also occupational therapy, physiotherapy,
podiatry, social work, and speech therapy. BACKGROUND: Clinical experience in
health facilities is an essential element of health professional education, yet
globally, there is a lack of clinical placements to meet demands. Educational
providers are seeking placements in nontraditional facilities, yet little has
been reported on the challenges in the process of procuring clinical placements.
DESIGN: The project used a descriptive approach within a quality implementation
framework. METHODS: The project was guided by the quality implementation
framework that included four critical steps: considerations of the host setting,
structuring the implementation, supporting the implementation and improving
future applications. RESULTS: A total of 115 new student placements were
finalised across six health disciplines, including elderly care, nongovernment
organisations and general practice. Sixty-two nursing students were placed in the
new placements during the project. Challenges included communication, the time
consuming nature of the process and 'gatekeeping' blocks to obtaining placements.
Recommendations included the importance of personal interaction in developing and
maintaining relationships, and the need for clear communication processes and
documentation. Potential areas for research are also given. CONCLUSIONS: There is
great potential for growth in establishing new placements outside the traditional
placement facilities for nursing and allied health and for expanding already
existing nonhospital placements. RELEVANCE TO CLINICAL PRACTICE: Clinical
professional experiences are essential to any nursing or allied health programme.
There is an increasing demand for, and global lack of, clinical placements for
nursing and allied health students. The results provide nursing and allied health
educators and managers a framework for planning clinical placement procurement,
and assisting in decision-making and developing strategies and processes for
practice.
PMID- 27875020
TI - Linking otolith microchemistry and dendritic isoscapes to map heterogeneous
production of fish across river basins.
AB - Production patterns of highly mobile species, such as anadromous fish, often
exhibit high spatial and temporal heterogeneity across landscapes. Such
variability is often asynchronous in time among habitats, which stabilizes
production at aggregate scales of complexity. Reconstructing production patterns
explicitly in space and time across multiple scales, however, remains difficult
but is important for prioritizing habitat conservation. This is especially true
for fishes inhabiting river basins due to long-range dispersal, high mortality at
early life stages, complex population structure and elusive life history
variation. We develop a new approach for mapping production patterns of Pacific
salmon across a large river basin by integrating otolith microchemistry and
dendritic isoscape models. The geographically continuous Bayesian assignment
framework presented here yielded high accuracies (>90%) and relatively high
precisions (precisions <4%; i.e., assignment areas of <530 river km of the 13 100
km total river length) when used to determine the natal source of known-origin
juvenile Chinook salmon captured throughout the study region. Integrating these
methods enabled us to base estimates of provenance and habitat use of individuals
on a per location basis using strontium isotopic data throughout the continuous
spatial domain of a river network. Such a framework provides substantial
advantages over the more common nominal approach to employing otolith
microchemistry to reconstruct movement patterns of fish. In doing so, we
reconstructed the spatial production patterns of adult Chinook salmon returning
to a large watershed in Bristol Bay, Alaska and illustrate the power of such an
approach to conservation efforts.
PMID- 27875019
TI - Generation of targeted mutant rice using a CRISPR-Cpf1 system.
AB - CRISPR-Cpf1 is a newly identified CRISPR-Cas system, and Cpf1 was recently
engineered as a molecular tool for targeted genome editing in mammalian cells. To
test whether the engineered CRISPR-Cpf1 system could induce the production of
rice mutants, we selected two genome targets in the OsPDS and OsBEL genes. Our
results show that both targets could be efficiently mutated in transgenic rice
plants using CRISPR-Cpf1. We found that pre-crRNAs with a full-length direct
repeat sequence exhibited considerably increased efficiencies compared with
mature crRNAs. In addition, the specificity and transmission of the mutation were
investigated, and the behaviours of crRNA-Cpf1-induced plant targeted genome
mutagenesis were assessed. Taken together, our results indicate that CRISPR-Cpf1
expression via stable transformation can efficiently generate specific and
heritable targeted mutations in rice and thereby constitutes a novel and
important approach to specific and precise plant genome editing.
PMID- 27875021
TI - Lifestyle and bowel movements in school children: Results from the Toyama Birth
Cohort Study.
AB - BACKGROUND: Constipation is a prevalent health disorder. There have been few
epidemiological surveys on constipation in Japanese children. The aim of this
study was to evaluate the prevalence of non-daily bowel movements (BM) and
irregular BM among children and to identify modifiable lifestyle factors relevant
to bowel habits. METHODS: Subjects were from the Toyama Birth Cohort Study in
Japan. A total of 7762 children aged 9-10 years were investigated via
questionnaire in 1999. We evaluated bowel habit and the relationship between
lifestyle and BM. Non-daily BM and totally irregular BM were defined as dependent
variables in the present study. RESULTS: Non-daily BM were reported by 21.8% of
boys and by 31.6% of girls, while 10.6% of boys and 18.3% of girls had totally
irregular BM. Non-daily BM were significantly associated with skipping breakfast
(OR, 1.23), slow eating (OR, 1.13), physical inactivity (OR, 1.50) and late wake
up (OR, 1.29). Totally irregular BM were significantly correlated with skipping
breakfast (OR, 1.30), slow eating (OR, 1.41), physical inactivity (OR, 1.27),
long TV viewing (OR, 1.52), late bedtime (OR, 1.43), and short sleep duration
(OR, 1.33). More girls had non-daily and totally irregular BM than boys, and
these sex differences were not reduced after adjusting for lifestyle variables.
CONCLUSIONS: Non-daily and totally irregular BM are common in children, and there
are many relevant lifestyle factors. Establishing regular lifestyle habits may
lessen constipation.
PMID- 27875022
TI - A comparison of left and right atrial fibroblasts reveals different collagen
production activity and stress-induced mitogen-activated protein kinase
signalling in rats.
AB - AIM: Atrial fibrosis plays a pivotal role in the pathophysiology of heart failure
(HF). The left atrium (LA) experiences greater fibrosis than the right atrium
(RA) during HF. It is not clear whether LA cardiac fibroblasts contain
distinctive activities that predispose LA to fibrosis. METHODS: LA and RA
fibrosis were evaluated in healthy and isoproterenol-induced HF Sprague Dawley
rats. Rat LA and RA primary isolated fibroblasts were subjected to proliferation
assay, oxidative stress assay, cell migration analysis, collagen measurement,
cytokine array and Western blot. RESULTS: Healthy rat LA and RA had a similar
extent of collagen deposition. HF significantly increased fibrosis to a greater
severity in LA than in RA. Compared to isolated RA fibroblasts, the in vitro
experiments showed that isolated LA fibroblasts had higher oxidative stress and
exhibited higher collagen, transforming growth factor-beta1, connective tissue
growth factor production and less vascular endothelial growth factor (VEGF)
production, but had similar migration, myofibroblast differentiation and
proliferation activities. VEGF significantly increased the collagen production
ability of LA fibroblasts, but not RA fibroblasts. LA fibroblasts had more
phosphorylated ERK1/2 and P38 expression. ERK inhibitor (PD98059, 50 MUmol L-1 )
significantly attenuated collagen production and increased VEGF production in RA
fibroblasts but not in LA fibroblasts. P38 inhibitor (SB203580, 30 MUmol L-1 )
significantly attenuated collagen production in LA fibroblasts but not in RA
fibroblasts. P38 inhibitor also significantly increased VEGF production in RA and
LA fibroblasts. CONCLUSIONS: Differences in profibrotic activity between LA and
RA fibroblasts may be caused by different responses to mitogen-activated protein
kinase signalling.
PMID- 27875024
TI - The Feasibility of Energy Extraction from Acidic Wastewater by Capacitive Mixing
with a Molecular-Sieving Carbon Electrode.
AB - Capacitive mixing is a newly emerging technique for the production of renewable
energy from differences in salinity, usually of wastewater streams. The method is
based on the controlled mixing of two streams with different salt concentrations,
which are alternatingly brought into contact with precharged porous electrodes,
thus taking advantage of the fact that modification of the electrical double
layer of the electrodes results in changes in the solution salinity. Usually, the
renewable energy resources are seawater and river water streams. Here, we
demonstrated that electrical energy can be extracted by capacitive mixing of
acidic wastewater and seawater. This concept is proven by the use of proton
selective carbon as the cation-capturing electrode, fabricated by carbonization
of cellulose filter paper followed by mild activation in concentrated nitric
acid. Considerable energy extraction was demonstrated even if the concentration
of the NaCl solution was tenfold higher than that of the acidic solution.
PMID- 27875023
TI - Myxochelin-Inspired 5-Lipoxygenase Inhibitors: Synthesis and Biological
Evaluation.
AB - A total of 48 analogues of the natural product myxochelin A were prepared and
evaluated for their inhibitory effects on human 5-lipoxygenase in both cell-free
and cell-based assays. Structure-activity relationship analysis revealed that the
secondary alcohol function and only chiral center of myxochelin A is not required
for biological activity. By expanding the diaminoalkane linker of the two
aromatic residues it was possible to generate a myxochelin derivative with
superior activity against 5-lipoxygenase in intact cells.
PMID- 27875025
TI - Adherence and barriers to hyperinsufflation in children with congenital muscular
dystrophy.
AB - BACKGROUND: Congenital muscular dystrophy (CMD) is a rare, inherited
neuromuscular disease characterized by progressive muscle weakness, thoracic
insufficiency, and ultimately respiratory failure. Adherence to respiratory
therapies in children with neuromuscular disorders is unknown. This study
examined the multimodal assessment of adherence and barriers to 15 min, twice
daily hyperinsufflation in children with CMD. Adherence was hypothesized to be
greater than 50% and discomfort, embarrassment, and difficulty finding time were
hypothesized to be barriers. METHODS: Participants included 18 children with CMD.
Personalized hyperinsufflation settings were determined based on pressure-volume
measurements at each study visit. Adherence was measured by a daily phone diary
(DPD) and by electronic data download from the hyperinsufflation device. The DPD
was conducted twice over a 48-hr period to capture a weekend and weekday, with
the goal being 60 min of hyperinsufflation over the 48 hr (100% adherence). The
hyperinsufflation objective electronic data reflected daily use of
hyperinsufflation for the same 48-hr period. Data from DPD and the corresponding
hyperinsufflation device data were used for analyses. RESULTS: Adherence to
hyperinsufflation was 40% via DPD and 44% for electronic data, with strong
convergence between methods (r = 0.75, P < 0.001). Surprisingly, 53% of
participants reported no barriers despite low adherence. Social distractions and
family obligations were identified as barriers. There were no differences in
adherence between those who did and did not endorse barriers to hyperinsufflation
(DPD: t(13) = 0.44, P = n.s.; hyperinsufflation device: t(13) = -0.23, P = n.s.).
CONCLUSION: Adherence to hyperinsufflation is a significant problem in children
with CMD and families have difficulty identifying adherence barriers. An
important next step is to encourage open dialog around adherence barriers and
promote adherence behaviors via intervention. Pediatr Pulmonol. 2017; 52:939-945.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27875026
TI - Disseminated BCG pneumonitis revealing severe combined immunodeficiencyxs in
CHARGE syndrome.
AB - CHARGE (coloboma, heart defect, atresia choanae, retarded growth and development,
genital hypoplasia, and ear anomalies/deafness) syndrome is a rare genetic
disorder caused by CHD7 mutation and is related to immunodeficiency. A 6-month
old girl with right lung agenesis, congenital heart defects, and ear anomalies
developed repeated and serious respiratory infection for a short period. She was
clinically diagnosed with typical CHARGE syndrome with severe combined
immunodeficiency (T-, B+, NK-); however, CHD7 mutation was not detected.
Disseminated BCG infection did not resolve despite administration of anti
tuberculosis drugs and intravenous immune globulins, and she subsequently died of
acute respiratory distress syndrome. Pediatr Pulmonol. 2017;52:E4-E6. (c) 2016
Wiley Periodicals, Inc.
PMID- 27875027
TI - Tribology of flexible and sliding spinal implants: Development of experimental
and numerical models.
AB - New fusionless devices are being developed to get over the limits of actual
spinal surgical treatment, based on arthrodesis. However, due to their
recentness, no standards exist to test and validate those devices, especially
concerning the wear. A new tribological first approach to the definition of an in
vitro wear protocol to study wear of flexible and sliding spinal devices is
presented in this article, and was applied to a new concept. A simplified
synthetic spine portion (polyethylene) was developed to reproduce a simple supra
physiological spinal flexion (10 degrees between two vertebrae). The device
studied with this protocol was tested in wet environment until 1 million cycles
(Mc). We obtained an encouraging estimated wear volume of same order of magnitude
compared to similar devices. An associated finite element (FE) numerical model
has permitted to access contact information and study the effect of misalignment
of one screw. First results could point out how to improve the design and suggest
that a vertical misalignment of a screw (under or over-screwing) has more impact
than a horizontal one. (c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part
B: Appl Biomater, 106B: 104-111, 2018.
PMID- 27875028
TI - Congenital malformations in offspring of women with a history of malignancy.
AB - BACKGROUND: Survival after malignancy has increased and the question of risks,
including risk for congenital malformations for the offspring of these women has
become important. Data on congenital malformations in such offspring are limited.
METHODS: We compared congenital malformation in offspring, born 1994 to 2011 of
women with a history of malignancy (at least 1 year before delivery) with all
other offspring. Adjustment for confounders was mainly made by Mantel-Haenszel
methodology. Data were obtained by linkage between Swedish national health
registers. RESULTS: We identified 71,954 (4.1%) infants with congenital
malformation, of which 47,081 (2.7%) were relatively severe (roughly
corresponding to major malformation). Among 7284 infants to women with a history
of malignancy 204 relatively severe malformations were found (2.8%; odds ratio
[OR] = 1.04; 95% confidence interval [CI], 0.91-1.20). After in vitro
fertilization, the risk of a relatively severe malformation was significantly
increased in women without a history of malignancy (OR = 1.31; 95% CI, 1.24-1.38)
and still more in women with such a history (risk ratio = 1.85; 95% CI, 1.08
2.97). However, there were no significant differences neither, for any
malformations (OR = 1.04; 95% CI, 0.92-1.16) nor for relatively severe
malformations (OR = 1.04; 95% CI, 0.91-1.20), when comparing offspring only after
maternal history of malignancy. CONCLUSION: No general increase in malformation
rate was found in infants born to women with a history of malignancy. A
previously known increased risk after in vitro fertilization was verified and it
is possible that this risk is further augmented among infants born of women with
a history of malignancy. Birth Defects Research 109:224-233, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27875029
TI - Decreased Activity and Genetic Polymorphisms of CYP2C19 in Behcet's Disease.
AB - Behcet's disease (BD) is a systemic autoimmune disorder. Cytochrome P450 enzymes
(CYPs) are responsible for various drug metabolism reactions as well as those of
endogenous substances which may be associated with autoimmune disease
susceptibility. Recently, we reported that in patients with BD, CYP2C9 seems to
be down-regulated due to inflammation. In the same Turkish patients with BD, we
investigated whether also CYP2C19 activity is decreased. Lansoprazole (30 mg) was
given as a probe drug to evaluate CYP2C19 activity in 59 patients with BD and 27
healthy control volunteers. An HPLC method was used to determine plasma
lansoprazole and its metabolite, 5-hydroxy lansoprazole, concentrations. The
genotyping for CYP2C19 *2, *3 and *17 polymorphisms was made using PCR-RFLP. The
median lansoprazole/5-hydroxy lansoprazole metabolic ratio (MR) in patients with
BD was 2.6-fold higher as compared to the healthy control group (p = 0.001, 22.6
(1.3-26) and 8.8 (0.5-140) as median and range, respectively). The CYP2C19*17*17
genotype frequency was found to be significantly less in the BD group as compared
to the healthy controls (1.7% versus 14.8% in controls, p = 0.01). Additionally,
colchicine treatment did not affect the CYP2C19 enzyme activity in six patients
(p = 0.43). In conclusion, the patients with BD had lower CYP2C19 enzyme activity
and lower frequency of the CYP2C19*17 allele as compared to those of the healthy
controls. Further studies are warranted on the mechanisms underlying this
relation. This study should also be applied to other autoimmune diseases
similarly characterized by local or systemic inflammation.
PMID- 27875030
TI - The differentiation of oral soft- and hard tissues using laser induced breakdown
spectroscopy - a prospect for tissue specific laser surgery.
AB - Compared to conventional techniques, Laser surgery procedures provide a number of
advantages, but may be associated with an increased risk of iatrogenic damage to
important anatomical structures. The type of tissue ablated in the focus spot is
unknown. Laser-Induced Breakdown-Spectroscopy (LIBS) has the potential to gain
information about the type of material that is being ablated by the laser beam.
This may form the basis for tissue selective laser surgery. In the present study,
7 different porcine tissues (cortical and cancellous bone, nerve, mucosa, enamel,
dentine and pulp) from 6 animals were analyzed for their qualitative and
semiquantitative molecular composition using LIBS. The so gathered data was used
to first differentiate between the soft- and hard-tissues using a Calcium-Carbon
emission based classifier. The tissues were then further classified using
emission-ratio based analysis, principal component analysis (PCA) and linear
discriminant analysis (LDA). The relatively higher concentration of Calcium in
the hard tissues allows for an accurate first differentiation of soft- and hard
tissues (100% sensitivity and specificity). The ratio based statistical
differentiation approach yields results in the range from 65% (enamel-dentine
pair) to 100% (nerve-pulp, cancellous bone-dentine, cancellous bone-enamel pairs)
sensitivity and specificity. Experimental LIBS measuring setup.
PMID- 27875031
TI - Prevalence of neural tube defects in a rural area of north india from 2001 to
2014: A population-based survey.
AB - BACKGROUND: Neural tube defects (NTDs) are one of the commonest birth defects.
There was paucity of community-based data on occurrence of NTDs in India,
especially from rural parts of the country. Against this background, the current
study was carried out with main objectives to determine the prevalence of NTDs
and its specific types (anencephaly, spina bifida and encephalocele) in a rural
community setting over the time period 2001 to 2014. METHODS: This was a
community-based cross-sectional study carried out in 28 villages of Ballabgarh
Tehsil of Faridabad district in north India (population ~ 96,000). A household
survey was undertaken by trained multi-purpose workers who enquired ever-married
women about history of conception with outcome as NTD during the study period.
The probable case of NTD was determined using a colored pictorial card with
photographs of different types of NTDs. These cases were confirmed by doctors.
RESULTS: A total of 26,946 live births occurred during the years 2001 to 2014. A
total of 140 confirmed cases of NTDs were identified. The live birth prevalence
of NTDs was 24.1 per 10,000 live births (95% confidence interval, 18.8-30.6). The
birth prevalence of NTDs for the years 2008 to 2014 was 50.8 (95% confidence
interval, 39.9-63.8) per 10,000 live and stillbirths. The most common type of NTD
was found to be spina bifida followed by anencephaly and encephalocele.
CONCLUSION: We found high prevalence of NTDs in rural community settings from
north India for years 2001 to 2014.Birth Defects Research 109:203-210, 2017.(c)
2016 Wiley Periodicals, Inc.
PMID- 27875032
TI - Management of renal failure in end-stage liver disease: A critical appraisal.
AB - Renal failure is a late consequence of end-stage liver disease (ESLD). Even with
liver transplantation, pretransplant renal impairment remains a strong predictor
of posttransplant mortality. This review seeks to summarize and critically
appraise common therapies used in this setting, including pharmacologic agents,
procedures (transjugular intrahepatic portosystemic shunt, renal replacement
therapy), and simultaneous liver-kidney transplantation. More experimental
extracorporal modalities, eg, albumin dialysis or bioartificial livers, will not
be discussed. A brief discussion on the definition and pathophysiologic
underpinnings of renal failure in ESLD will be held at the beginning to lay the
groundwork for the main section. Liver Transplantation 22 1710-1719 2016 AASLD.
PMID- 27875033
TI - Comparison of preoperative hair removal methods for the reduction of surgical
site infections: a meta-analysis.
AB - AIMS AND OBJECTIVES: To evaluate the efficacy of different methods of
preoperative hair removal in reducing surgical site infections. BACKGROUND:
Surgical site infections are a major source of morbidity and prolonged
hospitalisation following surgery. However, there is a lack of data regarding the
impact of different preoperative hair removal techniques on the incidence of
surgical site infections. DESIGN: A systematic literature review and meta
analysis. METHODS: Randomised controlled trials and controlled clinical trials
reporting the impact of different methods of preoperative hair removal on
reducing surgical site infections were collected through databases, including the
Cochrane Library, Joanna Briggs Institute Library, PubMed, Elsevier, EMBASE,
Nursing Consult, China Biology Medicine disc, China National Knowledge
Infrastructure and Wanfang data. The articles were published from 1 January 1990
15 March 2016. Meta-analyses were conducted with review manager version 5.0.
RESULTS: Fourteen trials were included (16 comparisons) in the review, including
11 randomised controlled trials and three controlled clinical trials.
Interventions in the studies were shaving, clipping, no hair removal and the use
of depilatory cream. The meta-analyses included 7278 patients, from 10 countries.
Nine studies compared shaving with no hair removal, four studies compared shaving
with clipping, two studies compared shaving with depilatory cream, and one study
compared clipping with no hair removal. No significant differences in the
frequency of surgical site infections were observed between any of the methods
assessed. CONCLUSIONS: No significant differences between shaving, clipping, no
hair removal and depilatory cream were observed in the frequency of surgical site
infections. RELEVANCE TO CLINICAL PRACTICE: Preoperative hair removal should be
avoided unless necessary. When it is necessary to remove hair, the existing
evidence suggests that clipping is more effective in reducing surgical site
infections than shaving or depilatory cream.
PMID- 27875034
TI - Risk factors of post-traumatic stress symptoms in patients with cancer.
AB - AIMS AND OBJECTIVES: To determine the level of post-traumatic stress symptoms and
to identify demographics, disease history and clinical symptoms that were
associated with post-traumatic stress symptoms among patients with
gynaecological, breast or colorectal cancer in Taiwan. BACKGROUND: Literature
indicated that 7.3-35.2% of patients with cancer had experienced level of post
traumatic stress symptoms. However, the post-traumatic stress symptoms among
patients with cancer in Taiwan was not documented. DESIGN: A cross-sectional
study. METHODS: A total of 347 participants recruited from two general hospitals
in southern Taiwan. They completed the Chinese version of Davidson Trauma Scale
and a profile describing their demographics and clinical symptoms. Disease
history was collected from medical records. RESULTS: Approximately 21.6% of
participants reported higher score on Chinese version of Davidson Trauma Scale
(Mean +/- SD = 22.85 +/- 24.12). The top four scores on Chinese version of
Davidson Trauma Scale were painful memories, insomnia, shortened lifespan and
flashbacks. The risk factors of post-traumatic stress symptoms were suicidal
intention (OR = 2.29, 95% CI = 1.86-2.82), chemotherapy (OR = 2.13, 1.18-3.84),
metastasis (OR = 2.07, 1.29-3.34), cancer-specific symptoms (OR = 1.21, 1.15
1.27) and high education (OR = 1.75, 1.10-2.78). CONCLUSION: To prevent post
traumatic stress symptoms, patients with cancer should be routinely screened by
psychiatrists for post-traumatic stress symptoms, for ongoing symptom control and
suicidal intention. Patients with cancer who are at risk of suicidal behaviour
should be enrolled in suicide prevention programmes. RELEVANCE TO CLINICAL
PRACTICE: Nurses need to assess post-traumatic stress symptoms of patients with
cancer, particularly those who with high education, suffered from complications
of chemotherapy, metastasis and cancer-specific symptoms and suicidal intention.
PMID- 27875035
TI - Mothers' experience of not breastfeeding in a breastfeeding culture.
AB - AIMS AND OBJECTIVES: To describe women's experience of not breastfeeding in a
breastfeeding culture. BACKGROUND: Breastfeeding represents a fundamental
cultural value in Norway and many other countries, and a mother may often have
intense emotions about breastfeeding her child. Political and health authorities
in many countries have given high priority to encourage breastfeeding among
mothers. However, breastfeeding can be challenging and sometimes affects mothers'
mental health and the joy of interaction. DESIGN: Qualitative design. METHODS:
Individual semistructured interviews with 12 mothers. Qualitative content
analysis was used to analyse the interviews. RESULTS: Three main categories
emerged from the data: (1) desire to adapt to Norwegian culture, (2) feeling as
though one was breaking the law and (3) lack of and unbalanced information. The
mothers in this study wanted to breastfeed, both to do the best for their child
and to fulfil cultural expectations. They knew about the advantages of
breastfeeding. When breastfeeding was stopped, they needed social support because
they felt it was difficult to do the opposite of what was most common in the
culture. CONCLUSION: Healthcare workers should consider the mother's individual
situation when providing breastfeeding guidance. Information about the advantages
should be adequately balanced and nuanced to prevent shame and guilt. Healthcare
workers should have sufficient knowledge about how to ensure that infant formula
is used correctly. RELEVANCE TO CLINICAL PRACTICE: Healthcare workers should pay
attention to the mother if she has limited social support, and they should
organise peer support. Healthcare workers must ensure that mothers get balanced
information about the benefits of breastfeeding and about the differences between
breastmilk and infant formula. To ensure that infant formula is used correctly
and to reduce stigma, healthcare workers should have sufficient knowledge about
formula feeding and health authorities could provide information about how to
prepare infant formula.
PMID- 27875036
TI - Drug loaded nanoparticle coating on totally bioresorbable PLLA stents to prevent
in-stent restenosis.
AB - Biodegradable polymer poly (dl-lactide) (PDLLA) has been used as drug coating
material for drug-eluting stents due to its excellent biocompatibility and
sustained drug release ability. However, the uniform thin layer drug eluting
coating on a stent not only inhibits the blood vessel's smooth muscle cell
overgrowth but also delay the endotheliation process which is often associated
with the occurrence of acute thrombosis. Therefore, in this study, we developed a
novel coating method using PDLLA nanoparticles (NPs) as a coating to overcome
this issue. The average 300 nm sized sirolimus-loaded PDLLA nanoparticles were
prepared by a conventional emulsion solvent evaporation method. A low temperature
plasma polymerization technology to graft hydrophilic polymers on to poly (l
lactide) stent was used to increase the surface coating efficiency of
nanoparticles on the stent. Results showed that sirolimus-loaded nanoparticles
can be successfully coated on to the stents with sustained drug release
properties. In vitro cell culture study showed the drug loaded nanoparticle
coating effectively inhibited the proliferation of smooth muscle cells while
still allowed a faster proliferation of endothelial cells, suggesting that the
new NP coated bioresorbable stents have the potential to reduce both the
occurrence of in-stent restenosis and acute thrombosis. (c) 2016 Wiley
Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 106B: 88-95, 2018.
PMID- 27875037
TI - Preparation of chitosan/amino multiwalled carbon nanotubes nanocomposite beads
for bilirubin adsorption in hemoperfusion.
AB - Chitosan-carbon nanotube composite beads combines the advantages of chitosan in
forming a stable biocompatible framework and carbon nanotube that provide
nanometer effects (high strength and high specific surface area etc.). In this
study, chitosan/amino multiwalled carbon nanotubes (CS/AMWCNT) composite beads
was prepared by phase-inversion method, in which CS and AMWCNT was crosslinked by
ethylene glycol diglycidyl ether (EGDE). The CS/AMWCNT nanocomposite beads
produced has been characterized by BET, SEM, TGA, and Raman spectroscopy which
exhibited enhanced thermal stability due to the incorporation of AMWCNT.
Mechanical test results showed that mechanical strength of the CS/AMWCNT
composite beads was significantly enhanced when comparing to unmodified chitosan
beads, the breakage percentage decreased from 34.1% to 0.67%. The adsorption
capacity for bilirubin was measured in PBS and BSA solutions, and the CS/AMWCNT
composite beads with 5 wt% AMWCNT showed much higher adsorption capacity (12.7
mg/g in PBS and 7.6 mg/g in BSA) to bilirubin than chitosan beads (8.5 mg/g in
PBS and 4.2 mg/g in BSA). Our nanocomposite beads with excellent
hemocompatibility has a high potential application in blood purification as an
efficient adsorbent for bilirubin. (c) 2016 Wiley Periodicals, Inc. J Biomed
Mater Res Part B: Appl Biomater, 106B: 96-103, 2018.
PMID- 27875050
TI - Assessment of Oral Human Papillomavirus Prevalence in a Multi-ethnic Pediatric
Clinic Population.
AB - The human papillomavirus (HPV) family has been associated with many cancers,
including oral cancer. Recent studies have also revealed HPV presence from
healthy adult and pediatric patient saliva samples, though much less is known
about the oral prevalence of high-risk HPV in healthy children and adolescents.
The primary goal of this project was to assess the prevalence of HPV within a
pediatric dental clinic using non-invasively collected saliva. In brief, saliva
was collected and DNA isolated to screen for HPV strains HPV6, HPV11, HPV16, and
HPV18. Screening of these samples (N = 187) revealed 9.2% (n = 19/187) harbored
one or more HPV strains. Although the proportion of males:females and
minorities:non-minorities positive for HPV was not statistically significantly
different from the overall sample, an analysis of age revealed significantly more
HPV-positive samples were found among the youngest age cohorts (3 to 5 years > 6
to 11 years > 12 to 17 years). In addition, most samples harbored HPV16 (n =
13/19 or 68.4%). These findings suggest oral HPV infection may, in fact, be more
prevalent than previously described, which intimates that knowledge and awareness
of the potential health effects and benefits of HPV vaccination may be
appropriate for these patients.
PMID- 27875051
TI - Clinical Significance of Bis-GMA and HEMA Orthodontic Resins Bonding to Enamel
and Ceramic Materials.
AB - The advancement of new ceramic materials for dental crowns has prompted the need
for improved methods of bonding orthodontic brackets to these surfaces.
Currently, lithium-disilicate is the primary material being used for anterior
crowns, while zirconia is the primary material being used in the posterior. The
purpose of this study was to compare the shear bond strength of HEMA (Assure(r)
Universal Bonding Resin) and bis-GMA (Assure(r) PLUS All Surface Bonding Resin)
orthodontic bonding resins on enamel, lithium-disilicate, and zirconia materials.
Two groups were formed, with three tested surfaces per group (n = 20). The
categories included premolars, zirconia rods, and lithium-disilicate rods. Group
1 was treated with Assure, and group 2 was treated with Assure PLUS. Zirconia
rods (1 cm x 1 cm x 4 cm) were used to represent zirconia crowns, and IPS e.max
CAD rods (1 cm x 1 cm x 2 cm) were used to represent lithium-disilicate crowns.
Assure and Assure PLUS bonding agents were applied according to the
manufacturer's specifications, and standard edgewise universal premolar brackets
were secured using Light BondTM paste without fluoride. After 24 hours the
brackets were sheared with a universal testing machine (Instron(r) 5566A) and the
results were recorded. Data were analyzed using a combination of ANOVA and Tukey
tests. A P value of less than .05 was considered statistically significant.
Although group 1 and group 2 gave statistically equivalent results, the authors
found that the ease of use when applying the group 2 bonding agent made it a
safer, superior product within the confines of this study. It did not require a 4
minute hydrofluoric acid-etch and needed half the curing time of the group 1
agent when bonding to ceramic materials.
PMID- 27875052
TI - The Role of Academic Institutions in Fostering Interprofessional Educational
Models.
AB - Dentistry is much broader than the conditions it treats. In 2014, the Harvard
School of Dental Medicine convened a leadership forum, "Put Your Money Where Your
Mouth Is: The Economic Imperative of Oral Health." Based on the goals of that
initiative to advocate for an integrated healthcare system, the authors have
presented pertinent information from two major research projects and two major
clinical programs, which, collectively, aim to bring oral health into primary
care and raise the awareness of the connections between oral health and systemic
health.
PMID- 27875053
TI - Pharmacological Reversal Agents in Dental Practice: Keys to Patient Safety.
AB - Though uncommon, medical emergencies in the dental office are harrowing
occurrences that can be the result of adverse drug reactions. Pharmacological
antagonists have been developed for administration as reversal agents in
emergency situations in which patients may have an untoward effect, typically
caused by too much medication. Dental practitioners should be familiar with these
agents to keep patients safe and help mitigate drug-induced medical emergencies.
This article reviews the pharmacokinetic and pharmacodynamic principles of
pharmacological antagonists; it emphasizes six specific reversal agents as they
relate to the clinical practice of dentistry: naloxone, flumazenil, epinephrine,
diphenhydramine, phentolamine, and atropine. Outside of emergency situations, the
pharmacological antagonist phentolamine has been developed to reverse the effects
of the vasoconstrictor in dental local anesthesia preparations when the effects
of the agonist medication are no longer required. Such newer reversal agents are
being considered for more routine use once the dental procedure is complete. This
article is intended to assist dental practitioners who are familiar with
pharmacological antagonists to be better able to help mitigate drug-induced
medical emergencies should they occur.
PMID- 27875054
TI - The Role of Dietary Supplements in Postsurgical Bleeding: An Update for the
Practitioner.
AB - In the United States, dietary supplement use in adults aged 20 and older has
increased significantly in the last 2 decades. Intraoperative and postoperative
bleeding has been among the complications linked with usage, which is
particularly problematic if patients do not disclose use to the dental
practitioner. The aim of this article is to present a case report of a patient
who had been taking dietary supplements for only 1 month and developed severe
bleeding after receiving second-stage implant abutment insertion surgery. The
article also presents a review of several supplements that can increase the risk
for bleeding complications.
PMID- 27875055
TI - Intraoral Repair of a Glass-Ceramic Restoration With Nanohybrid Ormocers: A Case
Report.
AB - The interest in repairing failed restorations has been growing; such a procedure
may prolong the life span of defective direct and indirect dental restorations.
The intraoral repair using resin-based composite materials has major benefits, as
it preserves the main body of the restoration, avoids unnecessary removal of
sound tooth structure, is an easy and fast treatment, avoids additional
appointments, and is inexpensive. This case report demonstrates the use of
nanohybrid Ormocer(r), or organically modified ceramic, materials.
PMID- 27875056
TI - Opioid, NSAID, and OTC Analgesic Medications for Dental Procedures: PEARL Network
Findings.
AB - OBJECTIVE: Dental treatment is often categorized as a moderately or severely
painful experience; however, no clinical data reported by the patient and dentist
currently exists to support this degree of pain. This has contributed possibly to
the overprescribing of analgesics, in particular the opioid class of medications.
The primary objective of the study was to document the dentists' postprocedural
prescriptions and recommendations for analgesic medications and their
effectiveness for a 5-day period. Medications prescribed or recommended in the
patient-reported outcomes included: opioid, nonsteroidal anti-inflammatory drugs
(NSAIDs), and over-the-counter (OTC) analgesics. Met hods : This study used both
dentist and patient responses to evaluate the use of opioid, NSAID, and OTC
recommended or prescribed analgesics following one of seven classes of dental
procedures encompassing over 22 specific coded procedures thought to elicit pain.
The patient-centered study included a 5-day postprocedural patient follow-up
assessment of the medication's effectiveness in relieving pain. RESULTS: Baseline
questionnaires were completed by 2765 (99.9%) of 2767 eligible patients, and 2381
(86%) patients responded to the Day 5 follow-up questionnaires. CONCLUSION: The
data suggest NSAIDs, both OTC and prescribed dosages, may be a sufficient
analgesic to treat most postoperative dental pain. Clinical judgment as to the
use of an opioid should include the physiological principles related to the
pharmacology of pain and inflammation and may include a central effect.
ClinicalTrials.gov Identifier: NCT02929602.
PMID- 27875058
TI - Antenatal Stressful Life Events and Postpartum Depressive Symptoms in the United
States: The Role of Women's Socioeconomic Status Indices at the State Level.
AB - BACKGROUND: Approximately 10%-20% of women suffer from postpartum depression
(PPD), important predictors of which are antenatal stressful life event (SLE)
experiences. The association between women's state-level socioeconomic status
(SES) and PPD has not been explored. This study aimed to examine whether the
association between antenatal SLE and PPD symptoms was moderated by women's state
level SES. METHODS: Data from the 2009-2011 Pregnancy Risk Assessment Monitoring
System (PRAMS) were used. State-level women's employment/earnings and
social/economic autonomy indices were computed from indicators published by the
Institute of Women's Policy Research (IWPR). Multilevel multivariable logistic
regression analyses were performed. RESULTS: Among 91,253 women with valid
responses, 11.3% had PPD symptoms, prevalence ranging from 7.1% in Illinois to
17.1% in Arkansas. Women who experienced all four stressor categories, including
partner related, traumatic, emotional, and financial, had the highest odds
(adjusted odds ratio [aOR]: 5.43; 95% confidence interval [CI]: 5.36-5.51) of PPD
symptoms. The odds of experiencing PPD symptoms decreased with an increase in the
state-level social/economic autonomy index (aOR: 0.75; 95% CI: 0.64-0.88). There
was significant cross-level interaction between number of stressor categories
experienced and state-level index. CONCLUSIONS: Screening for antenatal SLEs can
help identify women at risk for PPD symptoms. That the odds of having PPD
symptoms decreased with increasing state-level social/economic autonomy and women
residing in states with lower indices were more vulnerable to the impacts of
antenatal stressors, could have policy implications related to improving the SES
of women in these states.
PMID- 27875059
TI - Cortical Bone Area Predicts Incident Fractures Independently of Areal Bone
Mineral Density in Older Men.
AB - Context: Areal bone mineral density (aBMD) measured using dual-energy X-ray
absorptiometry (DXA) is used clinically to predict fracture but does not
discriminate between trabecular and cortical bone assessment. Objective: This
study aimed to investigate whether information on cortical and trabecular bone
predict fracture risk independently of aBMD and clinical risk factors. Design and
Participants: Cortical area, bone mass, porosity, and trabecular bone volume
fraction (BVTV) were measured at the tibia using high-resolution peripheral
quantitative computed tomography (HR-pQCT) in 456 men (80.2 +/- 3.5 years)
recruited from the general population in Gothenburg, Sweden. aBMD was measured
using DXA. Incident fractures (71 men) were X-ray verified. Associations were
evaluated using Cox regression. Results: Cortical area [hazard ratio (HR) per
standard deviation (SD) decrease, 2.05; 95% confidence interval (CI), 1.58 to
2.65], cortical bone mass (HR, 2.07; 95% CI, 1.58 to 2.70), and BVTV (HR, 1.62;
95% CI, 1.26 to 2.07), but not cortical porosity, were independently associated
with fracture risk. These associations remained after adjustment for femoral neck
aBMD and Fracture Risk Assessment risk factors (area: HR 1.96, 95% CI, 1.44 to
2.66; mass: HR 1.99, 95% CI, 1.45 to 2.74; BV/TV: HR 1.46, 95% CI, 1.09 to 1.96).
After entering BV/TV and cortical area or bone mass simultaneously in the
adjusted models, only the cortical parameters remained important predictors of
fracture. Conclusion: HR-pQCT measurement of cortical area and mass might add
clinically useful information for the evaluation of fracture risk.
PMID- 27875060
TI - Open versus Thoracoscopic RFA-Assisted Lung Resection.
AB - The purpose of this study was to evaluate Radio Frequency Ablation (RFA)-assisted
lung parenchymal transection through thoracotomy and thoracoscopy. Twelve
domestic pigs underwent RFA-assisted lingulectomy: six through thoracotomy (group
A), and six with thoracoscopy (group B). There was no mortality, no bleeding, or
air leak intra- or postoperatively in either of the groups, and no conversion to
open thoracotomy in group B. Group A had longer operating period and more pleural
adhesions. A barotrauma, a skin burn, and a localized infection were observed in
this group. Histopathology confirmed a sharply demarcated area of coagulation
necrosis without damage to adjacent structures. RFA-assisted lung resection
through thoracotomy bears the inherent problems of an open approach, and the use
of RFA device does not add to morbidity. The thoracoscopic use of RFA probe by
experienced surgeons is considered safe, maintaining the advantages of key-hole
surgery.
PMID- 27875057
TI - National Athletic Trainers' Association Position Statement: Preventing and
Managing Sport-Related Dental and Oral Injuries.
AB - OBJECTIVE: To provide athletic trainers, health care professionals, and all those
responsible for the care of athletes with clinical recommendations for preventing
and managing sport-related dental and oral injuries. BACKGROUND: Participation in
competitive sports continues to grow at both the interscholastic and
intercollegiate levels. Therefore, exposure to, and the incidence of athletic
related injury, including orofacial injury, will also likely increase. At the
time of this writing, the leading governing agencies for interscholastic
(National Federation of State High School Associations) and intercollegiate
(National Collegiate Athletic Association) sports require only protective
orofacial equipment (eg, mouthguards) for 5 and 4, respectively, of their
sanctioned sports. Although orofacial injuries represent a small percentage of
all sport-related injuries, the financial burden associated with these injuries
(eg, tooth avulsion) can exceed $15 000 over an adult life. Therefore, effective
management of sport-related dental injuries is critical to the long-term
financial, physical, and emotional health of people who have experienced dental
trauma. RECOMMENDATIONS: Based upon the current evidence regarding sport-related
orofacial injury, we provide recommendations related to planning considerations,
education, and mouthguard efficacy, material, fabrication, and care
considerations. Additionally, suggested best practices for managing sport-related
dental injury are also given for athletic trainers and other health care
professionals.
PMID- 27875061
TI - Glycemic Control Reduces Infections in Post-Liver Transplant Patients: Results of
a Prospective, Randomized Study.
AB - Context: Previous studies have shown a relationship between glycemic control and
posttransplant morbidity. Objective: We conducted a prospective randomized
controlled trial in postliver transplant patients to evaluate intensive inpatient
glycemic control and effects on outcomes to 1 year. Research Design and
Intervention: A total of 164 patients [blood glucose (BG) >180 mg/dL] were
randomized into 2 target groups: 82 with a BG of 140 mg/dL and 82 with a BG of
180 mg/dL. Continuous insulin infusions were initiated and then converted to
subcutaneous basal bolus insulin therapy by our glucose management service.
Results: The inpatient mean BG level was significantly different (140 group,
151.4 +/- 19.5 mg/dL vs 180 group, 172.6 +/- 27.9 mg/dL; P < 0.001). Any
infection within 1 year occurred in 35 of the 82 patients (42.7%) in the 140
group and 54 of 82 (65.9%) in the 180 group (P = 0.0046). In a time-to-first
infection analysis, being in the 140 group resulted in a hazard ratio of 0.54
(95% confidence interval, 0.35 to 0.83; P = 0.004); the difference between the 2
groups was statistically significant at 1 month (P = 0.008). The number with
adjudicated transplant rejection was similar between the 2 groups [17 of 82
(20.7%) and 20 of 82 (24.3%) in the 140 and 180 groups, respectively; P = not
significant]. Severe hypoglycemia (BG <=40 mg/dL) occurred in 3 patients (2 in
the 140 group and 1 in the 180 group). However, more patients had moderate
hypoglycemia (BG, 41 to 70 mg/dL) in the 140 group [27 of 82 (32.9%) vs 10 of 82
(12.2%) in the 180 group; P = 0.003]. Insulin-related hypoglycemia was not
associated with the incidence of severe adverse outcomes. Conclusions: Glycemic
control of 140 mg/dL safely resulted in a reduced incidence of infection after
transplantation compared with 180 mg/dL, but with an increase in moderate
hypoglycemia.
PMID- 27875062
TI - Role of risk stratification and genetics in sudden cardiac death.
AB - Sudden cardiac death (SCD) is a major public health issue due to its increasing
incidence in the general population and the difficulty in identifying high-risk
individuals. Nearly 300 000 - 350 000 patients in the United States and 4-5
million patients in the world die annually from SCD. Coronary artery disease and
advanced heart failure are the main etiology for SCD. Ischemia of any cause
precipitates lethal arrhythmias, and ventricular tachycardia and ventricular
fibrillation are the most common lethal arrhythmias precipitating SCD. Pulseless
electrical activity, bradyarrhythmia, and electromechanical dissociation also
result in SCD. Most SCDs occur outside of the hospital setting, so it is
difficult to estimate the public burden, which results in overestimating the
incidence of SCD. The insufficiency and limited predictive value of various
indicators and criteria for SCD result in the increasing incidence. As a result,
there is a need to develop better risk stratification criteria and find
modifiable variables to decrease the incidence. Primary and secondary prevention
and treatment of SCD need further research. This critical review is focused on
the etiology, risk factors, prognostic factors, and importance of risk
stratification of SCD.
PMID- 27875064
TI - Correction to: Hum Gene Ther 2016;27(1):19-31.
PMID- 27875063
TI - The diagnosis you wish you had never operated on: Pyoderma gangrenosum
misdiagnosed as necrotizing fasciitis-a case report.
PMID- 27875065
TI - Evaluation of a new solid non-aqueous self-double-emulsifying drug-delivery
system for topical application of quercetin.
AB - This study aimed to the evaluation of a new solid non-aqueous self-double
emulsifying drug-delivery system (SDEDDS) for topical application of quercetin.
The new formulation was prepared through two-step emulsification process and
could spontaneously form oil-in-oil-in-water (O/O/W) double emulsions after
dilution with aqueous medium, with inner oil phase mainly containing the drug.
Solid state characterisation was performed by DSC and X-ray powder diffraction.
Furthermore, the optimised QT-SDEDDS displayed sustained release profile and was
found to be stable up to 30 days under 4 degrees C and 25 degrees C.
Antioxidant capacities showed that quercetin could be protected by the solid non
aqueous SDEDDS. Compared with the quercetin ethanol aqueous solution, the QT
SDEDDS exhibited higher permeation ability, and significantly increased
accumulation of quercetin in the skin. These studies demonstrated that the solid
non-aqueous SDEDDS might be a promising carrier for topical application of poorly
water-soluble and simultaneously poorly oil-soluble drugs, such as quercetin.
PMID- 27875066
TI - Sexuality and the Internet: A Study of the Perspectives of Turkish University
Students.
AB - The purpose of this study was to determine Turkish university students' uses of
and attitudes toward the Internet concerning sexuality. The study was conducted
in two public universities in the Central Anatolia and Eastern Anatolia regions
of Turkey. Among the students invited to take part in the study, 1,330 students
agreed to do so. The study data were collected using a questionnaire designed by
researchers to determine participants' personal characteristics, computer and
Internet uses in general, and for sexual matters. The findings suggest that
approximately half of the students (51%) reported using the Internet to obtain
information about sexuality. Among the students, 30.5% said that they visit
erotic and pornographic Web sites, 21.1% said that they chat on the Internet
about sexuality, and 9.3% said that they bought sexual products online. Compared
to the female students, the male students, statistically, more frequently show
behaviors such as obtaining sexual information on the Internet, online sexual
shopping, chatting on the Internet about sexuality, and visiting pornographic and
erotic Web sites. In addition, female students have more negative attitudes
toward using the Internet for sexual purposes. This study discusses its results
along with the literature from Turkey and other countries.
PMID- 27875069
TI - Turning ASCO Members Into Conquer Cancer Foundation Donors.
PMID- 27875067
TI - Transiently expressed ATG16L1 inhibits autophagosome biogenesis and aberrantly
targets RAB11-positive recycling endosomes.
AB - The membrane source for autophagosome biogenesis is an unsolved mystery in the
study of autophagy. ATG16L1 forms a complex with ATG12-ATG5 (the ATG16L1
complex). The ATG16L1 complex is recruited to autophagic membranes to convert
MAP1LC3B-I to MAP1LC3B-II. The ATG16L1 complex dissociates from the phagophore
before autophagosome membrane closure. Thus, ATG16L1 can be used as an early
event marker for the study of autophagosome biogenesis. We found that among 3
proteins in the ATG16L1 complex, only ATG16L1 formed puncta-like structures when
transiently overexpressed. ATG16L1+ puncta formed by transient expression could
represent autophagic membrane structures. We thoroughly characterized the
transiently expressed ATG16L1 in several mammalian cell lines. We found that
transient expression of ATG16L1 not only inhibited autophagosome biogenesis, but
also aberrantly targeted RAB11-positive recycling endosomes, resulting in
recycling endosome aggregates. We conclude that transient expression of ATG16L1
is not a physiological model for the study of autophagy. Caution is warranted
when reviewing findings derived from a transient expression model of ATG16L1.
PMID- 27875070
TI - Competitive Funding Strategies for the Conquer Cancer Foundation of ASCO.
AB - PURPOSE: The Conquer Cancer Foundation (CCF), the philanthropic arm of ASCO, is
responsible for funding innovative clinical research. CCF wants to broaden its
donor base instead of relying predominantly on health-care companies. Our
Leadership Development Program (LDP) group was tasked with identifying potential
donor sources and making recommendations to increase funding. MATERIALS AND
METHODS: We selected three sources to research: the general public,
crowdsourcing/social media, and ASCO members. We subsequently focused our efforts
on ASCO members, of whom only 2% to 3% are donors to CCF and < 8% are repeat
donors. To analyze this low rate, we sent out two separate surveys to various
groups of members within ASCO. RESULTS: We identified lack of knowledge as a
major deterrent to giving; surprisingly, even those who hold ASCO leadership
positions or participated in committees lacked knowledge about CCF funding. Also,
the misconception that ASCO is rich and does not need philanthropic support
deterred giving; however, respondents would donate if given the right message
that stresses the need of continued member-led donations. CONCLUSION: ASCO
members, including those in leadership positions, need to be engaged more
aggressively to support CCF. Increased education and updating the CCF message is
likely to provide the greatest impact on higher member donation, repeated
donations, and facilitate CCF's goal of diversifying its donor base away from
healthcare companies. Furthermore, we recommend using technology, such as mobile
applications, and providing incentives and visibility to major donors.
PMID- 27875071
TI - In Response to "Cancer-Related Hypercalcemia".
PMID- 27875072
TI - Reply to C. Taylor.
PMID- 27875073
TI - Orthodox Jewish Thought Leaders' Insights Regarding BRCA Mutations: A Descriptive
Study.
AB - PURPOSE: To examine the factors that influence Orthodox Jewish (OJ) thought
leaders' perceptions of genetic counseling and testing for BRCA mutations. The
specific aims of this study were to describe (1) OJ thought leaders' views on
genetic counseling and testing for BRCA mutation status and (2) insights into
this high-risk faith-based minority group and their beliefs about counseling and
testing for BRCA mutations. METHODS: In-depth focus groups and demographic
questionnaires were used in this descriptive, qualitative study, which was
performed in the cancer center of a 750-bed community teaching hospital in
Brooklyn, New York. Participants included 17 OJ thought leaders in a large
metropolitan area in the northeastern United States. RESULTS: Four themes emerged
that describe the key components of the views of OJ thought leaders regarding
genetic counseling and testing for BRCA mutation carriers. There was a high level
of concern about cancer, recognition that community norms shift, acknowledgment
of the role of the rabbi in medical decision making, and concern about the
balance between determinism and personal responsibility in utilizing this health
care service. CONCLUSION: The identification of social contributors to the
utilization of genetic counseling and testing, as well as identification of
solutions to optimize utilization of BRCA testing, supports the philosophic
premise or conceptual model that faith-based leaders are crucial to the promotion
of culturally sensitive health care delivery. Incorporating faith-based leaders
early in health care strategic planning and implementation can translate into
communities better utilizing health-related services.
PMID- 27875074
TI - Right ventricular dysfunction after cardiac surgery - diagnostic options.
AB - Right ventricular (RV) failure after cardiac surgery is associated with an
ominous prognosis. The etiology of RV failure is multifaceted and the ability to
recognize RV failure early is paramount in order to initiate timely treatment.
The present review focuses on different diagnostic modalities for RV function and
discusses the normal versus abnormal findings in RV monitoring after cardiac
surgery and the limitations of the applicable diagnostic modalities. There are
specific challenges in RV assessment after cardiac surgery due to a loss of
longitudinal contraction and a concomitant gain of transverse contraction.
Additionally, the image quality of transthoracic echocardiography (TTE) is often
reduced after cardiac surgery. RV function can be assessed with 2D and 3D imaging
techniques as well as invasive hemodynamic monitoring. Until proper validation
studies have determined accuracy, reproducibility and comparability of the next
generation of diagnostic modalities we propose to use simple, but obtainable
echocardiographic measurements and ultimately the insertion of a pulmonary artery
catheter (PAC) in order to diagnose RV failure after cardiac surgery.
PMID- 27875075
TI - Chamomile and oregano extracts synergistically exhibit antihyperglycemic,
antihyperlipidemic, and renal protective effects in alloxan-induced diabetic
rats.
AB - The bio-activities of separate Matricaria chamomilla (chamomile) and Origanum
vulgare (oregano) are well studied; however, the combined effects of both natural
products in animal diabetic models are not well characterized. In this study,
alloxan-induced male albino rats were treated with single dose aqueous suspension
of chamomile or oregano at dose level of either 150 or 300 mg/kg body mass or as
equal parts as combination by stomach tube for 6 weeks. After treatment, blood
samples were assessed for diabetic, renal, and lipid profiles. Insulin, amylase
activity, and diabetic renal apoptosis were further evaluated. Treatment with
higher dose of the extracts (300 mg/kg) as individual or as mixture of low doses
(150 mg/kg of both the extracts) had significant mass gain, hypoglycemic effect
(p <= 0.05) with decreased amylase activity and increased serum insulin levels.
Restoration of renal profile, lipid profile with increase in HDL-c (p <= 0.05)
along with reversal of pro-apoptotic Bax and anti-apoptotic Bcl-2 were well
observed with 300 mg/kg mixture, showing synergistic activity of the extracts
compared with individual low dose of 150 mg/kg. Collectively, our results
indicate that combination of chamomile and oregano extracts will form a new class
of drugs to treat diabetic complications.
PMID- 27875076
TI - Correlates of Resource Empowerment among Parents of Children with Overweight or
Obesity.
AB - BACKGROUND: Few studies have examined correlates of resource empowerment among
parents of children with overweight or obesity. METHODS: We studied baseline data
of 721 parent-child pairs participating in the Connect for Health randomized
trial being conducted at six pediatric practices in Massachusetts. Parents
completed the child weight management subscale (n = 5 items; 4-point response
scale) of the Parent Resource Empowerment Scale; items were averaged to create a
summary empowerment score. We used linear regression to examine the independent
effects of child (age, sex, and race/ethnicity), parent/household characteristics
(age, education, annual household income, BMI category, perceived stress, and
their ratings of their healthcare quality), and neighborhood median household
income, on parental resource empowerment. RESULTS: Mean (SD) child age was 7.7
years (2.9) and mean (SD) BMI z-score was 1.9 (0.5); 34% of children were white,
32% black, 22% Hispanic, 5% Asian, and 6% multiracial/other. The mean parental
empowerment score was 2.95 (SD = 0.56; range = 1-4). In adjusted models, parents
of older children [beta -0.03 (95% CI: -0.04, -0.01)], Hispanic children [-0.14 (
0.26, -0.03)], those with annual household income less than $20,000 [-0.16 (
0.29, -0.02)], those with BMI >=30.0 kg/m2 [-0.17 (-0.28, -0.07)], and those who
reported receiving lower quality of obesity-related care [-0.05 (-0.07, -0.03)]
felt less empowered about resources to support their child's healthy body weight.
CONCLUSIONS: Parental resource empowerment is influenced by parent and child
characteristics as well as the quality of their obesity-related care. These
findings could help inform equitable, family-centered approaches to improve
parental resource empowerment.
PMID- 27875078
TI - Pathology in Practice.
PMID- 27875080
TI - In This Issue * December 1, 2016.
PMID- 27875077
TI - MARCH5 RNA promotes autophagy, migration, and invasion of ovarian cancer cells.
AB - MARCH5 is a crucial regulator of mitochondrial fission. However, the expression
and function of MARCH5 in ovarian cancer have not been determined. This study
investigated the expression and function of MARCH5 in ovarian cancer with respect
to its potential role in the tumorigenesis of the disease as well as its
usefulness as an early diagnostic marker. We found that the expression of MARCH5
was substantially upregulated in ovarian cancer tissue in comparison with the
normal control. Silencing MARCH5 in SKOV3 cells decreased TGFB1-induced cell
macroautophagy/autophagy, migration, and invasion in vitro and in vivo, whereas
the ectopic expression of MARCH5 in A2780 cells had the opposite effect.
Mechanistic investigations revealed that MARCH5 RNA may function as a competing
endogenous RNA (ceRNA) to regulate the expression of SMAD2 and ATG5 by competing
for MIR30A. Knocking down SMAD2 or ATG5 can block the effect of MARCH5 in A2780
cells. Also, silencing the expression of MARCH5 in SKOV3 cells can inhibit the
TGFB1-SMAD2/3 pathway. In contrast, the ectopic expression of MARCH5 in A2780
cells can activate the TGFB1-SMAD2/3 pathway. In turn, the TGFB1-SMAD2/3 pathway
can regulate MARCH5 and ATG5 through MIR30A. Overall, the results of this study
identified MARCH5 as a candidate oncogene in ovarian cancer and a potential
target for ovarian cancer therapy.
PMID- 27875079
TI - Comparison of body condition score and urinalysis variables between dogs with and
without calcium oxalate uroliths.
AB - OBJECTIVE To compare body condition score (BCS) and urinalysis variables between
dogs with and without calcium oxalate (CaOx) uroliths. DESIGN Case-control study.
ANIMALS 46 Miniature Schnauzers, 16 Bichons Frises, and 6 Shih Tzus. PROCEDURES
Medical records were reviewed for Miniature Schnauzers, Bichons Frises, and Shih
Tzus that were examined between January 2001 and November 2014 for another
urolithiasis study or for a urolith removal procedure. Dogs with CaOx uroliths
were classified as cases. Dogs without a history of urinary tract disease and
with no evidence of radiopaque uroliths on abdominal radiographs were classified
as controls. Each case was matched with 1 control on the basis of age (+/- 2
years), sex, and breed. Body condition score and urinalysis results were compared
between cases and controls, and the relationship between BCS and urine pH was
analyzed. RESULTS Median BCS was significantly greater for cases than controls,
although the proportion of overweight dogs did not differ significantly between
the 2 groups. Urine pH was negatively associated with age, but was not associated
with BCS or the presence of CaOx uroliths. Cases infrequently had acidic urine or
CaOx crystalluria but frequently had hematuria and proteinuria. CONCLUSIONS AND
CLINICAL RELEVANCE Results indicated that dogs with CaOx uroliths had a greater
median BCS than control dogs, but the clinical importance of that finding was
unclear. Acidic urine and CaOx crystalluria were uncommon and not adequate
predictors of CaOx urolith status. Hematuria and proteinuria were commonly
observed in dogs with CaOx urolithiasis, but they are not pathognomonic for that
condition.
PMID- 27875081
TI - What Is Your Neurologic Diagnosis?
PMID- 27875082
TI - Effects of trazodone on behavioral signs of stress in hospitalized dogs.
AB - OBJECTIVE To determine the effects of trazodone treatment on behavioral signs of
stress in hospitalized dogs. DESIGN Prospective observational study. ANIMALS 120
client-owned dogs. PROCEDURES Hospitalized dogs administered trazodone (n = 60)
were observed for stress-related signs or behaviors <= 45 minutes after the drug
was administered (time 1) and approximately 90 minutes later (time 2). Dogs that
did not receive trazodone (n = 60) were selected to serve as controls for
environmental stimuli that could affect behavior and were observed at the same
times. Signs or behaviors (scored as present or absent) were assessed
individually and grouped into behavioral summation categories (frenetic [lip
licking, pacing, panting, spinning, trembling, wet dog shake, whining, and
yawning], freeze [averting gaze, pinning back ears, and whale eye sign], or
fractious [growling, lunging, showing teeth, and snapping], with lifting of a
forelimb and pupil dilation included in all categories). Results were compared
between groups and within groups over time. Logistic regression was performed to
assess associations between reduction in stress-related signs or behaviors and
trazodone administration while controlling for environmental influences. RESULTS
Lip licking, panting, and whining were reduced (defined as present at time 1 and
absent at time 2) in trazodone-treated but not environmentally matched dogs. The
median number of stress-related behaviors and of frenetic and freeze behaviors
was significantly lower at time 2, compared with time 1, in trazodone-treated
dogs. Odds of reduced panting and reduced frenetic behaviors at time 2 for
trazodone-treated dogs were > 2 times those for environmentally matched dogs.
CONCLUSIONS AND CLINICAL RELEVANCE Results indicated that trazodone
administration reduced stress-related signs and behaviors in hospitalized dogs
and may thereby improve patient welfare.
PMID- 27875083
TI - Outcome in cats with benign ureteral obstructions treated by means of ureteral
stenting versus ureterotomy.
AB - OBJECTIVE To evaluate the outcome for cats with benign ureteral obstructions
treated by means of ureteral stenting and to compare the outcome for these cats
with outcome for a historical cohort of cats treated by means of ureterotomy
only. DESIGN Prospective study with historical cohort. ANIMALS 62 client-owned
cats with benign ureteral obstructions, including 26 cats treated with ureteral
stenting and 36 cats previously treated with ureterotomy. PROCEDURES Data were
recorded prospectively (ureteral stent cases) or collected retrospectively from
the medical records (ureterotomy cases), and results were compared. RESULTS Cats
treated with ureteral stents had significantly greater decreases in BUN and serum
creatinine concentrations 1 day after surgery and at hospital discharge, compared
with values for cats that underwent ureterotomy. Six cats in the ureteral stent
group developed abdominal effusion after surgery, and cats in this group were
significantly more likely to develop abdominal effusion when a ureterotomy was
performed than when it was not. Cats that developed abdominal effusion after
surgery were significantly less likely to survive to hospital discharge. Cats
that underwent ureteral stenting were significantly more likely to have
resolution of azotemia prior to hospital discharge than were cats that underwent
ureterotomy alone. CONCLUSIONS AND CLINICAL RELEVANCE Results suggested that cats
with benign ureteral obstructions treated with ureteral stenting were more likely
to have resolution of azotemia prior to hospital discharge, compared with cats
undergoing ureterotomy alone. Results of ureteral stenting were encouraging, but
further investigation is warranted.
PMID- 27875084
TI - What Is Your Diagnosis?
PMID- 27875085
TI - Concurrent or sequential tibial subchondral cystic lesions in 4 horses with
medial femoral condyle subchondral cystic lesions.
AB - CASE DESCRIPTION 4 horses were examined because of signs of chronic hind limb
lameness. CLINICAL FINDINGS 3 horses had a history of lameness for > 6 months;
specific duration was unknown for 1 horse. On initial evaluation, grade 3 to 4
(on a scale from 1 to 5) hind limb lameness was present in all 4 horses.
Radiography of the stifle joint of the affected limb revealed medial femoral
condyle subchondral lucencies or subchondral cystic lesions (SCLs) in all 4
horses, medial femorotibial osteoarthritis in 3 horses, and medial tibial condyle
SCLs in 3 horses. TREATMENT AND OUTCOME 2 horses were treated medically (stall
rest and oral NSAID administration), and 2 horses were treated surgically by
means of medial femoral transcondylar lag screw placement through the medial
femoral condyle SCLs. The 2 horses treated medically did not improve and were
euthanized. Necropsy confirmed the presence of medial femoral condyle and medial
tibial condyle SCLs. Surgical treatment did not resolve the lameness in 1 horse
with SCLs in the medial tibial condyle and medial femoral condyle, and euthanasia
was performed 150 days after surgery. In the second horse, a medial tibial
condyle SCL was evident on radiographs obtained 3 months after surgery; however,
this was not addressed surgically, and signs of lameness resolved 11 months after
surgery. CLINICAL RELEVANCE Results of this small case series suggested that SCLs
in the medial tibial condyle can occur in association with SCLs of the medial
femoral condyle, with a poor prognosis for return to athletic function in
affected horses. Further investigation is indicated.
PMID- 27875087
TI - Pathology in Practice.
PMID- 27875088
TI - Letters to the Editor.
PMID- 27875089
TI - The essential nature of dietary omega-3 fatty acids in dogs.
PMID- 27875090
TI - Clinical, computed tomographic, magnetic resonance imaging, and histologic
findings associated with myxomatous neoplasia of the temporomandibular joint in
two dogs.
AB - CASE DESCRIPTION A 15-year-old neutered female mixed-breed dog (dog 1) and an 11
year-old neutered female Labrador Retriever (dog 2) were examined because of
unilateral exophthalmus, third eyelid protrusion, and periorbital swelling that
failed to respond to antimicrobial treatment. CLINICAL FINDINGS Both dogs
underwent ultrasonographic, CT, and MRI examination of the head. In both dogs,
advanced imaging revealed a poorly defined, peripherally contrast-enhancing,
mucous-filled cystic mass that radiated from the temporomandibular joint and
infiltrated the periorbital tissues and retrobulbar space. Both dogs underwent
surgical biopsy of the periorbital mass. A viscous, straw-colored fluid was
aspirated from the retrobulbar region in both dogs. The initial histologic
diagnosis for dog 1 was zygomatic sialadenitis and sialocele. However, the
clinical signs recurred, and histologic examination of specimens obtained during
a second surgical biopsy resulted in a diagnosis of myxoma. The histologic
diagnosis was myxosarcoma for dog 2. TREATMENT AND OUTCOME In both dogs, clinical
signs recurred within 2 weeks after surgery and persisted for the duration of
their lives. Dog 1 received no further treatment after the second surgery and was
euthanized 34 months after initial examination because of multicentric lymphoma.
Dog 2 was treated with various chemotherapy agents and was euthanized 11 months
after initial examination because of a dramatic increase in periocular swelling
and respiratory stertor. CLINICAL RELEVANCE Temporomandibular myxomatous
neoplasia can be confused with zygomatic sialocele on the basis of clinical signs
but has characteristic MRI features. Representative biopsy specimens should be
obtained from areas close to the temporomandibular joint to avoid misdiagnosis.
PMID- 27875091
TI - What is the level of passion for our professionalism?
PMID- 27875092
TI - Morphological variability of Demodex cati in a feline immunodeficiency virus
positive cat.
AB - CASE DESCRIPTION A 17-year-old FIV-positive cat was evaluated because of weight
loss during the preceding few months. The cat had a weight loss of 0.5 kg (1.1
lb) during the last month. Because of its FIV-positive status, the cat was
confined indoors. CLINICAL FINDINGS A large nonpruritic area of alopecia with
hyperpigmentation and comedones was present on the right lateral aspect of the
neck. The chin had diffuse alopecia and comedones. Mild alopecia was present on
the dorsal aspect of the muzzle. Trichography and microscopic examination of
acetate tape imprint preparations and skin scrapings revealed a very
morphologically heterogeneous population of Demodex mites. Micrometry of adult
mites revealed a broad range of body lengths (92.68 to 245.94 MUm), which
suggested that as many as 3 Demodex spp might be present in the skin lesions of
this cat. TREATMENT AND OUTCOME Owing to its concurrent disease, no treatment was
initiated for the demodicosis, and the cat died spontaneously 14 days after the
evaluation. Sequence analysis of the 16S rRNA gene of collected mites was
performed. Analysis revealed that the 16S rRNA gene sequence of collected mites
appeared 100% identical to the Demodex cati 16S rRNA gene sequence deposited in
GenBank (JX193759). A similarity of 79.2% and 74.4% was found when the 16S rRNA
gene sequence of collected mites was compared with that of Demodex gatoi
(JX981921) and Demodex felis (KF052995), respectively. CLINICAL RELEVANCE
Demodicosis in cats is often associated with underlying disease. In cats, FIV
infection may lead to an altered immune response and induce species polymorphism
of Demodex mites.
PMID- 27875094
TI - Laparoscopic Radical Gastrectomy for Resectable Advanced Gastric Cancer Within
Enhanced Recovery Programs: A Prospective Randomized Controlled Trial.
AB - BACKGROUND: Enhanced recovery programs have become an important focus of
perioperative management. A few studies have demonstrated the efficacy of an
enhanced recovery after surgery (ERAS, which includes optimized pain control,
restricted I.V. fluids, early initiation of postoperative oral feeding, and
enforced mobilization) protocol in patients undergoing radical gastrectomy. We
investigated the feasibility and safety of laparoscopic radical gastrectomy
within ERAS programs. METHODS: In this single-center prospective randomized
controlled trial conducted between September 2013 and August 2014, 149
consecutive locally advanced gastric cancer patients (T2-4, any N, M0) diagnosed
by the CT scanning were allocated to either ERAS group (N = 73) or conventional
pathway group (N = 76). The same surgical technique was used in both groups, that
is, laparoscopic gastrectomy with D2 lymphadenectomy and R0 resection. Intergroup
differences were evaluated for clinical parameters and C-reactive protein for
testing tissue injury. RESULTS: ERAS combined with laparoscopic gastrectomy was
observed in our study. Recovery parameters such as time to return to normal diet
(days) 1.90 +/- 0.71 versus 3.52 +/- 0.81, P = .003 and time to the first
defecation (days) 2.97 +/- 1.23 versus 5.20 +/- 1.81, P = .015 were measured. The
post hospital stay (days) in ERAS and the conventional care group were 6.38 +/-
2.04 and 8.62 +/- 2.87, P < .001, respectively. No statistically significant
intergroup differences were observed in terms of postoperative complications and
C-reactive protein levels. One patient in the ERAS group was readmitted because
of anastomotic leakage. No instances of deaths were reported during the 30-day
follow-up period. CONCLUSION: This study demonstrates the feasibility and safety
of ERAS protocol in advanced gastric cancer patients undergoing laparoscopic
radical gastrectomy and was associated with shorter duration of hospital stay. (
Clinicaltrials.gov Identifier No NCT02348229).
PMID- 27875093
TI - Autolysosome biogenesis and developmental senescence are regulated by both Spns1
and v-ATPase.
AB - Spns1 (Spinster homolog 1 [Drosophila]) in vertebrates, as well as Spin
(Spinster) in Drosophila, is a hypothetical lysosomal H+-carbohydrate
transporter, which functions at a late stage of macroautophagy (hereafter
autophagy). The Spin/Spns1 defect induces aberrant autolysosome formation that
leads to developmental senescence in the embryonic stage and premature aging
symptoms in adulthood. However, the molecular mechanism by which loss of
Spin/Spns1 leads to the specific pathogenesis remains to be elucidated. Using
chemical, genetic and CRISPR/Cas9-mediated genome-editing approaches in
zebrafish, we investigated and determined a mechanism that suppresses embryonic
senescence as well as autolysosomal impairment mediated by Spns1 deficiency.
Unexpectedly, we found that a concurrent disruption of the vacuolar-type H+
ATPase (v-ATPase) subunit gene, atp6v0ca (ATPase, H+ transporting, lysosomal, V0
subunit ca) led to suppression of the senescence induced by the Spns1 defect,
whereas the sole loss of Atp6v0ca led to senescent embryos similar to the single
spns1 mutation. Moreover, we discovered that the combined stable defect seen in
the presence of both the spns1 and atp6v0ca mutant genes still subsequently
induced premature autophagosome-lysosome fusion marked by insufficient acidity,
while extending developmental life span, compared with the solely mutated spns1
defect. Our data suggest that Spns1 and the v-ATPase orchestrate proper
autolysosomal biogenesis with optimal acidification that is critically linked to
developmental senescence and survival.
PMID- 27875095
TI - Routine Bronchoscopy and Fogarty Catheter Occlusion of Tracheoesophageal
Fistulas.
AB - INTRODUCTION: Use of a Fogarty catheter for emergent occlusion of
tracheoesophageal fistulas (TEFs) has been described for use in unstable
neonates. Our purpose was to describe a case series of elective Fogarty catheter
occlusion of the TEF. MATERIALS AND METHODS: A formal operative laryngoscopy and
rigid bronchoscopy were performed with Fogarty catheter placement into the
fistula before surgical intervention. The balloon was inflated and gentle
traction provided occlusion of the tract. An endotracheal tube was then placed in
a midtracheal location irrespective of fistulous tract location. The Fogarty
catheter was removed at the time of fistula ligation. RESULTS: Six patients
underwent Fogarty catheter occlusion of the TEF at the time of repair. The
average gestational age was 38 +/- 2 weeks and birth weight was 2499 +/- 399 g.
Associated anomalies or comorbidities were present in 2 of 6 patients (33%). Five
patients (83%) had an esophageal atresia with distal TEF, all of whom underwent
surgical intervention before day of life (DOL) 2. Of these patients, 3 were
performed thoracoscopically, 1 was converted from thoracoscopic to open, and 1
was initiated as an open procedure. One patient had an H-type fistula, which was
repaired through a cervical approach on DOL 48. Average time required for both
bronchoscopy and Fogarty placement was 10 +/- 2 minutes. There were no
complications associated with Fogarty catheter placement and catheter
dislodgement did not occur during any of the procedures. CONCLUSION: Elective
Fogarty catheter occlusion of TEF can be performed safely and expeditiously,
alleviating many perioperative challenges of TEF.
PMID- 27875096
TI - Paraesophageal Hernia Repair: Techniques for Success.
AB - With the introduction of laparoscopy, the outcomes of patients undergoing
paraesophageal hernia repair have improved dramatically. When the fundamentals of
a proper repair are followed, patients can expect to have improvement in
gastroesophageal reflux symptoms, including heartburn, regurgitation, chest pain,
dysphagia, and dyspnea. Adhering to these principles will alleviate patients'
symptoms and avoid reoperation. This article describes the approach to
paraesophageal hernia repair, including patient evaluation, operative technique,
and postoperative management. Esophageal lengthening and crural reinforcement
with mesh are addressed as well. Adhering to the basic techniques outlined in
this article should lead to successful and durable patient outcomes following a
paraesophageal hernia repair.
PMID- 27875097
TI - Role of exosomes in the protection of cellular homeostasis.
AB - Due to their ability to shuttle proteins, lipids and genetic material between
distant cells, exosomes promote extensive phenotypic changes in recipient cells,
modulating immune responses, cellular migration, cancer metastasis or the
spreading of neurotoxic protein aggregates in neurodegenerative diseases. Besides
intercellular communication, exosome biogenesis and secretion permit the rapid
release of a selective repertoire of compounds, conferring cells with an
additional mechanism to fight alterations in protein, lipid or RNA homeostasis
during stress or pathological conditions. Here, we review the dual role of the
different quality control mechanisms arising from the endolysosomal system and
the diverse situations that control the decision between degradation or
secretion. The crosstalk between exosome secretion and the different cellular
degradation mechanisms confers an additional layer of protection to maintain
cellular integrity and homeostasis in a number of physiological and pathological
conditions.
PMID- 27875098
TI - HLH-30/TFEB-mediated autophagy functions in a cell-autonomous manner for
epithelium intrinsic cellular defense against bacterial pore-forming toxin in C.
elegans.
AB - Autophagy is an evolutionarily conserved intracellular system that maintains
cellular homeostasis by degrading and recycling damaged cellular components. The
transcription factor HLH-30/TFEB-mediated autophagy has been reported to regulate
tolerance to bacterial infection, but less is known about the bona fide bacterial
effector that activates HLH-30 and autophagy. Here, we reveal that bacterial
membrane pore-forming toxin (PFT) induces autophagy in an HLH-30-dependent manner
in Caenorhabditis elegans. Moreover, autophagy controls the susceptibility of
animals to PFT toxicity through xenophagic degradation of PFT and repair of
membrane-pore cell-autonomously in the PFT-targeted intestinal cells in C.
elegans. These results demonstrate that autophagic pathways and autophagy are
induced partly at the transcriptional level through HLH-30 activation and are
required to protect metazoan upon PFT intoxication. Together, our data show a new
and powerful connection between HLH-30-mediated autophagy and epithelium
intrinsic cellular defense against the single most common mode of bacterial
attack in vivo.
PMID- 27875100
TI - The Small GTPase Ral orchestrates MVB biogenesis and exosome secretion.
AB - Extracellular vesicles are novel mediators of cell-cell communication. They are
present in all species and involved in physiological and pathological processes.
One class of extracellular vesicles, the exosomes, originate from an endosomal
compartment, the MultiVesicular Body (MVB), and are released from the cell upon
fusion of the MVB with the plasma membrane. Although different molecular
mechanisms have been associated with MVB biogenesis and exosome secretion, how
they coordinate remains poorly documented. We recently found that the small
GTPase Ral contributes to exosome release in nematodes and mammalian tumor cells.
More specifically, we found that C. elegans RAL-1 is required for the biogenesis
of MVBs, and later for MVB fusion with the plasma membrane. Here, we discuss our
results in relationship with other factors involved in extracellular vesicle
production such as the ESCRT complex and Phospholipase 1D. We propose models to
explain Ral function in exosome secretion, its conservation in animals, and its
possible role in tumor progression.
PMID- 27875101
TI - Nonwoven textile for use in a nanoparticle respiratory deposition sampler.
AB - The nanoparticle respiratory deposition (NRD) sampler is a personal sampler that
combines a cyclone, impactor, and a nylon mesh diffusion stage to measure a
worker's exposure to nanoparticles. The concentration of titanium in the nylon
mesh of the diffusion stage complicates the application of the NRD sampler for
assessing exposures to titanium dioxide nanoparticles. This study evaluated
commercially available nonwoven textiles for use as an alternative media in the
diffusion stage of the NRD sampler. Three textiles were selected as containing
little titanium from an initial screening of 11 textiles by field portable x-ray
fluorescence (FPXRF). Further evaluation on these three textiles was conducted to
determine the concentration of titanium and other metals by inductively coupled
plasma-optical emission spectroscopy (ICP-OES), the number of layers required to
achieve desired collection characteristics for use as the diffusion stage in the
NRD sampler (i.e., the nanoparticulate matter, NPM, criterion), and the pressure
drop associated with that number of layers. Only three (two composed of cotton
fibers, C1 and C2; and one of viscose bamboo and cotton fibers, BC) of 11
textiles screened had titanium concentrations below the limit of detection the
XRF device (0.15 ug/cm2). Multiple metals, including small amounts of titanium,
were found in each of the three nonwoven textiles using ICP-OES. The number of 25
mm-diameter layers required to achieve the collection efficiency by size required
for the NRD sampler was three for C1 (R2 = 0.95 with reference to the NPM
criterion), two for C2 (R2 = 0.79), and three for BC (R2 = 0.87). All measured
pressure drops were less than theoretical and even the greatest pressure drop of
65.4 Pa indicated that a typical personal sampling pump could accommodate any of
the three nonwoven textiles in the NRD sampler. The titanium concentration,
collection efficiency, and measured pressure drops show there is a potential for
nonwoven textiles to be used as the diffusion stage of the NRD sampler.
PMID- 27875102
TI - Obesity Increases Operative Time in Children Undergoing Laparoscopic
Cholecystectomy.
AB - INTRODUCTION: Few studies have assessed the impact of obesity on laparoscopic
cholecystectomy (LC) in pediatric patients. MATERIALS AND METHODS: Children who
underwent LC were identified from the 2012 to 2013 American College of Surgeons'
National Surgical Quality Improvement Program Pediatrics data. Patient
characteristics, operative details, and outcomes were compared. Multivariable
logistic regression was utilized to identify predictors of increased operative
time (OT) and duration of anesthesia (DOAn). RESULTS: In total, 1757 patients
were identified. Due to low rates of obesity in children <9 years old, analyses
were limited to those 9-17 (n = 1611, 43% obese). Among obese children, 80.6%
were girls. A higher proportion of obese patients had diabetes (3.0% versus 1.0%,
P < .01) and contaminated or dirty/infected wounds (15.1% versus 9.4%, P < .01).
Complication rates were low. The most frequent indications for surgery were
cholelithiasis/biliary colic (34.3%), chronic cholecystitis (26.9%), and biliary
dyskinesia (18.2%). On multivariable analysis, obesity was an independent
predictor of OT >90 (odds ratio [OR] 2.02; 95% confidence interval [95% CI] 1.55
2.63), and DOAn >140 minutes (OR 1.86; 95% CI 1.42-2.43). CONCLUSIONS: Obesity is
an independent risk factor for increased OT in children undergoing LC. Pediatric
surgeons and anesthesiologists should be prepared for the technical and
physiological challenges that obesity may pose in this patient population.
PMID- 27875099
TI - The RhoB small GTPase in physiology and disease.
AB - RhoB is a Rho family GTPase that is highly similar to RhoA and RhoC, yet has
distinct functions in cells. Its unique C-terminal region is subject to specific
post-translational modifications that confer different localization and functions
to RhoB. Apart from the common role with RhoA and RhoC in actin organization and
cell migration, RhoB is also implicated in a variety of other cellular processes
including membrane trafficking, cell proliferation, DNA-repair and apoptosis.
RhoB is not an essential gene in mice, but it is implicated in several
physiological and pathological processes. Its multiple roles will be discussed in
this review.
PMID- 27875103
TI - Diffusion-weighted Imaging as a Treatment Response Biomarker for Evaluating Bone
Metastases in Prostate Cancer: A Pilot Study.
AB - Purpose To determine the usefulness of whole-body diffusion-weighted imaging
(DWI) to assess the response of bone metastases to treatment in patients with
metastatic castration-resistant prostate cancer (mCRPC). Materials and Methods A
phase II prospective clinical trial of the poly-(adenosine diphosphate-ribose)
polymerase inhibitor olaparib in mCRPC included a prospective magnetic resonance
(MR) imaging substudy; the study was approved by the institutional research
board, and written informed consent was obtained. Whole-body DWI was performed at
baseline and after 12 weeks of olaparib administration by using 1.5-T MR imaging.
Areas of abnormal signal intensity on DWI images in keeping with bone metastases
were delineated to derive total diffusion volume (tDV); five target lesions were
also evaluated. Associations of changes in volume of bone metastases and median
apparent diffusion coefficient (ADC) with response to treatment were assessed by
using the Mann-Whitney test and logistic regression; correlation with prostate
specific antigen level and circulating tumor cell count were assessed by using
Spearman correlation (r). Results Twenty-one patients were included. All six
responders to olaparib showed a decrease in tDV, while no decrease was observed
in all nonresponders; this difference between responders and nonresponders was
significant (P = .001). Increases in median ADC were associated with increased
odds of response (odds ratio, 1.08; 95% confidence interval [CI]: 1.00, 1.15; P =
.04). A positive association was detected between changes in tDV and best
percentage change in prostate-specific antigen level and circulating tumor cell
count (r = 0.63 [95% CI: 0.27, 0.83] and r = 0.77 [95% CI: 0.51, 0.90],
respectively). When assessing five target lesions, decreases in volume were
associated with response (odds ratio for volume increase, 0.89; 95% CI: 0.80,
0.99; P = .037). Conclusion This pilot study showed that decreases in volume and
increases in median ADC of bone metastases assessed with whole-body DWI can
potentially be used as indicators of response to olaparib in mCRPC. Online
supplemental material is available for this article.
PMID- 27875104
TI - CT-guided Native Medical Renal Biopsy: Cortical Tangential versus Non-Tangential
Approaches-A Comparison of Efficacy and Safety.
AB - Purpose To review a single-center experience with the cortical tangential
approach during computed tomography (CT)-guided native medical renal biopsy and
to evaluate its efficacy and safety compared with those of a non-cortical
tangential approach. Materials and Methods This retrospective study received
institutional review board approval, with a waiver of the HIPAA requirement for
informed consent. The number of cores, glomeruli, and complications were reviewed
in 431 CT-guided medical renal biopsies performed between July 2007 and September
2015. A biopsy followed a cortical tangential approach if the needle path was
parallel to the renal cortical surface, at a depth closer to the renal capsule
than the renal pelvic fat. A sample was considered adequate if the biopsy yielded
at least 10 glomeruli at light microscopy, one glomerulus at immunofluorescence
microscopy, and one glomerulus at electron microscopy. The chi2 test, the t test,
the Mann-Whitney test, and logistic regression modeling of sample adequacy were
performed. Results One hundred fifty-six (36%) of 431 biopsies were performed
with the cortical tangential approach. More cores were obtained for the cortical
tangential group (2.6 vs 2.4, P = .001); biopsy needle gauge was not
significantly different (P = .076). More adequate samples were obtained in the
cortical tangential group (66.7% vs 49.8%, P = .001), with more glomeruli (23 vs
16, P = .014). Results were significant after controlling for needle gauge and
number of cores (P = .008). The cortical tangential group had fewer complications
(1.9% vs 7.3%, P = .018). Conclusion The cortical tangential approach, when
applied to CT-guided native medical renal biopsies, results in higher rates of
sample adequacy and lower rates of postprocedural complications. (c) RSNA, 2016.
PMID- 27875105
TI - Renal Cell Carcinoma: Comparison of RENAL Nephrometry and PADUA Scores with
Maximum Tumor Diameter for Prediction of Local Recurrence after Thermal Ablation.
AB - Purpose To evaluate the performance of the radius, exophytic or endophytic,
nearness to collecting system or sinus, anterior or posterior, and location
relative to polar lines (RENAL) nephrometry and preoperative aspects and
dimensions used for anatomic classification (PADUA) scoring systems and other
tumor biometrics for prediction of local tumor recurrence in patients with renal
cell carcinoma after thermal ablation. Materials and Methods This HIPAA-compliant
study was performed with a waiver of informed consent after institutional review
board approval was obtained. A retrospective evaluation of 207 consecutive
patients (131 men, 76 women; mean age, 71.9 years +/- 10.9) with 217 biopsy
proven renal cell carcinoma tumors treated with thermal ablation was conducted.
Serial postablation computed tomography (CT) or magnetic resonance (MR) imaging
was used to evaluate for local tumor recurrence. For each tumor, RENAL
nephrometry and PADUA scores were calculated by using imaging-derived tumor
morphologic data. Several additional tumor biometrics and combinations thereof
were also measured, including maximum tumor diameter. The Harrell C index and
hazard regression techniques were used to quantify associations with local tumor
recurrence. Results The RENAL (hazard ratio, 1.43; P = .003) and PADUA (hazard
ratio, 1.80; P < .0001) scores were found to be significantly associated with
recurrence when regression techniques were used but demonstrated only poor to
fair discrimination according to Harrell C index results (C, 0.68 and 0.75,
respectively). Maximum tumor diameter showed the highest discriminatory strength
of any individual variable evaluated (C, 0.81) and was also significantly
predictive when regression techniques were used (hazard ratio, 2.98; P < .0001).
For every 1-cm increase in diameter, the estimated rate of recurrence risk
increased by 198%. Conclusion Maximum tumor diameter demonstrates superior
performance relative to existing tumor scoring systems and other evaluated
biometrics for prediction of local tumor recurrence after renal cell carcinoma
ablation. (c) RSNA, 2016.
PMID- 27875106
TI - Neoadjuvant Systemic Therapy in Breast Cancer: Association of Contrast-enhanced
MR Imaging Findings, Diffusion-weighted Imaging Findings, and Tumor Subtype with
Tumor Response.
AB - Purpose To investigate the performance of tumor subtype and various magnetic
resonance (MR) imaging parameters in the assessment of tumor response to
neoadjuvant systemic therapy (NST) in patients with breast cancer and to outline
a model of pathologic response, considering pathologic complete response (pCR) as
the complete absence of any residual invasive cancer or ductal carcinoma in situ
(DCIS). Materials and Methods This was an institutional review board-approved
retrospective study, with waiver of the need to obtain informed consent. From
November 2009 to December 2014, 111 patients with histopathologically confirmed
invasive breast cancer who were undergoing NST were included (mean age, 54 years;
range, 27-84 years). Breast MR imaging was performed before and after treatment.
Presence of late enhancement was assessed. Apparent diffusion coefficients (ADCs)
were obtained by using two different methods. ADC ratio (mean posttreatment
ADC/mean pretreatment ADC) was calculated. pCR was defined as absence of any
residual invasive cancer or DCIS. Multivariate regression analysis and receiver
operating characteristic analysis were performed. Results According to their
immunohistochemical (IHC) profile, tumors were classified as human epidermal
growth factor receptor 2 (HER2) positive (n = 51), estrogen receptor (ER)
positive/HER2 negative (n = 40), and triple negative (n = 20). pCR was achieved
in 19% (21 of 111) of cases; 86% of them were triple-negative or HER2-positive
subtypes. Absence of late enhancement at posttreatment MR imaging was
significantly associated with pCR (area under the curve [AUC], 0.85). Mean ADC
ratio significantly increased when pCR was achieved (P < .001). A kappa value of
0.479 was found for late enhancement (P < .001), and the intraclass correlation
coefficient for ADCs was 0.788 (P < .001). Good correlation of ADCs obtained with
the single-value method and those obtained with the mean-value methods was
observed. The model combining the IHC subtype, ADC ratio, and late enhancement
had the highest association with pathologic response, achieving an AUC of 0.92
(95% confidence interval: 0.86, 0.97). Conclusion Triple-negative or HER2
positive tumors showing absence of late enhancement and high ADC ratio after NST
are associated with pCR. (c) RSNA, 2016 Online supplemental material is available
for this article.
PMID- 27875107
TI - The Effect of Elevated Intra-Abdominal Pressure on TLR4 Signaling in Intestinal
Mucosa and on Intestinal Bacterial Translocation in a Rat.
AB - BACKGROUND: Recent evidence suggests that elevated intra-abdominal pressure (IAP)
may adversely affect the intestinal barrier function. Toll-like receptor 4 (TLR
4) is responsible for the recognition of bacterial endotoxin or
lipopolysaccharide and for initiation of the Gram-negative septic shock syndrome.
The objective of the current study was to determine the effects of elevated IAP
on intestinal bacterial translocation (BT) and TLR-4 signaling in intestinal
mucosa in a rat model. METHODS: Male Sprague-Dawley rats were randomly assigned
to one of two experimental groups: sham animals (Sham) and IAP animals who were
subjected to a 15 mmHg pressure pneumoperitoneum for 30 minutes. Rats were
sacrificed 24 hours later. BT to mesenteric lymph nodes, liver, portal vein
blood, and peripheral blood was determined at sacrifice. TLR4-related gene and
protein expression (TLR-4; myeloid differentiation factor 88 [Myd88] and TNF
alpha receptor-associated factor 6 [TRAF6]) expression were determined using real
time PCR, western blotting, and immunohistochemistry. RESULTS: Thirty percent of
sham rats developed BT in the mesenteric lymph nodes (level I) and 20% of control
rats developed BT in the liver and portal vein (level II). abdominal compartment
syndrome (ACS) rats demonstrated an 80% BT in the lymph nodes (Level I) and 40%
BT in the liver and portal vein (Level II). Elevated BT was accompanied by a
significant increase in TLR-4 immunostaining in jejunum (51%) and ileum (35.9%),
and in a number of TRAF6-positive cells in jejunum (2.1%) and ileum (24.01%)
compared to control animals. ACS rats demonstrated a significant increase in TLR4
and MYD88 protein levels compared to control animals. CONCLUSIONS: Twenty-four
hours after the induction of elevated IAP in a rat model, increased BT rates were
associated with increased TLR4 signaling in intestinal mucosa.
PMID- 27875108
TI - Scrub Typhus Leading to Acute Encephalitis Syndrome, Assam, India.
AB - To determine the contribution of Orientia tsutsugamushi, the agent of scrub
typhus, as a cause of acute encephalitis syndrome (AES) in Assam, India, we
conducted a retrospective study of hospital patients with symptoms of AES during
2013-2015. Our findings suggest that O. tsutsugamushi infection leads to AES and
the resulting illness and death.
PMID- 27875109
TI - Novel Reassortant Clade 2.3.4.4 Avian Influenza A(H5N8) Virus in Wild Aquatic
Birds, Russia, 2016.
AB - The emergence of novel avian influenza viruses in migratory birds is of concern
because of the potential for virus dissemination during fall migration. We report
the identification of novel highly pathogenic avian influenza viruses of subtype
H5N8, clade 2.3.4.4, and their reassortment with other avian influenza viruses in
waterfowl and shorebirds of Siberia.
PMID- 27875110
TI - Low Circulation of Zika Virus, Cambodia, 2007-2016.
AB - We describe a retrospective study on circulation of Zika virus in Cambodia during
2007-2016 among patients with dengue-like symptoms and Aedes aegypti mosquitoes.
Our findings suggest that Zika virus in Cambodia belongs to the Asia genotype, is
endemic, has low prevalence, and has had low-level impact on public health.
PMID- 27875111
TI - Improving Rural Health: How system-level innovation and policy reform can enhance
health outcomes across the United States.
AB - The United States is hailed as providing the most advanced health care the world
has to offer. With cutting-edge medical devices, groundbreaking procedures, and
innovative technologies, our hospitals and medical centers define what the global
community sees as modern biomedicine. Engineers and clinicians continue to push
and reshape this standard with new inventions enabled by a rapidly developing
knowledge base. However, the fruit of this advancement has not benefited
Americans equally. Millions still face significant obstacles to access health
care, and our rural communities in particular have been left behind (see also
"The Challenge of Rural Health Care").
PMID- 27875112
TI - Tying Tech to Care: Connected health is better for both providers and patients.
AB - People can do an incredible range of things remotely today. From a chair at the
office or under an umbrella at the beach, they can adjust lights and appliance
settings at home, monitor visitors on their doorstep, and check in on their
teenagers? whereabouts. When it comes to health care, however, there?s still a
long way to go before patients can get even simple care advice without having to
make an appointment and trudge into the clinic or doctor's office.
PMID- 27875113
TI - mHealth to the Rescue: Growing use of wireless and mobile technologies improves
community health, even in rural areas.
AB - In rural areas, it is not unusual for patients to travel 50 miles or more to
reach their doctors? offices or for doctors to refer patients to specialists
whose offices are 80, 100, even 200-plus miles away. The sheer distance is a
major obstacle for patients of all kinds: those who need urgent specialist care,
those who have a chronic condition that requires regular visits, those who live
in areas prone to poor weather-related driving conditions-really, anyone who has
better things to do than spend hours traveling to and from a medical appointment.
PMID- 27875114
TI - Technological Innovation Comes to Palliative Care: With a shortage of palliative
specialists, telemedicine and remote monitoring offer relief.
AB - At first, palliative care and technology might seem like strange bedfellows. At
its core, palliative care is a very human side of medicine, relying heavily on
talking with and listening to people to understand their experiences and goals.
Technology, on the other hand, can often feel impersonal, cold, and one-size
fitsall. Despite this apparent disconnect, researchers and clinicians are finding
new ways to harness technology to facilitate communication between patients and
caregivers.
PMID- 27875115
TI - Target Malaria Has a Killer in Its Sights: Eliminating the world?s deadliest
disease has been a priority for decades, and, thanks to innovative gene-drive
technology, Target Malaria is getting closer to achieving that goal.
AB - The mosquito is the deadliest animal in the world (Figure 1). It is the main
carrier of parasites that cause malaria, which is a bigger killer than any other
disease in history; in fact, some blame malaria for the deaths of half the humans
who have ever lived. Today, malaria continues to have a devastating effect on the
health of millions of people.
PMID- 27875116
TI - Toward a Comprehensive Cure: Digital information and communication technology is
helping to meet health care challenges in India.
AB - How would you provide effective and affordable health care in a country of more
than 1.25 billion where there are only 0.7 physicians for every 1,000 people [1]?
The Revised National Tuberculosis Control Program (RNTCP) and the Karnataka
Internet-Assisted Diagnosis of Retinopathy of Prematurity (KIDROP) service are
two notable efforts designed to deliver care across India, in both urban and
rural areas and from the country?s flat plains to its rugged mountainous and
desert regions.
PMID- 27875117
TI - Emotional Matters: Innovative software brings emotional intelligence to our
digital devices.
AB - In 1872, Charles Darwin published The Expression of the Emotions in Man and
Animals, in which he argued that mammals show emotion reliably in their faces.
Since then, thousands of studies have confirmed the robustness of Darwin's
argument in many fields, including linguistics, semiotics, social psychology, and
computer science. More interestingly, several studies, including those of
renowned psychologist Paul Ekman, demonstrated that basic emotions are, indeed,
universal. Affectiva, a Massachusetts Institute of Technology spinoff located in
Waltham, Massachusetts, builds a variety of products that harness the two main
characteristics of facial expressions-robustness and universality-to measure and
analyze emotional responses.
PMID- 27875118
TI - Women's Health Is Personal: More technologies by and for women are moving into
the mainstream--thanks, in part, to personalized medicine.
AB - One fall day in Boston, Ridhi Tariyal sat on an examination table in her primary
care doctor's office. Her doctor sat across from her, hurriedly transcribing
notes as Tariyal responded to the doctor's questions. It was the end of Tariyal's
physical, and the waiting room was full. "Do you have any questions?" the doctor
asked, not turning away from the computer screen.
PMID- 27875119
TI - Image-Guided Interventions: We've come a long way, but are we there?
AB - While the term "image-guided surgery" has gained popularity fairly recently, the
use of imaging for medical interventions dates as far back as the beginning of
the 20th century. Dr. George H. Gray of Lynn, Massachusetts, reported in his 1908
article "X-rays in Surgical Work," published in volume 2 of the Journal of
Therapeutics and Dietetics, that "the one great stride in the handling of
difficult cases was the accurate diagnosis made possible by the use of the X
rays." His story points to the day when a seamstress presented to his office with
a broken sewing needle embedded in her hand. Thanks to the use of the recently
discovered X-rays by Wilhelm Conrad Roentgen, the father of diagnostic radiology,
Gray was able not only to confirm that the needle was indeed embedded in her hand
but also to locate its parts, saving "an hour's hunting as some had previously
done and then often failed."
PMID- 27875120
TI - New Frontiers in Robotic Surgery: The latest high-tech surgical tools allow for
superhuman sensing and more.
AB - Over the past 30 years, robots have become standard fixtures in operating rooms.
During brain surgery, a NeuroMate robot may guide a neurosurgeon to a target
within the pulsing cortex. In orthopedics, a Mako robot sculpts and drills bone
during knee and hip replacement surgery. Dominating the general surgery field is
the da Vinci robot, a multiarmed device that allows surgeons to conduct precise
movements of tools through small incisions that they could not manage with their
own hands.
PMID- 27875121
TI - Nikola Tesla: Why was he so much resisted and forgotten? [Retrospectroscope].
AB - Recently, during the Christmas season, a friend of mine visited me and, sneaking
a look at my bookshelves, found two rather old Nikola Tesla biographies, which I
had used to prepare a "Retrospectroscope" column for the then-named IEEE
Engineering in Medicine and Biology Magazine when our dear friend Alvin Wald was
its editor-inchief [2]. Eighteen years have elapsed since then; soon, the idea
came up of revamping the article. Cynthia Weber, the magazine's current associate
editor, considered it acceptable, and here is the new note divided in two parts:
that is, a slightly revised version of the original article followed by new
material, including some quite interesting information regarding Tesla's homes
and laboratories. On top of this, Tesla is not devoid of a science fiction touch,
as mentioned at the end.
PMID- 27875122
TI - Inferring Individual-Level Variations in the Functional Parcellation of the
Cerebral Cortex.
AB - OBJECTIVE: Functional parcellation of the cerebral cortex is variable across
different subjects or between cognitive states. Ignoring individual-or state
dependent variations in the functional parcellation may lead to inaccurate
representations of individual functional connectivity, limiting the precision of
interpretations of differences in individual connectivity profiles. However, it
is difficult to infer the individual-level variations due to the relatively low
robustness of methods for parcellation of individual subjects. METHODS: We
propose a method called "joint K-means" to robustly parcellate the cerebral
cortex using functional magnetic resonance imaging (fMRI) data for contrasts
between two states or subjects that intended to characterize variance in
individual functional parcellations. The key idea of the proposed method is to
jointly infer parcellations in contrasted datasets by iterative descent, while
constraining the similarity of the two pathways in searches for local minima to
reduce spurious variations. RESULTS: Parcellations of resting-state fMRI datasets
from the Human Connectome Project show that the similarity of parcellations for
an individual subject studied on two sessions is greater than that between
different subjects. Differences in parcellations between subjects are
nonuniformly distributed across the cerebral cortex, with clusters of higher
variance in the prefrontal, lateral temporal, and occipito-parietal cortices.
This pattern is reproducible across sessions, between groups, and using different
numbers of parcels. CONCLUSION: The individual-level variations inferred by the
proposed method are plausible and consistent with the previously reported
functional connectivity variability. SIGNIFICANCE: The proposed method is a
promising tool for investigating relationships between the cerebral functional
organization and behavioral differences.
PMID- 27875123
TI - Synergetic and Redundant Information Flow Detected by Unnormalized Granger
Causality: Application to Resting State fMRI.
AB - OBJECTIVES: We develop a framework for the analysis of synergy and redundancy in
the pattern of information flow between subsystems of a complex network. METHODS:
The presence of redundancy and/or synergy in multivariate time series data
renders difficulty to estimate the neat flow of information from each driver
variable to a given target. We show that adopting an unnormalized definition of
Granger causality, one may put in evidence redundant multiplets of variables
influencing the target by maximizing the total Granger causality to a given
target, over all the possible partitions of the set of driving variables.
Consequently, we introduce a pairwise index of synergy which is zero when two
independent sources additively influence the future state of the system,
differently from previous definitions of synergy. RESULTS: We report the
application of the proposed approach to resting state functional magnetic
resonance imaging data from the Human Connectome Project showing that redundant
pairs of regions arise mainly due to space contiguity and interhemispheric
symmetry, while synergy occurs mainly between nonhomologous pairs of regions in
opposite hemispheres. CONCLUSIONS: Redundancy and synergy, in healthy resting
brains, display characteristic patterns, revealed by the proposed approach.
SIGNIFICANCE: The pairwise synergy index, here introduced, maps the informational
character of the system at hand into a weighted complex network: the same
approach can be applied to other complex systems whose normal state corresponds
to a balance between redundant and synergetic circuits.
PMID- 27875124
TI - Multimodal Source Imaging: Basic Methods, Signal Processing Techniques, and
Applications.
AB - Multimodal source imaging is an emerging field in biomedical engineering. Its
central goal is to combine different imaging modalities in a single model or data
representation, such that the combination provides an enhanced insight into the
underlying physiological organ, compared to each modality separately. It requires
advanced signal acquisition and processing techniques and has applications in
cognitive neuroscience, clinical neuroscience and electrocardiology. Therefore,
it belongs to the heart of biomedical engineering.
PMID- 27875125
TI - Time-Frequency Strategies for Increasing High-Frequency Oscillation Detectability
in Intracerebral EEG.
AB - BACKGROUND: High-frequency oscillations (HFOs) are considered to be highly
representative of brain tissues capable of producing epileptic seizures. The
visual review of HFOs on intracerebral electroencephalography is time consuming
and tedious, and it can be improved by time-frequency (TF) analysis. The main
issue is that the signal is dominated by lower frequencies that mask the HFOs.
Our aim was to flatten (i.e., whiten) the frequency spectrum to enhance the fast
oscillations while preserving an optimal signal to noise ratio (SNR). METHOD: We
investigated eight methods of data whitening based on either prewhitening or TF
normalization in order to improve the detectability of HFOs. We detected all
local maxima of the TF image above a range of thresholds in the HFO band.
RESULTS: We obtained the precision and recall curves at different SNR and for
different HFO types and illustrate the added value of whitening both in the TF
plane and in time domain. CONCLUSION: The normalization strategies based on a
baseline and on our proposed method (the "H 0 z-score") are more precise than the
others. SIGNIFICANCE: The H 0 z-score provides an optimal framework for
representing and detecting HFOs, independent of a baseline and a priori frequency
bands.
PMID- 27875126
TI - Epileptogenic Source Imaging Using Cross-Frequency Coupled Signals From Scalp
EEG.
AB - OBJECTIVE: The epileptogenic zone (EZ) is a brain region containing the sources
of seizure genesis. Removal of the EZ is associated with cessation of seizures
after resective surgical procedures, as measured by Engel Class I score. This
study describes a novel EEG (electroencephalography) source imaging (ESI) method
which uses cross-frequency coupled potential signals (SCFC) derived from scalp
EEG. METHODS: Scalp EEG were recorded from ten patients (20 seizures) suffering
from epilepsy. The S CFC were constructed from the phase and amplitude of the
lower and higher frequency rhythms at electrographic seizure onset. ESI was then
performed using the SCFC. Validation of the technique was facilitated by forward
and inverse computer modeling of known cortical sources, and the correspondence
of the ESI with EZ in resected regions of patients. RESULTS: For ten seizures
sampled at or above 500 Hz from four patients, all estimated sources lay within
the resected region, emphasizing the clinical importance of higher sampling
rates. The SCFC demonstrated significant advantages over the "raw" scalp EEG,
indicating its robust noise performance. Modeling investigations indicated that a
signal-to-noise ratio above 0.2 was sufficient to achieve successful localization
regarding EMG artifacts. CONCLUSION: The association of the estimated sources to
the EZ suggests that cross-frequency coupling is a feature of the brain's neural
networks, not of artifactual activity. The SCFC can effectively extract brain
signals from a noisy background. SIGNIFICANCE: We propose this approach to
enhance the placement of intracranial electrode for surgical intervention.
PMID- 27875127
TI - Focused Ultrasound Help Realize High Spatiotemporal Brain Imaging?-A Concept on
Acousto-Electrophysiological Neuroimaging.
AB - We present a concept for integrating focused ultrasound (FUS) with
electrophysiological neuroimaging, in order to achieve high spatiotemporal
resolution brain imaging. This approach, which we are tentatively calling acousto
electrophysiological neuroimaging, leverages on the spatial focality and
noninvasiveness of FUS and may potentially lead to a noninvasive human brain
imaging modality with high resolution in both space and time domains. By the use
of modulated FUS, spatial selectivity can be accomplished for high-resolution
electrophysiological neuroimaging. Frequency shifting in resulting magnetic
signals (using modulated FUS) may potentially open the door for a room
temperature magnetoencephalography device.
PMID- 27875128
TI - Toward a Robust Estimation of Respiratory Rate From Pulse Oximeters.
AB - GOAL: Current methods for estimating respiratory rate (RR) from the
photoplethysmogram (PPG) typically fail to distinguish between periods of high-
and low-quality input data, and fail to perform well on independent "validation"
datasets. The lack of robustness of existing methods directly results in a lack
of penetration of such systems into clinical practice. The present work proposes
an alternative method to improve the robustness of the estimation of RR from the
PPG. METHODS: The proposed algorithm is based on the use of multiple
autoregressive models of different orders for determining the dominant
respiratory frequency in the three respiratory-induced variations (frequency,
amplitude, and intensity) derived from the PPG. The algorithm was tested on two
different datasets comprising 95 eight-minute PPG recordings (in total) acquired
from both children and adults in different clinical settings, and its performance
using two window sizes (32 and 64 seconds) was compared with that of existing
methods in the literature. RESULTS: The proposed method achieved comparable
accuracy to existing methods in the literature, with mean absolute errors
(median, 25[Formula: see text]-75[Formula: see text] percentiles for a window
size of 32 seconds) of 1.5 (0.3-3.3) and 4.0 (1.8-5.5) breaths per minute (for
each dataset respectively), whilst providing RR estimates for a greater
proportion of windows (over 90% of the input data are kept). CONCLUSION:
Increased robustness of RR estimation by the proposed method was demonstrated.
SIGNIFICANCE: This work demonstrates that the use of large publicly available
datasets is essential for improving the robustness of wearable-monitoring
algorithms for use in clinical practice.
PMID- 27875132
TI - EMG-Driven Optimal Estimation of Subject-SPECIFIC Hill Model Muscle-Tendon
Parameters of the Knee Joint Actuators.
AB - OBJECTIVE: the purpose of this paper is to propose an optimal control problem
formulation to estimate subject-specific Hill model muscle-tendon (MT-)
parameters of the knee joint actuators by optimizing the fit between experimental
and model-based knee moments. Additionally, this paper aims at determining which
sets of functional motions contain the necessary information to identify the MT
parameters. METHODS: the optimal control and parameter estimation problem
underlying the MT-parameter estimation is solved for subject-specific MT
parameters via direct collocation using an electromyography-driven
musculoskeletal model. The sets of motions containing sufficient information to
identify the MT-parameters are determined by evaluating knee moments simulated
based on subject-specific MT-parameters against experimental moments. RESULTS:
the MT-parameter estimation problem was solved in about 30 CPU minutes. MT
parameters could be identified from only seven of the 62 investigated sets of
motions, underlining the importance of the experimental protocol. Using subject
specific MT-parameters instead of more common linearly scaled MT-parameters
improved the fit between inverse dynamics moments and simulated moments by about
30% in terms of the coefficient of determination (from [Formula: see text] to
[Formula: see text]) and by about 26% in terms of the root mean square error
(from [Formula: see text] to [Formula: see text] ). In particular, subject
specific MT-parameters of the knee flexors were very different from linearly
scaled MT-parameters. CONCLUSION: we introduced a computationally efficient
optimal control problem formulation and provided guidelines for designing an
experimental protocol to estimate subject-specific MT-parameters improving the
accuracy of motion simulations. SIGNIFICANCE: the proposed formulation opens new
perspectives for subject-specific musculoskeletal modeling, which might be
beneficial for simulating and understanding pathological motions.
PMID- 27875134
TI - Squares: Supporting Interactive Performance Analysis for Multiclass Classifiers.
AB - Performance analysis is critical in applied machine learning because it
influences the models practitioners produce. Current performance analysis tools
suffer from issues including obscuring important characteristics of model
behavior and dissociating performance from data. In this work, we present
Squares, a performance visualization for multiclass classification problems.
Squares supports estimating common performance metrics while displaying instance
level distribution information necessary for helping practitioners prioritize
efforts and access data. Our controlled study shows that practitioners can assess
performance significantly faster and more accurately with Squares than a
confusion matrix, a common performance analysis tool in machine learning.
PMID- 27875133
TI - Bipolar Intracardiac Electrogram Active Interval Extraction During Atrial
Fibrillation.
AB - OBJECTIVE: We introduce novel methods to identify the active intervals (AIs) of
intracardiac electrograms (IEGMs) during complex arrhythmias, such as atrial
fibrillation (AF). METHODS: We formulate the AI extraction problem, which
consists of estimating the beginning and duration of the AIs, as a sequence of
hypothesis tests. In each test, we compare the variance of a small portion of the
bipolar IEGM with its adjacent segments. We propose modified general-likelihood
ratio (MGLR) and separating-function-estimation tests; we derive five test
statistics (TSs), and show that the AIs can be obtained by threshold crossing the
TSs. We apply the proposed methods to the IEGM segments collected from the left
atrium of 16 patients (62.4 +/- 8.2-years old, four females, four paroxysmal, and
twelve persistent AF) prior to catheter ablation. The accuracy of our methods is
evaluated by comparing them with previously developed methods and manual
annotation (MA). RESULTS: Our results show a high level of similarity between the
AIs of the proposed methods and MA, e.g., the true and false positive rates of
one of the MGLR-based methods were, respectively, 97.8% and 1.4%. The mean
absolute error from estimation of the onset and end of AIs and also for the
estimation of the mean cycle length for that approach was 8.7 +/- 10.5, 13 +/-
15.5, and 4.2 +/- 9.4 ms, respectively. CONCLUSION: The proposed methods can
accurately identify onset and duration of AI of the IEGM during AF. SIGNIFICANCE:
The proposed methods can be used for real-time automated analysis of AF, the most
challenging complex arrhythmia.
PMID- 27875135
TI - An Analysis of Machine- and Human-Analytics in Classification.
AB - In this work, we present a study that traces the technical and cognitive
processes in two visual analytics applications to a common theoretic model of
soft knowledge that may be added into a visual analytics process for constructing
a decision-tree model. Both case studies involved the development of
classification models based on the "bag of features" approach. Both compared a
visual analytics approach using parallel coordinates with a machine-learning
approach using information theory. Both found that the visual analytics approach
had some advantages over the machine learning approach, especially when sparse
datasets were used as the ground truth. We examine various possible factors that
may have contributed to such advantages, and collect empirical evidence for
supporting the observation and reasoning of these factors. We propose an
information-theoretic model as a common theoretic basis to explain the phenomena
exhibited in these two case studies. Together we provide interconnected empirical
and theoretical evidence to support the usefulness of visual analytics.
PMID- 27875136
TI - Multi-Resolution Climate Ensemble Parameter Analysis with Nested Parallel
Coordinates Plots.
AB - Due to the uncertain nature of weather prediction, climate simulations are
usually performed multiple times with different spatial resolutions. The outputs
of simulations are multi-resolution spatial temporal ensembles. Each simulation
run uses a unique set of values for multiple convective parameters. Distinct
parameter settings from different simulation runs in different resolutions
constitute a multi-resolution high-dimensional parameter space. Understanding the
correlation between the different convective parameters, and establishing a
connection between the parameter settings and the ensemble outputs are crucial to
domain scientists. The multi-resolution high-dimensional parameter space,
however, presents a unique challenge to the existing correlation visualization
techniques. We present Nested Parallel Coordinates Plot (NPCP), a new type of
parallel coordinates plots that enables visualization of intra-resolution and
inter-resolution parameter correlations. With flexible user control, NPCP
integrates superimposition, juxtaposition and explicit encodings in a single view
for comparative data visualization and analysis. We develop an integrated visual
analytics system to help domain scientists understand the connection between
multi-resolution convective parameters and the large spatial temporal ensembles.
Our system presents intricate climate ensembles with a comprehensive overview and
on-demand geographic details. We demonstrate NPCP, along with the climate
ensemble visualization system, based on real-world use-cases from our
collaborators in computational and predictive science.
PMID- 27875137
TI - Visualizing the Hidden Activity of Artificial Neural Networks.
AB - In machine learning, pattern classification assigns high-dimensional vectors
(observations) to classes based on generalization from examples. Artificial
neural networks currently achieve state-of-the-art results in this task. Although
such networks are typically used as black-boxes, they are also widely believed to
learn (high-dimensional) higher-level representations of the original
observations. In this paper, we propose using dimensionality reduction for two
tasks: visualizing the relationships between learned representations of
observations, and visualizing the relationships between artificial neurons.
Through experiments conducted in three traditional image classification benchmark
datasets, we show how visualization can provide highly valuable feedback for
network designers. For instance, our discoveries in one of these datasets (SVHN)
include the presence of interpretable clusters of learned representations, and
the partitioning of artificial neurons into groups with apparently related
discriminative roles.
PMID- 27875138
TI - TopicLens: Efficient Multi-Level Visual Topic Exploration of Large-Scale Document
Collections.
AB - Topic modeling, which reveals underlying topics of a document corpus, has been
actively adopted in visual analytics for large-scale document collections.
However, due to its significant processing time and non-interactive nature, topic
modeling has so far not been tightly integrated into a visual analytics workflow.
Instead, most such systems are limited to utilizing a fixed, initial set of
topics. Motivated by this gap in the literature, we propose a novel interaction
technique called TopicLens that allows a user to dynamically explore data through
a lens interface where topic modeling and the corresponding 2D embedding are
efficiently computed on the fly. To support this interaction in real time while
maintaining view consistency, we propose a novel efficient topic modeling method
and a semi-supervised 2D embedding algorithm. Our work is based on improving
state-of-the-art methods such as nonnegative matrix factorization and t
distributed stochastic neighbor embedding. Furthermore, we have built a web-based
visual analytics system integrated with TopicLens. We use this system to measure
the performance and the visualization quality of our proposed methods. We provide
several scenarios showcasing the capability of TopicLens using real-world
datasets.
PMID- 27875139
TI - TextTile: An Interactive Visualization Tool for Seamless Exploratory Analysis of
Structured Data and Unstructured Text.
AB - We describe TextTile, a data visualization tool for investigation of datasets and
questions that require seamless and flexible analysis of structured data and
unstructured text. TextTile is based on real-world data analysis problems
gathered through our interaction with a number of domain experts and provides a
general purpose solution to such problems. The system integrates a set of
operations that can interchangeably be applied to the structured as well as to
unstructured text part of the data to generate useful data summaries. Such
summaries are then organized in visual tiles in a grid layout to allow their
analysis and comparison. We validate TextTile with task analysis, use cases and a
user study showing the system can be easily learned and proficiently used to
carry out nontrivial tasks.
PMID- 27875140
TI - VisMatchmaker: Cooperation of the User and the Computer in Centralized Matching
Adjustment.
AB - Centralized matching is a ubiquitous resource allocation problem. In a
centralized matching problem, each agent has a preference list ranking the other
agents and a central planner is responsible for matching the agents manually or
with an algorithm. While algorithms can find a matching which optimizes some
performance metrics, they are used as a black box and preclude the central
planner from applying his domain knowledge to find a matching which aligns better
with the user tasks. Furthermore, the existing matching visualization techniques
(i.e. bipartite graph and adjacency matrix) fail in helping the central planner
understand the differences between matchings. In this paper, we present
VisMatchmaker, a visualization system which allows the central planner to explore
alternatives to an algorithm-generated matching. We identified three common tasks
in the process of matching adjustment: problem detection, matching recommendation
and matching evaluation. We classified matching comparison into three levels and
designed visualization techniques for them, including the number line view and
the stacked graph view. Two types of algorithmic support, namely direct
assignment and range search, and their interactive operations are also provided
to enable the user to apply his domain knowledge in matching adjustment.
PMID- 27875141
TI - Visual Interaction with Dimensionality Reduction: A Structured Literature
Analysis.
AB - Dimensionality Reduction (DR) is a core building block in visualizing
multidimensional data. For DR techniques to be useful in exploratory data
analysis, they need to be adapted to human needs and domain-specific problems,
ideally, interactively, and on-the-fly. Many visual analytics systems have
already demonstrated the benefits of tightly integrating DR with interactive
visualizations. Nevertheless, a general, structured understanding of this
integration is missing. To address this, we systematically studied the visual
analytics and visualization literature to investigate how analysts interact with
automatic DR techniques. The results reveal seven common interaction scenarios
that are amenable to interactive control such as specifying algorithmic
constraints, selecting relevant features, or choosing among several DR
algorithms. We investigate specific implementations of visual analysis systems
integrating DR, and analyze ways that other machine learning methods have been
combined with DR. Summarizing the results in a "human in the loop" process model
provides a general lens for the evaluation of visual interactive DR systems. We
apply the proposed model to study and classify several systems previously
described in the literature, and to derive future research opportunities.
PMID- 27875142
TI - VisFlow - Web-based Visualization Framework for Tabular Data with a Subset Flow
Model.
AB - Data flow systems allow the user to design a flow diagram that specifies the
relations between system components which process, filter or visually present the
data. Visualization systems may benefit from user-defined data flows as an
analysis typically consists of rendering multiple plots on demand and performing
different types of interactive queries across coordinated views. In this paper,
we propose VisFlow, a web-based visualization framework for tabular data that
employs a specific type of data flow model called the subset flow model. VisFlow
focuses on interactive queries within the data flow, overcoming the limitation of
interactivity from past computational data flow systems. In particular, VisFlow
applies embedded visualizations and supports interactive selections, brushing and
linking within a visualization-oriented data flow. The model requires all data
transmitted by the flow to be a data item subset (i.e. groups of table rows) of
some original input table, so that rendering properties can be assigned to the
subset unambiguously for tracking and comparison. VisFlow features the analysis
flexibility of a flow diagram, and at the same time reduces the diagram
complexity and improves usability. We demonstrate the capability of VisFlow on
two case studies with domain experts on real-world datasets showing that VisFlow
is capable of accomplishing a considerable set of visualization and analysis
tasks. The VisFlow system is available as open source on GitHub.
PMID- 27875143
TI - Annotation Graphs: A Graph-Based Visualization for Meta-Analysis of Data Based on
User-Authored Annotations.
AB - User-authored annotations of data can support analysts in the activity of
hypothesis generation and sensemaking, where it is not only critical to document
key observations, but also to communicate insights between analysts. We present
annotation graphs, a dynamic graph visualization that enables meta-analysis of
data based on user-authored annotations. The annotation graph topology encodes
annotation semantics, which describe the content of and relations between data
selections, comments, and tags. We present a mixed-initiative approach to graph
layout that integrates an analyst's manual manipulations with an automatic method
based on similarity inferred from the annotation semantics. Various visual graph
layout styles reveal different perspectives on the annotation semantics.
Annotation graphs are implemented within C8, a system that supports authoring
annotations during exploratory analysis of a dataset. We apply principles of
Exploratory Sequential Data Analysis (ESDA) in designing C8, and further link
these to an existing task typology in the visualization literature. We develop
and evaluate the system through an iterative user-centered design process with
three experts, situated in the domain of analyzing HCI experiment data. The
results suggest that annotation graphs are effective as a method of visually
extending user-authored annotations to data meta-analysis for discovery and
organization of ideas.
PMID- 27875144
TI - What do Constraint Programming Users Want to See? Exploring the Role of
Visualisation in Profiling of Models and Search.
AB - Constraint programming allows difficult combinatorial problems to be modelled
declaratively and solved automatically. Advances in solver technologies over
recent years have allowed the successful use of constraint programming in many
application areas. However, when a particular solver's search for a solution
takes too long, the complexity of the constraint program execution hinders the
programmer's ability to profile that search and understand how it relates to
their model. Therefore, effective tools to support such profiling and allow users
of constraint programming technologies to refine their model or experiment with
different search parameters are essential. This paper details the first user
centred design process for visual profiling tools in this domain. We report on:
our insights and opportunities identified through an on-line questionnaire and a
creativity workshop with domain experts carried out to elicit requirements for
analytical and visual profiling techniques; our designs and functional prototypes
realising such techniques; and case studies demonstrating how these techniques
shed light on the behaviour of the solvers in practice.
PMID- 27875145
TI - ViDX: Visual Diagnostics of Assembly Line Performance in Smart Factories.
AB - Visual analytics plays a key role in the era of connected industry (or industry
4.0, industrial internet) as modern machines and assembly lines generate large
amounts of data and effective visual exploration techniques are needed for
troubleshooting, process optimization, and decision making. However, developing
effective visual analytics solutions for this application domain is a challenging
task due to the sheer volume and the complexity of the data collected in the
manufacturing processes. We report the design and implementation of a
comprehensive visual analytics system, ViDX. It supports both real-time tracking
of assembly line performance and historical data exploration to identify
inefficiencies, locate anomalies, and form hypotheses about their causes and
effects. The system is designed based on a set of requirements gathered through
discussions with the managers and operators from manufacturing sites. It features
interlinked views displaying data at different levels of detail. In particular,
we apply and extend the Marey's graph by introducing a time-aware outlier
preserving visual aggregation technique to support effective troubleshooting in
manufacturing processes. We also introduce two novel interaction techniques,
namely the quantiles brush and samples brush, for the users to interactively
steer the outlier detection algorithms. We evaluate the system with example use
cases and an in-depth user interview, both conducted together with the managers
and operators from manufacturing plants. The result demonstrates its
effectiveness and reports a successful pilot application of visual analytics for
manufacturing in smart factories.
PMID- 27875146
TI - Visual Analytics for Mobile Eye Tracking.
AB - The analysis of eye tracking data often requires the annotation of areas of
interest (AOIs) to derive semantic interpretations of human viewing behavior
during experiments. This annotation is typically the most time-consuming step of
the analysis process. Especially for data from wearable eye tracking glasses,
every independently recorded video has to be annotated individually and
corresponding AOIs between videos have to be identified. We provide a novel
visual analytics approach to ease this annotation process by image-based,
automatic clustering of eye tracking data integrated in an interactive labeling
and analysis system. The annotation and analysis are tightly coupled by multiple
linked views that allow for a direct interpretation of the labeled data in the
context of the recorded video stimuli. The components of our analytics
environment were developed with a user-centered design approach in close
cooperation with an eye tracking expert. We demonstrate our approach with eye
tracking data from a real experiment and compare it to an analysis of the data by
manual annotation of dynamic AOIs. Furthermore, we conducted an expert user study
with 6 external eye tracking researchers to collect feedback and identify
analysis strategies they used while working with our application.
PMID- 27875147
TI - GazeDx: Interactive Visual Analytics Framework for Comparative Gaze Analysis with
Volumetric Medical Images.
AB - We present an interactive visual analytics framework, GazeDx (abbr. of
GazeDiagnosis), for the comparative analysis of gaze data from multiple readers
examining volumetric images while integrating important contextual information
with the gaze data. Gaze pattern comparison is essential to understanding how
radiologists examine medical images, and to identifying factors influencing the
examination. Most prior work depended upon comparisons with manually juxtaposed
static images of gaze tracking results. Comparative gaze analysis with volumetric
images is more challenging due to the additional cognitive load on 3D perception.
A recent study proposed a visualization design based on direct volume rendering
(DVR) for visualizing gaze patterns in volumetric images; however, effective and
comprehensive gaze pattern comparison is still challenging due to a lack of
interactive visualization tools for comparative gaze analysis. We take the
challenge with GazeDx while integrating crucial contextual information such as
pupil size and windowing into the analysis process for more in-depth and
ecologically valid findings. Among the interactive visualization components in
GazeDx, a context-embedded interactive scatterplot is especially designed to help
users examine abstract gaze data in diverse contexts by embedding medical imaging
representations well known to radiologists in it. We present the results from two
case studies with two experienced radiologists, where they compared the gaze
patterns of 14 radiologists reading two patients' volumetric CT images.
PMID- 27875148
TI - Patterns and Sequences: Interactive Exploration of Clickstreams to Understand
Common Visitor Paths.
AB - Modern web clickstream data consists of long, high-dimensional sequences of
multivariate events, making it difficult to analyze. Following the overarching
principle that the visual interface should provide information about the dataset
at multiple levels of granularity and allow users to easily navigate across these
levels, we identify four levels of granularity in clickstream analysis: patterns,
segments, sequences and events. We present an analytic pipeline consisting of
three stages: pattern mining, pattern pruning and coordinated exploration between
patterns and sequences. Based on this approach, we discuss properties of maximal
sequential patterns, propose methods to reduce the number of patterns and
describe design considerations for visualizing the extracted sequential patterns
and the corresponding raw sequences. We demonstrate the viability of our approach
through an analysis scenario and discuss the strengths and limitations of the
methods based on user feedback.
PMID- 27875149
TI - Visualization by Demonstration: An Interaction Paradigm for Visual Data
Exploration.
AB - Although data visualization tools continue to improve, during the data
exploration process many of them require users to manually specify visualization
techniques, mappings, and parameters. In response, we present the Visualization
by Demonstration paradigm, a novel interaction method for visual data
exploration. A system which adopts this paradigm allows users to provide visual
demonstrations of incremental changes to the visual representation. The system
then recommends potential transformations (Visual Representation, Data Mapping,
Axes, and View Specification transformations) from the given demonstrations. The
user and the system continue to collaborate, incrementally producing more
demonstrations and refining the transformations, until the most effective
possible visualization is created. As a proof of concept, we present VisExemplar,
a mixed-initiative prototype that allows users to explore their data by
recommending appropriate transformations in response to the given demonstrations.
PMID- 27875150
TI - Vega-Lite: A Grammar of Interactive Graphics.
AB - We present Vega-Lite, a high-level grammar that enables rapid specification of
interactive data visualizations. Vega-Lite combines a traditional grammar of
graphics, providing visual encoding rules and a composition algebra for layered
and multi-view displays, with a novel grammar of interaction. Users specify
interactive semantics by composing selections. In Vega-Lite, a selection is an
abstraction that defines input event processing, points of interest, and a
predicate function for inclusion testing. Selections parameterize visual
encodings by serving as input data, defining scale extents, or by driving
conditional logic. The Vega-Lite compiler automatically synthesizes requisite
data flow and event handling logic, which users can override for further
customization. In contrast to existing reactive specifications, Vega-Lite
selections decompose an interaction design into concise, enumerable semantic
units. We evaluate Vega-Lite through a range of examples, demonstrating succinct
specification of both customized interaction methods and common techniques such
as panning, zooming, and linked selection.
PMID- 27875151
TI - HindSight: Encouraging Exploration through Direct Encoding of Personal
Interaction History.
AB - Physical and digital objects often leave markers of our use. Website links turn
purple after we visit them, for example, showing us information we have yet to
explore. These "footprints" of interaction offer substantial benefits in
information saturated environments - they enable us to easily revisit old
information, systematically explore new information, and quickly resume tasks
after interruption. While applying these design principles have been successful
in HCI contexts, direct encodings of personal interaction history have received
scarce attention in data visualization. One reason is that there is little
guidance for integrating history into visualizations where many visual channels
are already occupied by data. More importantly, there is not firm evidence that
making users aware of their interaction history results in benefits with regards
to exploration or insights. Following these observations, we propose HindSight -
an umbrella term for the design space of representing interaction history
directly in existing data visualizations. In this paper, we examine the value of
HindSight principles by augmenting existing visualizations with visual indicators
of user interaction history (e.g. How the Recession Shaped the Economy in 255
Charts, NYTimes). In controlled experiments of over 400 participants, we found
that HindSight designs generally encouraged people to visit more data and recall
different insights after interaction. The results of our experiments suggest that
simple additions to visualizations can make users aware of their interaction
history, and that these additions significantly impact users' exploration and
insights.
PMID- 27875152
TI - PowerSet: A Comprehensive Visualization of Set Intersections.
AB - When analyzing a large amount of data, analysts often define groups over data
elements that share certain properties. Using these groups as the unit of
analysis not only reduces the data volume, but also allows detecting various
patterns in the data. This involves analyzing intersection relations between
these groups, and how the element attributes vary between these intersections.
This kind of set-based analysis has various applications in a variety of domains,
due to the generic and powerful notion of sets. However, visualizing
intersections relations is challenging because their number grows exponentially
with the number of sets. We present a novel technique based on Treemaps to
provide a comprehensive overview of non-empty intersections in a set system in a
scalable way. It enables gaining insight about how elements are distributed
across these intersections as well as performing fine-grained analysis to explore
and compare their attributes both in overview and in detail. Interaction allows
querying and filtering these elements based on their set memberships. We
demonstrate how our technique supports various use cases in data exploration and
analysis by providing insights into set-based data, beyond the limits of state-of
the-art techniques.
PMID- 27875153
TI - Quantifying the Visual Impact of Classification Boundaries in Choropleth Maps.
AB - One critical visual task when using choropleth maps is to identify spatial
clusters in the data. If spatial units have the same color and are in the same
neighborhood, this region can be visually identified as a spatial cluster.
However, the choice of classification method used to create the choropleth map
determines the visual output. The critical map elements in the classification
scheme are those that lie near the classification boundary as those elements
could potentially belong to different classes with a slight adjustment of the
classification boundary. Thus, these elements have the most potential to impact
the visual features (i.e., spatial clusters) that occur in the choropleth map. We
present a methodology to enable analysts and designers to identify spatial
regions where the visual appearance may be the result of spurious data artifacts.
The proposed methodology automatically detects the critical boundary cases that
can impact the overall visual presentation of the choropleth map using a
classification metric of cluster stability. The map elements that belong to a
critical boundary case are then automatically assessed to quantify the visual
impact of classification edge effects. Our results demonstrate the impact of
boundary elements on the resulting visualization and suggest that special
attention should be given to these elements during map design.
PMID- 27875154
TI - Small Multiples with Gaps.
AB - Small multiples enable comparison by providing different views of a single data
set in a dense and aligned manner. A common frame defines each view, which varies
based upon values of a conditioning variable. An increasingly popular use of this
technique is to project two-dimensional locations into a gridded space (e.g. grid
maps), using the underlying distribution both as the conditioning variable and to
determine the grid layout. Using whitespace in this layout has the potential to
carry information, especially in a geographic context. Yet, the effects of doing
so on the spatial properties of the original units are not understood. We explore
the design space offered by such small multiples with gaps. We do so by
constructing a comprehensive suite of metrics that capture properties of the
layout used to arrange the small multiples for comparison (e.g. compactness and
alignment) and the preservation of the original data (e.g. distance, topology and
shape). We study these metrics in geographic data sets with varying properties
and numbers of gaps. We use simulated annealing to optimize for each metric and
measure the effects on the others. To explore these effects systematically, we
take a new approach, developing a system to visualize this design space using a
set of interactive matrices. We find that adding small amounts of whitespace to
small multiple arrays improves some of the characteristics of 2D layouts, such as
shape, distance and direction. This comes at the cost of other metrics, such as
the retention of topology. Effects vary according to the input maps, with degree
of variation in size of input regions found to be a factor. Optima exist for
particular metrics in many cases, but at different amounts of whitespace for
different maps. We suggest multiple metrics be used in optimized layouts, finding
topology to be a primary factor in existing manually-crafted solutions, followed
by a trade-off between shape and displacement. But the rich range of possible
optimized layouts leads us to challenge single-solution thinking; we suggest to
consider alternative optimized layouts for small multiples with gaps. Key to our
work is the systematic, quantified and visual approach to exploring design spaces
when facing a trade-off between many competing criteria-an approach likely to be
of value to the analysis of other design spaces.
PMID- 27875155
TI - Map LineUps: Effects of spatial structure on graphical inference.
AB - Fundamental to the effective use of visualization as an analytic and descriptive
tool is the assurance that presenting data visually provides the capability of
making inferences from what we see. This paper explores two related approaches to
quantifying the confidence we may have in making visual inferences from mapped
geospatial data. We adapt Wickham et al.'s 'Visual Line-up' method as a direct
analogy with Null Hypothesis Significance Testing (NHST) and propose a new
approach for generating more credible spatial null hypotheses. Rather than using
as a spatial null hypothesis the unrealistic assumption of complete spatial
randomness, we propose spatially autocorrelated simulations as alternative nulls.
We conduct a set of crowdsourced experiments (n=361) to determine the just
noticeable difference (JND) between pairs of choropleth maps of geographic units
controlling for spatial autocorrelation (Moran's I statistic) and geometric
configuration (variance in spatial unit area). Results indicate that people's
abilities to perceive differences in spatial autocorrelation vary with baseline
autocorrelation structure and the geometric configuration of geographic units.
These results allow us, for the first time, to construct a visual equivalent of
statistical power for geospatial data. Our JND results add to those provided in
recent years by Klippel et al. (2011), Harrison et al. (2014) and Kay & Heer
(2015) for correlation visualization. Importantly, they provide an empirical
basis for an improved construction of visual line-ups for maps and the
development of theory to inform geospatial tests of graphical inference.
PMID- 27875156
TI - Evaluation of Graph Sampling: A Visualization Perspective.
AB - Graph sampling is frequently used to address scalability issues when analyzing
large graphs. Many algorithms have been proposed to sample graphs, and the
performance of these algorithms has been quantified through metrics based on
graph structural properties preserved by the sampling: degree distribution,
clustering coefficient, and others. However, a perspective that is missing is the
impact of these sampling strategies on the resultant visualizations. In this
paper, we present the results of three user studies that investigate how sampling
strategies influence node-link visualizations of graphs. In particular, five
sampling strategies widely used in the graph mining literature are tested to
determine how well they preserve visual features in node-link diagrams. Our
results show that depending on the sampling strategy used different visual
features are preserved. These results provide a complimentary view to metric
evaluations conducted in the graph mining literature and provide an impetus to
conduct future visualization studies.
PMID- 27875157
TI - Many-to-Many Geographically-Embedded Flow Visualisation: An Evaluation.
AB - Showing flows of people and resources between multiple geographic locations is a
challenging visualisation problem. We conducted two quantitative user studies to
evaluate different visual representations for such dense many-to-many flows. In
our first study we compared a bundled node-link flow map representation and OD
Maps [37] with a new visualisation we call MapTrix. Like OD Maps, MapTrix
overcomes the clutter associated with a traditional flow map while providing
geographic embedding that is missing in standard OD matrix representations. We
found that OD Maps and MapTrix had similar performance while bundled node-link
flow map representations did not scale at all well. Our second study compared
participant performance with OD Maps and MapTrix on larger data sets. Again
performance was remarkably similar.
PMID- 27875158
TI - An Evaluation of Visual Search Support in Maps.
AB - Visual search can be time-consuming, especially if the scene contains a large
number of possibly relevant objects. An instance of this problem is present when
using geographic or schematic maps with many different elements representing
cities, streets, sights, and the like. Unless the map is well-known to the
reader, the full map or at least large parts of it must be scanned to find the
elements of interest. In this paper, we present a controlled eye-tracking study
(30 participants) to compare four variants of map annotation with labels: within
image annotations, grid reference annotation, directional annotation, and
miniature annotation. Within-image annotation places labels directly within the
map without any further search support. Grid reference annotation corresponds to
the traditional approach known from atlases. Directional annotation utilizes a
label in combination with an arrow pointing in the direction of the label within
the map. Miniature annotation shows a miniature grid to guide the reader to the
area of the map in which the label is located. The study results show that within
image annotation is outperformed by all other annotation approaches. Best task
completion times are achieved with miniature annotation. The analysis of eye
movement data reveals that participants applied significantly different visual
task solution strategies for the different visual annotations.
PMID- 27875159
TI - Evaluating the Impact of Binning 2D Scalar Fields.
AB - The expressiveness principle for visualization design asserts that a
visualization should encode all of the available data, and only the available
data, implying that continuous data types should be visualized with a continuous
encoding channel. And yet, in many domains binning continuous data is not only
pervasive, but it is accepted as standard practice. Prior work provides no clear
guidance for when encoding continuous data continuously is preferable to
employing binning techniques or how this choice affects data interpretation and
decision making. In this paper, we present a study aimed at better understanding
the conditions in which the expressiveness principle can or should be violated
for visualizing continuous data. We provided participants with visualizations
employing either continuous or binned greyscale encodings of geospatial elevation
data and compared participants' ability to complete a wide variety of tasks. For
various tasks, the results indicate significant differences in decision making,
confidence in responses, and task completion time between continuous and binned
encodings of the data. In general, participants with continuous encodings were
faster to complete many of the tasks, but never outperformed those with binned
encodings, while performance accuracy with binned encodings was superior to
continuous encodings in some tasks. These findings suggest that strict adherence
to the expressiveness principle is not always advisable. We discuss both the
implications and limitations of our results and outline various avenues for
potential work needed to further improve guidelines for using continuous versus
binned encodings for continuous data types.
PMID- 27875160
TI - Immersive Collaborative Analysis of Network Connectivity: CAVE-style or Head
Mounted Display?
AB - High-quality immersive display technologies are becoming mainstream with the
release of head-mounted displays (HMDs) such as the Oculus Rift. These devices
potentially represent an affordable alternative to the more traditional,
centralised CAVE-style immersive environments. One driver for the development of
CAVE-style immersive environments has been collaborative sense-making. Despite
this, there has been little research on the effectiveness of collaborative
visualisation in CAVE-style facilities, especially with respect to abstract data
visualisation tasks. Indeed, very few studies have focused on the use of these
displays to explore and analyse abstract data such as networks and there have
been no formal user studies investigating collaborative visualisation of abstract
data in immersive environments. In this paper we present the results of the first
such study. It explores the relative merits of HMD and CAVE-style immersive
environments for collaborative analysis of network connectivity, a common and
important task involving abstract data. We find significant differences between
the two conditions in task completion time and the physical movements of the
participants within the space: participants using the HMD were faster while the
CAVE2 condition introduced an asymmetry in movement between collaborators.
Otherwise, affordances for collaborative data analysis offered by the low-cost
HMD condition were not found to be different for accuracy and communication with
the CAVE2. These results are notable, given that the latest HMDs will soon be
accessible (in terms of cost and potentially ubiquity) to a massive audience.
PMID- 27875162
TI - Embedded Data Representations.
AB - We introduce embedded data representations, the use of visual and physical
representations of data that are deeply integrated with the physical spaces,
objects, and entities to which the data refers. Technologies like lightweight
wireless displays, mixed reality hardware, and autonomous vehicles are making it
increasingly easier to display data in-context. While researchers and artists
have already begun to create embedded data representations, the benefits, trade
offs, and even the language necessary to describe and compare these approaches
remain unexplored. In this paper, we formalize the notion of physical data
referents - the real-world entities and spaces to which data corresponds - and
examine the relationship between referents and the visual and physical
representations of their data. We differentiate situated representations, which
display data in proximity to data referents, and embedded representations, which
display data so that it spatially coincides with data referents. Drawing on
examples from visualization, ubiquitous computing, and art, we explore the role
of spatial indirection, scale, and interaction for embedded representations. We
also examine the tradeoffs between non-situated, situated, and embedded data
displays, including both visualizations and physicalizations. Based on our
observations, we identify a variety of design challenges for embedded data
representation, and suggest opportunities for future research and applications.
PMID- 27875161
TI - Investigating the Use of a Dynamic Physical Bar Chart for Data Exploration and
Presentation.
AB - Physical data representations, or data physicalizations, are a promising new
medium to represent and communicate data. Previous work mostly studied passive
physicalizations which require humans to perform all interactions manually.
Dynamic shape-changing displays address this limitation and facilitate data
exploration tasks such as sorting, navigating in data sets which exceed the fixed
size of a given physical display, or preparing "views" to communicate insights
about data. However, it is currently unclear how people approach and interact
with such data representations. We ran an exploratory study to investigate how
non-experts made use of a dynamic physical bar chart for an open-ended data
exploration and presentation task. We asked 16 participants to explore a data set
on European values and to prepare a short presentation of their insights using a
physical display. We analyze: (1) users' body movements to understand how they
approach and react to the physicalization, (2) their hand-gestures to understand
how they interact with physical data, (3) system interactions to understand which
subsets of the data they explored and which features they used in the process,
and (4) strategies used to explore the data and present observations. We discuss
the implications of our findings for the use of dynamic data physicalizations and
avenues for future work.
PMID- 27875163
TI - The Attraction Effect in Information Visualization.
AB - The attraction effect is a well-studied cognitive bias in decision making
research, where one's choice between two alternatives is influenced by the
presence of an irrelevant (dominated) third alternative. We examine whether this
cognitive bias, so far only tested with three alternatives and simple
presentation formats such as numerical tables, text and pictures, also appears in
visualizations. Since visualizations can be used to support decision making -
e.g., when choosing a house to buy or an employee to hire - a systematic bias
could have important implications. In a first crowdsource experiment, we indeed
partially replicated the attraction effect with three alternatives presented as a
numerical table, and observed similar effects when they were presented as a
scatterplot. In a second experiment, we investigated if the effect extends to
larger sets of alternatives, where the number of alternatives is too large for
numerical tables to be practical. Our findings indicate that the bias persists
for larger sets of alternatives presented as scatterplots. We discuss
implications for future research on how to further study and possibly alleviate
the attraction effect.
PMID- 27875164
TI - Iterating between Tools to Create and Edit Visualizations.
AB - A common workflow for visualization designers begins with a generative tool, like
D3 or Processing, to create the initial visualization; and proceeds to a drawing
tool, like Adobe Illustrator or Inkscape, for editing and cleaning.
Unfortunately, this is typically a one-way process: once a visualization is
exported from the generative tool into a drawing tool, it is difficult to make
further, data-driven changes. In this paper, we propose a bridge model to allow
designers to bring their work back from the drawing tool to re-edit in the
generative tool. Our key insight is to recast this iteration challenge as a merge
problem - similar to when two people are editing a document and changes between
them need to reconciled. We also present a specific instantiation of this model,
a tool called Hanpuku, which bridges between D3 scripts and Illustrator. We show
several examples of visualizations that are iteratively created using Hanpuku in
order to illustrate the flexibility of the approach. We further describe several
hypothetical tools that bridge between other visualization tools to emphasize the
generality of the model.
PMID- 27875165
TI - Data-Driven Guides: Supporting Expressive Design for Information Graphics.
AB - In recent years, there is a growing need for communicating complex data in an
accessible graphical form. Existing visualization creation tools support
automatic visual encoding, but lack flexibility for creating custom design; on
the other hand, freeform illustration tools require manual visual encoding,
making the design process time-consuming and error-prone. In this paper, we
present Data-Driven Guides (DDG), a technique for designing expressive
information graphics in a graphic design environment. Instead of being confined
by predefined templates or marks, designers can generate guides from data and use
the guides to draw, place and measure custom shapes. We provide guides to encode
data using three fundamental visual encoding channels: length, area, and
position. Users can combine more than one guide to construct complex visual
structures and map these structures to data. When underlying data is changed, we
use a deformation technique to transform custom shapes using the guides as the
backbone of the shapes. Our evaluation shows that data-driven guides allow users
to create expressive and more accurate custom data-driven graphics.
PMID- 27875166
TI - Authoring Data-Driven Videos with DataClips.
AB - Data videos, or short data-driven motion graphics, are an increasingly popular
medium for storytelling. However, creating data videos is difficult as it
involves pulling together a unique combination of skills. We introduce DataClips,
an authoring tool aimed at lowering the barriers to crafting data videos.
DataClips allows non-experts to assemble data-driven "clips" together to form
longer sequences. We constructed the library of data clips by analyzing the
composition of over 70 data videos produced by reputable sources such as The New
York Times and The Guardian. We demonstrate that DataClips can reproduce over 90%
of our data videos corpus. We also report on a qualitative study comparing the
authoring process and outcome achieved by (1) non-experts using DataClips, and
(2) experts using Adobe Illustrator and After Effects to create data-driven
clips. Results indicated that non-experts are able to learn and use DataClips
with a short training period. In the span of one hour, they were able to produce
more videos than experts using a professional editing tool, and their clips were
rated similarly by an independent audience.
PMID- 27875167
TI - Temporal Summary Images: An Approach to Narrative Visualization via Interactive
Annotation Generation and Placement.
AB - Visualization is a powerful technique for analysis and communication of complex,
multidimensional, and time-varying data. However, it can be difficult to manually
synthesize a coherent narrative in a chart or graph due to the quantity of
visualized attributes, a variety of salient features, and the awareness required
to interpret points of interest (POls). We present Temporal Summary Images (TSIs)
as an approach for both exploring this data and creating stories from it. As a
visualization, a TSI is composed of three common components: (1) a temporal
layout, (2) comic strip-style data snapshots, and (3) textual annotations. To
augment user analysis and exploration, we have developed a number of interactive
techniques that recommend relevant data features and design choices, including an
automatic annotations workflow. As the analysis and visual design processes
converge, the resultant image becomes appropriate for data storytelling. For
validation, we use a prototype implementation for TSIs to conduct two case
studies with large-scale, scientific simulation datasets.
PMID- 27875168
TI - Colorgorical: Creating discriminable and preferable color palettes for
information visualization.
AB - We present an evaluation of Colorgorical, a web-based tool for creating
discriminable and aesthetically preferable categorical color palettes.
Colorgorical uses iterative semi-random sampling to pick colors from CIELAB space
based on user-defined discriminability and preference importances. Colors are
selected by assigning each a weighted sum score that applies the user-defined
importances to Perceptual Distance, Name Difference, Name Uniqueness, and Pair
Preference scoring functions, which compare a potential sample to already-picked
palette colors. After, a color is added to the palette by randomly sampling from
the highest scoring palettes. Users can also specify hue ranges or build off
their own starting palettes. This procedure differs from previous approaches that
do not allow customization (e.g., pre-made ColorBrewer palettes) or do not
consider visualization design constraints (e.g., Adobe Color and ACE). In a
Palette Score Evaluation, we verified that each scoring function measured
different color information. Experiment 1 demonstrated that slider manipulation
generates palettes that are consistent with the expected balance of
discriminability and aesthetic preference for 3-, 5-, and 8-color palettes, and
also shows that the number of colors may change the effectiveness of pair-based
discriminability and preference scores. For instance, if the Pair Preference
slider were upweighted, users would judge the palettes as more preferable on
average. Experiment 2 compared Colorgorical palettes to benchmark palettes
(ColorBrewer, Microsoft, Tableau, Random). Colorgorical palettes are as
discriminable and are at least as preferable or more preferable than the
alternative palette sets. In sum, Colorgorical allows users to make customized
color palettes that are, on average, as effective as current industry standards
by balancing the importance of discriminability and aesthetic preference.
PMID- 27875170
TI - Towards Unambiguous Edge Bundling: Investigating Confluent Drawings for Network
Visualization.
AB - In this paper, we investigate Confluent Drawings (CD), a technique for bundling
edges in node-link diagrams based on network connectivity. Edge-bundling
techniques are designed to reduce edge clutter in node-link diagrams by
coalescing lines into common paths or bundles. Unfortunately, traditional
bundling techniques introduce ambiguity since edges are only bundled by spatial
proximity, rather than network connectivity; following an edge from its source to
its target can lead to the perception of incorrect connectivity if edges are not
clearly separated within the bundles. Contrary, CDs bundle edges based on common
sources or targets. Thus, a smooth path along a confluent bundle indicates
precise connectivity. While CDs have been described in theory, practical
investigation and application to real-world networks (i.e., networks beyond those
with certain planarity restrictions) is currently lacking. Here, we provide the
first algorithm for constructing CDs from arbitrary directed and undirected
networks and present a simple layout method, embedded in a sand box environment
providing techniques for interactive exploration. We then investigate patterns
and artifacts in CDs, which we compare to other common edge-bundling techniques.
Finally, we present the first user study that compares edge-compression
techniques, including CD, power graphs, metro-style, and common edge bundling. We
found that users without particular expertise in visualization or network
analysis are able to read small CDs without difficulty. Compared to existing
bundling techniques, CDs are more likely to allow people to correctly perceive
connectivity.
PMID- 27875169
TI - Probabilistic Graph Layout for Uncertain Network Visualization.
AB - We present a novel uncertain network visualization technique based on node-link
diagrams. Nodes expand spatially in our probabilistic graph layout, depending on
the underlying probability distributions of edges. The visualization is created
by computing a two-dimensional graph embedding that combines samples from the
probabilistic graph. A Monte Carlo process is used to decompose a probabilistic
graph into its possible instances and to continue with our graph layout
technique. Splatting and edge bundling are used to visualize point clouds and
network topology. The results provide insights into probability distributions for
the entire network-not only for individual nodes and edges. We validate our
approach using three data sets that represent a wide range of network types:
synthetic data, protein-protein interactions from the STRING database, and travel
times extracted from Google Maps. Our approach reveals general limitations of the
force-directed layout and allows the user to recognize that some nodes of the
graph are at a specific position just by chance.
PMID- 27875171
TI - VLAT: Development of a Visualization Literacy Assessment Test.
AB - The Information Visualization community has begun to pay attention to
visualization literacy; however, researchers still lack instruments for measuring
the visualization literacy of users. In order to address this gap, we
systematically developed a visualization literacy assessment test (VLAT),
especially for non-expert users in data visualization, by following the
established procedure of test development in Psychological and Educational
Measurement: (1) Test Blueprint Construction, (2) Test Item Generation, (3)
Content Validity Evaluation, (4) Test Tryout and Item Analysis, (5) Test Item
Selection, and (6) Reliability Evaluation. The VLAT consists of 12 data
visualizations and 53 multiple-choice test items that cover eight data
visualization tasks. The test items in the VLAT were evaluated with respect to
their essentialness by five domain experts in Information Visualization and
Visual Analytics (average content validity ratio = 0.66). The VLAT was also tried
out on a sample of 191 test takers and showed high reliability (reliability
coefficient omega = 0.76). In addition, we demonstrated the relationship between
users' visualization literacy and aptitude for learning an unfamiliar
visualization and showed that they had a fairly high positive relationship
(correlation coefficient = 0.64). Finally, we discuss evidence for the validity
of the VLAT and potential research areas that are related to the instrument.
PMID- 27875173
TI - Exploring the Possibilities of Embedding Heterogeneous Data Attributes in
Familiar Visualizations.
AB - Heterogeneous multi-dimensional data are now sufficiently common that they can be
referred to as ubiquitous. The most frequent approach to visualizing these data
has been to propose new visualizations for representing these data. These new
solutions are often inventive but tend to be unfamiliar. We take a different
approach. We explore the possibility of extending well-known and familiar
visualizations through including Heterogeneous Embedded Data Attributes (HEDA) in
order to make familiar visualizations more powerful. We demonstrate how HEDA is a
generic, interactive visualization component that can extend common visualization
techniques while respecting the structure of the familiar layout. HEDA is a
tabular visualization building block that enables individuals to visually
observe, explore, and query their familiar visualizations through manipulation of
embedded multivariate data. We describe the design space of HEDA by exploring its
application to familiar visualizations in the D3 gallery. We characterize these
familiar visualizations by the extent to which HEDA can facilitate data queries
based on attribute reordering.
PMID- 27875172
TI - booc.io: An Education System with Hierarchical Concept Maps and Dynamic Non
linear Learning Plans.
AB - Information hierarchies are difficult to express when real-world space or time
constraints force traversing the hierarchy in linear presentations, such as in
educational books and classroom courses. We present booc.io, which allows linear
and non-linear presentation and navigation of educational concepts and material.
To support a breadth of material for each concept, booc.io is Web based, which
allows adding material such as lecture slides, book chapters, videos, and LTIs. A
visual interface assists the creation of the needed hierarchical structures. The
goals of our system were formed in expert interviews, and we explain how our
design meets these goals. We adapt a real-world course into booc.io, and perform
introductory qualitative evaluation with students.
PMID- 27875174
TI - Screenit: Visual Analysis of Cellular Screens.
AB - High-throughput and high-content screening enables large scale, cost-effective
experiments in which cell cultures are exposed to a wide spectrum of drugs. The
resulting multivariate data sets have a large but shallow hierarchical structure.
The deepest level of this structure describes cells in terms of numeric features
that are derived from image data. The subsequent level describes enveloping cell
cultures in terms of imposed experiment conditions (exposure to drugs). We
present Screenit, a visual analysis approach designed in close collaboration with
screening experts. Screenit enables the navigation and analysis of multivariate
data at multiple hierarchy levels and at multiple levels of detail. Screenit
integrates the interactive modeling of cell physical states (phenotypes) and the
effects of drugs on cell cultures (hits). In addition, quality control is enabled
via the detection of anomalies that indicate low-quality data, while providing an
interface that is designed to match workflows of screening experts. We
demonstrate analyses for a real-world data set, CellMorph, with 6 million cells
across 20,000 cell cultures.
PMID- 27875175
TI - PROACT: Iterative Design of a Patient-Centered Visualization for Effective
Prostate Cancer Health Risk Communication.
AB - Prostate cancer is the most common cancer among men in the US, and yet most cases
represent localized cancer for which the optimal treatment is unclear.
Accumulating evidence suggests that the available treatment options, including
surgery and conservative treatment, result in a similar prognosis for most men
with localized prostate cancer. However, approximately 90% of patients choose
surgery over conservative treatment, despite the risk of severe side effects like
erectile dysfunction and incontinence. Recent medical research suggests that a
key reason is the lack of patient-centered tools that can effectively communicate
personalized risk information and enable them to make better health decisions. In
this paper, we report the iterative design process and results of developing the
PROgnosis Assessment for Conservative Treatment (PROACT) tool, a personalized
health risk communication tool for localized prostate cancer patients. PROACT
utilizes two published clinical prediction models to communicate the patients'
personalized risk estimates and compare treatment options. In collaboration with
the Maine Medical Center, we conducted two rounds of evaluations with prostate
cancer survivors and urologists to identify the design elements and narrative
structure that effectively facilitate patient comprehension under emotional
distress. Our results indicate that visualization can be an effective means to
communicate complex risk information to patients with low numeracy and visual
literacy. However, the visualizations need to be carefully chosen to balance
readability with ease of comprehension. In addition, due to patients' charged
emotional state, an intuitive narrative structure that considers the patients'
information need is critical to aid the patients' comprehension of their risk
information.
PMID- 27875176
TI - WeightLifter: Visual Weight Space Exploration for Multi-Criteria Decision Making.
AB - A common strategy in Multi-Criteria Decision Making (MCDM) is to rank alternative
solutions by weighted summary scores. Weights, however, are often abstract to the
decision maker and can only be set by vague intuition. While previous work
supports a point-wise exploration of weight spaces, we argue that MCDM can
benefit from a regional and global visual analysis of weight spaces. Our main
contribution is WeightLifter, a novel interactive visualization technique for
weight-based MCDM that facilitates the exploration of weight spaces with up to
ten criteria. Our technique enables users to better understand the sensitivity of
a decision to changes of weights, to efficiently localize weight regions where a
given solution ranks high, and to filter out solutions which do not rank high
enough for any plausible combination of weights. We provide a comprehensive
requirement analysis for weight-based MCDM and describe an interactive workflow
that meets these requirements. For evaluation, we describe a usage scenario of
WeightLifter in automotive engineering and report qualitative feedback from users
of a deployed version as well as preliminary feedback from decision makers in
multiple domains. This feedback confirms that WeightLifter increases both the
efficiency of weight-based MCDM and the awareness of uncertainty in the ultimate
decisions.
PMID- 27875177
TI - Visualizing Social Media Content with SentenTree.
AB - We introduce SentenTree, a novel technique for visualizing the content of
unstructured social media text. SentenTree displays frequent sentence patterns
abstracted from a corpus of social media posts. The technique employs design
ideas from word clouds and the Word Tree, but overcomes a number of limitations
of both those visualizations. SentenTree displays a node-link diagram where nodes
are words and links indicate word co-occurrence within the same sentence. The
spatial arrangement of nodes gives cues to the syntactic ordering of words while
the size of nodes gives cues to their frequency of occurrence. SentenTree can
help people gain a rapid understanding of key concepts and opinions in a large
social media text collection. It is implemented as a lightweight application that
runs in the browser.
PMID- 27875178
TI - Optimizing Hierarchical Visualizations with the Minimum Description Length
Principle.
AB - In this paper we examine how the Minimum Description Length (MDL) principle can
be used to efficiently select aggregated views of hierarchical datasets that
feature a good balance between clutter and information. We present MDL formulae
for generating uneven tree cuts tailored to treemap and sunburst diagrams, taking
into account the available display space and information content of the data. We
present the results of a proof-of-concept implementation. In addition, we
demonstrate how such tree cuts can be used to enhance drill-down interaction in
hierarchical visualizations by implementing our approach in an existing
visualization tool. Validation is done with the feature congestion measure of
clutter in views of a subset of the current DMOZ web directory, which contains
nearly half million categories. The results show that MDL views achieve near
constant clutter level across display resolutions. We also present the results of
a crowdsourced user study where participants were asked to find targets in views
of DMOZ generated by our approach and a set of baseline aggregation methods. The
results suggest that, in some conditions, participants are able to locate targets
(in particular, outliers) faster using the proposed approach.
PMID- 27875180
TI - Surprise! Bayesian Weighting for De-Biasing Thematic Maps.
AB - Thematic maps are commonly used for visualizing the density of events in spatial
data. However, these maps can mislead by giving visual prominence to known base
rates (such as population densities) or to artifacts of sample size and
normalization (such as outliers arising from smaller, and thus more variable,
samples). In this work, we adapt Bayesian surprise to generate maps that counter
these biases. Bayesian surprise, which has shown promise for modeling human
visual attention, weights information with respect to how it updates beliefs over
a space of models. We introduce Surprise Maps, a visualization technique that
weights event data relative to a set of spatia-temporal models. Unexpected events
(those that induce large changes in belief over the model space) are visualized
more prominently than those that follow expected patterns. Using both synthetic
and real-world datasets, we demonstrate how Surprise Maps overcome some
limitations of traditional event maps.
PMID- 27875179
TI - Visplause: Visual Data Quality Assessment of Many Time Series Using Plausibility
Checks.
AB - Trends like decentralized energy production lead to an exploding number of time
series from sensors and other sources that need to be assessed regarding their
data quality (DQ). While the identification of DQ problems for such routinely
collected data is typically based on existing automated plausibility checks, an
efficient inspection and validation of check results for hundreds or thousands of
time series is challenging. The main contribution of this paper is the validated
design of Visplause, a system to support an efficient inspection of DQ problems
for many time series. The key idea of Visplause is to utilize meta-information
concerning the semantics of both the time series and the plausibility checks for
structuring and summarizing results of DQ checks in a flexible way. Linked views
enable users to inspect anomalies in detail and to generate hypotheses about
possible causes. The design of Visplause was guided by goals derived from a
comprehensive task analysis with domain experts in the energy sector. We reflect
on the design process by discussing design decisions at four stages and we
identify lessons learned. We also report feedback from domain experts after using
Visplause for a period of one month. This feedback suggests significant
efficiency gains for DQ assessment, increased confidence in the DQ, and the
applicability of Visplause to summarize indicators also outside the context of
DQ.
PMID- 27875181
TI - Multi-Granular Trend Detection for Time-Series Analysis.
AB - Time series (such as stock prices) and ensembles (such as model runs for weather
forecasts) are two important types of one-dimensional time-varying data. Such
data is readily available in large quantities but visual analysis of the raw data
quickly becomes infeasible, even for moderately sized data sets. Trend detection
is an effective way to simplify time-varying data and to summarize salient
information for visual display and interactive analysis. We propose a geometric
model for trend-detection in one-dimensional time-varying data, inspired by
topological grouping structures for moving objects in two- or higher-dimensional
space. Our model gives provable guarantees on the trends detected and uses three
natural parameters: granularity, support-size, and duration. These parameters can
be changed on-demand. Our system also supports a variety of selection brushes and
a time-sweep to facilitate refined searches and interactive visualization of (sub
)trends. We explore different visual styles and interactions through which
trends, their persistence, and evolution can be explored.
PMID- 27875182
TI - Hashedcubes: Simple, Low Memory, Real-Time Visual Exploration of Big Data.
AB - We propose Hashedcubes, a data structure that enables real-time visual
exploration of large datasets that improves the state of the art by virtue of its
low memory requirements, low query latencies, and implementation simplicity. In
some instances, Hashedcubes notably requires two orders of magnitude less space
than recent data cube visualization proposals. In this paper, we describe the
algorithms to build and query Hashedcubes, and how it can drive well-known
interactive visualizations such as binned scatterplots, linked histograms and
heatmaps. We report memory usage, build time and query latencies for a variety of
synthetic and real-world datasets, and find that although sometimes Hashedcubes
offers slightly slower querying times to the state of the art, the typical query
is answered fast enough to easily sustain a interaction. In datasets with
hundreds of millions of elements, only about 2% of the queries take longer than
40ms. Finally, we discuss the limitations of data structure, potential spacetime
tradeoffs, and future research directions.
PMID- 27875183
TI - Gaussian Cubes: Real-Time Modeling for Visual Exploration of Large
Multidimensional Datasets.
AB - Recently proposed techniques have finally made it possible for analysts to
interactively explore very large datasets in real time. However powerful, the
class of analyses these systems enable is somewhat limited: specifically, one can
only quickly obtain plots such as histograms and heatmaps. In this paper, we
contribute Gaussian Cubes, which significantly improves on state-of-the-art
systems by providing interactive modeling capabilities, which include but are not
limited to linear least squares and principal components analysis (PCA). The
fundamental insight in Gaussian Cubes is that instead of precomputing counts of
many data subsets (as state-of-the-art systems do), Gaussian Cubes precomputes
the best multivariate Gaussian for the respective data subsets. As an example,
Gaussian Cubes can fit hundreds of models over millions of data points in well
under a second, enabling novel types of visual exploration of such large
datasets. We present three case studies that highlight the visualization and
analysis capabilities in Gaussian Cubes, using earthquake safety simulations,
astronomical catalogs, and transportation statistics. The dataset sizes range
around one hundred million elements and 5 to 10 dimensions. We present extensive
performance results, a discussion of the limitations in Gaussian Cubes, and
future research directions.
PMID- 27875184
TI - cite2vec: Citation-Driven Document Exploration via Word Embeddings.
AB - Effectively exploring and browsing document collections is a fundamental problem
in visualization. Traditionally, document visualization is based on a data model
that represents each document as the set of its comprised words, effectively
characterizing what the document is. In this paper we take an alternative
perspective: motivated by the manner in which users search documents in the
research process, we aim to visualize documents via their usage, or how documents
tend to be used. We present a new visualization scheme - cite2vec - that allows
the user to dynamically explore and browse documents via how other documents use
them, information that we capture through citation contexts in a document
collection. Starting from a usage-oriented word-document 2D projection, the user
can dynamically steer document projections by prescribing semantic concepts, both
in the form of phrase/document compositions and document:phrase analogies,
enabling the exploration and comparison of documents by their use. The user
interactions are enabled by a joint representation of words and documents in a
common high-dimensional embedding space where user-specified concepts correspond
to linear operations of word and document vectors. Our case studies, centered
around a large document corpus of computer vision research papers, highlight the
potential for usage-based document visualization.
PMID- 27875185
TI - Molecular Surface Maps.
AB - We present Molecular Surface Maps, a novel, view-independent, and concise
representation for molecular surfaces. It transfers the well-known world map
metaphor to molecular visualization. Our application maps the complex molecular
surface to a simple 2D representation through a spherical intermediate, the
Molecular Surface Globe. The Molecular Surface Map concisely shows arbitrary
attributes of the original molecular surface, such as biochemical properties or
geometrical features. This results in an intuitive overview, which allows
researchers to assess all molecular surface attributes at a glance. Our
representation can be used as a visual summarization of a molecule's interface
with its environment. In particular, Molecular Surface Maps simplify the analysis
and comparison of different data sets or points in time. Furthermore, the map
representation can be used in a Space-time Cube to analyze time-dependent data
from molecular simulations without the need for animation. We show the
feasibility of Molecular Surface Maps for different typical analysis tasks of
biomolecular data.
PMID- 27875186
TI - Visualizing Shape Deformations with Variation of Geometric Spectrum.
AB - This paper presents a novel approach based on spectral geometry to quantify and
visualize non-isometric deformations of 3D surfaces by mapping two manifolds. The
proposed method can determine multi-scale, non-isometric deformations through the
variation of Laplace-Beltrami spectrum of two shapes. Given two triangle meshes,
the spectra can be varied from one to another with a scale function defined on
each vertex. The variation is expressed as a linear interpolation of eigenvalues
of the two shapes. In each iteration step, a quadratic programming problem is
constructed, based on our derived spectrum variation theorem and smoothness
energy constraint, to compute the spectrum variation. The derivation of the scale
function is the solution of such a problem. Therefore, the final scale function
can be solved by integral of the derivation from each step, which, in turn,
quantitatively describes non-isometric deformations between two shapes. To
evaluate the method, we conduct extensive experiments on synthetic and real data.
We employ real epilepsy patient imaging data to quantify the shape variation
between the left and right hippocampi in epileptic brains. In addition, we use
longitudinal Alzheimer data to compare the shape deformation of diseased and
healthy hippocampus. In order to show the accuracy and effectiveness of the
proposed method, we also compare it with spatial registration-based methods,
e.g., non-rigid Iterative Closest Point (ICP) and voxel-based method. These
experiments demonstrate the advantages of our method.
PMID- 27875187
TI - Physics-Based Visual Characterization of Molecular Interaction Forces.
AB - Molecular simulations are used in many areas of biotechnology, such as drug
design and enzyme engineering. Despite the development of automatic computational
protocols, analysis of molecular interactions is still a major aspect where human
comprehension and intuition are key to accelerate, analyze, and propose
modifications to the molecule of interest. Most visualization algorithms help the
users by providing an accurate depiction of the spatial arrangement: the atoms
involved in inter-molecular contacts. There are few tools that provide visual
information on the forces governing molecular docking. However, these tools,
commonly restricted to close interaction between atoms, do not consider whole
simulation paths, long-range distances and, importantly, do not provide visual
cues for a quick and intuitive comprehension of the energy functions (modeling
intermolecular interactions) involved. In this paper, we propose visualizations
designed to enable the characterization of interaction forces by taking into
account several relevant variables such as molecule-ligand distance and the
energy function, which is essential to understand binding affinities. We put
emphasis on mapping molecular docking paths obtained from Molecular Dynamics or
Monte Carlo simulations, and provide time-dependent visualizations for different
energy components and particle resolutions: atoms, groups or residues. The
presented visualizations have the potential to support domain experts in a more
efficient drug or enzyme design process.
PMID- 27875188
TI - PelVis: Atlas-based Surgical Planning for Oncological Pelvic Surgery.
AB - Due to the intricate relationship between the pelvic organs and vital structures,
such as vessels and nerves, pelvic anatomy is often considered to be complex to
comprehend. In oncological pelvic surgery, a trade-off has to be made between
complete tumor resection and preserving function by preventing damage to the
nerves. Damage to the autonomic nerves causes undesirable post-operative side
effects such as fecal and urinal incontinence, as well as sexual dysfunction in
up to 80 percent of the cases. Since these autonomic nerves are not visible in
pre-operative MRI scans or during surgery, avoiding nerve damage during such a
surgical procedure becomes challenging. In this work, we present visualization
methods to represent context, target, and risk structures for surgical planning.
We employ distance-based and occlusion management techniques in an atlas-based
surgical planning tool for oncological pelvic surgery. Patient-specific pre
operative MRI scans are registered to an atlas model that includes nerve
information. Through several interactive linked views, the spatial relationships
and distances between the organs, tumor and risk zones are visualized to improve
understanding, while avoiding occlusion. In this way, the surgeon can examine
surgically relevant structures and plan the procedure before going into the
operating theater, thus raising awareness of the autonomic nerve zone regions and
potentially reducing post-operative complications. Furthermore, we present the
results of a domain expert evaluation with surgical oncologists that demonstrates
the advantages of our approach.
PMID- 27875189
TI - Corresponding Supine and Prone Colon Visualization Using Eigenfunction Analysis
and Fold Modeling.
AB - We present a method for registration and visualization of corresponding supine
and prone virtual colonoscopy scans based on eigenfunction analysis and fold
modeling. In virtual colonoscopy, CT scans are acquired with the patient in two
positions, and their registration is desirable so that physicians can corroborate
findings between scans. Our algorithm performs this registration efficiently
through the use of Fiedler vector representation (the second eigenfunction of the
Laplace-Beltrami operator). This representation is employed to first perform
global registration of the two colon positions. The registration is then locally
refined using the haustral folds, which are automatically segmented using the 3D
level sets of the Fiedler vector. The use of Fiedler vectors and the segmented
folds presents a precise way of visualizing corresponding regions across datasets
and visual modalities. We present multiple methods of visualizing the results,
including 2D flattened rendering and the corresponding 3D endoluminal views. The
precise fold modeling is used to automatically find a suitable cut for the 2D
flattening, which provides a less distorted visualization. Our approach is
robust, and we demonstrate its efficiency and efficacy by showing matched views
on both the 2D flattened colons and in the 3D endoluminal view. We analytically
evaluate the results by measuring the distance between features on the registered
colons, and we also assess our fold segmentation against 20 manually labeled
datasets. We have compared our results analytically to previous methods, and have
found our method to achieve superior results. We also prove the hot spots
conjecture for modeling cylindrical topology using Fiedler vector representation,
which allows our approach to be used for general cylindrical geometry modeling
and feature extraction.
PMID- 27875191
TI - Visualization as Seen through its Research Paper Keywords.
AB - We present the results of a comprehensive multi-pass analysis of visualization
paper keywords supplied by authors for their papers published in the IEEE
Visualization conference series (now called IEEE VIS) between 1990-2015. From
this analysis we derived a set of visualization topics that we discuss in the
context of the current taxonomy that is used to categorize papers and assign
reviewers in the IEEE VIS reviewing process. We point out missing and
overemphasized topics in the current taxonomy and start a discussion on the
importance of establishing common visualization terminology. Our analysis of
research topics in visualization can, thus, serve as a starting point to (a) help
create a common vocabulary to improve communication among different visualization
sub-groups, (b) facilitate the process of understanding differences and
commonalities of the various research sub-fields in visualization, (c) provide an
understanding of emerging new research trends, (d) facilitate the crucial step of
finding the right reviewers for research submissions, and (e) it can eventually
lead to a comprehensive taxonomy of visualization research. One additional
tangible outcome of our work is an online query tool (http://keyvis.org/) that
allows visualization researchers to easily browse the 3952 keywords used for IEEE
VIS papers since 1990 to find related work or make informed keyword choices.
PMID- 27875190
TI - Combined Visualization of Vessel Deformation and Hemodynamics in Cerebral
Aneurysms.
AB - We present the first visualization tool that combines patient-specific
hemodynamics with information about the vessel wall deformation and wall
thickness in cerebral aneurysms. Such aneurysms bear the risk of rupture, whereas
their treatment also carries considerable risks for the patient. For the patient
specific rupture risk evaluation and treatment analysis, both morphological and
hemodynamic data have to be investigated. Medical researchers emphasize the
importance of analyzing correlations between wall properties such as the wall
deformation and thickness, and hemodynamic attributes like the Wall Shear Stress
and near-wall flow. Our method uses a linked 2.5D and 3D depiction of the
aneurysm together with blood flow information that enables the simultaneous
exploration of wall characteristics and hemodynamic attributes during the cardiac
cycle. We thus offer medical researchers an effective visual exploration tool for
aneurysm treatment risk assessment. The 2.5D view serves as an overview that
comprises a projection of the vessel surface to a 2D map, providing an occlusion
free surface visualization combined with a glyph-based depiction of the local
wall thickness. The 3D view represents the focus upon which the data exploration
takes place. To support the time-dependent parameter exploration and expert
collaboration, a camera path is calculated automatically, where the user can
place landmarks for further exploration of the properties. We developed a GPU
based implementation of our visualizations with a flexible interactive data
exploration mechanism. We designed our techniques in collaboration with domain
experts, and provide details about the evaluation.
PMID- 27875192
TI - Comparing Cross-Sections and 3D Renderings for Surface Matching Tasks Using
Physical Ground Truths.
AB - Within the visualization community there are some well-known techniques for
visualizing 3D spatial data and some general assumptions about how perception
affects the performance of these techniques in practice. However, there is a lack
of empirical research backing up the possible performance differences among the
basic techniques for general tasks. One such assumption is that 3D renderings are
better for obtaining an overview, whereas cross sectional visualizations such as
the commonly used Multi-Planar Reformation (MPR) are better for supporting
detailed analysis tasks. In the present study we investigated this common
assumption by examining the difference in performance between MPR and 3D
rendering for correctly identifying a known surface. We also examined whether
prior experience working with image data affects the participant's performance,
and whether there was any difference between interactive or static versions of
the visualizations. Answering this question is important because it can be used
as part of a scientific and empirical basis for determining when to use which of
the two techniques. An advantage of the present study compared to other studies
is that several factors were taken into account to compare the two techniques.
The problem was examined through an experiment with 45 participants, where
physical objects were used as the known surface (ground truth). Our findings
showed that: 1. The 3D renderings largely outperformed the cross sections; 2.
Interactive visualizations were partially more effective than static
visualizations; and 3. The high experience group did not generally outperform the
low experience group.
PMID- 27875193
TI - Urban Pulse: Capturing the Rhythm of Cities.
AB - Cities are inherently dynamic. Interesting patterns of behavior typically
manifest at several key areas of a city over multiple temporal resolutions.
Studying these patterns can greatly help a variety of experts ranging from city
planners and architects to human behavioral experts. Recent technological
innovations have enabled the collection of enormous amounts of data that can help
in these studies. However, techniques using these data sets typically focus on
understanding the data in the context of the city, thus failing to capture the
dynamic aspects of the city. The goal of this work is to instead understand the
city in the context of multiple urban data sets. To do so, we define the concept
of an "urban pulse" which captures the spatio-temporal activity in a city across
multiple temporal resolutions. The prominent pulses in a city are obtained using
the topology of the data sets, and are characterized as a set of beats. The beats
are then used to analyze and compare different pulses. We also design a visual
exploration framework that allows users to explore the pulses within and across
multiple cities under different conditions. Finally, we present three case
studies carried out by experts from two different domains that demonstrate the
utility of our framework.
PMID- 27875194
TI - Visualization and Extraction of Carvings for Heritage Conservation.
AB - We present novel techniques for visualizing, illustrating, analyzing, and
generating carvings in surfaces. In particular, we consider the carvings in the
plaster of the cloister of the Magdeburg cathedral, which dates to the 13th
century. Due to aging and weathering, the carvings have flattened. Historians and
restorers are highly interested in using digitalization techniques to analyze
carvings in historic artifacts and monuments and to get impressions and
illustrations of their original shape and appearance. Moreover, museums and
churches are interested in such illustrations for presenting them to visitors.
The techniques that we propose allow for detecting, selecting, and visualizing
carving structures. In addition, we introduce an example-based method for
generating carvings. The resulting tool, which integrates all techniques, was
evaluated by three experienced restorers to assess the usefulness and
applicability. Furthermore, we compared our approach with exaggerated shading and
other state-of-the-art methods.
PMID- 27875195
TI - In Situ Distribution Guided Analysis and Visualization of Transonic Jet Engine
Simulations.
AB - Study of flow instability in turbine engine compressors is crucial to understand
the inception and evolution of engine stall. Aerodynamics experts have been
working on detecting the early signs of stall in order to devise novel stall
suppression technologies. A state-of-the-art Navier-Stokes based, time-accurate
computational fluid dynamics simulator, TURBO, has been developed in NASA to
enhance the understanding of flow phenomena undergoing rotating stall. Despite
the proven high modeling accuracy of TURBO, the excessive simulation data
prohibits post-hoc analysis in both storage and I/O time. To address these issues
and allow the expert to perform scalable stall analysis, we have designed an in
situ distribution guided stall analysis technique. Our method summarizes
statistics of important properties of the simulation data in situ using a
probabilistic data modeling scheme. This data summarization enables statistical
anomaly detection for flow instability in post analysis, which reveals the
spatiotemporal trends of rotating stall for the expert to conceive new
hypotheses. Furthermore, the verification of the hypotheses and exploratory
visualization using the summarized data are realized using probabilistic
visualization techniques such as uncertain isocontouring. Positive feedback from
the domain scientist has indicated the efficacy of our system in exploratory
stall analysis.
PMID- 27875197
TI - Time-Hierarchical Clustering and Visualization of Weather Forecast Ensembles.
AB - We propose a new approach for analyzing the temporal growth of the uncertainty in
ensembles of weather forecasts which are started from perturbed but similar
initial conditions. As an alternative to traditional approaches in meteorology,
which use juxtaposition and animation of spaghetti plots of iso-contours, we make
use of contour clustering and provide means to encode forecast dynamics and
spread in one single visualization. Based on a given ensemble clustering in a
specified time window, we merge clusters in time-reversed order to indicate when
and where forecast trajectories start to diverge. We present and compare
different visualizations of the resulting time-hierarchical grouping, including
space-time surfaces built by connecting cluster representatives over time, and
stacked contour variability plots. We demonstrate the effectiveness of our visual
encodings with forecast examples of the European Centre for Medium-Range Weather
Forecasts, which convey the evolution of specific features in the data as well as
the temporally increasing spatial variability.
PMID- 27875196
TI - Decal-Maps: Real-Time Layering of Decals on Surfaces for Multivariate
Visualization.
AB - We introduce the use of decals for multivariate visualization design. Decals are
visual representations that are used for communication; for example, a pattern, a
text, a glyph, or a symbol, transferred from a 2D-image to a surface upon
contact. By creating what we define as decal-maps, we can design a set of images
or patterns that represent one or more data attributes. We place decals on the
surface considering the data pertaining to the locations we choose. We propose a
(texture mapping) local parametrization that allows placing decals on arbitrary
surfaces interactively, even when dealing with a high number of decals. Moreover,
we extend the concept of layering to allow the co-visualization of an increased
number of attributes on arbitrary surfaces. By combining decal-maps, color-maps
and a layered visualization, we aim to facilitate and encourage the creative
process of designing multivariate visualizations. Finally, we demonstrate the
general applicability of our technique by providing examples of its use in a
variety of contexts.
PMID- 27875198
TI - Visualization of Time-Varying Weather Ensembles across Multiple Resolutions.
AB - Uncertainty quantification in climate ensembles is an important topic for the
domain scientists, especially for decision making in the real-world scenarios.
With powerful computers, simulations now produce time-varying and multi
resolution ensemble data sets. It is of extreme importance to understand the
model sensitivity given the input parameters such that more computation power can
be allocated to the parameters with higher influence on the output. Also, when
ensemble data is produced at different resolutions, understanding the accuracy of
different resolutions helps the total time required to produce a desired quality
solution with improved storage and computation cost. In this work, we propose to
tackle these non-trivial problems on the Weather Research and Forecasting (WRF)
model output. We employ a moment independent sensitivity measure to quantify and
analyze parameter sensitivity across spatial regions and time domain. A
comparison of clustering structures across three resolutions enables the users to
investigate the sensitivity variation over the spatial regions of the five input
parameters. The temporal trend in the sensitivity values is explored via an MDS
view linked with a line chart for interactive brushing. The spatial and temporal
views are connected to provide a full exploration system for complete spatio
temporal sensitivity analysis. To analyze the accuracy across varying
resolutions, we formulate a Bayesian approach to identify which regions are
better predicted at which resolutions compared to the observed precipitation.
This information is aggregated over the time domain and finally encoded in an
output image through a custom color map that guides the domain experts towards an
adaptive grid implementation given a cost model. Users can select and further
analyze the spatial and temporal error patterns for multi-resolution accuracy
analysis via brushing and linking on the produced image. In this work, we
collaborate with a domain expert whose feedback shows the effectiveness of our
proposed exploration work-flow.
PMID- 27875199
TI - A Fractional Cartesian Composition Model for Semi-Spatial Comparative
Visualization Design.
AB - The study of spatial data ensembles leads to substantial visualization challenges
in a variety of applications. In this paper, we present a model for comparative
visualization that supports the design of according ensemble visualization
solutions by partial automation. We focus on applications, where the user is
interested in preserving selected spatial data characteristics of the data as
much as possible-even when many ensemble members should be jointly studied using
comparative visualization. In our model, we separate the design challenge into a
minimal set of user-specified parameters and an optimization component for the
automatic configuration of the remaining design variables. We provide an
illustrated formal description of our model and exemplify our approach in the
context of several application examples from different domains in order to
demonstrate its generality within the class of comparative visualization problems
for spatial data ensembles.
PMID- 27875200
TI - Vol2velle: Printable Interactive Volume Visualization.
AB - Interaction is an indispensable aspect of data visualization. The presentation of
volumetric data, in particular, often significantly benefits from interactive
manipulation of parameters such as transfer functions, rendering styles, or
clipping planes. However, when we want to create hardcopies of such
visualizations, this essential aspect is lost. In this paper, we present a novel
approach for creating hardcopies of volume visualizations which preserves a
certain degree of interactivity. We present a method for automatically generating
Volvelles, printable tangible wheel charts that can be manipulated to explore
different parameter settings. Our interactive system allows the flexible mapping
of arbitrary visualization parameters and supports advanced features such as
linked views. The resulting designs can be easily reproduced using a standard
printer and assembled within a few minutes.
PMID- 27875201
TI - Categorical Colormap Optimization with Visualization Case Studies.
AB - Mapping a set of categorical values to different colors is an elementary
technique in data visualization. Users of visualization software routinely rely
on the default colormaps provided by a system, or colormaps suggested by software
such as ColorBrewer. In practice, users often have to select a set of colors in a
semantically meaningful way (e.g., based on conventions, color metaphors, and
logological associations), and consequently would like to ensure their perceptual
differentiation is optimized. In this paper, we present an algorithmic approach
for maximizing the perceptual distances among a set of given colors. We address
two technical problems in optimization, i.e., (i) the phenomena of local maxima
that halt the optimization too soon, and (ii) the arbitrary reassignment of
colors that leads to the loss of the original semantic association. We paid
particular attention to different types of constraints that users may wish to
impose during the optimization process. To demonstrate the effectiveness of this
work, we tested this technique in two case studies. To reach out to a wider range
of users, we also developed a web application called Colourmap Hospital.
PMID- 27875202
TI - Hybrid Tactile/Tangible Interaction for 3D Data Exploration.
AB - We present the design and evaluation of an interface that combines tactile and
tangible paradigms for 3D visualization. While studies have demonstrated that
both tactile and tangible input can be efficient for a subset of 3D manipulation
tasks, we reflect here on the possibility to combine the two complementary input
types. Based on a field study and follow-up interviews, we present a conceptual
framework of the use of these different interaction modalities for visualization
both separately and combined-focusing on free exploration as well as precise
control. We present a prototypical application of a subset of these combined
mappings for fluid dynamics data visualization using a portable, position-aware
device which offers both tactile input and tangible sensing. We evaluate our
approach with domain experts and report on their qualitative feedback.
PMID- 27875203
TI - GlyphLens: View-Dependent Occlusion Management in the Interactive Glyph
Visualization.
AB - Glyph as a powerful multivariate visualization technique is used to visualize
data through its visual channels. To visualize 3D volumetric dataset, glyphs are
usually placed on 2D surface, such as the slicing plane or the feature surface,
to avoid occluding each other. However, the 3D spatial structure of some features
may be missing. On the other hand, placing large number of glyphs over the entire
3D space results in occlusion and visual clutter that make the visualization
ineffective. To avoid the occlusion, we propose a view-dependent interactive 3D
lens that removes the occluding glyphs by pulling the glyphs aside through the
animation. We provide two space deformation models and two lens shape models to
displace the glyphs based on their spatial distributions. After the displacement,
the glyphs around the user-interested region are still visible as the context
information, and their spatial structures are preserved. Besides, we attenuate
the brightness of the glyphs inside the lens based on their depths to provide
more depth cue. Furthermore, we developed an interactive glyph visualization
system to explore different glyph-based visualization applications. In the
system, we provide a few lens utilities that allows users to pick a glyph or a
feature and look at it from different view directions. We compare different
display/interaction techniques to visualize/manipulate our lens and glyphs.
PMID- 27875204
TI - A Versatile and Efficient GPU Data Structure for Spatial Indexing.
AB - In this paper we present a novel GPU-based data structure for spatial indexing.
Based on Fenwick trees-a special type of binary indexed trees-our data structure
allows construction in linear time. Updates and prefixes can be computed in
logarithmic time, whereas point queries require only constant time on average.
Unlike competing data structures such as summed-area tables and spatial hashing,
our data structure requires a constant amount of bits for each data element, and
it offers unconstrained point queries. This property makes our data structure
ideally suited for applications requiring unconstrained indexing of large data,
such as block-storage of large and block-sparse volumes. Finally, we provide
asymptotic bounds on both run-time and memory requirements, and we show
applications for which our new data structure is useful.
PMID- 27875205
TI - Progressive Direct Volume-to-Volume Transformation.
AB - We present a novel technique to generate transformations between arbitrary
volumes, providing both expressive distances and smooth interpolates. In contrast
to conventional morphing or warping approaches, our technique requires no user
guidance, intermediate representations (like extracted features), or blending,
and imposes no restrictions regarding shape or structure. Our technique operates
directly on the volumetric data representation, and while linear programming
approaches could solve the underlying problem optimally, their polynomial
complexity makes them infeasible for high-resolution volumes. We therefore
propose a progressive refinement approach designed for parallel execution that is
able to quickly deliver approximate results that are iteratively improved toward
the optimum. On this basis, we further present a new approach for the streaming
selection of time steps in temporal data that allows for the reconstruction of
the full sequence with a user-specified error bound. We finally demonstrate the
utility of our technique for different applications, compare our approach against
alternatives, and evaluate its characteristics with a variety of different data
sets.
PMID- 27875206
TI - OSPRay - A CPU Ray Tracing Framework for Scientific Visualization.
AB - Scientific data is continually increasing in complexity, variety and size, making
efficient visualization and specifically rendering an ongoing challenge.
Traditional rasterization-based visualization approaches encounter performance
and quality limitations, particularly in HPC environments without dedicated
rendering hardware. In this paper, we present OSPRay, a turn-key CPU ray tracing
framework oriented towards production-use scientific visualization which can
utilize varying SIMD widths and multiple device backends found across diverse HPC
resources. This framework provides a high-quality, efficient CPU-based solution
for typical visualization workloads, which has already been integrated into
several prevalent visualization packages. We show that this system delivers the
performance, high-level API simplicity, and modular device support needed to
provide a compelling new rendering framework for implementing efficient
scientific visualization workflows.
PMID- 27875207
TI - Direct Multifield Volume Ray Casting of Fiber Surfaces.
AB - Multifield data are common in visualization. However, reducing these data to
comprehensible geometry is a challenging problem. Fiber surfaces, an analogy of
isosurfaces to bivariate volume data, are a promising new mechanism for
understanding multifield volumes. In this work, we explore direct ray casting of
fiber surfaces from volume data without any explicit geometry extraction. We
sample directly along rays in domain space, and perform geometric tests in range
space where fibers are defined, using a signed distance field derived from the
control polygons. Our method requires little preprocess, and enables real-time
exploration of data, dynamic modification and pixel-exact rendering of fiber
surfaces, and support for higher-order interpolation in domain space. We
demonstrate this approach on several bivariate datasets, including analysis of
multi-field combustion data.
PMID- 27875209
TI - Jacobi Fiber Surfaces for Bivariate Reeb Space Computation.
AB - This paper presents an efficient algorithm for the computation of the Reeb space
of an input bivariate piecewise linear scalar function f defined on a tetrahedral
mesh. By extending and generalizing algorithmic concepts from the univariate case
to the bivariate one, we report the first practical, output-sensitive algorithm
for the exact computation of such a Reeb space. The algorithm starts by
identifying the Jacobi set of f, the bivariate analogs of critical points in the
univariate case. Next, the Reeb space is computed by segmenting the input mesh
along the new notion of Jacobi Fiber Surfaces, the bivariate analog of critical
contours in the univariate case. We additionally present a simplification
heuristic that enables the progressive coarsening of the Reeb space. Our
algorithm is simple to implement and most of its computations can be trivially
parallelized. We report performance numbers demonstrating orders of magnitude
speedups over previous approaches, enabling for the first time the tractable
computation of bivariate Reeb spaces in practice. Moreover, unlike range-based
quantization approaches (such as the Joint Contour Net), our algorithm is
parameter-free. We demonstrate the utility of our approach by using the Reeb
space as a semi-automatic segmentation tool for bivariate data. In particular, we
introduce continuous scatterplot peeling, a technique which enables the reduction
of the cluttering in the continuous scatterplot, by interactively selecting the
features of the Reeb space to project. We provide a VTK-based C++ implementation
of our algorithm that can be used for reproduction purposes or for the
development of new Reeb space based visualization techniques.
PMID- 27875208
TI - Topological Analysis of Inertial Dynamics.
AB - Traditional vector field visualization has a close focus on velocity, and is
typically constrained to the dynamics of massless particles. In this paper, we
present a novel approach to the analysis of the force-induced dynamics of
inertial particles. These forces can arise from acceleration fields such as
gravitation, but also be dependent on the particle dynamics itself, as in the
case of magnetism. Compared to massless particles, the velocity of an inertial
particle is not determined solely by its position and time in a vector field. In
contrast, its initial velocity can be arbitrary and impacts the dynamics over its
entire lifetime. This leads to a four-dimensional problem for 2D setups, and a
six-dimensional problem for the 3D case. Our approach avoids this increase in
dimensionality and tackles the visualization by an integrated topological
analysis approach. We demonstrate the utility of our approach using a synthetic
time-dependent acceleration field, a system of magnetic dipoles, and N-body
systems both in 2D and 3D.
PMID- 27875210
TI - Backward Finite-Time Lyapunov Exponents in Inertial Flows.
AB - Inertial particles are finite-sized objects that are carried by fluid flows and
in contrast to massless tracer particles they are subject to inertia effects. In
unsteady flows, the dynamics of tracer particles have been extensively studied by
the extraction of Lagrangian coherent structures (LCS), such as hyperbolic LCS as
ridges of the Finite-Time Lyapunov Exponent (FTLE). The extension of the rich LCS
framework to inertial particles is currently a hot topic in the CFD literature
and is actively under research. Recently, backward FTLE on tracer particles has
been shown to correlate with the preferential particle settling of small inertial
particles. For larger particles, inertial trajectories may deviate strongly from
(massless) tracer trajectories, and thus for a better agreement, backward FTLE
should be computed on inertial trajectories directly. Inertial backward
integration, however, has not been possible until the recent introduction of the
influence curve concept, which - given an observation and an initial velocity -
allows to recover all sources of inertial particles as tangent curves of a
derived vector field. In this paper, we show that FTLE on the influence curve
vector field is in agreement with preferential particle settling and more
importantly it is not only valid for small (near-tracer) particles. We further
generalize the influence curve concept to general equations of motion in unsteady
spatio-velocity phase spaces, which enables backward integration with more
general equations of motion. Applying the influence curve concept to tracer
particles in the spatio-velocity domain emits streaklines in massless flows as
tangent curves of the influence curve vector field. We demonstrate the
correlation between inertial backward FTLE and the preferential particle settling
in a number of unsteady vector fields.
PMID- 27875211
TI - Glyphs for General Second-Order 2D and 3D Tensors.
AB - Glyphs are a powerful tool for visualizing second-order tensors in a variety of
scientic data as they allow to encode physical behavior in geometric properties.
Most existing techniques focus on symmetric tensors and exclude non-symmetric
tensors where the eigenvectors can be non-orthogonal or complex. We present a new
construction of 2d and 3d tensor glyphs based on piecewise rational curves and
surfaces with the following properties: invariance to (a) isometries and (b)
scaling, (c) direct encoding of all real eigenvalues and eigenvectors, (d) one-to
one relation between the tensors and glyphs, (e) glyph continuity under changing
the tensor. We apply the glyphs to visualize the Jacobian matrix fields of a
number of 2d and 3d vector fields.
PMID- 27875212
TI - Hairy Slices: Evaluating the Perceptual Effectiveness of Cutting Plane Glyphs for
3D Vector Fields.
AB - Three-dimensional vector fields are common datasets throughout the sciences.
Visualizing these fields is inherently difficult due to issues such as visual
clutter and self-occlusion. Cutting planes are often used to overcome these
issues by presenting more manageable slices of data. The existing literature
provides many techniques for visualizing the flow through these cutting planes;
however, there is a lack of empirical studies focused on the underlying
perceptual cues that make popular techniques successful. This paper presents a
quantitative human factors study that evaluates static monoscopic depth and
orientation cues in the context of cutting plane glyph designs for exploring and
analyzing 3D flow fields. The goal of the study was to ascertain the relative
effectiveness of various techniques for portraying the direction of flow through
a cutting plane at a given point, and to identify the visual cues and
combinations of cues involved, and how they contribute to accurate performance.
It was found that increasing the dimensionality of line-based glyphs into tubular
structures enhances their ability to convey orientation through shading, and that
increasing their diameter intensifies this effect. These tube-based glyphs were
also less sensitive to visual clutter issues at higher densities. Adding shadows
to lines was also found to increase perception of flow direction. Implications of
the experimental results are discussed and extrapolated into a number of
guidelines for designing more perceptually effective glyphs for 3D vector field
visualizations.
PMID- 27875214
TI - Visual Vibrometry: Estimating Material Properties from Small Motions in Video.
AB - The estimation of material properties is important for scene understanding, with
many applications in vision, robotics, and structural engineering. This paper
connects fundamentals of vibration mechanics with computer vision techniques in
order to infer material properties from small, often imperceptible motions in
video. Objects tend to vibrate in a set of preferred modes. The frequencies of
these modes depend on the structure and material properties of an object. We show
that by extracting these frequencies from video of a vibrating object, we can
often make inferences about that object's material properties. We demonstrate our
approach by estimating material properties for a variety of objects by observing
their motion in high-speed and regular frame rate video.
PMID- 27875213
TI - On the Latent Variable Interpretation in Sum-Product Networks.
AB - One of the central themes in Sum-Product networks (SPNs) is the interpretation of
sum nodes as marginalized latent variables (LVs). This interpretation yields an
increased syntactic or semantic structure, allows the application of the EM
algorithm and to efficiently perform MPE inference. In literature, the LV
interpretation was justified by explicitly introducing the indicator variables
corresponding to the LVs' states. However, as pointed out in this paper, this
approach is in conflict with the completeness condition in SPNs and does not
fully specify the probabilistic model. We propose a remedy for this problem by
modifying the original approach for introducing the LVs, which we call SPN
augmentation. We discuss conditional independencies in augmented SPNs, formally
establish the probabilistic interpretation of the sum-weights and give an
interpretation of augmented SPNs as Bayesian networks. Based on these results, we
find a sound derivation of the EM algorithm for SPNs. Furthermore, the Viterbi
style algorithm for MPE proposed in literature was never proven to be correct. We
show that this is indeed a correct algorithm, when applied to selective SPNs, and
in particular when applied to augmented SPNs. Our theoretical results are
confirmed in experiments on synthetic data and 103 real-world datasets.
PMID- 27875215
TI - Local Submodularization for Binary Pairwise Energies.
AB - Many computer vision problems require optimization of binary non-submodular
energies. We propose a general optimization framework based on local submodular
approximations (LSA). Unlike standard LP relaxation methods that linearize the
whole energy globally, our approach iteratively approximates the energy locally.
On the other hand, unlike standard local optimization methods (e.g., gradient
descent or projection techniques) we use non-linear submodular approximations and
optimize them without leaving the domain of integer solutions. We discuss two
specific LSA algorithms based on trust region and auxiliary function principles,
LSA-TR and LSA-AUX. The proposed methods obtain state-of-the-art results on a
wide range of applications such as binary deconvolution, curvature
regularization, inpainting, segmentation with repulsion and two types of shape
priors. Finally, we discuss a move-making extension to the LSA-TR approach. While
our paper is focused on pairwise energies, our ideas extend to higher-order
problems. The code is available online.
PMID- 27875216
TI - Image Registration and Change Detection under Rolling Shutter Motion Blur.
AB - In this paper, we address the problem of registering a distorted image and a
reference image of the same scene by estimating the camera motion that had caused
the distortion. We simultaneously detect the regions of changes between the two
images. We attend to the coalesced effect of rolling shutter and motion blur that
occurs frequently in moving CMOS cameras. We first model a general image
formation framework for a 3D scene following a layered approach in the presence
of rolling shutter and motion blur. We then develop an algorithm which performs
layered registration to detect changes. This algorithm includes an optimisation
problem that leverages the sparsity of the camera trajectory in the pose space
and the sparsity of changes in the spatial domain. We create a synthetic dataset
for change detection in the presence of motion blur and rolling shutter effect
covering different types of camera motion for both planar and 3D scenes. We
compare our method with existing registration methods and also show several real
examples captured with CMOS cameras.
PMID- 27875219
TI - Automatic Tracking and Motility Analysis of Human Sperm in Time-Lapse Images.
AB - We present a fully automated multi-sperm tracking algorithm. It has the
demonstrated capability to detect and track simultaneously hundreds of sperm
cells in recorded videos while accurately measuring motility parameters over time
and with minimal operator intervention. Algorithms of this kind may help in
associating dynamic swimming parameters of human sperm cells with fertility and
fertilization rates. Specifically, we offer an image processing method, based on
radar tracking algorithms, that detects and tracks automatically the swimming
paths of human sperm cells in timelapse microscopy image sequences of the kind
that is analyzed by fertility clinics. Adapting the well-known joint
probabilistic data association filter (JPDAF), we automatically tracked hundreds
of human sperm simultaneously and measured their dynamic swimming parameters over
time. Unlike existing CASA instruments, our algorithm has the capability to track
sperm swimming in close proximity to each other and during apparent cell-to-cell
collisions. Collecting continuously parameters for each sperm tracked without
sample dilution (currently impossible using standard CASA systems) provides an
opportunity to compare such data with standard fertility rates. The use of our
algorithm thus has the potential to free the clinician from having to rely on
elaborate motility measurements obtained manually by technicians, speed up semen
processing, and provide medical practitioners and researchers with more useful
data than are currently available.
PMID- 27875220
TI - High-Quality-Factor and Low-Temperature-Dependence SMR FBAR Based on BST Using
MOD Method.
AB - This paper presents the design, fabrication, and experimental evaluation of
switchable and tunable thin-film bulk acoustic wave (BAW) resonators and filters
with metal-organic solution deposited barium strontium titanate (BST) for radio
frequency (RF) applications. The switchability and tunability of these devices
come from utilizing the electrostrictive effect of ferroelectric materials such
as BST with the application of an external dc bias voltage. The BAW resonators
and filters in this paper were fabricated on a high-resistivity silicon substrate
as a solidly mounted resonator structure with eight layers of silicon dioxide and
tantalum pentoxide as a Bragg reflector. A 170-nm BST thin-film thickness with
Br/Sr (70/30) composition was deposited using the metal-organic deposition
solution chemical technique. The measurements of the RF transmission
characteristics showed no resonance (OFF state) with zero bias voltage across the
terminals of the resonator. At nonzero applied bias, a switching response (ON
state) was observed at near 5.44 GHz. With the increasing magnitude of the
applied bias across the film, the resonance increased in intensity by showing a
quality factor of 746 and shifted to a lower frequency of 5.375 GHz with a
tunability of 1.3%. The temperature dependence of the fabricated device was
measured from room temperature up to 80 degrees C and showed a temperature
coefficient of frequency of -12.595 ppm/ degrees C.
PMID- 27875221
TI - A Context-Driven Extractive Framework for Generating Realistic Image
Descriptions.
AB - Automatic image annotation methods are extremely beneficial for image search,
retrieval, and organization systems. The lack of strict correlation between
semantic concepts and visual features, referred to as the semantic gap, is a huge
challenge for annotation systems. In this paper, we propose an image annotation
model that incorporates contextual cues collected from sources both intrinsic and
extrinsic to images, to bridge the semantic gap. The main focus of this paper is
a large real-world data set of news images that we collected. Unlike standard
image annotation benchmark data sets, our data set does not require human
annotators to generate artificial ground truth descriptions after data
collection, since our images already include contextually meaningful and real
world captions written by journalists. We thoroughly study the nature of image
descriptions in this real-world data set. News image captions describe both
visual contents and the contexts of images. Auxiliary information sources are
also available with such images in the form of news article and metadata (e.g.,
keywords and categories). The proposed framework extracts contextual-cues from
available sources of different data modalities and transforms them into a common
representation space, i.e., the probability space. Predicted annotations are
later transformed into sentence-like captions through an extractive framework
applied over news articles. Our context-driven framework outperforms the state of
the art on the collected data set of approximately 20 000 items, as well as on a
previously available smaller news images data set.
PMID- 27875223
TI - A Joint Compression Scheme of Video Feature Descriptors and Visual Content.
AB - High-efficiency compression of visual feature descriptors has recently emerged as
an active topic due to the rapidly increasing demand in mobile visual retrieval
over bandwidth-limited networks. However, transmitting only those feature
descriptors may largely restrict its application scale due to the lack of
necessary visual content. To facilitate the wide spread of feature descriptors, a
hybrid framework of jointly compressing the feature descriptors and visual
content is highly desirable. In this paper, such a content-plus-feature coding
scheme is investigated, aiming to shape the next generation of video compression
system toward visual retrieval, where the high-efficiency coding of both feature
descriptors and visual content can be achieved by exploiting the interactions
between each other. On the one hand, visual feature descriptors can achieve
compact and efficient representation by taking advantages of the structure and
motion information in the compressed video stream. To optimize the retrieval
performance, a novel rate-accuracy optimization technique is proposed to
accurately estimate the retrieval performance degradation in feature coding. On
the other hand, the already compressed feature data can be utilized to further
improve the video coding efficiency by applying feature matching-based affine
motion compensation. Extensive simulations have shown that the proposed joint
compression framework can offer significant bitrate reduction in representing
both feature descriptors and video frames, while simultaneously maintaining the
state-of-the-art visual retrieval performance.
PMID- 27875224
TI - A Parallel Proximal Algorithm for Anisotropic Total Variation Minimization.
AB - Total variation (TV) is a one of the most popular regularizers for stabilizing
the solution of ill-posed inverse problems. This paper proposes a novel proximal
gradient algorithm for minimizing TV regularized least-squares cost functionals.
Unlike traditional methods that require nested iterations for computing the
proximal step of TV, our algorithm approximates the latter with several simple
proximals that have closed form solutions. We theoretically prove that the
proposed parallel proximal method achieves the TV solution with arbitrarily high
precision at a global rate of converge that is equivalent to the fast proximal
gradient methods. The results in this paper have the potential to enhance the
applicability of TV for solving very large-scale imaging inverse problems.
PMID- 27875225
TI - The Shape Interaction Matrix-Based Affine Invariant Mismatch Removal for Partial
Duplicate Image Search.
AB - Mismatch removal is a key step in many computer vision problems. In this paper,
we handle the mismatch removal problem by adopting shape interaction matrix
(SIM). Given the homogeneous coordinates of the two corresponding point sets, we
first compute the SIMs of the two point sets. Then, we detect the mismatches by
picking out the most different entries between the two SIMs. Even under strong
affine transformations, outliers, noises, and burstiness, our method can still
work well. Actually, this paper is the first non-iterative mismatch removal
method that achieves affine invariance. Extensive results on synthetic 2D points
matching data sets and real image matching data sets verify the effectiveness,
efficiency, and robustness of our method in removing mismatches. Moreover, when
applied to partial-duplicate image search, our method reaches higher retrieval
precisions with shorter time cost compared with the state-of-the-art geometric
verification methods.
PMID- 27875229
TI - Rapid Detection of Protein Kinase on Capacitive Sensing Platforms.
AB - In this study, we developed a capacitive sensor for the one-step and label-free
detection of protein kinase A (PKA) enzyme. Metal-insulator-semiconductor (MIS)
and electrolyte-insulator-semiconductor (EIS) are a simple electronic transducer,
which allows efficient detection of the target analyte. For this reason, we
performed a comparative sensing of PKA on the MIS and EIS capacitive sensor. The
PKA-specific aptamer was used for the one-step detection. For the immobilization
of thiolated aptamer, the MIS sensor contained a thin gold layer, whereas the EIS
sensor had a self-aligned monolayer of gold nanoparticles. The interaction of
aptamer and PKA changed the charge and density of the sensor surface. The
quantitative detection of PKA was performed by analyzing the capacitance-voltage
curve after the aptamer-PKA interaction. The MIS and EIS sensor showed a
detection limit of 5 U/mL and 1 U/mL, respectively, for the detection of PKA.
This study suggests valuable sensing platforms for the rapid and sensitive
biochemical diagnosis.
PMID- 27875231
TI - eShiver: Lateral Force Feedback on Fingertips through Oscillatory Motion of an
Electroadhesive Surface.
AB - We describe a new haptic force feedback device capable of creating lateral shear
force on a bare fingertip-the eShiver. The eShiver creates a net lateral force
from in-plane oscillatory motion of a surface synchronized with a "friction
switch" based on Johnsen-Rahbek electroadhesion. Using an artificial finger, a
maximum net lateral force of +/-300 mN is achieved at 55 Hz lateral oscillation
frequency, and net force is shown to be a function of velocity and applied
voltage, as well as the phase between them. A second set of experiments is
carried out on a human finger, and a lateral force of up to +/-450 mN is achieved
at a lateral oscillation frequency of 1,000 Hz. This force is reached at a peak
lateral surface velocity of 400 mm/s and a peak applied voltage of 400 V. We
develop a simple lumped parameter model of the eShiver, and a time domain
simulation of the artificial finger is shown to agree with the experimental
results. Three distinct zones of operation are found, which predict the
limitations of force generation and which may be used for optimization. The human
finger is found to be similar to the artificial finger in its dependence on
actuation parameters, suggesting that the same lumped parameter model may be
applied, albeit with different parameters. Curiously, the friction force due to
Johnsen-Rahbek electroadhesion is found to increase substantially over time as
the finger remains in contact with the surface. Considerations for optimizing the
performance of the eShiver are discussed.
PMID- 27875232
TI - A Novel Algorithm for Learning Sparse Spatio-Spectral Patterns for Event-Related
Potentials.
AB - Recent years have witnessed brain-computer interface (BCI) as a promising
technology for integrating human intelligence and machine intelligence.
Currently, event-related potential (ERP)-based BCI is an important branch of
noninvasive electroencephalogram (EEG)-based BCIs. Extracting ERPs from a limited
number of trials remains challenging due to their low signal-to-noise ratio (SNR)
and low spatial resolution caused by volume conduction. In this paper, we propose
a probabilistic model for trial-by-trial concatenated EEG, in which the
concatenated ERPs are expressed as a linear combination of a set of discrete sine
and cosine bases. The bases are simply determined by the data length of a single
trial. A sparse prior on the rank of the spatio-spectral pattern matrix is
introduced into the model to allow the number of components to be automatically
determined. A maximum posterior estimation algorithm based on cyclic descent is
then developed to estimate the spatiospectral patterns. A spatial filter can then
be obtained by maximizing the SNR of the ERP components. Experiments on both
synthetic data and real N170 ERP from 13 subjects were conducted to test the
efficacy and efficiency of the algorithm. The results showed that the proposed
algorithm can estimate the ERPs more accurately than the several state-of-the-art
algorithms.
PMID- 27875233
TI - Multisynchronization of Coupled Heterogeneous Genetic Oscillator Networks via
Partial Impulsive Control.
AB - This paper focuses on the collective dynamics of multisynchronization among
heterogeneous genetic oscillators under a partial impulsive control strategy. The
coupled nonidentical genetic oscillators are modeled by differential equations
with uncertainties. The definition of multisynchronization is proposed to
describe some more general synchronization behaviors in the real. Considering
that each genetic oscillator consists of a large number of biochemical molecules,
we design a more manageable impulsive strategy for dynamic networks to achieve
multisynchronization. Not all the molecules but only a small fraction of them in
each genetic oscillator are controlled at each impulsive instant. Theoretical
analysis of multisynchronization is carried out by the control theory approach,
and a sufficient condition of partial impulsive controller for
multisynchronization with given error bounds is established. At last, numerical
simulations are exploited to demonstrate the effectiveness of our results.
PMID- 27875235
TI - Concept Factorization With Adaptive Neighbors for Document Clustering.
AB - In this paper, a novel concept factorization (CF) method, called CF with adaptive
neighbors (CFANs), is proposed. The idea of CFAN is to integrate an ANs
regularization constraint into the CF decomposition. The goal of CFAN is to
extract the representation space that maintains geometrical neighborhood
structure of the data. Similar to the existing graph-regularized CF, CFAN builds
a neighbor graph weights matrix. The key difference is that the CFAN performs
dimensionality reduction and finds the neighbor graph weights matrix
simultaneously. An efficient algorithm is also derived to solve the proposed
problem. We apply the proposed method to the problem of document clustering on
the 20 Newsgroups, Reuters-21578, and TDT2 document data sets. Our experiments
demonstrate the effectiveness of the method.
PMID- 27875236
TI - Correlation-Based Tracking of Multiple Targets With Hierarchical Layered
Structure.
AB - Visual target tracking is one of the most important research areas in the field
of computer vision. Within this realm, multiple targets tracking (MTT) under
complicated scene stands out for its great availability in real life
applications, such as urban traffic surveillance and sports video analysis.
However, in MTT, main difficulties arise from large variation in target saliency
and significant motion heterogeneity, which may result in the failure of tracking
weak targets. To tackle this challenge, a novel hierarchical layered tracking
structure is proposed to perform tracking sequentially layer-by-layer. Upon this
layered structure, we establish an intertarget mutual assistance mechanism on
basis of intertarget correlation exploited among targets. The tracking results of
a subset of targets can be utilized as additional prior information for tracking
other targets. Specifically, a nonlinear motion model as well as a target
interaction model basing on the intertarget correlation are proposed to
effectively estimate the possible target region-of-interest to facilitate the
prediction-based tracking. Moreover, the concept of motion entropy is introduced
to quantitatively measure the degree of motion heterogeneity within the tracking
scene for layer construction. Compared to other existing methods, extensive
experiments demonstrated that the proposed method is capable of achieving higher
tracking performance in complicated scenes, where targets are characterized with
great heterogeneity.
PMID- 27875237
TI - Automatic Facial Expression Recognition System Using Deep Network-Based Data
Fusion.
AB - This paper presents a novel automatic facial expressions recognition system
(AFERS) using the deep network framework. The proposed AFERS consists of four
steps: 1) geometric features extraction; 2) regional local binary pattern (LBP)
features extraction; 3) fusion of both the features using autoencoders; and 4)
classification using Kohonen self-organizing map (SOM)-based classifier. This
paper makes three distinct contributions. The proposed deep network consisting of
autoencoders and the SOM-based classifier is computationally more efficient and
performance wise more accurate. The fusion of geometric features with LBP
features using autoencoders provides better representation of facial expression.
The SOM-based classifier proposed in this paper has been improved by making use
of a soft-threshold logic and a better learning algorithm. The performance of the
proposed approach is validated on two widely used databases (DBs): 1) MMI and 2)
extended Cohn-Kanade (CK+). An average recognition accuracy of 97.55% in MMI DB
and 98.95% in CK+ DB are obtained using the proposed algorithm. The recognition
results obtained from fused features are found to be distinctly superior to both
recognition using individual features as well as recognition with a direct
concatenation of the individual feature vectors. Simulation results validate that
the proposed AFERS is more efficient as compared to the existing approaches.
PMID- 27875238
TI - Geometric Hypergraph Learning for Visual Tracking.
AB - Graph-based representation is widely used in visual tracking field by finding
correct correspondences between target parts in different frames. However, most
graph-based trackers consider pairwise geometric relations between local parts.
They do not make full use of the target's intrinsic structure, thereby making the
representation easily disturbed by errors in pairwise affinities when large
deformation or occlusion occurs. In this paper, we propose a geometric hypergraph
learning-based tracking method, which fully exploits high-order geometric
relations among multiple correspondences of parts in different frames. Then
visual tracking is formulated as the mode-seeking problem on the hypergraph in
which vertices represent correspondence hypotheses and hyperedges describe high
order geometric relations among correspondences. Besides, a confidence-aware
sampling method is developed to select representative vertices and hyperedges to
construct the geometric hypergraph for more robustness and scalability. The
experiments are carried out on three challenging datasets (VOT2014, OTB100, and
Deform-SOT) to demonstrate that our method performs favorably against other
existing trackers.
PMID- 27875239
TI - Semisupervised Prior Free Rare Category Detection With Mixed Criteria.
AB - Rare category detection aims to find interesting and statistically significant
anomalies and incorporates ideas from active learning and semisupervised
learning. The challenge of rare category detection is to find the rare classes of
the anomalies in a data set where the data distribution is skewed. Most existing
rare category detection methods suppose that the user knows the specific number
of all classes in advance, which cannot be satisfied in most real scenarios. In
this paper, we propose a new rare category detection framework composed of active
learning and semisupervised hierarchical density-based clustering. The advantage
of our method is that it is prior free and can benefit the rare category
detecting process with the labeled data. In addition, the proposed framework can
handle tasks with nonlinear mappings, which increases the ability to find rare
classes when the class boundary is sophisticated. Compared to existing methods,
better results are achieved by our method on both real and synthetic data sets in
the experiment.
PMID- 27875240
TI - Distributed Jointly Sparse Multitask Learning Over Networks.
AB - Distributed data processing over networks has received a lot of attention due to
its wide applicability. In this paper, we consider the multitask problem of in
network distributed estimation. For the multitask problem, the unknown parameter
vectors (tasks) for different nodes can be different. Moreover, considering some
real application scenarios, it is also assumed that there are some similarities
among the tasks. Thus, the intertask cooperation is helpful to enhance the
estimation performance. In this paper, we exploit an additional special
characteristic of the vectors of interest, namely, joint sparsity, aiming to
further enhance the estimation performance. A distributed jointly sparse
multitask algorithm for the collaborative sparse estimation problem is derived.
In addition, an adaptive intertask cooperation strategy is adopted to improve the
robustness against the degree of difference among the tasks. The performance of
the proposed algorithm is analyzed theoretically, and its effectiveness is
verified by some simulations.
PMID- 27875241
TI - Dynamical compensation in physiological circuits.
AB - Biological systems can maintain constant steady-state output despite variation in
biochemical parameters, a property known as exact adaptation. Exact adaptation is
achieved using integral feedback, an engineering strategy that ensures that the
output of a system robustly tracks its desired value. However, it is unclear how
physiological circuits also keep their output dynamics precise-including the
amplitude and response time to a changing input. Such robustness is crucial for
endocrine and neuronal homeostatic circuits because they need to provide a
precise dynamic response in the face of wide variation in the physiological
parameters of their target tissues; how such circuits compensate their dynamics
for unavoidable natural fluctuations in parameters is unknown. Here, we present a
design principle that provides the desired robustness, which we call dynamical
compensation (DC). We present a class of circuits that show DC by means of a
nonlinear feedback loop in which the regulated variable controls the functional
mass of the controlling endocrine or neuronal tissue. This mechanism applies to
the control of blood glucose by insulin and explains several experimental
observations on insulin resistance. We provide evidence that this mechanism may
also explain compensation and organ size control in other physiological circuits.
PMID- 27875242
TI - Involvement of BDNF/TrkB and ERK/CREB axes in nitroglycerin-induced rat migraine
and effects of estrogen on these signals in the migraine.
AB - Migraine is a highly prevalent headache disorder, especially in women. Brain
derived neurotrophic factor (BDNF) and its receptor tropomyosin receptor kinases
(TrkB), as well as extracellular signal-regulated kinase (ERK) and its downstream
target c-AMP-responsive element binding protein (CREB) are strongly associated
with the transmission of nociceptive information. However, the involvement of
these substances in migraine has rarely been examined. In the present study,
intraperitoneal injection of nitroglycerin (NTC) successfully induced rat
migraine attack, as evidenced by behavioral testing. The location and abundance
of these substances in the migraine model were determined by
immunohistochemistry, real-time polymerase chain reaction (RT-PCR), western blot
and enzyme-linked immunosorbant assays (ELISA). Results showed that BDNF, TrkB,
phosphor(p)-ERK and p-CREB were up-regulated in the brain neurons of both male
and female rats with NTG-induced migraine compared to non-migraine control,
whereas their expression levels were decreased in headache-free intervals of the
migraine compared to migraine attacks. Estrogen is an important contributor to
migraine. Female ovariectomized rats showed significant reduction in the
expression of BDNF, TrkB, p-CREB and p-ERK in both attacks and intervals of NTG
induced migraine, relative to rats that have their ovaries. But, intraperitoneal
administration of exogenous estrogen recovered their expression in ovariectomized
rats. Collectively, this study unveiled a positive correlation of BDNF/TrkB and
ERK/CREB axes in NTG-induced migraine and promoting effects of estrogen on their
signals in the migraine. These findings contribute to further understanding the
pathogenesis of migraine in the molecular basis.
PMID- 27875243
TI - REV-ERBalpha regulates Fgf21 expression in the liver via hepatic nuclear factor
6.
AB - The circadian clock contributes to the timing of many body functions including
metabolism and reproduction. The hepatokine fibroblast growth factor 21 (FGF21)
is a critical metabolic regulator involved in modulation of fertility. Here we
show that lack of the clock component REV-ERBalpha elevates FGF21 levels in liver
and plasma. At the molecular level, REV-ERBalpha modulates the expression of
FGF21 via the liver-specific hepatic nuclear factor 6 (HNF6). We conclude that
REV-ERBalpha regulates metabolism and reproduction, at least in part, via
regulation of Fgf21.
PMID- 27875244
TI - A six-genotype genetic prognostic model for papillary thyroid cancer.
AB - A unique prognostic role of the genetic duet of BRAF V600E and TERT promoter
mutations in papillary thyroid cancer (PTC) has been recently established, but
the role of RAS mutation in this genetic interplay remains to be established.
Using The Cancer Genome Atlas (TCGA) data of patients with PTC from 19 medical
centers, we investigated the interactions among the three mutations in clinical
outcomes of PTC. We found that BRAF and RAS mutations were mutually exclusive,
but both were associated with TERT promoter mutations, with the genetic duet of
BRAF/RAS and TERT mutations occurring in 34/388 (8.76%) patients. BRAF/RAS or
TERT mutation had no or minimal effect alone, whereas coexisting BRAF/RAS and
TERT mutations had a robust synergistic effect on poor clinicopathologic outcomes
of PTC, including disease recurrence and patient mortality. For example, PTC
recurrence rate was 52% with coexisting BRAF V600E/RAS and TERT promoter
mutations vs 6.9% with no mutation, corresponding to a HR of 8.17 (95% CI 3.09
21.58), which remained significant at 14.71 (95% CI 2.79-77.61) after adjustment
for clinicopathologic factors and institution. BRAF/RAS mutation or TERT mutation
alone minimally affected Kaplan-Meier patient survival curves, whereas the
genetic duet was associated with a sharp curve decline. Thus, by confirming and
expanding previous findings in single-institution studies, this multicenter data
analysis establishes a six-genotype genetic prognostic model for poor outcomes of
PTC with a risk order of genetic duet of BRAF V600E/RAS mutation and TERT
mutation >>>>BRAF V600E = TERT mutation alone >RAS mutation alone = wild-type
genes.
PMID- 27875245
TI - Aryl hydrocarbon receptor is required for optimal B-cell proliferation.
AB - The aryl hydrocarbon receptor (AhR), a transcription factor known for mediating
xenobiotic toxicity, is expressed in B cells, which are known targets for
environmental pollutants. However, it is unclear what the physiological functions
of AhR in B cells are. We show here that expression of Ahr in B cells is up
regulated upon B-cell receptor (BCR) engagement and IL-4 treatment. Addition of a
natural ligand of AhR, FICZ, induces AhR translocation to the nucleus and
transcription of the AhR target gene Cyp1a1, showing that the AhR pathway is
functional in B cells. AhR-deficient (Ahr-/-) B cells proliferate less than AhR
sufficient (Ahr+/+) cells following in vitro BCR stimulation and in vivo adoptive
transfer models confirmed that Ahr-/- B cells are outcompeted by Ahr+/+ cells.
Transcriptome comparison of AhR-deficient and AhR-sufficient B cells identified
cyclin O (Ccno), a direct target of AhR, as a top candidate affected by AhR
deficiency.
PMID- 27875246
TI - Bimodal skin progenitors-a matter of place and time.
PMID- 27875249
TI - An interview with Kathryn Anderson.
AB - Kathryn Anderson is Professor and Chair of the Developmental Biology Program at
the Sloan Kettering Institute in New York. Her lab investigates the genetic
networks underlying the patterning and morphogenesis of the early mouse embryo.
We caught up with Kathryn at the 2016 Society for Developmental Biology -
International Society of Differentiation joint meeting in Boston, where she was
awarded the Edwin G. Conklin medal.
PMID- 27875247
TI - Comorbidity prevalence, healthcare utilization, and expenditures of Medicaid
enrolled adults with autism spectrum disorders.
AB - A retrospective data analysis using 2000-2008 three state Medicaid Analytic
eXtract was conducted to examine the prevalence and association of comorbidities
(psychiatric and non-psychiatric) with healthcare utilization and expenditures of
fee-for-service enrolled adults (22-64 years) with and without autism spectrum
disorders (International Classification of Diseases, Ninth Revision-clinical
modification code: 299.xx). Autism spectrum disorder cases were 1:3 matched to no
autism spectrum disorder controls by age, gender, and race using propensity
scores. Study outcomes were all-cause healthcare utilization (outpatient office
visits, inpatient hospitalizations, emergency room, and prescription drug use)
and associated healthcare expenditures. Bivariate analyses (chi-square tests and
t-tests), multinomial logistic regressions (healthcare utilization), and
generalized linear models with gamma distribution (expenditures) were used.
Adults with autism spectrum disorders (n = 1772) had significantly higher rates
of psychiatric comorbidity (81%), epilepsy (22%), infections (22%), skin
disorders (21%), and hearing impairments (18%). Adults with autism spectrum
disorders had higher mean annual outpatient office visits (32ASD vs 8noASD) and
prescription drug use claims (51ASD vs 24noASD) as well as higher mean annual
outpatient office visits (US$4375ASD vs US$824noASD), emergency room
(US$15,929ASD vs US$2598noASD), prescription drug use (US$6067ASD vs
US$3144noASD), and total expenditures (US$13,700ASD vs US$8560noASD). The
presence of a psychiatric and a non-psychiatric comorbidity among adults with
autism spectrum disorders increased the annual total expenditures by US$4952 and
US$5084, respectively.
PMID- 27875250
TI - Quantitative stem cell biology: the threat and the glory.
AB - Major technological innovations over the past decade have transformed our ability
to extract quantitative data from biological systems at an unprecedented scale
and resolution. These quantitative methods and associated large datasets should
lead to an exciting new phase of discovery across many areas of biology. However,
there is a clear threat: will we drown in these rivers of data? On 18th July
2016, stem cell biologists gathered in Cambridge for the 5th annual Cambridge
Stem Cell Symposium to discuss 'Quantitative stem cell biology: from molecules to
models'. This Meeting Review provides a summary of the data presented by each
speaker, with a focus on quantitative techniques and the new biological insights
that are emerging.
PMID- 27875248
TI - Vildagliptin and caloric restriction for cardioprotection in pre-diabetic rats.
AB - Long-term high-fat diet (HFD) consumption causes cardiac dysfunction. Although
calorie restriction (CR) has been shown to be useful in obesity, we hypothesized
that combined CR with dipeptidyl peptidase-4 (DPP-4) inhibitor provides greater
efficacy than monotherapy in attenuating cardiac dysfunction and metabolic
impairment in HFD-induced obese-insulin resistant rats. Thirty male Wistar rats
were divided into 2 groups to be fed on either a normal diet (ND, n = 6) or a HFD
(n = 24) for 12 weeks. Then, HFD rats were divided into 4 subgroups (n =
6/subgroup) to receive just the vehicle, CR diet (60% of mean energy intake and
changed to ND), vildagliptin (3 mg/kg/day) or combined CR and vildagliptin for 4
weeks. Metabolic parameters, heart rate variability (HRV), cardiac mitochondrial
function, left ventricular (LV) and fibroblast growth factor (FGF) 21 signaling
pathway were determined. Rats on a HFD developed insulin and FGF21 resistance,
oxidative stress, cardiac mitochondrial dysfunction and impaired LV function.
Rats on CR alone showed both decreased body weight and visceral fat accumulation,
whereas vildagliptin did not alter these parameters. Rats in CR, vildagliptin and
CR plus vildagliptin subgroups had improved insulin sensitivity and oxidative
stress. However, vildagliptin improved heart rate variability (HRV), cardiac
mitochondrial function and LV function better than the CR. Chronic HFD
consumption leads to obese-insulin resistance and FGF21 resistance. Although CR
is effective in improving metabolic regulation, vildagliptin provides greater
efficacy in preventing cardiac dysfunction by improving anti-apoptosis and FGF21
signaling pathways and attenuating cardiac mitochondrial dysfunction in obese
insulin-resistant rats.
PMID- 27875255
TI - Glycosylation pattern analysis of glycoprotein hormones and their receptors.
AB - We have studied glycosylation patterns in glycoprotein hormones (GPHs) and
glycoprotein hormone receptor (GPHR) extracellular domains (ECD) from different
species to identify areas not glycosylated that could be involved in
intermolecular or intramolecular interactions. Comparative models of the
structure of the TSHR ECD in complex with TSH and in complex with TSHR
autoantibodies (M22, stimulating and K1-70, blocking) were obtained based on the
crystal structures of the FSH-FSHR ECD, M22-TSHR leucine-rich repeat domain (LRD)
and K1-70-TSHR LRD complexes. The glycosylation sites of the GPHRs and GPHs from
all species studied were mapped on the model of the human TSH TSHR ECD complex.
The areas on the surfaces of GPHs that are known to interact with their receptors
are not glycosylated and two areas free from glycosylation, not involved in
currently known interactions, have been identified. The concave faces of GPHRs
leucine-rich repeats 3-7 are free from glycosylation, consistent with known
interactions with the hormones. In addition, four other non-glycosylated areas
have been identified, two located on the receptors' convex surfaces, one in the
long loop of the hinge regions and one at the C-terminus of the extracellular
domains. Experimental evidence suggests that the non-glycosylated areas
identified on the hormones and receptors are likely to be involved in forming
intramolecular or intermolecular interactions.
PMID- 27875257
TI - Nicotinic acid timed to feeding reverses tissue lipid accumulation and improves
glucose control in obese Zucker rats[S].
AB - Nicotinic acid (NiAc) is a potent inhibitor of lipolysis, acutely reducing plasma
free fatty acid (FFA) concentrations. However, a major FFA rebound is seen during
rapid NiAc washout, and sustained exposure is associated with tolerance
development, with FFAs returning to pretreatment levels. Our aim was to find a
rational NiAc dosing regimen that preserves FFA lowering, sufficient to reverse
nonadipose tissue lipid accumulation and improve metabolic control, in obese
Zucker rats. We compared feeding-period versus fasting-period NiAc dosing for 5
days: 12 h subcutaneous infusion (programmable, implantable mini-pumps)
terminated by gradual withdrawal. It was found that NiAc timed to feeding
decreased triglycerides in liver (-47%; P < 0.01) and heart (-38%; P < 0.05) and
reduced plasma fructosamine versus vehicle. During oral glucose tolerance test,
plasma FFA levels were reduced with amelioration of hyperglycemia and
hypertriglyceridemia. Furthermore, timing NiAc to feeding resulted in a general
downregulation of de novo lipogenesis (DNL) genes in liver. By contrast, NiAc
timed to fasting did not reduce tissue lipids, ameliorate glucose intolerance or
dyslipidemia, or alter hepatic DNL genes. In conclusion, NiAc dosing regimen has
a major impact on metabolic control in obese Zucker rats. Specifically, a well
defined NiAc exposure, timed to feeding periods, profoundly improves the
metabolic phenotype of this animal model.
PMID- 27875260
TI - The presence and role of interstitial cells of Cajal in the proximal intestine of
shorthorn sculpin (Myoxocephalus scorpius).
AB - Rhythmic contractions of the mammalian gastrointestinal tract can occur in the
absence of neuronal or hormonal stimulation owing to the generation of
spontaneous electrical activity by interstitial cells of Cajal (ICC) that are
electrically coupled to smooth muscle cells. The myogenically driven component of
gastrointestinal motility patterns in fish probably also involves ICC; however,
little is known of their presence, distribution and function in any fish species.
In the present study, we combined immunohistochemistry and in vivo recordings of
intestinal motility to investigate the involvement of ICC in the motility of the
proximal intestine in adult shorthorn sculpin (Myoxocephalus scorpius).
Antibodies against anoctamin 1 (Ano1, a Ca2+-activated Cl- channel), revealed a
dense network of multipolar, repeatedly branching cells in the myenteric region
of the proximal intestine, similar in many regards to the mammalian ICC-MY
network. The addition of benzbromarone, a potent blocker of Ano1, altered the
motility patterns seen in vivo after neural blockade with TTX. The results
indicate that ICC are integral for the generation and propagation of the majority
of rhythmic contractile patterns in fish, although their frequency and amplitude
can be modulated via neural activity.
PMID- 27875251
TI - The impact of transposable elements on mammalian development.
AB - Despite often being classified as selfish or junk DNA, transposable elements
(TEs) are a group of abundant genetic sequences that have a significant impact on
mammalian development and genome regulation. In recent years, our understanding
of how pre-existing TEs affect genome architecture, gene regulatory networks and
protein function during mammalian embryogenesis has dramatically expanded. In
addition, the mobilization of active TEs in selected cell types has been shown to
generate genetic variation during development and in fully differentiated
tissues. Importantly, the ongoing domestication and evolution of TEs appears to
provide a rich source of regulatory elements, functional modules and genetic
variation that fuels the evolution of mammalian developmental processes. Here, we
review the functional impact that TEs exert on mammalian developmental processes
and discuss how the somatic activity of TEs can influence gene regulatory
networks.
PMID- 27875259
TI - Monoclonal antibodies that bind to the Ly6 domain of GPIHBP1 abolish the binding
of LPL.
AB - GPIHBP1, an endothelial cell protein, binds LPL in the interstitial spaces and
shuttles it to its site of action inside blood vessels. For years, studies of
human GPIHBP1 have been hampered by an absence of useful antibodies. We reasoned
that monoclonal antibodies (mAbs) against human GPIHBP1 would be useful for 1)
defining the functional relevance of GPIHBP1's Ly6 and acidic domains to the
binding of LPL; 2) ascertaining whether human GPIHBP1 is expressed exclusively in
capillary endothelial cells; and 3) testing whether GPIHBP1 is detectable in
human plasma. Here, we report the development of a panel of human GPIHBP1
specific mAbs. Two mAbs against GPIHBP1's Ly6 domain, RE3 and RG3, abolished LPL
binding, whereas an antibody against the acidic domain, RF4, did not. Also, mAbs
RE3 and RG3 bound with reduced affinity to a mutant GPIHBP1 containing an Ly6
domain mutation (W109S) that abolishes LPL binding. Immunohistochemistry studies
with the GPIHBP1 mAbs revealed that human GPIHBP1 is expressed only in capillary
endothelial cells. Finally, we created an ELISA that detects GPIHBP1 in human
plasma. That ELISA should make it possible for clinical lipidologists to
determine whether plasma GPIHBP1 levels are a useful biomarker of metabolic or
vascular disease.
PMID- 27875261
TI - The apparently contradictory energetics of hopping and running: the counter
intuitive effect of constraints resolves the paradox.
AB - Metabolic rate appears to increase with the rate of force application for
running. Leg function during ground contact is similar in hopping and running, so
one might expect that this relationship would hold for hopping as well.
Surprisingly, metabolic rate appeared to decrease with increasing force rate for
hopping. However, this paradox is the result of comparing different cross
sections of the metabolic cost landscapes for hopping and running. The apparent
relationship between metabolic rate and force rate observed in treadmill running
is likely not a fundamental characteristic of muscle physiology, but a result of
runners responding to speed constraints, i.e. runners selecting step frequencies
that minimize metabolic cost per distance for a series of treadmill-specified
speeds. Evaluating hopping metabolic rate over a narrow range of hop frequencies
similar to that selected by treadmill runners yields energy use trends similar to
those of running.
PMID- 27875256
TI - Mechanistic insight into eukaryotic 60S ribosomal subunit biogenesis by cryo
electron microscopy.
AB - Eukaryotic ribosomes, the protein-producing factories of the cell, are composed
of four ribosomal RNA molecules and roughly 80 proteins. Their biogenesis is a
complex process that involves more than 200 biogenesis factors that facilitate
the production, modification, and assembly of ribosomal components and the
structural transitions along the maturation pathways of the pre-ribosomal
particles. Here, I review recent structural and mechanistic insights into the
biogenesis of the large ribosomal subunit that were furthered by cryo-electron
microscopy of natively purified pre-60S particles and in vitro reconstituted
ribosome assembly factor complexes. Combined with biochemical, genetic, and
previous structural data, these structures have provided detailed insights into
the assembly and maturation of the central protuberance of the 60S subunit, the
network of biogenesis factors near the ribosomal tunnel exit, and the functional
activation of the large ribosomal subunit during cytoplasmic maturation.
PMID- 27875258
TI - Sweat lipid mediator profiling: a noninvasive approach for cutaneous research.
AB - Recent advances in analytical and sweat collection techniques provide new
opportunities to identify noninvasive biomarkers for the study of skin
inflammation and repair. This study aims to characterize the lipid mediator
profile including oxygenated lipids, endocannabinoids, and ceramides/sphingoid
bases in sweat and identify differences in these profiles between sweat collected
from nonlesional sites on the unflared volar forearm of subjects with and without
atopic dermatitis (AD). Adapting routine procedures developed for plasma
analysis, over 100 lipid mediators were profiled using LC-MS/MS and 58 lipid
mediators were detected in sweat. Lipid mediator concentrations were not affected
by sampling or storage conditions. Increases in concentrations of C30-C40 [NS]
and [NdS] ceramides, and C18:1 sphingosine, were observed in the sweat of study
participants with AD despite no differences being observed in transepidermal
water loss between study groups, and this effect was strongest in men (P < 0.05,
one-way ANOVA with Tukey's post hoc HSD). No differences in oxylipins and
endocannabinoids were observed between study groups. Sweat mediator profiling may
therefore provide a noninvasive diagnostic for AD prior to the presentation of
clinical signs.
PMID- 27875262
TI - Autoregulation of cardiac output is overcome by adrenergic stimulation in the
anaconda heart.
AB - Most vertebrates increase cardiac output during activity by elevating heart rate
with relatively stable stroke volume. However, several studies have demonstrated
'intrinsic autoregulation' of cardiac output where artificially increased heart
rate is associated with decreased stroke volume, leaving cardiac output
unchanged. We explored the capacity of noradrenaline to overcome autoregulation
in the anaconda heart. Electrically pacing in situ perfused hearts from the
intrinsic heart rate to the maximum attainable resulted in a proportional
decrease in stroke volume. However, noradrenaline, which increased heart rate to
the same frequency as pacing, maintained stroke volume and thus increased cardiac
output. In atrial and ventricular preparations, noradrenaline significantly
increased the force of contraction and contraction kinetics. Thus, the increased
contractility associated with adrenergic stimulation ameliorates filling
limitations at high heart rates. Although heart rate appears the primary
regulated variable during activity, this may only be achieved with compensatory
amendments in myocardial contractility provided by adrenergic stimulation.
PMID- 27875263
TI - Reversible developmental stasis in response to nutrient availability in the
Xenopus laevis central nervous system.
AB - Many organisms confront intermittent nutrient restriction (NR), but the
mechanisms to cope with nutrient fluctuations during development are not well
understood. This is particularly true of the brain, the development and function
of which is energy intensive. Here we examine the effects of nutrient
availability on visual system development in Xenopus laevis tadpoles. During the
first week of development, tadpoles draw nutrients from maternally provided yolk.
Upon yolk depletion, animals forage for food. By altering access to external
nutrients after yolk depletion, we identified a period of reversible stasis
during tadpole development. We demonstrate that NR results in developmental
stasis characterized by a decrease in overall growth of the animals, a failure to
progress through developmental stages, and a decrease in volume of the optic
tectum. During NR, neural progenitors virtually cease proliferation, but tadpoles
swim and behave normally. Introducing food after temporary NR increased neural
progenitor cell proliferation more than 10-fold relative to NR tadpoles, and cell
proliferation was comparable to that of fed counterparts 1 week after delayed
feeding. Delayed feeding also rescued NR-induced body length and tectal volume
deficits and partially rescued developmental progression defects. Tadpoles
recover from developmental stasis if food is provided within the first 9 days of
NR, after which access to food fails to increase cell proliferation. These
results show that early stages of tadpole brain development are acutely sensitive
to fluctuations in nutrient availability and that NR induces developmental stasis
from which animals can recover if food becomes available within a critical
window.
PMID- 27875264
TI - Expression of wnt4/5 during reproductive cycle of catfish and wnt5 promoter
analysis.
AB - Signaling molecules, Wnt4 and Wnt5, are essential for ovarian growth during
developmental stages in mammals. Although these molecules were identified in
several teleosts, their precise expression and role in reproductive processes
have not yet been explored in any lower vertebrates. In view of this, using
catfish, Clarias batrachus as an animal model, cloning and expression analysis of
wnt4 and wnt5 were analyzed in different tissues, at various developmental
stages, during ovarian reproductive cycle and after gonadotropin induction. These
studies indicate a plausible influence of Wnts in ovarian development and
recrudescence. Transcript and protein localization revealed their presence in
peri-nucleolar, pre-vitellogenic, vitellogenic and follicular layer of post
vitellogenic oocytes. Synchronous expression of pax2 and wnt5 during the ovarian
development and recrudescence of catfish led us to analyze the importance of
putative binding element of Pax2 in the 5'-promoter motif of wnt5 Promoter
activity of wnt5 was analyzed by luciferase assays after transfecting progressive
deletion constructs in pGL3 basic vector into the mammalian cell lines (HEK 293
and CHO). The constructs having putative Pax2 motif showed high promoter activity
compared with controls. Likewise, the constructs with site-directed mutagenesis
showed increased activity after supplementing recombinant Pax2 indicating the
prominence of this motif in wnt5 promoter, in vitro Electrophoretic gel mobility
shift, supershift and chromatin immunoprecipitation assays confirmed the binding
of Pax2 to its corresponding cis-acting element in the upstream of wnt5 This
study is the first of its kind to report the critical transcriptional interaction
of Pax2 on wnt5 vis-a-vis ovarian development in teleosts.
PMID- 27875265
TI - Oviduct: roles in fertilization and early embryo development.
AB - Animal oviducts and human Fallopian tubes are a part of the female reproductive
tract that hosts fertilization and pre-implantation development of the embryo.
With an increasing understanding of roles of the oviduct at the cellular and
molecular levels, current research signifies the importance of the oviduct on
naturally conceived fertilization and pre-implantation embryo development. This
review highlights the physiological conditions within the oviduct during
fertilization, environmental regulation, oviductal fluid composition and its role
in protecting embryos and supplying nutrients. Finally, the review compares
different aspects of naturally occurring fertilization and assisted reproductive
technology (ART)-achieved fertilization and embryo development, giving insight
into potential areas for improvement in this technology.
PMID- 27875266
TI - Research in Jeopardy.
PMID- 27875267
TI - Tributes to Daniel H. Teitelbaum, MD, PhD.
PMID- 27875268
TI - Teduglutide and Intestinal Permeability in Short Bowel Syndrome.
PMID- 27875269
TI - Response to "Teduglutide and Intestinal Permeability in Short Bowel Syndrome".
PMID- 27875270
TI - JPEN Journal Club 23. GRADEing Guidelines.
PMID- 27875271
TI - RNA-dependent disassembly of nuclear bodies.
AB - Nuclear bodies are membraneless organelles that play important roles in genome
functioning. A specific type of nuclear bodies known as interphase prenucleolar
bodies (iPNBs) are formed in the nucleoplasm after hypotonic stress from
partially disassembled nucleoli. iPNBs are then disassembled, and the nucleoli
are reformed simultaneously. Here, we show that diffusion of B23 molecules (also
known as nucleophosmin, NPM1) from iPNBs, but not fusion of iPNBs with the
nucleoli, contributes to the transfer of B23 from iPNBs to the nucleoli.
Maturation of pre-ribosomal RNAs (rRNAs) and the subsequent outflow of mature
rRNAs from iPNBs led to the disassembly of iPNBs. We found that B23 transfer was
dependent on the synthesis of pre-rRNA molecules in nucleoli; these pre-rRNA
molecules interacted with B23 and led to its accumulation within nucleoli. The
transfer of B23 between iPNBs and nucleoli was accomplished through a
nucleoplasmic pool of B23, and increased nucleoplasmic B23 content retarded
disassembly, whereas B23 depletion accelerated disassembly. Our results suggest
that iPNB disassembly and nucleolus assembly might be coupled through RNA
dependent exchange of nucleolar proteins, creating a highly dynamic system with
long-distance correlations between spatially distinct processes.
PMID- 27875272
TI - Tenascin-C at a glance.
AB - Tenascin-C (TNC) is a hexameric, multimodular extracellular matrix protein with
several molecular forms that are created through alternative splicing and protein
modifications. It is highly conserved amongst vertebrates, and molecular
phylogeny indicates that it evolved before fibronectin. Tenascin-C has many
extracellular binding partners, including matrix components, soluble factors and
pathogens; it also influences cell phenotype directly through interactions with
cell surface receptors. Tenascin-C protein synthesis is tightly regulated, with
widespread protein distribution in embryonic tissues, but restricted distribution
of tenascin-C in adult tissues. Tenascin-C is also expressed de novo during wound
healing or in pathological conditions, including chronic inflammation and cancer.
First described as a modulator of cell adhesion, tenascin-C also directs a
plethora of cell signaling and gene expression programs by shaping mechanical and
biochemical cues within the cellular microenvironment. Exploitment of the
pathological expression and function of tenascin-C is emerging as a promising
strategy to develop new diagnostic, therapeutic and bioengineering tools. In this
Cell Science at a Glance article and the accompanying poster we provide a
succinct and comprehensive overview of the structural and functional features of
tenascin-C and its potential roles in developing embryos and under pathological
conditions.
PMID- 27875273
TI - SIRT2 regulates nuclear envelope reassembly through ANKLE2 deacetylation.
AB - Sirtuin 2 (SIRT2) is an NAD-dependent deacetylase known to regulate microtubule
dynamics and cell cycle progression. SIRT2 has also been implicated in the
pathology of cancer, neurodegenerative diseases and progeria. Here, we show that
SIRT2 depletion or overexpression causes nuclear envelope reassembly defects. We
link this phenotype to the recently identified regulator of nuclear envelope
reassembly ANKLE2. ANKLE2 acetylation at K302 and phosphorylation at S662 are
dynamically regulated throughout the cell cycle by SIRT2 and are essential for
normal nuclear envelope reassembly. The function of SIRT2 therefore extends
beyond the regulation of microtubules to include the regulation of nuclear
envelope dynamics.
PMID- 27875274
TI - SIRT1 inhibits EV71 genome replication and RNA translation by interfering with
the viral polymerase and 5'UTR RNA.
AB - Enterovirus 71 (EV71) possesses a single-stranded positive RNA genome that
contains a single open reading frame (ORF) flanked by a 5' untranslated region
(5'UTR) and a polyadenylated 3'UTR. Here, we demonstrated that EV71 activates the
production of silent mating type information regulation 2 homolog 1 (SIRT1), a
histone deacetylase (HDAC). EV71 further stimulates SIRT1 sumoylation and
deacetylase activity, and enhances SIRT1 translocation from the nucleus to the
cytoplasm. More interestingly, activated SIRT1 subsequently binds with the EV71
3Dpol protein (a viral RNA-dependent RNA polymerase, RdRp) to repress the
acetylation and RdRp activity of 3Dpol, resulting in the attenuation of viral
genome replication. Moreover, SIRT1 interacts with the cloverleaf structure of
the EV71 RNA 5'UTR to inhibit viral RNA transcription, and binds to the internal
ribosome entry site (IRES) of the EV71 5'UTR to attenuate viral RNA translation.
Thus, EV71 stimulates SIRT1 production and activity, which in turn represses EV71
genome replication by inhibiting viral polymerase, and attenuates EV71 RNA
transcription and translation by interfering with viral RNA. These results
uncover a new function of SIRT1 and reveal a new mechanism underlying the
regulation of EV71 replication.
PMID- 27875275
TI - Histone chaperone APLF regulates induction of pluripotency in murine fibroblasts.
AB - Induction of pluripotency in differentiated cells through the exogenous
expression of the transcription factors Oct4, Sox2, Klf4 and cellular Myc
involves reprogramming at the epigenetic level. Histones and their metabolism
governed by histone chaperones constitute an important regulator of epigenetic
control. We hypothesized that histone chaperones facilitate or inhibit the course
of reprogramming. For the first time, we report here that the downregulation of
histone chaperone Aprataxin PNK-like factor (APLF) promotes reprogramming by
augmenting the expression of E-cadherin (Cdh1), which is implicated in the
mesenchymal-to-epithelial transition (MET) involved in the generation of induced
pluripotent stem cells (iPSCs) from mouse embryonic fibroblasts (MEFs).
Downregulation of APLF in MEFs expedites the loss of the repressive MacroH2A.1
(encoded by H2afy) histone variant from the Cdh1 promoter and enhances the
incorporation of active histone H3me2K4 marks at the promoters of the
pluripotency genes Nanog and Klf4, thereby accelerating the process of cellular
reprogramming and increasing the efficiency of iPSC generation. We demonstrate a
new histone chaperone (APLF)-MET-histone modification cohort that functions in
the induction of pluripotency in fibroblasts. This regulatory axis might provide
new mechanistic insights into perspectives of epigenetic regulation involved in
cancer metastasis.
PMID- 27875278
TI - Ratiometric analysis of Acridine Orange staining in the study of acidic
organelles and autophagy.
AB - Acridine Orange is a cell-permeable green fluorophore that can be protonated and
trapped in acidic vesicular organelles (AVOs). Its metachromatic shift to red
fluorescence is concentration-dependent and, therefore, Acridine Orange
fluoresces red in AVOs, such as autolysosomes. This makes Acridine Orange
staining a quick, accessible and reliable method to assess the volume of AVOs,
which increases upon autophagy induction. Here, we describe a ratiometric
analysis of autophagy using Acridine Orange, considering the red-to-green
fluorescence intensity ratio (R/GFIR) to quantify flow cytometry and fluorescence
microscopy data of Acridine-Orange-stained cells. This method measured with
accuracy the increase in autophagy induced by starvation or rapamycin, and the
reduction in autophagy produced by bafilomycin A1 or the knockdown of Beclin1 or
ATG7. Results obtained with Acridine Orange, considering R/GFIR, correlated with
the conversion of the unlipidated form of LC3 (LC3-I) into the lipidated form
(LC3-II), SQSTM1 degradation and GFP-LC3 puncta formation, thus validating this
assay to be used as an initial and quantitative method for evaluating the late
step of autophagy in individual cells, complementing other methods.
PMID- 27875279
TI - Biochemical Markers of Nutrition Support in Critically Ill Trauma Victims.
AB - BACKGROUND: In critically ill patients, plasma serum albumin and transthyretin
concentrations are thought to reflect the effects of acute illness, including
resuscitation and inflammation. Their use as markers for preexisting nutrition
status is, therefore, not recommended. Whether they can be used to assess
subsequent effectiveness of artificial nutrition support is unclear. We sought to
determine if these biomarkers are associated with enteral caloric intake in
critically ill trauma patients. MATERIALS AND METHODS: We analyzed data from
adult trauma victims who required >=2 days of mechanical ventilation and >=7 days
of intensive care. We categorized patients into low, middle, or high enteral
calorie delivery groups (2, 9, or 17 kcal/kg/d during the first week). We
compared serial concentrations of serum albumin, transthyretin, and C-reactive
protein. Multiple linear and Poisson regression were used to determine
relationships between calorie intake and nutrition biomarkers. RESULTS: In total,
1056 patients were analyzed. Their median age was 44 (interquartile range [IQR],
28-57) years, and median injury severity score was 34 (IQR, 26-41). Calorie
intake during the first week was not related to biomarkers during the first or
second week. However, by the beginning of the third week, the highest calorie
group showed greater changes in concentrations of transthyretin (+3.0 mg/dL
relative to initial concentration, P = .01) and serum albumin (+0.17 g/dL, P =
.05) compared with the lowest calorie group. CONCLUSIONS: In trauma patients
requiring 1 or more weeks of intensive care, changes in transthyretin were
associated with enteral caloric intake. Our data suggest that transthyretin could
be used to monitor nutrition support after 2 weeks in intensive care.
PMID- 27875280
TI - Malnutrition Identified by the Academy of Nutrition and Dietetics and American
Society for Parenteral and Enteral Nutrition Consensus Criteria and Other Bedside
Tools Is Highly Prevalent in a Sample of Individuals Undergoing Treatment for
Head and Neck Cancer.
AB - BACKGROUND: Using the Academy of Nutrition and Dietetics and American Society for
Parenteral and Enteral Nutrition (Academy/ASPEN) Consensus malnutrition
definition, we estimated malnutrition prevalence in a sample of individuals with
head and neck cancer (HNC) and compared it with the Patient-Generated Subjective
Global Assessment (PG-SGA). We also investigated the utility of the 50-kHz phase
angle (PA) and 200-kHz/5-kHz impedance ratio (IR) to identify malnutrition.
MATERIALS AND METHODS: Nineteen individuals (18 males, 1 female) scheduled to
undergo chemoradiotherapy were seen at 5 time points during and up to 3 months
after treatment completion. Multiple-frequency bioelectrical impedance analysis,
PG-SGA, nutrition-focused physical examination, anthropometry, dietary intake,
and handgrip strength data were collected. RESULTS: Using the Consensus, 67% were
found to be malnourished before treatment initiation; these criteria diagnosed
malnutrition with overall good sensitivity (94%) and moderate specificity (43%)
compared with PG-SGA. Over all pooled observations, "malnourished" (by Consensus
but not PG-SGA category) had a lower mean PA (5.2 vs 5.9; P = .03) and higher IR
(0.82 vs 0.79; P = .03) than "well-nourished" categorizations, although the
clinical relevance of these findings is unclear. PA and IR were correlated with
higher PG-SGA score (r = -0.35, r = 0.36; P < .01) and handgrip strength (r =
0.48, r = -0.47; P < .01). CONCLUSION: The Academy/ASPEN Consensus and the PG-SGA
were in good agreement. It is unclear whether PA and IR can be used as surrogate
markers of nutrition status or muscle loss.
PMID- 27875281
TI - Effect of Liraglutide Treatment on Jejunostomy Output in Patients With Short
Bowel Syndrome: An Open-Label Pilot Study.
AB - BACKGROUND: An impaired hormonal "ileo-colonic brake" may contribute to rapid
gastric emptying, gastric hypersecretion, high ostomy losses, and the need for
parenteral support in end-jejunostomy short bowel syndrome (SBS) patients with
intestinal failure (IF). Liraglutide, a glucagon-like peptide 1 receptor agonist,
may reduce gastric hypersecretion and dampen gastric emptying, thereby improving
conditions for intestinal absorption. MATERIALS AND METHODS: In an 8-week, open
label pilot study, liraglutide was given subcutaneously once daily to 8 end
jejunostomy patients, aged 63.4 +/- 10.9 years (mean +/- SD) and with small bowel
lengths of 110 +/- 66 cm. The 72-hour metabolic balance studies were performed
before and at the end of treatment. Food intake was unrestricted. Oral fluid
intake and parenteral support volume were kept constant. The primary end point
was change in the ostomy wet weight output. RESULTS: Liraglutide reduced ostomy
wet weight output by 474 +/- 563 g/d from 3249 +/- 1352 to 2775 +/- 1187 g/d (P =
.049, Student t test). Intestinal wet weight absorption tended to increase by 464
+/- 557 g/d (P = .05), as did urine production by 765 +/- 759 g/d (P = .02).
Intestinal energy absorption improved by 902 +/- 882 kJ/d (P = .02). CONCLUSION:
Liraglutide reduced ostomy wet weight output in end-jejunostomy patients with SBS
IF and increased their intestinal wet weight and energy absorption. If larger,
randomized, placebo-controlled studies confirm these effects, it adds to the
hypothesis that many ileo-colonic brake hormones in conjunction may be involved
in the process of intestinal adaptation. By identification of key hormones and
addressing their potential synergistic effects, better treatments may be provided
to patients with SBS-IF. This trial was registered at clinicaltrialsregister.eu
as 2013-005499-16.
PMID- 27875282
TI - Metabolic Requirement of Septic Shock Patients Before and After Liberation From
Mechanical Ventilation.
AB - OBJECTIVES: This study identified the difference in energy expenditure and
substrate utilization of patients during and upon liberation from mechanical
ventilation. METHODS: Patients under intensive care who were diagnosed with
septic shock and dependent on mechanical ventilation were recruited. Indirect
calorimetry measurements were performed during and upon liberation from
mechanical ventilation. RESULTS: Thirty-five patients were recruited (20 men and
15 women; mean age, 69 +/- 10 years). Measured energy expenditures during
ventilation and upon liberation were 2090 +/- 489 kcal.d-1 and 1910 +/- 579
kcal.d-1, respectively ( P < .05). Energy intake was provided at 1148 +/- 495
kcal.d-1 and differed significantly from all measured energy expenditures ( P <
.05). Mean carbohydrate utilization was 0.19 +/- 0.1 g.min-1 when patients were
on mechanical ventilation compared with 0.15 +/- 0.09 g.min-1 upon liberation ( P
< .05). Mean lipid oxidation was 0.08 +/- 0.05 g.min-1 during and 0.09 +/- 0.07
g.min-1 upon liberation from mechanical ventilation ( P > .05). CONCLUSIONS:
Measured energy expenditure was higher during than upon liberation from
mechanical ventilation. This could be the increase in work of breathing from the
continuous positive pressure support, repeated weaning cycles from mechanical
ventilation, and/or the asynchronization between patients' respiration and
ventilator support. Future studies should examine whether more appropriately
matching energy expenditure with energy intake would promote positive health
outcomes.
PMID- 27875277
TI - Development of nanoscale structure in LAT-based signaling complexes.
AB - The adapter molecule linker for activation of T cells (LAT) plays a crucial role
in forming signaling complexes induced by stimulation of the T cell receptor
(TCR). These multi-molecular complexes are dynamic structures that activate
highly regulated signaling pathways. Previously, we have demonstrated nanoscale
structure in LAT-based complexes where the adapter SLP-76 (also known as LCP2)
localizes to the periphery of LAT clusters. In this study, we show that initially
LAT and SLP-76 are randomly dispersed throughout the clusters that form upon TCR
engagement. The segregation of LAT and SLP-76 develops near the end of the
spreading process. The local concentration of LAT also increases at the same
time. Both changes require TCR activation and an intact actin cytoskeleton. These
results demonstrate that the nanoscale organization of LAT-based signaling
complexes is dynamic and indicates that different kinds of LAT-based complexes
appear at different times during T cell activation.
PMID- 27875276
TI - Cancer cells become less deformable and more invasive with activation of beta
adrenergic signaling.
AB - Invasion by cancer cells is a crucial step in metastasis. An oversimplified view
in the literature is that cancer cells become more deformable as they become more
invasive. beta-adrenergic receptor (betaAR) signaling drives invasion and
metastasis, but the effects on cell deformability are not known. Here, we show
that activation of beta-adrenergic signaling by betaAR agonists reduces the
deformability of highly metastatic human breast cancer cells, and that these
stiffer cells are more invasive in vitro We find that betaAR activation also
reduces the deformability of ovarian, prostate, melanoma and leukemia cells.
Mechanistically, we show that betaAR-mediated cell stiffening depends on the
actin cytoskeleton and myosin II activity. These changes in cell deformability
can be prevented by pharmacological beta-blockade or genetic knockout of the
beta2-adrenergic receptor. Our results identify a beta2-adrenergic-Ca2+-actin
axis as a new regulator of cell deformability, and suggest that the relationship
between cell mechanical properties and invasion might be dependent on context.
PMID- 27875283
TI - Does Persistent Inflammatory Catabolic Syndrome Exist in Critically Ill Neonates?
AB - BACKGROUND: Persistent inflammatory catabolic syndrome (PICS) has not been
described in the infant population. This study proposes a definition of PICS in
critically ill infants. METHODS: A published adult criterion of PICS was modified
using anthropometric and biochemical reference ranges for infants. A prospective
chart review of admissions to a tertiary surgical neonatal intensive care unit
(NICU) was performed over 65 days. Demographic, anthropometric, biochemical, and
other clinical variables such as length of stay and medication use were collected
daily throughout admission. Infants were categorized as having or not having
PICS. RESULTS: Twenty percent of admitted infants (n = 15) developed PICS using
the proposed criteria. Infants with PICS were more likely to be classified as
failure to thrive (53%), meeting only 75% of their anticipated weight gain.
Significantly more infants with PICS had undergone surgery (100%; P = .01),
received inotropic medication (40%; P = .05), and had longer NICU and total
hospital length of stay ( P < .001 and P < .001). Infants with PICS had higher
peak glucose levels (11.8 +/- 7.3 mmol/L) and elevated urea concentrations (7.9
+/- 4.6 mmol/L). CONCLUSIONS: PICS does exist in a critically ill neonatal
population and may be identified using the definition proposed in this study.
Infants with PICS displayed metabolic dysregulation, impaired expected growth
velocity, and longer length of stay despite no differences in severity scores or
diagnosis between the groups. Validation of this work is required, and research
into timely identification of infants with PICS is needed to inform whether these
infants would benefit from earlier and novel nutrition intervention.
PMID- 27875284
TI - Parenteral Nutrition Electrolyte Abnormalities and Associated Factors Before and
After Nutrition Support Team Initiation.
AB - BACKGROUND: Studied since the 1940s, refeeding syndrome still has no universal
definition, thus making comparison of studies difficult. Negative outcomes (eg,
metabolic abnormalities) may occur with the use of specialized nutrition, such as
parenteral nutrition (PN). Less than half of medical institutions have a
nutrition support team (NST) managing PN. Interdisciplinary team management of PN
may reduce negative outcomes of PN. The objective of this study was to show the
value of the NST by measuring differences in PN variables, especially electrolyte
abnormalities (EAs), before and after NST initiation at a large medical center
and to identify factors associated with EAs among adult subjects receiving PN.
MATERIALS AND METHODS: During this retrospective study, computerized medical
charts (N = 735) from 2007-2010 were reviewed for electrolyte changes
(particularly potassium, magnesium, and phosphorus) the first 3 days following PN
initiation in hospitalized adults. Changes in EAs with other variables were
compared before and after NST implementation. Equivalent samples sizes were
collected to better evaluate the impact of the team. RESULTS: Following the
implementation of the NST, fewer EAs were seen in PN patients (53%; chi2 =
10.906, P = .004); significantly less potassium, phosphorus, and magnesium
intravenous piggyback supplementation (88.8% vs 94%; chi2 = 5.05, P = .026) was
used; and mortality within 30 days of PN cessation was significantly less (12.7%
vs 10.6%, P = .012). CONCLUSION: Our study complements existing research, finding
that an NST was associated with a decreased occurrence of EAs and mortality in
the hospitalized adult receiving PN.
PMID- 27875285
TI - What Is "Best Achievable" Practice in Implementing the Enhanced Protein-Energy
Provision via the Enteral Route Feeding Protocol in Intensive Care Units in the
United States? Results of a Multicenter, Quality Improvement Collaborative.
AB - BACKGROUND: The purpose of this study was to determine what was "best achievable
practice" with the implementation of a novel enteral feeding protocol (Enhanced
Protein-Energy Provision via the Enteral Route Feeding Protocol [PEP uP
protocol]). METHODS: This study was a multicenter quality improvement
collaborative wherein we describe nutrition practices and outcomes within PEP uP
sites. We report the minimum, average, and maximal site-level performance on
aspects related to nutrition practices and outcomes. RESULTS: In 2014, 7
intensive care units (ICUs) in the United States implemented the PEP uP protocol.
On average, over the first 5 ICU days, patients received 35% (site range, 26%
53%) of their prescribed energy requirements and 42% (site range, 29%-66%) of
their prescribed protein requirements from enteral nutrition. In PEP uP sites,
71% (site range, 58%-95%) of patients received a semidigested formula within 72
hours of admission to the ICU, 72% had a volume-based goal as the initial feeding
strategy (site range, 47%-100%), 56% had prophylactic protein supplements (site
range, 0%-100%), and 19% received prophylactic motility agents (site range, 0%
85%). CONCLUSIONS: There was variable success with the implementation of the
different components of the PEP uP protocol. Improving the implementation of the
various components may further increase nutrition delivery.
PMID- 27875286
TI - New, Immunomodulatory, Oral Nutrition Formula for Use Prior to Surgery in
Patients With Head and Neck Cancer: An Exploratory Study.
AB - BACKGROUND: The perioperative use of immunomodulatory nutrition formulas in
patients with head and neck cancer reduces the number of postoperative infections
and the length of hospital stay. OBJECTIVE: An exploratory, randomized,
controlled, blind, clinical trial was designed to examine the effect of the
preoperative consumption of a new, immunomodulatory, oral nutrition formula in
patients with head and neck cancer. METHODS: Thirty-eight patients were
randomized to receive either 400 mL/d of either the new immunomodulatory formula
(IF) or that commonly used in clinical practice (CF) over 10 days prior to
surgery. Thirty-three patients completed the study. Compliance, tolerance, the
length of hospital stay, the incidence of infections and noninfectious
complications before discharge, and the same up to 15 and 30 days after discharge
were recorded. RESULTS: The percentage of patients who developed infections
before discharge was significantly lower in the IF than in the CF group (P =
.013), as was the number of infections/100 patients/d (P = .035). The length of
hospital stay was significantly shorter in the IF group (P = .001). Both formulas
were safe and well tolerated. No other differences were detected. These results
suggest preoperative consumption of the new formula to be beneficial for patients
with neck and head cancer. Further trials are needed to confirm these results and
to test the efficacy of the formula in patients with other conditions.
CONCLUSION: The new formula can be safely prescribed as part of the preoperative
treatment of patients with head and neck cancer and might reduce the problem of
postoperative infection.
PMID- 27875287
TI - Two-Year Follow-up of a Randomized Controlled Nutrition Intervention Trial in
Very Low-Birth-Weight Infants.
AB - BACKGROUND: Very low-birth-weight (VLBW) infants are at risk for neurodevelopment
impairment. This study assessed the effect of early aggressive parenteral
nutrition (PN) on long-term outcome in VLBW infants. MATERIALS AND METHODS:
Directly after birth, VLBW infants (birth weight <1500 g, n = 142) were
randomized to 5 different PN regimes. Controls (n = 46) received glucose and
standard-dose amino acids (AAs; 2.4 g/[kg.d]) from birth onward and pure soybean
oil fat emulsion (SOY) on the second day of life. Two intervention groups
received glucose, standard-dose AAs, and lipids from birth onward: SOY (n = 24)
or mixed fat emulsion (MIX, n = 25). The 2 other intervention groups received
glucose, high-dose AAs (3.6 g/[kg.d]), and lipids from birth onward: SOY (n = 24)
or MIX (n = 23). The primary outcome of this follow-up study was the composite
outcome of "death or major disability" at 2 years corrected age. Secondary
outcomes were death, major disabilities, neurodevelopmental scores, and
anthropometry. RESULTS: Follow-up rate was 92% (n = 134). Thirty-five (26%)
infants had died or had a major disability, with no differences between
intervention groups and controls. Increased odds for death were observed in the
standard-dose AA-MIX group (odds ratio, 5.4; 95% confidence interval [CI], 1.1
27.0). Neurodevelopmental scores and incidence of major disabilities did not
differ between groups. Growth in the high-dose AA-MIX group was enhanced compared
with growth in controls at 2 years corrected age (+0.51 [0.01-1.02] weight SDS).
CONCLUSION: This randomized controlled hypothesis-generating study demonstrated
no beneficial effect of early high-dose AA administration and mixed fat emulsions
on survival and neurodevelopmental outcome in VLBW infants, although growth was
enhanced.
PMID- 27875289
TI - Home Parenteral Nutrition in Adult Patients With Chronic Intestinal Failure:
Catheter-Related Complications Over 4 Decades at the Main Danish Tertiary
Referral Center.
AB - BACKGROUND/AIMS: Catheter-related complications (CRCs) cause mortality and
morbidity in patients dependent on parenteral support at home (HPN) due to
intestinal failure (IF). This study describes the incidences of CRCs in an adult
IF cohort over 40 years. It illustrates the evolution and consequences of CRCs,
their association to demographic characteristics, and potential risk factors in
an effort to provide the rationale for preventive precautions to the relevant
patients with IF at risk. METHODS: All patients with IF discharged with HPN from
1970-2010 were included. Patient and treatment characteristics were extracted
from the Copenhagen IF database. The incidences were given per 1000 central
venous catheter (CVC) days. RESULTS: The 1715 CRCs occurred in 70% of the 508
patients with IF (56% of the 2191 CVCs). The incidence of catheter-related
bloodstream infections (CRBSIs) was 1.43. Higher age, HPN administration by
community home nurses, and prior CRBSIs significantly raised the hazard for
CRBSIs. In the 1970s, catheters were generally replaced following CRBSIs, whereas
catheter salvage was the norm in the 2000s. The incidences of mechanical
complications, tunnel infections, and catheter-related venous thromboses were
0.80, 0.25, and 0.11, respectively. The overall CRC incidence was 2.58,
decreasing the first 3 decades but peaking in the last (2.84). The deaths related
to CRCs were low (0.018). CONCLUSION: Even in an experienced IF center of
excellence, the incidence of CRCs increased over the 4 decades. This increase
could be explained by the expansion of the indication of HPN to a more elderly
and frail patient population.
PMID- 27875290
TI - Whole Body Protein Turnover and Net Protein Balance After Pediatric Thoracic
Surgery: A Noninvasive Single-Dose 15N Glycine Stable Isotope Protocol With End
Product Enrichment.
AB - BACKGROUND: We used the 15N glycine urinary end-product enrichment technique to
quantify whole body protein turnover following thoracic surgery. MATERIALS AND
METHODS: A single dose of 15N glycine (2 mg/kg) was administered orally on
postoperative day 1 to children (1-18 years) following thoracic surgery. 15N
enrichment of ammonia and urea was measured in mixed urine after 12 and 24 hours,
respectively, and protein synthesis, breakdown, and net balance determined.
Nitrogen balance (dietary intake minus urinary excretion) was calculated. Urinary
3-methylhistidine:creatinine ratio was measured as a marker of skeletal muscle
protein breakdown. RESULTS: We enrolled 19 subjects-median (interquartile range):
age, 13.8 years (12.2-15.1); weight, 49.2 kg (38.4-60.8)-who underwent
thoracotomy (n = 12) or thoracoscopic (n = 7) surgery. Protein synthesis and
breakdown by 15N enrichment were 7.1 (5.5-9) and 7.1 (5.6-9) g.kg-1.d-1 with
ammonia (12 hours) as the end product, and 5.8 (3.8-6.7) and 6.7 (4.5-7.6) with
urea (24 hours), respectively. Net protein balance by the 15N glycine and urinary
urea nitrogen methods were -0.34 (-0.47, -0.3) and -0.48 (-0.65, -0.28) g.kg-1.d
1, respectively (rs = 0.828, P < .001). Postoperative change in 3
methylhistidine:creatinine ratio did not correlate significantly with protein
breakdown or balance. CONCLUSION: The single-dose oral administration of 15N
glycine stable isotope with measurement of urinary end-product enrichment is a
feasible and noninvasive method to investigate whole body protein turnover in
children. After major surgery, children manifest increased protein turnover and
net negative balance due to increased protein breakdown.
PMID- 27875291
TI - Independence From Parenteral Nutrition and Intravenous Fluid Support During
Treatment With Teduglutide Among Patients With Intestinal Failure Associated With
Short Bowel Syndrome.
AB - BACKGROUND: In phase III clinical studies, treatment with teduglutide was
associated with clinically meaningful reductions (>=20% from baseline) in
parenteral support (PS; parenteral nutrition and/or intravenous fluids)
requirements in adult patients with intestinal failure associated with short
bowel syndrome (SBS-IF). This analysis reports clinical characteristics of
patients who achieved complete independence from PS during teduglutide treatment.
MATERIALS AND METHODS: Post hoc analysis of adult patients who achieved complete
PS independence during treatment with teduglutide 0.05 mg/kg/d. Data were pooled
from 5 teduglutide clinical trials (2 phase III placebo-controlled trials
[NCT00081458 and NCT00798967] and their respective extension studies
[NCT00172185, NCT00930644, NCT01560403]). Descriptive statistics were used; no
between-group comparisons were performed because of the small sample size and
lack of comparator. RESULTS: Of 134 patients, 16 gained oral or enteral autonomy
after a median of 5 years of PS dependence and 89 weeks of teduglutide treatment.
Demographic and baseline disease characteristics varied among patients (median
age, 55 years; 50% men; median baseline PS volume, 5.1 L/wk; median residual
small intestine length, 52.5 cm). Most patients who achieved PS independence had
colon-in-continuity; however, there was no significant difference in the
frequency of PS independence among patients who maintained colon-in-continuity vs
those who did not. CONCLUSION: Findings from this post hoc analysis suggest that
oral or enteral autonomy is possible for some patients with SBS-IF who are
treated with teduglutide, regardless of baseline characteristics and despite long
term PS dependence.
PMID- 27875292
TI - Dissociation of Golgi-associated DHHC-type Zinc Finger Protein (GODZ)- and
Sertoli Cell Gene with a Zinc Finger Domain-beta (SERZ-beta)-mediated
Palmitoylation by Loss of Function Analyses in Knock-out Mice.
AB - The gamma2 subunit of GABA type A receptors (GABAARs) is thought to be subject to
palmitoylation by both Golgi-associated DHHC-type zinc finger protein (GODZ; also
known as DHHC3) and its paralog Sertoli cell gene with a zinc finger domain-beta
(SERZ-beta; DHHC7) based on overexpression of enzymes and substrates in
heterologous cells. Here we have further investigated the substrate specificity
of these enzymes by characterization of GODZ and SERZ-beta knock-out (KO) mice as
well as double KO (DKO) neurons. Palmitoylation of gamma2 and a second substrate,
growth-associated protein of 43 kDa, that is independently implicated in
trafficking of GABAARs was significantly reduced in brain of GODZ KO versus wild
type (WT) mice but unaltered in SERZ-beta KO mice. Accumulation of GABAARs at
synapses, GABAergic innervation, and synaptic function were reduced in GODZ KO
and DKO neurons to a similar extent, indicating that SERZ-beta does not
contribute to palmitoylation or trafficking of GABAARs even in the absence of
GODZ. Notably, these effects were seen only when mutant neurons were grown in
competition with WT neurons, thereby mimicking conditions of shRNA-transfected
neurons previously used to characterize GODZ. However, GABA-evoked whole-cell
currents of DKO neurons and the GABAAR cell surface expression in DKO neurons and
GODZ or SERZ-beta KO brain slices were unaltered, indicating that GODZ-mediated
palmitoylation selectively controls the pool of receptors at synapses. The
different substrate specificities of GODZ and SERZ-beta in vivo were correlated
with their differential localization to cis- versus trans-Golgi compartment, a
mechanism that was compromised by overexpression of GODZ.
PMID- 27875293
TI - Attenuation of Blood-Brain Barrier Breakdown and Hyperpermeability by Calpain
Inhibition.
AB - Blood-brain barrier (BBB) breakdown and the associated microvascular
hyperpermeability followed by brain edema are hallmark features of several brain
pathologies, including traumatic brain injuries (TBI). Recent studies indicate
that pro-inflammatory cytokine interleukin-1beta (IL-1beta) that is up-regulated
following traumatic injuries also promotes BBB dysfunction and hyperpermeability,
but the underlying mechanisms are not clearly known. The objective of this study
was to determine the role of calpains in mediating BBB dysfunction and
hyperpermeability and to test the effect of calpain inhibition on the BBB
following traumatic insults to the brain. In these studies, rat brain
microvascular endothelial cell monolayers exposed to calpain inhibitors (calpain
inhibitor III and calpastatin) or transfected with calpain-1 siRNA demonstrated
attenuation of IL-1beta-induced monolayer hyperpermeability. Calpain inhibition
led to protection against IL-1beta-induced loss of zonula occludens-1 (ZO-1) at
the tight junctions and alterations in F-actin cytoskeletal assembly. IL-1beta
treatment had no effect on ZO-1 gene (tjp1) or protein expression. Calpain
inhibition via calpain inhibitor III and calpastatin decreased IL-1beta-induced
calpain activity significantly (p < 0.05). IL-1beta had no detectable effect on
intracellular calcium mobilization or endothelial cell viability. Furthermore,
calpain inhibition preserved BBB integrity/permeability in a mouse controlled
cortical impact model of TBI when studied using Evans blue assay and intravital
microscopy. These studies demonstrate that calpain-1 acts as a mediator of IL
1beta-induced loss of BBB integrity and permeability by altering tight junction
integrity, promoting the displacement of ZO-1, and disorganization of
cytoskeletal assembly. IL-1beta-mediated alterations in permeability are neither
due to the changes in ZO-1 expression nor cell viability. Calpain inhibition has
beneficial effects against TBI-induced BBB hyperpermeability.
PMID- 27875294
TI - Spontaneous Glutamatergic Synaptic Activity Regulates Constitutive COX-2
Expression in Neurons: OPPOSING ROLES FOR THE TRANSCRIPTION FACTORS CREB (cAMP
RESPONSE ELEMENT BINDING) PROTEIN AND Sp1 (STIMULATORY PROTEIN-1).
AB - Burgeoning evidence supports a role for cyclooxygenase metabolites in regulating
membrane excitability in various forms of synaptic plasticity. Two
cyclooxygenases, COX-1 and COX-2, catalyze the initial step in the metabolism of
arachidonic acid to prostaglandins. COX-2 is generally considered inducible, but
in glutamatergic neurons in some brain regions, including the cerebral cortex, it
is constitutively expressed. However, the transcriptional mechanisms by which
this occurs have not been elucidated. Here, we used quantitative PCR and also
analyzed reporter gene expression in a mouse line carrying a construct consisting
of a portion of the proximal promoter region of the mouse COX-2 gene upstream of
luciferase cDNA to characterize COX-2 basal transcriptional regulation in
cortical neurons. Extracts from the whole brain and from the cerebral cortex,
hippocampus, and olfactory bulbs exhibited high luciferase activity. Moreover,
constitutive COX-2 expression and luciferase activity were detected in cortical
neurons, but not in cortical astrocytes, cultured from wild-type and transgenic
mice, respectively. Constitutive COX-2 expression depended on spontaneous but not
evoked excitatory synaptic activity and was shown to be N-methyl-d-aspartate
receptor-dependent. Constitutive promoter activity was reduced in neurons
transfected with a dominant-negative cAMP response element binding protein (CREB)
and was eliminated by mutating the CRE-binding site on the COX-2 promoter.
However, mutation of the stimulatory protein-1 (Sp1)-binding site resulted in an
N-methyl-d-aspartate receptor-dependent enhancement of COX-2 promoter activity.
Basal binding of the transcription factors CREB and Sp1 to the native neuronal
COX-2 promoter was confirmed. In toto, our data suggest that spontaneous
glutamatergic synaptic activity regulates constitutive neuronal COX-2 expression
via Sp1 and CREB protein-dependent transcriptional mechanisms.
PMID- 27875295
TI - Key Residues and Phosphate Release Routes in the Saccharomyces cerevisiae Pho84
Transceptor: THE ROLE OF TYR179 IN FUNCTIONAL REGULATION.
AB - Pho84, a major facilitator superfamily (MFS) protein, is the main high-affinity
Pi transceptor in Saccharomyces cerevisiae Although transport mechanisms have
been suggested for other MFS members, the key residues and molecular events
driving transport by Pi:H+ symporters are unclear. The current Pho84 transport
model is based on the inward-facing occluded crystal structure of the Pho84
homologue PiPT in the fungus Piriformospora indica However, this model is limited
by the lack of experimental data on the regulatory residues for each stage of the
transport cycle. In this study, an open, inward-facing conformation of Pho84 was
used to study the release of Pi A comparison of this conformation with the model
for Pi release in PiPT revealed that Tyr179 in Pho84 (Tyr150 in PiPT) is not part
of the Pi binding site. This difference may be due to a lack of detailed
information on the Pi release step in PiPT. Molecular dynamics simulations of
Pho84 in which a residue adjacent to Tyr179, Asp178, is protonated revealed a
conformational change in Pho84 from an open, inward-facing state to an occluded
state. Tyr179 then became part of the binding site as was observed in the PiPT
crystal structure. The importance of Tyr179 in regulating Pi release was
supported by site-directed mutagenesis and transport assays. Using trehalase
activity measurements, we demonstrated that the release of Pi is a critical step
for transceptor signaling. Our results add to previous studies on PiPT, creating
a more complete picture of the proton-coupled Pi transport cycle of a
transceptor.
PMID- 27875296
TI - The N-terminal Ankyrin Repeat Domain Is Not Required for Electrophile and Heat
Activation of the Purified Mosquito TRPA1 Receptor.
AB - Temperature sensors are crucial for animals to optimize living conditions. The
temperature response of the ion channel transient receptor potential A1 (TRPA1)
is intriguing; some orthologs have been reported to be activated by cold and
others by heat, but the molecular mechanisms responsible for its activation
remain elusive. Single-channel electrophysiological recordings of heterologously
expressed and purified Anopheles gambiae TRPA1 (AgTRPA1), with and without the N
terminal ankyrin repeat domain, demonstrate that both proteins are functional
because they responded to the electrophilic compounds allyl isothiocyanate and
cinnamaldehyde as well as heat. The proteins' similar intrinsic fluorescence
properties and corresponding quenching when activated by allyl isothiocyanate or
heat suggest lipid bilayer-independent conformational changes outside the N
terminal domain. The results show that AgTRPA1 is an inherent thermo- and
chemoreceptor, and analogous to what has been reported for the human TRPA1
ortholog, the N-terminal domain may tune the response but is not required for the
activation by these stimuli.
PMID- 27875297
TI - Glycogen Synthase Kinase 3 (GSK-3)-mediated Phosphorylation of Uracil N
Glycosylase 2 (UNG2) Facilitates the Repair of Floxuridine-induced DNA Lesions
and Promotes Cell Survival.
AB - Uracil N-glycosylase 2 (UNG2), the nuclear isoform of UNG, catalyzes the removal
of uracil or 5-fluorouracil lesions that accumulate in DNA following treatment
with the anticancer agents 5-fluorouracil and 5-fluorodeoxyuridine (floxuridine),
a 5-fluorouracil metabolite. By repairing these DNA lesions before they can cause
cell death, UNG2 promotes cancer cell survival and is therefore critically
involved in tumor resistance to these agents. However, the mechanisms by which
UNG2 is regulated remain unclear. Several phosphorylation sites within the N
terminal regulatory domain of UNG2 have been identified, although the effects of
these modifications on UNG2 function have not been fully explored, nor have the
identities of the kinases involved been determined. Here we show that glycogen
synthase kinase 3 (GSK-3) interacts with and phosphorylates UNG2 at Thr60 and
that Thr60 phosphorylation requires a Ser64 priming phosphorylation event. We
also show that mutating Thr60 or Ser64 to Ala increases the half-life of UNG2,
reduces the rate of in vitro uracil excision, and slows UNG2 dissociation from
chromatin after DNA replication. Using an UNG2-deficient ovarian cancer cell line
that is hypersensitive to floxuridine, we show that GSK-3 phosphorylation
facilitates UNG2-dependent repair of floxuridine-induced DNA lesions and promotes
tumor cell survival following exposure to this agent. These data suggest that GSK
3 regulates UNG2 and promotes DNA damage repair.
PMID- 27875298
TI - Benzyl Isothiocyanate (BITC) Induces Reactive Oxygen Species-dependent Repression
of STAT3 Protein by Down-regulation of Specificity Proteins in Pancreatic Cancer.
AB - The antineoplastic agent benzyl isothiocyanate (BITC) acts by targeting multiple
pro-oncogenic pathways/genes, including signal transducer and activator of
transcription 3 (STAT3); however, the mechanism of action is not well known. As
reported previously, BITC induced reactive oxygen species (ROS) in Panc1,
MiaPaCa2, and L3.6pL pancreatic cancer cells. This was accompanied by induction
of apoptosis and inhibition of cell growth and migration, and these responses
were attenuated in cells cotreated with BITC plus glutathione (GSH). BITC also
decreased expression of specificity proteins (Sp) Sp1, Sp3, and Sp4 transcription
factors (TFs) and several pro-oncogenic Sp-regulated genes, including STAT3 and
phospho-STAT3 (pSTAT3), and GSH attenuated these responses. Knockdown of Sp TFs
by RNA interference also decreased STAT3/pSTAT3 expression. BITC-induced ROS
activated a cascade of events that included down-regulation of c-Myc, and it was
also demonstrated that c-Myc knockdown decreased expression of Sp TFs and STAT3
These results demonstrate that in pancreatic cancer cells, STAT3 is an Sp
regulated gene that can be targeted by BITC and other ROS inducers, thereby
identifying a novel therapeutic approach for targeting STAT3.
PMID- 27875299
TI - Lipids Cooperate with the Reovirus Membrane Penetration Peptide to Facilitate
Particle Uncoating.
AB - Virus-host interactions play a role in many stages of the viral lifecycle,
including entry. Reovirus, a model system for studying the entry mechanisms of
nonenveloped viruses, undergoes a series of regulated structural transitions that
culminate in delivery of the viral genetic material. Lipids can trigger one of
these conformational changes, infectious subviral particle (ISVP)-to-ISVP*
conversion. ISVP* formation releases two virally encoded peptides, myristoylated
MU1N (myr-MU1N) and Phi. Among these, myr-MU1N is sufficient to form pores within
membranes. Released myr-MU1N can also promote ISVP* formation in trans Using
thermal inactivation as a readout for ISVP-to-ISVP* conversion, we demonstrate
that lipids render ISVPs less thermostable in a virus concentration-dependent
manner. Under conditions in which neither lipids alone nor myr-MU1N alone
promotes ISVP-to-ISVP* conversion, myr-MU1N induces particle uncoating when
lipids are present. These data suggest that the pore-forming activity and the
ISVP*-promoting activity of myr-MU1N are linked. Lipid-associated myr-MU1N
interacts with ISVPs and triggers efficient ISVP* formation. The cooperativity
between a reovirus component and lipids reveals a distinct virus-host interaction
in which membranes can facilitate nonenveloped virus entry.
PMID- 27875300
TI - The Fission Yeast Pre-mRNA-processing Factor 18 (prp18+) Has Intron-specific
Splicing Functions with Links to G1-S Cell Cycle Progression.
AB - The fission yeast genome, which contains numerous short introns, is an apt model
for studies on fungal splicing mechanisms and splicing by intron definition. Here
we perform a domain analysis of the evolutionarily conserved Schizosaccharomyces
pombe pre-mRNA-processing factor, SpPrp18. Our mutational and biophysical
analyses of the C-terminal alpha-helical bundle reveal critical roles for the
conserved region as well as helix five. We generate a novel conditional missense
mutant, spprp18-5 To assess the role of SpPrp18, we performed global splicing
analyses on cells depleted of prp18+ and the conditional spprp18-5 mutant, which
show widespread but intron-specific defects. In the absence of functional
SpPrp18, primer extension analyses on a tfIId+ intron 1-containing minitranscript
show accumulated pre-mRNA, whereas the lariat intron-exon 2 splicing intermediate
was undetectable. These phenotypes also occurred in cells lacking both SpPrp18
and SpDbr1 (lariat debranching enzyme), a genetic background suitable for
detection of lariat RNAs. These data indicate a major precatalytic splicing
arrest that is corroborated by the genetic interaction between spprp18-5 and
spprp2-1, a mutant in the early acting U2AF59 protein. Interestingly, SpPrp18
depletion caused cell cycle arrest before S phase. The compromised splicing of
transcripts coding for G1-S regulators, such as Res2, a transcription factor, and
Skp1, a regulated proteolysis factor, are shown. The cumulative effects of
SpPrp18-dependent intron splicing partly explain the G1 arrest upon the loss of
SpPrp18. Our study using conditional depletion of spprp18+ and the spprp18-5
mutant uncovers an intron-specific splicing function and early spliceosomal
interactions and suggests links with cell cycle progression.
PMID- 27875302
TI - Proteasomal Degradation of the EWS-FLI1 Fusion Protein Is Regulated by a Single
Lysine Residue.
AB - E-26 transformation-specific (ETS) proteins are transcription factors directing
gene expression through their conserved DNA binding domain. They are implicated
as truncated forms or interchromosomal rearrangements in a variety of tumors
including Ewing sarcoma, a pediatric tumor of the bone. Tumor cells express the
chimeric oncoprotein EWS-FLI1 from a specific t(22;11)(q24;12) translocation. EWS
FLI1 harbors a strong transactivation domain from EWSR1 and the DNA-binding ETS
domain of FLI1 in the C-terminal part of the protein. Although Ewing cells are
crucially dependent on continuous expression of EWS-FLI1, its regulation of
turnover has not been characterized in detail. Here, we identify the EWS-FLI1
protein as a substrate of the ubiquitin-proteasome system with a characteristic
polyubiquitination pattern. Using a global protein stability approach, we
determined the half-life of EWS-FLI1 to lie between 2 and 4 h, whereas full
length EWSR1 and FLI1 were more stable. By mass spectrometry, we identified two
ubiquitin acceptor lysine residues of which only mutation of Lys-380 in the ETS
domain of the FLI1 part abolished EWS-FLI1 ubiquitination and stabilized the
protein posttranslationally. Expression of this highly stable mutant protein in
Ewing cells while simultaneously depleting the endogenous wild type protein
differentially modulates two subgroups of target genes to be either EWS-FLI1
protein-dependent or turnover-dependent. The majority of target genes are in an
unaltered state and cannot be further activated. Our study provides novel
insights into EWS-FLI1 turnover, a critical pathway in Ewing sarcoma
pathogenesis, and lays new ground to develop novel therapeutic strategies in
Ewing sarcoma.
PMID- 27875301
TI - An Intrinsically Disordered APLF Links Ku, DNA-PKcs, and XRCC4-DNA Ligase IV in
an Extended Flexible Non-homologous End Joining Complex.
AB - DNA double-strand break (DSB) repair by non-homologous end joining (NHEJ) in
human cells is initiated by Ku heterodimer binding to a DSB, followed by
recruitment of core NHEJ factors including DNA-dependent protein kinase catalytic
subunit (DNA-PKcs), XRCC4-like factor (XLF), and XRCC4 (X4)-DNA ligase IV (L4).
Ku also interacts with accessory factors such as aprataxin and polynucleotide
kinase/phosphatase-like factor (APLF). Yet, how these factors interact to tether,
process, and ligate DSB ends while allowing regulation and chromatin interactions
remains enigmatic. Here, small angle X-ray scattering (SAXS) and mutational
analyses show APLF is largely an intrinsically disordered protein that binds Ku,
Ku/DNA-PKcs (DNA-PK), and X4L4 within an extended flexible NHEJ core complex.
X4L4 assembles with Ku heterodimers linked to DNA-PKcs via flexible Ku80 C
terminal regions (Ku80CTR) in a complex stabilized through APLF interactions with
Ku, DNA-PK, and X4L4. Collective results unveil the solution architecture of the
six-protein complex and suggest cooperative assembly of an extended flexible NHEJ
core complex that supports APLF accessibility while possibly providing flexible
attachment of the core complex to chromatin. The resulting dynamic tethering
furthermore, provides geometric access of L4 catalytic domains to the DNA ends
during ligation and of DNA-PKcs for targeted phosphorylation of other NHEJ
proteins as well as trans-phosphorylation of DNA-PKcs on the opposing DSB without
disrupting the core ligation complex. Overall the results shed light on
evolutionary conservation of Ku, X4, and L4 activities, while explaining the
observation that Ku80CTR and DNA-PKcs only occur in a subset of higher
eukaryotes.
PMID- 27875304
TI - Rapid Renal Regulation of Peroxisome Proliferator-activated Receptor gamma
Coactivator-1alpha by Extracellular Signal-Regulated Kinase 1/2 in Physiological
and Pathological Conditions.
AB - Previous studies have shown that extracellular signal-regulated kinase 1/2
(ERK1/2) directly inhibits mitochondrial function during cellular injury. We
evaluated the role of ERK1/2 on the expression of peroxisome proliferator
activated receptor gamma coactivator-1alpha (PGC-1alpha) gene, a master regulator
of mitochondrial function. The potent and specific MEK1/2 inhibitor trametinib
rapidly blocked ERK1/2 phosphorylation, decreased cytosolic and nuclear FOXO3a/1
phosphorylation, and increased PGC-1alpha gene expression and its downstream
mitochondrial biogenesis (MB) targets under physiological conditions in the
kidney cortex and in primary renal cell cultures. The epidermal growth factor
receptor (EGFR) inhibitor erlotinib blocked ERK1/2 phosphorylation and increased
PGC-1alpha gene expression similar to treatment with trametinib, linking EGFR
activation and FOXO3a/1 inactivation to the down-regulation of PGC-1alpha and MB
through ERK1/2. Pretreatment with trametinib blocked early ERK1/2 phosphorylation
following ischemia/reperfusion kidney injury and attenuated the down-regulation
of PGC-1alpha and downstream target genes. These results demonstrate that ERK1/2
rapidly regulates mitochondrial function through a novel pathway,
EGFR/ERK1/2/FOXO3a/1/PGC-1alpha, under physiological and pathological conditions.
As such, ERK1/2 down-regulates mitochondrial function directly by phosphorylation
of upstream regulators of PGC-1alpha and subsequently decreasing MB.
PMID- 27875305
TI - (-)-Englerin A-evoked Cytotoxicity Is Mediated by Na+ Influx and Counteracted by
Na+/K+-ATPase.
AB - (-)-Englerin A ((-)-EA) has a rapid and potent cytotoxic effect on several types
of cancer cell that is mediated by plasma membrane ion channels containing
transient receptor potential canonical 4 (TRPC4) protein. Because these channels
are Ca2+-permeable, it was initially thought that the cytotoxicity arose as a
consequence of Ca2+ overload. Here we show that this is not the case and that the
effect of (-)-EA is mediated by a heteromer of TRPC4 and TRPC1 proteins. Both
TRPC4 and TRPC1 were required for (-)-EA cytotoxicity; however, although TRPC4
was necessary for the (-)-EA-evoked Ca2+ elevation, TRPC1 was not. TRPC1 either
had no role or was a negative regulator of Ca2+ entry. By contrast, both TRPC4
and TRPC1 were necessary for monovalent cation entry evoked by (-)-EA, and (-)-EA
evoked cell death was dependent upon entry of the monovalent cation Na+ We
therefore hypothesized that Na+/K+-ATPase might act protectively by counteracting
the Na+ load resulting from sustained Na+ entry. Indeed, inhibition of Na+/K+
ATPase by ouabain potently and strongly increased (-)-EA-evoked cytotoxicity. The
data suggest that (-)-EA achieves cancer cell cytotoxicity by inducing sustained
Na+ entry through heteromeric TRPC1/TRPC4 channels and that the cytotoxic effect
of (-)-EA can be potentiated by Na+/K+-ATPase inhibition.
PMID- 27875306
TI - Family-wide Structural Characterization and Genomic Comparisons Decode the
Diversity-oriented Biosynthesis of Thalassospiramides by Marine Proteobacteria.
AB - The thalassospiramide lipopeptides have great potential for therapeutic
applications; however, their structural and functional diversity and biosynthesis
are poorly understood. Here, by cultivating 130 Rhodospirillaceae strains sampled
from oceans worldwide, we discovered 21 new thalassospiramide analogues and
demonstrated their neuroprotective effects. To investigate the diversity of
biosynthetic gene cluster (BGC) architectures, we sequenced the draft genomes of
28 Rhodospirillaceae strains. Our family-wide genomic analysis revealed three
types of dysfunctional BGCs and four functional BGCs whose architectures
correspond to four production patterns. This correlation allowed us to reassess
the "diversity-oriented biosynthesis" proposed for the microbial production of
thalassospiramides, which involves iteration of several key modules. Preliminary
evolutionary investigation suggested that the functional BGCs could have arisen
through module/domain loss, whereas the dysfunctional BGCs arose through
horizontal gene transfer. Further comparative genomics indicated that
thalassospiramide production is likely to be attendant on particular
genes/pathways for amino acid metabolism, signaling transduction, and compound
efflux. Our findings provide a systematic understanding of thalassospiramide
production and new insights into the underlying mechanism.
PMID- 27875307
TI - Salmonella enteritidis Effector AvrA Stabilizes Intestinal Tight Junctions via
the JNK Pathway.
AB - Salmonella pathogenesis studies to date have focused on Salmonella typhimurium,
and the pathogenesis of a second major serotype, Salmonella enteritidis, is
poorly understood. Salmonella spp. possess effector proteins that display
biochemical activities and modulate host functions. Here, we generated a deletion
mutant of the effector AvrA, S.E-AvrA-, and a plasmid-mediated complementary
strain, S.E-AvrA-/pAvrA+ (S.E-AvrA+), in S. Enteritidis. Using in vitro and in
vivo infection models, we showed that AvrA stabilizes epithelial tight junction
(TJ) proteins, such as ZO-1, in human intestinal epithelial cells.
Transepithelial electrical resistance was significantly higher in cells infected
with S.E-AvrA+ than in cells infected with S.E-AvrA- Inhibition of the JNK
pathway suppresses the disassembly of TJ proteins; we found that enteritidis AvrA
inhibited JNK activity in cells infected with wild type or S.E-AvrA+ strains.
Therefore, Enteritidis AvrA-induced ZO-1 stability is achieved via suppression of
the JNK pathway. Furthermore, the S.E-AvrA- strain led to enhanced bacterial
invasion, both in vitro and in vivo Taken together, our data reveal a novel role
for AvrA in S. Enteritidis: Enteritidis AvrA stabilizes intestinal TJs and
attenuates bacterial invasion. The manipulation of JNK activity and TJs in
microbial-epithelial interactions may be a novel therapeutic approach for the
treatment of infectious diseases.
PMID- 27875308
TI - Growth Phase-dependent Variation of RNase BN/Z Affects Small RNAs: REGULATION OF
6S RNA.
AB - RNase BN, the RNase Z family member in E. coli, can participate in the processing
of tRNA precursors. However, this function only becomes apparent when other
processing enzymes are absent, raising the question of its primary physiological
role. Here, we show that RNase BN itself is subject to growth phase-dependent
regulation, because both rbn mRNA and RNase BN protein are at their highest
levels in early exponential phase, but then decrease dramatically and are
essentially absent in stationary phase. As a consequence of this variation,
certain small RNAs, such as 6S RNA, remain low in exponential phase cells, and
increase greatly in stationary phase. RNase BN affects 6S RNA abundance by
decreasing its stability in exponential phase. RNase BN levels increase rapidly
as cells exit stationary phase and are primarily responsible for the decrease in
6S RNA that accompanies this process. Purified RNase BN directly cleaves 6S RNA
as shown by in vitro assays, and the 6S RNA:pRNA duplex is an even more favorable
substrate of RNase BN. The exoribonuclease activity of RNase BN is unnecessary
because all its action on 6S RNA is due to endonucleolytic cleavages. These data
indicate that RNase BN plays an important role in determining levels of the
global transcription regulator, 6S RNA, throughout the growth cycle.
PMID- 27875309
TI - RNA Sequencing Reveals a Role of TonEBP Transcription Factor in Regulation of Pro
inflammatory Genes in Response to Hyperosmolarity in Healthy Nucleus Pulposus
Cells: A HOMEOSTATIC RESPONSE?
AB - Transcription factor tonicity-responsive enhancer-binding protein (TonEBP/NFAT5)
is critical for osmo-adaptation and extracellular matrix homeostasis of nucleus
pulposus (NP) cells in their hypertonic tissue niche. Recent studies implicate
TonEBP signaling in inflammatory disease and rheumatoid arthritis pathogenesis.
However, broader functions of TonEBP in the disc remain unknown. RNA sequencing
was performed on NP cells with TonEBP knockdown under hypertonic conditions. 1140
TonEBP-dependent genes were identified and categorized using Ingenuity Pathway
Analysis. Bioinformatic analysis showed enrichment of matrix homeostasis and
cytokine/chemokine signaling pathways. C-C motif chemokine ligand 2 (CCL2),
interleukin 6 (IL6), tumor necrosis factor (TNF), and nitric oxide synthase 2
(NOS2) were studied further. Knockdown experiments showed that TonEBP was
necessary to maintain expression levels of these genes. Gain- and loss-of
function experiments and site-directed mutagenesis demonstrated that TonEBP
binding to a specific site in the CCL2 promoter is required for hypertonic
inducibility. Despite inhibition by dominant-negative TonEBP, IL6 and NOS2
promoters were not hypertonicity-inducible. Whole-disc response to hypertonicity
was studied in an ex vivo organ culture model, using wild-type and
haploinsufficient TonEBP mice. Pro-inflammatory targets were induced by
hypertonicity in discs from wild-type but not TonEBP-haploinsufficient mice.
Mechanistically, NF-kappaB activity increased with hypertonicity and was
necessary for hypertonic induction of target genes IL6, TNF, and NOS2 but not
CCL2 Although TonEBP maintains transcription of genes traditionally considered
pro-inflammatory, it is important to note that some of these genes also serve
anabolic and pro-survival roles. Therefore, in NP cells, this phenomenon may
reflect a physiological adaptation to diurnal osmotic loading of the
intervertebral disc.
PMID- 27875311
TI - Single Binding Mode Integration of Hemicellulose-degrading Enzymes via Adaptor
Scaffoldins in Ruminococcus flavefaciens Cellulosome.
AB - The assembly of one of Nature's most elaborate multienzyme complexes, the
cellulosome, results from the binding of enzyme-borne dockerins to reiterated
cohesin domains located in a non-catalytic primary scaffoldin. Generally,
dockerins present two similar cohesin-binding interfaces that support a dual
binding mode. The dynamic integration of enzymes in cellulosomes, afforded by the
dual binding mode, is believed to incorporate additional flexibility in highly
populated multienzyme complexes. Ruminococcus flavefaciens, the primary degrader
of plant structural carbohydrates in the rumen of mammals, uses a portfolio of
more than 220 different dockerins to assemble the most intricate cellulosome
known to date. A sequence-based analysis organized R. flavefaciens dockerins into
six groups. Strikingly, a subset of R. flavefaciens cellulosomal enzymes,
comprising dockerins of groups 3 and 6, were shown to be indirectly incorporated
into primary scaffoldins via an adaptor scaffoldin termed ScaC. Here, we report
the crystal structure of a group 3 R. flavefaciens dockerin, Doc3, in complex
with ScaC cohesin. Doc3 is unusual as it presents a large cohesin-interacting
surface that lacks the structural symmetry required to support a dual binding
mode. In addition, dockerins of groups 3 and 6, which bind exclusively to ScaC
cohesin, display a conserved mechanism of protein recognition that is similar to
Doc3. Groups 3 and 6 dockerins are predominantly appended to hemicellulose
degrading enzymes. Thus, single binding mode dockerins interacting with adaptor
scaffoldins exemplify an evolutionary pathway developed by R. flavefaciens to
recruit hemicellulases to the sophisticated cellulosomes acting in the
gastrointestinal tract of mammals.
PMID- 27875310
TI - Extending Halogen-based Medicinal Chemistry to Proteins: IODO-INSULIN AS A CASE
STUDY.
AB - Insulin, a protein critical for metabolic homeostasis, provides a classical model
for protein design with application to human health. Recent efforts to improve
its pharmaceutical formulation demonstrated that iodination of a conserved
tyrosine (TyrB26) enhances key properties of a rapid-acting clinical analog.
Moreover, the broad utility of halogens in medicinal chemistry has motivated the
use of hybrid quantum- and molecular-mechanical methods to study proteins. Here,
we (i) undertook quantitative atomistic simulations of 3-[iodo-TyrB26]insulin to
predict its structural features, and (ii) tested these predictions by X-ray
crystallography. Using an electrostatic model of the modified aromatic ring based
on quantum chemistry, the calculations suggested that the analog, as a dimer and
hexamer, exhibits subtle differences in aromatic-aromatic interactions at the
dimer interface. Aromatic rings (TyrB16, PheB24, PheB25, 3-I-TyrB26, and their
symmetry-related mates) at this interface adjust to enable packing of the
hydrophobic iodine atoms within the core of each monomer. Strikingly, these
features were observed in the crystal structure of a 3-[iodo-TyrB26]insulin
analog (determined as an R6 zinc hexamer). Given that residues B24-B30 detach
from the core on receptor binding, the environment of 3-I-TyrB26 in a receptor
complex must differ from that in the free hormone. Based on the recent structure
of a "micro-receptor" complex, we predict that 3-I-TyrB26 engages the receptor
via directional halogen bonding and halogen-directed hydrogen bonding as follows:
favorable electrostatic interactions exploiting, respectively, the halogen's
electron-deficient sigma-hole and electronegative equatorial band. Inspired by
quantum chemistry and molecular dynamics, such "halogen engineering" promises to
extend principles of medicinal chemistry to proteins.
PMID- 27875312
TI - Mutational Analysis of Atypical Chemokine Receptor 3 (ACKR3/CXCR7) Interaction
with Its Chemokine Ligands CXCL11 and CXCL12.
AB - Atypical chemokine receptors do not mediate chemotaxis or G protein signaling,
but they recruit arrestin. They also efficiently scavenge their chemokine
ligands, thereby contributing to gradient maintenance and termination. ACKR3,
also known as CXCR7, binds and degrades the constitutive chemokine CXCL12, which
also binds the canonical receptor CXCR4, and CXCL11, which also binds CXCR3. Here
we report comprehensive mutational analysis of the ACKR3 interaction with its
chemokine ligands, using 30 substitution mutants. Readouts are radioligand
binding competition, arrestin recruitment, and chemokine scavenging. Our results
suggest different binding modes for both chemokines. CXCL11 depends on the ACKR3
N terminus and some extracellular loop (ECL) positions for primary binding, ECL
residues mediate secondary binding and arrestin recruitment potency. CXCL12
binding required key residues Asp-1794.60 and Asp-2756.58 (residue numbering
follows the Ballesteros-Weinstein scheme), with no evident involvement of N
terminal residues, suggesting an uncommon mode of receptor engagement. Mutation
of residues corresponding to CRS2 in CXCR4 (positions Ser-1032.63 and Gln
3017.39) increased CXCL11 binding, but reduced CXCL12 affinity. Mutant Q301E7.39
did not recruit arrestin. Mutant K118A3.26 in ECL1 showed moderate baseline
arrestin recruitment with ablation of ligand-induced responses. Substitutions
that affected CXCL11 binding also diminished scavenging. However, detection of
reduced CXCL12 scavenging by mutants with impaired CXCL12 affinity required
drastically reduced receptor expression levels, suggesting that scavenging
pathways can be saturated and that CXCL12 binding exceeds scavenging at higher
receptor expression levels. Arrestin recruitment did not correlate with
scavenging; although Q301E7.39 degraded chemokines in the absence of arrestin,
S103D2.63 had reduced CXCL11 scavenging despite intact arrestin responses.
PMID- 27875313
TI - Direct Involvement of the Master Nitrogen Metabolism Regulator GlnR in Antibiotic
Biosynthesis in Streptomyces.
AB - GlnR, an OmpR-like orphan two-component system response regulator, is a master
regulator of nitrogen metabolism in the genus Streptomyces In this work, evidence
that GlnR is also directly involved in the regulation of antibiotic biosynthesis
is provided. In the model strain Streptomyces coelicolor M145, an in-frame
deletion of glnR resulted in markedly increased actinorhodin (ACT) production but
reduced undecylprodigiosin (RED) biosynthesis when exposed to R2YE culture
medium. Transcriptional analysis coupled with DNA binding studies revealed that
GlnR represses ACT but activates RED production directly via the pathway-specific
activator genes actII-ORF4 and redZ, respectively. The precise GlnR-binding sites
upstream of these two target genes were defined. In addition, the direct
involvement of GlnR in antibiotic biosynthesis was further identified in
Streptomyces avermitilis, which produces the important anthelmintic agent
avermectin. We found that S. avermitilis GlnR (GlnRsav) could stimulate
avermectin but repress oligomycin production directly through the respective
pathway-specific activator genes, aveR and olmRI/RII To the best of our
knowledge, this report describes the first experimental evidence demonstrating
that GlnR regulates antibiotic biosynthesis directly through pathway-specific
regulators in Streptomyces Our results suggest that GlnR-mediated regulation of
antibiotic biosynthesis is likely to be universal in streptomycetes. These
findings also indicate that GlnR is not only a master nitrogen regulator but also
an important controller of secondary metabolism, which may help to balance
nitrogen metabolism and antibiotic biosynthesis in streptomycetes.
PMID- 27875316
TI - Coupling de novo protein folding with subunit exchange into pre-formed oligomeric
protein complexes: the 'heritable template' hypothesis.
AB - Despite remarkable advances in synthetic biology, the fact remains that it takes
a living cell to make a new living cell. The information encoded in the genome is
necessary to direct assembly of all cellular components, but it may not be
sufficient. Some components (e.g. mitochondria) cannot be synthesized de novo,
and instead require pre-existing templates, creating a fundamental continuity of
life: if the template information is ever lost, the genomic code cannot suffice
to ensure proper biogenesis. One type of information only incompletely encoded in
the genome is the structures of macromolecular assemblies, which emerge from the
conformations of the constituent molecules coupled with the ways in which these
molecules interact. For many, if not most proteins, gene sequence is not the sole
determinant of native conformation, particularly in the crowded cellular milieu.
A partial solution to this problem lies in the functions of molecular chaperones,
encoded by nearly all cellular genomes. Chaperones effectively restrict the
ensemble of conformations sampled by polypeptides, promoting the acquisition of
native, functional forms, but multiple proteins have evolved ways to achieve
chaperone independence, perhaps by coupling folding with higher-order assembly.
Here, I propose the existence of another solution: a novel mechanism of de novo
folding in which the folding of specific proteins is templated by pre-folded
molecules of a partner protein whose own folding also required similar
templating. This hypothesis challenges prevailing paradigms by predicting that,
in order to achieve a functional fold, some non-prion proteins require a seed
passed down through generations.
PMID- 27875315
TI - Lipid-tuned Zinc Transport Activity of Human ZnT8 Protein Correlates with Risk
for Type-2 Diabetes.
AB - Zinc is a critical element for insulin storage in the secretory granules of
pancreatic beta cells. The islet-specific zinc transporter ZnT8 mediates granular
sequestration of zinc ions. A genetic variant of human ZnT8 arising from a single
nonsynonymous nucleotide change contributes to increased susceptibility to type-2
diabetes (T2D), but it remains unclear how the high risk variant (Arg-325), which
is also a higher frequency (>50%) allele, is correlated with zinc transport
activity. Here, we compared the activity of Arg-325 with that of a low risk ZnT8
variant (Trp-325). The Arg-325 variant was found to be more active than the Trp
325 form following induced expression in HEK293 cells. We further examined the
functional consequences of changing lipid conditions to mimic the impact of lipid
remodeling on ZnT8 activity during insulin granule biogenesis. Purified ZnT8
variants in proteoliposomes exhibited more than 4-fold functional tunability by
the anionic phospholipids, lysophosphatidylcholine and cholesterol. Over a broad
range of permissive lipid compositions, the Arg-325 variant consistently
exhibited accelerated zinc transport kinetics versus the Trp-form. In agreement
with the human genetic finding that rare loss-of-function mutations in ZnT8 are
associated with reduced T2D risk, our results suggested that the common high risk
Arg-325 variant is hyperactive, and thus may be targeted for inhibition to reduce
T2D risk in the general populations.
PMID- 27875317
TI - CYP2D6 variability in populations from Venezuela.
AB - CYP2D6 is an important cytochrome P450 enzyme that plays an important role in the
metabolism of about 25% of currently prescribed drugs. The presence of
polymorphisms in the CYP2D6 gene may modulate enzyme level and activity, thereby
affecting individual responses to pharmacological treatments. The most prevalent
diseases in the admixed population from Venezuela are cardiovascular and cancer,
whereas viral, bacterial and parasitic diseases, particularly malaria, are
prevalent in Amerindian populations; in the treatment of these diseases, several
drugs that are metabolized by CYP2D6 are used. In this work, we reviewed the data
on CYP2D6 variability and predicted metabolizer phenotypes, in healthy volunteers
of two admixed and five Amerindian populations from Venezuela. The Venezuelan
population is very heterogeneous as a result of the genetic admixture of three
major ethnical components: Europeans, Africans and Amerindians. There are
noticeable inter-regional and inter-population differences in the process of
mixing of this population. Hitherto, there are few published studies in Venezuela
on CYP2D6; therefore, it is necessary to increase research in this regard, in
particular to develop studies with a larger sample size. There is a considerable
amount of work remaining before CYP2D6 is integrated into clinical practice in
Venezuela.
PMID- 27875314
TI - Receptor MER Tyrosine Kinase Proto-oncogene (MERTK) Is Not Required for Transfer
of Bis-retinoids to the Retinal Pigmented Epithelium.
AB - Accumulation of bis-retinoids in the retinal pigmented epithelium (RPE) is a
hallmark of aging and retinal disorders such as Stargardt disease and age-related
macular degeneration. These aberrant fluorescent condensation products, including
di-retinoid-pyridinium-ethanolamine (A2E), are thought to be transferred to RPE
cells primarily through phagocytosis of the photoreceptor outer segments.
However, we observed by two-photon microscopy that mouse retinas incapable of
phagocytosis due to a deficiency of the c-Mer proto-oncogene tyrosine kinase
(Mertk) nonetheless contained fluorescent retinoid condensation material in their
RPE. Primary RPE cells from Mertk-/- mice also accumulated fluorescent products
in vitro Finally, quantification of A2E demonstrated the acquisition of retinal
condensation products in Mertk-/- mouse RPE prior to retinal degeneration. In
these mice, we identified activated microglial cells that likely were recruited
to transport A2E-like condensation products to the RPE and dispose of the dying
photoreceptor cells. These observations demonstrate a novel transport mechanism
between photoreceptor cells and RPE that does not involve canonical Mertk
dependent phagocytosis.
PMID- 27875318
TI - 1846G>A polymorphism of CYP2D6 gene and extrapyramidal side effects during
antipsychotic therapy among Russians and Tatars: a pilot study.
AB - BACKGROUND: Sytochrome P450 CYP2D6 activity affects antipsychotic therapy safety.
1846G>A (CYP2D6*4) polymorphism frequency varies among different ethnic groups.
METHODS: We studied 1846G>A polymorphism in Tatar and Russian schizophrenic
patients taking different antipsychotics and association of 1846G>A polymorphism
and extrapyramidal disorders (EPD) frequency in schizophrenic patients on
haloperidol monotherapy in daily doses up to 20 mg. RESULTS: Heterozygous 1846GA
genotype frequency among Tatars was lower (23.8% vs. 32.4% in Russians), but the
differences did not reach statistical significance. The 1846A allele frequency
among Tatars was also lower (11.9% vs. 24.3% in Russians), but the difference was
not quite significant (p=0.0592). Average daily haloperidol dose in the group
without EPD was significantly higher than in the group with EPD (11.35+/-4.6 vs.
13.87+/-3.3 mg, p=0.0252), but average daily haloperidol dose/weight ratios in
the compared groups had no significant differences. A statistically significant
association between EPD development and heterozygous 1846GA genotype and 1846A
allele carrier frequency was revealed among all schizophrenic patients and among
those of Tatars. CONCLUSIONS: Further well-designed pharmacogenetic studies in
different Russian regions are needed to improve psychotropic therapy safety and
to establish evidence-based indications for pharmacogenetic testing in clinical
practice.
PMID- 27875319
TI - Enhanced oral bioavailability of metoprolol with gallic acid and ellagic acid in
male Wistar rats: involvement of CYP2D6 inhibition.
AB - BACKGROUND: Cytochrome P450-2D6 (CYP2D6), a member of the CYP450 mixed function
oxidase system, is an important CYP isoform with regard to herbal-drug
interactions and is responsible for the metabolism of nearly 25% of drugs. Until
now, studies on the effects of various phytochemicals on CYP2D6 activity in vivo
have been very rare. Gallic acid and ellagic acid are natural polyphenols which
are widely distributed in fruits and medicinal plants. In the present study, the
effects of gallic acid and ellagic acid pretreatment on intestinal transport and
oral bioavailability of metoprolol were investigated. METHODS: The intestinal
transport of metoprolol was assessed by conducting an in situ single pass
intestinal perfusion (SPIP) study. The bioavailability study was conducted to
evaluate the pharmacokinetic parameters of orally administered metoprolol in
rats. RESULTS: After pretreatment with gallic acid and ellagic acid, no
significant change in effective permeability of metoprolol was observed at the
ileum part of rat intestine. A significant improvement in the peak plasma
concentration (Cmax) and area under the serum concentration-time profile (AUC)
and decrease in clearance were observed in rats pretreated with gallic acid and
ellagic acid. CONCLUSIONS: Gallic acid and ellagic acid significantly enhanced
the oral bioavailability of metoprolol by inhibiting CYP2D6-mediated metabolism
in the rat liver. Hence, adverse herbal-drug interactions may result with
concomitant ingestion of gallic acid and ellagic acid supplements and drugs that
are CYP2D6 substrates. The clinical assessment of these interactions should be
further investigated in human volunteers.
PMID- 27875320
TI - Association of chronic hepatitis B virus infection with preterm birth: our
experience and meta-analysis.
AB - OBJECTIVES: To assess the association of chronic hepatitis B virus (HBV)
infection with preterm birth (PTB). METHODS: A cohort of 20,498 pregnant women
(497 HBV carriers with 20,001 non-HBV controls) with normal alanine
aminotransferase (ALT) levels was selected from the Obstetrics & Gynecology
Hospital of Nantong University. The clinical parameters and PTB incidence were
compared between HBV carriers and non-HBV subjects. For the meta-analysis, we
searched the PubMed, Ovid and Cochrane Library databases for studies comparing
PTB incidence between individuals with chronic HBV infection and non-HBV
subjects. RESULTS: HBV carriers were slightly older and had slightly higher ALT
levels within normal limits. The body mass index, education and history of
pregnancy between HBV carrier and non-HBV groups were comparable. PTB incidence
was not associated with HBV carrier status [relative risk (RR) 0.98, 95%
confidence interval (CI) 0.71-1.37] in our cohort. However, the meta-analysis
involving eight published studies and our study revealed a significant
association between chronic HBV infection and PTB incidence (pooled RR 1.26, 95%
CI 1.19-1.33). CONCLUSION: While maternal HBV carriers did not have a higher
incidence of PTB in our cohort, the meta-analysis indicates that individuals with
chronic HBV infection appeared to be at risk of PTB as a whole.
PMID- 27875321
TI - The impact of selected environmental, behavioral and psychosocial factors on
schoolchildren's somatic and mental health.
AB - Children develop rapidly and many exogenous determinants of health significantly
affect their somatic and mental development. There is a subjective perception of
cognitive load associated with the educational process. The aim of the study is
to assess individual environmental, behavioral and psychosocial factors
influencing physical health and to investigate the amount of mental load in
children. We investigated 87 schoolchildren (47 girls and 40 boys) aged 10-12
years, who were attending primary school in Bratislava. To assess values of
selected factors we used a questionnaire form and personality characteristics
were estimated by standardized psycho-diagnostic and IQ tests [range of classic
fear, social-situation anxiety and jitters [skala Klasickeho strachu a Socialno
situacnej (in Slovak)] (KSAT), Eyesenck Personality Questionnaire for children
(EPQ), Raven's IQ test]. Self-reported perception of mental load was assessed by
questionnaire of subjective feelings and states (SFS). Children's body parameters
were assessed using anthropometric measurements [height, weight, chest, abdominal
and hip girth, Rohrer's index (RI), body mass index (BMI)] and a body fat
measurement method (skinfold thickness). The results confirmed a significant
relationship between higher parameters of overweight and obesity and irregular
breakfast eating (p<0.05), absence of family dining (p<0.05), exposure to tobacco
smoke in the family environment (p<0.01) and mother's level of education
(p<0.05). Almost 60% of children reported high mental effort and cognitive load
associated with the educational process. We note a close relationship between the
higher mental load and the score of neuroticism (p<0.01). The physical and mental
health of schoolchildren is significantly affected by exogenous factors.
Therefore, in terms of protection and promotion of children's health, it is
important to evaluate and monitor environmental risk factors and to form their
healthy habits.
PMID- 27875322
TI - Tree-based quantitative trait mapping in the presence of external covariates.
AB - A central goal in biological and biomedical sciences is to identify the molecular
basis of variation in morphological and behavioral traits. Over the last decade,
improvements in sequencing technologies coupled with the active development of
association mapping methods have made it possible to link single nucleotide
polymorphisms (SNPs) and quantitative traits. However, a major limitation of
existing methods is that they are often unable to consider complex, but
biologically-realistic, scenarios. Previous work showed that association mapping
method performance can be improved by using the evolutionary history within each
SNP to estimate the covariance structure among randomly-sampled individuals.
Here, we propose a method that can be used to analyze a variety of data types,
such as data including external covariates, while considering the evolutionary
history among SNPs, providing an advantage over existing methods. Existing
methods either do so at a computational cost, or fail to model these
relationships altogether. By considering the broad-scale relationships among
SNPs, the proposed approach is both computationally-feasible and informed by the
evolutionary history among SNPs. We show that incorporating an approximate
covariance structure during analysis of complex data sets increases performance
in quantitative trait mapping, and apply the proposed method to deer mice data.
PMID- 27875323
TI - Estimating intrinsic and extrinsic noise from single-cell gene expression
measurements.
AB - Gene expression is stochastic and displays variation ("noise") both within and
between cells. Intracellular (intrinsic) variance can be distinguished from
extracellular (extrinsic) variance by applying the law of total variance to data
from two-reporter assays that probe expression of identically regulated gene
pairs in single cells. We examine established formulas [Elowitz, M. B., A. J.
Levine, E. D. Siggia and P. S. Swain (2002): "Stochastic gene expression in a
single cell," Science, 297, 1183-1186.] for the estimation of intrinsic and
extrinsic noise and provide interpretations of them in terms of a hierarchical
model. This allows us to derive alternative estimators that minimize bias or mean
squared error. We provide a geometric interpretation of these results that
clarifies the interpretation in [Elowitz, M. B., A. J. Levine, E. D. Siggia and
P. S. Swain (2002): "Stochastic gene expression in a single cell," Science, 297,
1183-1186.]. We also demonstrate through simulation and re-analysis of published
data that the distribution assumptions underlying the hierarchical model have to
be satisfied for the estimators to produce sensible results, which highlights the
importance of normalization.
PMID- 27875324
TI - Adaptive input data transformation for improved network reconstruction with
information theoretic algorithms.
AB - We propose a novel systematic procedure of non-linear data transformation for an
adaptive algorithm in the context of network reverse-engineering using
information theoretic methods. Our methodology is rooted in elucidating and
correcting for the specific biases in the estimation techniques for mutual
information (MI) given a finite sample of data. These are, in turn, tied to lack
of well-defined bounds for numerical estimation of MI for continuous probability
distributions from finite data. The nature and properties of the inevitable bias
is described, complemented by several examples illustrating their form and
variation. We propose an adaptive partitioning scheme for MI estimation that
effectively transforms the sample data using parameters determined from its local
and global distribution guaranteeing a more robust and reliable reconstruction
algorithm. Together with a normalized measure (Shared Information Metric) we
report considerably enhanced performance both for in silico and real-world
biological networks. We also find that the recovery of true interactions is in
particular better for intermediate range of false positive rates, suggesting that
our algorithm is less vulnerable to spurious signals of association.
PMID- 27875325
TI - Phase diagram of interacting spinless fermions on the honeycomb lattice.
AB - Fermions hopping on a hexagonal lattice represent one of the most active research
fields in condensed matter since the discovery of graphene in 2004 and its
numerous applications. Another exciting aspect of the interplay between geometry
and quantum mechanical effects is given by the Haldane model (Haldane 1988 Phys.
Rev. Lett. 61 2015), where spinless fermions experiencing a certain flux pattern
on the honeycomb lattice leads to the stabilization of a topological phase of
matter, distinct from a Mott insulator and nowadays dubbed Chern insulator. In
this context, it is crucial to understand the role of interactions and this
review will describe recent results that have been obtained for a minimal model,
namely spinless fermions with nearest and next-nearest neighbour density-density
interactions on the honeycomb lattice at half-filling. Topics addressed include
an introduction of the minimal model and a discussion of the possible
instabilities of the Dirac semimetal, a presentation of various theoretical and
numerical approaches, and a summary of the results with a particular emphasis on
the stability or not of some exotic quantum phases such as charge ordered ones
(similar to Wigner crystals) and spontaneous Chern insulator phases.
PMID- 27875326
TI - Modeling hybrid perovskites by molecular dynamics.
AB - The topical review describes the recent progress in the modeling of hybrid
perovskites by molecular dynamics simulations. Hybrid perovskites and in
particular methylammonium lead halide (MAPI) have a tremendous technological
relevance representing the fastest-advancing solar material to date. They also
represent the paradigm of an organic-inorganic crystalline material with some
conceptual peculiarities: an inorganic semiconductor for what concerns the
electronic and absorption properties with a hybrid and solution processable
organic-inorganic body. After briefly explaining the basic concepts of ab initio
and classical molecular dynamics, the model potential recently developed for
hybrid perovskites is described together with its physical motivation as a simple
ionic model able to reproduce the main dynamical properties of the material.
Advantages and limits of the two strategies (either ab initio or classical) are
discussed in comparison with the time and length scales (from pico to microsecond
scale) necessary to comprehensively study the relevant properties of hybrid
perovskites from molecular reorientations to electrocaloric effects. The state-of
the-art of the molecular dynamics modeling of hybrid perovskites is reviewed by
focusing on a selection of showcase applications of methylammonium lead halide:
molecular cations disorder; temperature evolution of vibrations; thermally
activated defects diffusion; thermal transport. We finally discuss the
perspectives in the modeling of hybrid perovskites by molecular dynamics.
PMID- 27875327
TI - Automated tracking of colloidal clusters with sub-pixel accuracy and precision.
AB - Quantitative tracking of features from video images is a basic technique employed
in many areas of science. Here, we present a method for the tracking of features
that partially overlap, in order to be able to track so-called colloidal
molecules. Our approach implements two improvements into existing particle
tracking algorithms. Firstly, we use the history of previously identified feature
locations to successfully find their positions in consecutive frames. Secondly,
we present a framework for non-linear least-squares fitting to summed radial
model functions and analyze the accuracy (bias) and precision (random error) of
the method on artificial data. We find that our tracking algorithm correctly
identifies overlapping features with an accuracy below 0.2% of the feature radius
and a precision of 0.1 to 0.01 pixels for a typical image of a colloidal cluster.
Finally, we use our method to extract the three-dimensional diffusion tensor from
the Brownian motion of colloidal dimers.
PMID- 27875328
TI - Ca- and Sc-based ternary AlB2-like crystals: a first-principles study.
AB - The aluminum diboride (AlB2) crystal structure comprises intercalated metal atoms
between honeycomb sheets. In addition to metal diborides, which represent the
most common family of AlB2-like structures, many more materials are known to
crystallize in this geometry. Here we use first-principles calculations to probe
the structural and electronic properties of several such systems. Specifically,
we investigate the stability of various polymorphs of CaAuAs, CaAuP, CaCuP,
ScAuGe, ScAuSi, Ca2AgSi3 and Ca2AuGe3 and find lattice parameters in excellent
agreement with available experimental data. The analysis of densities of states
and band structure diagrams show that all materials are metallic. However, the
details of band dispersion vary significantly, from typical metals such as CaAuP,
to almost semi-metallic behaviour in CaCuP.
PMID- 27875329
TI - Classical and quantum many-body effects on the critical properties and
thermodynamic regularities of silicon.
AB - Using molecular simulation, we determine the critical properties of Si as well as
the loci for several remarkable thermodynamic contours spanning the supercritical
region of the phase diagram. We consider a classical three-body potential as well
as a quantum (tight-binding) many-body model, and determine the loci for the
ideality contours, including the Zeno line and the H line of ideal enthalpy. The
two strategies (classical or quantum) lead to strongly asymmetric binodals and to
critical properties in good agreement with each other. The Zeno and H lines are
found to remain linear over a wide temperature interval, despite the changes in
electronic structure undergone by the fluid along these contours. We also show
that the classical and quantum model yield markedly different results for the
parameters defining the H line, the exponents for the power-laws underlying the
line of minima for the isothermal enthalpy and for the density required to
achieve ideal behavior, most notably for the enthalpy.
PMID- 27875330
TI - Synthesis and properties of ultra-long InP nanowires on glass.
AB - We report on the synthesis of Au-catalyzed InP nanowires (NWs) on low-cost glass
substrates. Ultra-dense and ultra-long (up to ~250 MUm) InP NWs, with an
exceptionally high growth rate of ~25 MUm min-1, were grown directly on glass
using metal organic vapor phase epitaxy (MOVPE). Structural properties of InP NWs
grown on glass were similar to the ones grown typically on Si substrates showing
many structural twin faults but the NWs on glass always exhibited a stronger
photoluminescence (PL) intensity at room temperature. The PL measurements of NWs
grown on glass reveal two additional prominent impurity related emission peaks at
low temperature (10 K). In particular, the strongest unusual emission peak with
an activation energy of 23.8 +/- 2 meV was observed at 928 nm. Different
possibilities including the role of native defects (phosphorus and/or indium
vacancies) are discussed but most likely the origin of this PL peak is related to
the impurity incorporation from the glass substrate. Furthermore, despite the
presence of suspected impurities, the NWs on glass show outstanding light
absorption in a wide spectral range (60%-95% for lambda = 300-1600 nm). The
optical properties and the NW growth mechanism on glass is discussed
qualitatively. We attribute the exceptionally high growth rate mostly to the
atmospheric pressure growth conditions of our MOVPE reactor and stronger PL
intensity on glass due to the impurity doping. Overall, the III-V NWs grown on
glass are similar to the ones grown on semiconductor substrates but offer
additional advantages such as low-cost and light transparency.
PMID- 27875331
TI - Amine functionalized cubic mesoporous silica nanoparticles as an oral delivery
system for curcumin bioavailability enhancement.
AB - In the present work, a simple method was used to develop composite curcumin-amine
functionalized mesoporous silica nanoparticles (MSN). The nanoparticles were used
to improve the bioavailability of curcumin in mice through oral administration.
We investigated the effect of particle size on the release profile, solubility
and oral bioavailability of curcumin in mice, including amine functionalized
mesoporous silica micron-sized-particles (MSM) and MSN (100-200 nm). Curcumin
loaded within amine functionalized MSN (MSN-A-Cur) had a better release profile
and a higher solubility compared to amine MSM (MSM-A-Cur). The bioavailability of
MSN-A-Cur and MSM-A-Cur was considerably higher than that of 'free curcumin'.
These results indicate promising features of amine functionalized MSN as a
carrier to deliver low solubility drugs with improved bioavailability via the
oral route.
PMID- 27875332
TI - Local electronic structure and nanolevel hierarchical organization of bone
tissue: theory and NEXAFS study.
AB - Theoretical and experimental investigations of native bone are carried out to
understand relationships between its hierarchical organization and local
electronic and atomic structure of the mineralized phase. The 3D superlattice
model of a coplanar assembly of the hydroxyapatite (HAP) nanocrystallites
separated by the hydrated nanolayers is introduced to account the interplay of
short-, long- and super-range order parameters in bone tissue. The model is
applied to (i) predict and rationalize the HAP-to-bone spectral changes in the
electronic structure and (ii) describe the mechanisms ensuring the link of the
hierarchical organization with the electronic structure of the mineralized phase
in bone. To check the predictions the near-edge x-ray absorption fine structure
(NEXAFS) at the Ca 2p, P 2p and O 1s thresholds is measured for native bone and
compared with NEXAFS for reference compounds. The NEXAFS analysis has
demonstrated the essential hierarchy induced HAP-to-bone red shifts of the Ca and
P 2p-to-valence transitions. The lowest O 1s excitation line at 532.2 eV in bone
is assigned with superposition of core transitions in the hydroxide OH-(H2O) m
anions, Ca2+(H2O) n cations, the carboxyl groups inside the collagen and [PO4]2-
and [PO4]- anions with unsaturated P-O bonds.
PMID- 27875333
TI - NaSrMn2F7, NaCaFe2F7, and NaSrFe2F7: novel single crystal pyrochlore
antiferromagnets.
AB - The crystal structures and magnetic properties of three previously unreported
A2B2F7 pyrochlore materials, NaSrMn2F7, NaCaFe2F7, and NaSrFe2F7 are presented.
In these compounds, either S = 2Fe2+ or S = 5/2Mn2+ is on the B site, while
nonmagnetic Na and Ca (Na and Sr) are disordered on the A site. The materials,
which were grown as crystals via the floating zone method, display high effective
magnetic moments and large Curie-Weiss thetas. Despite these characteristics, no
ordering transition is detected. However, freezing of the magnetic spins,
characterized by peaks in the susceptibility or specific heat, is observed at
very low temperatures. The empirical frustration index, f = -theta CW/T f, for
the materials are 36 (NaSrMn2F7), 27 (NaSrFe2F7), and 19 (NaCaFe2F7). AC
susceptibility, DC susceptibility, and heat capacity measurements are used to
characterize the observed spin glass behavior. The results suggest that the
compounds are frustrated pyrochlore antiferromagnets with weak bond disorder. The
magnetic phenomena that these fluoride pyrochlores exhibit, in addition to their
availability as relatively large single crystals, make them promising candidates
for the study of geometric magnetic frustration.
PMID- 27875334
TI - Thin film growth studies using time-resolved x-ray scattering.
AB - Thin-film growth is important for novel functional materials and new generations
of devices. The non-equilibrium growth physics involved is very challenging,
because the energy landscape for atomic scale processes is determined by many
parameters, such as the diffusion and Ehrlich-Schwoebel barriers. We review the
in situ real-time techniques of x-ray diffraction (XRD), x-ray growth
oscillations and diffuse x-ray scattering (GISAXS) for the determination of
structure and morphology on length scales from A to um. We give examples of time
resolved growth experiments mainly from molecular thin film growth, but also
highlight growth of inorganic materials using molecular beam epitaxy (MBE) and
electrochemical deposition from liquids. We discuss how scaling parameters of
rate equation models and fundamental energy barriers in kinetic Monte Carlo
methods can be determined from fits of the real-time x-ray data.
PMID- 27875335
TI - Flame-made ultra-porous TiO2 layers for perovskite solar cells.
AB - We report methyl ammonium lead iodide (MAPbI3) solar cells with an ultra-porous
TiO2 electron transport layer fabricated using sequential flame aerosol and
atomic layer depositions of porous and compact TiO2 layers. Flame aerosol
pyrolysis allows rapid deposition of nanostructured and ultra-porous TiO2 layers
that could be easily scaled-up for high-throughput low-cost industrial solar cell
production. An efficiency of 13.7% was achieved with a flame-made nanostructured
and ultra-porous TiO2 electrode that was coated with a compact 2 nm TiO2 layer.
This demonstrates that MAPbI3 solar cells with a flame-made porous TiO2 layer can
have a comparable efficiency to that of the control MAPbI3 solar cell with the
well-established spin-coated porous TiO2 layer. The combination of flame aerosol
and atomic layer deposition provides precise control of the TiO2 porosity.
Notably, the porosity of the as-deposited flame-made TiO2 layers was 97% which
was then fine-tuned down to 87%, 56% and 35% by varying the thickness of the
subsequent compact TiO2 coating step. The effects of the decrease in porosity on
the device performance are discussed. It is also shown that MAPbI3 easily
infiltrates into the flame-made porous TiO2 nanostructure thanks to their high
porosity and large pore size.
PMID- 27875336
TI - Synthesis of carbon nanofibers by CVD as a catalyst support material using
atomically ordered Ni3C nanoparticles.
AB - Atomically ordered nickel carbide (Ni3C) nanoparticles in polygonal shapes were
prepared through the reduction of nickelocene. A novel type of carbon nanofiber
(CNF) with twisted conformation was synthesized successfully by catalytic
chemical vapor deposition (CCVD) using the obtained Ni3C nanoparticles at a
relatively low temperature of 350 degrees C, which is below the lower limit
temperature of 400 degrees C for the growth of CNFs using metal catalysts. The
growth mechanism of the twisted CNFs from Ni3C was freshly derived based on the
detailed characterizations. Compared with the growth of CNFs from Ni, graphene
layers nucleate at monoatomic step edges and grow in a layer-by-layer manner,
while the rotation of the polygonal Ni3C nanoparticles fabricates the twisted
conformation during the CNF growth. The electrochemical activity and performance
of the twisted CNFs loaded with Pt as electrode catalysts for a polymer
electrolyte membrane fuel cell (PEMFC) were measured to be better than those of
straight CNFs grown from Ni nanoparticles at 500 degrees C, since the specific
surface conformation helps to make the loaded Pt more homogeneous.
PMID- 27875337
TI - Low-cost superior solid-state symmetric supercapacitors based on hematite
nanocrystals.
AB - We present a facile method for the fabrication of hematite nanocrystal-carbon
cloth (Fe2O3-CC) composite. Hierarchical manganite is chosen as the sacrificial
precursor, that does not contribute to the component of final iron oxide but can
be in situ dissolved by the acid produced from the Fe3+ hydrolysis. This method
effectively enhances the specific surface area and conductivity of hematite
(Fe2O3) by attaching Fe2O3 nanocrystals (around 5 nm) firmly on the surface of
carbon fibers. The obtained Fe2O3-CC can be directly used as a binder-free
electrode for a supercapacitor. Interestingly, the composite electrode exhibits
synergistic electrochemical capacitance (electrochemical double-layer capacitance
and pseudo-capacitance). It manifests a very high areal capacitance of 1.66 F cm
2 (1660 F g-1) at 2 mA cm-2 and excellent cycling performance at large current
densities (88.6% retention at 30 mA cm-2 after 5000 cycles) in a three-electrode
testing system, which is among the best performances reported in the literature.
Importantly, when fabricated as a solid-state flexible symmetric supercapacitor
it still shows a maximum energy density of 8.74 mW h cm-3 and power density of
253.9 mW cm-3. Additionally, its good flexibility makes it suitable for portable
devices.
PMID- 27875339
TI - Apolipoprotein CIII is a new player in diabetes.
AB - PURPOSE OF REVIEW: Type-1 and type-2 diabetes are diseases with an increasing
number of patients and a complex, multifactorial pathogenesis. Apolipoprotein
(apo) CIII is increased in both types of diabetes and interventions preventing
the increase have effects on the development of diabetes. RECENT FINDINGS:
ApoCIII affects intracellular Ca-handling by activating voltage-gated Ca
channels. ApoCIII is produced within the pancreatic islets and it increases in
parallel with the development of insulin resistance and type-2 diabetes.
Preventing the increase maintains a normal glucose tolerance as well as Ca
handling and no signs of inflammation can be seen in islets wherein the augmented
local production of the apolipoprotein is absent. SUMMARY: ApoCIII has been found
to interfere with both function and survival of the beta-cell and thereby promote
the development of diabetes. Increased levels of this apolipoprotein affects
intracellular Ca-handling and insulin sensitivity, which finally results in
impaired glucose homeostasis and diabetes. Interestingly, in a type-1 diabetes
rat model lowering of apoCIII delays onset of diabetes. In type-2 diabetes
insulin resistance within the pancreatic islets leads to a local increase in
apoCIII that promotes inflammation and beta-cell dysfunction. Hence, targeting
apoCIII may constitute a novel pharmacological strategy to treat both type-1 and
type-2 diabetes.
PMID- 27875338
TI - An Image-Domain Contrast Material Extraction Method for Dual-Energy Computed
Tomography.
AB - OBJECTIVES: Conventional material decomposition techniques for dual-energy
computed tomography (CT) assume mass or volume conservation, where the CT number
of each voxel is fully assigned to predefined materials. We present an image
domain contrast material extraction process (CMEP) method that preferentially
extracts contrast-producing materials while leaving the remaining image intact.
MATERIALS AND METHODS: Image processing freeware (Fiji) is used to perform
consecutive arithmetic operations on a dual-energy ratio map to generate masks,
which are then applied to the original images to generate material-specific
images. First, a low-energy image is divided by a high-energy image to generate a
ratio map. The ratio map is then split into material-specific masks. Ratio
intervals known to correspond to particular materials (eg, iodine, calcium) are
assigned a multiplier of 1, whereas ratio values in between these intervals are
assigned linear gradients from 0 to 1. The masks are then multiplied by an
original CT image to produce material-specific images. The method was tested
quantitatively at dual-source CT and rapid kVp-switching CT (RSCT) with phantoms
using pure and mixed formulations of tungsten, calcium, and iodine. Errors were
evaluated by comparing the known material concentrations with those derived from
the CMEP material-specific images. Further qualitative evaluation was performed
in vivo at RSCT with a rabbit model using identical CMEP parameters to the
phantom. Orally administered tungsten, vascularly administered iodine, and
skeletal calcium were used as the 3 contrast materials. RESULTS: All 5 material
combinations-tungsten, iodine, and calcium, and mixtures of tungsten-calcium and
iodine-calcium-showed distinct dual-energy ratios, largely independent of
material concentration at both dual-source CT and RSCT. The CMEP was successful
in both phantoms and in vivo. For pure contrast materials in the phantom, the
maximum error between the known and CMEP-derived material concentrations was 0.9
mg/mL, 24.9 mg/mL, and 0.4 mg/mL for iodine, calcium, and tungsten respectively.
Mixtures of iodine and calcium showed the highest discrepancies, which reflected
the sensitivity of iodine to the image-type chosen for the extraction of the
final material-specific image. The rabbit model was able to clearly show the 3
extracted material phases, vascular iodine, oral tungsten, and skeletal calcium.
Some skeletal calcium was misassigned to the extracted iodine image; however,
this did not impede the depiction of the vasculature. CONCLUSIONS: The CMEP is a
straightforward, image-domain approach to extract material signal at dual-energy
CT. It has particular value for separation of experimental high-Z contrast
elements from conventional iodine contrast or calcium, even when the exact
attenuation coefficient profiles of desired contrast materials may be unknown.
The CMEP is readily implemented in the image-domain within freeware, and can be
adapted for use with images from multiple vendors.
PMID- 27875340
TI - Which patients with asymptomatic carotid stenosis benefit from revascularization?
AB - PURPOSE OF REVIEW: To summarize why there are polarized opinions regarding the
management of patients with asymptomatic carotid disease and whether it is
possible to identify patients who might benefit from carotid interventions.
RECENT FINDINGS: Carotid Revascularization Endarterectomy Versus Stenting Trial
and Asymptomatic Carotid Trial 1 (ACT-1) recently concluded that outcomes after
carotid endarterectomy and carotid stenting were not significantly different in
asymptomatic patients and that procedural risks were below the accepted 3%
threshold. However, systematic reviews suggest that Carotid Revascularization
Endarterectomy Versus Stenting Trial/ACT-1 results may not be generalizable into
routine practice. In parallel, meta-analyses suggest that stroke rates on medical
therapy may be declining, suggesting that Asymptomatic Carotid Atherosclerosis
Study/Asymptomatic Carotid Surgery Trial data, which have underpinned every
practice guideline since 1995, are too historical for use in 2017. A recent
review has, however, identified a number of clinical/imaging features that may be
associated with higher rates of stroke on medical therapy. SUMMARY: The majority
of surgeons/interventionists are unlikely to accept radical changes in practice
until new randomized trials confirm that the risk of stroke on modern medical
therapy is significantly lower than that previously accepted. In the interim, it
would be preferable to target interventions into a smaller cohort who present
with clinical/imaging features that might render them 'higher risk for stroke' on
medical therapy.
PMID- 27875341
TI - Occupational asthma: diagnostic challenges and management dilemmas.
AB - PURPOSE OF REVIEW: Work-related asthma encompasses both sensitizer-induced and
irritant-induced occupational asthma as well as work-exacerbated asthma. This
review summarizes current diagnostic and management strategies for occupational
asthma. RECENT FINDINGS: Occupational asthma is the most common occupational lung
disease in the industrialized world. Over 400 agents have been described to cause
occupational asthma. Specific inhalation challenge is often considered the
reference method for diagnosis of occupational asthma but specific inhalation
challenge as well as other diagnostic tests all generate false positive or false
negative results. Definitive avoidance of the inciting agent is the preferred
strategy for sensitizer-induced occupational asthma and reduction of exposure is
the next best step. Immunotherapy is not currently well established and can cause
systemic reactions. SUMMARY: An accurate diagnosis made in a timely fashion can
positively impact the health and socioeconomic burden associated with
occupational asthma. Newer diagnostic tools are promising, but much work needs to
be done to standardize and validate these testing methods. Primary, secondary,
and tertiary prevention strategies are crucial for effective management of
sensitizer-induced occupational asthma.
PMID- 27875342
TI - The multifaceted roles of inflammasome proteins in cancer.
AB - PURPOSE OF REVIEW: Inflammasomes are major actors of the innate immune system,
through their regulation of inflammatory caspases and maturation of IL-1beta and
IL-18. These multiprotein complexes have been shown to play major roles in
inflammatory and metabolic diseases and have more recently been implicated in
tumor development and dissemination. In this review, we address these recent
findings, focusing particularly on colorectal cancer (CRC) initiation and tumor
dissemination. RECENT FINDINGS: Based mostly on loss-of-function experiments in
mouse models, paradoxical results were obtained as both protumoral and
antitumoral activities were reported. Moreover, several studies report major
inflammasome-independent functions for some of these innate receptor proteins
such as absent in melanoma 2, nod-like receptor family pyrin containing 3 (NLRP3)
or nod-like receptor family CARD containing 4 (NLRC4), functions exerted in
epithelial cells as well as in immune cells. SUMMARY: The current review
summarizes recent findings on the implication of inflammasomes and of absent in
melanoma 2, NLRC4 and NLRP3 inflammasome-independent functions in cancer
development and dissemination. Although contradictory in certain aspects, these
studies highlight a lack of understanding of their mechanistic functions and
regulations in cancer and the need for further investigations.
PMID- 27875343
TI - More chinks in the armor of cancer: potential for new therapies.
PMID- 27875344
TI - Patient-centred hand hygiene information in orthopaedics units: an evidence-based
implementation project.
AB - AIM: This project aimed to improve patients' knowledge on the importance of hand
hygiene. It involved providing patients with a patient and family education on
the importance of hand hygiene using a patient information leaflet that
introduces the rationale of hand hygiene, possible consequences of poor hand
hygiene, and the seven steps of hand hygiene. METHODS: This projected used a
preimplementation and postimplementation audit strategy using the Joanna Briggs
Institute Practical Application of Clinical Evidence System and Getting Research
Into Practice programs. The implementation occurred in three phases over a period
of 6 months from January 2014 to June 2014. The audits took place in two
orthopaedic wards in a large acute care setting tertiary hospital in Singapore
and involved a sample size of 54 patients. It involved going through the medical
records of the cases, assessment of patient knowledge based on the audit
criteria, and checking if the patients received the patient information leaflet
on hand hygiene. RESULTS: The postimplementation audit found significant
improvements in all three audit criteria. The percentage of patients who
demonstrated knowledge in the importance of hand hygiene saw an improvement of
48.1%. There was an improvement of 44.5% in nurses' compliance to the
documentation of patient education being carried out. The percentage of patients
who received a patient information leaflet on hand hygiene saw an increase of
36.1%. CONCLUSION: This project demonstrated that a preimplementation and
postimplementation audit is a viable method to implement change and translate
evidence into practice. Through this project, patients gained an understanding on
the importance of hand hygiene and could take better ownership of their well
being. This may potentially improve hospitalization experience and benefit health
outcomes. The positive results of this project are contributed by the
enthusiastic involvement of all the stakeholders, from patients and their
caregivers to the bedside nurses and nursing leaders. The sustenance will be an
ongoing challenge to the project.
PMID- 27875345
TI - Do supraventricular premature beats identify patients at high risk for atrial
fibrillation?
PMID- 27875346
TI - Atherosclerosis to predict cardiac events: where and how to look for it.
PMID- 27875347
TI - Severe low-gradient aortic stenosis, with preserved ventricular function: should
it be treated?
AB - Exists a group of patients with small AVA (<0,6 cm/m), and normal LVEF (>=50%)
who display a low transvalvular flow (LF) [index stroke volume (SVi)<35 ml/m2]
and/or low transvalvular gradient (LG). This condition is called severe
paradoxical aortic stenosis (SAO) LFLG. In many studies this condition was
associated to increased mortality both with medical therapy and with surgical
intervention. Crucial is define correctly the diagnostical criteria of this
condition. Indeed there are several specific anatomical and functional
characteristics useful in differentiating paradoxical severe aortic stenosis from
the other forms of aortic stenosis. In case of doubt in the diagnostical process,
the Multidetector Computed Tomography (MDCT) could be useful in quantifying
calcificazions of the aortic valve. The guidelines European Society of Cardiology
(ESC) and American College of Cardiology (ACC) recomended aortic valve
replacement for symptomatic patients with paradoxical LFLG severe aortic
stenosis, recommending to accurately measure the flow in these patients as to
differentiate them from those with NFLG and better prognosis.
PMID- 27875348
TI - Arrhythmias and cardiomyopathy: when arrhythmias come first.
PMID- 27875349
TI - The revival of old antibiotics for treatment of uncomplicated urinary tract
infections in the era of antibiotic stewardship.
AB - PURPOSE OF REVIEW: In the era of increasing antibiotic resistance worldwide, this
review highlights the advantages of revival of old antibiotics for treatment of
uncomplicated urinary tract infections (uUTIs). RECENT FINDINGS: Recent studies
have shown that these four oral old antibiotics, fosfomycin trometamol,
nitrofurantoin, nitroxoline and pivmecillinam, show no increasing antibiotic
resistance against uropathogens causing uUTI, are still effective for the
treatment of uUTI and exhibit only minimal or no collateral damage as compared
with fluoroquinolones or third-generation cephalosporines. SUMMARY: According to
the principles of antibiotic stewardship, the prudent use of antibiotics is
needed. Therefore, recent international and national guidelines already favour
these old oral antibiotics as first-choice treatment of uUTI. Unfortunately,
implementation of these guidelines is still suboptimal.
PMID- 27875350
TI - Pediatric glaucoma: review of recent literature.
AB - PURPOSE OF REVIEW: The purpose of this review is to update the clinician on the
recent work in the field of pediatric glaucoma. RECENT FINDINGS: Using the iCare
tonometer to measure intraocular pressure (IOP) in children is highly successful.
New data from the Infant Aphakia Treatment Study show that after 5 years of
follow-up the risk of developing glaucoma is similar between patients that
receive initial intraocular lens implantation and those who are left aphakic. New
data show effective lowering of IOP using either approach to trabeculotomy:
treating the angle partially with trabeculotomes or circumferentially with a
suture or iTrack microcatheter. Recent data on an updated approach to
trabeculectomy in children show success in lowering IOP with few complications;
however, visual outcomes continue to be suboptimal. A separate study shows that
the addition of tenonectomy may not increase surgical success, but may increase
survival time and reduce bleb encapsulation. Glaucoma drainage devices in
general, and the Ahmed implant in particular, continue to be found to be
moderately successful to control IOP, and are therefore employed, especially
after initial angle surgery has failed. SUMMARY: Continued work to evaluate the
techniques used in the clinical and surgical management of pediatric glaucoma
patients supports that both newer and older approaches remain standard of care.
PMID- 27875351
TI - Pathogenesis and immunotherapy in cutaneous psoriasis: what can rheumatologists
learn?
AB - PURPOSE OF REVIEW: This review presents our current understanding of the
pathogenesis and treatment of psoriasis with a particular focus on recent areas
of research and emerging concepts. RECENT FINDINGS: Psoriasis arises in
genetically predisposed individuals who have an abnormal innate and adaptive
immune response to environmental factors. Recent studies have identified novel
genetic, epigenetic and immunological factors that play a role in the disease
pathogenesis. There is emerging evidence for the role of the skin microbiome in
psoriasis. Studies have shown reduced diversity and altered composition of the
skin microbiota in psoriasis. SUMMARY: Recent advances in our understanding of
the complex immunopathogenesis of psoriasis have led to the identification of
crucial cytokines and cell signalling pathways that are targeted by a range of
immunotherapies.
PMID- 27875352
TI - Does Incorporating Change in APRI or FIB-4 Indices Over Time Improve the Accuracy
of a Single Index for Identifying Liver Fibrosis in Persons With Chronic
Hepatitis C Virus Infection?
AB - BACKGROUND: The aspartate aminotransferase-to-platelet ratio index (APRI) and a
fibrosis index calculated using platelets (FIB-4) have been proposed as
noninvasive markers of liver fibrosis. GOALS: To determine APRI/FIB-4 accuracy
for predicting histologic liver fibrosis and evaluate whether incorporating
change in index improves test accuracy in hepatitis C virus (HCV)-infected Alaska
Native persons. STUDY: Using liver histology as the gold standard, we determined
the test characteristics of APRI to predict Metavir >=F2 fibrosis and FIB-4 to
predict Metavir >=F3 fibrosis. Index discrimination was measured as the area
under the receiver operator characteristic curve. We fit a logistic regression
model to determine whether incorporating change in APRI/FIB-4 over time improved
index discrimination. RESULTS: Among 283 participants, 46% were female, 48% had a
body mass index >30, 11% had diabetes mellitus, 8% reported current heavy alcohol
use. Participants were infected with HCV genotypes 1 (68%), 2 (17%), or 3 (15%).
On liver histology, 30% of study participants had >=F2 fibrosis and 15% had >=F3
fibrosis. The positive predictive value of an APRI>1.5/FIB-4>3.25 for identifying
fibrosis was 77%/78%. The negative predictive value of an APRI<0.5/FIB-4<1.45 was
91%/87%. The area under the receiver operator characteristic curve of an APRI/FIB
4 for identifying fibrosis was 0.82/0.84. Incorporating change in APRI/FIB-4 did
not improve index discrimination. CONCLUSIONS: The accuracy of APRI/FIB-4 for
identifying liver fibrosis in HCV-infected Alaska Native persons is similar to
that reported in other populations and could help prioritize patients for
treatment living in areas without access to liver biopsy. Change in APRI/FIB-4
was not predictive of degree of fibrosis.
PMID- 27875353
TI - Cannabinoid Receptor 2 Functional Variant Contributes to the Risk for Pediatric
Inflammatory Bowel Disease.
AB - GOALS: We conducted a case-control association analysis to establish the role of
a common CB2 functional variant, Q63R, in the susceptibility to inflammatory
bowel disease (IBD). BACKGROUND: Endocannabinoids may limit intestinal
inflammation through cannabinoid receptor 1 and/or 2 (CB1, CB2). STUDY: We
genotyped 217 pediatric IBD patients [112 Crohn's disease (CD), 105 ulcerative
colitis (UC)] and 600 controls for the CB2-Q63R variant by Taqman assay. Data
were collected from clinical records on age at diagnosis, disease activity,
duration and location, extraintestinal manifestations, therapy, clinical
relapses, and need for surgery. RESULTS: We found a significant association of
the CB2-R63 variant with IBD (allele frequencies, P=0.04; genotype distributions,
P=0.0006), in particular with CD (allele frequencies, P=0.002; genotype
distributions, P=0.00005) and with UC only for genotype distributions (P=0.03).
RR carriers showed an increased risk for developing IBD [odds ratio (OR)=1.82;
P=0.0002 for IBD; OR=2.02; P=10 for CD; OR=1.63; P=0.02 for UC at 95% confidence
interval]. Upon genotype-phenotype evaluation, RR patients showed an increased
frequency of moderate-to-severe disease activity at diagnosis in the case of both
CD and UC (P=0.01 and P=0.02, respectively) and also an earlier clinical relapse
in UC (P=0.04). In UC, all the clinical features related to the CB2 risk allele
were still significantly associated with the variant when analyzed using a
multivariate logistic regression model (P=0.001). CONCLUSIONS: The CB2-Q63R
variant contributes to the risk for pediatric IBD, in particular CD. The R63
variant is associated with a more severe phenotype in both UC and CD. Taken
together, our data point toward the involvement of the CB2 receptor in the
pathogenesis and clinical features of pediatric IBD.
PMID- 27875354
TI - Antiviral Treatment for Colonic Cytomegalovirus Infection in Ulcerative Colitis
Patients Significantly Improved Their Surgery Free Survival.
AB - BACKGROUND: The frequency of cytomegalovirus (CMV) colitis in steroid-refractory
inflammatory bowel disease has been reported to range from 15.8% to 34.0%.
Infected patients are more likely to become hospitalized, have longer lengths of
stay, and higher mortality rates. Current data are limited to small scale studies
and showed conflicting result regarding the role of antiviral therapy. AIMS: (1)
To investigate the role of antiviral treatment in ulcerative colitis (UC)
patients with CMV infection. (2) To investigate the role of viremia in the
outcomes of these patients. MATERIALS AND METHODS: The Cleveland Clinic pathology
database identified 1478 patients who had colon biopsy and were tested for CMV
during 1990 to 2013. After inclusion and exclusion, 41 UC patients were selected.
Among them, 24 (58.5%) received treatment, 17 (41.5%) did not. A total of 14
demographic data and 4 clinical outcomes (surgery free survival, hospitalization,
rehospitalization, and mortality) were compared between treated and nontreated
patients. The same outcomes were also compared in patients who received treatment
based on their viremia status. RESULTS: All demographic variables are similar
between those treated and nontreated groups. Antiviral therapy significantly
improved the surgery free survival within 30 days, and lasted 70 months (P<0.01).
In contrast, hospitalization, rehospitalization, and mortality were comparable
(P>0.05). No significant difference was observed in any of the clinical outcomes
based on viremia status. CONCLUSIONS: Our small scale study demonstrates that
antiviral treatment for colonic CMV infection significantly improves the surgery
free survival short-term and long-term in patients with UC.
PMID- 27875355
TI - Novel Mutations in EPCAM Cause Congenital Tufting Enteropathy.
AB - BACKGROUND AND AIMS: Congenital tufting enteropathy (CTE) is a rare autosomal
recessive form of intractable diarrhea of infancy. Patients develop chronic
diarrhea within days after birth, leading to severe malabsorption and significant
mortality. CTE is characterized by subtotal villous atrophy with crypt
hyperplasia. Typical features include abnormal villi in the intestinal epithelium
and disorganization of surface enterocytes with focal crowding, resembling tufts.
The pathogenesis of CTE remains poorly understood. CTE has been reported in
Western populations, but until now had not been reported in China. The objective
of this study was to identify the gene responsible for CTE in a Chinese
individual. METHODS: A 13-year-old girl with suspected CTE, whose parents were
both healthy, was evaluated in our clinic. Tissues were obtained by endoscopy and
examined by electron microscopy. Genomic DNA, extracted from the peripheral blood
of the child and parents, was subjected to whole-exome sequencing. After
mutations in the gene encoding epithelial cell adhesion molecule (EPCAM) were
identified, expression of EPCAM was examined by immunohistochemistry staining.
RESULTS: Whole-exome sequencing revealed compound heterozygous mutations in EPCAM
in the patient, with immunohistochemical analysis showing complete loss of EPCAM
expression in the intestinal villi and crypts. CONCLUSIONS: We identified
compound heterozygous mutations in EPCAM, with loss of EPCAM expression in
duodenal enterocytes, in a patient with intractable diarrhea since infancy who
was subsequently diagnosed with CTE. This is the first case of CTE to be reported
in a Chinese patient.
PMID- 27875356
TI - Corticosteroids and Thiopurines, But Not Tumor Necrosis Factor Antagonists, are
Associated With Cytomegalovirus Reactivation in Inflammatory Bowel Disease: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: The association between cytomegalovirus (CMV) reactivation and
individual immunosuppressive agents in inflammatory bowel disease (IBD) has not
been clearly defined. Therefore, we performed a systematic review and meta
analysis to assess this association. METHODS: Multiple electronic databases were
searched systematically through July 2015 for observational studies reporting CMV
reactivation (based on serum-based or tissue-based tests) in IBD patients
stratified by medication exposure. We estimated summary odds ratios (ORs) and 95%
confidence intervals (CI) using random-effects model. Study quality was assessed
using the Newcastle-Ottawa scale. RESULTS: Sixteen observational studies were
identified. As compared with nonexposed patients, exposure to corticosteroids
(CS) (12 studies, 1180 patients, 52.3% exposed; OR, 2.05; 95% CI, 1.40-2.99) and
thiopurines (14 studies, 1273 patients, 24.1% exposed; OR, 1.56; 95% CI, 1.01
2.39) was associated with increased risk of CMV reactivation. In contrast, as
compared with patients not exposed to tumor necrosis factor (TNF) antagonists,
exposure to TNF antagonists was not associated with an increased risk of CMV
reactivation (7 studies, 818 patients, 18.5% exposed; OR, 1.44; 95% CI, 0.93
2.24). The results remained stable for CS and thiopurines when the analysis was
limited to hospitalized patients, and by a tissue-based diagnosis. Studies were
limited in the ability to assess the impact of concomitant immunosuppressive
therapy, duration of medication exposure, and disease severity. CONCLUSIONS: On
the basis of 16 observational studies, exposure to CS or thiopurines, but not TNF
antagonists, was associated with an increased risk of CMV reactivation in IBD
patients.
PMID- 27875357
TI - Optimal Timing of Endoscopic Retrograde Cholangiopancreatography in Acute
Cholangitis.
AB - OBJECTIVES: Acute cholangitis mandates resuscitation, antibiotic therapy, and
biliary decompression. Our aim was to define the optimal timing of endoscopic
retrograde cholangiopancreatography (ERCP) for patients with acute cholangitis.
METHODS: Clinical data on all cases of cholangitis managed by ERCP were
prospectively collected from September 2010 to July 2013. The clinical impact of
the time to ERCP, defined as the time from presentation in the emergency
department to the commencement of the ERCP, was determined. The primary outcome
was length of hospitalization. Secondary outcomes included vasopressor use,
endotracheal intubation, intensive care unit admission, and death. RESULTS: ERCP
was successful in 182 (92%) of 199 patients with cholangitis. Length of
hospitalization was significantly longer for patients undergoing ERCP at >=48
versus <48 hours (median 9.1 vs. 6.5 d, P=0.004) even though patients having ERCP
at >=48 hours were less sick as indicated by less frequent intensive care unit
admission [odds ratio,0.3; 95% confidence interval (CI), 0.2-0.6]. Multivariate
analysis revealed that hospitalization increased by 1.44 days for every day ERCP
was delayed (P<0.001). Comparison of ERCP>=72 versus <72 hours revealed odds
ratios of 2.6 (95% CI, 1.0-7.0) for vasopressor requirement and 3.6 (95% CI, 0.8
15.9) for mortality. Time to ERCP did not impact technical success or procedural
adverse events. CONCLUSIONS: ERCP should be performed within 2 days of
presentation as a delay of 48 or more hours is associated with disproportionate
increase in hospital stay. Delay>72 hours is associated with additional adverse
outcomes including hypotension requiring vasopressor support.
PMID- 27875358
TI - "Mesalazine in the Treatment of Symptomatic Uncomplicated Diverticular Disease:
Reply".
PMID- 27875359
TI - Symptom Index or Symptom Association Probability?: A Closer Look at Symptom
Association in Suspected GERD Patients.
AB - BACKGROUND: Symptom index (SI) and symptom association probability (SAP) are
popular methods used to measure symptom association in patients with
gastroesophageal reflux disease (GERD). AIM: To investigate whether these 2
methods yield similar results in analysis of both typical and atypical GERD
symptoms. MATERIALS AND METHODS: Combined impedance-pH reflux studies of 1471
patients tested for possible GERD symptoms from January 2010 to May 2015 were
reviewed. SI and SAP were analyzed for typical and atypical GERD symptoms
including heartburn, regurgitation, indigestion, chest pain, cough, and throat
clearing (TC). Patients who reported <3 symptom events during the 24-hour
monitoring period were excluded. ON and OFF proton pump inhibitor (PPI) groups
were reviewed. Kappa coefficient (kappa) rather than simple percentage was used
to measure the agreement rate. Simple percentage agreement is a less reliable
method compared with kappa. RESULTS: On PPI therapy, there was a good kappa
between SI and SAP for regurgitation (0.68) and indigestion (0.64), moderate for
heartburn (0.48) and chest pain (0.51), and poor for cough (0.33) and TC (0.29).
There was a lower kappa OFF PPI therapy for heartburn (0.36), regurgitation
(0.44), and indigestion (0.50). But there was no difference in kappa for chest
pain (0.61), cough (0.29), and TC (0.33). CONCLUSIONS: SI and SAP showed better
agreement for patients with typical GERD symptoms and even better when tested ON
PPI. A better symptom association method is needed for patients with atypical
GERD symptoms.
PMID- 27875360
TI - Outcomes After Conservative, Endoscopic, and Surgical Treatment of Groove
Pancreatitis: A Systematic Review.
AB - BACKGROUND: Groove pancreatitis (GP) is a focal form of chronic pancreatitis
affecting the paraduodenal groove area, for which consensus on diagnosis and
management is lacking. GOALS: We performed a systematic review of the literature
to determine patient characteristics and imaging features of GP and to evaluate
clinical outcomes after treatment. RESULTS: Eight studies were included reporting
on 335 GP patients with a median age of 47 years (range, 34 to 64 y), with 90%
male, 87% smokers, and 87% alcohol consumption, and 47 months (range, 15 to 122
mo) of follow-up. Most patients presented with abdominal pain (91%) and/or weight
loss (78%). Imaging frequently showed cystic lesions (91%) and duodenal stenosis
(60%).Final treatment was conservative (eg, pain medication) in 29% of patients.
Endoscopic treatment (eg, pseudocyst drainage) was applied in 19% of patients-34%
of these patients were subsequently referred for surgery. Overall, 59% of
patients were treated surgically (eg, pancreatoduodenectomy). Complete symptom
relief was observed in 50% of patients who were treated conservatively, 57% who
underwent endoscopic treatment, and 79% who underwent surgery. CONCLUSIONS: GP is
associated with male gender, smoking, and alcohol consumption. The vast majority
of patients presents with abdominal pain and with cystic lesions on imaging.
Although surgical treatment seems to be the most effective, both conservative and
endoscopic treatment are successful in about half of patients. A stepwise
treatment algorithm starting with the least invasive treatment options seems
advisable.
PMID- 27875361
TI - High Mobility and HIV Prevalence Among Female Market Traders in East Africa in
2014.
AB - BACKGROUND: The contribution of women's mobility to the HIV/AIDS epidemic in
Africa is poorly understood, despite women's high mobility and evidence that it
is associated with higher-risk sexual behavior. We sought to measure levels of
mobility, HIV prevalence, and related risk behaviors among female traders in
Kisumu, Kenya. METHODS: We used global positioning system mapping to develop a
probability-based sample and recruited 305 female market traders for
participation in a survey and voluntary HIV counseling and testing in 2014. We
estimated HIV prevalence and fitted logistic regression models to measure
associations between mobility, risk behaviors, and HIV infection. RESULTS: HIV
prevalence was 25.6% (95% confidence interval: 21.0 to 30.8); 11.5% had migrated
(changed residence, over county, or national boundary) in the past year and 39.3%
in the past 5 years. More than one-third (38.3%) spent nights away from main
residence in the past month, with 11.4% spending more than a week away. Multiple
partners were reported by 13.1% of women in the last year; 16% of married women
reported a concurrent partnership. Mobility was not significantly associated with
HIV prevalence, although recent short-term mobility was significantly correlated
with higher numbers of sexual partners in the past year. CONCLUSIONS: Female
market traders were highly mobile, and HIV prevalence among traders was higher
than in the general population of women of reproductive age in Kisumu (15.3% in
2013), and Nyanza Province, Kenya (16.1% in 2012). High HIV prevalence and risk
behavior among women in this study warrant accelerated attention to HIV
prevention and care needs of mobile women, including market traders.
PMID- 27875362
TI - Relationship Between HIV Infection, Antiretroviral Therapy, Inflammatory Markers,
and Cerebrovascular Endothelial Function Among Adults in Urban China.
AB - BACKGROUND: Cerebrovascular risk is increased in people living with HIV infection
compared with age-matched uninfected individuals. Cerebrovascular endothelial
dysfunction related to antiretroviral therapy (ART) and inflammation may
contribute to higher stroke risk in HIV infection. METHODS: We compared cerebral
vasoreactivity-a measure of cerebrovascular endothelial function assessed by the
breath-holding index (BHI) using transcranial Doppler ultrasound-between
virologically suppressed Chinese HIV-infected individuals followed in an HIV
clinic in Beijing, China, and uninfected controls. We constructed mixed-effects
models to evaluate the association of HIV, ART, and inflammatory markers with
cerebral vasoreactivity. RESULTS: In an unadjusted model, HIV infection was
associated with a trend toward lower cerebral vasoreactivity (BHI 1.08 versus
1.26, P = 0.079). In multivariable analyses, cholesterol modified the association
between HIV infection and cerebral vasoreactivity (P = 0.015 for interaction). At
a lower total cholesterol of 4.15 mmol/L, HIV was associated with lower cerebral
vasoreactivity (BHI -0.28, P = 0.019), whereas at a cholesterol of 5.15 mmol/L,
the reduction in cerebral vasoreactivity associated with HIV was no longer
statistically significant (BHI -0.05, P = 0.64). Among HIV-infected individuals,
use of lopinavir/ritonavir compared with efavirenz was associated with lower
cerebral vasoreactivity (BHI -0.24, P = 0.040). We did not find a significant
association between inflammatory markers and cerebral vasoreactivity.
CONCLUSIONS: Cerebrovascular endothelial dysfunction associated with HIV
infection may be most relevant for individuals with less traditional vascular
risk, such as those with lower cholesterol. Further study of the impact of ART on
cerebrovascular endothelial function is warranted to aid with ART selection in
individuals at high cerebrovascular risk.
PMID- 27875364
TI - Attenuation of Choroidal Thickness in Patients With Alzheimer Disease: Evidence
From an Italian Prospective Study.
AB - INTRODUCTION: To compare the 12-month choroidal thickness (CT) change between
Alzheimer disease (AD) patients and normal subjects. METHODS: In this
prospective, observational study, 39 patients with a diagnosis of mild to
moderate AD and 39 age-matched control subjects were included. All the subjects
underwent neuropsychological (Mini Mental State Examination, Alzheimer disease
Assessment Scale-Cognitive Subscale, and the Clinical Dementia Rating Scale) and
ophthalmological evaluation, including spectral domain optical coherence
tomography, at baseline and after 12 months. CT was measured manually using the
caliper tool of the optical coherence tomography device. RESULTS: After 12
months, AD patients had a greater reduction of CT than controls (P<=0.05,
adjusted for baseline CT, age, sex, axial length, and smoking). DISCUSSION: CT in
patients with AD showed a rate of thinning greater than what could be expected
during the natural course of aging.
PMID- 27875366
TI - Resolve to make healthy living a habit.
PMID- 27875363
TI - PMTCT Option B+ Does Not Increase Preterm Birth Risk and May Prevent Extreme
Prematurity: A Retrospective Cohort Study in Malawi.
AB - OBJECTIVE: To estimate preterm birth risk among infants of HIV-infected women in
Lilongwe, Malawi, according to maternal antiretroviral therapy (ART) status and
initiation time under Option B+. DESIGN: A retrospective cohort study of HIV
infected women delivering at >=27 weeks of gestation, April 2012 to November
2015. Among women on ART at delivery, we restricted our analysis to those who
initiated ART before 27 weeks of gestation. METHODS: We defined preterm birth as
a singleton live birth at >=27 and <37 weeks of gestation, with births at <32
weeks classified as extremely to very preterm. We used log-binomial models to
estimate risk ratios and 95% confidence intervals for the association between ART
and preterm birth. RESULTS: Among 3074 women included in our analyses, 731
preterm deliveries were observed (24%). Overall preterm birth risk was similar in
women who had initiated ART at any point before 27 weeks and those who never
initiated ART (risk ratio = 1.14; 95% confidence interval: 0.84 to 1.55), but
risk of extremely to very preterm birth was 2.33 (1.39 to 3.92) times as great in
those who never initiated ART compared with those who did at any point before 27
weeks. Among women on ART before delivery, ART initiation before conception was
associated with the lowest preterm birth risk. CONCLUSIONS: ART during pregnancy
was not associated with preterm birth, and it may in fact be protective against
severe adverse outcomes accompanying extremely to very preterm birth. As
preconception ART initiation appears especially protective, long-term retention
on ART should be a priority to minimize preterm birth in subsequent pregnancies.
PMID- 27875365
TI - Clear Cell Papulosis: Report of a Case With Unique Clinical and Histologic
Findings.
AB - Clear cell papulosis is a rare, self-limited, benign disease of early childhood,
characterized by white macules and flat papules over the milk line.
Histopathologically, it is characterized by scattered clear cells throughout the
basal and/or suprabasal epidermal layers, which-as clear cells of Toker of the
nipple do-typically express cytokeratin 7. They also exhibit other markers
expected for adenoid differentiation, such as low-molecular weight cytokeratins,
carcinoembryonic antigen, epithelial membrane antigen, and mucin. The age of
onset, distribution of lesions, histopathology, and its benign behavior nature
help to exclude clinically similar conditions, either benign or malignant. The
authors report a case of clear cell papulosis in a 7-year-old Brazilian girl in
whom lesions were observed on the legs and histologically formed by solid and
adenoid aggregates of clear cells, in a similar fashion than clear fetal cells of
Toker.
PMID- 27875367
TI - Cardiac surgery-associated acute kidney injury: much improved, but still long
ways to go.
PMID- 27875369
TI - Echocardiography for Less-Invasive Implantation of Left Ventricular Assist
Devices.
AB - New surgical techniques aim for less-invasive implantation of left ventricular
assist devices (LVADs) via small surgical incision sites. Echocardiography plays
an important role during patient selection and guidance of the procedure. The
surgical incision site can be identified preoperatively by transthoracic
echocardiography. Intraoperative identification of left ventricular apex, de
airing, and monitoring heart function is performed by transesophageal
echocardiography. This article highlights special echocardiographic
considerations during less-invasive LVAD implantation surgeries.
PMID- 27875370
TI - Myocardial Infarction After Accidental Minoxidil Poisoning.
PMID- 27875368
TI - Analgesic Effect and Functional Improvement Caused by Radiofrequency Treatment of
Genicular Nerves in Patients With Advanced Osteoarthritis of the Knee Until 1
Year Following Treatment.
AB - BACKGROUND AND OBJECTIVES: Radiofrequency ablation of genicular nerves has proved
to be successful in relieving pain and incapacity caused by osteoarthritis of the
knee. However, long-term efficacy of such a treatment remains to be assessed. The
current study aimed to reproduce radiofrequency neurotomy of genicular nerves to
manage gonarthrosis pain and disability and establish therapeutic response until
1 year after intervention. METHODS: This single-center, prospective,
observational, noncontrolled, longitudinal study included patients with grade 3
to 4 gonarthrosis suffering from intractable knee pain, scoring 5 or more on the
visual analog scale (VAS) during >6 months. Therapy was based on ultrasound
guided radiofrequency neurotomy of the superior medial, superior lateral and
inferior medial genicular nerves. Visual analog scale and Western Ontario and
McMaster Universities Osteoarthritis scores were assessed before therapy and at
1, 6, and 12 months following treatment. RESULTS: Radiofrequency neurotomy of
genicular nerves significantly reduced perceived pain (VAS) and disability
(Western Ontario and McMaster Universities Osteoarthritis) in the majority of
participants, without untoward events. The proportion of participants with
improvement of 50% or greater in pretreatment VAS scores at 1, 6, and 12 months
following intervention were 22/25 (88%), 16/25 (64%) and 8/25 (32%),
respectively. CONCLUSIONS: Ultrasound-guided radiofrequency neurotomy of
genicular nerves alleviates intractable pain and disability in the majority of
patients with advanced osteoarthritis of the knee. Such a treatment is safe and
minimally invasive and can be performed in an outpatient setting. The beneficial
effect of treatment started to decline after 6 months, but even 1 year after the
intervention, 32% of patients reported 50% improvement or greater in pretreatment
VAS scores.
PMID- 27875371
TI - Topiramate Blood Levels During Polytherapy for Epilepsy in Children.
AB - BACKGROUND: The therapeutic range of topiramate (TPM) blood level is not set
because the efficacy and safety are not considered to be related to the level.
However, the therapeutic target without side effects is necessary, so the optimal
range of TPM blood level was analyzed in this study. STUDY QUESTION: This study
was conducted to evaluate the efficacy of TPM over 2 years and the utility of
measuring blood levels of TPM during the follow-up of epileptic patients. STUDY
DESIGN: Thirty patients (18 males, 12 females; age range, 6 months-15 years) were
treated with TPM for epilepsy. The initial dosage of TPM was 1-3 mg.kg.d. If the
effect proved insufficient after 2 weeks, the dosage was increased to 4-9
mg.kg.d. MEASURES AND OUTCOMES: Blood levels of TPM were measured by liquid
chromatography-tandem mass spectrometry at 1, 6, 12, and 24 months after levels
reached steady state. The efficacy of TPM was evaluated by the reduction in
epileptic seizure rate (RR) at the time of blood sampling. Statistical analysis
was performed using the Mann-Whitney U test. RESULTS: A positive correlation was
seen between blood levels and maintenance dosages, but no correlation was
observed between blood levels and RR. Any significant difference was not
identified in TPM levels between the effective group (RR >=50%) and the
ineffective group (RR <50%; P = 0.159). In the subgroup of patients who did not
use valproic acid, a significant difference in TPM levels was apparent between
the effective and ineffective groups (P = 0.029). The optimal range of TPM was
advocated 3.5-5.0 MUg/mL. The optimal range was set, so that ranges did not
overlap between the effective and ineffective groups. No patients experienced any
side effects. CONCLUSIONS: Measuring blood levels of TPM based on the
classification of concomitant drugs and adjusting the dosage to reach the optimal
range were recommended.
PMID- 27875372
TI - Cutaneous malignancies in HIV.
AB - PURPOSE OF REVIEW: As patients with HIV infection are living longer with their
disease, we have seen the evolution of skin cancer in this population. Cancer
registries have not documented the incidence and prevalence of these cancers but
we do have relevant data from cohorts. This article attempts to bring to light
the extent to which skin cancer is an issue in HIV disease both domestically and
internationally. RECENT FINDINGS: Squamous cell cancer is increasing in incidence
in the United States and elsewhere. The anatomic locations of skin cancer vary
according to demographics, host factors, and environment. In this review,
squamous cell cancer will be contrasted to the other skin cancers namely,
melanoma, and basal cell cancers. SUMMARY: As our HIV population ages, clinicians
should be informed as to the relevant risk factors for development of skin
cancer. With the increasing incidence of these cancers in the modern era of HIV,
clinicians and researchers will be informed with regard to prevention and
treatment strategies.
PMID- 27875373
TI - Revisiting erythroleukemia.
AB - PURPOSE OF REVIEW: The 2016 WHO classification of hematopoietic and lymphoid
neoplasms alters the diagnostic criteria for erythroleukemia, including
eliminating the erythroid/myeloid type of acute erythroleukemia, which was a
prior subcategory of acute myeloid leukemia, not otherwise specified. Only pure
erythroid leukemia remains in the WHO classification. This review will summarize
the literature that contributed to that classification change as well as recent
literature on the significance of the change. RECENT FINDINGS: There is now a
large body of literature on the negative prognostic impact of erythroid
predominance, defined as 50% or more bone marrow erythroid cells, in
myelodysplastic syndromes (MDSs). Recent studies have found similarities between
erythroleukemia, especially the erythroid/myeloid type, and the erythroid-rich
MDS cases. On the basis of these data, the WHO now reclassifies cases of the
prior erythroid/myeloid acute erythroleukemia group based on the total blast cell
count. This change moves such cases into an MDS category, usually MDS with excess
blasts. This approach, however, may cloud the significance of erythroid
predominance in this group of patients. SUMMARY: The report clarifies the current
criteria for a diagnosis of erythroleukemia as well as the ongoing challenges in
classifying this group of erythroid rich bone marrow disorders.
PMID- 27875374
TI - Implications of molecular genetic diversity in myelodysplastic syndromes.
AB - PURPOSE OF REVIEW: Myelodysplastic syndromes (MDS) have remarkably diverse
somatic mutation patterns that can be challenging to interpret clinically. Yet,
genetic information is increasingly available to physicians. This review will
examine several implications of genetic diversity in MDS. RECENT FINDINGS:
Somatic mutations can serve as clinically relevant biomarkers in MDS. Molecular
subtypes may exist that share clinical features including risk of progression to
acute myeloid leukemia, response to treatment, and overall survival. Several
mutated genes are known to have prognostic value that is independent of common
risk stratification tools. Mutations of several genes identify low-blast
percentage patients with greater than predicted disease risk while only SF3B1
mutations predict lower disease risk than expected. Mutations of TP53 are
associated with adverse features, yet demonstrate inferior outcomes than
predicted by these risk factors. SF3B1 and TP53 mutations may identify clinically
relevant subtypes of MDS and allow for better refinement of risk within these
groups. Using somatic mutations to diagnose MDS is more challenging because they
can occur in healthy individuals. Yet, patients with unexplained cytopenias have
a high rate of clonal hematopoiesis that may be an important risk factor to
identify clinically. SUMMARY: Patterns of somatic mutations are diverse in MDS,
but can inform the prediction of prognosis and aid in its diagnosis.
PMID- 27875375
TI - Management of venous thromboembolism in myeloproliferative neoplasms.
AB - PURPOSE OF REVIEW: Venous thromboembolism is frequent in chronic
myeloproliferative neoplasms (MPN). The current strategy for its management
includes cytoreductive therapy and antithrombotic prophylaxis, but many issues
remain uncertain. In this review, the risk factors and prevention of recurrences
will be discussed. RECENT FINDINGS: Around one-third of patients with
polycythemia vera and essential thrombocythemia experience a major thrombosis at
diagnosis or during follow-up. According to the European Leukemia Net guidelines,
these patients must be included in the high-risk group for thrombotic recurrence
and should be treated with cytoreduction and antiplatelet or anticoagulant drugs
in the presence of arterial or venous thrombosis, respectively. Despite this
treatment, the annual incidence of recurrence after the first venous thrombosis
varies from 4.2 to 6.5% on vitamin K-antagonists and is doubled after
discontinuation. The highest incidence of recurrence occurs after cerebral and
hepatic vein thrombosis (8.8 and 8 per 100 pt-years, respectively). The
occurrence of major bleeding on vitamin K-antagonists is similar to a non-MPN
population and accounts for a rate of 1.8-2.4 per 100 pt-years. SUMMARY: After
venous thrombosis, the incidence of recurrence in MPN remains elevated, which
suggested there was a need to review the current recommendations of primary and
secondary prophylaxis.
PMID- 27875376
TI - Rhinitis: adherence to treatment and new technologies.
AB - PURPOSE OF REVIEW: Nonadherence to treatment is a major issue in approximately
50% of patients suffering from chronic diseases. The availability of new
technologies could represent a possible way to improve patients' engagement and
adherence in a real-life setting. Research and technology tools made available or
in process of being made available to patients with allergic diseases and their
physicians could potentially improve the management of these disease in daily
life by improving adherence. In this review, we sought to outline many of the
recent advances in these technological approaches. RECENT FINDINGS: Short Message
Service (SMS) reminder, social networks, wearable devices, mobile applications
(Apps), monitoring systems of inhaled device use, often presented as 'serious
game' are changing the way of approaching to chronic disease, such as rhinitis,
management. SUMMARY: Studies of the role played by various technologies in
improving adherence to treatment in rhinitis are still limited as compared with
other diseases such as asthma, but the results are encouraging. Further studies
in this area may lead to the discovery of novel management approaches that is
easy to be integrated in patients' daily life.
PMID- 27875377
TI - Spinal Cord Stimulation for Refractory Angina Pectoris: A Systematic Review and
Meta-analysis.
AB - OBJECTIVES: Paresthesia-free stimulation such as high frequency and burst have
been demonstrated as effective therapies for neuropathic pain. The aim of this
meta-analysis was to evaluate the efficacy and safety of conventional spinal cord
stimulation (SCS) in the treatment of refractory angina pectoris (RAP). MATERIALS
AND METHODS: Relevant randomized controlled trials that investigated SCS for
patients with RAP were comprehensively searched in Medline, Pubmed, Embase, and
Cochrane Library. Five meta-analyses were performed examining the changes in
Canadian Cardiovascular Society classes, exercise time, Visual Analog Scale (VAS)
scores of pain, Seattle Angina Questionnaire, and nitroglycerin use in RAP
patients after SCS therapy. We analyzed standardized mean differences (MD) and
95% confidence intervals (CIs) for each outcome by Review Manager 5.0 and STATA
12.0. RESULTS: A total of 12 randomized controlled trials involving 476 RAP
patients were identified. A trend of reduction in the angina frequency (MD=-9.03,
95% CI, -15.70 to -2.36) and nitroglycerin consumption (MD=-0.64, 95% CI, -0.84
to -0.45) could be observed in the SCS group. Compared with the control group,
SCS showed benefit on increasing exercise time (MD=0.49, 95% CI, 0.13-0.85) and
treatment satisfaction (MD=6.87, 95% CI, 2.07-11.66) with decreased VAS scores of
pain (MD=-0.50, 95% CI, -0.81 to -0.20) and disease perception (MD=-8.34, 95% CI,
-14.45 to -2.23). However, the result did not reach the significance level in
terms of physical limitation (95% CI, -8.75 to 3.38; P=0.39) or angina stability
(95% CI, -7.55 to 3.67; P=0.50). DISCUSSION: The current meta-analysis suggested
that SCS was a potential alternative in the treatment of PAP patients. Further
investigation for finding the appropriate intensity of stimulation is required
before this treatment should be widely recommended and applied.
PMID- 27875378
TI - Cushing Syndrome in Carney Complex: Clinical, Pathologic, and Molecular Genetic
Findings in the 17 Affected Mayo Clinic Patients.
AB - Carney complex (CNC) is a rare dominantly inherited multiorgan tumoral disorder
that includes Cushing syndrome (CS). To establish the Mayo Clinic experience with
the CS component, including its clinical, laboratory, and pathologic findings, we
performed a retrospective search of the patient and pathologic databases of Mayo
Clinic in Rochester, MN, for patients with CNC and clinical or laboratory
findings of CS. Thirty-seven patients with CNC were identified. Twenty-nine had
clinical, pathologic, or laboratory evidence of an adrenocortical disorder.
Seventeen had classic CS; 15 underwent bilateral, subtotal, or partial unilateral
adrenalectomy, and 2 had no treatment. Pathologically, the glands were normal
sized or slightly enlarged with multiple small (1 to 4 mm), brown, black, and
yellow micronodules (primary pigmented nodular adrenocortical disease; PPNAD).
Three glands each had a mass: a 2 cm adenoma, a 1.5 cm macronodule, and an
unencapsulated 1.8 cm myelolipoma. Fourteen of the patients were alive at follow
up, and 3 were deceased; 2 of the latter had PPNAD at autopsy, and the third had
PPNAD at surgery. Twelve patients without clinical features of classic CS had
abnormal adrenocortical testing results; none developed classic CS during follow
up (mean, 10 y). Autopsy findings in 1 showed bilateral vacuolated cell cortical
hyperplasia.
PMID- 27875379
TI - The decision neuroscience perspective on suicidal behavior: evidence and
hypotheses.
AB - PURPOSE OF REVIEW: Suicide attempts are usually regretted by people who survive
them. Furthermore, addiction and gambling are over-represented among people who
attempt or die by suicide, raising the question whether their decision-making is
impaired. Advances in decision neuroscience have enabled us to investigate
decision processes in suicidal people and to elucidate putative neural substrates
of disadvantageous decision-making. RECENT FINDINGS: Early studies have linked
attempted suicide to poor performance on gambling tasks. More recently,
functional MRI augmented with a reinforcement learning computational model
revealed that impaired decision-making in suicide attempters is paralleled by
disrupted expected value (expected reward) signals in the ventromedial prefrontal
cortex. Behavioral studies have linked increased delay discounting to low
lethality/poorly planned attempts, multiple attempts, and the co-occurrence of
attempted suicide and addiction. This behavioral tendency may be related to
altered integrity of the basal ganglia. By contrast, well-planned, serious
suicide attempts were associated with intact/diminished delay discounting. One
study has linked high-lethality suicide attempts and impaired social decision
making. SUMMARY: This emerging literature supports the notion that various
impairments in decision-making - often broadly related to impulsivity - may mark
different pathways to suicide. We propose that aggressive and self-destructive
responses to social stressors in people prone to suicide result from a
predominance of automatic, Pavlovian processes over goal-directed computations.
PMID- 27875381
TI - Diabetes Self-management Quality Improvement Initiative for Medically Underserved
Patients.
AB - The burden of diabetes is greater for minorities and medically underserved
populations in the United States. An evidence-based provider-delivered diabetes
self-management education intervention was implemented in a federally qualified
health center for medically underserved adult patients with type 2 diabetes. The
findings provide support for the efficacy of the intervention on improvement in
self-management behaviors and glycemic control among underserved patients with
diabetes, while not substantially changing provider visit time or workload.
PMID- 27875382
TI - Incorporation of Leadership Rounds in CAUTI Prevention Efforts.
AB - Leadership engagement is an important aspect of integrating best practices at the
bedside. The catheter-associated urinary tract infection (CAUTI) prevention
workgroup at our academic medical center implemented leadership rounding in
partnership with clinical staff to increase participation in CAUTI prevention
initiatives on inpatient units. There was an associated decrease in urinary
catheter utilization and CAUTI rates. Implementation of leadership rounds should
be considered as a part of comprehensive CAUTI prevention efforts in health care
settings.
PMID- 27875383
TI - Predictors of Hospital Nurses' Safety Practices: Work Environment, Workload, Job
Satisfaction, and Error Reporting.
AB - Nurses' safety practices of medication administration, prevention of falls and
unplanned extubations, and handover are essentials to patient safety. This study
explored the prediction between such safety practices and work environment
factors, workload, job satisfaction, and error-reporting culture of 1429
Taiwanese nurses. Nurses' job satisfaction, error-reporting culture, and one
environmental factor of nursing quality were found to be major predictors of
safety practices. The other environment factors related to professional
development and participation in hospital affairs and nurses' workload had
limited predictive effects on the safety practices. Increasing nurses' attention
to patient safety by improving these predictors is recommended.
PMID- 27875384
TI - Improving Nursing Communication Skills in an Intensive Care Unit Using Simulation
and Nursing Crew Resource Management Strategies: An Implementation Project.
AB - Effective interprofessional communication is critical to patient safety. This pre
/postimplementation project used a multifaceted educational strategy with high
fidelity simulation to introduce evidence-based communication tools, adapted from
Nursing Crew Resource Management, to intensive care unit nurses. Results
indicated that participants were satisfied with the education, and their
perceptions of interprofessional communication and knowledge improved. Teams (n =
16) that used the communication tools during simulation were more likely to
identify the problem, initiate key interventions, and have positive outcomes.
PMID- 27875386
TI - Correlation Between Posttraumatic Growth and Posttraumatic Stress Disorder
Symptoms Based on Pearson Correlation Coefficient: A Meta-Analysis.
AB - The literature on posttraumatic growth (PTG) is burgeoning, with the
inconsistencies in the literature of the relationship between PTG and
posttraumatic stress disorder (PTSD) symptoms becoming a focal point of
attention. Thus, this meta-analysis aims to explore the relationship between PTG
and PTSD symptoms through the Pearson correlation coefficient. A systematic
search of the literature from January 1996 to November 2015 was completed. We
retrieved reports on 63 studies that involved 26,951 patients. The weighted
correlation coefficient revealed an effect size of 0.22 with a 95% confidence
interval of 0.18 to 0.25. Meta-analysis provides evidence that PTG may be
positively correlated with PTSD symptoms and that this correlation may be
modified by age, trauma type, and time since trauma. Accordingly, people with
high levels of PTG should not be ignored, but rather, they should continue to
receive help to alleviate their PTSD symptoms.
PMID- 27875385
TI - Effects of Linagliptin on Vessel Wall Healing in the Rat Model of Arterial Injury
Under Normal and Diabetic Conditions.
AB - Diabetic patients suffer an increased risk of restenosis and late stent
thrombosis after angioplasty, complications which are related to a defective
reendothelialization. Dipeptidyl peptidase-4 inhibitors have been suggested to
exert a direct effect on endothelial and smooth muscle cells (SMCs). Therefore,
the objective was to study if the dipeptidyl peptidase-4 inhibitor linagliptin
could influence vascular repair and accelerate reendothelialization after
arterial injury in healthy and diabetic animals. Diabetic Goto-Kakizaki and
healthy Wistar rats were subjected to arterial injury and treated with
linagliptin or vehicle. Vessel wall healing was monitored noninvasively using
ultrasound, and on sacrifice, with Evans blue staining and immunohistochemistry.
The effect of linagliptin on SMCs was also studied in vitro. We found that
linagliptin reduced the proliferation and dedifferentiation of SMCs in vitro, and
modulated the inflammatory response in the SMCs after arterial injury in vivo.
However, these effects of linagliptin did not affect the neointima formation or
the reendothelialization under normal and diabetic conditions. Although
linagliptin did not influence vessel wall healing, it seems to possess a
desirable antiproliferative influence on SMCs in vitro and an antiinflammatory
effect in vivo. These pharmacological properties might carry a potential
significance for favorable outcome after vascular interventions in diabetic
patients.
PMID- 27875387
TI - Clinical Response Rates From Interleukin-2 Therapy for Metastatic Melanoma Over
30 Years' Experience: A Meta-Analysis of 3312 Patients.
AB - Interleukin-2 (IL-2), initially used in 1986, can induce clinical regression
complete responses (CR) and partial responses (PR) of metastatic malignant
melanoma. IL-2 has been used alone or in combination, and in different dosage
schedules, as an immunotherapeutic agent for melanoma treatment. This meta
analysis aimed to document and evaluate the spectrum of reported clinical
response rates from the combined experience of almost 30 years of IL-2 clinical
usage. Clinical trials using IL-2 for metastatic melanoma therapy that reported:
dosage, combinations, study details, definitions and clinical CR, PR, and overall
response (OR) rates were included. A meta-analysis was conducted using the
preferred reporting items for systematic reviews and meta-analyses (PRISMA)
guidelines. In total, 34 studies met inclusion criteria, with 41 separate
treatment arms. For all IL-2 treatment modalities collectively, the CR rate was
4.0% [95% confidence interval (CI), 2.8-5.3], PR 12.5% (95% CI, 10.1-15.0), and
OR 19.7% (95% CI, 15.9-23.5). CR pre-1994 was 2.7% versus 6.1% post-1994. High
and intermediate-IL-2 dosage showed no CR difference, while low-dose IL-2 showed
a nonstatistical trend toward an increased CR rate. The highest CR rate resulted
from IL-2 combined with vaccine at 5.0%. The meta-analysis showed that IL-2
immunotherapy for advanced metastatic melanoma delivered a CR rate of 4% (range,
0-23%) across nearly 30 years of clinical studies, with gradual improvement over
time. The significance is that, contrary to popular belief, the data demonstrated
that CR rates were similar for intermediate versus high-IL-2 dosing.
PMID- 27875388
TI - Is vulvovaginal atrophy due to a lack of both estrogens and androgens?
AB - OBJECTIVE: The aim of this study was to review the preclinical data showing the
role of both estrogens and androgens in the physiology of the vagina, and, most
likely, in vulvovaginal atrophy of menopause. METHODS: Mass spectrometry-based
assays (validated according to the FDA guidelines) for the measurement of sex
steroids, their precursors, and metabolites were used. In addition to fixation of
the vagina for morphological examination, histomorphometry, immunocytochemistry,
immunofluorescence, and quantitative reverse transcription polymerase chain
reaction were performed. RESULTS: The vaginal epithelium of the animals receiving
dehydroepiandrosterone (DHEA) was made of large multilayered columnar mucous
cells showing distended cytoplasmic vacuoles representative of an androgenic
effect. DHEA also stimulates collagen fiber compactness of the lamina propria
(second layer)-an effect essentially due to an androgenic effect, whereas
stimulation by DHEA of the muscularis in the third vaginal layer is approximately
70% due to the androgenic conversion of DHEA. Stimulation of the surface area of
the nerve endings, on the contrary, is exclusively androgenic. Vaginal weight
stimulation by DHEA is about 50% androgenic and 50% estrogenic. CONCLUSIONS:
Practically all studies on the influence of steroid hormones in the vagina have
focused on luminal epithelial cells. Since all estrogens and androgens in
postmenopausal women are made intracellularly and derive from the conversion of
circulating DHEA, it is of interest to observe from these preclinical data that
DHEA exerts both estrogenic and androgenic activity in the three layers of the
vagina, the stimulatory effect on nerve density being 100% androgenic. Taking
vaginal weight as a global parameter, the stimulatory effect of DHEA in the rat
vagina is about equally estrogenic and androgenic, thus illustrating the
importance of androgens in vaginal morphology and function, and the likely
importance of androgens in vulvovaginal atrophy of menopause.
PMID- 27875390
TI - Links between reproductive factors and general health.
PMID- 27875393
TI - Should you witness a signature on a patient's personal legal document?
PMID- 27875389
TI - Comparative effectiveness of electro-acupuncture versus gabapentin for sleep
disturbances in breast cancer survivors with hot flashes: a randomized trial.
AB - OBJECTIVE: Sleep disturbance is a major consequence of hot flashes among breast
cancer survivors. This study evaluated the effects of electro-acupuncture (EA)
versus gabapentin (GP) for sleep disturbances among breast cancer survivors
experiencing daily hot flashes. METHODS: We analyzed data from a randomized
controlled trial involving 58 breast cancer survivors experiencing bothersome hot
flashes at least two times per day. Participants were randomly assigned to
receive 8 weeks of EA or daily GP (total dose of 900 mg/d). The primary outcome
was change in the total Pittsburgh Sleep Quality Index (PSQI) score between
groups at week 8. Secondary outcomes include specific PSQI domains. RESULTS: By
the end of treatment at week 8, the mean reduction in PSQI total score was
significantly greater in the EA group than the GP group (-2.6 vs -0.8, P =
0.044). The EA also had improved sleep latency (-0.5 vs 0.1, P = 0.041) and sleep
efficiency (-0.6 vs 0.0, P = 0.05) compared with the GP group. By week 8, the EA
group had improved sleep duration, less sleep disturbance, shorter sleep latency,
decreased daytime dysfunction, improved sleep efficiency, and better sleep
quality (P < 0.05 for all) compared with baseline, whereas the GP group improved
in duration and sleep quality only (P < 0.05). CONCLUSIONS: Among women
experiencing hot flashes, the effects of EA are comparable with GP for improving
sleep quality, specifically in the areas of sleep latency and efficiency. Larger
randomized controlled trials with longer follow-ups are needed to confirm this
preliminary finding.
PMID- 27875394
TI - Solution-focused nursing in a crisis situation improves patient outcomes.
PMID- 27875397
TI - Autologous microvascular breast reconstruction: Postoperative strategies to
improve outcomes.
PMID- 27875395
TI - Conquering plagiarism in nursing research and authorship.
PMID- 27875398
TI - Caring for adults with impaired physical mobility.
PMID- 27875399
TI - When a preceptor needs a mentor.
PMID- 27875400
TI - Compact state licensure: Take the "fast lane" to nursing practice.
PMID- 27875401
TI - Ethics, pain, and pay-for-performance.
PMID- 27875402
TI - Nursing care for adults with ADHD who take stimulants.
PMID- 27875403
TI - Postoperative neuropathic pain in adults.
PMID- 27875404
TI - Recognizing staphylococcal scalded skin syndrome.
PMID- 27875405
TI - On alert for postpartum preeclampsia.
PMID- 27875406
TI - Online resources for sepsis.
PMID- 27875408
TI - Diagnosing acute respiratory distress syndrome in resource limited settings: the
Kigali modification of the Berlin definition.
AB - PURPOSE OF REVIEW: The acute respiratory distress syndrome (ARDS) was re-defined
by a panel of experts in Berlin in 2012. Although the Berlin criteria improved
upon the validity and reliability of the definition, it did not make diagnosis of
ARDS in resource limited settings possible. Mechanical ventilation, arterial
blood gas measurements, and chest radiographs are not feasible in many regions of
the world. In 2014, we proposed and applied the Kigali modification of the Berlin
definition in a hospital in Rwanda. This review synthesizes literature from the
last 18 months relevant to the Kigali modification. RECENT FINDINGS: In the last
18 months, the need for a universally applicable ARDS definition was reinforced
by advances in supportive care that can be implemented in resource poor settings.
Research demonstrating the variable impact of positive end expiratory pressure on
hypoxemia, the validity of using pulse oximetry rather than arterial blood gas to
categorize hypoxemia, and the accuracy of lung ultrasound support the use of the
Kigali modification of the Berlin definition. SUMMARY: Studies directly comparing
the Berlin definition to the Kigali modification are needed. Ongoing clinical
research on ARDS needs to include low-income countries.
PMID- 27875409
TI - Extracorporeal membrane oxygenation: beyond rescue therapy for acute respiratory
distress syndrome?
AB - PURPOSE OF REVIEW: This article summarizes the results of past and more recent
series on venovenous extracorporeal membrane oxygenation (VV-ECMO) and discusses
its potential indications beyond the rescue of patients with lung failure
refractory to conventional mechanical ventilation. RECENT FINDINGS: Successful VV
ECMO treatment in patients with extremely severe influenza A(H1N1)-associated
acute respiratory distress syndrome (ARDS) and positive results of the CESAR
trial have led to an exponential use of the technology in recent years. Beyond
its currently accepted indication as a salvage therapy in ARDS patients with
refractory hypoxemia or unable to tolerate volume-limited strategies, VV-ECMO may
improve the outcomes of less severe ARDS patients by facilitating lung-protective
ventilation. SUMMARY: As initiation of VV-ECMO allows significant decrease in
tidal volume, plateau and driving pressures, which has been associated with
improved survival in ARDS patients, new trials should evaluate the impact of its
early initiation in patients with severe but not refractory ARDS.
PMID- 27875410
TI - Should we use driving pressure to set tidal volume?
AB - PURPOSE OF REVIEW: Ventilator-induced lung injury (VILI) can occur despite use of
tidal volume (VT) limited to 6 ml/kg of predicted body weight, especially in
patients with a smaller aerated compartment (i.e. the baby lung) in which,
indeed, tidal ventilation takes place. Because respiratory system static
compliance (CRS) is mostly affected by the volume of the baby lung, the ratio
VT/CRS (i.e. the driving pressure, DeltaP) may potentially help tailoring
interventions on VT setting. RECENT FINDINGS: Driving pressure is the ventilatory
variable most strongly associated with changes in survival and has been shown to
be the key mediator of the effects of mechanical ventilation on outcome in the
acute respiratory distress syndrome. Observational data suggest an increased risk
of death for patients with DeltaP more than 14 cmH2O, but a well tolerated
threshold for this parameter has yet to be identified. Prone position along with
simple ventilatory adjustments to facilitate CO2 clearance may help reduce DeltaP
in isocapnic conditions. The safety and feasibility of low-flow extracorporeal
CO2 removal in enhancing further reduction in VT and DeltaP are currently being
investigated. SUMMARY: Driving pressure is a bedside available parameter that may
help identify patients prone to develop VILI and at increased risk of death. No
study had prospectively evaluated whether interventions on DeltaP may provide a
relevant clinical benefit, but it appears physiologically sound to try titrating
VT to minimize DeltaP, especially when it is higher than 14 cmH2O and when it has
minimal costs in terms of CO2 clearance.
PMID- 27875411
TI - Comparing the Effectiveness of Sagittal Balance, Foraminal Stenosis, and
Preoperative Cord Rotation in Predicting Postoperative C5 Palsy.
AB - STUDY DESIGN: Retrospective cohort review. OBJECTIVE: To determine whether
preoperative cord rotation is independently correlated with C5 palsy when
analyzed alongside measures of sagittal balance and foraminal stenosis. SUMMARY
OF BACKGROUND DATA: Postoperative C5 palsy is a well-documented complication of
cervical procedures with a prevalence of 4%-8%. Recent studies have shown a
correlation with preoperative spinal cord rotation. There have been few studies,
however, that have examined the role of sagittal balance and foraminal stenosis
in the development of C5 palsy. METHODS: A total of 77 patients who underwent
cervical decompression-10 of whom developed C5 palsy-were reviewed. Sagittal
balance was assessed using curvature angle and curvature index on radiographs and
magnetic resonance image (MRI). Cord rotation was assessed on axial MRI. C4-C5
foraminal stenosis was assessed on sagittal MRI using area measurements and a
grading scale. Demographics and information on surgical approach were gathered
from chart review. Correlation with C5 palsy was performed by point-biserial,
chi, and regression analyses. RESULTS: Point-biserial analysis indicated that
only cord rotation showed significance (P<0.01). There was no statistical
significance shown with surgical approach, sex, or age. In addition, changes in
sagittal balance did not correlate with presence of C5 palsy. Logistic regression
model yielded cord rotation as the only significant independent predictor of C5
palsy. For every degree of axial cord rotation, the likelihood ratio for
suffering a C5 palsy was 3.93 (95% confidence interval, 2.01-8.66; P<0.05).
CONCLUSIONS: This supports the independent capability of preoperative cord
rotation to predict postoperative C5 palsy. Lack of correlation with measures of
neuroforaminal stenosis potentially points to mechanisms other than direct
compression as the etiology. In addition, the lack of correlation with
postoperative changes in sagittal balance hints that measures of curvature angle
and curvature index may not be appropriate to accurately predict this
complication. LEVEL OF EVIDENCE: Level 3.
PMID- 27875412
TI - Preoperative Mental Health is not Predictive of Patient-reported Outcomes
Following a Minimally Invasive Lumbar Discectomy.
AB - STUDY DESIGN: This is a retrospective cohort study. OBJECTIVE: To determine if
preoperative mental health is associated with patient-reported outcomes (PROs)
following a minimally invasive lumbar discectomy. SUMMARY OF BACKGROUND DATA:
PROs are commonly used to quantify a patient's perceived health status. Recently,
mental health has been theorized to directly affect patients' perception of their
disability and pain after spine surgery. MATERIALS AND METHODS: A registry of
patients who underwent a primary, single-level minimally invasive lumbar
discectomy was reviewed. The association between preoperative Short-Form Health
Survey mental composite score (MCS) and change in PROs [Oswestry Disability
Index, back and leg visual analog scale (VAS) pain scores] from preoperative to
postoperative (6-week, 12-week, 6-month) timepoints was assessed using
multivariate regression controlling for patient demographics and the respective
preoperative PRO. Patients in the top and bottom quartiles of preoperative MCS
were compared regarding achievement of minimum clinically important difference
for each PRO. RESULTS: A total of 110 patients were included in the analysis.
Better preoperative mental health was associated with lower preoperative
disability and decreased preoperative back VAS (P<0.05 for each). Higher
preoperative MCS was also associated with greater improvements in back VAS at 6
weeks postoperatively (P<0.05). There was no association between preoperative MCS
and change in any PROs at the 12-week or 6-month postoperative visits. Patients
in the bottom quartile of preoperative MCS achieved minimum clinically important
difference in all PROs at similar rates to patients in the top quartile of
preoperative MCS. CONCLUSIONS: Patients with better preoperative mental health
scores are more likely to report decreased disability and pain preoperatively.
However, preoperative mental health was not predictive of changes in long-term
disability or pain. As a result, patients with a wide range of preoperative
mental health scores can achieve satisfactory long-term reductions in disability
and pain levels after a lumbar discectomy. LEVEL OF EVIDENCE: Level IV.
PMID- 27875413
TI - Obesity as a Risk Factor for Low Back Pain: A Meta-Analysis.
AB - STUDY DESIGN: A meta-analysis. OBJECTIVE: To update the current knowledge about
the association between overweight, obesity, and low back pain (LBP) risk, we
conducted a meta-analysis of published cohort studies. SUMMARY OF BACKGROUND
DATA: The association between obesity and LBP risk has been the research focus in
the past decade. However, available data from studies on the association between
obesity and LBP remains debatable. METHODS: An extensive English language
literature retrieval regarding the association between overweight, obesity, and
the risk of LBP incidence was conducted on PubMed and EMBASE databases through
December 2015. Meta-analysis for all the included literature was performed by
STATA 12.0 to summarize test performance with Forest plots after a heterogeneity
test. Moreover, subgroup and sensitivity analyses were performed to examine the
potential candidate-effect factors. RESULTS: A total of 10 cohort studies
including 29,748 subjects satisfied the predefined eligibility criteria. The
pooled odds ratio (OR) for overweight and obesity compared with normal weight was
1.15 [95% confidence interval (CI), 1.08-1.21) and 1.36 (95% CI, 1.18-1.57),
respectively. Moreover, subgroup analysis proved that increased body mass index
was associated with an increased incidence of LBP in both men (overweight: pooled
OR=1.16, 95% CI, 1.04-1.31; obesity: pooled OR=1.36, 95% CI, 1.15-1.61) and women
(overweight: pooled OR=1.24, 95% CI, 1.04-1.50; obesity: pooled OR=1.40, 95% CI,
1.08-1.82). There was no evidence of publication bias. CONCLUSIONS: Our findings
consistently show that overweight and obesity are risk factors for LBP in men and
women. Maintaining a healthy body weight may be one of the factors preventing the
occurrence of LBP. LEVEL OF EVIDENCE: Level 1.
PMID- 27875414
TI - The Influence of Histologic Subtype in Predicting Survival of Lung Cancer
Patients With Spinal Metastases.
AB - STUDY DESIGN: Retrospective analysis. SUMMARY OF BACKGROUND DATA: Recent
advancements in systemic treatment of lung cancer have significantly improved the
survival of patients with certain histolopathologic and molecular subtypes.
Existing prognostic scores do not account for this and patients with lung cancer
spinal metastases are grouped together as poor prognostic candidates, and
consequently, some may be inappropriately denied palliative spine surgery.
OBJECTIVE: The objective of the study was to study whether the expected survival
in patients with lung cancer spinal metastases is affected by histolopathologic
and molecular subtypes in the context of modern systemic therapy. MATERIALS AND
METHODS: We retrospectively reviewed all patients with histologically confirmed
lung cancer treated for spinal metastases at our institution between 2001 and
2012. Patients' demographics, histopathologic details, treatment modalities, and
survival data were collected. The primary outcome was survival from time of
spinal metastases diagnosis. The Cox regression analysis was used to evaluate the
influence of tumor histology, molecular profile and treatment modality on
survival. The Kaplan-Meier survival analysis was conducted to compare lung cancer
subtypes, as well as various treatment regimens. RESULTS: Out of 180 patients, 51
underwent surgery for spinal metastases. Female sex (P=0.019), absence of palsy
(P=0.023), good Karnofsky performance scores (P<0.001), and non-small cell lung
cancer (NSCLC) (P=0.002) were favorable prognostic factors. Patients who received
systemic therapy, including tyrosine kinase inhibitors, platinum doublet
chemotherapy, or both showed increased survival (P<0.01). The median survival
time was 2.40 months [95% confidence interval (CI), 2.13-2.68] in the small cell
lung cancer cohort, with no patients surviving past a year; 5.10 months (95% CI,
3.78-6.41) in the NSCLC cohort, with 25.9% 1-year survival; and 13.3 months (95%
CI, 2.26-24.40) in adenocarcinoma patients who received both tyrosine kinase
inhibitors and platinum doublet therapy, with 50.0% 1-year survival. CONCLUSIONS:
NSCLC, systemic therapy, female sex, absence of palsy and good Karnofsky
performance scores are all independent favorable prognostic factors for patients
with lung cancer spinal metastases. These should be routinely considered during
prognostication.
PMID- 27875415
TI - A Posterior Oblique Approach to the Lumbar Disk Spaces, Vertebral Bodies, and
Lumbar Plexus: A Cadaveric Feasibility Study.
AB - STUDY DESIGN: A laboratory cadaveric study. OBJECTIVE: We aimed to demonstrate
the feasibility of a posterior oblique approach, sharing the same advantages as
the transpsoas technique while minimizing the risk of lumbar plexus or psoas
muscle injuries. SUMMARY OF BACKGROUND DATA: The transpsoas approach for
interbody fusion and corpectomy offers advantages over posterior and anterior
approaches. However, possible risks include traumatization of the psoas muscle or
lumbar plexus. METHODS: All lumbar disk spaces and vertebral bodies were exposed
by a posterior oblique approach from left and right on a human cadaveric
specimen. The exposure obtained and a step-by-step documentation of the procedure
is outlined in detail. RESULTS: We were able to achieve wide exposure of all
lumbar disk spaces and vertebral bodies above the L5/S1 disk space. Only the
psoas muscle was retracted, and the lumbar plexus nerves were easily visualized
and gently retracted. Sharp dissection was only required around the tip of the
transverse processes. CONCLUSIONS: A posterior oblique approach seems to be less
invasive than the transpsoas approach. Exposure of the anterior column structures
above the iliac crest is comparable. The oblique approach offers direct access to
the lumbar plexus and the extraforaminal segments of the nerve roots.
PMID- 27875416
TI - Comparison of Structural Disease Burden to Health-related Quality of Life Scores
in 264 Adult Spinal Deformity Patients With 2-Year Follow-up: Novel Insights into
Drivers of Disability.
AB - STUDY DESIGN: This is a review of a prospective multicenter database. OBJECTIVE:
To investigate the relationship between preoperative disability and sagittal
deformity in patients with high Oswestry Disability Index (ODI) and no sagittal
malalignment, or low ODI and high sagittal malalignment. SUMMARY OF BACKGROUND
DATA: The relationship between ODI and sagittal malalignment varies between each
adult spinal deformity (ASD) patient. METHODS: A prospective multicenter database
of 365 patients with ASD undergoing surgical reconstruction was analyzed.
Inclusion criteria entailed: age 18 years or above and the presence of spinal
deformity as defined by a coronal Cobb angle>=20 degrees, sagittal vertical axis
(SVA)>=5 cm, pelvic tilt (PT) angle>=25 degrees, or thoracic kyphosis>=60
degrees. Radiographic and health-related quality of life (HRQOL) variables were
examined and compared, preoperatively and at 2-year postoperative follow-up.
Group 1 (low disability high sagittal-LDHS) consisted of ODI<40 and SVA>=5 cm or
PT>=25 degrees or pelvic incidence-lumbar lordosis>=11 degrees and group 2 (high
disability low sagittal-HDLS) consisted of ODI>40 and SVA<5 cm and PT<25 degrees
and pelvic incidence-lumbar lordosis<11 degrees. RESULTS: Of 264 patients with
follow-up, 58 (22.0%) patients were included in LDHS and 30 (11.4%) were included
in HDLS. Both groups had similar demographics and preoperative coronal angles.
HDLS had worse baseline HRQOL for all measures (P<0.05) except leg and back pain.
HDLS had a higher rate of self-reported leg weakness, arthritis, depression and
neurological disorder. Both groups had similar 2-year improvements in HRQOL
(P>0.05), except only HDLS had a significant Scoliosis Research Society Mental
improvement and a significantly higher rate of reaching minimal clinically
important differences in Scoliosis Research Society Mental scores (P<0.05).
CONCLUSIONS: There is an association of worse baseline HRQOL measures, weakness,
arthritis, and mental disease in HDLS. Furthermore, HDLS patients demonstrated
similar improvements to LDHS. However, HDLS had greater improvements in the
mental domains, perhaps indicating the responsiveness of the mental disability to
surgical treatment. LEVEL OF EVIDENCE: Level III.
PMID- 27875417
TI - The Utility of Routinely Obtaining Postoperative Laboratory Studies Following a
Minimally Invasive Transforaminal Lumbar Interbody Fusion.
AB - STUDY DESIGN: This is a retrospective analysis. OBJECTIVE: To test the hypothesis
that there is limited utility in routinely obtaining postoperative laboratory
values following minimally invasive transforaminal lumbar interbody fusion (MIS
TLIF). BACKGROUND DATA: At many institutions, it is routine to obtain a complete
blood count and basic metabolic profile (BMP) following a MIS TLIF. However, the
utility of this practice has not been well characterized. METHODS: A total of 332
consecutive patients who underwent a primary, 1-level MIS TLIF for degenerative
spinal pathology between 2007 and 2013 were identified. Patients were stratified
into low-risk and high-risk cohorts based upon risk for postoperative laboratory
abnormalities. Inclusion criteria for the high-risk cohort were malignancy,
complicated diabetes, renal failure, liver disease, hematologic disease, or
significant intraoperative blood loss (>300 mL). Preoperative and postoperative
hemoglobin (Hb), hematocrit, and BMP values were compared. Any interventions that
were potentially related to laboratory values were identified. RESULTS: Totals of
270 low-risk and 62 high-risk patients were identified. Mean postoperative Hb,
hematocrit, blood urea nitrogen, sodium, potassium, and calcium values were
decreased compared with preoperative values (P<0.001 for each) in both cohorts.
Similar changes from preoperative levels were demonstrated in each cohort. No
patients received blood product transfusion. Eleven low-risk (4.1%) and 5 high
risk patients (8.1%) received oral potassium supplementation. All patients who
received potassium supplementation were asymptomatic. Most patients who were
given potassium replacement consumed medications known to decrease serum
potassium levels. No other interventions were performed in either group.
CONCLUSIONS: Despite a significant decrease in mean Hb concentration following
surgery, no patients required a transfusion. In total, 16 patients received
potassium supplementation likely related to medication-related potassium
deficits. Overall, these findings suggest that the utility of routinely obtaining
a complete blood count or BMP following uncomplicated MIS TLIF may be limited
except in the setting of select preoperative comorbidities and/or perioperative
risk factors or events. LEVEL OF EVIDENCE: Level III.
PMID- 27875418
TI - Nonclassic features of pseudohypoparathyroidism type 1A.
AB - PURPOSE OF REVIEW: To provide readers with a review of contemporary literature
describing the evolving understanding of the pseudohypoparathyroidism type 1A
(PHP1A) phenotype. RECENT FINDINGS: The classic features of PHP1A include
multihormone resistance and the Albright Hereditary Osteodystrophy phenotype
(round facies, short stature, subcutaneous ossifications, brachydactyly, and
early-onset obesity. Obesity may be because of a decrease in resting energy
expenditure because most patients do not report significant hyperphagia. Patients
with PHP1A have an increased risk of type 2 diabetes. In addition to
brachydactyly and short stature, orthopedic complications can include spinal
stenosis and carpal tunnel syndrome. Hearing loss, both sensorineural and
conductive, has been reported in PHP1A. In addition, ear-nose-throat findings
include decreased olfaction and frequent otitis media requiring tympanostomy
tubes. Sleep apnea was shown to be 4.4-fold more common in children with PHP1A
compared with other obese children; furthermore, asthma-like symptoms have been
reported. These new findings are likely multifactorial and further research is
needed to better understand these nonclassic features of PHP1A. SUMMARY: Along
with the Albright Hereditary Osteodystrophy phenotype and hormone resistance,
patients with PHP1A may have additional skeletal, metabolic, ear-nose-throat, and
pulmonary complications. Understanding these nonclassic features will help
improve clinical care of patients with PHP1A.
PMID- 27875419
TI - New treatment options for irritable bowel syndrome with predominant diarrhea.
AB - PURPOSE OF REVIEW: Irritable bowel syndrome (IBS) is a highly prevalent
gastrointestinal disorder with negative impact on quality of life and it
represents a substantial economic burden on healthcare cost. The medical
management of IBS remains largely symptomatic. This review provides an update
related to the most recently published diagnostic Rome IV criteria for IBS and
clinical trial data for novel treatment modalities in IBS targeting the
peripheral opioid receptors of the enteric nervous system and the gut microbiota.
RECENT FINDINGS: The new Rome IV criteria define functional gastrointestinal
disorders as disorders of the gut-brain interaction. In addition to previously
introduced pharmacological treatment modalities for IBS with constipation (IBS-C)
with synthetic peptides and small molecules targeting gastrointestinal receptors
and ion channels, the newly Food and Drug Administration-approved mixed
peripheral opioid receptor agonist/antagonist eluxadoline and the nonabsorbable
antibiotic rifaximin demonstrate efficacy and safety in the treatment of IBS with
predominant diarrhea (IBS-D). SUMMARY: Diagnostic criteria for functional
gastrointestinal disorders, including IBS, have been revised in Rome IV and are
defined as gut-brain disorders. The mixed peripheral opioid receptor
agonist/antagonist eluxadoline and the antibiotic rifaximin have been recently
Food and Drug Administration approved for the treatment of diarrhea-predominant
IBS (IBS-D) with proven efficacy and acceptable side-effect profiles.
PMID- 27875421
TI - Taking Care of Business.
AB - Here's to those who quietly go about doing their important work.
PMID- 27875423
TI - Telemedicine.
PMID- 27875422
TI - Helping Patients and Families Understand the Financial Impact of End-of-Life
Care.
AB - Planning ahead is crucial-nurses can provide information and resources.
PMID- 27875424
TI - Nurses and Policy Positions.
PMID- 27875425
TI - Nurse Fatigue.
PMID- 27875420
TI - Molecular imaging in neuroendocrine tumors: recent advances, controversies,
unresolved issues, and roles in management.
AB - PURPOSE OF REVIEW: The purpose is to review recent advances in molecular imaging
of neuroendocrine tumors (NETs), discuss unresolved issues, and review how these
advances are affecting clinical management. RECENT FINDINGS: Molecular imaging of
NETs underwent a number of important changes in the last few years, leading to
some controversies, unresolved issues, and significant changes in clinical
management. The most recent changes are reviewed in this article. Particularly
important is the rapid replacement in somatostatin receptor scintigraphy of In
diethylenetriamine penta-acetic acid-single-photon emission computed
tomography/computed tomography (CT) by Ga-fluorodopa(F-D)PA), 1,4,7,10
tetraazacyclododecane-1,4,7,10-tetraacetic acid (DOTA)-peptide-PET/CT imaging,
which is now approved in many countries including the USA. Numerous studies in
many different types of NETs demonstrate the greater sensitivity of Ga-DOTA
peptide PET/CT, its high specificity, and its impact on management. Other
important developments in somatostatin receptor scintigraphy/molecular imaging
include demonstrating the prognostic value of both Ga-DOTA-peptide PET/CT and F
fluoro-deoxyglucose PET/CT; how their use can be complementary; comparing the
sensitivities and usefulness of Ga-DOTA-peptide PET/CT and F-FDOPA PET/CT;
introducing new linkers and radiolabeled ligands such as Cu-DOTA-peptides with a
long half-life, enhancing utility; and the introduction of somatostatin receptor
antagonists which show enhanced uptake by NETs. In addition, novel ligands which
interact with other receptors (GLP-1, bombesin, cholecystokinin, gastric
inhibitory polpeptide, integrin, chemokines) are described, which show promise in
the imaging of both NETs and other tumors. SUMMARY: Molecular imaging is now
required for all aspects of the management of patients with NETs. Its results are
essential not only for the proper diagnostic management of the patient, but also
for assessing whether the patient is a candidate for peptide receptor
radionuclide therapy with Lu and also for providing prognostic value.
PMID- 27875426
TI - The High Cost of Prescription Drugs in America.
AB - Recent controversies are shedding light on the complex-and sometimes unsavory
forces at work.
PMID- 27875427
TI - New Patient Safety Requirements Toughen Nurse Licensure Compact.
AB - Changes include mandatory criminal background checks.
PMID- 27875428
TI - Physical Restraint Use Associated with RN Staffing.
AB - Adding non-RN nursing staff when patient load fluctuates doesn't check restraint
use.
PMID- 27875429
TI - NewsCAP: Cognitive behavioral therapy is as effective as antidepressants for
depressive disorder.
PMID- 27875430
TI - NewsCAP: Maine ends grant to treat teens and young adults with mental illness.
PMID- 27875431
TI - Do Infant Simulators Deter Teen Pregnancy?
AB - Study shows girls who took simulators home had higher subsequent pregnancy rates.
PMID- 27875433
TI - Parents Often Give the Wrong Dose of Medication to Their Children.
AB - Using oral syringes may reduce errors.
PMID- 27875435
TI - UK Nurse Infected with Ebola Cleared of Misconduct.
PMID- 27875436
TI - Nurse Suicides Spur Protests in France.
PMID- 27875439
TI - As Spending Skyrockets for Direct-to-Consumer Prescription Drug Ads, So Does
Pushback.
AB - Arguments center on harm vs. benefit to patients and providers.
PMID- 27875442
TI - OTC Antacids Containing Aspirin Carry Risk of Bleeding.
PMID- 27875443
TI - FDA Strengthens Warning Concerning Coadministration of Opioids and
Benzodiazepines.
PMID- 27875445
TI - 2 CE Test Hours: Original Research: Examining the Links Between Lifestyle Factors
and Metabolic Syndrome.
PMID- 27875446
TI - 2 CE Test Hours: Preventing Contrast-Induced Acute Kidney Injury.
PMID- 27875447
TI - Remembering Pearl Harbor at 75 Years.
AB - : On December 7, 1941, the Sunday-morning quiet of the U.S. naval base in Pearl
Harbor, Hawaii, was shattered by dive-bombing Japanese fighter planes. The planes
came in two waves-and when it was all over, more than 2,400 were killed and more
than 1,100 were injured.Nurses were stationed at U.S. Naval Hospital Pearl
Harbor, Tripler General Hospital (now Tripler Army Medical Center), Hickam Field
Hospital, Schofield Barracks Station Hospital, and aboard the USS Solace, and
witnessed the devastation. But they also did what nurses do in emergencies-they
responded and provided care to those in need. Here are the stories of a few of
those nurses.
PMID- 27875448
TI - Administration of Subcutaneous Injections.
AB - This article is the second in a series, Supporting Family Caregivers: No Longer
Home Alone, published in collaboration with the AARP Public Policy Institute.
Results of focus groups conducted as part of the AARP Public Policy Institute's
No Longer Home Alone video project supported evidence that family caregivers
aren't being given the information they need to manage the complex care regimens
of their family members. This series of articles and accompanying videos aims to
help nurses provide caregivers with the tools they need to manage their family
member's medications. Each article explains the principles nurses should consider
and reinforce with caregivers and is accompanied by a video for the caregiver to
watch. The second video can be accessed at http://links.lww.com/AJN/A75.
PMID- 27875449
TI - Year-End Reindeer Dreams.
AB - Updated several times a week with posts by a wide variety of authors, AJN's blog
Off the Charts allows us to provide more timely-and often more personal
perspectives on professional, policy, and clinical issues. Best of the Blog will
be a regular column to bring AJN readers recent posts that we think deserve a
wider audience. To read more, please visit: www.ajnoffthecharts.com.
PMID- 27875450
TI - Student Nurse in the War Zone.
AB - : Editor's note: From its first issue in 1900 through to the present day, AJN has
unparalleled archives detailing nurses' work and lives over more than a century.
These articles not only chronicle nursing's growth as a profession within the
context of the events of the day, but they also reveal prevailing societal
attitudes about women, health care, and human rights. Today's nursing school
curricula rarely include nursing's history, but it's a history worth knowing. To
this end, From the AJN Archives highlights articles selected to fit today's
topics and times.In this month's article, from the September 1942 issue, senior
nursing student Frances Carr writes vividly about life and work in Honolulu after
Pearl Harbor. "Students... have had seared into their memories scenes of such
horror as cannot be imagined.... When night fell, the nursing staff faced its
first test of caring for hundreds of patients in a blackout that had to be
absolute." And in this issue, see "Remembering Pearl Harbor at 75 Years," which
tells the stories of five nurses from the Army and Navy Nurse Corps who were
stationed nearby at the time of the attack.
PMID- 27875451
TI - Developing a Framework to Help Bedside Nurses Bring About Change.
AB - : Nurses across all care settings and experience levels are being called upon to
lead. In a 2011 report, The Future of Nursing: Leading Change, Advancing Health,
the Institute of Medicine examined the ways nurses could more fully apply their
knowledge of direct patient care to address the increasing demands placed on the
health care system since the passage of the Affordable Care Act. The report
asked: "What roles can nursing assume to address the increasing demand for safe,
high-quality, and effective health care services?"Multiple variables influence a
nurse's ability to assume a leadership role, and multiple barriers to these roles
continue to exist. This article uses the first-person voice to share the
experience of a new graduate nurse in a formal nurse residency program who found
himself in a position to identify the need for, advocate for, and ultimately
influence a policy change in the staffing practice of floating as it was applied
to new RNs on his unit. In a retrospective analysis of the process, the new
graduate RN and his former professor acting as a writing mentor developed a
leadership framework for nurses called RN LEADER, which they hope will empower
and guide other nurses to lead evidence-based change in their workplaces.
PMID- 27875452
TI - Celebrating Nursing Science.
AB - Nurse researchers gather in Washington, DC, to highlight new research and future
areas of study.
PMID- 27875453
TI - Integrating Mental Health into Primary Care.
AB - As part of its Raise the Voice campaign to showcase nurses who are key players in
transforming health care, the American Academy of Nursing has identified nurses
they call edge runners-"practical innovators who have led the way in bringing new
thinking and new methods to a wide range of health care challenges." This is the
seventh in AJN's series of profiles of these nursing innovators. Read and be
proud of what nurses can accomplish.
PMID- 27875455
TI - Sitting with Death.
AB - A social worker on a dialysis unit bears witness to patients' life or death
choices.
PMID- 27875456
TI - Reduction in the Cesarean Delivery Rate After Obstetric Care Consensus Guideline
Implementation.
PMID- 27875457
TI - In Reply.
PMID- 27875458
TI - All-Cause and Cause-Specific Mortality After Hypertensive Disease of Pregnancy.
PMID- 27875459
TI - In Reply.
PMID- 27875460
TI - Insect Repellants During Pregnancy in the Era of the Zika Virus.
PMID- 27875461
TI - In Reply.
PMID- 27875463
TI - In Reply.
PMID- 27875462
TI - Obstetric Forceps: A Species on the Brink of Extinction andForceps, Simulation,
and Social Media andSimulation Training for Forceps-Assisted Vaginal Delivery and
Rates of Maternal Perineal Trauma.
PMID- 27875464
TI - In Reply.
PMID- 27875469
TI - Practice Bulletin No. 175 Summary: Ultrasound in Pregnancy.
AB - Obstetric ultrasonography is an important and common part of obstetric care in
the United States. The purpose of this document is to present information and
evidence regarding the methodology of, indications for, benefits of, and risks
associated with obstetric ultrasonography in specific clinical situations.
Portions of this Practice Bulletin were developed from collaborative documents
with the American College of Radiology and the American Institute of Ultrasound
in Medicine (1, 2).
PMID- 27875470
TI - Committee Opinion No. 681 Summary: Disclosure and Discussion of Adverse Events.
AB - Adverse outcomes, preventable or otherwise, are a reality of medical care. Most
importantly, adverse events affect patients, but they also affect health care
practitioners. Disclosing information about adverse events has benefits for the
patient and the physician and, ideally, strengthens the patient-physician
relationship and promotes trust. Studies show that after an adverse outcome,
patients expect and want timely and full disclosure of the event, an
acknowledgment of responsibility, an understanding of what happened, expressions
of sympathy, and a discussion of what is being done to prevent recurrence.
Surveys have shown that patients are less likely to pursue litigation if they
perceive that the event was honestly disclosed. Barriers to full disclosure are
many and include fear of retribution for reporting an adverse event, lack of
training, a culture of blame, and fear of lawsuits. To reduce these concerns, it
is recommended that health care facilities establish a nonpunitive, blame-free
culture that encourages staff to report adverse events and near misses (close
calls) without fear of retaliation. Health care institutions should have written
policies that address the management of adverse events. Having a responsive
process to inform and aid the patient, loved ones, and practitioners is required.
A commitment on the part of all health care practitioners and institutions to
establish programs and develop the tools needed to help patients, families,
health care practitioners, and staff members deal with adversity is essential.
PMID- 27875471
TI - Committee Opinion No. 682 Summary: Microarrays and Next-Generation Sequencing
Technology: The Use of Advanced Genetic Diagnostic Tools in Obstetrics and
Gynecology.
AB - Genetic technology has advanced dramatically in the past few decades, and its
applications and use in caring for and counseling pregnant women has been
transformational in the realm of prenatal diagnosis. Two of the newer genetic
technologies in the prenatal setting are chromosomal microarray and whole-exome
sequencing. Chromosomal microarray analysis is a method of measuring gains and
losses of DNA throughout the human genome. It can identify chromosomal aneuploidy
and other large changes in the structure of chromosomes as well as submicroscopic
abnormalities that are too small to be detected by traditional modalities.
Prenatal chromosomal microarray analysis is recommended for a patient with a
fetus with one or more major structural abnormalities identified on
ultrasonographic examination and who is undergoing invasive prenatal diagnosis.
Whole-genome sequencing analyzes the entire genome, including noncoding regions
(introns) and coding regions (exons). However, because the introns are typically
of little clinical relevance, there has been a focus instead on whole-exome
sequencing, which examines the coding regions (exons) of the genome. The exons
generally have greater clinical relevance and applicability to patient care.
However, the routine use of whole-genome or whole-exome sequencing for prenatal
diagnosis is not recommended outside of the context of clinical trials.
PMID- 27875472
TI - Practice Bulletin No. 175: Ultrasound in Pregnancy.
PMID- 27875473
TI - Committee Opinion No. 681: Disclosure and Discussion of Adverse Events.
AB - Adverse outcomes, preventable or otherwise, are a reality of medical care. Most
importantly, adverse events affect patients, but they also affect health care
practitioners. Disclosing information about adverse events has benefits for the
patient and the physician and, ideally, strengthens the patient-physician
relationship and promotes trust. Studies show that after an adverse outcome,
patients expect and want timely and full disclosure of the event, an
acknowledgment of responsibility, an understanding of what happened, expressions
of sympathy, and a discussion of what is being done to prevent recurrence.
Surveys have shown that patients are less likely to pursue litigation if they
perceive that the event was honestly disclosed. Barriers to full disclosure are
many and include fear of retribution for reporting an adverse event, lack of
training, a culture of blame, and fear of lawsuits. To reduce these concerns, it
is recommended that health care facilities establish a nonpunitive, blame-free
culture that encourages staff to report adverse events and near misses (close
calls) without fear of retaliation. Health care institutions should have written
policies that address the management of adverse events. Having a responsive
process to inform and aid the patient, loved ones, and practitioners is required.
A commitment on the part of all health care practitioners and institutions to
establish programs and develop the tools needed to help patients, families,
health care practitioners, and staff members deal with adversity is essential.
PMID- 27875474
TI - Committee Opinion No.682: Microarrays and Next-Generation Sequencing Technology:
The Use of Advanced Genetic Diagnostic Tools in Obstetrics and Gynecology.
AB - Genetic technology has advanced dramatically in the past few decades, and its
applications and use in caring for and counseling pregnant women has been
transformational in the realm of prenatal diagnosis. Two of the newer genetic
technologies in the prenatal setting are chromosomal microarray and whole-exome
sequencing. Chromosomal microarray analysis is a method of measuring gains and
losses of DNA throughout the human genome. It can identify chromosomal aneuploidy
and other large changes in the structure of chromosomes as well as submicroscopic
abnormalities that are too small to be detected by traditional modalities.
Prenatal chromosomal microarray analysis is recommended for a patient with a
fetus with one or more major structural abnormalities identified on
ultrasonographic examination and who is undergoing invasive prenatal diagnosis.
Whole-genome sequencing analyzes the entire genome, including noncoding regions
(introns) and coding regions (exons). However, because the introns are typically
of little clinical relevance, there has been a focus instead on whole-exome
sequencing, which examines the coding regions (exons) of the genome. The exons
generally have greater clinical relevance and applicability to patient care.
However, the routine use of whole-genome or whole-exome sequencing for prenatal
diagnosis is not recommended outside of the context of clinical trials.
PMID- 27875475
TI - Scar-based catheter ablation for persistent atrial fibrillation.
AB - PURPOSE OF REVIEW: Percutaneous catheter ablation can be an effective treatment
for paroxysmal atrial fibrillation. However, catheter ablation for the treatment
of persistent atrial fibrillation or long-standing persistent atrial fibrillation
is associated with success rates of 45-50% at 1 year. To address the challenge of
ablating patients with persistent atrial fibrillation, several approaches have
been proposed. Atrial scar-based catheter ablation is a promising strategy for
ablation of persistent atrial fibrillation. RECENT FINDINGS: In this review, we
outline the role of atrial scar/fibrosis in the pathophysiology of atrial
fibrillation and how this encouraged clinical studies assessing the atrial
substrate using scar-based mapping. We highlight current approaches to voltage
mapping of atrial scar in patients with atrial fibrillation. The characteristics,
techniques, and outcomes of recently published studies evaluating scar-based
catheter ablation strategies for the treatment of atrial fibrillation are
discussed. Finally, we explore the role of noninvasive tools such as delayed
enhancement MRI to assess the atrial fibrillation substrate. SUMMARY: In summary,
the optimal catheter ablation strategy for persistent atrial fibrillation remains
unknown. Current data highlight the need for a better understanding of the
substrate and mechanisms of arrhythmia maintenance in this population. Atrial
scar-based catheter ablation has recently emerged as a promising strategy for
ablation of atrial fibrillation. However, the available data have limitations
that preclude definitive conclusions regarding the utility of this strategy.
Further research is needed to assess the role of scar-based ablation for
persistent atrial fibrillation.
PMID- 27875476
TI - Pacing to prevent atrial fibrillation.
AB - PURPOSE OF REVIEW: Pacemakers are frequently implanted in patients with atrial
fibrillation. Many patients with pacemakers also develop atrial fibrillation.
Over a period of time, through many studies on different pacing modes, sites, and
algorithms, significant insights have been gained in the field of treatment and
prevention of atrial fibrillation. The purpose of this review is to discuss some
aspects of the scientific basis, current standards and possible future research
related to cardiac pacing for prevention of atrial fibrillation. RECENT FINDINGS:
Trials involving pacing at the alternative pacing site per se or in combination
with specific algorithms for prevention of atrial fibrillation have not shown
consistent results. Recently, a new generation of antitachycardia pacing
therapies has brought on a new optimism with promising outcome data of reduction
in permanent atrial fibrillation, cardiovascular hospitalizations, and mortality.
SUMMARY: Multiple trials and clinical observational studies of different pacing
methods and algorithms, with the exception of newer therapies in conjunction with
atrial pacing algorithms, have not been shown to prevent atrial fibrillation.
Hence, while permanent pacing is indicated for sinus node dysfunction and
conduction abnormalities in patients with or without atrial fibrillation, the
prevailing data in the literature does not support implantation of a permanent
pacemaker for prevention of atrial fibrillation per se.
PMID- 27875477
TI - Smartphone-based arrhythmia monitoring.
AB - The use of smartphones for arrhythmia monitoring is another leap for ECG
utilization and arrhythmia detection - effectively taking the technology to any
smartphone user. Smart wearable technology, while very common, is limited mostly
to activity tracking and exercise motivation. Rhythm strip generating smartphone
products (Kardia Mobile by AliveCor and ECG Check by Cardiac Designs) are more
powerful at arrhythmia detection than wearable monitors. These products, which
have been studied in a variety of situations, rely on an external device with
metal sensors to create a rhythm strip, which is usually Lead I. A different
subset of smartphone products use photoplethysmography through a phone camera and
light to detect atrial fibrillation. Together, these products are creating a
paradigm shift in rhythm detection and monitoring.
PMID- 27875478
TI - Functional mitral stenosis following mitral valve repair.
AB - PURPOSE OF REVIEW: This review summarizes the evidence describing functional
mitral stenosis (MS) following surgical mitral valve repair. RECENT FINDINGS:
Functional MS is an evolving concept and has been implicated in the repair of
functional and organic mitral regurgitation. Following repair of functional
mitral regurgitation, an elevation in peak transmitral gradient and pulmonary
artery pressure has been observed under physiologic stress. These changes have
been associated with worse functional status early after restrictive
annuloplasty. Following repair of organic mitral regurgitation, functional MS has
also been observed and appears associated with worse functional status late after
surgery. SUMMARY: Because repair of functional mitral regurgitation commonly
involves restrictive annuloplasty, the issue of functional MS must be weighed
against the benefits and durability of repair. Functional MS following repair of
degenerative mitral regurgitation, however, may be modifiable. Research
evaluating various repair techniques and their impact on functional MS following
repair of organic is ongoing.
PMID- 27875479
TI - Development and validation of a short-form Pain Medication Attitudes
Questionnaire (PMAQ-14).
AB - Attitudes to pain medication are important aspects of adjustment to chronic pain.
They are measured by the 47-item Pain Medication Attitudes Questionnaire (PMAQ).
To measure those attitudes more quickly and easily, we developed and evaluated a
14-item PMAQ using data from 3 separate surveys of people with pain in the
general population. In survey 1, participants (n = 295) completed the 47-item
PMAQ and measures of pain, analgesic use, analgesic dependence, and attitudes to
self-medication. For each of the 7 PMAQ scales, the 2 items that best preserved
the content of the full parent scales were identified using correlation and
regression. The 2-item and full parent scales had very similar relationships with
other measures, indicating that validity had been maintained. The resulting 14
item PMAQ was then completed by participants in survey 2 (n = 241) and survey 3
(n = 147), along with the same other measures as in survey 1. Confirmatory factor
analysis showed that the 14-item PMAQ retained the 7-factor structure of the 47
item version, and correlations with other measures showed that it retained the
validity of the 47-item version. The PMAQ scale Need was the most significant
independent predictor of analgesic dependence in each of 4 separate multiple
regression analyses. This short form of the PMAQ allows attitudes to pain
medications to be measured in a valid and more efficient way.
PMID- 27875480
TI - Innovative treatments for back pain.
PMID- 27875483
TI - Intraoperative Blood Pressure Discrepancy Between Arms During Prone Position!
PMID- 27875481
TI - Receipt of Caregiving and Fall Risk in US Community-dwelling Older Adults.
AB - BACKGROUND: Falls and fall-related injuries (FRI) are common and costly
occurrences among older adults living in the community, with increased risk for
those with physical and cognitive limitations. Caregivers provide support for
older adults with physical functioning limitations, which are associated with
fall risk. DESIGN: Using the 2004-2012 waves of the Health and Retirement Study,
we examined whether receipt of low (0-13 weekly hours) and high levels (>=14
weekly hours) of informal care or any formal care is associated with lower risk
of falls and FRIs among community-dwelling older adults. We additionally tested
whether serious physical functioning (>=3 activities of daily living) or
cognitive limitations moderated this relationship. RESULTS: Caregiving receipt
categories were jointly significant in predicting noninjurious falls (P=0.03) but
not FRIs (P=0.30). High levels of informal care category (P=0.001) and formal
care (P<0.001) had stronger associations with reduced fall risk relative to low
levels of informal care. Among individuals with >=3 activities of daily living,
fall risks were reduced by 21% for those receiving high levels of informal care;
additionally, FRIs were reduced by 42% and 58% for those receiving high levels of
informal care and any formal care. High levels of informal care receipt were also
associated with a 54% FRI risk reduction among the cognitively impaired.
CONCLUSIONS: Fall risk reductions among older adults occurred predominantly among
those with significant physical and cognitive limitations. Accordingly, policy
efforts involving fall prevention should target populations with increased
physical functioning and cognitive limitations. They should also reduce financial
barriers to informal and formal caregiving.
PMID- 27875482
TI - Impact of Community Health Workers on Elderly Patients' Advance Care Planning and
Health Care Utilization: Moving the Dial.
AB - BACKGROUND: Advance care planning (ACP) is recommended for all persons to ensure
that the care they receive aligns with their values and preferences. OBJECTIVE:
To evaluate an ACP intervention developed to better meet the needs and priorities
of persons with chronic diseases, including mild cognitive impairment. RESEARCH
DESIGN: A year-long, pre-post intervention using lay community health workers
[care coordinator assistants (CCAs)] trained to conduct and document ACP
conversations with patients during home health visits with pre-post evaluation.
SUBJECTS: The 818 patients were 74.2 years old (mean); 78% women; 51% African
American; 43% white. MEASURES: Documentation of ACP conversation in electronic
health record fields and health care utilization outcomes. RESULTS: In this
target population ACP documentation rose from 3.4% (pre-CCA training) to 47.9%
(post) of patients who had at least 1 discussion about ACP in the electronic
health record. In the 1-year preintervention period, there were no differences in
admissions, emergency department (ED) visits, and outpatient visits between
patients who did and did not have ACP discussion. After adjusting for prior
hospitalization and ED use histories, ACP discussions were associated with a 34%
less probability of hospitalization (hazard ratios, 0.66; 95% confidence
interval, 0.45-0.97), and similar effects are apparent on ED use independent of
age and prior ED use effects. CONCLUSIONS: Patients with chronic diseases
including mild cognitive impairment can engage in ACP conversations with trusted
home health care providers. Having ACP conversation is associated with
significant reduction in seeking urgent health care and in hospitalizations.
PMID- 27875484
TI - Intraoperative Mania During Deep Brain Stimulation for Parkinson Disease.
PMID- 27875485
TI - A Comparative Study of the Water Drinking Test in Eyes With Open-Angle Glaucoma
and Prior Trabeculectomy or Tube Shunt.
AB - PURPOSE: To compare the intraocular pressure (IOP) response after the water
drinking test (WDT) in patients who have undergone trabeculectomy or tube shunt
surgery. METHODS: This prospective study examined 40 eyes of 34 open-angle
glaucoma subjects who had undergone trabeculectomy (n=20) or tube shunt surgery
(n=20). Both groups were matched by IOP range and by number of topical
antiglaucoma medications used. After a baseline IOP assessment, subjects drank 10
mL water per kg body weight over 15 minutes. IOP was then measured with a Goldman
tonometer every 15 minutes over a 1-hour period. Outcomes measures were IOP peak,
fluctuation, mean, and range. RESULTS: No significant differences in baseline
demographics, baseline clinical characteristics or IOP changes during the WDT
were observed between the 2 surgical procedure groups. Mean baseline IOPs for the
tube shunt and trabeculectomy groups were 12.55+/-4.23 and 12.3+/-4.28 mm Hg,
respectively (P=0.854). In the trabeculectomy and tube shunt groups,
respectively, peak IOP was 16.25+/-5.55 and 16.15+/-5.36 mm Hg (P=0.954); IOP
fluctuation (IOP max-IOP baseline) was 3.95+/-2.17 and 3.6+/-2.23 mm Hg
(P=0.618), and IOP range was 2.78+/-1.56 and 2.8+/-1.47 mm Hg (P=0.959).
Statistical analysis of IOP fluctuation associations using multivariable linear
regression determined that the use of systemic antihypertensive blood pressure
medication was associated with an increase in IOP fluctuation of approximately 2
mm Hg. CONCLUSIONS: Subjects who had undergone either trabeculectomy or tube
shunt surgery showed a similar IOP response to the WDT. Subjects on systemic
antihypertensive medications experienced significantly greater IOP fluctuations
during the test.
PMID- 27875486
TI - Evaluation of Corneal Deformation Parameters Provided by the Corvis ST Tonometer
After Trabeculectomy.
AB - PURPOSE: The aims of this study were to evaluate how the corneal deformation
parameters provided by the Corvis ST tonometer (CST) were influenced by pressure
lowering ocular surgery, and to determine the correlations of intraocular
pressure (IOP) and axial length (AL) with CST corneal deformation parameters.
METHODS: This prospective 1-month study enrolled 22 subjects (22 eyes) who
underwent trabeculectomy combined with mitomycin C. The corneal deformation
parameters were measured using the CST. IOP was measured before and after surgery
by a Goldmann applanation tonometer and the CST. The central corneal thickness
and AL were also recorded. The correlations of the corneal deformation parameters
with central corneal thickness, AL, and IOP changes were determined by linear
regression analysis. RESULTS: IOP decreased significantly after surgery. AL was
significantly shorter at 1 week after surgery. There were significant changes in
the CST parameters time 1, velocity 1, velocity 2, peak distance highest
concavity, and deformation amplitude highest concavity at 1 week and 1 month
after surgery and in time highest concavity at 1 week after surgery. The change
in time 1 was significantly correlated with preoperative IOP and the IOP
reductions, and was positively correlated with the decrease in AL at 1 week. The
increase in velocity 1 was negatively correlated with preoperative IOP, and IOP
reductions. The change in time highest concavity was negatively correlated with
IOP before surgery and the decrease in IOP at 1 week. CONCLUSION: CST is a good
choice for measuring IOP, especially when aiming for normalization of IOP after
glaucoma surgery.
PMID- 27875487
TI - Microvascular Compromise Develops Following Nerve Fiber Layer Damage in Normal
Tension Glaucoma Without Choroidal Vasculature Involvement.
AB - PURPOSE: To investigate capillary densities in patients with unilateral normal
tension glaucoma (NTG) and normal controls using optical coherence tomography
angiography. MATERIALS AND METHODS: We recruited 13 patients with unilateral NTG
and 13 healthy controls. Optical coherence tomography-angiography was performed
and pure peripapillary retinal capillary density was calculated after manually
excluding large vessels and the optic disc. Paired comparison of capillary
densities was performed among 3 categories of eye: NTG eye, fellow eye, and
normal eye. Through vascular layer stratification we investigated differences in
the retinal and choroidal circulation. RESULTS: In total, 33 eyes of 11
unilateral NTG patients and 11 controls were analyzed. Capillary densities of NTG
eyes were significantly lower than those of fellow eyes or control eyes (both
P=0.013). No significant differences were found between fellow eyes and control
eyes (P=0.328). Area of capillary compromise was identical to the area of retinal
nerve fiber layer (RNFL) defect in all 11 eyes. In layer analysis, a decrease in
capillary plexus was demonstrated only in the inner retina and no definite
changes were found in the outer retina and choroid. Optic nerve head
microvasculature did not show areas of capillary dropout. CONCLUSIONS: In
patients with unilateral NTG, we could observe significant retinal capillary
compromise in the area of RNFL defect. No changes were demonstrated in the
choroid and optic disc area. We speculated on a possibility of secondary
microvascular changes in the retina to nerve damage from the wedge-shaped but not
geographic shaped capillary compromise corresponding to RNFL defect area. Further
studies on the optic nerve head microvasculature and blood flow are warranted to
assess their relationship to glaucoma pathogenesis.
PMID- 27875488
TI - Esomeprazole FDA Approval in Children With GERD: Exposure-Matching and Exposure
Response.
AB - OBJECTIVES: Food and Drug Administration approval of proton-pump inhibitors for
infantile gastroesophageal reflux disease has been limited by intrapatient
variability in the clinical assessment of gastroesophageal reflux disease. For
children 1 to 17 years old, extrapolating efficacy from adults for IV
esomeprazole was accepted. The oral formulation was previously approved in
children. Exposure-response and exposure matching analyses were sought to
identify approvable pediatric doses. METHODS: Intragastric pH biomarker
comparisons between children and adults were conducted. Pediatric doses were
selected to match exposures in adults and were based on population
pharmacokinetic (PK) modeling and simulations with pediatric esomeprazole data.
Observed IV or oral esomeprazole PK data were available from 50 and 117 children,
between birth and 17 years, respectively, and from 65 adults, between 20 and 48
years. A population PK model developed using these data was used to simulate
steady-state esomeprazole exposures for children at different doses to match the
observed exposures in adults. RESULTS: Exposure-response relationships of
intragastric pH measures were similar between children and adults. The PK
simulations identified a dosing regimen for children that results in comparable
steady-state area under the curve to that observed after 20 mg in adults. For IV
esomeprazole, increasing the infusion duration to 10 to 30 minutes in children
achieves matching Cmax values with adults. CONCLUSIONS: The exposure-matching
analysis permitted approval of an esomeprazole regimen not studied directly in
clinical trials. Exposure-response for intragastric pH-permitted approval for the
treatment of gastroesophageal reflux disease in children in whom it was not
possible to evaluate the adult primary endpoint, mucosal healing assessed by
endoscopy.
PMID- 27875489
TI - Femoral Medialization, Fixation Failures, and Functional Outcome in Trochanteric
Hip Fractures Treated With Either a Sliding Hip Screw or an Intramedullary Nail
From Within a Randomized Trial.
AB - OBJECTIVES: The aim of this study was to determine if femoral medialization
influences residual pain and mobility and to determine if fixation method or
fracture pattern influences the tendency to medialize. DESIGN: This study used
data from within a randomized controlled trial. SETTING: Peterborough City
Hospital, UK. PATIENT/PARTICIPANTS: Eight hundred forty-four patients presenting
with a trochanteric hip fracture were randomized. Five hundred thirty-eight were
available for 1-year follow-up. Fractures were classified according to OTA/AO
classification as 31 A1, A2, and A3. INTERVENTION: Randomized to fixation with a
Targon proximal femoral nail or sliding hip screw (SHS). OUTCOME MEASURES:
Femoral medialization was calculated from follow-up x-rays at a minimum of 28
days post-fixation. Pain and mobility scores were assessed at 1 year by an
independent blinded observer. Fixation failure and revision procedures were
assessed at a minimum of 1 year from injury. RESULTS: Patients with >50%
medialization had worse pain (P = 0.012) and mobility scores (P = 0.013) at 1
year. They also had more fracture healing complications (P = 0.021) and required
more revision procedures (P = 0.014). Fractures treated with SHS were more likely
to medialize >50% compared with intramedullary nail (P < 0.001). A2 and A3
fractures were more likely to medialize, and A3 fractures were more likely to
undergo >50% medialization (P < 0.001). CONCLUSIONS: Our study demonstrates the
previously theoretical predisposition for unstable hip fractures treated with SHS
to undergo femoral medialization and correlates this with worse functional
outcomes. It supports the use of intramedullary nails for A3 fractures, which
have a significant tendency to medialize. LEVEL OF EVIDENCE: Prognostic level II.
See Instructions for Authors for a complete description of levels of evidence.
PMID- 27875490
TI - Impact of an Integrated Hip Fracture Inpatient Program on Length of Stay and
Costs.
AB - BACKGROUND: Hip fractures are associated with significant morbidity and
mortality. Co-management models pairing orthopaedic surgeons with hospitalists or
geriatricians may be effective at improving processes of care and outcomes such
as length of stay (LOS) and cost. We set out to determine the effect of an
integrated hip fracture co-management model on LOS, cost, and process measures.
METHODS: We conducted a single-center pre-post study of 571 patients admitted to
an academic medical center with hip fractures between January 2009 and December
2013. The group receiving an integrated medical-surgical co-management
incorporating continuous improvement methodology was compared with a control
population. Primary outcome was LOS. Secondary outcomes included cost per case,
time to surgery, osteoporosis (OP) treatment, preoperative echocardiogram
utilization, mortality, and readmission. RESULTS: LOS decreased from 18.2 (1.1)
to 11.9 (1.5) days, a reduction of 6.3 days (P < 0.001). Mean cost decreased by
$4953 (P < 0.001) per case. Mean time to surgery decreased from 45.8 (66.8) to
29.7 (17.9) hours (P < 0.001). Initiation of OP treatment increased from 55.8% to
96.4% (P < 0.001). Preoperative echocardiogram use decreased from 15.8% to 9.1%
(P < 0.05). There was a nonsignificant difference in mortality rate (5.0% vs.
2.1%, P = 0.06). Readmission rate remained unchanged (4.6% vs. 6.0%, P = 0.56).
CONCLUSIONS: An integrated medical-surgical co-management model incorporating
continuous improvement methodology was associated with reduced LOS, costs, time
to surgery, and increased initiation of appropriate OP treatment. LEVEL OF
EVIDENCE: Therapeutic Level IV. See Instructions for Authors for a complete
description of levels of evidence.
PMID- 27875492
TI - Invited Commentary Related to: "Assessing the Efficacy of Prothrombin Complex
Concentrate in Multiply Injured Patients With High-Energy Pelvic and Extremity
Fractures".
PMID- 27875491
TI - Assessing the Efficacy of Prothrombin Complex Concentrate in Multiply Injured
Patients With High-Energy Pelvic and Extremity Fractures.
AB - OBJECTIVES: Prothrombin complex concentrate (PCC) is being increasingly used for
reversing induced coagulopathy of trauma. However, the use of PCC for reversing
coagulopathy in multiply injured patients with pelvic and/or lower extremity
fractures remains unclear. The aim of our study was to assess the efficacy of PCC
for reversing coagulopathy in this group of patients. DESIGN: Two-year
retrospective analysis. SETTING: Our level I trauma center.
PATIENTS/PARTICIPANTS: All coagulopathic [International normalized ratio (INR)
>=1.5] trauma patients. Patients with femur, tibia, or pelvic fracture were
included. Patients were divided into 2 groups: PCC (single dose) and fresh frozen
plasma (FFP). Patients in the 2 groups were matched using propensity score
matching. MAIN OUTCOME MEASUREMENTS: Time to correction of INR, time to
intervention, development of thromboembolic complications, mortality, and cost of
therapy. RESULTS: A total of 81 patients (PCC: 27, FFP: 54) were included.
Patients who received PCC had faster correction of INR and shorter time to
surgical intervention in comparison to patients who received FFP. PCC therapy was
also associated with lower overall blood product requirement (P = 0.02) and lower
transfusion costs (P = 0.0001). CONCLUSIONS: In a matched cohort of multiply
injured patients with pelvic and/or lower extremity fractures, administration of
a single dose of PCC significantly reduced the time to correction of INR and time
to intervention compared with patients who received FFP therapy. This may allow
orthopaedic surgeons to more safely proceed with early, definitive fixation
strategies. LEVEL OF EVIDENCE: Therapeutic level III. See Instructions for
Authors for a complete description of levels of evidence.
PMID- 27875493
TI - Acute Lumbar Paraspinal Myonecrosis in Football Players with Sickle Cell Trait: A
Case Series.
AB - We report six cases of a novel syndrome of acute, exertional low back pain in
football players, five in college and one in the National Football League. All
six are African Americans with sickle cell trait (SCT). The acute low back pain
is severe and can be disabling, and the condition can be confused with muscle
strain, discogenic pain, stress fracture, or other problems in athletes. Our
evidence shows that this syndrome is caused by lumbar paraspinal myonecrosis
(LPSMN), which likely often contributes to the lumbar paraspinal compartment
syndrome. We explain why we believe SCT is a risk factor for LPSMN in football
conditioning/training, although SCT is not requisite for this syndrome, which has
been reported rarely in other sports (e.g., snow or water skiing) and especially
in weight lifting that targets lumbar muscles. The clinical course of LPSMN in
football can be mild and allow return to play in a week or two, or it can be
severe and lead to long-term sequelae. Knowledge of this syndrome will enable
athletic trainers and team physicians to diagnose it early, treat it properly,
and lessen its effect. Further research will help us learn how better to prevent
it.
PMID- 27875494
TI - Verification of Maximal Oxygen Uptake in Obese and Nonobese Children.
AB - PURPOSE: The purpose of this study was to examine whether a supramaximal constant
load verification test at 105% of the highest work rate would yield a higher
VO2max when compared with an incremental test in 10- to 12-yr-old nonobese and
obese children. METHODS: Nine nonobese (body mass index percentile = 57.5 +/-
23.2) and nine obese (body mass index percentile = 97.9 +/- 1.4) children
completed a two-test protocol that included an incremental test followed 15 min
later by a supramaximal constant-load verification test. RESULTS: The VO2max
achieved in verification testing (nonobese = 1.71 +/- 0.31 L.min and obese = 1.94
+/- 0.47 L.min) was significantly higher than that achieved during the
incremental test (nonobese = 1.57 +/- 0.27 L.min and obese = 1.84 +/- 0.48 L.min;
P < 0.001). There was no significant group (i.e., nonobese vs obese)-test (i.e.,
incremental vs verification) interaction, suggesting that there was no effect of
obesity on the difference between verification and incremental VO2max (P =
0.747). CONCLUSION: A verification test yielded significantly higher values of
VO2max when compared with the incremental test in obese children. Similar results
were observed in nonobese children. Supramaximal constant-load verification is a
time-efficient and well-tolerated method for identifying the highest VO2 in
nonobese and obese children.
PMID- 27875496
TI - Exercise Attenuates High-Fat Diet-induced Disease Progression in 3xTg-AD Mice.
AB - PURPOSE: Little is known regarding the therapeutic role of exercise against the
risk of a high-fat diet (HFD) for Alzheimer's disease (AD) and AD-like cognitive
deficits. This study aimed to investigate the therapeutic effect of treadmill
running against HFD-induced progression in AD neuropathology and cognitive
impairments in the triple-transgenic AD (3xTg-AD) mice. METHODS: The 3xTg-AD mice
were assigned to a chow diet (control, n = 10), an HFD (n = 10), or an HFD
combined with exercise (HFD + EX, n = 10) group. Mice in the HFD were fed with a
60% fat diet for 20 wk. The HFD + EX mice were additionally subjected to
treadmill running. RESULTS: Compared with the control mice, the HFD mice had
impaired brain insulin signaling, exacerbated AD neuropathology, defects in
synaptic stability/plasticity, and apoptotic neuronal cell death in conjunction
with exacerbated cognitive deficits in the affected brain regions, which were all
significantly alleviated in the HFD + EX mice. CONCLUSION: The current findings
suggest that treadmill running protects against AD-like disease progression and
cognitive deficits caused by an HFD in the 3xTg-AD mice.
PMID- 27875497
TI - Physical Activity: Absolute Intensity versus Relative-to-Fitness-Level Volumes.
AB - PURPOSE: This study aimed to investigate in a real-life setting how moderate- and
vigorous-intensity physical activity (PA) volumes differ according to absolute
intensity recommendation and relative to individual fitness level by sex, age,
and body mass index. METHODS: A total of 23,224 Finnish employees (10,201 men and
13,023 women; ages 18-65 yr; body mass index = 18.5-40.0 kg.m) participated in
heart rate recording for 2+ d. We used heart rate and its variability,
respiration rate, and on/off response information from R-R interval data
calibrated by participant characteristics to objectively determine daily PA
volume, as follows: daily minutes of absolute moderate (3-<6 METs) and vigorous
(>=6 METs) PA and minutes relative to individual aerobic fitness for moderate
(40%-<60% of oxygen uptake reserve) and vigorous (>=60%) PA. RESULTS: According
to absolute intensity categorization, the volume of both moderate- and vigorous
intensity PA was higher in men compared with women (P < 0.001), in younger
compared with older participants (P < 0.001), and in normal weight compared with
overweight or obese participants (P < 0.001). When the volume of PA intensity was
estimated relative to individual fitness level, the differences were much
smaller. Mean daily minutes of absolute vigorous-intensity PA were higher than
those of relative intensity minutes in normal weight men ages 18-40 yr (17.7, 95%
confidence interval [CI] = 16.9-18.6, vs 8.6, 95% CI = 8.0-9.1; P < 0.001), but
the reverse was the case for obese women ages 41-65 yr (0.3, 95% CI = 0.2-0.4, vs
7.8, 95% CI = 7.2-8.4; P < 0.001). CONCLUSION: Compared with low-fit persons,
high-fit persons more frequently reach an absolute target PA intensity, but
reaching the target is more similar for relative intensity.
PMID- 27875498
TI - A Murine Model of Robotic Training to Evaluate Skeletal Muscle Recovery after
Injury.
AB - PURPOSE: In vivo studies have suggested that motor exercise can improve muscle
regeneration after injury. Nevertheless, preclinical investigations still lack
reliable tools to monitor motor performance over time and to deliver optimal
training protocols to maximize force recovery. Here, we evaluated the utility of
a murine robotic platform (i) to detect early impairment and longitudinal
recovery after acute skeletal muscle injury and (ii) to administer varying
intensity training protocols to enhance forelimb motor performance. METHODS: A
custom-designed robotic platform was used to train mice to perform a forelimb
retraction task. After an acute injury to bilateral biceps brachii muscles,
animals performed a daily training protocol in the platform at high (HL) or low
(LL) loading levels over the course of 3 wk. Control animals were not trained
(NT). Motor performance was assessed by quantifying force, time, submovement
count, and number of movement attempts to accomplish the task. Myofiber number
and cross-sectional area at the injury site were quantified histologically.
RESULTS: Two days after injury, significant differences in the time, submovement
count, number of movement attempts, and exerted force were observed in all mice,
as compared with baseline values. Interestingly, the recovery time of muscle
force production differed significantly between intervention groups, with HL
group showing a significantly accelerated recovery. Three weeks after injury, all
groups showed motor performance comparable with baseline values. Accordingly,
there were no differences in the number of myofibers or average cross-sectional
area among groups after 3 wk. CONCLUSION: Our findings demonstrate the utility of
our custom-designed robotic device for the quantitative assessment of skeletal
muscle function in preclinical murine studies. Moreover, we demonstrate that this
device may be used to apply varying levels of resistance longitudinally as a
means manipulate physiological muscle responses.
PMID- 27875499
TI - Impact of Acute Dietary Manipulations on DXA and BIA Body Composition Estimates.
AB - PURPOSE: To examine the effects of acute preassessment diets on body composition
estimates obtained by dual-energy x-ray absorptiometry (DXA) and bioelectrical
impedance analysis (BIA). METHODS: In a counterbalanced design, 48 males and
females were provided with two 1-d diets: high-carbohydrate diet (9 g CHO.kg) and
very low-carbohydrate diet (1 to 1.5 g CHO.kg). For each condition, body
composition was assessed in the morning after an overnight fast, in the afternoon
after feeding, and the following morning after a second overnight fast. RESULTS:
Acute food ingestion, regardless of macronutrient content, altered DXA and BIA
body composition estimates, and both sexes responded similarly. DXA total and
regional lean soft tissue estimates increased up to 1.7% and 3% on average in
response to feeding, with individual increases of over 4.5% and 9%. DXA total and
trunk fat mass estimates decreased by up to 3% on average. All DXA-derived
measures of body composition returned to baseline values after the second
overnight fast. Impedance measured by BIA decreased by 4.4% in response to
feeding, leading to a 2% increase in total body water and fat-free mass, with
individual increases up to 4.5%. BIA fat mass estimates decreased 1.4% to 2.4%,
with individual decreases of up to 10%. Unlike DXA, most BIA-derived estimates
did not return to baseline values after a second overnight fast. CONCLUSIONS:
Acute food and fluid intake can artificially influence body composition
estimates, regardless of macronutrient content. An overnight fast is likely
sufficient preassessment dietary control for DXA and possibly sufficient for BIA.
PMID- 27875500
TI - Activity Levels for Four Years in a Cohort of Urban-Dwelling Adolescent Females.
AB - PURPOSE: Evidence suggests that female adolescents and those living in urban
environments may have lower physical activity (PA) levels compared with their
peers. Yet few studies report PA for urban adolescent females, and there is no
consensus regarding potential causes for low PA in this subgroup. We examined PA
levels, in a large, diverse cohort of 14- to 17-yr-old urban-dwelling females and
assessed the effect of socioeconomic, personal, and neighborhood/environmental
factors on PA. METHODS: One week of time-stamped step count data were collected
on 926 girls from the Pittsburgh Girls Study at four annual visits. Valid
recordings (worn at least 10 h on 3+ d) were examined and compared with
normalized step count values from a U.S. population-representative sample.
Relationships between important covariates and average steps per day were
examined with regression models. RESULTS: Adjusted mean +/- SD step counts per
day at baseline were 5614 +/- 2434 after controlling for important covariates
with less than 6% of girls achieving at least 10,000 steps per day. The girls
from the Pittsburgh Girls Study accrued ~45% of their steps during school hours.
Age-specific median step counts per day for study participants were similar to
the 25th percentile of U.S. population normalized values and did not
significantly change during follow-up. Non-Hispanic African American
race/ethnicity was associated with higher average step counts per day; obesity
and a recent childbirth were associated with lower average step counts per day.
CONCLUSIONS: Step counts in this cohort of urban adolescent girls were
considerably lower than expected for U.S. adolescent females. Targeted efforts to
improve PA levels in urban youth should consider the importance of school-based
activity while increasing PA opportunities outside of school.
PMID- 27875501
TI - Effects of Ibuprofen and Resistance Training on Bone and Muscle: A Randomized
Controlled Trial in Older Women.
AB - INTRODUCTION/PURPOSE: Resistance training with ibuprofen supplementation may
improve musculoskeletal health in postmenopausal women. The study purpose was to
determine the efficacy of resistance training and ibuprofen supplementation on
bone and muscle properties in postmenopausal women. METHODS: Participants (n =
90, 65.3 +/- 4.9 yr) were randomly assigned to: supervised resistance training or
stretching (placebo-exercise) with postexercise ibuprofen (400 mg) or placebo
supplementation for 3 d.wk (9 months). Baseline and postintervention measurements
included distal and shaft scans of the forearm and lower leg using peripheral
quantitative computed tomography. Distal site outcomes included cross-sectional
area, content, and density for total and trabecular bone, as well as estimated
bone strength in compression. Shaft site outcomes included total bone area;
cortical bone area, content, and density; estimated bone strength in torsion; and
muscle area and density. RESULTS: Exercise-supplement-time interactions for total
bone content at the distal radius (P = 0.009) and cortical density at the radius
shaft (P = 0.038) were significant. Resistance training with ibuprofen decreased
total bone content (-1.5%) at the distal radius in comparison to the resistance
training (0.6%; P = 0.032) and ibuprofen alone (0.5%; P = 0.050). Change in
cortical density at the radius shaft differed between the stretching with placebo
and ibuprofen supplementation groups (-1.8% vs 1.1%; P = 0.050). Resistance
training preserved muscle density in the lower leg more so than stretching (-3.1%
vs -5.4%; P = 0.015). CONCLUSIONS: Ibuprofen consumed immediately after
resistance training had a deleterious effect on bone mineral content at the
distal radius, whereas resistance training or ibuprofen supplementation
individually prevented bone loss. Resistance training prevented muscle density
decline in the lower leg.
PMID- 27875502
TI - Sniffing Out Paediatric Gastrointestinal Diseases: The Potential of Volatile
Organic Compounds as Biomarkers for Disease.
AB - The diagnostic work-up and follow-up of paediatric functional gastrointestinal
disorders and organic conditions usually includes invasive tests, carrying a high
burden on patients. There is a place, therefore, for novel, noninvasive disease
specific biomarkers. Volatile organic compounds (VOCs), originating from
(patho)physiological metabolic processes in the human body, are excreted as waste
products through all conceivable bodily excrements. The spectrum of VOCs harbours
a magnificent source of information, with the potential to serve as noninvasive
diagnostic biomarkers and to monitor disease activity. VOC analysis has been
studied in children and infants with a variety of gastrointestinal diseases,
including inflammatory bowel disease, liver diseases, irritable bowel syndrome,
necrotizing enterocolitis and infectious diarrhoea. Most of these studies,
although limited in sample size, show that patients can be discriminated from
controls based on their VOC profiles, underscoring the potential of VOC analysis
in diagnosis and follow-up. Currently, however, the application of VOC analysis
in clinical practice is limited; substantial challenges, including
methodological, biological, and analytical problems, still need to be met. In
this review we provide an overview of the available literature on the potential
of VOCs as biomarkers for paediatric gastrointestinal diseases. We discuss the
available techniques to analyse VOCs and provide topics for VOC-related research,
which need to be addressed before VOC diagnostics can be implemented in daily
clinical practice.
PMID- 27875503
TI - Influence of Partial External Biliary Diversion on the Lipid Profile in Children
With Progressive Familial Intrahepatic Cholestasis.
AB - OBJECTIVES: The concentration of bile acids is highly increased in progressive
familial intrahepatic cholestasis (PFIC). Bile acids are the end products of
cholesterol metabolism, and aid in the absorption of fat-soluble vitamins and
dietary fat. The aim of our study was to investigate lipid metabolism in patients
with PFIC with focus on the effect of partial external biliary diversion (PEBD).
METHODS: In 26 patients with PFIC, who underwent PEBD surgery at the median age
of 2.2 years (range: 0.4-16.6), we analyzed the concentrations of lipids and
apolipoproteins both before and 6 months after PEBD. Patients were split into 2
groups according to the outcome of surgery (either "good" or "poor"), and were
analyzed separately. A "good" result following surgery was defined as complete
relief from pruritus, and normalization of total bilirubin (<1.0 mg/dL) and bile
acid concentration in serum (<12 MUmol/L). RESULTS: We found abnormal lipid
concentrations at baseline in all 26 patients: cholesterol was increased (>190
mg/dL) in 13 patients, phospholipids were increased (>250 mg/dL) in 5 patients,
and triglyceride concentration was increased (>150 mg/dL) in 13 patients. After
PEBD, the concentrations of plasma cholesterol, triglycerides, and phospholipids
decreased significantly, whereas, ApoA-I and high-density lipoprotein cholesterol
concentrations increased and the concentrations of apolipoprotein B, low-density
lipoprotein cholesterol, and very low-density lipoprotein cholesterol
significantly decreased. PEBD had neither an effect on ApoE concentration nor on
lecithin-cholesterol acyl transferase activity. In the group with a "poor"
outcome report following PEBD, total serum cholesterol concentration decreased
significantly, and no effect on the concentrations of triglycerides and
phospholipids were observed. CONCLUSIONS: Patients with PFIC present with a high
risk of lipid disturbances. PEBD has a beneficial effect on lipid profile in the
majority of cases.
PMID- 27875504
TI - Screening for Psychosocial and Family Risk in Pediatric Gastrointestinal
Disorders.
AB - OBJECTIVES: Screening for psychosocial comorbidity is recommended for pediatric
patients presenting at an initial gastroenterology (GI) outpatient consultation.
We developed and evaluated the psychometric properties of the GI Screener to
address the need for a screening tool specific to pediatric GI patients. METHODS:
128 patients (8-18 years old, 63% female) and 126 parents completed age-specific
versions of the GI Screener and 3 validated psychosocial comparison instruments
(The Behavioral Assessment System for Children, The Functional Disability
Inventory, The General Functioning scale of the Family Assessment Device) at
their initial GI consultation. (30%) of families repeated the measures 2 weeks
later. We identified GI Screener content domains and retained items using
exploratory factor analysis. We evaluated internal consistency, construct
validity, cross-informant reliability, and test-retest reliability of the trimmed
measures. RESULTS: Exploratory factor analysis identified 2 factors in both the
parent and child scales: Symptom Impact and Emotional Functioning. Internal
consistency estimates for the trimmed scales were good (Cronbach's alpha >0.75)
for both Child and Parent scales. We found that the GI Screener for both patient
and parents had good construct validity. Cross-informant reliability between
Parent and Child scales at baseline had an estimated correlation of 0.56, while
intra class correlation coefficients between baseline and 2-week scores showed
high test-retest reliability (>0.7). CONCLUSIONS: The GI Screener is a brief,
valid and reliable measure that can aid in identifying families who are at high
risk for psychosocial comorbidity facilitating the targeted delivery of
psychosocial intervention and efficient use of health care resources.
PMID- 27875506
TI - Nerve Combing for Trigeminal Neuralgia Without Vascular Compression.
AB - OBJECTIVE: The aim of this study was to describe and evaluate the long-term
clinical outcome of "nerve combing" for trigeminal neuralgia (TN) without
vascular compression. METHODS: Fifteen patients with TN treated with
microvascular decompression had no visible vascular compression intraoperatively.
All of them underwent trigeminal nerve combing. RESULTS: The long-term excellent
relief was achieved in 73.3% of patients, 2 patients had good outcome, 1 patient
experienced obvious partial relief, and the intensity of the residual pain was
not severe enough to require medication. Three patients suffered facial numbness
postoperatively, but it completely disappeared by 4 months after operation.
CONCLUSION: Nerve combing is an effective method in treating TN without vascular
compression; however, further studies should be carried out to explore the
possible mechanisms of underlying pain relief.
PMID- 27875505
TI - Radiologic Predictors of Surgery in Newly Diagnosed Pediatric Crohn Disease
Patients.
AB - OBJECTIVES: The aim of the present study was to assess whether small bowel
imaging conducted at the time of diagnosis could be used as a predictor of small
bowel surgical intervention in a population of pediatric patients with Crohn
disease (CD). METHODS: A retrospective analysis of small bowel imaging within 30
days of diagnosis of pediatric CD was conducted. Patients were divided into 2
groups based on small bowel imaging: those with no or minor abnormalities (71%)
and those with more extensive or obstructive abnormalities (29%). Medical records
were reviewed for small bowel surgical intervention and clinic follow-up visits.
RESULTS: A total of 232 patients were included in the study group (average age at
diagnosis 11.7 years). Twenty-seven patients (12%) underwent small bowel surgical
intervention. The relative risk for small bowel surgical intervention was 2.91 in
the group with more extensive imaging abnormalities. The majority of increased
surgical risk occurred in the first year after diagnosis, when the normal-minor
group had a 2% surgical risk and the more abnormal group had a 17% surgical risk.
Both groups had a 2% to 3% surgical risk per year after the first year.
CONCLUSIONS: Small bowel imaging at the time of diagnosis in pediatric CD can
help predict the risk of small bowel surgical intervention and should be
recommended for all newly diagnosed patients. Nearly one third of our cohort
underwent small bowel surgical intervention through 8 years of follow-up.
Surgical complications of CD often occur in the small bowel, and counseling
families about surgical risk is an integral part of pediatric CD management.
PMID- 27875507
TI - Technical Variants of Mandibular Median Distraction.
AB - Distraction of the mandibular symphysis, initially described by Guerrero, is
often indicated in instances of osseous deficiency in the anterior mandible with
associated tooth-jaw discrepancy. The authors describe a technique, combined with
orthodontic treatment, which offers a safe and reliable alternative to avulsions
and coronoplasty. Three operative techniques are outlined in detail.
PMID- 27875508
TI - Extensive Oral Mucormycosis in a Transplanted Patient.
AB - Mucormycosis is an invasive fungal disease caused by fungi from the Mucorales
order that are found in the soil and decaying organic debris. Mucormycosis has
been reported to be the third most common fungal disease in stem cell
transplanted patients. The fungi have a tendency for vascular invasion, resulting
in thrombi development, which decreases blood supply and leads to extensive
tissue necrosis. Here, the authors present a patient of mucormycosis affecting
the soft palate, oropharynx, and hypopharynx in a type II diabetic male patient
who underwent allogeneic stem cell transplantation, and the authors further
review the literature on oral mucormycosis for the last 10 years.
PMID- 27875510
TI - Facial Evaluation in Holoprosencephaly.
AB - Holoprosencephaly (HPE) is a malformation of the brain, occurring during the
first weeks of pregnancy, that may be associated with several craniofacial
alterations and different pathological conditions.The authors describe a 2-year
old girl with lobar HPE, epilepsy, but with a roughly normal face. Despite the
macroscopic, clinical appearance, a facial morphometric analysis, performed
through a stereophotogrammetric system, showed features that diverge from
reference subjects and that are considered typical of HPE.This study highlights
how a digital anthropometric facial assessment through stereophotogrammetry can
be a useful and noninvasive instrument to investigate the facial features of HPE,
especially in the presence of an apparently normal facial aspect. Additionally,
it can provide the bases for future insights about the relationship between
embryological facial and cerebral development, the time of the occurred defect
and, in the end, enrich basic scientific knowledge.
PMID- 27875509
TI - Osseous Repair in Minimally Invasive Reconstruction of Anterior Skull Base
Defects.
AB - Management of anterior skull base defects is an area of continued innovation for
skull base surgeons. Various grafting materials have been advocated for the
repair of skull base defects depending on needs, availability, harvest site
morbidity, and surgeon preference. Spontaneous bony closure of small skull
defects is known to occur in animal models without bone grafts, but this
phenomenon has been unexplored in the human skull base. The objective of this
study was to evaluate osseous skull base closure in patients undergoing
endoscopic repair of skull base defects. A retrospective review was performed on
13 patients who underwent endoscopic repair of skull base defects with free bone
grafts who were followed with postoperative computed tomography scans. This
cohort was compared to postoperative radiology from patients undergoing
transsphenoidal surgery without rigid reconstruction to evaluate for spontaneous
osseous closure of sellar defects. Free bone grafts are incorporated into the
bony skull base in the majority of patients (84.6% with at least partial
incorporation) at mean of 5.3 years postoperatively. By comparison, patients
undergoing pituitary surgery did not demonstrate spontaneous osseous closure on
postoperative imaging. Human anterior skull base defects do not appear to
spontaneously close, even when small, suggesting that there is no "critical size
defect" in the human skull base, in contrast to the robust wound healing in
animal models of skull convexity and mandibular defects. Free bone grafts
incorporate into the skull base over the long-term and may be utilized whenever a
rigid skull base reconstruction is desired, regardless of the defect size.
PMID- 27875511
TI - Survival of Nasal Tip Flap on Only the Columellar Attachment.
AB - The nose has a robust blood supply derived from both internal and external
carotid arteries. The main blood supply of the nasal tip and skin is derived
primarily from the lateral nasal arteries, with a variable contribution from the
columellar arteries. We present a patient with cut nose resulting from a
homicidal attack in which the lower two-thirds of the nose was severed starting
at the bony-cartilaginous junction of the dorsum of the nose down to the tip of
the nose. The skin-cartilage flap was attached only to the columella and to the
caudalmost 3 mm of the left ala nasi. The detached skin-cartilage flap was
replaced and sutured anatomically, and the flap completely survived in spite of
cutting of both lateral and dorsal nasal arteries.
PMID- 27875512
TI - Single-Tooth Osteotomy Combined Wide Linear Corticotomy Under Local Anesthesia
for Correcting Anterior Protrusion With Ectopically Erupted Canine.
AB - BACKGROUNDS: This article presents the alternative surgical treatments of both
anterior protrusion by carrying out retraction on mandibular anterior fragment,
meanwhile applying retraction force on maxilla anterior teeth and ectopically
erupted canine with using platelet-rich fibrin (PRF). METHODS: Anterior segmental
osteotomy was combined with linear corticotomy under local anesthesia. The
correction of right ectopic canine was achieved through 2 stages. First, dento
osseous osteotomy on palatal side was performed. Then second osteotomy with
immediate manual repositioning of the canine with concomitant first premolar
extraction was enhanced with PRF, which was prepared by centrifuging patient's
blood, applied into buccal side of high canine during osteotomy. Mandibular
retraction was accomplished by anterior segmental osteotomy. RESULTS: Single
tooth osteotomy is a more effective surgical method for ankylosed or ectopically
erupted tooth in orthodontic treatment. It can reduce the total orthodontic
treatment time and root resorption, 1 common complication. Significant improved
bone formation was seen with the addition of PRF on noncritical size defects in
the animal model. It is reasonable to think that PRF can promote bone
regeneration. So early bone formation also can reduce the complication such as
postoperative infection. CONCLUSIONS: As an alternative to anterior protrusion
and ectopically erupted canine treatment, segmental osteotomy and corticotomy
combined platelet-rich plasma can enhance orthodontic treatment outcome.
PMID- 27875513
TI - Post-Traumatic Trigeminal Neuropathy Caused by an Orbital Stab Wound.
AB - Sensory and motor neuropathy of the trigeminal nerve due to trauma is quite rare.
Furthermore, there have been no detailed reports on occlusal abnormalities and
trismus associated with post-traumatic trigeminal motor neuropathy. Here, the
authors report a case of trigeminal motor neuropathy and trigeminal sensory
neuropathy in all 3 divisions caused by an orbital stab wound. During kendo
practice, a 61-year-old man was injured in his right medial canthus with the
splinter of a broken bamboo sword. Imaging examinations did not show a brain
injury or orbital bone fracture. Intraoral and extraoral examination and needle
electromyography revealed trismus, posterior open bite, and denervation of the
right masseter. After the injury, the patient strived to use the right molars
during mastication and began chewing exercises in the right molar region. A
follow-up examination 7 months after the injury revealed an improvement of the
functional problems in the masticatory system. Although slight facial numbness in
the right ophthalmic division remained, the patient was satisfied with the
present status. Further knowledge concerning the natural history of trigeminal
neuropathy as well as the treatment of choice should be explored in the future.
PMID- 27875514
TI - Domino Flaps for Repairing of Secondary Bilateral Cleft Lip With Severe Columella
Deformity.
AB - The patients with secondary bilateral cleft lip and nasal deformity always suffer
from a serious part of the horizontal and vertical soft tissue deficiencies in
the upper lip and nose, especially the columella. Normally, the Abbe flap is used
to increase the soft tissue volume of upper lip. However, how to reconstruct the
sever columella deficient, how to make full use of the Abbe flap and the
remaining soft tissue of the upper lip, are always the problems that need to be
solved by plastic surgeons. Twenty-nine patients with secondary bilateral cleft
lip and severe columella deficiency were simultaneously reconstructed with
several local flaps like dominos that was called "Domino sliding flaps." Six of
the patients had their nasal tip and dorsum or collumella augmented and modified
with autologous costal cartilage at the same time. The other 23 patients
underwent the autologous auricular cartilage augmentation. The patients were
followed from 15 months to 50 months. No secondary deformation occurred in any of
the patients. 93.1% patients were satisfied with the aesthetics postoperatively,
89.7% patients were satisfied with the incision and donor site scar. Among them,
3 of the patients got hypertrophic scar on the upper lip and were modified 1 year
after their first operations. The operational technique of the domino sliding
flaps could better play the role of the Abbe flap. It also gave plastic surgeons
an effective method to modify the severe deformities of bilateral cleft lip in 1
stage.
PMID- 27875515
TI - Reconstruction of Congenital Mandibular Hypoplasia With Microvascular Free Fibula
Flaps in the Pediatric Population: A Paradigm Shift.
AB - BACKGROUND: The microvascular free fibula flap has become the gold standard for
reconstruction of complex mandibular defects since its description by Hidalgo in
1989. Prior studies have demonstrated its safety and efficacy in the pediatric
population. However, this reconstructive method is often used only as a last
resort for correction of congenital mandibular hypoplasia, after failure of bone
grafting and distraction osteogenesis. The authors describe our experience using
this technique, facilitated by virtual planning and prefabricated cutting jigs,
for children with severe congenital mandibular hypoplasia. METHODS: All patients
with mandibular reconstruction with a fibula flap in children with congenital
mandibular hypoplasia between 2009 and 2014 by the senior authors were
identified. Each patient underwent preoperative computed tomography scanning and
virtual surgical planning to create custom cutting jigs for creation of the
mandibular defect and fibular osteotomies. Preoperative, intraoperative, and
postoperative medical records were examined in detail. RESULTS: Five patients age
10 to 18 with congenital mandibular hypoplasia and Pruzansky Grade III mandibles
underwent microvascular free fibula flap for mandibular reconstruction during
this period. Flap success rate was 100%. All patients underwent subsequent
revision procedures to improve symmetry or for hardware removal. The 4 patients
in our series who required dental implants were able to have them placed into
their mandibular reconstruction. CONCLUSIONS: Preoperative virtual planning and
prefabricated cutting jigs allow for precise complex fibula reconstruction of the
mandible in the pediatric population. Additionally, virtual planning facilitates
concomitant orthognathic procedures in patients with hemifacial microsomia. Our
early success in this patient population leads us to suggest that while the free
fibula can be safely and successfully used after multiple prior surgical
interventions in the same anatomic region, it can also be a powerful tool for
primary correction of congenital mandibular hypoplasia.
PMID- 27875516
TI - Trastuzumab-associated cardiac events in the Persephone trial.
AB - BACKGROUND: We report cardiac events in the Persephone trial which compares 6-12
months of adjuvant trastuzumab in women with confirmed HER2-positive, early-stage
breast cancer. METHODS: Clinical cardiac events were defined as any of the
following: symptoms and/or signs of congestive heart failure (CHF) and new or
altered CHF medication. In addition, left ventricular ejection fraction (LVEF)
was measured at baseline and then 3 monthly for 12 months. RESULTS: A total of
2500 patients, aged 22-82, were included: 1251 randomised to 12 months and 1249
to 6 months of trastuzumab treatment. A total of 93% (2335/2500) received
anthracyclines, 49% of these (1136/2335) with taxanes. Cardiotoxicity delayed
treatment in 6% of 12-month and 4% of 6-month patients (P=0.01), and stopped
treatment early in 8% (96/1214) of 12-month and 4% (45/1216) of 6-month patients
(P<0.0001). Between 7 and 12 months, more 12-month than 6-month patients had
LVEFs<50% (8% vs 5%; P=0.004). LVEFs showed quadratic change over time, and 6
month patients had a more rapid recovery (P=0.02). In a landmark analysis twice
as many 12-month patients, free of cardiac events at 6 months, had cardiac
problems in months 7-12 (6% (66/1046) vs 3% (29/1035) of 6-month patients
(P=0.0002)). Lower baseline LVEF predicted more cardiac dysfunction in both arms
(reference ?65%: 55 to <65% OR 1.61 (95% CI 1.26-2.04); <55% OR 5.22 (3.42-7.95))
as did increasing age (reference <50: 50-59 OR 1.58 (1.17-2.12), 60-69 OR 1.91
(1.42-2.57)) 70+ OR 2.72 (1.82-4.08)) and prior use of cardiac medication (OR
8.46 (4.69-15.25)). >3 cycles of anthracycline was associated with higher risk of
cardiac events only for 12-month patients (OR 1.41 (1.04-1.90)), and not for 6
month patients (OR 1.28 (0.91-1.79)). CONCLUSIONS: We demonstrate significantly
fewer cardiac events from 6 months of adjuvant trastuzumab compared with that
from 12 months. This cardiac signal adds importance to the question of the
optimum duration of adjuvant trastuzumab treatment. If 6 months is proven to have
non-inferior outcomes to 12 months treatment, these data would support 6 months
as the standard of care.
PMID- 27875517
TI - Adjuvant chemotherapy and HER-2-directed therapy for early-stage breast cancer in
the elderly.
AB - There is a lack of sufficient evidence-based data defining the optimal adjuvant
systemic therapies in older women. Recommendations are mainly based on
retrospective studies, subgroup analyses within larger randomised trials and
expert opinion. Treatment decisions should consider the functional fitness of the
patient, co-morbidities, in addition to chronological age with the aim to balance
risks and potential benefits from treatment(s). In this review, we discuss
assessment tools to aid clinicians to select elderly patients who are 'fit' for
chemotherapy, and review the literature on the use of chemotherapy and of the
anti-HER 2 antibody trastuzumab in this population. We will also review two
commonly used prediction models to assess their accuracy in predicting survival
outcomes in elderly patients. Ongoing clinical trials specifically focusing on
older patients may help to clarify the absolute benefits and risks of adjuvant
systemic therapy in this age group.
PMID- 27875518
TI - A national cluster-randomised controlled trial to examine the effect of enhanced
reminders on the socioeconomic gradient in uptake in bowel cancer screening.
AB - BACKGROUND: The NHS Bowel Cancer Screening Programme in England offers biennial
guaiac faecal occult blood testing (gFOBt). There is a socioeconomic gradient in
participation and socioeconomically disadvantaged groups have worse colorectal
cancer survival than more advantaged groups. We compared the effectiveness and
cost of an enhanced reminder letter with the usual reminder letter on overall
uptake of gFOBt and the socioeconomic gradient in uptake. METHODS: We enhanced
the usual reminder by including a heading 'A reminder to you' and a short
paragraph restating the offer of screening in simple language. We undertook a
cluster-randomised trial of all 168 480 individuals who were due to receive a
reminder over 20 days in 2013. Randomisation was based on the day of invitation.
Blinding of individuals was not possible, but the possibility of bias was minimal
owing to the lack of direct contact with participants. The enhanced reminder was
sent to 78 067 individuals and 90 413 received the usual reminder. The primary
outcome was the proportion of people adequately screened and its variation by
quintile of Index of Multiple Deprivation. Data were analysed by logistic
regression with conservative variance estimates to take account of cluster
randomisation. RESULTS: There was a small but statistically significant (P=0.001)
increase in participation with the enhanced reminder (25.8% vs 25.1%). There was
significant (P=0.005) heterogeneity of the effect by socioeconomic status with an
11% increase in the odds of participation in the most deprived quintile (from
13.3 to 14.1%) and no increase in the least deprived. We estimated that
implementing the enhanced reminder nationally could result in up to 80 more
people with high or intermediate risk colorectal adenomas and up to 30 more
cancers detected each year if it were implemented nationally. The intervention
incurred a small one-off cost of L78 000 to modify the reminder letter.
CONCLUSIONS: The enhanced reminder increases overall uptake and reduces the
socioeconomic gradient in bowel cancer screening participation at little
additional cost.
PMID- 27875519
TI - Expression of somatostatin receptors 2 and 5 in circulating tumour cells from
patients with neuroendocrine tumours.
AB - BACKGROUND: Neuroendocrine tumours (NET) overexpress somatostatin receptors
(SSTR) that can be targeted for therapy. Somatostatin receptor expression is
routinely measured by molecular imaging but the resolution is insufficient to
define heterogeneity. We hypothesised that SSTR expression could be measured on
circulating tumour cells (CTCs) and used to investigate heterogeneity of
expression and track changes during therapy. METHODS: MCF-7 cells were
transfected with SSTR2 or 5 and spiked into donor blood for analysis by
CellSearch. Optimum anti-SSTR antibody concentration and exposure time were
determined, and flow cytometry was used to evaluate assay sensitivity. For
clinical evaluation, blood was analysed by CellSearch, and SSTR2/5
immunohistochemistry was performed on matched tissue samples. RESULTS: Flow
cytometry confirmed CellSearch was sensitive and that detection of SSTR was
unaffected by the presence of somatostatin analogue up to a concentration of 100
ng ml-l. Thirty-one NET patients were recruited: grade; G1 (29%), G2 (45%), G3
(13%), primary site; midgut (58%), pancreatic (39%). Overall, 87% had SSTR
positive tumours according to somatostatin receptor scintigraphy or 68-Ga-DOTATE
PET/CT. Circulating tumour cells were detected in 21 out of 31 patients (68%), of
which 33% had evidence of heterogeneous expression of either SSTR2 (n=5) or SSTR5
(n=2). CONCLUSIONS: Somatostatin receptors 2 and 5 are detectable on CTCs from
NET patients and may be a useful biomarker for evaluating SSTR-targeted therapies
and this is being prospectively evaluated in the Phase IV CALMNET trial
(NCT02075606).
PMID- 27875521
TI - Trastuzumab cardiotoxiciy: the age-old balance of risk and benefit.
PMID- 27875520
TI - The cell-autonomous mechanisms underlying the activity of metformin as an
anticancer drug.
AB - The biguanide drug metformin profoundly affects cell metabolism, causing an
impairment of the cell energy balance and triggering a plethora of pleiotropic
effects that vary depending on the cellular or environmental context.
Interestingly, a decade ago, it was observed that metformin-treated diabetic
patients have a significantly lower cancer risk. Although a variety of in vivo
and in vitro observations emphasising the role of metformin as anticancer drug
have been reported, the underlying mechanisms are still poorly understood. Here,
we discuss our current understanding of the molecular mechanisms that are
perturbed by metformin treatment and that might be relevant to understand its
antitumour activities. We focus on the cell-autonomous mechanisms modulating
growth and death of cancer cells.
PMID- 27875523
TI - A protein fragment derived from DNA-topoisomerase I as a novel tumour-associated
antigen for the detection of early stage carcinoma.
AB - BACKGROUND: The production of autoantibodies against tumour-associated antigens
(TAAs) is believed to reflect greater immunologic reactivity in cancer patients
and enhanced immune surveillance for cancer cells. Over the past few decades, a
number of different TAAs and their corresponding autoantibodies have been
investigated. However, positive frequency of autoantibody detection in cancer
patients has been relatively low. Here we describe a novel TAA that was a
fragment derived from human DNA-topoiomerase I and an autoantibody against the
novel TAA with relatively high positive frequency in the sera of early-stage non
small-cell lung cancer (NSCLC), gastric cancer (GC), colorectal cancer (CRC) and
oesophageal squamous cell carcinoma (ESCC). METHODS: Serologic enzyme-linked
immunosorbent assay (ELISA) and western blot were used to discover a novel TAA
with a molecular weight of 48 kDa separated by ion exchange chromatography.
Autoantibody ELISA, immnohistochemistry and immunofluorescent staining,
recombinant protein cloning/expression and western blot were used to identify the
novel TAA. The association of the autoantibody against the novel TAA with early
stage carcinoma was explored by screening 203 stage I/II patients and 170 stage
III/IV patients with NSCLC, GC, CRC or ESCC. RESULTS: We identified the novel TAA
as a fragment derived from human DNA-topoiomerase I (TOP1). We found that the
novel TAA induced specific autoantibodies with a high prevalence that ranged from
58 to 72% in some of the most common types of cancer. We observed that the immune
response against the novel TAA was associated with early stage ESCC, GC, CRC and
NSCLC. CONCLUSIONS: The findings in this study suggest that the autoantibody
against the novel TAA may be a potential biomarker for use in the early detection
and diagnosis of cancer.
PMID- 27875522
TI - Hedgehog inhibition enhances efficacy of radiation and cisplatin in orthotopic
cervical cancer xenografts.
AB - BACKGROUND: The Hedgehog (Hh) pathway is upregulated in cervical cancer and
associated with poor outcome. We explored the effects of Hh pathway inhibition in
combination with RTCT in a patient derived orthotopic cervical cancer xenograft
model (OCICx). METHODS: 5E1, a monoclonal antibody for SHH, or Sonidegib
(LDE225), a clinical SMO inhibitor (Novartis) were added to RTCT. We investigated
tumour growth delay, metastasis and GI toxicity using orthotopic cervical cancer
xenografts models. The xenografts were treated with radiotherapy (15 * 2 Gy daily
fractions over 3 weeks) and weekly cisplatin 4 mg kg-1 concurrently, with or
without 5E1 or Sonidegib (LDE225). The Hh inhibitors were administered by
subcutaneous injection (5E1; 20 mg kg-1 weekly for 3 weeks), or by oral gavage
(Sonidegib; 60 mg kg-1 daily for 3 weeks). RESULTS: We observed that both Hh
inhibitors administered with RTCT were well tolerated and showed increased tumour
growth delay, and reduced metastasis, with no increase in acute GI-toxicity
relative to RTCT alone. CONCLUSIONS: Our data suggest Hh can be a valid
therapeutic target in cervical cancer and supports data suggesting a potential
therapeutic role for targeting Hh in patients undergoing RTCT. This warrants
further investigation in clinical trials.
PMID- 27875524
TI - microRNA-137 promotes apoptosis in ovarian cancer cells via the regulation of
XIAP.
AB - BACKGROUND: microRNAs (miRNAs) have regulatory roles in various cellular
processes, including apoptosis. Recently, X-linked inhibitor of apoptosis protein
(XIAP) has been reported to be dysregulated in epithelial ovarian cancer (EOC).
However, the mechanism underlying this dysregulation is largely unknown. METHODS:
Using bioinformatics and a literature analysis, a panel of miRNAs dysregulated in
EOC was chosen for further experimental confirmation from hundreds of miRNAs that
were predicted to interact with the XIAP 3'UTR. A dual-luciferase reporter assay
was employed to detect the interaction by cellular co-transfection of an miRNA
expression vector and a reporter vector with the XIAP 3'UTR fused to a Renilla
luciferase reporter. DAPI and TUNEL approaches were used to further determine the
effects of an miR-137 mimic and inhibitor on cisplatin-induced apoptosis in
ovarian cancer cells. RESULTS: We identified eight miRNAs by screening a panel of
dysregulated miRNAs that may target the XIAP 3'UTR. The strongest inhibitory
miRNA, miR-137, suppressed the activity of a luciferase reporter gene fused with
the XIAP 3'UTR and decreased the levels of XIAP protein in SKOV3 ovarian cancer
cells. Furthermore, forced expression of miR-137 increased cisplatin-induced
apoptosis, and the depressed expression of miR-137 decreased cisplatin-induced
apoptosis in SKOV3 and primary EOC cells. Consistently, the disruption of miR-137
via CRISPR/Cas9 inhibited apoptosis and upregulated XIAP in A2780 cells.
Furthermore, the effect of miR-137 on apoptosis could be rescued by XIAP in SKOV3
cells. In addition, miR-137 expression is inversely correlated with the level of
XIAP protein in both ovarian cancer tissues and cell lines. CONCLUSIONS: Our data
suggest that multiple miRNAs can regulate XIAP via its 3'UTR. miR-137 can
sensitise ovarian cancer cells to cisplatin-induced apoptosis, providing new
insight into overcoming drug resistance in ovarian cancer.
PMID- 27875525
TI - Precision medicine in cancer: challenges and recommendations from an EU-funded
cervical cancer biobanking study.
AB - BACKGROUND: Cervical cancer (CC) remains a leading cause of gynaecological cancer
related mortality worldwide. CC pathogenesis is triggered when human
papillomavirus (HPV) inserts into the genome, resulting in tumour suppressor gene
inactivation and oncogene activation. Collecting tumour and blood samples is
critical for identifying these genetic alterations. METHODS: BIO-RAIDs is the
first prospective molecular profiling clinical study to include a substantial
biobanking effort that used uniform high-quality standards and control of
samples. In this European Union (EU)-funded study, we identified the challenges
that were impeding the effective implementation of such a systematic and
comprehensive biobanking effort. RESULTS: The challenges included a lack of
uniform international legal and ethical standards, complexities in clinical and
molecular data management, and difficulties in determining the best technical
platforms and data analysis techniques. Some difficulties were encountered by all
investigators, while others affected only certain institutions, regions, or
countries. CONCLUSIONS: The results of the BIO-RAIDs programme highlight the need
to facilitate and standardise regulatory procedures, and we feel that there is
also a need for international working groups that make recommendations to
regulatory bodies, governmental funding agencies, and academic institutions to
achieve a proficient biobanking programme throughout EU countries. This
represents the first step in precision medicine.
PMID- 27875526
TI - Caphosol for prevention of oral mucositis in pediatric myeloablative
haematopoietic cell transplantation.
AB - BACKGROUND: The primary objective was to determine whether topically administered
Caphosol, rinsed orally four times daily at the initiation of conditioning,
reduces the duration of severe oral mucositis (OM) compared with placebo among
children and adolescents undergoing haematopoietic cell transplantation (HCT).
METHODS: This was a Children's Oncology Group multicentre randomised double
blinded placebo-controlled clinical trial. Patients between the ages of 4 and 21
years who were scheduled to undergo myeloablative HCT for any indication were
randomised to Caphosol or placebo saline rinses four times daily from initiation
of conditioning through day +20. Subjects were assessed daily for OM using the
World Health Organisation (WHO) Oral Toxicity Scale, Mouth Pain Categorical Scale
(0-10) and the Oral Mucositis Daily Questionnaire (OMDQ). The primary end point
was duration of severe OM (WHO ?3). RESULTS: The study enrolled 220 participants
with a median age of 13.7 years (range 4.0-21.9); 163 (74%) received allogeneic
HCT. The mean (+/-s.d.) duration of severe OM was not reduced among Caphosol
(4.5+/-5.0 days) vs placebo (4.5+/-4.8; P=0.99) recipients. The incidence of
severe OM in the Caphosol and placebo arms was 63% (57 out of 91) and 68% (62 out
of 91), respectively (P=0.44). There were no significant differences in any of
the secondary end points between the groups. CONCLUSIONS: Caphosol did not reduce
severe OM when compared with placebo among children and adolescents undergoing
myeloablative HCT. Studies to identify effective interventions for OM are needed
in this population.
PMID- 27875527
TI - Non-classic EGFR mutations in a cohort of Dutch EGFR-mutated NSCLC patients and
outcomes following EGFR-TKI treatment.
AB - BACKGROUND: Data on non-small-cell lung cancer (NSCLC) patients with non-classic
epidermal growth factor receptor (EGFR) mutations are scarce, especially in non
Asian populations. The purpose of this study was to evaluate prevalence, clinical
characteristics and outcome on EGFR-TKI treatment according to type of EGFR
mutation in a Dutch cohort of NSCLC patients. METHODS: We retrospectively
evaluated a cohort of 240 EGFR-mutated NSCLC patients. Data on demographics,
clinical and tumour-related features, EGFR-TKI treatment and clinical outcome
were collected and compared between patients with classic EGFR mutations, EGFR
exon 20 insertions and other uncommon EGFR mutations. RESULTS: Classic EGFR
mutations were detected in 186 patients (77.5%) and non-classic EGFR mutations in
54 patients (22.5%); 23 patients with an exon 20 insertion (9.6%) and 31 patients
with an uncommon EGFR mutation (12.9%). Median progression-free survival (PFS)
and overall survival (OS) on EGFR-TKI treatment were 2.9 and 9.7 months,
respectively, for patients with an EGFR exon 20 insertion, and 6.4 and 20.2
months, respectively, for patients with an uncommon EGFR mutation. Patients with
a double uncommon EGFR mutation that included G719X/L861Q/S768I had longer PFS
and OS on EGFR-TKI treatment compared with patients with a single
G719X/L861Q/S768I EGFR mutation (both P=0.02). CONCLUSIONS: In our Dutch cohort,
prevalence and genotype distribution of non-classic EGFR mutations were in
accordance with previously reported data. The PFS and OS on EGFR-TKI treatment in
patients with an uncommon EGFR mutation were shorter compared with patients with
classic EGFR mutations, but varied among different uncommon EGFR mutations.
PMID- 27875528
TI - Effectiveness of Seasonal Malaria Chemoprevention in Children under Ten Years of
Age in Senegal: A Stepped-Wedge Cluster-Randomised Trial.
AB - BACKGROUND: Seasonal Malaria Chemoprevention (SMC) with sulfadoxine-pyrimethamine
(SP) plus amodiaquine (AQ), given each month during the transmission season, is
recommended for children living in areas of the Sahel where malaria transmission
is highly seasonal. The recommendation for SMC is currently limited to children
under five years of age, but, in many areas of seasonal transmission, the burden
in older children may justify extending this age limit. This study was done to
determine the effectiveness of SMC in Senegalese children up to ten years of age.
METHODS AND FINDINGS: SMC was introduced into three districts over three years in
central Senegal using a stepped-wedge cluster-randomised design. A census of the
population was undertaken and a surveillance system was established to record all
deaths and to record all cases of malaria seen at health facilities. A
pharmacovigilance system was put in place to detect adverse drug reactions. Fifty
four health posts were randomised. Nine started implementation of SMC in 2008, 18
in 2009, and a further 18 in 2010, with 9 remaining as controls. In the first
year of implementation, SMC was delivered to children aged 3-59 months; the age
range was then extended for the latter two years of the study to include children
up to 10 years of age. Cluster sample surveys at the end of each transmission
season were done to measure coverage of SMC and the prevalence of parasitaemia
and anaemia, to monitor molecular markers of drug resistance, and to measure
insecticide-treated net (ITN) use. Entomological monitoring and assessment of
costs of delivery in each health post and of community attitudes to SMC were also
undertaken. About 780,000 treatments were administered over three years. Coverage
exceeded 80% each month. Mortality, the primary endpoint, was similar in SMC and
control areas (4.6 and 4.5 per 1000 respectively in children under 5 years and
1.3 and 1.2 per 1000 in children 5-9 years of age; the overall mortality rate
ratio [SMC: no SMC] was 0.90, 95% CI 0.68-1.2, p = 0.496). A reduction of 60%
(95% CI 54%-64%, p < 0.001) in the incidence of malaria cases confirmed by a
rapid diagnostic test (RDT) and a reduction of 69% (95% CI 65%-72%, p < 0.001) in
the number of treatments for malaria (confirmed and unconfirmed) was observed in
children. In areas where SMC was implemented, incidence of confirmed malaria in
adults and in children too old to receive SMC was reduced by 26% (95% CI 18%-33%,
p < 0.001) and the total number of treatments for malaria (confirmed and
unconfirmed) in these older age groups was reduced by 29% (95% CI 21%-35%, p <
0.001). One hundred and twenty-three children were admitted to hospital with a
diagnosis of severe malaria, with 64 in control areas and 59 in SMC areas,
showing a reduction in the incidence rate of severe disease of 45% (95% CI 5%
68%, p = 0.031). Estimates of the reduction in the prevalence of parasitaemia at
the end of the transmission season in SMC areas were 68% (95% CI 35%-85%) p =
0.002 in 2008, 84% (95% CI 58%-94%, p < 0.001) in 2009, and 30% (95% CI -130%
79%, p = 0.56) in 2010. SMC was well tolerated with no serious adverse reactions
attributable to SMC drugs. Vomiting was the most commonly reported mild adverse
event but was reported in less than 1% of treatments. The average cost of
delivery was US$0.50 per child per month, but varied widely depending on the size
of the health post. Limitations included the low rate of mortality, which limited
our ability to detect an effect on this endpoint. CONCLUSIONS: SMC substantially
reduced the incidence of outpatient cases of malaria and of severe malaria in
children, but no difference in all-cause mortality was observed. Introduction of
SMC was associated with an overall reduction in malaria incidence in untreated
age groups. In many areas of Africa with seasonal malaria, there is a substantial
burden in older children that could be prevented by SMC. SMC in older children is
well tolerated and effective and can contribute to reducing malaria transmission.
TRIAL REGISTRATION: ClinicalTrials.gov NCT00712374.
PMID- 27875529
TI - CD4+ T Cells Are as Protective as CD8+ T Cells against Rickettsia typhi Infection
by Activating Macrophage Bactericidal Activity.
AB - Rickettsia typhi is an intracellular bacterium that causes endemic typhus, a
febrile disease that can be fatal due to complications including pneumonia,
hepatitis and meningoencephalitis, the latter being a regular outcome in T and B
cell-deficient C57BL/6 RAG1-/- mice upon Rickettsia typhi infection. Here, we
show that CD4+ TH1 cells that are generated in C57BL/6 mice upon R. typhi
infection are as protective as cytotoxic CD8+ T cells. CD4+- as well as CD8+
deficient C57BL/6 survived the infection without showing symptoms of disease at
any point in time. Moreover, adoptively transferred CD8+ and CD4+ immune T cells
entered the CNS of C57BL/6 RAG1-/- mice with advanced infection and both
eradicated the bacteria. However, immune CD4+ T cells protected only
approximately 60% of the animals from death. They induced the expression of iNOS
in infiltrating macrophages as well as in resident microglia in the CNS which can
contribute to bacterial killing but also accelerate pathology. In vitro immune
CD4+ T cells inhibited bacterial growth in infected macrophages which was in part
mediated by the release of IFNgamma. Collectively, our data demonstrate that CD4+
T cells are as protective as CD8+ T cells against R. typhi, provided that CD4+
TH1 effector cells are present in time to support bactericidal activity of
phagocytes via the release of IFNgamma and other factors. With regard to
vaccination against TG Rickettsiae, our findings suggest that the induction of
CD4+ TH1 effector cells is sufficient for protection.
PMID- 27875530
TI - Validity of a Minimally Invasive Autopsy for Cause of Death Determination in
Adults in Mozambique: An Observational Study.
AB - BACKGROUND: There is an urgent need to identify tools able to provide reliable
information on the cause of death in low-income regions, since current methods
(verbal autopsy, clinical records, and complete autopsies) are either inaccurate,
not feasible, or poorly accepted. We aimed to compare the performance of a
standardized minimally invasive autopsy (MIA) approach with that of the gold
standard, the complete diagnostic autopsy (CDA), in a series of adults who died
at Maputo Central Hospital in Mozambique. METHODS AND FINDINGS: In this
observational study, coupled MIAs and CDAs were performed in 112 deceased
patients. The MIA analyses were done blindly, without knowledge of the clinical
data or the results of the CDA. We compared the MIA diagnosis with the CDA
diagnosis of cause of death. CDA diagnoses comprised infectious diseases (80;
71.4%), malignant tumors (16; 14.3%), and other diseases, including non
infectious cardiovascular, gastrointestinal, kidney, and lung diseases (16;
14.3%). A MIA diagnosis was obtained in 100/112 (89.2%) cases. The overall
concordance between the MIA diagnosis and CDA diagnosis was 75.9% (85/112). The
concordance was higher for infectious diseases and malignant tumors (63/80
[78.8%] and 13/16 [81.3%], respectively) than for other diseases (9/16; 56.2%).
The specific microorganisms causing death were identified in the MIA in 62/74
(83.8%) of the infectious disease deaths with a recognized cause. The main
limitation of the analysis is that both the MIA and the CDA include some degree
of expert subjective interpretation. CONCLUSIONS: A simple MIA procedure can
identify the cause of death in many adult deaths in Mozambique. This tool could
have a major role in improving the understanding and surveillance of causes of
death in areas where infectious diseases are a common cause of mortality.
PMID- 27875531
TI - Loss of C9orf72 Enhances Autophagic Activity via Deregulated mTOR and TFEB
Signaling.
AB - The most common cause of the neurodegenerative diseases amyotrophic lateral
sclerosis and frontotemporal dementia is a hexanucleotide repeat expansion in
C9orf72. Here we report a study of the C9orf72 protein by examining the
consequences of loss of C9orf72 functions. Deletion of one or both alleles of the
C9orf72 gene in mice causes age-dependent lethality phenotypes. We demonstrate
that C9orf72 regulates nutrient sensing as the loss of C9orf72 decreases
phosphorylation of the mTOR substrate S6K1. The transcription factor EB (TFEB), a
master regulator of lysosomal and autophagy genes, which is negatively regulated
by mTOR, is substantially up-regulated in C9orf72 loss-of-function animal and
cellular models. Consistent with reduced mTOR activity and increased TFEB levels,
loss of C9orf72 enhances autophagic flux, suggesting that C9orf72 is a negative
regulator of autophagy. We identified a protein complex consisting of C9orf72 and
SMCR8, both of which are homologous to DENN-like proteins. The depletion of
C9orf72 or SMCR8 leads to significant down-regulation of each other's protein
level. Loss of SMCR8 alters mTOR signaling and autophagy. These results
demonstrate that the C9orf72-SMCR8 protein complex functions in the regulation of
metabolism and provide evidence that loss of C9orf72 function may contribute to
the pathogenesis of relevant diseases.
PMID- 27875534
TI - Seasonal Malaria Chemoprevention: An Evolving Research Paradigm.
AB - Robert W. Snow discusses the importance of empirical evidence, such as that
provided in the trial published this week by Milligan and colleagues, in guiding
malaria control in Africa.
PMID- 27875533
TI - Pulmonary Arterial Capacitance Predicts Cardiac Events in Pulmonary Hypertension
Due to Left Heart Disease.
AB - BACKGROUND: Although pulmonary hypertension due to left heart disease (LHD-PH)
accounts for the largest proportion of pulmonary hypertension, few reports on the
epidemiological analysis of LHD-PH exist. Recently, pulmonary arterial
capacitance (PAC) has attracted attention as a possible factor of right
ventricular afterload along with pulmonary vascular resistance. We therefore
investigated the clinical significance of PAC in LHD-PH. METHODS: The subject
consisted of 252 LHD-PH patients (145 men, mean age 63.4 +/- 14.7 years)
diagnosed by right heart catheterization. PAC was estimated by the ratio between
stroke volume and pulmonary arterial pulse pressure. Patients were classified
into four groups according to the PAC (1st quartile was 0.74 to 1.76 ml/mmHg, the
2nd quartile 1.77 to 2.53 ml/mmHg, the 3rd quartile 2.54 to 3.59 ml/mmHg, and the
4th quartile 3.61 to 12.14 ml/mmHg). The end-points were defined as
rehospitalization due to worsening heart failure and/or cardiac death. The Cox
proportional hazard regression model was used to determine what variables were
associated with cardiac events. RESULTS: The patients in the 1st quartile had the
lowest cardiac index and stroke volume index, and the highest mean pulmonary
arterial pressure, mean pulmonary capillary wedge pressure, and pulmonary
vascular resistance compared with the 2nd, 3rd, and 4th quartiles. Fifty-four
patients experienced cardiac events during the follow-up period (median 943
days). The event-free rate of the 1st quartile was significantly lower than that
of the 3rd and 4th quartiles (66.7% vs 82.5% [3rd quartile], P = 0.008; and 92.1%
[4th quartile], P < 0.001). The Cox hazard analysis revealed that PAC was
significantly associated with cardiac events (HR 0.556, 95% CI 0.424-0.730, P <
0.001). CONCLUSION: PAC is useful in the prediction of cardiac event risk in LHD
PH patients.
PMID- 27875535
TI - Minimally Invasive Autopsy: A New Paradigm for Understanding Global Health?
AB - Peter Byass reflects on the potential niche for minimally invasive autopsies in
determining cause-of-death in low- and middle-income countries.
PMID- 27875532
TI - Willingness to Know the Cause of Death and Hypothetical Acceptability of the
Minimally Invasive Autopsy in Six Diverse African and Asian Settings: A Mixed
Methods Socio-Behavioural Study.
AB - BACKGROUND: The minimally invasive autopsy (MIA) is being investigated as an
alternative to complete diagnostic autopsies for cause of death (CoD)
investigation. Before potential implementation of the MIA in settings where post
mortem procedures are unusual, a thorough assessment of its feasibility and
acceptability is essential. METHODS AND FINDINGS: We conducted a socio
behavioural study at the community level to understand local attitudes and
perceptions related to death and the hypothetical feasibility and acceptability
of conducting MIAs in six distinct settings in Gabon, Kenya, Mali, Mozambique,
and Pakistan. A total of 504 interviews (135 key informants, 175 health providers
[including formal health professionals and traditional or informal health
providers], and 194 relatives of deceased people) were conducted. The constructs
"willingness to know the CoD" and "hypothetical acceptability of MIAs" were
quantified and analysed using the framework analysis approach to compare the
occurrence of themes related to acceptability across participants. Overall, 75%
(379/504) of the participants would be willing to know the CoD of a relative. The
overall hypothetical acceptability of MIA on a relative was 73% (366/504). The
idea of the MIA was acceptable because of its perceived simplicity and rapidity
and particularly for not "mutilating" the body. Further, MIAs were believed to
help prevent infectious diseases, address hereditary diseases, clarify the CoD,
and avoid witchcraft accusations and conflicts within families. The main concerns
regarding the procedure included the potential breach of confidentiality on the
CoD, the misperception of organ removal, and the incompatibility with some
religious beliefs. Formal health professionals were concerned about possible
contradictions between the MIA findings and the clinical pre-mortem diagnoses.
Acceptability of the MIA was equally high among Christian and Islamic
communities. However, in the two predominantly Muslim countries, MIA
acceptability was higher in Mali than in Pakistan. While the results of the study
are encouraging for the potential use of the MIA for CoD investigation in low
income settings, they remain hypothetical, with a need for confirmation with real
life MIA implementation and in populations beyond Health and Demographic
Surveillance System areas. CONCLUSIONS: This study showed a high level of
interest in knowing the CoD of a relative and a high hypothetical acceptability
of MIAs as a tool for CoD investigation across six distinct settings. These
findings anticipate potential barriers and facilitators, both at the health
facility and community level, essential for local tailoring of recommendations
for future MIA implementation.
PMID- 27875536
TI - Capturing Dynamics of Biased Attention: Are New Attention Variability Measures
the Way Forward?
AB - BACKGROUND: New indices, calculated on data from the widely used Dot Probe Task,
were recently proposed to capture variability in biased attention allocation. We
observed that it remains unclear which data pattern is meant to be indicative of
dynamic bias and thus to be captured by these indices. Moreover, we hypothesized
that the new indices are sensitive to SD differences at the response time (RT)
level in the absence of bias. METHOD: Randomly generated datasets were analyzed
to assess properties of the Attention Bias Variability (ABV) and Trial Level Bias
Score (TL-BS) indices. Sensitivity to creating differences in 1) RT standard
deviation, 2) mean RT, and 3) bias magnitude were assessed. In addition, two
possible definitions of dynamic attention bias were explored by creating
differences in 4) frequency of bias switching, and 5) bias magnitude in the
presence of constant switching. RESULTS: ABV and TL-BS indices were found highly
sensitive to increasing SD at the response time level, insensitive to increasing
bias, linearly sensitive to increasing bias magnitude in the presence of bias
switches, and non-linearly sensitive to increasing the frequency of bias
switches. The ABV index was also found responsive to increasing mean response
times in the absence of bias. CONCLUSION: Recently proposed DPT derived
variability indices cannot uncouple measurement error from bias variability.
Significant group differences may be observed even if there is no bias present in
any individual dataset. This renders the new indices in their current form unfit
for empirical purposes. Our discussion focuses on fostering debate and ideas for
new research to validate the potentially very important notion of biased
attention being dynamic.
PMID- 27875537
TI - Effect of L-Tryptophan and L-Leucine on Gut Hormone Secretion, Appetite Feelings
and Gastric Emptying Rates in Lean and Non-Diabetic Obese Participants: A
Randomized, Double-Blind, Parallel-Group Trial.
AB - BACKGROUND/OBJECTIVES: Gut hormones such as cholecystokinin (CCK) and glucagon
like peptide-1 (GLP-1) play a role as satiation factors. Strategies to enhance
satiation peptide secretion could provide a therapeutic approach for obesity.
Carbohydrates and lipids have been extensively investigated in relation to
peptide release. In contrast, the role of proteins or amino acids is less clear.
Our aim was to compare the effects of the amino acids L-tryptophan (L-trp) and L
leucine (L-leu) separately on gastric emptying and gut peptide secretion.
PARTICIPANTS/METHODS: The study was conducted as a randomized (balanced), double
blind, parallel-group trial. A total of 10 lean and 10 non-diabetic obese
participants were included. Participants received intragastric loads of L-trp
(0.52 g and 1.56 g) and L-leu (1.56 g), dissolved in 300 mL tap water; 75 g
glucose and 300 mL tap water served as control treatments. RESULTS: Results of
the study are: i) L-trp at the higher dose stimulates CCK release (p = 0.0018),
and induces a significant retardation in gastric emptying (p = 0.0033); ii) L-trp
at the higher dose induced a small increase in GLP-1 secretion (p = 0.0257); iii)
neither of the amino acids modulated subjective appetite feelings; and iv) the
two amino acids did not alter insulin or glucose concentrations. CONCLUSIONS: L
trp is a luminal regulator of CCK release with effects on gastric emptying, an
effect that could be mediated by CCK. L-trp's effect on GLP-1 secretion is only
minor. At the doses given, the two amino acids did not affect subjective appetite
feelings. TRIAL REGISTRATION: ClinicalTrials.gov NCT02563847.
PMID- 27875538
TI - Seasonal Cyclicity in Trace Elements and Stable Isotopes of Modern Horse Enamel.
AB - The study of stable isotopes in fossil bioapatite has yielded useful results and
has shown that bioapatites are able to faithfully record paleo-environmental and
paleo-climatic parameters from archeological to geological timescales. In an
effort to establish new proxies for the study of bioapatites, intra-tooth records
of enamel carbonate stable isotope ratios from a modern horse are compared with
trace element profiles measured using laboratory micro X-Ray Fluorescence
scanning. Using known patterns of tooth eruption and the relationship between
stable oxygen isotopes and local temperature seasonality, an age model is
constructed that links records from six cheek upper right teeth from the second
premolar to the third molar. When plotted on this age model, the trace element
ratios from horse tooth enamel show a seasonal pattern with a small shift in
phase compared to stable oxygen isotope ratios. While stable oxygen and carbon
isotopes in tooth enamel are forced respectively by the state of the hydrological
cycle and the animal's diet, we argue that the seasonal signal in trace elements
reflects seasonal changes in dust intake and diet of the animal. The latter
explanation is in agreement with seasonal changes observed in carbon isotopes of
the same teeth. This external forcing of trace element composition in mammal
tooth enamel implies that trace element ratios may be used as proxies for
seasonal changes in paleo-environment and paleo-diet.
PMID- 27875539
TI - Secular Trends in Admissions and Mortality Rates from Diabetes Mellitus in the
Central Belt of Ghana: A 31-Year Review.
AB - INTRODUCTION: Diabetes Mellitus is currently a leading cause of morbidity and
mortality throughout the world, particularly in sub-Saharan Africa where a
significant proportion of diabetes cases are now found. Longitudinal profiling of
in-patient admissions and mortality trends from diabetes provide useful insights
into the magnitude of the burden of diabetes, serve as a sentinel on the state of
out-patient diabetes care and provide effective tools for planning, delivering
and evaluating the health care needs relating to the disease in sub-Saharan
Africa. OBJECTIVE: To evaluate the 31-year trend in diabetic admissions and
mortality rates in central Ghana. METHODS: This is a retrospective analysis of
data on diabetes admissions and deaths at a tertiary referral hospital in central
Ghana between 1983 and 2014. Rates of diabetes admissions or deaths were
expressed as diabetes admissions or deaths divided by the total number of
admissions or deaths respectively. Yearly crude fatality rates for diabetes were
calculated. Trends were analysed for in patient diabetes admissions and mortality
for the period. Predictors of diabetes mortality were determined using multiple
logistic regression. RESULTS: A total of 11,414 diabetes patients were admitted
over the period with a female predominance; female:male ratio of 1.3:1.0. Over
the study period, diabetes admission rates increased significantly from 2.36 per
1000 admissions in 1983 to 14.94 per 1000 admissions in 2014 (p<0.0001for linear
trend), representing a 633% rise over the 31-year period. In-patient diabetes
fatality rates increased from 7.6 per 1000 deaths in 1983 to 30 per 1000 deaths
in 2012. The average 28-day mortality rate was 18.5%. The median age of patients
increased significantly over the period. So was the proportion of females
admitted over the years. Predictors of in-patient mortality were increasing age-
aOR of 1.23 (CI: 1.15-1.32) for age > 80 years compared with < 20 years,
admissions in 2000s compared to 1980s-aOR of 1.56 (1.21-2.01), male gender-aOR of
1.45 (1.19-1.61), the presence of glycemic complications such as ketoacidosis-
aOR-2.67(CI: 2.21-3.21), hyperosmolar hyperglycemic states- aOR 1.52 (1.33-1.73)
symptomatic hypoglycemia- aOR 1.64 (1.24-2.17) and presence of end organ
complications including peripheral neuropathic ulcers- aOR 1.31 (1.12-1.56),
nephropathy- aOR -1.11 (1.03-1.23), cerebrovascular disease-aOR-1.52 (1.32-1.98),
coronary artery disease- aOR-3.21 (1.91-5.15) and peripheral artery disease- aOR
1.15 (1.12-1.21) were associated with increased risk of death compared with
normoglycemic diabetic admissions and admissions without end organ complications
respectively. CONCLUSION: Diabetes admission and mortality rates have increased
significantly over the past three decades in central Ghana. More intensive
education on the risk factors for diabetes, acute diabetes care as well as
instituting hospital guidelines for diabetes control and reduction of modifiable
risk factors for diabetes are urgently needed to reduce the poor case fatality
associated with diabetes in resource-limited settings.
PMID- 27875540
TI - Improving Preschoolers' Arithmetic through Number Magnitude Training: The Impact
of Non-Symbolic and Symbolic Training.
AB - The numerical cognition literature offers two views to explain numerical and
arithmetical development. The unique-representation view considers the
approximate number system (ANS) to represent the magnitude of both symbolic and
non-symbolic numbers and to be the basis of numerical learning. In contrast, the
dual-representation view suggests that symbolic and non-symbolic skills rely on
different magnitude representations and that it is the ability to build an exact
representation of symbolic numbers that underlies math learning. Support for
these hypotheses has come mainly from correlative studies with inconsistent
results. In this study, we developed two training programs aiming at enhancing
the magnitude processing of either non-symbolic numbers or symbolic numbers and
compared their effects on arithmetic skills. Fifty-six preschoolers were randomly
assigned to one of three 10-session-training conditions: (1) non-symbolic
training (2) symbolic training and (3) control training working on story
understanding. Both numerical training conditions were significantly more
efficient than the control condition in improving magnitude processing. Moreover,
symbolic training led to a significantly larger improvement in arithmetic than
did non-symbolic training and the control condition. These results support the
dual-representation view.
PMID- 27875541
TI - New Perspective on Impact of Folic Acid Supplementation during Pregnancy on
Neurodevelopment/Autism in the Offspring Children - A Systematic Review.
AB - It has been conclusively established that folic acid supplementation prior to and
during early pregnancy (up to 12 weeks of gestation) can prevent neural tube
defects (NTDs). We hypothesized that folate effects may extend from neuro
structural defects to alterations in neuro-behavioural and emotional skills
including autism spectrum disorders (ASDs) and other developmental disorders. The
objective of this review was to comprehensively evaluate evidence on the impact
of folic acid on neurodevelopment other than NTDs. We conducted an online search
of relevant literature compiled by the National Library of Medicine from Medline
and EMBASE (searched on Dec 31, 2014:
http://www.ncbi.nlm.nih.gov/entrez/query/fcgi and http://www.elsevier.com/online
tools/embase). We first created 3 files (search restricted to English literature)
using the following key words: 1) folate or folic acid (171322 papers identified
by this search); 2) maternal or pregnancy or pregnant or gestation or gestational
or prenatal or antenatal or periconception or periconceptional (1349219 papers
identified by this search); and 3) autism or autism spectrum disorders or
developmental delay or development or neurodevelopment or mental or cognitive or
language or personal-social or gross motor or fine motor or behaviour or
intellectual or intelligence or Bayley Scale (8268145 papers identified by this
search). We then merged the 3 files and reviewed the papers that addressed these
three issues simultaneously. A total of 22 original papers that examined the
association between folic acid supplementation in human pregnancy and
neurodevelopment/autism were identified after the screening, with 15 studies
showing a beneficial effect of folic acid supplementation on
neurodevelopment/autism, 6 studies showed no statistically significant
difference, while one study showed a harmful effect in > 5 mg folic acid
supplementation/day during pregnancy. Folic acid supplementation in pregnancy may
have beneficial effects on the neurodevelopment of children beyond its proven
effect on NTDs.
PMID- 27875542
TI - Educational Outreach with an Integrated Clinical Tool for Nurse-Led Non
communicable Chronic Disease Management in Primary Care in South Africa: A
Pragmatic Cluster Randomised Controlled Trial.
AB - BACKGROUND: In many low-income countries, care for patients with non-communicable
diseases (NCDs) and mental health conditions is provided by nurses. The benefits
of nurse substitution and supplementation in NCD care in high-income settings are
well recognised, but evidence from low- and middle-income countries is limited.
Primary Care 101 (PC101) is a programme designed to support and expand nurses'
role in NCD care, comprising educational outreach to nurses and a clinical
management tool with enhanced prescribing provisions. We evaluated the effect of
the programme on primary care nurses' capacity to manage NCDs. METHODS AND
FINDINGS: In a cluster randomised controlled trial design, 38 public sector
primary care clinics in the Western Cape Province, South Africa, were randomised.
Nurses in the intervention clinics were trained to use the PC101 management tool
during educational outreach sessions delivered by health department trainers and
were authorised to prescribe an expanded range of drugs for several NCDs. Control
clinics continued use of the Practical Approach to Lung Health and HIV/AIDS in
South Africa (PALSA PLUS) management tool and usual training. Patients attending
these clinics with one or more of hypertension (3,227), diabetes (1,842), chronic
respiratory disease (1,157) or who screened positive for depression (2,466),
totalling 4,393 patients, were enrolled between 28 March 2011 and 10 November
2011. Primary outcomes were treatment intensification in the hypertension,
diabetes, and chronic respiratory disease cohorts, defined as the proportion of
patients in whom treatment was escalated during follow-up over 14 mo, and case
detection in the depression cohort. Primary outcome data were analysed for 2,110
(97%) intervention and 2,170 (97%) control group patients. Treatment
intensification rates in intervention clinics were not superior to those in the
control clinics (hypertension: 44% in the intervention group versus 40% in the
control group, risk ratio [RR] 1.08 [95% CI 0.94 to 1.24; p = 0.252]; diabetes:
57% versus 50%, RR 1.10 [0.97 to 1.24; p = 0.126]; chronic respiratory disease:
14% versus 12%, RR 1.08 [0.75 to 1.55; p = 0.674]), nor was case detection of
depression (18% versus 24%, RR 0.76 [0.53 to 1.10; p = 0.142]). No adverse
effects of the nurses' expanded scope of practice were observed. Limitations of
the study include dependence on self-reported diagnoses for inclusion in the
patient cohorts, limited data on uptake of PC101 by users, reliance on process
outcomes, and insufficient resources to measure important health outcomes, such
as HbA1c, at follow-up. CONCLUSIONS: Educational outreach to primary care nurses
to train them in the use of a management tool involving an expanded role in
managing NCDs was feasible and safe but was not associated with treatment
intensification or improved case detection for index diseases. This
notwithstanding, the intervention, with adjustments to improve its effectiveness,
has been adopted for implementation in primary care clinics throughout South
Africa. TRIAL REGISTRATION: The trial is registered with Current Controlled
Trials (ISRCTN20283604).
PMID- 27875543
TI - Irisin Ameliorates Hypoxia/Reoxygenation-Induced Injury through Modulation of
Histone Deacetylase 4.
AB - Irisin is a recently identified myokine which brings increases in energy
expenditure and contributes to the beneficial effects of exercise through the
browning of white adipose tissues. However, its effects in the heart remains
unknown. This study sought to determine the effects of irisin on
hypoxia/reoxygenation injury and its relationship with HDAC4. Wild type and
stable HDAC4-overexpression cells were generated from H9c2 cardiomyoblasts. HDAC4
overexpression cells and wild type H9c2 cells were exposed to 24 hours of hypoxia
followed by one hour of reoxygenation in vitro in the presence or absence of
irisin (5 ng/ml). Cell cytotoxicity, apoptosis, mitochondrial respiration, and
mitochondrial permeability transition pore (mPTP) were determined. Western
blotting was employed to determine active-caspase 3, annexin V, and HDAC4
expression. As compared to wild type H9c2 group, HDAC4 overexpression remarkably
led to a great increase in cell death as evident by the increased lactate
dehydrogenase (LDH) leakage, ratio of caspase-3-positive cells as well as the
upregulated levels of active-caspase 3 and annexin V shown by western blot
analysis. In addition, HDAC4 overexpression also induced much severe
mitochondrial dysfunction, as indicated by apoptotic mitochondria and increased
mPTP. However, irisin treatment significantly attenuated all of these effects.
Though irisin treatment did not influence the expression of HDAC4 at the
transcriptional level, western blot analysis showed that HDAC4 protein levels
decreased in a time-dependent way after administration of irisin, which is
associated with the degradation of HDAC4 mediated by small ubiquitin-like
modification (SUMO). Our results are the first to demonstrate that the protective
effects of irisin in cardiomyoblasts exposed to hypoxia/reoxygenation might be
associated with HDAC4 degradation.
PMID- 27875545
TI - Study on Failure of Third-Party Damage for Urban Gas Pipeline Based on Fuzzy
Comprehensive Evaluation.
AB - Focusing on the diversity, complexity and uncertainty of the third-party damage
accident, the failure probability of third-party damage to urban gas pipeline was
evaluated on the theory of analytic hierarchy process and fuzzy mathematics. The
fault tree of third-party damage containing 56 basic events was built by hazard
identification of third-party damage. The fuzzy evaluation of basic event
probabilities were conducted by the expert judgment method and using membership
function of fuzzy set. The determination of the weight of each expert and the
modification of the evaluation opinions were accomplished using the improved
analytic hierarchy process, and the failure possibility of the third-party to
urban gas pipeline was calculated. Taking gas pipelines of a certain large
provincial capital city as an example, the risk assessment structure of the
method was proved to conform to the actual situation, which provides the basis
for the safety risk prevention.
PMID- 27875544
TI - Extensive Association of Common Disease Variants with Regulatory Sequence.
AB - Overlap between non-coding DNA regulatory sequences and common variant
associations can help to identify specific cell and tissue types that are
relevant for particular diseases. In a systematic manner, we analyzed variants
from 94 genome-wide association studies (reporting at least 12 loci at p<5x10-8)
by projecting them onto 466 epigenetic datasets (characterizing DNase I
hypersensitive sites; DHSs) derived from various adult and fetal tissue samples
and cell lines including many biological replicates. We were able to confirm many
expected associations, such as the involvement of specific immune cell types in
immune-related diseases and tissue types in diseases that affect specific organs,
for example, inflammatory bowel disease and coronary artery disease. Other
notable associations include adrenal glands in coronary artery disease, the
immune system in Alzheimer's disease, and the kidney for bone marrow density. The
association signals for some GWAS (for example, myopia or age at menarche) did
not show a clear pattern with any of the cell or tissue types studied. In
general, the identified variants from GWAS tend to be located outside coding
regions. Altogether, we have performed an extensive characterization of GWAS
signals in relation to cell and tissue-specific DHSs, demonstrating a key role
for regulatory mechanisms in common diseases and complex traits.
PMID- 27875546
TI - Evaluation of Control Strategies for Porcine Reproductive and Respiratory
Syndrome (PRRS) in Swine Breeding Herds Using a Discrete Event Agent-Based Model.
AB - The objective of this study was to develop a discrete event agent-based
stochastic model to explore the likelihood of the occurrence of porcine
reproductive and respiratory syndrome (PRRS) outbreaks in swine herds with
different PRRS control measures in place. The control measures evaluated included
vaccination with a modified-live attenuated vaccine and live-virus inoculation of
gilts, and both were compared to a baseline scenario where no control measures
were in place. A typical North American 1,000-sow farrow-to-wean swine herd was
used as a model, with production and disease parameters estimated from the
literature and expert opinion. The model constructed herein was not only able to
capture individual animal heterogeneity in immunity to and shedding of the PRRS
virus, but also the dynamic animal flow and contact structure typical in such
herds under field conditions. The model outcomes included maximum number of
females infected per simulation, and time at which that happened and the
incidence of infected weaned piglets during the first year of challenge-virus
introduction. Results showed that the baseline scenario produced a larger
percentage of simulations resulting in outbreaks compared to the control
scenarios, and interestingly some of the outbreaks occurred over long periods
after virus introduction. The live-virus inoculation scenario showed promising
results, with fewer simulations resulting in outbreaks than the other scenarios,
but the negative impacts of maintaining a PRRS-positive population should be
considered. Finally, under the assumptions of the current model, neither of the
control strategies prevented the infection from spreading to the piglet
population, which highlights the importance of maintaining internal biosecurity
practices at the farrowing room level.
PMID- 27875547
TI - How Accurate Is the Prediction of Maximal Oxygen Uptake with Treadmill Testing?
AB - BACKGROUND: Cardiorespiratory fitness measured by treadmill testing has
prognostic significance in determining mortality with cardiovascular and other
chronic disease states. The accuracy of a recently developed method for
estimating maximal oxygen uptake (VO2peak), the heart rate index (HRI), is
dependent only on heart rate (HR) and was tested against oxygen uptake (VO2),
either measured or predicted from conventional treadmill parameters (speed,
incline, protocol time). METHODS: The HRI equation, METs = 6 x HRI- 5, where HRI
= maximal HR/resting HR, provides a surrogate measure of VO2peak. Forty large
scale treadmill studies were identified through a systematic search using
MEDLINE, Google Scholar and Web of Science in which VO2peak was either measured
(TM-VO2meas; n = 20) or predicted (TM-VO2pred; n = 20) based on treadmill
parameters. All studies were required to have reported group mean data of both
resting and maximal HRs for determination of HR index-derived oxygen uptake (HRI
VO2). RESULTS: The 20 studies with measured VO2 (TM-VO2meas), involved 11,477
participants (median 337) with a total of 105,044 participants (median 3,736) in
the 20 studies with predicted VO2 (TM-VO2pred). A difference of only 0.4% was
seen between mean (+/-SD) VO2peak for TM- VO2meas and HRI-VO2 (6.51+/-2.25 METs
and 6.54+/-2.28, respectively; p = 0.84). In contrast, there was a highly
significant 21.1% difference between mean (+/-SD) TM-VO2pred and HRI-VO2 (8.12+/
1.85 METs and 6.71+/-1.92, respectively; p<0.001). CONCLUSION: Although mean TM
VO2meas and HRI-VO2 were almost identical, mean TM-VO2pred was more than 20%
greater than mean HRI-VO2.
PMID- 27875548
TI - Accelerating Information Retrieval from Profile Hidden Markov Model Databases.
AB - Profile Hidden Markov Model (Profile-HMM) is an efficient statistical approach to
represent protein families. Currently, several databases maintain valuable
protein sequence information as profile-HMMs. There is an increasing interest to
improve the efficiency of searching Profile-HMM databases to detect sequence
profile or profile-profile homology. However, most efforts to enhance searching
efficiency have been focusing on improving the alignment algorithms. Although the
performance of these algorithms is fairly acceptable, the growing size of these
databases, as well as the increasing demand for using batch query searching
approach, are strong motivations that call for further enhancement of information
retrieval from profile-HMM databases. This work presents a heuristic method to
accelerate the current profile-HMM homology searching approaches. The method
works by cluster-based remodeling of the database to reduce the search space,
rather than focusing on the alignment algorithms. Using different clustering
techniques, 4284 TIGRFAMs profiles were clustered based on their similarities. A
representative for each cluster was assigned. To enhance sensitivity, we proposed
an extended step that allows overlapping among clusters. A validation benchmark
of 6000 randomly selected protein sequences was used to query the clustered
profiles. To evaluate the efficiency of our approach, speed and recall values
were measured and compared with the sequential search approach. Using
hierarchical, k-means, and connected component clustering techniques followed by
the extended overlapping step, we obtained an average reduction in time of 41%,
and an average recall of 96%. Our results demonstrate that representation of
profile-HMMs using a clustering-based approach can significantly accelerate data
retrieval from profile-HMM databases.
PMID- 27875549
TI - The Synthetic beta-Nitrostyrene Derivative CYT-Rx20 Inhibits Esophageal Tumor
Growth and Metastasis via PI3K/AKT and STAT3 Pathways.
AB - The beta-nitrostyrene family have been implicated for anti-cancer property.
However, the pharmacological role of beta-nitrostyrene in esophageal cancer
remain unclear. Here, a beta-nitrostyrene derivative, CYT-Rx20, was synthesized
and assessed for its anti-cancer activities and underlying mechanism in
esophageal cancer. CYT-Rx20 induced cytotoxicity in esophageal cancer cells by
promoting apoptosis through activation of caspase cascade and poly(ADP-ribose)
polymerase (PARP) cleavage. Besides, CYT-Rx20 inhibited esophageal cancer cell
migration and invasion by regulating the expression of epithelial to mesenchymal
transition (EMT) markers. CYT-Rx20 decreased cell viability and migration through
suppression of the PI3K/AKT and STAT3 pathways. Of note, the cytotoxicity and
anti-migratory effect of CYT-Rx20 were enhanced by co-treatment with SC79 (AKT
activator) or colivelin (STAT3 activator), suggesting the dependency of
esophageal cancer cells on AKT and STAT3 for survival and migration, an oncogene
addiction phenomenon. In xenograft tumor-bearing mice, CYT-Rx20 significantly
reduced tumor growth of the implanted esophageal cancer cells accompanied by
decreased Ki-67, phospho-AKT, and phospho-STAT3 expression. In orthotopic
esophageal cancer mouse model, decreased tumor growth and lung metastasis with
reduced Ki-67 and phospho-STAT3 expression were observed in mice treated with CYT
Rx20. Together, our results suggest that CYT-Rx20 is a potential beta
nitrostyrene-based anticancer compound against the tumor growth and metastasis of
esophageal cancer.
PMID- 27875551
TI - Crystal Structures of Group B Streptococcus Glyceraldehyde-3-Phosphate
Dehydrogenase: Apo-Form, Binary and Ternary Complexes.
AB - Glyceraldehyde 3-phosphate dehydrogenase or GAPDH is an evolutionarily conserved
glycolytic enzyme. It catalyzes the two step oxidative phosphorylation of D
glyceraldehyde 3-phosphate into 1,3-bisphosphoglycerate using inorganic phosphate
and NAD+ as cofactor. GAPDH of Group B Streptococcus is a major virulence factor
and a potential vaccine candidate. Moreover, since GAPDH activity is essential
for bacterial growth it may serve as a possible drug target. Crystal structures
of Group B Streptococcus GAPDH in the apo-form, two different binary complexes
and the ternary complex are described here. The two binary complexes contained
NAD+ bound to 2 (mixed-holo) or 4 (holo) subunits of the tetrameric protein. The
structure of the mixed-holo complex reveals the effects of NAD+ binding on the
conformation of the protein. In the ternary complex, the phosphate group of the
substrate was bound to the new Pi site in all four subunits. Comparison with the
structure of human GAPDH showed several differences near the adenosyl binding
pocket in Group B Streptococcus GAPDH. The structures also reveal at least three
surface-exposed areas that differ in amino acid sequence compared to the
corresponding areas of human GAPDH.
PMID- 27875550
TI - An Alternative Approach to ChIP-Seq Normalization Enables Detection of Genome
Wide Changes in Histone H3 Lysine 27 Trimethylation upon EZH2 Inhibition.
AB - Chromatin immunoprecipitation and DNA sequencing (ChIP-seq) has been instrumental
in inferring the roles of histone post-translational modifications in the
regulation of transcription, chromatin compaction and other cellular processes
that require modulation of chromatin structure. However, analysis of ChIP-seq
data is challenging when the manipulation of a chromatin-modifying enzyme
significantly affects global levels of histone post-translational modifications.
For example, small molecule inhibition of the methyltransferase EZH2 reduces
global levels of histone H3 lysine 27 trimethylation (H3K27me3). However,
standard ChIP-seq normalization and analysis methods fail to detect a decrease
upon EZH2 inhibitor treatment. We overcome this challenge by employing an
alternative normalization approach that is based on the addition of Drosophila
melanogaster chromatin and a D. melanogaster-specific antibody into standard ChIP
reactions. Specifically, the use of an antibody that exclusively recognizes the
D. melanogaster histone variant H2Av enables precipitation of D. melanogaster
chromatin as a minor fraction of the total ChIP DNA. The D. melanogaster ChIP-seq
tags are used to normalize the human ChIP-seq data from DMSO and EZH2 inhibitor
treated samples. Employing this strategy, a substantial reduction in H3K27me3
signal is now observed in ChIP-seq data from EZH2 inhibitor treated samples.
PMID- 27875552
TI - Varying Land-Use Has an Influence on Wattled and Grey Crowned Cranes' Abundance
and Distribution in Driefontein Grasslands Important Bird Area, Zimbabwe.
AB - Three species of cranes are distributed widely throughout southern Africa, but
little is known about how they respond to the changes in land-use that have
occurred in this region. This study assessed habitat preference of the two crane
species across land-use categories of the self contained small scale commercial
farms of 30 to 40 ha per household (A1), large scale commercial agriculture farms
of > 50 ha per household (A2) and Old Resettlement, farms of < 5 ha per household
with communal grazing land in Driefontein Grasslands Important Bird Area (IBA),
Zimbabwe. The study further explored how selected explanatory (environmental)
habitat variables influence crane species abundance. Crane bird counts and data
on influencing environmental variables were collected between June and August
2012. Our results show that varying land-use categories had an influence on the
abundance and distribution of the Wattled Crane (Bugeranus carunculatus) and the
Grey Crowned Crane (Belearica regulorum) across Driefontein Grasslands IBA. The
Wattled Crane was widely distributed in the relatively undisturbed A2 farms while
the Grey Crowned Crane was associated with the more disturbed land of A1 farms,
Old Resettlement and its communal grazing land. Cyperus esculentus and percent
(%) bare ground were strong environmental variables best explaining the observed
patterns in Wattled Crane abundance across land-use categories. The pattern in
Grey Crowned Crane abundance was best explained by soil penetrability, moisture
and grass height variables. A holistic sustainable land-use management that takes
into account conservation of essential habitats in Driefontein Grasslands IBA is
desirable for crane populations and other wetland dependent species that include
water birds.
PMID- 27875553
TI - An Efficient Antipodal Cell Isolation Method for Screening of Cell Type-Specific
Genes in Arabidopsis thaliana.
AB - In flowering plants, the mature embryo sac consists of seven cells, namely two
synergid cells and an egg cell at the micropylar end, one central cell, and three
antipodal cells at the chalazal end. Excluding the antipodal cell, as a model for
the study of cell fate determination and cell type specification, the roles of
these embryo sac component cells in fertilization and seed formation have been
widely investigated. At this time, little is known regarding the function of
antipodal cells and their cell type-specific gene expression patterns. One reason
for this is difficulties related to the observation and isolation of cells for
detailed functional analyses. Here, we report a method for antipodal cell
isolation and transcriptome analysis. We identified antipodal cell-specific
marker line K44-1, and based on this marker line, established a procedure
allowing us to isolate antipodal cells with both high quality and quantity. PCR
validation of antipodal-specific genes from antipodal cell cDNA showed that the
isolated cells are qualified and can be used for transcriptome analysis and
screening of cell type-specific marker genes. The isolated cells could keep
viable for a week in culture condition. This method can be used to efficiently
isolate antipodal cells of high quality and will promote the functional
investigation of antipodal cells in Arabidopsis thaliana. This increases our
understanding of the molecular regulatory mechanism of antipodal cell
specification.
PMID- 27875554
TI - Incidence and Determinants of Ventilation Tubes in Denmark.
AB - BACKGROUND AND OBJECTIVES: Many children are treated for recurrent acute otitis
media and middle ear effusion with ventilation tubes (VT). The objectives are to
describe the incidence of VT in Denmark during 1997-2011 from national register
data, furthermore, to analyze the determinants for VT in the Copenhagen
Prospective Studies on Asthma in Childhood2010 (COPSAC2010) birth cohort.
METHODS: The incidence of VT in all children under 16 years from 1997-2011 were
calculated in the Danish national registries. Determinants of VT were studied in
the COPSAC2010 birth cohort of 700 children. RESULTS: Nationwide the prevalence
of VT was 24% in children aged 0 to 3 three years, with a significant increase
over the study period. For all children 0-15 years, the incidence of VT was
35/1,000. In the VT population, 57% was male and 43% females. In the COPSAC2010
birth cohort, the prevalence of VT during the first 3 years of life was 29%.
Determinants of VT were: maternal history of middle ear disease; aHR 2.07, 95% CI
[1.45-2.96] and siblings history of middle ear disease; aHR 3.02, [2.11-4.32].
Paternal history of middle ear disease, presence of older siblings in the home
and diagnosis of persistent wheeze were significant in the univariate analysis
but the association did not persist after adjustment. CONCLUSION: The incidence
of VT is still increasing in the youngest age group in Denmark, demonstrating the
highest incidence recorded in the world. Family history of middle ear disease and
older siblings are the main determinants for VT.
PMID- 27875555
TI - Doping Polypyrrole Films with 4-N-Pentylphenylboronic Acid to Enhance Affinity
towards Bacteria and Dopamine.
AB - Here we demonstrate the use of a functional dopant as a fast and simple way to
tune the chemical affinity and selectivity of polypyrrole films. More
specifically, a boronic-functionalised dopant, 4-N-Pentylphenylboronic Acid
(PBA), was used to provide to polypyrrole films with enhanced affinity towards
diols. In order to prove the proposed concept, two model systems were explored:
(i) the capture and the electrochemical detection of dopamine and (ii) the
adhesion of bacteria onto surfaces. The chemisensor, based on overoxidised
polypyrrole boronic doped film, was shown to have the ability to capture and
retain dopamine, thus improving its detection; furthermore the chemisensor showed
better sensitivity in comparison with overoxidised perchlorate doped films. The
adhesion of bacteria, Deinococcus proteolyticus, Escherichia coli, Streptococcus
pneumoniae and Klebsiella pneumoniae, onto the boric doped polypyrrole film was
also tested. The presence of the boronic group in the polypyrrole film was shown
to favour the adhesion of sugar-rich bacterial cells when compared with a control
film (Dodecyl benzenesulfonate (DBS) doped film) with similar morphological and
physical properties. The presented single step synthesis approach is simple and
fast, does not require the development and synthesis of functional monomers, and
can be easily expanded to the electrochemical, and possibly chemical, fabrication
of novel functional surfaces and interfaces with inherent pre-defined sensing and
chemical properties.
PMID- 27875556
TI - MxA Is a Novel Regulator of Endosome-Associated Transcriptional Signaling by Bone
Morphogenetic Proteins 4 and 9 (BMP4 and BMP9).
AB - There is confusion about the role that IFN-alpha plays in the pathogenesis of
pulmonary arterial hypertension (PAH) with different investigators reporting a
causative or a protective role. There is now clear evidence in PAH pathogenesis
for the involvement of BMP4 and BMP9 signaling, and its disruption by mutations
in BMPR2. In the present study, we investigated MxA, an IFN-alpha-inducible
cytoplasmic dynamin-family GTPase for effects on BMP4/9 signaling, including in
the presence of PAH-disease-associated mutants of BMPR2. In human pulmonary
arterial endothelial cells (HPAECs), IFN-alpha-induced endogenous as well as
exogenously expressed MxA was associated with endosomes that aligned alongside
microtubules and tubules of the endoplasmic reticulum (ER). Moreover, IFN-alpha
and MxA stimulated basal and BMP4/9 signaling to a Smad1/5/8-responsive pBRE-Luc
reporter. In HEK293T cells, immunoelectron microscopy confirmed the association
of MxA with endosomes, and immunofluorescence methods showed these to be positive
for early endosome markers (early endosomal antigen 1, clathrin light chain and
Rab5) and RSmad1/5/8. Functionally, using different genetic and inhibitor
approaches, we observed that clathrin-mediated endocytosis enhanced and caveolin
mediated endocytosis inhibited the transcriptional response to BMP4 and BMP9. MxA
produced a further 3-4-fold enhancement of the BMP-induced response in a clathrin
endocytosis dependent manner. The microtubule inhibitor nocodazole and stabilizer
paclitaxel respectively attenuated and enhanced the effect of MxA, implicating
microtubule integrity in this process. MxA enhanced BMP-induced signaling in the
presence of wild-type BMPR2, and partially rescued signaling from some PAH
disease-associated BMPR2 mutants. Taken together, the data identify MxA as a
novel stimulator of BMP4 and BMP9 transcriptional signaling, and suggest it to be
a candidate IFN-alpha-inducible mechanism that might have a protective role
against development of PAH and other vascular diseases.
PMID- 27875557
TI - Socioeconomic Status and Poor Health Outcome at 10 Years of Follow-Up in the
Multi-Ethnic Study of Atherosclerosis.
AB - BACKGROUND/OBJECTIVES: Predictors of healthy aging have not been well-studied
using longitudinal data with demographic, clinical, subclinical, and genetic
information. The objective was to identify predictors of poor health outcome at
10 years of follow-up in the Multi-Ethnic Study of Atherosclerosis (MESA).
DESIGN: Prospective cohort study. SETTING: Population-based sample from 6 U.S.
communities. PARTICIPANTS: 4,355 participants In the MESA Study. MEASUREMENTS:
Poor health outcome at 10 years of follow-up was defined as having died or having
clinical cardiovascular disease, depression, cognitive impairment, chronic
obstructive pulmonary disease, or cancer other than non-melanoma skin cancer.
Absolute risk regression was used to estimate risk differences in the outcome
adjusting for demographic variables, clinical and behavioral risk factors,
subclinical cardiovascular disease, and ApoE genotype. Models were weighted to
account for selective attrition. RESULTS: Mean age at 10 years of follow-up was
69.5 years; 1,480 participants had a poor health outcome, 2,157 participants were
in good health, and 718 were unknown. Older age, smoking, not taking a statin,
hypertension, diabetes, and higher coronary calcium score were associated with
higher probability of poor health outcome. After multivariable adjustment,
participants in the lowest income and educational categories had 7 to 14% greater
absolute risk of poor health outcome at 10 years of follow-up compared to those
in the next highest categories of income or education (P = 0.002 for both). Those
in the lowest categories of both income and education had 21% greater absolute
risk of poor health outcome compared to those in the highest categories of both
income and education. CONCLUSIONS: Low income and educational level predict poor
health outcome at 10 years of follow-up in an aging cohort, independent of
clinical and behavioral risk factors and subclinical cardiovascular disease.
PMID- 27875558
TI - Palmitoylated APP Forms Dimers, Cleaved by BACE1.
AB - A major rate-limiting step for Abeta generation and deposition in Alzheimer's
disease brains is BACE1-mediated cleavage (beta-cleavage) of the amyloid
precursor protein (APP). We previously reported that APP undergoes palmitoylation
at two cysteine residues (Cys186 and Cys187) in the E1-ectodomain. 8-10% of total
APP is palmitoylated in vitro and in vivo. Palmitoylated APP (palAPP) shows
greater preference for beta-cleavage than total APP in detergent resistant lipid
rafts. Protein palmitoylation is known to promote protein dimerization. Since
dimerization of APP at its E1-ectodomain results in elevated BACE1-mediated
cleavage of APP, we have now investigated whether palmitoylation of APP affects
its dimerization and whether this leads to elevated beta-cleavage of the protein.
Here we report that over 90% of palAPP is dimerized while only ~20% of total APP
forms dimers. PalAPP-dimers are predominantly cis-oriented while total APP
dimerizes in both cis- and trans-orientation. PalAPP forms dimers 4.5-times more
efficiently than total APP. Overexpression of the palmitoylating enzymes DHHC7
and DHHC21 that increase palAPP levels and Abeta release, also increased APP
dimerization in cells. Conversely, inhibition of APP palmitoylation by
pharmacological inhibitors reduced APP-dimerization in coimmunoprecipitation and
FLIM/FRET assays. Finally, in vitro BACE1-activity assays demonstrate that
palmitoylation-dependent dimerization of APP promotes beta-cleavage of APP in
lipid-rich detergent resistant cell membranes (DRMs), when compared to total APP.
Most importantly, generation of sAPPbeta-sAPPbeta dimers is dependent on APP
palmitoylation while total sAPPbeta generation is not. Since BACE1 shows
preference for palAPP dimers over total APP, palAPP dimers may serve as novel
targets for effective beta-cleavage inhibitors of APP as opposed to BACE1
inhibitors.
PMID- 27875559
TI - Efficacy and Safety of Anti-Interleukin-5 Therapy in Patients with Asthma: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: Recent trials have assessed the efficacy and safety of novel
monoclonal antibodies such as reslizumab and benralizumab. However, the overall
efficacy and safety anti-interleukin (IL) 5 treatment in asthma have not been
thoroughly assessed. METHODS: Randomized controlled trials (RCTs) of anti-IL-5
treatment on patients with asthma published up to October 2016 in PubMed, Embase,
and Cochrane Central Register of Controlled Trials (CENTRAL) that reported
pulmonary function, quality of life scores, asthmatic exacerbation rate, blood
and sputum eosinophil counts, short-acting beta-agonist (SABA) rescue use, and
adverse events were included. The pooled mean difference, and relative risks
(RR), and 95% confidence intervals (CIs) were calculated using random-effects
models. RESULTS: Twenty studies involving 7100 patients were identified. Pooled
analysis revealed significant improvements in FEV1 (first second forced
expiratory volume) (MD = 0.09, 95% CI: 0.06-0.12, I2 = 10%), FEV1% (MD = 3.75,
95% CI: 1.66-5.83, I2 = 19%), Asthma Quality of Life Questionnaire (AQLQ) score
(MD = 0.22, 95% CI: 0.15-0.30, I2 = 0%), decreased blood, sputum eosinophils and
asthmatic exacerbation (RR = 0.66, 95% CI: 0.59-0.73, I2 = 51%); peak expiratory
flow (PEF) (MD = 5.45, 95% CI: -2.83-13.72, I2 = 0%), histamine PC20 (MD = -0.62,
95% CI: -1.92-0.68, I2 = 0%) or SABA rescue use (MD = -0.11, 95% CI: -0.3-0.07,
I2 = 30%) were unaffected; adverse events were not increased (RR = 0.93, 95% CI:
0.89-0.98, I2 = 46%). No publication bias was observed (Egger's P = 0.78).
CONCLUSIONS: Anti-interleukin 5 monoclonal therapies for asthma could be safe for
slightly improving FEV1 (or FEV1% of predicted value), quality of life, and
reducing exacerbations risk and blood and sputum eosinophils, but have no
significant effect on PEF, histamine PC20, and SABA rescue use. Further trials
required to establish to clarify the optimal antibody for different patients.
PMID- 27875561
TI - The Perception of Physician Empathy by Patients with Inflammatory Bowel Disease.
AB - BACKGROUND AND AIMS: This study focused on the difference between perceived and
desired physician empathy (pPE and dPE) in the eye of patients with inflammatory
bowel disease (IBD). It was investigated if a discrepancy (DeltaPE) correlates
with trust and satisfaction of patients. At the same time the aim was to gain
detailed information about the subjective burden of disease and the resources of
IBD patients, in order to better understand them. METHODS: A modified version of
the German Version of the Consultation and Relational Empathy (CARE) measure was
completed as a paper-and-pencil questionnaire by IBD patients attending our
facility (n = 32) and as an online survey by IBD patients at other locations
throughout Germany (n = 89). Patients were in average 36.3+/-12 years old.
RESULTS: The mean (SD) rating of pPE was 3.93 (0.96) on a scale of 1 to 5 ("poor"
to "excellent"); however, the mean (SD) dPE was 4.38 (0.48) on the same scale.
DeltaPE correlated with perceived empathy and with patients' satisfaction with
treatment and trust in their health care providers. Patients reported quite a
high subjective burden (mean [SD]: 2.93 [.63]) and named family, friends, and
support groups as resources. CONCLUSIONS: Rather than assessing patient
satisfaction with treatment and trust in their physician only with perceived PE,
we suggest DeltaPE as a useful additional parameter.
PMID- 27875560
TI - Denervation-Induced Activation of the Standard Proteasome and Immunoproteasome.
AB - The standard 26S proteasome is responsible for the majority of myofibrillar
protein degradation leading to muscle atrophy. The immunoproteasome is an
inducible form of the proteasome. While its function has been linked to
conditions of atrophy, its contribution to muscle proteolysis remains unclear.
Therefore, the purpose of this study was to determine if the immunoproteasome
plays a role in skeletal muscle atrophy induced by denervation. Adult male
C57BL/6 wild type (WT) and immunoproteasome knockout lmp7-/-/mecl-1-/- (L7M1)
mice underwent tibial nerve transection on the left hindlimb for either 7 or 14
days, while control mice did not undergo surgery. Proteasome activity (caspase-,
chymotrypsin-, and trypsin- like), protein content of standard proteasome (beta1,
beta5 and beta2) and immunoproteasome (LMP2, LMP7 and MECL-1) catalytic subunits
were determined in the gastrocnemius muscle. Denervation induced significant
atrophy and was accompanied by increased activities and protein content of the
catalytic subunits in both WT and L7M1 mice. Although denervation resulted in a
similar degree of muscle atrophy between strains, the mice lacking two
immunoproteasome subunits showed a differential response in the extent and
duration of proteasome features, including activities and content of the beta1,
beta5 and LMP2 catalytic subunits. The results indicate that immunoproteasome
deficiency alters the proteasome's composition and activities. However, the
immunoproteasome does not appear to be essential for muscle atrophy induced by
denervation.
PMID- 27875562
TI - Water Quality Is a Poor Predictor of Recreational Hotspots in England.
AB - Maintaining and improving water quality is key to the protection and restoration
of aquatic ecosystems, which provide important benefits to society. In Europe,
the Water Framework Directive (WFD) defines water quality based on a set of
biological, hydro-morphological and chemical targets, and aims to reach good
quality conditions in all river bodies by the year 2027. While recently it has
been argued that achieving these goals will deliver and enhance ecosystem
services, in particular recreational services, there is little empirical evidence
demonstrating so. Here we test the hypothesis that good water quality is
associated with increased utilization of recreational services, combining four
surveys covering walking, boating, fishing and swimming visits, together with
water quality data for all water bodies in eight River Basin Districts (RBDs) in
England. We compared the percentage of visits in areas of good water quality to a
set of null models accounting for population density, income, age distribution,
travel distance, public access, and substitutability. We expect such association
to be positive, at least for fishing (which relies on fish stocks) and swimming
(with direct contact to water). We also test if these services have stronger
association with water quality relative to boating and walking alongside rivers,
canals or lakeshores. In only two of eight RBDs (Northumbria and Anglian) were
both criteria met (positive association, strongest for fishing and swimming) when
comparing to at least one of the null models. This conclusion is robust to
variations in dataset size. Our study suggests that achieving the WFD water
quality goals may not enhance recreational ecosystem services, and calls for
further empirical research on the connection between water quality and ecosystem
services.
PMID- 27875563
TI - Multimodal Body Representation of Obese Children and Adolescents before and after
Weight-Loss Treatment in Comparison to Normal-Weight Children.
AB - OBJECTIVE: The aim of the study was to investigate whether obese children and
adolescents have a disturbed body representation as compared to normal-weight
participants matched for age and gender and whether their body representation
changes in the course of an inpatient weight-reduction program. METHODS: Sixty
obese (OBE) and 27 normal-weight (NW) children and adolescents (age: 9-17) were
assessed for body representation using a multi-method approach. Therefore, we
assessed body size estimation, tactile size estimation, heartbeat detection
accuracy, and attitudes towards one's own body. OBE were examined upon admission
and before discharge of an inpatient weight-reduction program. NW served as cross
sectional control group. RESULTS: Body size estimation and heartbeat detection
accuracy were similar in OBE and NW. OBE overestimated sizes in tactile size
estimation and were more dissatisfied with their body as compared to NW. In OBE
but not in NW, several measures of body size estimation correlated with negative
body evaluation. After weight-loss treatment, OBE had improved in heartbeat
detection accuracy and were less dissatisfied with their body. None of the
assessed variables predicted weight-loss success. CONCLUSIONS: Although OBE
children and adolescents generally perceived their body size and internal status
of the body accurately, weight reduction improved their heartbeat detection
accuracy and body dissatisfaction.
PMID- 27875564
TI - Donor IFNL4 Genotype Is Associated with Early Post-Transplant Fibrosis in
Recipients with Hepatitis C.
AB - BACKGROUND AND AIMS: Early post-transplant hepatic fibrosis is associated with
poor outcomes and may be influenced by donor/recipient genetic factors. The
rs368234815 IFNL4 polymorphism is related to the previously described IL28B
polymorphism, which predicts etiology-independent hepatic fibrosis. The aim of
this study was to identify the impact of donor and/or recipient IFNL4 genotype on
early fibrosis among patients transplanted for hepatitis C (HCV). METHODS:
Clinical data were collected for 302 consecutive patients transplanted for HCV.
116 patients who had available liver biopsies and donor/recipient DNA were
included. 28% of these patients with stage 2 fibrosis or greater were compared to
patients without significant post-transplant fibrosis with respect to clinical
features as well as donor/recipient IFNL4 genotype. RESULTS: The IFNL4 TT/TT
genotype was found in 26.0% of recipients and 38.6% of donors. Patients who
developed early post-transplant fibrosis had a 3.45 adjusted odds of having donor
IFNL4 TT/TT genotype (p = 0.012). Donor IFNL4 TT/TT genotype also predicted
decreased overall survival compared to non-TT/TT genotypes (p = 0.016).
CONCLUSIONS: Donor IFNL4 TT/TT genotype, a favorable predictor of spontaneous HCV
clearance pre-transplant, is associated with increased early post-transplant
fibrosis and decreased survival.
PMID- 27875566
TI - Labor Market Integration of People with Disabilities: Results from the Swiss
Spinal Cord Injury Cohort Study.
AB - OBJECTIVES: We aimed to describe labor market participation (LMP) of persons with
spinal cord injury (SCI) in Switzerland, to examine potential determinants of
LMP, and to compare LMP between SCI and the general population. METHODS: We
analyzed data from 1458 participants of employable age from the cross-sectional
community survey of the Swiss Spinal Cord Injury Cohort Study. Data on LMP of the
Swiss general population were obtained from the Swiss Federal Statistical Office.
Factors associated with employment status as well as the amount of work performed
in terms of full-time equivalent (FTE) were examined with regression techniques.
RESULTS: 53.4% of the participants were employed at the time of the study.
Adjusted odds of being employed were increased for males (OR = 1.73, 95% CI 1.33
2.25) and participants with paraplegia (OR = 1.78, 95% CI 1.40-2.27). The
likelihood of being employed showed a significant concave relationship with age,
peaking at age 40. The relation of LMP with education was s-shaped, while LMP was
linearly related to time since injury. On average, employment rates were 30%
lower than in the general population. Males with tetraplegia aged between 40 and
54 showed the greatest difference. From the 771 employed persons, the majority
(81.7%) worked part-time with a median of 50% FTE (IRQ: 40%-80%). Men, those with
younger age, higher education, incomplete lesions, and non-traumatic etiology
showed significantly increased odds of working more hours per week. Significantly
more people worked part-time than in the general population with the greatest
difference found for males with tetraplegia aged between 40 and 54. CONCLUSIONS:
LMP of persons with SCI is comparatively high in Switzerland. LMP after SCI is,
however, considerably lower than in the general population. Future research needs
to show whether the reduced LMP in SCI reflects individual capacity adjustment,
contextual constraints on higher LMP or both.
PMID- 27875565
TI - Inhibition of Notch Signaling Attenuates Schistosomiasis Hepatic Fibrosis via
Blocking Macrophage M2 Polarization.
AB - Macrophages play a key role in the pathogenesis of liver granuloma and fibrosis
in schistosomiasis. However, the underlying mechanisms have not been fully
characterized. This study revealed that the macrophages infiltrating the liver
tissues in a murine model of Schistosoma japonica infection exhibited M2
functional polarization, and Notch1/Jagged1 signaling was significantly
upregulated in the M2 polarized macrophages in vivo and in vitro. Furthermore,
the blockade of Notch signaling pathway by a gamma-secretase inhibitor could
reverse macrophage M2 polarization in vitro and alleviate liver granuloma and
fibrosis in the murine model of schistosomiasis. These results implied that the
Notch1/Jagged1 signaling-dependent M2 polarization of macrophages might play an
important role in liver granuloma and fibrosis in schistosomiasis, and the
inhibition of Notch1/Jagged1 signaling might provide a novel therapeutic approach
to administrate patients with schistosomiasis.
PMID- 27875567
TI - Transforming Water: Social Influence Moderates Psychological, Physiological, and
Functional Response to a Placebo Product.
AB - This paper investigates how social influence can alter physiological,
psychological, and functional responses to a placebo product and how such
responses influence the ultimate endorsement of the product. Participants
consumed a product, "AquaCharge Energy Water," falsely-labeled as containing 200
mg of caffeine but which was actually plain spring water, in one of three
conditions: a no social influence condition, a disconfirming social influence
condition, and a confirming social influence condition. Results demonstrated that
the effect of the product labeling on physiological alertness (systolic blood
pressure), psychological alertness (self-reported alertness), functional
alertness (cognitive interference), and product endorsement was moderated by
social influence: participants experienced more subjective, physiological and
functional alertness and stronger product endorsement when they consumed the
product in the confirming social influence condition than when they consumed the
product in the disconfirming social influence condition. These results suggest
that social influence can alter subjective, physiological, and functional
responses to a faux product, in this case transforming the effects of plain
water.
PMID- 27875568
TI - Gated Volumetric-Modulated Arc Therapy vs. Tumor-Tracking CyberKnife Radiotherapy
as Stereotactic Body Radiotherapy for Hepatocellular Carcinoma: A Dosimetric
Comparison Study Focused on the Impact of Respiratory Motion Managements.
AB - PURPOSE: To assess the potential dosimetric benefits associated with the
CyberKnife (CK) tumor tracking capability, wherein an extra margin for
respiratory tumor motion is not required, when compared to respiratory-gated
volumetric-modulated arc therapy (VMAT) for hepatocellular carcinoma (HCC).
METHODS: Twenty-nine HCC patients previously treated with double-arc VMAT were
enrolled. In each VMAT plan, the individual internal target volume (ITV) margin
around the tumor was determined by measuring its motion over 30-70% of
respiratory phases using four-dimensional computed tomography, followed by a 5-mm
isotropic margin for the planning target volume (PTV). For each VMAT plan, two CK
plans were generated using the original (CKoriginal, ITV included) and modified
PTVs (CKmodified, ITV excluded) for comparison. In each case, the CKoriginal and
CKmodified plans were compared to the original VMAT plan in terms of the
dosimetric parameters including the conformity index (CI), PTV coverage (CO),
organs at risk (OAR) doses, and normal liver tissue sparing. RESULTS: The
original PTVs with median 24 cc (range, 9-65 cc) were significantly reduced to
median 12 cc (range, 5-41 cc) in the CKmodified plans. Statistically significant
differences in plan qualities were observed between the VMAT and the CK plans:
mean CI, 1.05 in VMAT vs. 1.17 in both CK plans (p < 0.001); and mean CO, 93.0%
in VMAT vs. 96.6% in CKoriginal and 96.9% in CKmodified (p < 0.001). The average
volume of normal liver tissue receiving > 15 Gy was significantly decreased in
the CKmodified plan, as compared to that in the VMAT and CKoriginal plans, by
1.75- and 1.61-fold, respectively. CONCLUSIONS: The tumor tracking capability of
the CK system can significantly decrease the volume of normal liver tissue
receiving > 15 Gy, while maintaining high precision in target localization,
conformity, tumor coverage, and dose sparing of the OAR. Therefore, it can be a
valuable SBRT option, particularly for HCC patients with poor liver function.
PMID- 27875569
TI - Are Men's Perceptions of Sexually Dimorphic Vocal Characteristics Related to
Their Testosterone Levels?
AB - Feminine physical characteristics in women are positively correlated with markers
of their mate quality. Previous research on men's judgments of women's facial
attractiveness suggests that men show stronger preferences for feminine
characteristics in women's faces when their own testosterone levels are
relatively high. Such results could reflect stronger preferences for high quality
mates when mating motivation is strong and/or following success in male-male
competition. Given these findings, the current study investigated whether a
similar effect of testosterone occurs for men's preferences for feminine
characteristics in women's voices. Men's preferences for feminized versus
masculinized versions of women's and men's voices were assessed in five weekly
test sessions and saliva samples were collected in each test session. Analyses
showed no relationship between men's voice preferences and their testosterone
levels. Men's tendency to perceive masculinized men's and women's voices as more
dominant was also unrelated to their testosterone levels. Together, the results
of the current study suggest that testosterone-linked changes in responses to
sexually dimorphic characteristics previously reported for men's perceptions of
faces do not occur for men's perceptions of voices.
PMID- 27875570
TI - Towards a Tissue-Engineered Contractile Fontan-Conduit: The Fate of Cardiac
Myocytes in the Subpulmonary Circulation.
AB - The long-term outcome of patients with single ventricles improved over time, but
remains poor compared to other congenital heart lesions with biventricular
circulation. Main cause for this unfavourable outcome is the unphysiological
hemodynamic of the Fontan circulation, such as subnormal systemic cardiac output
and increased systemic-venous pressure. To overcome this limitation, we are
developing the concept of a contractile extracardiac Fontan-tunnel. In this
study, we evaluated the survival and structural development of a tissue
engineered conduit under in vivo conditions. Engineered heart tissue was
generated from ventricular heart cells of neonatal Wistar rats, fibrinogen and
thrombin. Engineered heart tissues started beating around day 8 in vitro and
remained contractile in vivo throughout the experiment. After culture for 14 days
constructs were implanted around the right superior vena cava of Wistar rats (n =
12). Animals were euthanized after 7, 14, 28 and 56 days postoperatively.
Hematoxylin and eosin staining showed cardiomyocytes arranged in thick bundles
within the engineered heart tissue-conduit. Immunostaining of sarcomeric actin,
alpha-actin and connexin 43 revealed a well -developed cardiac myocyte structure.
Magnetic resonance imaging (d14, n = 3) revealed no constriction or stenosis of
the superior vena cava by the constructs. Engineered heart tissues survive and
contract for extended periods after implantation around the superior vena cava of
rats. Generation of larger constructs is warranted to evaluate functional
benefits of a contractile Fontan-conduit.
PMID- 27875571
TI - Short- and Long-Term Mortality Rates of Elderly Acute Kidney Injury Patients Who
Underwent Continuous Renal Replacement Therapy.
AB - BACKGROUND: The world's population is aging faster and the incidence of acute
kidney injury (AKI) needing continuous renal replacement therapy (CRRT) is
increasing in elderly population. The outcome of AKI needing CRRT in elderly
patients is known to be poor. However, the definitions of elderly used in the
previous literatures were diverse and, there were few data that compared the long
term mortality rates of these patients with middle aged patients. This study was
aimed to evaluate this issue. METHODS: This study was a single-center,
retrospective cohort study of patients who underwent CRRT from January 2013 to
December 2015. The patients were divided into the following four age cohorts:
middle-aged (55-64), young-old (65-74), middle-old (75-84), and old-old (>=85).
The short- and long-term mortality rates for each age cohort were compared.
RESULTS: A total of 562 patients met the inclusion criteria. The short-term
mortality rate was 57.3% in the entire cohort. Compared with the middle-aged
cohort, the middle-old cohort (HR 1.48 (1.09-2.02), p = 0.012) and the old-old
cohort (HR 2.33 (1.30-4.19), p = 0.005) showed an increased short-term mortality
rate along with an increased SOFA score, acidemia and a prolonged prothrombin
time. When we analyzed the long-term mortality rate of the 238 survived patients,
the middle-old cohort (HR 3.76 (1.84-7.68), p<0.001), the old-old cohort (HR
4.40(1.20-16.10), p = 0.025), a lower BMI, the presence of liver cirrhosis, the
presence of congestive heart failure and a history of sepsis were independent
risk factors for the prediction of long-term mortality. CONCLUSION: Compared with
the middle-aged cohort, the middle-old and the old-old cohort showed an increased
short-term and long-term mortality rate. However, in the young-old cohort,
neither the short-term nor the long-term mortality rate was increased.
PMID- 27875572
TI - Iron-Regulated Phospholipase C Activity Contributes to the Cytolytic Activity and
Virulence of Acinetobacter baumannii.
AB - Acinetobacter baumannii is an opportunistic Gram-negative pathogen that causes a
wide range of infections including pneumonia, septicemia, necrotizing fasciitis
and severe wound and urinary tract infections. Analysis of A. baumannii
representative strains grown in Chelex 100-treated medium for hemolytic activity
demonstrated that this pathogen is increasingly hemolytic to sheep, human and
horse erythrocytes, which interestingly contain increasing amounts of
phosphatidylcholine in their membranes. Bioinformatic, genetic and functional
analyses of 19 A. baumannii isolates showed that the genomes of each strain
contained two phosphatidylcholine-specific phospholipase C (PC-PLC) genes, which
were named plc1 and plc2. Accordingly, all of these strains were significantly
hemolytic to horse erythrocytes and their culture supernatants tested positive
for PC-PLC activity. Further analyses showed that the transcriptional expression
of plc1 and plc2 and the production of phospholipase and thus hemolytic activity
increased when bacteria were cultured under iron-chelation as compared to iron
rich conditions. Testing of the A. baumannii ATCC 19606T plc1::aph-FRT and
plc2::aph isogenic insertion derivatives showed that these mutants had a
significantly reduced PC-PLC activity as compared to the parental strain, while
testing of plc1::ermAM/plc2::aph demonstrated that this double PC-PLC isogenic
mutant expressed significantly reduced cytolytic and hemolytic activity.
Interestingly, only plc1 was shown to contribute significantly to A. baumannii
virulence using the Galleria mellonella infection model. Taken together, our data
demonstrate that both PLC1 and PLC2, which have diverged from a common ancestor,
play a concerted role in hemolytic and cytolytic activities; although PLC1 seems
to play a more critical role in the virulence of A. baumannii when tested in an
invertebrate model. These activities would provide access to intracellular iron
stores this pathogen could use during growth in the infected host.
PMID- 27875573
TI - A Nucleoside Anticancer Drug, 1-(3-C-Ethynyl-beta-D-Ribo-Pentofuranosyl)Cytosine,
Induces Depth-Dependent Enhancement of Tumor Cell Death in Spread-Out Bragg Peak
(SOBP) of Proton Beam.
AB - The effect of 1-(3-C-ethynyl-beta-D-ribo-pentofuranosyl)cytosine (ECyd) on proton
induced cell death was evaluated in human lung carcinoma cell line A549 and
Chinese hamster fibroblast cell line V79 to enhance relative biological
effectiveness (RBE) within the spread-out Bragg peak (SOBP) of proton beams.
Treatment with ECyd significantly enhanced the proton-induced loss of
clonogenicity and increased senescence at the center, but not at the distal edge
of SOBP. The p53-binding protein 1 foci formation assay showed that ECyd
decelerated the rate of DNA double-strand break (DSB) repair at the center, but
not the distal region of SOBP, suggesting that the ECyd-induced enhancement of
proton-induced cell death is partially associated with the inhibition of DSB
repair. This study demonstrated that ECyd enhances proton-induced cell killing at
all positions of SOBP, except for the distal region and minimizes the site
dependent differences in RBE within SOBP. Thus, ECyd is a unique radiosensitizer
for proton therapy that may be useful because it levels the biological dose
within SOBP, which improves tumor control and reduces the risk of adverse effects
at the distal edge of SOBP.
PMID- 27875574
TI - Chidamide Inhibits Aerobic Metabolism to Induce Pancreatic Cancer Cell Growth
Arrest by Promoting Mcl-1 Degradation.
AB - Pancreatic cancer is a fatal malignancy worldwide and urgently requires valid
therapies. Previous research showed that the HDAC inhibitor chidamide is a
promising anti-cancer agent in pancreatic cancer cell lines. In this study, we
elucidate a probable underlying anti-cancer mechanism of chidamide involving the
degradation of Mcl-1. Mcl-1 is frequently upregulated in human cancers, which has
been demonstrated to participate in oxidative phosphorylation, in addition to its
anti-apoptotic actions as a Bcl-2 family member. The pancreatic cancer cell lines
BxPC-3 and PANC-1 were treated with chidamide, resulting in Mcl-1 degradation
accompanied by induction of Mcl-1 ubiquitination. Treatment with MG132, a
proteasome inhibitor reduced Mcl-1 degradation stimulated by chidamide. Chidamide
decreased O2 consumption and ATP production to inhibit aerobic metabolism in both
pancreatic cancer cell lines and primary cells, similar to knockdown of Mcl-1,
while overexpression of Mcl-1 in pancreatic cancer cells could restore the
aerobic metabolism inhibited by chidamide. Furthermore, chidamide treatment or
Mcl-1 knockdown significantly induced cell growth arrest in pancreatic cancer
cell lines and primary cells, and Mcl-1 overexpression could reduce this cell
growth inhibition. In conclusion, our results suggest that chidamide promotes Mcl
1 degradation through the ubiquitin-proteasome pathway, suppressing the
maintenance of mitochondrial aerobic respiration by Mcl-1, and resulting in
inhibition of pancreatic cancer cell proliferation. Our work supports the claim
that chidamide has therapeutic potential for pancreatic cancer treatment.
PMID- 27875575
TI - Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact
Stimuli.
AB - Many audio applications perform perception-based time-frequency (TF) analysis by
decomposing sounds into a set of functions with good TF localization (i.e. with a
small essential support in the TF domain) using TF transforms and applying
psychoacoustic models of auditory masking to the transform coefficients. To
accurately predict masking interactions between coefficients, the TF properties
of the model should match those of the transform. This involves having masking
data for stimuli with good TF localization. However, little is known about TF
masking for mathematically well-localized signals. Most existing masking studies
used stimuli that are broad in time and/or frequency and few studies involved TF
conditions. Consequently, the present study had two goals. The first was to
collect TF masking data for well-localized stimuli in humans. Masker and target
were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one
critical band. The overall pattern of results is qualitatively similar to
existing data for long maskers. To facilitate implementation in audio processing
algorithms, a dataset provides the measured TF masking function. The second goal
was to assess the potential effect of auditory efferents on TF masking using a
modeling approach. The temporal window model of masking was used to predict
present and existing data in two configurations: (1) with standard model
parameters (i.e. without efferents), (2) with cochlear gain reduction to simulate
the activation of efferents. The ability of the model to predict the present data
was quite good with the standard configuration but highly degraded with gain
reduction. Conversely, the ability of the model to predict existing data for long
maskers was better with than without gain reduction. Overall, the model
predictions suggest that TF masking can be affected by efferent (or other)
effects that reduce cochlear gain. Such effects were avoided in the experiment of
this study by using maximally-compact stimuli.
PMID- 27875576
TI - Small RNA Library Preparation Method for Next-Generation Sequencing Using
Chemical Modifications to Prevent Adapter Dimer Formation.
AB - For most sample types, the automation of RNA and DNA sample preparation workflows
enables high throughput next-generation sequencing (NGS) library preparation.
Greater adoption of small RNA (sRNA) sequencing has been hindered by high sample
input requirements and inherent ligation side products formed during library
preparation. These side products, known as adapter dimer, are very similar in
size to the tagged library. Most sRNA library preparation strategies thus employ
a gel purification step to isolate tagged library from adapter dimer
contaminants. At very low sample inputs, adapter dimer side products dominate the
reaction and limit the sensitivity of this technique. Here we address the need
for improved specificity of sRNA library preparation workflows with a novel
library preparation approach that uses modified adapters to suppress adapter
dimer formation. This workflow allows for lower sample inputs and elimination of
the gel purification step, which in turn allows for an automatable sRNA library
preparation protocol.
PMID- 27875577
TI - Heat Stress Affects Facultative Symbiont-Mediated Protection from a Parasitoid
Wasp.
AB - Many insects carry facultative bacterial symbionts, which provide benefits
including resistance to natural enemies and abiotic stresses. Little is known
about how these beneficial phenotypes are affected when biotic or abiotic threats
occur simultaneously. The pea aphid (Acyrthosiphon pisum) can host several well
characterized symbiont species. The symbiont known as X-type can protect against
both parasitoid wasps and heat stress. Here, we used three pea aphid genotypes
that were naturally infected with X-type and the symbiont Spiroplasma sp. We
compared aphids coinfected with these two symbionts with those cured from X-type
and infected with only Spiroplasma to investigate the ability of X-type to confer
benefits to the host when two threats are experienced simultaneously. Our aim is
to explore how robust symbiont protection may be outside a benign laboratory
environment. Aphids were subjected to heat shock either before or after attack by
parasitoid wasps. Under a benign temperature regime, the aphids carrying X-type
tended to be better protected from the parasitoid than those cured. When the
aphids experienced a heat shock before being parasitized aphids carrying X-type
were more susceptible than those cured. Regardless of infection with the
symbiont, the aphids benefitted from being heat shocked after parasitization. The
results demonstrate how resistance to parasitoid wasps can be strongly
environment-dependent and that a beneficial phenotype conferred by a symbiont
under controlled conditions in the laboratory does not necessarily equate to a
consistently useful effect in natural populations.
PMID- 27875578
TI - Transcriptome Analysis of the Chrysanthemum Foliar Nematode, Aphelenchoides
ritzemabosi (Aphelenchida: Aphelenchoididae).
AB - The chrysanthemum foliar nematode (CFN), Aphelenchoides ritzemabosi, is a plant
parasitic nematode that attacks many plants. In this study, a transcriptomes of
mixed-stage population of CFN was sequenced on the Illumina HiSeq 2000 platform.
68.10 million Illumina high quality paired end reads were obtained which
generated 26,817 transcripts with a mean length of 1,032 bp and an N50 of 1,672
bp, of which 16,467 transcripts were annotated against six databases. In total,
20,311 coding region sequences (CDS), 495 simple sequence repeats (SSRs) and
8,353 single-nucleotide polymorphisms (SNPs) were predicted, respectively. The
CFN with the most shared sequences was B. xylophilus with 16,846 (62.82%) common
transcripts and 10,543 (39.31%) CFN transcripts matched sequences of all of four
plant parasitic nematodes compared. A total of 111 CFN transcripts were predicted
as homologues of 7 types of carbohydrate-active enzymes (CAZymes) with
plant/fungal cell wall-degrading activities, fewer transcripts were predicted as
homologues of plant cell wall-degrading enzymes than fungal cell wall-degrading
enzymes. The phylogenetic analysis of GH5, GH16, GH43 and GH45 proteins between
CFN and other organisms showed CFN and other nematodes have a closer phylogenetic
relationship. In the CFN transcriptome, sixteen types of genes orthologues with
seven classes of protein families involved in the RNAi pathway in C. elegans were
predicted. This research provides comprehensive gene expression information at
the transcriptional level, which will facilitate the elucidation of the molecular
mechanisms of CFN and the distribution of gene functions at the macro level,
potentially revealing improved methods for controlling CFN.
PMID- 27875579
TI - Wealth and Disability in Later Life: The English Longitudinal Study of Ageing
(ELSA).
AB - We examined wealth inequalities in disability, taking into account the effect of
both depression and social support among older English adults using data from
5,506 community-dwelling people aged 50 years and over from the English
Longitudinal Study of Ageing (ELSA). Disability was measured as self-reported
limitations in the Basic Activities of Daily Living (ADL) and Instrumental
Activities of Daily Living (IADL). Depressive symptomatology was measured using
the 8-item Center for Epidemiological Studies-Depression (CES-D) scale. Social
support was assessed by marital status and frequency of contact with friends,
relatives or children. Multinomial logistic regression models were used to assess
the role of social support and depressive symptoms on disability by total
household wealth, which is a measure of accumulated assets over the course of
life. Our findings showed that the poorest men with disability were more likely
to live without a partner and have no weekly contact with children, family or
friends compared to the wealthiest. Among women with disability, the poorest were
more likely to report loneliness and have no partner while the wealthiest and the
intermediate groups were more likely to be living with a partner. There was a
strong inverse dose-response association between wealth and depressive symptoms
among all participants with disability. This study shows a clear wealth gradient
in disability among older English adults, especially for those with elevated
depressive symptoms.
PMID- 27875580
TI - Inescapable Stress Changes Walking Behavior in Flies - Learned Helplessness
Revisited.
AB - Like other animals flies develop a state of learned helplessness in response to
unescapable aversive events. To show this, two flies, one 'master', one 'yoked',
are each confined to a dark, small chamber and exposed to the same sequence of
mild electric shocks. Both receive these shocks when the master fly stops walking
for more than a second. Behavior in the two animals is differently affected by
the shocks. Yoked flies are transiently impaired in place learning and take
longer than master flies to exit from the chamber towards light. After the
treatment they walk more slowly and take fewer and shorter walking bouts. The low
activity is attributed to the fly's experience that its escape response, an
innate behavior to terminate the electric shocks, does not help anymore. Earlier
studies using heat pulses instead of electric shocks had shown similar effects.
This parallel supports the interpretation that it is the uncontrollability that
induces the state.
PMID- 27875581
TI - Statistical Models for Tornado Climatology: Long and Short-Term Views.
AB - This paper estimates regional tornado risk from records of past events using
statistical models. First, a spatial model is fit to the tornado counts
aggregated in counties with terms that control for changes in observational
practices over time. Results provide a long-term view of risk that delineates the
main tornado corridors in the United States where the expected annual rate
exceeds two tornadoes per 10,000 square km. A few counties in the Texas Panhandle
and central Kansas have annual rates that exceed four tornadoes per 10,000 square
km. Refitting the model after removing the least damaging tornadoes from the data
(EF0) produces a similar map but with the greatest tornado risk shifted south and
eastward. Second, a space-time model is fit to the counts aggregated in raster
cells with terms that control for changes in climate factors. Results provide a
short-term view of risk. The short-term view identifies a shift of tornado
activity away from the Ohio Valley under El Nino conditions and away from the
Southeast under positive North Atlantic oscillation conditions. The combined
predictor effects on the local rates is quantified by fitting the model after
leaving out the year to be predicted from the data. The models provide state-of
the-art views of tornado risk that can be used by government agencies, the
insurance industry, and the general public.
PMID- 27875582
TI - Changes in Intracellular Na+ following Enhancement of Late Na+ Current in Virtual
Human Ventricular Myocytes.
AB - The slowly inactivating or late Na+ current, INa-L, can contribute to the
initiation of both atrial and ventricular rhythm disturbances in the human heart.
However, the cellular and molecular mechanisms that underlie these pro-arrhythmic
influences are not fully understood. At present, the major working hypothesis is
that the Na+ influx corresponding to INa-L significantly increases intracellular
Na+, [Na+]i; and the resulting reduction in the electrochemical driving force for
Na+ reduces and (may reverse) Na+/Ca2+ exchange. These changes increase
intracellular Ca2+, [Ca2+]i; which may further enhance INa-L due to calmodulin
dependent phosphorylation of the Na+ channels. This paper is based on
mathematical simulations using the O'Hara et al (2011) model of baseline or
healthy human ventricular action potential waveforms(s) and its [Ca2+]i
homeostasis mechanisms. Somewhat surprisingly, our results reveal only very small
changes (<= 1.5 mM) in [Na+]i even when INa-L is increased 5-fold and steady
state stimulation rate is approximately 2 times the normal human heart rate (i.e.
2 Hz). Previous work done using well-established models of the rabbit and human
ventricular action potential in heart failure settings also reported little or no
change in [Na+]i when INa-L was increased. Based on our simulations, the major
short-term effect of markedly augmenting INa-L is a significant prolongation of
the action potential and an associated increase in the likelihood of reactivation
of the L-type Ca2+ current, ICa-L. Furthermore, this action potential
prolongation does not contribute to [Na+]i increase.
PMID- 27875584
TI - Correction: Population Genetic Structure of Apple Scab (Venturia inaequalis
(Cooke) G. Winter) in Iran.
AB - [This corrects the article DOI: 10.1371/journal.pone.0160737.].
PMID- 27875585
TI - Correction: Benefits of a Working Memory Training Program for Inattention in
Daily Life: A Systematic Review and Meta-Analysis.
AB - [This corrects the article DOI: 10.1371/journal.pone.0119522.].
PMID- 27875583
TI - K63-Linked Ubiquitination Targets Toxoplasma gondii for Endo-lysosomal
Destruction in IFNgamma-Stimulated Human Cells.
AB - Toxoplasma gondii is the most common protozoan parasitic infection in man. Gamma
interferon (IFNgamma) activates haematopoietic and non-haematopoietic cells to
kill the parasite and mediate host resistance. IFNgamma-driven host resistance
pathways and parasitic virulence factors are well described in mice, but a
detailed understanding of pathways that kill Toxoplasma in human cells is
lacking. Here we show, that contrary to the widely held belief that the
Toxoplasma vacuole is non-fusogenic, in an immune-stimulated environment, the
vacuole of type II Toxoplasma in human cells is able to fuse with the host endo
lysosomal machinery leading to parasite death by acidification. Similar to murine
cells, we find that type II, but not type I Toxoplasma vacuoles are targeted by
K63-linked ubiquitin in an IFNgamma-dependent manner in non-haematopoetic primary
like human endothelial cells. Host defence proteins p62 and NDP52 are
subsequently recruited to the type II vacuole in distinct, overlapping
microdomains with a loss of IFNgamma-dependent restriction in p62 knocked down
cells. Autophagy proteins Atg16L1, GABARAP and LC3B are recruited to <10% of
parasite vacuoles and show no parasite strain preference, which is consistent
with inhibition and enhancement of autophagy showing no effect on parasite
replication. We demonstrate that this differs from HeLa human epithelial cells,
where type II Toxoplasma are restricted by non-canonical autophagy leading to
growth stunting that is independent of lysosomal acidification. In contrast to
mouse cells, human vacuoles do not break. In HUVEC, the ubiquitinated vacuoles
are targeted for destruction in acidified LAMP1-positive endo-lysosomal
compartments. Consequently, parasite death can be prevented by inhibiting host
ubiquitination and endosomal acidification. Thus, K63-linked ubiquitin
recognition leading to vacuolar endo-lysosomal fusion and acidification is an
important, novel virulence-driven Toxoplasma human host defence pathway.
PMID- 27875587
TI - Correction: Loading-Induced Heat-Shock Response in Bovine Intervertebral Disc
Organ Culture.
AB - [This corrects the article DOI: 10.1371/journal.pone.0161615.].
PMID- 27875586
TI - Correction: Disruption of FGF5 in Cashmere Goats Using CRISPR/Cas9 Results in
More Secondary Hair Follicles and Longer Fibers.
AB - [This corrects the article DOI: 10.1371/journal.pone.0164640.].
PMID- 27875588
TI - Genetic Diversity of Blumeria graminis f. sp. hordei in Central Europe and Its
Comparison with Australian Population.
AB - Population surveys of Blumeria graminis f. sp. hordei (Bgh), a causal agent of
more than 50% of barley fungal infections in the Czech Republic, have been
traditionally based on virulence tests, at times supplemented with non-specific
Restriction fragment length polymorphism or Random amplified polymorphic DNA
markers. A genomic sequence of Bgh, which has become available recently, enables
identification of potential markers suitable for population genetics studies. Two
major strategies relying on transposable elements and microsatellites were
employed in this work to develop a set of Repeat junction markers, Single
sequence repeat and Single nucleotide polymorphism markers. A resolution power of
the new panel of markers comprising 33 polymorphisms was demonstrated by a
phylogenetic analysis of 158 Bgh isolates. A core set of 97 Czech isolates was
compared to a set 50 Australian isolates on the background of 11 diverse isolates
collected throughout the world. 73.2% of Czech isolates were found to be
genetically unique. An extreme diversity of this collection was in strong
contrast with the uniformity of the Australian one. This work paves the way for
studies of population structure and dynamics based on genetic variability among
different Bgh isolates originating from geographically limited regions.
PMID- 27875589
TI - On the Effects of Artificial Feeding on Bee Colony Dynamics: A Mathematical
Model.
AB - This paper proposes a new mathematical model to evaluate the effects of
artificial feeding on bee colony population dynamics. The proposed model is based
on a classical framework and contains differential equations that describe the
changes in the number of hive bees, forager bees, and brood cells, as a function
of amounts of natural and artificial food. The model includes the following
elements to characterize the artificial feeding scenario: a function to model the
preference of the bees for natural food over artificial food; parameters to
quantify the quality and palatability of artificial diets; a function to account
for the efficiency of the foragers in gathering food under different
environmental conditions; and a function to represent different approaches used
by the beekeeper to feed the hive with artificial food. Simulated results are
presented to illustrate the main characteristics of the model and its behavior
under different scenarios. The model results are validated with experimental data
from the literature involving four different artificial diets. A good match
between simulated and experimental results was achieved.
PMID- 27875590
TI - Spatio-Temporal Progression of Cortical Activity Related to Continuous Overt and
Covert Speech Production in a Reading Task.
AB - How the human brain plans, executes, and monitors continuous and fluent speech
has remained largely elusive. For example, previous research has defined the
cortical locations most important for different aspects of speech function, but
has not yet yielded a definition of the temporal progression of involvement of
those locations as speech progresses either overtly or covertly. In this paper,
we uncovered the spatio-temporal evolution of neuronal population-level activity
related to continuous overt speech, and identified those locations that shared
activity characteristics across overt and covert speech. Specifically, we asked
subjects to repeat continuous sentences aloud or silently while we recorded
electrical signals directly from the surface of the brain (electrocorticography
(ECoG)). We then determined the relationship between cortical activity and speech
output across different areas of cortex and at sub-second timescales. The results
highlight a spatio-temporal progression of cortical involvement in the continuous
speech process that initiates utterances in frontal-motor areas and ends with the
monitoring of auditory feedback in superior temporal gyrus. Direct comparison of
cortical activity related to overt versus covert conditions revealed a common
network of brain regions involved in speech that may implement orthographic and
phonological processing. Our results provide one of the first characterizations
of the spatiotemporal electrophysiological representations of the continuous
speech process, and also highlight the common neural substrate of overt and
covert speech. These results thereby contribute to a refined understanding of
speech functions in the human brain.
PMID- 27875591
TI - Reentry and Ectopic Pacemakers Emerge in a Three-Dimensional Model for a Slab of
Cardiac Tissue with Diffuse Microfibrosis near the Percolation Threshold.
AB - Arrhythmias in cardiac tissue are generally associated with irregular electrical
wave propagation in the heart. Cardiac tissue is formed by a discrete cell
network, which is often heterogeneous. Recently, it was shown in simulations of
two-dimensional (2D) discrete models of cardiac tissue that a wave crossing a
fibrotic, heterogeneous region may produce reentry and transient or persistent
ectopic activity provided the fraction of conducting connections is just above
the percolation threshold. Here, we investigate the occurrence of these phenomena
in three-dimensions by simulations of a discrete model representing a thin slab
of cardiac tissue. This is motivated (i) by the necessity to study the relevance
and properties of the percolation-related mechanism for the emergence of
microreentries in three dimensions and (ii) by the fact that atrial tissue is
quite thin in comparison with ventricular tissue. Here, we simplify the model by
neglecting details of tissue anatomy, e. g. geometries of atria or ventricles and
the anisotropy in the conductivity. Hence, our modeling study is confined to the
investigation of the effect of the tissue thickness as well as to the comparison
of the dynamics of electrical excitation in a 2D layer with the one in a 3D slab.
Our results indicate a strong and non-trivial effect of the thickness even for
thin tissue slabs on the probability of microreentries and ectopic beat
generation. The strong correlation of the occurrence of microreentry with the
percolation threshold reported earlier in 2D layers persists in 3D slabs.
Finally, a qualitative agreement of 3D simulated electrograms in the fibrotic
region with the experimentally observed complex fractional atrial electrograms
(CFAE) as well as strong difference between simulated electrograms in 2D and 3D
were found for the cases where reentry and ectopic activity were triggered by the
micro-fibrotic region.
PMID- 27875592
TI - Curcumin Generates Oxidative Stress and Induces Apoptosis in Adult Schistosoma
mansoni Worms.
AB - Inducing apoptosis is an interesting therapeutic approach to develop drugs that
act against helminthic parasites. Researchers have investigated how curcumin
(CUR), a biologically active compound extracted from rhizomes of Curcuma longa,
affects Schistosoma mansoni and several cancer cell lines. This study evaluates
how CUR influences the induction of apoptosis and oxidative stress in couples of
adult S. mansoni worms. CUR decreased the viability of adult worms and killed
them. The tegument of the parasite suffered morphological changes, the
mitochondria underwent alterations, and chromatin condensed. Different apoptotic
parameters were determined in an attempt to understand how CUR affected adult S.
mansoni worms. CUR induced DNA damage and fragmentation and increased the
expression of SmCASP3/7 transcripts and the activity of Caspase 3 in female and
male worms. However, CUR did not intensify the activity of Caspase 8 in female or
male worms. Evaluation of the superoxide anion and different antioxidant enzymes
helped to explore the mechanism of parasite death further. The level of
superoxide anion and the activity of Superoxide Dismutase (SOD) increased,
whereas the activity of Glutathione-S-Transferase (GST), Glutathione reductase
(GR), and Glutathione peroxidase (GPX) decreased, which culminated in the
oxidation of proteins in adult female and male worms incubated with CUR. In
conclusion, CUR generated oxidative stress followed by apoptotic-like-events in
both adult female and male S. mansoni worms, ultimately killing them.
PMID- 27875593
TI - Enterovirus D68 in Hospitalized Children: Sequence Variation, Viral Loads and
Clinical Outcomes.
AB - BACKGROUND: An outbreak of enterovirus D68 (EV-D68) caused severe respiratory
illness in 2014. The disease spectrum of EV-D68 infections in children with
underlying medical conditions other than asthma, the role of EV-D68 loads on
clinical illness, and the variation of EV-D68 strains within the same institution
over time have not been described. We sought to define the association between EV
D68 loads and sequence variation, and the clinical characteristic in hospitalized
children at our institution from 2011 to 2014. METHODS: May through November
2014, and August to September 2011 to 2013, a convenience sample of
nasopharyngeal specimens from children with rhinovirus (RV)/EV respiratory
infections were tested for EV-D68 by RT-PCR. Clinical data were compared between
children with RV/EV-non-EV-D68 and EV-D68 infections, and among children with EV
D68 infections categorized as healthy, asthmatics, and chronic medical
conditions. EV-D68 loads were analyzed in relation to disease severity parameters
and sequence variability characterized over time. RESULTS: In 2014, 44% (192/438)
of samples tested positive for EV-D68 vs. 10% (13/130) in 2011-13 (p<0.0001).
PICU admissions (p<0.0001) and non-invasive ventilation (p<0.0001) were more
common in children with EV-D68 vs. RV/EV-non-EV-D68 infections. Asthmatic EV-D68+
children, required supplemental oxygen administration (p = 0.03) and PICU
admissions (p <0.001) more frequently than healthy children or those with chronic
medical conditions; however oxygen duration (p<0.0001), and both PICU and total
hospital stay (p<0.01) were greater in children with underlying medical
conditions, irrespective of viral burden. By phylogenetic analysis, the 2014 EV
D68 strains clustered into a new sublineage within clade B. CONCLUSIONS: This is
one of the largest pediatric cohorts described from the EV-D68 outbreak.
Irrespective of viral loads, EV-D68 was associated with high morbidity in
children with asthma and co-morbidities. While EV-D68 circulated before 2014, the
outbreak isolates clustered differently than those from prior years.
PMID- 27875594
TI - Seroprevalence of Antibodies against Plasmodium falciparum Sporozoite Antigens as
Predictive Disease Transmission Markers in an Area of Ghana with Seasonal Malaria
Transmission.
AB - INTRODUCTION: As an increasing number of malaria-endemic countries approach the
disease elimination phase, sustenance of control efforts and effective monitoring
are necessary to ensure success. Mathematical models that estimate anti-parasite
antibody seroconversion rates are gaining relevance as more sensitive
transmission intensity estimation tools. Models however estimate yearly
seroconversion and seroreversion rates and usually predict long term changes in
transmission, occurring years before the time of sampling. Another challenge is
the identification of appropriate antigen targets since specific antibody levels
must directly reflect changes in transmission patterns. We therefore investigated
the potential of antibodies to sporozoite and blood stage antigens for detecting
short term differences in malaria transmission in two communities in Northern
Ghana with marked, seasonal transmission. METHODS: Cross-sectional surveys were
conducted during the rainy and dry seasons in two communities, one in close
proximity to an irrigation dam and the other at least 20 Km away from the dam.
Antibodies against the sporozoite-specific antigens circumsporozoite protein
(CSP) and Cell traversal for ookinetes and sporozoites (CelTOS) and the classical
blood stage antigen apical membrane antigen 1 (AMA1) were measured by indirect
ELISA. Antibody levels and seroprevalence were compared between surveys and
between study communities. Antibody seroprevalence data were fitted to a modified
reversible catalytic model to estimate the seroconversion and seroreversion
rates. RESULTS: Changes in sporozoite-specific antibody levels and seroprevalence
directly reflected differences in parasite prevalence between the rainy and dry
seasons and hence the extent of malaria transmission. Seroconversion rate
estimates from modelled seroprevalence data did not however support the above
observation. CONCLUSIONS: The data confirms the potential utility of sporozoite
specific antigens as useful markers for monitoring short term/seasonal changes in
malaria transmission. It may however be essential to update models to allow for
assessment of seasonal changes in malaria transmission, which usually occur
within four to six months.
PMID- 27875595
TI - Phosphorylation of Serine 235 of the Hepatitis C Virus Non-Structural Protein
NS5A by Multiple Kinases.
AB - Phosphorylation at serine 235 (S235) of the hepatitis C virus (HCV) non
structural protein 5A (NS5A) plays a critical role in the viral life cycle. For
medical and virological interests, we exploited the HEK293T kidney cells to test
3 candidate protein kinases on NS5A S235 phosphorylation. Inhibitors that inhibit
casein kinase I alpha (CKIalpha), polo-like kinase I (PlKI) or calmodulin
dependent kinase II (CaMKII) all reduced NS5A S235 phosphorylation. CKIalpha was
studied previously and PlKI had severe cytotoxicity, thus CaMKII was selected for
validation in the Huh7.5.1 liver cells. In the HCV (J6/JFH1)-infected Huh7.5.1
cells, CaMKII inhibitor reduced NS5A S235 phosphorylation and HCV RNA levels
without apparent cytotoxicity. RT-PCR analysis showed expression of CaMKII gamma
and delta isoforms in the Huh7.5.1 cells. Both CaMKII gamma and delta directly
phosphorylated NS5A S235 in vitro. CaMKII gamma or delta single knockdown did not
affect NS5A S235 phosphorylation but elevated the HCV RNA levels in the infected
cells. CKIalpha plus CaMKII (gamma or delta) double knockdown reduced NS5A S235
phosphorylation and reduced HCV RNA levels; however, the HCV RNA levels were
higher than those in the infected cells with CKIalpha single knockdown. We
conclude that CKIalpha-mediated NS5A S235 phosphorylation is critical for HCV
replication. CaMKII gamma and delta may have negative roles in the HCV life
cycle.
PMID- 27875597
TI - Family Medicine and the Social Mission of Medical Education.
PMID- 27875598
TI - Results of the 2016 National Resident Matching Program(r): 1986-2016: A
Comparison of Family Medicine, E-ROADs, and Other Select Specialties.
AB - BACKGROUND AND OBJECTIVES: This article is a continuation in a series of national
studies conducted by the American Academy of Family Physicians that reports the
performance of family medicine and other primary care specialties in the National
Residency Matching Program(r) (NRMP) Main Residency Match, hereafter called the
Match. Match data from 1986-2016 were analyzed to compare the numbers of
positions offered and filled in family medicine, other primary care specialties,
emergency medicine, diagnostic radiology, ophthalmology, anesthesiology, and
dermatology (E-ROAD), and other select specialties. Of the 10 largest specialties
defined by the greatest number of positions offered in the 2016 Match, all but
one (general surgery) have experienced growth since 1986.Overall, the total
number of positions offered in the Match grew by an average of 226 positions per
year. At the same time, primary care specialties grew 19 positions per year, and
E-ROAD specialties grew by 72 positions per year. The disproportionate growth of
subspecialties overall, notably the E-ROAD subspecialties, relative to the modest
growth of primary care specialties, makes the goal of better health care harder
to achieve. The GME portion of physician workforce pipeline is mismatched to the
health needs of the nation, and this mismatch is worsening.
PMID- 27875599
TI - Differences in Canadian and US Medical Student Preparation for Family Medicine.
PMID- 27875596
TI - Cost-Effectiveness of Saxagliptin versus Acarbose as Second-Line Therapy in Type
2 Diabetes in China.
AB - OBJECTIVE: This study assessed the long-term cost-effectiveness of
saxagliptin+metformin (SAXA+MET) versus acarbose+metformin (ACAR+MET) in Chinese
patients with type 2 diabetes mellitus (T2DM) inadequately controlled on MET
alone. METHODS: Systematic literature reviews were performed to identify studies
directly comparing SAXA+MET versus ACAR+MET, and to obtain diabetes-related
events costs which were modified by hospital surveys. A Cardiff Diabetes Model
was used to estimate the long-term economic and health treatment consequences in
patients with T2DM. Costs (2014 Chinese yuan) were calculated from the payer's
perspective and estimated over a patient's lifetime. RESULTS: SAXA+MET predicted
lower incidences of most cardiovascular events, hypoglycemia events and fatal
events, and decreased total costs compared with ACAR+MET. For an individual
patient, the quality-adjusted life-years (QALYs) gained with SAXA+MET was 0.48
more than ACAR+MET at a cost saving of Y18,736, which resulted in a cost saving
of Y38,640 per QALY gained for SAXA+MET versus ACAR+MET. Results were robust
across various univariate and probabilistic sensitivity analyses. CONCLUSION:
SAXA+MET is a cost-effective treatment alternative compared with ACAR+MET for
patients with T2DM in China, with a little QALYs gain and lower costs. SAXA is an
effective, well-tolerated drug with a low incidence of adverse events and ease of
administration; it is anticipated to be an effective second-line therapy for T2DM
treatment.
PMID- 27875600
TI - Finding the Words: Medical Students' Reflections on Communication Challenges in
Clinic.
AB - BACKGROUND AND OBJECTIVES: Interpersonal communication is essential to providing
excellent patient care and requires ongoing development. Although aspects of
medical student interpersonal communication may degrade throughout career
progression, it is unknown what specific elements pose challenges. We aimed to
characterize clerkship students' perspectives on communication challenges in the
outpatient setting to help inform curricular development. METHODS: Third-year
medical students in a required family medicine clerkship were asked to describe a
communication challenge they encountered. Open-ended written responses were
collected through a mandatory post-clerkship survey. Responses were qualitatively
coded using an a priori framework for teaching and assessing communication skills
(The SEGUE Framework for Teaching and Assessing Communication Skills) with data
derived additions to the framework, followed by a team-based thematic analysis.
RESULTS: We collected 799 reflections written by 518 students from 2007-2014.
Three dominant themes emerged from the analysis: challenges with (1) effectively
exchanging information with patients, (2) managing emotional aspects of the
patient encounter, and (3) negotiating terms of the encounter. CONCLUSIONS:
Communication curricula focus on content and process of the medical interview,
but insufficient time and energy are devoted to psychosocial factors, including
aspects of the encounter that are emotionally charged or conflicting. While gaps
in students' communication skillsets may be anticipated or observed by educators,
this study offers an analysis of students' own perceptions of the challenges they
face.
PMID- 27875602
TI - Practice Facilitation for PCMH Implementation in Residency Practices.
AB - BACKGROUND AND OBJECTIVES: Primary care residency programs continue to adapt and
change to become high-performing training sites for advanced primary care.
Practice facilitation is a key method to assist practices in implementing
organizational changes. This evaluation described the unique nature and essential
roles and qualities of practice facilitation for residency program patient
centered medical home (PCMH) transformation. METHODS: Evaluation of the Colorado
Residency PCMH Project from 2009 through 2014 included template and immersion
crystallization approaches to qualitative analysis of field notes, key informant
interviews, and meeting documentation to identify themes related to external
facilitation for practice transformation in 11 Colorado primary care residency
practices. RESULTS: Important practice facilitator roles in residency practice
transformation included supporter of quality improvement and NCQA implementation,
connector of practices, and leadership and engagement coach. Key qualities
included the relationship development between practice members and facilitators
over time, flexibility, consistent presence and communication, and an external
nature that provided a valuable outside perspective. CONCLUSIONS: Residency
programs provide a unique environment that is particularly well-suited for
transformation, though it also presents challenges. External practice
facilitators that demonstrate key roles and qualities can support residency
practices through this complex transformation process.
PMID- 27875601
TI - Association Between Patient- Centered Medical Home Features and Satisfaction With
Family Medicine Residency Training in the US.
AB - BACKGROUND AND OBJECTIVES: Primary care residencies are undergoing dramatic
changes because of changing health care systems and evolving demands for updated
training models. We examined the relationships between residents' exposures to
patient-centered medical home (PCMH) features in their assigned continuity
clinics and their satisfaction with training. METHODS: Longitudinal surveys were
collected annually from residents evaluating satisfaction with training using a 5
point Likert-type scale (1=very unsatisfied to 5=very satisfied) from 2007
through 2011, and the presence or absence of PCMH features were collected from 24
continuity clinics during the same time period. Odds ratios on residents' overall
satisfaction were compared according to whether they had no exposure to PCMH
features, some exposure (1-2 years), or full exposure (all 3 or more years).
RESULTS: Fourteen programs and 690 unique residents provided data to this study.
Resident satisfaction with training was highest with full exposure for integrated
case management compared to no exposure, which occurred in 2010 (OR=2.85, 95%
CI=1.40, 5.80). Resident satisfaction was consistently statistically lower with
any or full exposure (versus none) to expanded clinic hours in 2007 and 2009 (eg,
OR for some exposure in 2009 was 0.31 95% CI=0.19, 0.51, and OR for full exposure
0.28 95% CI=0.16, 0.49). Resident satisfaction for many electronic health record
(EHR)-based features tended to be significantly lower with any exposure (some or
full) versus no exposure over the study period. For example, the odds ratio for
resident satisfaction was significantly lower with any exposure to electronic
health records in continuity practice in 2008, 2009, and 2010 (OR for some
exposure in 2008 was 0.36; 95% CI=0.19, 0.70, with comparable results in 2009,
2010). CONCLUSIONS: Resident satisfaction with training was inconsistently
correlated with exposure to features of PCMH. No correlation between PCMH
exposure and resident satisfaction was sustained over time.
PMID- 27875603
TI - EMR-Based Intervention Improves Lead Screening at an Urban Family Medicine
Practice.
AB - BACKGROUND AND OBJECTIVES: Elevated blood lead levels have well-described
detrimental effects to growth and development in children, yet screening rates
remain low. We sought to determine if a reminder within the electronic health
record (EHR) could change provider behavior and improve blood lead level (BLL)
screening test ordering rates in an urban academic family medicine practice.
METHODS: Baseline BLL test ordering rates were calculated for children ages 9-72
months. An update adding reminders to screen was made to the electronic note
template used during pediatric well and sick visits at the practice. Data from
the 10-week periods both before and after the change was made were compared
through a retrospective chart review. RESULTS: A total of 210 children were seen
during the pre-intervention period. Forty-eight percent (n=101) had already been
screened. Of the 109 eligible for screening, 23 had tests ordered, and 18 of
those had tests completed. Eighty-four children were eligible for screening in
the post-intervention period. Forty-one of those children had tests ordered, and
15 had tests completed. Provider ordering rates increased from 21% of eligible
patients to 49%. Test completion rates only increased from 17% to 18%.
CONCLUSIONS: An electronic note-based reminder system significantly improves
provider ordering rates of BLL tests. Researchers are currently investigating how
the use of point-of-care BLL sample collection can improve test completion rates
and therefore increase the frequency of successful screening.
PMID- 27875604
TI - Integration of Pharmacy Students Into Family Medicine Residency Clinics.
AB - BACKGROUND AND OBJECTIVES: As interprofessional education opportunities become
more prevalent within family medicine residency clinics, the benefit of the
integration of pharmacy students is unclear in the current literature. Our study
objective was to determine the impact of pharmacy student integration into a
family medicine residency clinic on family medicine residents' attitudes toward
interprofessional collaboration and satisfaction. METHODS: Twenty-two pharmacy
students on clinical rotation were individually paired with family medicine
residents for approximately 4-5 half days per week over a 10-month period.
Residents and students were given a pre/post-validated survey on attitudes toward
interprofessional collaboration. Satisfaction surveys were also administered to
the residents at the end of the study period. Written components of satisfaction
surveys were evaluated for commonly occurring themes. RESULTS: Matched survey
responses were available for over 80% of the residents. Both pre- and post-survey
responses showed positive attitudes toward physician-pharmacist collaboration. A
statistically significant positive change was seen for one item in the family
medicine resident surveys. Favorable written comments revealed positive themes
toward pharmacy students providing mediation reviews, therapeutic
recommendations, and patient education. CONCLUSIONS: Pharmacy students can be
integrated into family medicine residency clinics while maintaining positive
levels of interprofessional collaboration and providing a perceived benefit to
the family medicine residents.
PMID- 27875605
TI - Sustaining Family Physicians in Urban Underserved Settings.
AB - OBJECTIVE: Our objective was to identify factors that sustain family physicians
practicing in Milwaukee's underserved urban areas. METHODS: Family physicians
with clinical careers in Milwaukee's urban, underserved communities were
identified and invited to participate in a 45-60 minute interview using a
literature-based semi-structured protocol. Each interview was transcribed and de
identified prior to independent analysis using a grounded theory qualitative
approach by two authors to yield sustaining themes. The project was determined
not human subjects research per Aurora Health Care IRB. RESULTS: Sixteen family
physicians were identified; six of 11 who met inclusion criteria agreed to
interview. Four general domains central to sustaining family physicians working
with underserved populations were identified: (1) cognitive traits and qualities
(trouble shooting, resilience, flexibility), (2) core values (medicine as
mechanism to address social justice), (3) skills (self-care, communication,
clinical management), and (4) support systems (supportive family/employer, job
flexibility, leadership opportunities, staff function as team). The formation of
these personal attributes and skills was partly shaped by experiences (from
childhood to medical training to work experience) and by personal drivers that
varied by individual. Common was that the challenges of providing care in urban
underserved settings was seen as rewarding in and of itself and aligned with
these physicians' values and skills. CONCLUSIONS: Family physicians working with
underserved populations described possessing a combination of values, cognitive
qualities, skill sets, and support systems. While family physicians face complex
challenges in quality care goals in urban underserved settings, training in the
personal and professional skill sets identified by participants may improve
physician retention in such communities.
PMID- 27875606
TI - Precious Parking Lot Provisions of Care: Thoughts From One Mommy, MD.
PMID- 27875607
TI - Summation.
PMID- 27875609
TI - Before the Resurrection.
PMID- 27875608
TI - Keep on Driving.
PMID- 27875610
TI - The Beautiful Mess of Clinical Research.
PMID- 27875611
TI - Watch Your Back! How the Back Pain Industry Is Costing Us More and Giving Us
Less.
PMID- 27875612
TI - The Story of the Human Body: Evolution, Health and Disease.
PMID- 27875613
TI - Evidence-Based Education in the Health Professions.
PMID- 27875614
TI - Teaching Chronic Pain in Family Medicine.
PMID- 27875615
TI - Reply to "Teaching Chronic Pain in Family Medicine".
PMID- 27875616
TI - Diversity: Are We Doing Enough?
PMID- 27875617
TI - The Role of Wheat and Egg Constituents in the Formation of a Covalent and Non
covalent Protein Network in Fresh and Cooked Egg Noodles.
AB - Noodles of constant protein content and flour-to-egg protein ratio were made with
whole egg, egg white, or egg yolk. The optimal cooking time, water absorption,
and cooking loss of salted whole egg noodles was respectively lower and higher
than of egg white and egg yolk noodles. However, cooked whole egg noodles showed
the best Kieffer-rig extensibility. Differences in noodle properties were linked
to protein network formation. Disulfide bonds in whole egg noodles developed
faster and to a larger extent during cooking than in egg yolk noodles but slower
and to a lower extent than in egg white noodles. The balance between the rate of
protein cross-linking and starch swelling determines cooked noodle properties.
Ionic and hydrophobic protein interactions increase the optimum cooking time and
total work in Kieffer-rig extensibility testing of fresh noodles. Hydrogen bonds
and covalent cross-links are probably the main determinants of the extensibility
of cooked noodles.
PMID- 27875618
TI - Implant Treatment in the Predoctoral Clinic: A Retrospective Database Study of
1091 Patients.
AB - PURPOSE: This retrospective study was conducted at the Marquette University
School of Dentistry to (1) characterize the implant patient population in a
predoctoral clinic, (2) describe the implants inserted, and (3) provide
information on implant failures. MATERIALS AND METHODS: The study cohort included
1091 patients who received 1918 dental implants between 2004 and 2012, and had
their implants restored by a crown or a fixed dental prosthesis. Data were
collected from patient records, entered in a database, and summarized in tables
and figures. Contingency tables were prepared and analyzed by a chi-squared test.
The cumulative survival probability of implants was described using a Kaplan
Meier survival curve. Univariate and multivariate frailty Cox regression models
for clustered observations were computed to identify factors associated with
implant failure. RESULTS: Mean patient age (+/-1 SD) at implantation was 59.7 +/-
15.3 years; 53.9% of patients were females, 73.5% were Caucasians. Noble Biocare
was the most frequently used implant brand (65.0%). Most implants had a regular
size diameter (59.3%). More implants were inserted in posterior (79.0%) than in
anterior jaw regions. Mandibular posterior was the most frequently restored site
(43%); 87.8% of implants were restored using single implant crowns. The overall
implant-based cumulative survival rate was 96.4%. The patient-based implant
survival rate was 94.6%. Implant failure risk was greater among patients than
within patients (p < 0.05). Age (>65 years; hazard ratio [HR] = 3.2, p = 0.02),
implant staging (two-stage; HR = 4.0, p < 0.001), and implant diameter (wide; HR
= 0.4, p = 0.04) were statistically associated with implant failure. CONCLUSIONS:
Treatment with dental implants in a supervised predoctoral clinic environment
resulted in survival rates similar to published results obtained in private
practice or research clinics. Older age and implant staging increased failure
risk, while the selection of a wide implant diameter was associated with a lower
failure risk.
PMID- 27875620
TI - A reliable technique for ultrasound-guided perineural injection in ulnar
neuropathy at the elbow.
AB - INTRODUCTION: Ulnar neuropathy at the elbow (UNE) is a common peripheral
compression neuropathy and, in most cases, occurs at 2 sites, the
retroepicondylar groove or the cubital tunnel. With regard to a potential
therapeutic approach with perineural corticosteroid injection, the aim of this
study was to evaluate the distribution of injection fluid applied at a standard
site. METHODS: We performed ultrasound-guided (US-guided) perineural injections
to the ulnar nerve halfway between the olecranon and the medial epicondyle in 21
upper limbs from 11 non-embalmed cadavers. In anatomic dissection we investigated
the spread of injected ink. RESULTS: Ink was successfully injected into the
perineural sheath of the ulnar nerve in all 21 cases (cubital tunnel: 21 of 21;
retroepicondylar groove: 19 of 21). CONCLUSION: US-guided injection between the
olecranon and the medial epicondyle is a feasible and safe method to reach the
most common sites of ulnar nerve entrapment. Muscle Nerve 56: 237-241, 2017.
PMID- 27875619
TI - Automated leukocyte processing by microfluidic deterministic lateral
displacement.
AB - We previously developed a Deterministic Lateral Displacement (DLD) microfluidic
method in silicon to separate cells of various sizes from blood (Davis et al.,
Proc Natl Acad Sci 2006;103:14779-14784; Huang et al., Science 2004;304:987-990).
Here, we present the reduction-to-practice of this technology with a commercially
produced, high precision plastic microfluidic chip-based device designed for
automated preparation of human leukocytes (white blood cells; WBCs) for flow
cytometry, without centrifugation or manual handling of samples. After a human
blood sample was incubated with fluorochrome-conjugated monoclonal antibodies
(mAbs), the mixture was input to a DLD microfluidic chip (microchip) where it was
driven through a micropost array designed to deflect WBCs via DLD on the basis of
cell size from the Input flow stream into a buffer stream, thus separating WBCs
and any larger cells from smaller cells and particles and washing them
simultaneously. We developed a microfluidic cell processing protocol that
recovered 88% (average) of input WBCs and removed 99.985% (average) of Input
erythrocytes (red blood cells) and >99% of unbound mAb in 18 min (average). Flow
cytometric evaluation of the microchip Product, with no further processing, lysis
or centrifugation, revealed excellent forward and side light scattering and
fluorescence characteristics of immunolabeled WBCs. These results indicate that
cost-effective plastic DLD microchips can speed and automate leukocyte processing
for high quality flow cytometry analysis, and suggest their utility for multiple
other research and clinical applications involving enrichment or depletion of
common or rare cell types from blood or tissue samples. (c) 2016 International
Society for Advancement of Cytometry.
PMID- 27875621
TI - Influences of Superheated Steam Roasting and Water Activity Control as Oxidation
Mitigation Methods on Physicochemical Properties, Lipid Oxidation, and Free Fatty
Acids Compositions of Roasted Rice.
AB - The feasibility of using superheated steam roasting and wetting to control the
water activity in an optimal range to mitigate oxidation of roasted rice was
evaluated. Changes in the bed temperature as well as rice kernel moisture
content, water activity, and color were monitored during fluidized bed roasting
with superheated steam and hot air at 170, 190, and 210 degrees C. Air-roasted
rice was rewetted to raise its water activity to 0.30 to 0.35. All the samples
were analyzed for the total oil content, peroxide value (PV), thiobarbituric acid
(TBA) value, and free fatty acids (FFA) content and compositions. Higher roasting
temperatures, especially at 210 degrees C, led to a significant increase (P <=
0.05) in the total oil content of the roasted rice. Wetting led to a significant
(P <= 0.05) decrease in the TBA value of the air-roasted rice, although the total
FFA content significantly (P <= 0.05) increased. Rice roasted in superheated
steam at 210 degrees C exhibited significantly (P <= 0.05) lower PV and TBA
value; their total FFA content also tended to decrease, which is desirable for a
longer shelf life.
PMID- 27875622
TI - Neurographic course Of Wallerian degeneration after human peripheral nerve
injury.
AB - INTRODUCTION: Neurographic data on Wallerian degeneration (WD) after motor nerve
injury are available only from animal studies and human case reports of 9
patients altogether. A precise knowledge of neurographic features of WD would be
highly relevant for diagnostic, prognostic, therapeutic, and forensic aspects of
traumatic lesions. METHODS: We prospectively studied WD in patients with a
peripheral nerve injury. They underwent sequential neurographic examinations
beginning no later than 3 days after the injury until a plateau of the amplitude
of compound muscle action potential was reached. RESULTS: We examined 20 injured
nerves from 16 patients. Four days after injury, all nerves showed amplitude
decay to some extent, whereas 85% had reached their plateau at day 8. A length
dependency of WD could be demonstrated. CONCLUSION: In humans, WD starts no later
than day 4, shows length dependency, and is completed at day 8 in most nerves.
Muscle Nerve 56: 247-252, 2017.
PMID- 27875623
TI - Biophysical characterization of quaternary pyridinium functionalized
polynorbornenes for DNA complexation and their cellular interactions.
AB - Cationic polymers with hydrophobic side chains have gained great interest as DNA
carriers since they form a compact complex with negatively charged DNA phosphate
groups and interact with the cell membrane. Amphiphilic polyoxanorbornenes with
different quaternary alkyl pyridinium side chains with ethyl-p(OPy2) and hexyl
units-p(OPy6) bearing 10 kDa MWT were synthesized by living Ring-Opening
Metathesis Polymerization method. The physicochemical characteristics: critical
micellar concentration, size distribution, surface charge, and condensation of
polymer/DNA complex were investigated. Morphology of complexes was monitored by
Atomic force microscopy. Cytotoxicity and interaction of these complexes with
model lipid vesicles mimicking the cell membrane were examined. These polymers
were enabled to form small sized complexes of DNA, which interact with model
membrane vesicles. It was found that the nature of hydrophobicity of the
homopolymers significantly impacts rates of DNA complexation and the surface
charge of the resulting complexes. These results highlight the prospect of the
further examinations of these polymers as gene carriers.
PMID- 27875624
TI - A case of miller fisher syndrome associated with preceding herpes zoster
ophthalmicus.
PMID- 27875627
TI - Immunotherapy approaches in the treatment of malignant brain tumors.
AB - Glioblastoma is the most common malignant primary brain tumor. Despite standard
of-care treatment, consisting of maximal surgical resection followed by
chemoradiation, both morbidity and mortality associated with this disease remain
very poor. Therefore, there is an urgent need for more efficacious and well
tolerated therapies. Advancing knowledge of the intricate interplay between
malignant gliomas and the immune system, coupled with the recent launch of
immunotherapy research for other cancers, has led to a veritable increase in
immunotherapy investigation for glioblastoma and other malignant gliomas. This
clinical review highlights the recent breakthroughs in cancer immunotherapy and
the complex correlation of the immune system with primary brain tumors, with
special attention to multiple immunotherapy modalities currently being
investigated for malignant glioma, including peptide vaccines, dendritic cell
vaccines, oncolytic viruses, chimeric T-cell receptors, and checkpoint
inhibitors. Cancer 2017;123:734-50. (c) 2016 American Cancer Society.
PMID- 27875625
TI - Clinical and genetic determinants of ovarian metastases from colorectal cancer.
AB - BACKGROUND: Ovarian metastases from colorectal cancer (OM-CRC) often are
unresponsive to chemotherapy and are associated with poor survival. To the
authors' knowledge, the clinicopathologic and genomic predictors of OM-CRC are
poorly characterized and optimal clinical management remains unclear. METHODS:
Women with a histopathological diagnosis of OM-CRC who were treated at Memorial
Sloan Kettering Cancer Center from 1999 to 2015 were identified. Next-generation
somatic mutation profiling (Memorial Sloan Kettering-Integrated Mutation
Profiling of Actionable Cancer Targets [MSK-IMPACT]) was performed on 38 OM-CRC
cases, including 21 matched tumor pairs/trios. Regression models were used to
analyze variables associated with progression-free survival and overall survival
(OS). RESULTS: Kirsten Rat Sarcoma Viral Oncogene Homolog (KRAS), SMAD family
member 4 (SMAD4), and neurotrophic receptor tyrosine kinase 1 (NTRK1) mutations
were more frequent in cases of OM-CRC than in instances of CRC occurring without
OM. SMAD4 and lysine methyltransferase 2D (KMT2D) mutations were associated with
reduced OS. Matched multisite tumor sequencing did not identify OM-specific
genomic alterations. Of the 195 patients who underwent oophorectomy for OM-CRC
(median age, 49 years with a progression-free survival of 9.4 months and an OS of
23 months from oophorectomy), 76% had extraovarian metastasis (EOM). In
multivariable analysis, residual disease after surgery (R2 resection) was
associated with worse survival. Patients with EOM were less likely to achieve
R0/R1 surgical resection status (complete macroscopic resection without
clinical/radiological evidence of disease) (48% vs 94%). However, if R0/R1
resection status was achieved, both patients with (35.9 months vs 12 months) and
without (43.2 months vs 14.5 months) EOM were found to have better OS. Among 114
patients with R0/R1 resection status, 23 (20%) had no disease recurrence,
including 10 patients (9%) with > 3 years of follow-up. CONCLUSIONS: Loss-of
function alterations in SMAD4 are frequent and predictive of worse survival in
patients with OM-CRC. Similar to oligometastatic CRC to the lung or liver,
surgical resection of OM-CRC is associated with a better outcome only if all
macroscopic metastatic disease is resected. Cancer 2017;123:1134-1143. (c) 2016
American Cancer Society.
PMID- 27875626
TI - Developing a research agenda for reducing the stigma of addictions, part II:
Lessons from the mental health stigma literature.
AB - BACKGROUND AND OBJECTIVES: Although advocates and providers identify stigma as a
major factor in confounding the recovery of people with SUDs, research on
addiction stigma is lacking, especially when compared to the substantive
literature examining the stigma of mental illness. METHODS: A comprehensive
review of the stigma literature that yielded empirically supported concepts and
methods from the mental health arena was contrasted with the much smaller and
mostly descriptive findings from the addiction field. In Part I of this two part
paper (American Journal of Addictions, Vol 26, pages 59-66, this issue),
constructs and methods from the mental health stigma literature were used to
summarize research that seeks to understand the phenomena of addiction stigma.
RESULTS: In Paper II, we use this summary, as well as the extensive literature on
mental illness stigma change, to outline a research program to develop and
evaluate strategies meant to diminish impact on public and self-stigma (eg,
education and contact). CONCLUSIONS AND SCIENTIFIC SIGNIFICANCE: The paper ends
with recommendations for next steps in addiction stigma research. (Am J Addict
2017;26:67-74).
PMID- 27875629
TI - Reply.
PMID- 27875628
TI - Clinical genomic profiling identifies TYK2 mutation and overexpression in
patients with neurofibromatosis type 1-associated malignant peripheral nerve
sheath tumors.
AB - BACKGROUND: Malignant peripheral nerve sheath tumors (MPNSTs) are aggressive
sarcomas that arise at an estimated frequency of 8% to 13% in individuals with
neurofibromatosis type 1 (NF1). Compared with their sporadic counterparts, NF1
associated MPNSTs (NF1-MPNSTs) develop in young adults, frequently recur
(approximately 50% of cases), and carry a dismal prognosis. As such, most
individuals affected with NF1-MPNSTs die within 5 years of diagnosis, despite
surgical resection combined with radiotherapy and chemotherapy. METHODS: Clinical
genomic profiling was performed using 1000 ng of DNA from 7 cases of NF1-MPNST,
and bioinformatic analyses were conducted to identify genes with actionable
mutations. RESULTS: A total of 3 women and 4 men with NF1-MPNST were identified
(median age, 38 years). Nonsynonymous mutations were discovered in 4 genes
(neurofibromatosis type 1 [NF1], ROS proto-oncogene 1 [ROS1], tumor protein p53
[TP53], and tyrosine kinase 2 [TYK2]), which in addition were mutated in other
MPNST cases in this sample set. Consistent with their occurrence in individuals
with NF1, all tumors had at least 1 mutation in the NF1 gene. Whereas TP53 gene
mutations are frequently observed in other cancers, ROS1 mutations are common in
melanoma (15%-35%), another neural crest-derived malignancy. In contrast, TYK2
mutations are uncommon in other malignancies (<7%). In the current series,
recurrent TYK2 mutations were identified in 2 cases of NF1-MPNST (30% of cases),
whereas TYK2 protein overexpression was observed in 60% of MPNST cases using an
independently generated tissue microarray, regardless of NF1 status. CONCLUSIONS:
Clinical genomic analysis of the current series of NF1-MPNST cases found that
TYK2 is a new gene mutated in MPNST. Future work will focus on examining the
utility of TYK2 expression as a biomarker and therapeutic target for these
cancers. Cancer 2017;123:1194-1201. (c) 2016 American Cancer Society.
PMID- 27875630
TI - Eosinophilic fasciitis with subjacent myositis.
AB - INTRODUCTION: Eosinophilic fasciitis (EF) is a rare disorder that can present
with muscle symptoms that mimic other neuromuscular diseases. METHODS: We report
the case of a 43-year-old woman with chronic muscle aches, tightness, and
stiffness with hypertrophied, well-defined muscles despite physical inactivity,
and thickened skin with reduced elasticity and discoloration. RESULTS: Except for
mild peripheral eosinophilia, laboratory studies, including blood count,
electrolytes, paraneoplastic panel, muscle enzymes, thyroid function, and serum
protein electrophoresis, were normal. Nerve conduction studies and needle
electromyography were normal. Magnetic resonance imaging of the thighs
demonstrated superficial and deep fascial thickening with T2 hyperintensity and
post-gadolinium enhancement. Fascial and muscle biopsy demonstrated an
inflammatory exudate in the perimysium and endomysium with fragmented perimysial
connective tissue and thickened, inflamed fascia. EF was diagnosed. The patient
was treated with methotrexate and prednisone followed by improvement of muscle
stiffness and tightness. CONCLUSION: EF should be considered when patients
present with muscle pain or enlarged muscles. Muscle Nerve 56: 525-529, 2017.
PMID- 27875631
TI - Intravenous nutrients for preventing inadvertent perioperative hypothermia in
adults.
AB - BACKGROUND: Inadvertent perioperative hypothermia (a drop in core temperature to
below 36 degrees C) occurs because normal temperature regulation is disrupted
during surgery, mainly because of the effects of anaesthetic drugs and exposure
of the skin for prolonged periods. Many different ways of maintaining body
temperature have been proposed, one of which involves administration of
intravenous nutrients during the perioperative period that may reduce heat loss
by increasing metabolism, thereby increasing heat production. OBJECTIVES: To
assess the effectiveness of preoperative or intraoperative intravenous nutrients
in preventing perioperative hypothermia and its complications during surgery in
adults. SEARCH METHODS: We searched the Cochrane Central Register of Controlled
Trials (CENTRAL; November 2015) in the Cochrane Library; MEDLINE, Ovid SP (1956
to November 2015); Embase, Ovid SP (1982 to November 2015); the Institute for
Scientific Information (ISI) Web of Science (1950 to November 2015); and the
Cumulative Index to Nursing and Allied Health Literature (CINAHL, EBSCO host;
1980 to November 2015), as well as the reference lists of identified articles. We
also searched the Current Controlled Trials website and ClincalTrials.gov.
SELECTION CRITERIA: Randomized controlled trials (RCTs) of intravenous nutrients
compared with control or other interventions given to maintain normothermia in
adults undergoing surgery. DATA COLLECTION AND ANALYSIS: Two review authors
extracted data and assessed risk of bias for each included trial, and a third
review author checked details if necessary. We contacted some study authors to
request additional information. MAIN RESULTS: We included 14 trials (n = 565), 13
(n = 525) of which compared intravenous administration of amino acids to a
control (usually saline solution or Ringer's lactate). The remaining trial (n =
40) compared intravenous administration of fructose versus a control. We noted
much variation in these trials, which used different types of surgery, variable
durations of surgery, and different types of participants. Most trials were at
high or unclear risk of bias owing to inappropriate or unclear randomization
methods, and to unclear participant and assessor blinding. This may have
influenced results, but it is unclear how results might have been influenced.No
trials reported any of our prespecified primary outcomes, which were risk of
hypothermia and major cardiovascular events. Therefore, we decided to analyse
data related to core body temperature instead as a primary outcome. It was not
possible to conduct meta-analysis of data related to amino acid infusion for the
60-minute and 120-minute time points, as we observed significant statistical
heterogeneity in the results. Some trials showed that higher temperatures were
associated with amino acids, but not all trials reported statistically
significant results, and some trials reported the opposite result, where the
amino acid group had a lower core temperature than the control group. It was
possible to conduct meta-analysis for six studies (n = 249) that provided data
relating to the end of surgery. Amino acids led to a statistically significant
increase in core temperature in comparison to those receiving control (MD = 0.46
degrees C 95% CI 0.33 to 0.59; I2 0.0%; random-effects; moderate quality
evidence).Three trials (n = 155) reported shivering as an outcome. Meta-analysis
did not show a clear effect, and so it is uncertain whether amino acids reduce
the risk of shivering (RR 0.36, 95% CI 0.13 to 1.00; I2 = 93%; random-effects
model; very low-quality evidence). AUTHORS' CONCLUSIONS: Intravenous amino acids
may keep participants up to a half-degree C warmer than the control. This
difference was statistically significant at the end of surgery, but not at other
time points. However, the clinical importance of this finding remains unclear. It
is also unclear whether amino acids have any effect on the risk of shivering and
if intravenous nutrients confer any other benefits or harms, as high-quality data
about these outcomes are lacking.
PMID- 27875634
TI - The stringent response plays a key role in Bacillus subtilis survival of fatty
acid starvation.
AB - The stringent response is a universal adaptive mechanism to protect bacteria from
nutritional and environmental stresses. The role of the stringent response during
lipid starvation has been studied only in Gram-negative bacteria. Here, we report
that the stringent response also plays a crucial role in the adaptation of the
model Gram-positive Bacillus subtilis to fatty acid starvation. B. subtilis
lacking all three (p)ppGpp-synthetases (RelBs , RelP and RelQ) or bearing a RelBs
variant that no longer synthesizes (p)ppGpp suffer extreme loss of viability on
lipid starvation. Loss of viability is paralleled by perturbation of membrane
integrity and function, with collapse of membrane potential as the likely cause
of death. Although no increment of (p)ppGpp could be detected in lipid starved B.
subtilis, we observed a substantial increase in the GTP/ATP ratio of strains
incapable of synthesizing (p)ppGpp. Artificially lowering GTP with decoyinine
rescued viability of such strains, confirming observations that low intracellular
GTP is important for survival of nutritional stresses. Altogether, our results
show that activation of the stringent response by lipid starvation is a broadly
conserved response of bacteria and that a key role of (p)ppGpp is to couple
biosynthetic processes that become detrimental if uncoordinated.
PMID- 27875633
TI - Design, Synthesis, and Evaluation of Dasatinib-Amino Acid and Dasatinib-Fatty
Acid Conjugates as Protein Tyrosine Kinase Inhibitors.
AB - Derivatives of the tyrosine kinase inhibitor dasatinib were synthesized by
esterification with 25 carboxylic acids, including amino acids and fatty acids,
thereby extending the drug to interact with more diverse sites and to improve
specificity. The dasatinib-l-arginine derivative (Das-R, 7) was found to be the
most potent of the inhibitors tested, with IC50 values of 4.4, <0.25, and <0.45
nm against Csk, Src, and Abl kinases, respectively. The highest selectivity ratio
obtained in our study, 91.4 Csk/Src, belonged to compound 18 (Das-C10 ) with an
IC50 value of 3.2 MUm for Csk compared with 35 nm for Src. Furthermore, many
compounds displayed increased selectivity toward Src over Abl. Compounds 15 (Das
glutamic acid) and 13 (Das-cysteine) demonstrated the largest gains (10.2 and
10.3 Abl/Src IC50 ratios). Das-R (IC50 =2.06 MUm) was significantly more potent
than the parent dasatinib (IC50 =26.3 MUm) against Panc-1 cells, whereas both
compounds showed IC50 <51.2 pm against BV-173 and K562 cells. Molecular modeling
and binding free energy simulations revealed good agreements with the
experimental results and rationalized the differences in selectivity among the
studied compounds. Integration of experimental and computational approaches in
the design and biochemical screening of dasatinib derivatives facilitated
rational engineering and diversification of the dasatinib scaffold, providing
useful insight into mechanisms of kinase selectivity.
PMID- 27875632
TI - Variable phenotypic expression and onset in MYH14 distal hereditary motor
neuropathy phenotype in a large, multigenerational North American family.
AB - INTRODUCTION: Distal hereditary motor neuropathy (dHMN) causes distal-predominant
weakness without prominent sensory loss. Myosin heavy chain disorders most
commonly result in distal myopathy and cardiomyopathy with or without hearing
loss, but a complex phenotype with dHMN, myopathy, hoarseness, and hearing loss
was reported in a Korean family with a c.2822G>T mutation in MYH14. In this study
we report phenotypic features in a North American family with the c.2822G>T in
MYH14. METHODS: Clinical and molecular characterization was performed in a large,
6-generation, Caucasian family with MYH14 dHMN. RESULTS: A total of 11 affected
and 7 unaffected individuals were evaluated and showed varying age of onset and
severity of weakness. Genotypic concordance was confirmed with molecular
analysis. Electrophysiological studies demonstrated distal motor axonal
degeneration without myopathy in all affected subjects tested. CONCLUSION:
Mutation of MYH14 can result in a range of neuromuscular phenotypes that includes
a dHMN and hearing loss phenotype with variable age of onset. Muscle Nerve 56:
341-345, 2017.
PMID- 27875635
TI - Muscle adaptations following 21 consecutive days of strength test familiarization
compared with traditional training.
AB - INTRODUCTION: Large increases in 1-repetition maximum (1RM) strength have been
demonstrated from repeated testing, but it is unknown whether these increases can
be augmented by resistance training. METHODS: Five trained individuals performed
a 1RM test and maximal voluntary isometric contraction (MVC) for unilateral elbow
flexion exercise on 1 arm (testing arm), while the other arm performed a 1RM test
and MVC, in addition to 3 sets of exercise (70% 1RM) (training arm), for 21
straight days. RESULTS: Although only the training arm had increased muscle
thickness [mean 0.28 cm, 95% confidence interval (CI) 0.22-0.33 cm], 1RM strength
increased similarly in the training (2.2 kg, 95% CI 0.9-3.4 kg; P = 0.008) and
testing (1.9 kg, 95% CI 0.5-3.2 kg; P = 0.019) arms. CONCLUSION: Increases in 1RM
strength from resistance training are related to the specificity of exercise and
are likely driven by mechanisms other than muscle growth. Muscle Nerve 56: 307
314, 2017.
PMID- 27875636
TI - Evaluation of coronary artery disease in potential liver transplant recipients.
AB - Improvements in the management of patients undergoing liver transplantation (LT)
have resulted in a significant increase in survival in recent years. Cardiac
disease is now the leading cause of early mortality, and the stress of major
surgery, hemodynamic shifts, and the possibilities of hemorrhage or reperfusion
syndrome require the recipient to have good baseline cardiac function. The
prevalence of coronary artery disease (CAD) is increasing in LT candidates,
especially in those with nonalcoholic fatty liver disease. In assessing LT
recipients, we suggest a management paradigm of "quadruple assessment" to include
(1) history, examination, and electrocardiogram; (2) transthoracic
echocardiogram; (3) functional testing; and (4) where appropriate, direct
assessment of CAD. The added value of functional testing, such as cardiopulmonary
exercise testing, has been shown to be able to predict posttransplant
complications independently of the presence of CV disease. This approach gives
the assessment team the greatest chance of detecting and preventing complications
related to CAD. Liver Transplantation 23 386-395 2017 AASLD.
PMID- 27875637
TI - AMBRA1, a novel alpha-synuclein-binding protein, is implicated in the
pathogenesis of multiple system atrophy.
AB - The accumulation of abnormal alpha-synuclein is the major histopathological
feature of Lewy body disease and multiple system atrophy (MSA), which are
referred to as synucleinopathies. Cytoplasmic degradation systems, such as the
autophagy-lysosome and proteasome pathways, are involved in their pathogenesis.
Autophagy is tightly regulated by several upstream proteins including UNC-51-like
kinase 1/2, beclin1, vacuolar protein sorting-associated protein 34 and
autophagy/beclin1 regulator 1 (AMBRA1). Recently, we revealed that both cortical
and brainstem-type Lewy bodies were immunopositive for several upstream proteins
of autophagy. Therefore, we conducted the present study to elucidate the role of
upstream proteins of autophagy in the pathogenesis of MSA. Pathological and
biochemical analyses using human brain samples revealed that AMBRA1 is a
component of the pathological hallmarks of MSA and upstream proteins of autophagy
are impaired in the MSA brain. In vitro and in vivo analyses revealed a ninefold
stronger affinity of AMBRA1 with alpha-synuclein phosphorylated at serine 129
compared with non-phosphorylated alpha-synuclein. Furthermore, a weak but
significant correlation between AMBRA1 overexpression and reduction of abnormal
alpha-synuclein was observed. Silencing AMBRA1 function caused aggregates of
alpha-synuclein in the cytoplasm of mouse primary cultured neurons, which was
simulated by the treatment of Bafilomycin, an autophagy inhibitor. Our results
demonstrated for the first time that AMBRA1 is a novel hub binding protein of
alpha-synuclein and plays a central role in the pathogenesis of MSA through the
degradative dynamics of alpha-synuclein. These results raise the possibility that
molecular modulation targeting AMBRA1 can be a promising candidate for the
treatment of synucleinopathies.
PMID- 27875638
TI - Sensory Quality Preservation of Coated Walnuts.
AB - The objective of this study was to evaluate the sensory stability of coated
walnuts during storage. Four walnut samples were prepared: uncoated (NC), and
samples coated with carboxymethyl cellulose (NCMC), methyl cellulose (NMC), or
whey protein (NPS). The samples were stored at room temperature for 210 d and
were periodically removed from storage to perform a sensory descriptive analysis.
A consumer acceptance test was carried out on the fresh product (storage day 0)
to evaluate flavor. All samples exhibited significant differences in their
sensory attributes initially and after storage. Intensity ratings for oxidized
and cardboard flavors increased during storage. NC showed the highest oxidized
and cardboard intensity ratings (39 and 22, respectively) and NMC exhibited the
lowest intensity ratings for these negative attributes (8 and 17, respectively)
after 210 d of storage. Alternatively, the intensity ratings for sweetness and
walnut flavors were decreased for all samples. NMC had the lowest decrease at the
end of storage for these positive attributes (75.86 in walnut flavor and 12.09 in
sweetness). The results of this study suggest a protective effect of the use of
an edible coating to preserve sensory attributes during storage, especially for
samples coated with MC. The results of the acceptance test showed that addition
of the coating negatively affected the flavor acceptance for NMC and NCMC coated
walnuts. Edible coatings help to preserve sensory attributes in walnuts,
improving their shelf-life, however, these coatings may affect consumer
acceptance in some cases.
PMID- 27875639
TI - Longterm follow-up of small pancreatic cystic lesions in liver transplant
recipients.
AB - Incidental small pancreatic cystic lesions (PCLs) are often found on preoperative
imaging in patients undergoing orthotopic liver transplantation (OLT). Although
these are considered benign or of low malignant potential, the influence of
immunosuppression after OLT may be of concern. The aim of this study was to
observe the longterm outcome of these small PCLs in post-OLT patients. An
institutional OLT database of 1778 consecutive OLT patients from January 2000 to
December 2010 was analyzed. Computed tomography, magnetic resonance imaging, or
endoscopic ultrasound at the time of OLT and all subsequent imaging, cytology,
fluid analysis of PCLs, and patient status were evaluated. A total of 70 patients
with 182 PCLs, of benign or low malignant potential, were identified with a mean
follow-up time of 64 months. At initial diagnosis of PCLs in 48 patients, 7
branch duct-type intraductal papillary mucinous neoplasms (B-IPMNs), 1 serous
cystadenoma (SCA), and 40 nonspecific benign cysts were identified. Final
diagnosis at the end of the follow-up revealed 16 B-IPMNs, 3 SCAs, and a mixed
acinar-neuroendocrine carcinoma, in which the latter developed 9 years after
initial diagnosis of B-IPMN. During the follow-up time, average increase in size
and number of PCLs were 4.5 mm and 1.4, respectively (P < 0.001 for both). The
majority of incidental PCLs in OLT patients showed an indolent behavior despite
immunosuppression. Risk of malignancy development was very low and comparable
with normal population. Liver Transplantation 23 324-329 2017 AASLD.
PMID- 27875641
TI - Saving Science by Doing Less of It?
AB - In the current issue of The New Atlantis, Daniel Sarewitz, professor of science
and society at Arizona State University, argues that science is broken because it
is managed and judged by scientists themselves, operating under Vannevar Bush's
famous 1945 declaration that scientific progress depends on the "free play of
free intellects ... dictated by their curiosity." With that scientific agenda,
society ends up with a lot of unnecessary, uncoordinated, and unproductive
research. To save science, holds Sarewitz, we need to put it in the hands of
people who are looking for practical solutions to specific problems. In one
article in this issue of the Hastings Center Report (November-December 2016),
Kirstin Borgerson poses a question in this same conceptual space: are there too
many clinical trials? Other pieces in the issue cover a mix of topics: the lead
article addresses some of the challenges that will have to be faced as
"artificial organs" become available, a third article looks at how crowdfunding
sites like GoFundMe can be used to make public appeals for medical funding, and a
special report found in a supplement to the issue offers a round of analysis and
recommendations about the provision of medical care to professional football
players.
PMID- 27875640
TI - An untold story: The important contributions of Muslim scholars for the
understanding of human anatomy.
AB - It is usually assumed that Galen is one of the fathers of anatomy and that
between the Corpus Galenicum and the Renaissance there was no major advance in
anatomical knowledge. However, it is also consensually accepted that Muslim
scholars had the intellectual leadership from the 8th/9th to 13th centuries, and
that they made remarkable progresses in numerous scientific fields including
medicine. So, how is it possible that they did not contribute to advance human
anatomy during that period? According to the dominant view, Muslim scholars
exclusively had a passive role: their transmission of knowledge from the Greeks
to the West. Here, we summarize, for the first time in a single paper, the
studies of major Muslim scholars that published on human anatomy before Vesalius.
This summary is based on analyses of original Arabic texts and of more recent
publications by anatomists and historians, and on comparisons between the
descriptions provided by Galen and by these Muslim scholars. We show that Arabic
speakers and Persians made important advances in human anatomy well before
Vesalius. The most notable exception concerns the muscular system: strikingly,
there were apparently neither advances made by Muslims nor by Westerners for more
than 1000 years. Unbiased discussions of these and other related issues, and
particularly of the mainly untold story about the major contributions of Muslim
scholars to anatomy, are crucial to our knowledge of the history of anatomy,
biology and sciences, and also of our way of thinking, biases, and prejudices.
Anat Rec, 300:986-1008, 2017. (c) 2017 Wiley Periodicals, Inc.
PMID- 27875642
TI - International Perspectives on Physician Assistance in Dying.
AB - When the Supreme Court of Canada recognized a constitutional right to "medical
assistance in dying" last year-and the nation's Parliament enacted legislation to
implement the right earlier this year-Canadian lawmakers could look to two
different models for guidance. The Netherlands and Belgium recognize a broad
right to assistance in dying, while Oregon and elsewhere in the United States
have a narrow right. In some ways, assistance in dying in Canada follows the
Dutch-Belgian approach, while, in other ways, it seems more American. Two
societal factors seem relevant to the different approaches: the role that
religion plays in people's lives and the trust that people place in their
governments and health care systems. As other governments consider legalizing
assistance in dying, an important question is whether some restrictions on the
right are particularly critical. The experience to date suggests that requiring
patients to be terminally ill has provided the best protection against misuse.
PMID- 27875643
TI - Crowdfunding FOR MEDICAL CARE: Ethical Issues in an Emerging Health Care Funding
Practice.
AB - Crowdfunding websites allow users to post a public appeal for funding for a range
of activities, including adoption, travel, research, participation in sports, and
many others. One common form of crowdfunding is for expenses related to medical
care. Medical crowdfunding appeals serve as a means of addressing gaps in medical
and employment insurance, both in countries without universal health insurance,
like the United States, and countries with universal coverage limited to
essential medical needs, like Canada. For example, as of 2012, the website
Gofundme had been used to raise a total of 8.8 million dollars (U.S.) for seventy
six hundred campaigns, the majority of which were health related. This money can
make an important difference in the lives of crowdfunding users, as the costs of
unexpected or uninsured medical needs can be staggering. In this article, I offer
an overview of the benefits of medical crowdfunding websites and the ethical
concerns they raise. I argue that medical crowdfunding is a symptom and cause of,
rather than a solution to, health system injustices and that policy-makers should
work to address the injustices motivating the use of crowdfunding sites for
essential medical services. Despite the sites' ethical problems, individual users
and donors need not refrain from using them, but they bear a political
responsibility to address the inequities encouraged by these sites. I conclude by
suggesting some responses to these concerns and future directions for research.
PMID- 27875644
TI - Skepticism in the Genomic Era.
AB - I joined The Hastings Center this past summer, after graduating from Duke
University, where I researched advancements in neuroscience and genomics and
their import for law, ethics, and policy. This research required, to an extent,
faith in the idea that researchers can identify pathways by which genes combine
with epigenetic and environmental factors to affect neuronal activity and
influence behaviors. Throughout my first months here, I have puzzled over broad
critiques of "genomic hype" in recent literature, which clash with the optimistic
rhetoric found in the Human Genome Project and the Precision Medicine Initiative.
PMID- 27875646
TI - Bartleby in the NICU.
AB - The doctors were frustrated. They could see only two options. Neither was very
desirable. They could stop the ventilator and let the baby die. Or they could do
a tracheostomy and start preparations to discharge him on a ventilator. The
parents wanted a third option. They kept hoping that their baby would get better.
The doctors were pretty sure that that wasn't going to happen.
PMID- 27875647
TI - What Pacemakers Can Teach Us about the Ethics of Maintaining Artificial Organs.
AB - One day soon it may be possible to replace a failing heart, liver, or kidney with
a long-lasting mechanical replacement or perhaps even with a 3-D printed version
based on the patient's own tissue. Such artificial organs could make transplant
waiting lists and immunosuppression a thing of the past. Supposing that this
happens, what will the ongoing care of people with these implants involve? In
particular, how will the need to maintain the functioning of artificial organs
over an extended period affect patients and their doctors and the
responsibilities of those who manufacture such devices? Drawing on lessons from
the history of the cardiac pacemaker, this article offers an initial survey of
the ethical issues posed by the need to maintain and service artificial organs.
We briefly outline the nature and history of cardiac pacemakers, with a
particular focus on the need for technical support, maintenance, and replacement
of these devices. Drawing on the existing medical literature and on our
conversations and correspondence with cardiologists, regulators, and
manufacturers, we describe five sources of ethical issues associated with
pacemaker maintenance: the location of the devices inside the human body, such
that maintenance generates surgical risks; the complexity of the devices, which
increases the risk of harms to patients as well as introducing potential
injustices in access to treatment; the role of software-particularly software
that can be remotely accessed-in the functioning of the devices, which generates
privacy and security issues; the impact of continual development and improvement
of the device; and the influence of commercial interests in the context of a
medical device market in which there are several competing products. Finally, we
offer some initial suggestions as to how these questions should be answered.
PMID- 27875648
TI - MANUSCRIPT REVIEWERS 2016.
PMID- 27875649
TI - Competence in Plain English.
AB - Like many other bioethicists, I often give talks on clinical topics that may
touch on the patient's right of autonomy with regard to medical treatment and,
from there, may move to questions about whether said patient has the capacity to
exercise said right. When I get to that subject, I might ask, "Is this person
competent to refuse treatment?" A stunned silence falls over the room, until
finally a hand shoots up. "'Competent' is a legal term," I am instructed. "Don't
you mean to ask whether he has the capacity to make decisions for himself?" The
tone suggests that I'm being helped to make a very important distinction. But
it's not a very important distinction; and it's misleading to boot.
PMID- 27875650
TI - Military Genitourinary Trauma: Policies, Implications, and Ethics.
AB - The men and women who serve in the armed forces, in the words of Major General
Joseph Caravalho, "sign a blank check, co-signed by their families, payable to
the Army, Navy, Air Force, or Marines, up to and including their lives." It is
human nature to consider such a pact in polarized terms; the pact concludes in
either a celebratory homecoming or funereal mourning. But in reality, surviving
catastrophic injury may incur the greatest debt. The small but real possibility
of losing the ability to bear biological children due to genitourinary combat
injury has been a topic of discussion in hushed tones, behind closed doors. But
as policy changes move the conversation into the open, we must be fully aware of
the far-reaching and long-term impacts of decisions on those who have sustained
genitourinary injury. In January 2016, Secretary of Defense Ashton Carter
outlined a set of reforms that would improve the quality of life for military
families by recognizing the importance of maintaining fertility, even in the face
of severe injury. This first promising step could build a solid foundation of
insuring fertility preservation for wounded service members with genitourinary
injury, and it could set a precedent beyond the military for insuring treatment
for people who have lost their reproductive capacity. Thus, the ethical
challenges raised by the new policy require careful analysis.
PMID- 27875651
TI - Religious Hospitals and Patient Choice.
AB - Recent media reports have drawn widespread attention to the experiences of
patients who are denied reproductive services at Catholic hospitals. For some
patients, such as those experiencing miscarriage, denial of appropriate treatment
can lead to serious health consequences. However, many patients are unaware of
the limitations on services available at religiously affiliated health care
institutions. As a result, patients' ability to make informed and autonomous
decisions about where to seek treatment is hindered. There are currently no
federal or state laws requiring health care institutions to inform patients
directly of the full scope of medical services they are unable to provide on the
basis of religion or conscience. Given religious hospitals' significant role in
the provision of health care in the United States, it is essential that patients
be clearly informed about institutional limitations on care. This goal would be
best served by adopting a federal disclosure law similar to the Patient Self
Determination Act.
PMID- 27875652
TI - Sample preparation for flow cytometry benefits from some lateral thinking.
PMID- 27875653
TI - Low Rate of Progression to Ankylosing Spondylitis Among Patients With Presumed
Nonradiographic Axial Spondyloarthritis: Comment on the Article by Wang et al.
PMID- 27875654
TI - Biological Risks to Public Health: Lessons from an International Conference to
Inform the Development of National Risk Communication Strategies.
AB - Biological risk management in public health focuses on the impact of outbreaks on
health, the economy, and other systems and on ensuring biosafety and biosecurity.
To address this broad range of risks, the International Health Regulations (IHR,
2005) request that all member states build defined core capacities, risk
communication being one of them. While there is existing guidance on the
communication process and on what health authorities need to consider to design
risk communication strategies that meet the requirements on a governance level,
little has been done on implementation because of a number of factors, including
lack of resources (human, financial, and others) and systems to support effective
and consistent capacity for risk communication. The international conference on
"Risk communication strategies before, during and after public health
emergencies" provided a platform to present current strategies, facilitate
learning from recent outbreaks of infectious diseases, and discuss
recommendations to inform risk communication strategy development. The discussion
concluded with 4 key areas for improvement in risk communication: consider
communication as a multidimensional process in risk communication, broaden the
biomedical paradigm by integrating social science intelligence into epidemiologic
risk assessments, strengthen multisectoral collaboration including with local
organizations, and spearhead changes in organizations for better risk
communication governance. National strategies should design risk communication to
be proactive, participatory, and multisectoral, facilitating the connection
between sectors and strengthening collaboration.
PMID- 27875655
TI - Postoperative Pain Evaluation After Robotic Transaxillary Thyroidectomy Versus
Conventional Thyroidectomy: A Prospective Study.
AB - BACKGROUND: Robot-assisted transaxillary thyroidectomy (RATT) is an emerging
technique with excellent cosmetic results but is supposedly more invasive and
painful than conventional thyroidectomy (CT). This prospective study compared
pain after RATT and CT. METHODS: Inclusion criteria were a nodule <5 cm and
volume <30 mL. Patients received the same analgesia. Pain was evaluated by visual
analog scale (VAS) in the recovery room (VASrr), on the first postoperative day
at 8:00 a.m. (VAS 8 a.m.) and 8:00 p.m. (VAS 8 p.m.), at 8:00 a.m. on the second
postoperative day (VAS 8*a.m.), and after 7 days (VAS 7). Operative time and
complications were evaluated. RESULTS: From May 2015 to September 2015, 124
patients (all women) underwent thyroidectomy: 62 underwent RATT and 62 underwent
CT. Mean age was 39.7 +/- 10.2 years in the RATT group and 41.4 +/- 12.5 years in
the CT group. Groups were comparable for thyroid volume and nodule diameter.
Operative time was longer in the RATT group than in the CT group (119.4 +/- 25.5
versus 70.3 +/- 11.0 minutes). Complications were three transient hypocalcemia in
RATT and four in the CT group. No definitive complications occurred. VASrr was
lower in the RATT group (1.79 +/- 2.06 versus 2.5 +/- 1.18; P < .0001). There was
no difference between groups for VAS 8 a.m., VAS 8 p.m., and VAS 8*a.m., but VAS
7 was higher in the RATT group (0.85 +/- 1.77 versus 0.17 +/- 0.52; P < .010).
DISCUSSION: RATT is as safe and effective as CT. Patients undergoing RATT,
surprisingly, experienced less pain in the immediate postoperative period.
However, the VAS 7 score was higher in the RATT group, probably because the
intact neck is favorable in the early phase of recovery, but the large dissection
takes longer for healing.
PMID- 27875657
TI - Characterization of Macrophage-Activating Polysaccharide Isolated from Fermented
Brown Rice.
AB - Brown rice has nutritional benefits due to its abundant nutrients and high
dietary fiber content. However, it is often consumed in a fermented form for
improved chewy texture and digestibility. In this study, a polysaccharide, FBR
4a, was isolated from fermented brown rice and its chemical composition was
analyzed. In addition, its immune-stimulating activity was determined using
RAW264.7 cells. FBR-4a is a carbohydrate and consists of nine types of
monosaccharides, including galactose, arabinose, rhamnose, mannose, fucose,
xylose, glucose, galacturonic acid, and glucuronic acid. FBR-4a increased the
gene expression of iNOS and IL-6 and enhanced the production of immune
stimulatory molecules (nitric oxide [NO], IL-6, and TNF-alpha) in RAW264.7 cells.
Western blot analysis showed that FBR-4a phosphorylated the mitogen-activated
protein kinases, NF-kappaB and c-Jun (major component of AP-1 transcription
factor) in RAW264.7 cells, in a concentration-dependent manner. FBR-4a-induced IL
6 and NO production was completely suppressed by IkappaBalpha and JNK inhibition,
but not by ERK and p38 inhibition. Furthermore, the phosphorylation of c-Jun was
also suppressed by IkappaBalpha and JNK inhibition. These findings suggest that
FBR-4a isolated from fermented brown rice has immune potentiation activity on
macrophages through IkappaBalpha and JNK signaling pathways, which play a central
role in IL-6 and NO production.
PMID- 27875656
TI - Involvement of c-Jun N-Terminal Kinase in TNF-alpha-Driven Remodeling.
AB - Lung tissue remodeling in chronic obstructive pulmonary disease (COPD) is
characterized by airway wall thickening and/or emphysema. Although the bronchial
and alveolar compartments are functionally independent entities, we recently
showed comparable alterations in matrix composition comprised of decreased
elastin content and increased collagen and hyaluronan contents of alveolar and
small airway walls. Out of several animal models tested, surfactant protein C
(SPC)-TNF-alpha mice showed remodeling in alveolar and airway walls similar to
what we observed in patients with COPD. Epithelial cells are able to undergo a
phenotypic shift, gaining mesenchymal properties, a process in which c-Jun N
terminal kinase (JNK) signaling is involved. Therefore, we hypothesized that TNF
alpha induces JNK-dependent epithelial plasticity, which contributes to lung
matrix remodeling. To this end, the ability of TNF-alpha to induce a phenotypic
shift was assessed in A549, BEAS2B, and primary bronchial epithelial cells, and
phenotypic markers were studied in SPC-TNF-alpha mice. Phenotypic markers of
mesenchymal cells were elevated both in vitro and in vivo, as shown by the
expression of vimentin, plasminogen activator inhibitor-1, collagen, and matrix
metalloproteinases. Concurrently, the expression of the epithelial markers, E
cadherin and keratin 7 and 18, was attenuated. A pharmacological inhibitor of JNK
attenuated this phenotypic shift in vitro, demonstrating involvement of JNK
signaling in this process. Interestingly, activation of JNK signaling was also
clearly present in lungs of SPC-TNF-alpha mice and patients with COPD. Together,
these data show a role for TNF-alpha in the induction of a phenotypic shift in
vitro, resulting in increased collagen production and the expression of elastin
degrading matrix metalloproteinases, and provide evidence for involvement of the
TNF-alpha-JNK axis in extracellular matrix remodeling.
PMID- 27875658
TI - Development and psychometric properties of the sound preference and hearing
habits questionnaire (SP-HHQ).
AB - OBJECTIVE: The aim of the study was, based on the individualisation of hearing
aids (HA) and pre-sets for audio devices, to develop a questionnaire to determine
the basis for profiling sound preferences and hearing habits to gather additional
information usable for HA fitting and adjustment tools for audio-devices.
METHODS: We developed a questionnaire consisting of 46 items. A postal survey was
conducted with N = 622 users with a mean age of 66 years (47.9% aided with HA,
45.7% female). RESULTS: Seven factors were identified by means of Explanatory and
Confirmatory Factor Analyses: F1: 'Annoyance/distraction by background noise',
F2: 'Importance of sound quality', F3: 'Noise Sensitivity', F4: 'Avoidance of
unpredictable sounds', F5: 'Openness towards loud/new sounds', F6: 'Preferences
for warm sounds', and F7: 'Details of environmental sounds/music'. Only the first
of these factors was related to the audiogram of the user. No difference with any
of the factors could be observed with HA use/non-use. In contrast, gender effects
were found with female respondents preferring warm sounds and being more
sensitive to noise. CONCLUSIONS: The sound preference and hearing habits
questionnaire (SP-HHQ) is a usable tool for profiling the users with respect to
sound preferences relevant for HA fitting and pre-sets for audio devices.
PMID- 27875659
TI - Upregulated Expression of microRNA-16 Correlates with Th17/Treg Cell Imbalance in
Patients with Rheumatoid Arthritis.
AB - To explore the correlation between miR-16 expression in T cells of peripheral
blood mononuclear cells (PBMCs) and Th17/Treg imbalance in rheumatoid arthritis
(RA) patients. Forty RA patients were recruited as the case group and further
grouped as active RA and inactive RA groups; 21 healthy individuals were selected
as the control group. Th17 and Treg were measured by flow cytometry, and their
related cytokines were measured by FlowCytomix. RORgammat, FoxP3 mRNA, and miR-16
expression in T cells was determined by real-time quantitative polymerase chain
reaction. Western blotting was performed to measure RORgammat and FoxP3 protein
expression. RA patients showed upregulated Th17 and RORgammat mRNA and protein
expression compared with the controls (all p < 0.05); active RA patients showed
lower Treg and FoxP3 mRNA and protein expression compared with inactive RA
patients and controls (all p < 0.05). Secretion levels of Th17-related cytokines
were higher in active RA patients than in inactive RA patients and controls (all
p < 0.05); whereas those of Treg-related cytokines were lower in active RA
patients than in controls (all p < 0.05). Active RA patients showed increased miR
16 expression in Th17 cells and decreased miR-16 expression in Treg cells of
PBMCs (both p < 0.05). Pearson's test showed that in the PBMCs of the RA
patients, miR-16 expression in the Th17 cells was positively related with
RORgammat mRNA expression, and miR-16 expression in the Treg cells was positively
related with FoxP3 mRNA expression (both p < 0.05). The expression of miR-16 in
Th17 and Treg cells of PBMCs in RA patients was closely associated with the
expression of RORgammat and FoxP3. MiR-16 may be involved in Th17/Treg imbalance
of RA patients by affecting the expression of RORgammat and FoxP3.
PMID- 27875660
TI - Successful Use of Targeted Temperature Management After Repair of Myocardial
Rupture from Blunt Chest Trauma: A Case Report.
AB - Targeted temperature management (TTM) improves survival and neurological outcome
after nontraumatic cardiac arrest. However, TTM is not used widely after
traumatic cardiac arrest because of concerns that it might exacerbate bleeding.
We report the use of postarrest TTM after repair of blunt myocardial rupture. A
48-year-old man was admitted after being rescued from a major traffic accident by
the local emergency service. Focused sonography showed pericardial fluid without
cardiac tamponade. Computed tomography showed a large hematoma in the anterior
mediastinum associated with hemopericardium. The patient developed cardiac arrest
during the operative preparations. Repeat bedside sonography revealed a large
pericardial effusion and signs of cardiac tamponade. Spontaneous circulation was
restored after ultrasound-guided pericardiocentesis. His Glasgow Coma Scale score
was 3. The patient was transported promptly to the operating room and underwent
median sternotomy without cardiopulmonary bypass. A rupture of the junction of
the superior vena cava/right atrium and left atrial appendage was detected and
was closed by direct suturing. Immediately after return to the intensive care
unit, we performed TTM (target body temperature 34.5 degrees C) using a surface
cooling device at 4 hours postarrest. TTM was maintained for 24 hours and
controlled gradual rewarming was then initiated. He regained consciousness 36
hours postrewarming with limited speech ability. The patient recovered with no
further cardiac events and was discharged 3 weeks after admission, with no other
serious complications. The patient was neurologically intact (cerebral
performance category 1) at 6 months of follow-up. This case demonstrates the
potential benefit and applicability of postarrest TTM in patients after repair of
blunt myocardial rupture.
PMID- 27875661
TI - Deployment of the Philadelphia Medical Reserve Corps for the 2015 Papal Visit and
the 2016 Democratic National Convention.
PMID- 27875662
TI - Influence of Age and Dose of African Swine Fever Virus Infections on Clinical
Outcome and Blood Parameters in Pigs.
AB - African swine fever (ASF) is a fatal disease for domestic pigs, leading to
serious economic losses in countries where ASF is endemic. Despite extensive
research, efficient vaccines against ASF are lacking. Since peripheral blood
cells are important mediators for vaccines, we study the impact of ASF on blood
parameters in pigs with different ages and infected with different doses of ASF
virus. Four different groups were studied: (1) 12 weeks of age/low virus dose;
(2) 12 weeks of age/high virus dose; (3) 18 weeks of age/low virus dose; and (4)
18 weeks of age/high virus dose. By varying in age and/or ASFV inoculation dose,
we monitor blood parameters during different degrees of disease. Thirty percent
of the pigs survived the infection with a moderately virulent strain of African
swine fever virus (ASFV). Animals that did survive infection were generally
older, independent from the inoculation dose used. A firm reduction in many
different cell types at 3-5 days postinfection (DPI) was accompanied by an
increase in body temperature, followed by clinical signs and mortality from day 6
PI. While blood parameters generally normalized in survivors, gammadelta T cells
and IL-10 levels could be related to mortality. These conclusions should be
considered in new approaches for protection against ASF.
PMID- 27875663
TI - Cross-Reactive Potential of HIV-1 Subtype C-Infected Indian Individuals Against
Multiple HIV-1 Potential T Cell Epitope Gag Variants.
AB - Vaccine immunogen with expanded T cell coverage for protection against HIV-1
diversity is the need of the hour. This study was undertaken to examine the
ability of T cells to respond to a broad spectrum of potential T cell epitope
(PTE) peptides containing variable as well as conserved sequences that would most
accurately reflect immune responses to different circulating strains. Set of 320
PTE peptides were pooled in a matrix format that included 40 pools of 32 peptides
per pool. These pools were used in interferon-gamma enzyme-linked immunospot
assay for screening and confirmation of HIV-1 PTE Gag-specific T cell immune
responses in 34 HIV-1 seropositive Indian individuals. "Deconvolute This"
software was used for result analysis. The dominant target in terms of magnitude
and breadth of responses was observed to be the p24 subunit of Gag protein. Of
the 34 study subjects, 26 (77%) showed a response to p24 PTE Gag peptides, 17
(50%) to p17, and 17 (50%) responded to p15 PTE peptides. The total breadth and
magnitude of immune response ranged from 0.75 to 14.50 and 95.02 to 1,103 spot
forming cells/106 cells, respectively. Seventy-six peptides located in p24 Gag
were targeted by 77% of the study subjects followed by 51 peptides in p17 Gag and
46 peptides in p15 Gag with multiple variants being recognized. Maximum study
participants recognized PTE peptide sequence Gag271->285NKIVRMYSPVSILDI located
in p24 Gag subunit. T cells from HIV-1-infected individuals can recognize
multiple PTE peptide variants, although the magnitude of the responses can vary
greatly across these variants.
PMID- 27875665
TI - Eyes on New Product Development.
PMID- 27875666
TI - Corticosteroid-Induced Psychiatric Symptoms #323.
PMID- 27875664
TI - Systemic IL-6 Effector Response in Mediating Systemic Bone Loss Following
Inhalation of Organic Dust.
AB - Airway and skeletal diseases are prominent among agriculture workers. Repetitive
inhalant exposures to agriculture organic dust extract (ODE) induces bone
deterioration in mice; yet the mechanisms responsible for connecting the lung
bone inflammatory axis remain unclear. We hypothesized that the interleukin (IL)
6 effector response regulates bone deterioration following inhalant ODE
exposures. Using an established intranasal inhalation exposure model, wild-type
(WT) and IL-6 knockout (KO) mice were treated daily with ODE or saline for 3
weeks. ODE-induced airway neutrophil influx, cytokine/chemokine release, and lung
pathology were not reduced in IL-6 KO animals compared to WT mice. Utilizing
micro-computed tomography, analysis of tibia showed that loss of bone mineral
density, volume, and deterioration of bone micro-architecture, and mechanical
strength induced by inhalant ODE exposures in WT mice were absent in IL-6 KO
animals. Compared to saline treatments, bone-resorbing osteoclasts and bone
marrow osteoclast precursor populations were also increased in ODE-treated WT but
not IL-6 KO mice. These results show that the systemic IL-6 effector pathway
mediates bone deterioration induced by repetitive inhalant ODE exposures through
an effect on osteoclasts, but a positive role for IL-6 in the airway was not
demonstrated. IL-6 might be an important link in explaining the lung-bone
inflammatory axis.
PMID- 27875667
TI - Designing Telemedicine Systems for Geriatric Patients: A Review of the Usability
Studies.
AB - BACKGROUND: One area where telemedicine may prove to be highly effective is in
providing medical care to the geriatric population, an age group predicted to
account for 20% of the population in the near future. However, even though
telemedicine has certain advantages, the usability of these systems with this
population merits investigation. MATERIALS AND METHODS: This article reviews the
literature published from 2000 to 2016 with the goal of analyzing the
characteristics of usability-related studies conducted using geriatric
participants and the subsequent usability challenges identified. Articles were
found using Web of Knowledge and PubMed citation indexing portals using the
keywords (1) Telemedicine* AND Geriatrics* (2) Telemedicine* AND Usability* (3)
Telemedicine* AND Usability* AND Older Adults*. RESULTS: A total of 297 articles
were obtained from the initial search. After further detailed screening, 16
articles were selected for review based on the inclusion criteria. Of these, 60%
of the studies focused on the overall usability of telemedicine systems; 6.25%
focused on the usability of a telepresence robot; 12.5% compared a face-to-face
medical consultation with the use of telemedicine systems, and 25% focused on the
study of other aspects of telemedicine in addition to its usability. Findings
reported in the studies included high patient satisfaction with telemedicine in
31.25%, whereas another 31.25% indicated a high acceptance of this method of
medical consultation. Care coordination in 6.25% of the studies; confidence in
telemedicine in 6.25%; trust, privacy, and reliability in 6.25%; and increased
convenience when compared to personal visits in 18.75% were also reported.
CONCLUSIONS: This review suggests limited research providing scientifically valid
and reproducible usability evaluation at various stages of telemedicine system
development. Telemedicine system designers need to consider the age-related
issues in cognition, perception, and behavior of geriatric patients while
designing telemedicine applications. Future directions for research were
developed based on the limitations as well as other results found in this
systematic review.
PMID- 27875668
TI - Use of a Perclose ProGlide device for percutaneous endovascular aortic aneurysm
repair in a general hospital experience.
AB - BACKGROUND: This study evaluated the safety and efficacy of percutaneous
endovascular aortic aneurysm repair (EVAR) with a Perclose ProGlide device
compared with endovascular aortic repair with surgical cutdown of common femoral
artery in patients at a general hospital. METHODS: A retrospective clinical study
was conducted using data on 10 patients with elective EVAR, 7 patients with
percutaneous EVAR, and 9 patients with consecutive rupture abdominal aortic
aneurysm treated with emergency EVAR from January 2010 to December 2014. RESULTS:
The median length of intensive care unit stay for elective EVAR, percutaneous
EVAR, and emergency EVAR cases: 1.80+/-0.92 days, 1.67+/-1.21 days, and 10.00+/
13.27 days, respectively; hospital days: 11.10+/-4.28 days, 11.00+/-4.10 days,
and 21.89+/-18.35 days, respectively. Seven patients have no calcification in
common femoral artery, and the use of a Perclose ProGlide device was under the
guidance of sonography for percutaneous EVAR. The operative times of elective
EVAR, percutaneous EVAR, and emergency EVAR were 192.3+/-52.0 minutes, 169.2+/
67.5 minutes, and 227.1+/-59.9 minutes, and blood loss volumes were 150.0+/-77.5
ml, 95.0+/-78.6 ml, and 422.2+/-276.3 ml, respectively. Technical success rate of
Perclose ProGlide was 100%. CONCLUSIONS: Selective percutaneous access of the
femoral arteries for EVAR is safe and effective in the studied cases. The
complications can be avoided with careful selection of patients based on
preoperative imaging. Using Perclose ProGlide for select cases may reduce blood
loss and operative time.
PMID- 27875669
TI - Cancer screening of asymptomatic individuals using 18F-FDG PET/CT in China: a
retrospective study.
AB - BACKGROUND: In recent years, the application of 18F-fluorodeoxyglucose (FDG)
positron emission tomography (PET)/computed tomography (CT) for voluntary cancer
screening of asymptomatic individuals is becoming more and more popular in China.
However, the utility of such screening is still controversial. METHODS: This
study enrolled a total of 1,572 asymptomatic individuals who underwent FDG PET/CT
as a part of cancer screening program in Shanghai Ruijin Hospital, between
January 2010 and December 2014. Whole set of clinical data of each case was
retrospectively collected. The cancer detection rate, sensitivity, specificity,
positive predictive value (PPV), and negative predictive value (NPV) of FDG
PET/CT were calculated, according to the cancer data obtained from
histopathological examinations or at least 12-month clinical follow-up. RESULTS:
Among the 1,572 subjects, malignant tumors were found in 27 cases (1.72%). The
cancer detection rate was 2.74% among subjects who were older than 50 years,
4.72% among those who had a family history of malignant tumors, and 2.77% among
those whose tumor markers were positive. These rates were higher than those among
other subjects (p<0.05). The detection rate of FDG PET/CT in asymptomatic cancer
screening was 1.44%, and the sensitivity, specificity, PPV, and NPV were
estimated to be 85.19%, 99.68%, 82.14%, and 99.75%, respectively. CONCLUSION:
Considering its less cost-efficient performance, we do not recommend using FDG
PET/CT for cancer screening in asymptomatic population. Nevertheless, FDG PET/CT
might be a powerful cancer screening modality with the selection of high-risk
group, and an optimal combination of the modalities should be provided in order
to maximize diagnostic performance with lower costs.
PMID- 27875670
TI - Efficacy of carfilzomib in the treatment of relapsed and (or) refractory multiple
myeloma: a meta-analysis of data from clinical trials.
AB - OBJECTIVE: Recently, carfilzomib has become a promising therapeutic approach for
relapsed and (or) refractory multiple myeloma (RRMM), but no study has summarized
the overall effect of carfilzomib in RRMM. To explore the role of carfilzomib, we
performed a meta-analysis of all known prospective clinical trials to assess the
efficacy of carfilzomib in patients with RRMM. METHODS AND MATERIALS: A
systematic review of publications was performed on December 15, 2015. Eight
studies including 1,446 patients were identified. Meta-analyses were carried out
to calculate the overall response rate (ORR), complete response rate (CRR), and
clinical benefit rate (CBR) of carfilzomib for RRMM. RESULTS: In patients with
RRMM, ORR was 0.44, CRR was 0.13, and CBR was 0.54. High heterogeneity between
studies was observed, and funnel plots were symmetrical, negating publication
bias. Carfilzomib was generally well tolerated by patients reported in the
studies though some manageable adverse effects occurred. CONCLUSION: Our analysis
revealed a promising benefit of carfilzomib in the treatment of RRMM.
PMID- 27875671
TI - Immune-mediated brain pathology: from autoantibodies to microglia.
AB - Cells and molecules of the immune system contribute to brain pathology as well as
to brain homeostasis. We suggest that there are numerous anti-brain antibodies
that can cause acute neuronal dysfunction if they penetrate brain parenchyma.
Many of these acute immune-mediated insults may alter the homeostatic mechanisms
in the brain and initiate pathologic events that no longer depend on the presence
of the inciting antibody, but rather on microglial cell activation. This
paradigm, if correct, suggests that there may be two potential moments of
therapeutic intervention. The first moment is when antibody contacts cells of the
central nervous system and the second is when microglia become activated and
impair normal neuronal functions. In this review, we discuss data that support
this model for immune-mediated pathology in both the adult brain and the
developing fetal brain.
PMID- 27875672
TI - Young premenopausal women with breast cancer, especially estrogen receptor
negative, are at significantly increased risk for subsequent ovarian cancer.
AB - BACKGROUND: There is a modest risk of second cancers, among them ovarian cancer,
after breast cancer. For BRCA1 and BRCA2 carriers, the risk increases
substantially. We have analyzed the risk of ovarian cancer after breast cancer
based on patient age and the estrogen receptor (ER) and progesterone receptor
(PR) characteristics of the breast tumor. METHODS: The study population was
assembled using records from the Surveillance, Epidemiology, and End Results
(SEER) program of the National Cancer Institute. The SEER program statistical
analysis software package (SEER*Stat, version 8.2.1) was used to identify
patients diagnosed with a primary breast cancer from 1990-2010. The SEER*Stat MP
SIR (Multiple Primary-Standardized Incidence Ratio) tool was used to calculate
standardized incidence ratios (SIRs) and excess risk for ovarian cancer by
comparing the patients' subsequent cancer profile to the number of cancers that
would be expected based on incidence rates for the general U.S. POPULATION:
RESULTS: We used data from 316,801 cases of breast cancer. The overall number of
ovarian cancer cases (n = 288) after ER negative PR negative breast cancer was
significantly higher than expected (O/E = 1.89, p < 0.05). In premenopausal
women, that is, women younger than fifty, the ovarian cancer O/E was considerably
higher than expected. Analysis of latency of ovarian cancer (months) after ER
negative PR negative breast cancer revealed that in the youngest women the
latency was shortest (p = 0.001, linear by linear association test for trend).
CONCLUSION: Young women with pre-menopausal breast cancer, especially ER
negative, are at significantly increased risk for subsequent ovarian cancer; the
younger they are, the higher the risk. These women should be routinely tested for
BRCA1 and BRCA2 mutations, and many might benefit from measures to prevent
subsequent ovarian cancer.
PMID- 27875673
TI - Recent advances in engineered T cell therapies targeting B cell malignancies.
AB - Immunotherapy using engineered autologous T cells has been attempted for decades,
but clinical trials have only recently demonstrated efficacy. The combination of
enhanced manufacturing techniques, highly efficient engineering, appropriate
target selection and synthetic receptors with potent T cell activating domains
has led to the development of highly-active cellular therapy products. B-cell
malignancies have served as the paradigmatic diseases to initially evaluate and
subsequently hone engineered T cells targeting cancer. Two engineered receptors,
transgenic T cell receptors (tTCRs) and chimeric antigen receptors (CARs), have
been explored clinically at several different institutions. The most profound
success has been in pediatric and adult acute lymphoblastic leukemia, in which
complete response rates after treatment with CD19-directed CAR T cells approach
90%. Success has been slightly less impressive in slower-growing diseases such as
chronic lymphocytic leukemia (CLL) and non-Hodgkin lymphoma (NHL), and experience
is much more limited in the plasma cell disease multiple myeloma. A great deal of
investigation is underway to understand the differences in response rates
observed, and enhance the efficacy of these therapies in B cell cancers. Here, we
review landmark and recent clinical trials, as well as pre-clinical work that
demonstrates significant promise in propelling this field further in the coming
years.
PMID- 27875675
TI - Nutrient Intake During Pregnancy.
PMID- 27875674
TI - Gene therapy approaches for the treatment of retinal disorders.
AB - There is an impelling need to develop effective therapeutic strategies for
patients with retinal disorders. Gleaning from the large quantity of information
gathered over the past two decades on the mechanisms governing degeneration of
the retina, it is now possible to devise innovative therapies based on retinal
gene transfer. Different gene-based approaches are under active investigation.
They include strategies to correct the specific genetic defect in inherited
retinal diseases, strategies to delay the onset of blindness independently of the
disease-causing mutations, and strategies to reactivate residual cells at late
stages of the diseases. In this review, we discuss the status of application of
these technologies, outlining the future therapeutic potential for many forms of
retinal blinding diseases.
PMID- 27875676
TI - Attitudes of European Geneticists Regarding Expanded Carrier Screening.
AB - OBJECTIVE: To explore attitudes of clinical and molecular geneticists about the
implementation of multi-disease or expanded carrier screening (ECS) for monogenic
recessive disorders. DESIGN: Qualitative; semistructured interviews. SETTING: In
person or via Skype. Interviews were audiorecorded and transcribed verbatim.
PARTICIPANTS: European clinical and molecular geneticists with expertise in
carrier screening (N = 16). METHODS: Inductive content analysis was used to
identify common content categories in the data. RESULTS: Participants recognized
important benefits of ECS, but they also identified major challenges, including
limited benefit of ECS for most couples in the general population, lack of
knowledge on carrier screening among nongenetic health care providers and the
general public, potential negative implications of ECS for society, and limited
economic resources. Participants favored an evidence-based approach to the
implementation of population-wide ECS and were reluctant to actively offer ECS in
the absence of demonstrable benefits. However, there was a consensus among the
participants that ECS should be made available to couples who request the test.
In addition, they believed ECS could be routinely offered to all people who use
assisted reproduction. CONCLUSION: Although a limited ECS offer is practical, it
also raises concerns over equality in access to screening. A comprehensive risk
benefit analysis is needed to determine the desirability of systematic population
wide ECS.
PMID- 27875677
TI - A Case Report of Pregnancy During Use of Targeted Therapeutics for Cystic
Fibrosis.
AB - New therapeutics, such as ivacaftor, and the combination drug
lumacaftor/ivacaftor that target the underlying genetic cause of cystic fibrosis
are being hailed as game-changers in this era of personalized medicine. Although
these drugs improve lung function, their effects on female fertility have not
been studied. In this case report we describe one woman's experience with
ivacaftor and her unanticipated pregnancy. Implications related to comprehensive
sexual and reproductive health care for women with cystic fibrosis are presented.
PMID- 27875678
TI - Corrigendum to "Ras nanoclusters: Versatile lipid-based signaling platforms"
[Biochim. Biophys. Acta 1853/4 (2015) 841-849].
PMID- 27875679
TI - One Gene, Many Proteins: Mapping Cell-Specific Alternative Splicing in Plants.
AB - Pre-mRNA alternative splicing (AS) generates protein variants from a single gene
that can create novel regulatory opportunities. In this issue of Developmental
Cell, Li et al. (2016) present a high-resolution expression map of AS events in
Arabidopsis root tissues, giving insight into cell-type- and stage-specific AS
mechanisms in plants.
PMID- 27875680
TI - Sumoylation Stabilizes Smoothened to Promote Hedgehog Signaling.
AB - The conserved Hedgehog signaling pathway is essential for embryonic development
and tissue homeostasis and is tightly regulated. In this issue of Developmental
Cell, Ma et al. (2016) demonstrate that sumoylation works in parallel with
phosphorylation to stabilize Smoothened, antagonizing its ubiquitination and
subsequent degradation, thus activating Hh target gene transcription.
PMID- 27875681
TI - Subdistal Appendages Stabilize the Ups and Downs of Ciliary Life.
AB - Centrioles acquire subdistal appendages (sDAPs) during primary cilium formation.
In this issue of Developmental Cell, Mazo et al. (2016) demonstrate that sDAPs
keep cilia submerged within deep membrane invaginations. When sDAPs and
centrosome cohesion are disrupted, cilia surface to the plasma membrane, which
may alter mechanical and chemical signal transduction.
PMID- 27875682
TI - Aging Hematopoietic Stem Cells Make Their History.
AB - A major hallmark of aging is a decline in tissue regeneration. In a recent issue
of Cell, Bernitz and colleagues (2016) determine the divisional history of
hematopoietic stem cells (HSCs) to be a key player of regenerative potential in
the aging mouse.
PMID- 27875683
TI - Redox Paradox: Can Hypoxia Heal Ischemic Hearts?
AB - Adult cardiomyocytes are largely thought to lack proliferative and therefore
regenerative potential. Reporting in Nature, Nakada et al. (2016) find that a
hypoxic regime reduces mitochondrial metabolism and promotes proliferation in
adult mouse cardiomyocytes, resulting in increased regeneration following
myocardial infarction. These findings suggest the potential to transform post-MI
care.
PMID- 27875684
TI - A Tether Is a Tether Is a Tether: Tethering at Membrane Contact Sites.
AB - Membrane contact sites enable interorganelle communication by positioning
organelles in close proximity using molecular "tethers." With a growing
understanding of the importance of contact sites, the hunt for new contact sites
and their tethers is in full swing. Determining just what is a tether has proven
challenging. Here, we aim to delineate guidelines that define the prerequisites
for categorizing a protein as a tether. Setting this gold standard now, while
groups from different disciplines are beginning to explore membrane contact
sites, will enable efficient cooperation in the growing field and help to realize
a great collaborative opportunity to boost its development.
PMID- 27875685
TI - Progressive disseminated histoplasmosis: a systematic review on the performance
of non-culture-based diagnostic tests.
AB - The diagnosis of progressive disseminated histoplasmosis is often a challenge to
clinicians, especially due to the low sensitivity and long turnaround time of the
classic diagnostic methods. In recent years, studies involving a variety of non
culture-based diagnostic tests have been published in the literature. We
performed a systematic review by selecting studies evaluating non-culture-based
diagnostic methods for progressive disseminated histoplasmosis. We searched for
articles evaluating detection of antibody, antigens, as well as DNA-based
diagnostic methods. A comprehensive PUBMED, Web of Science, and Cochrane Library
search was performed between the years 1956 and 2016. Case reports, review
articles, non-human models and series involving less than 10 patients were
excluded. We found 278 articles and after initial review 18 articles were
included: (12) involved antigen detection methods, (4) molecular methods, and (2)
antibody detection methods. Here we demonstrate that the pursuit of new
technologies is ultimately required for the early and accurate diagnosis of
disseminated histoplasmosis. In particular, urinary antigen detection was the
most accurate tool when compared with other diagnostic techniques.
PMID- 27875686
TI - Early-onset neonatal sepsis and the implementation of group B streptococcus
prophylaxis in a Brazilian maternity hospital: a descriptive study.
AB - OBJECTIVES: To describe early-onset neonatal sepsis (EOS) epidemiology in a
public maternity hospital in Brasilia, Brazil. METHODS: We defined EOS as a
positive blood culture result obtained from infants aged <=72 hours of life plus
treatment with antibiotic therapy for >=5 days. Incidence was calculated based on
the number of cases and total live births (LB). This is a descriptive study
comparing the period of 2012-2013 with the period of 2014-September 2015, before
and after implementation of antibiotic prophylaxis during labor for group B
streptococcus (GBS) prevention, respectively. RESULTS: Overall, 36 infants
developed EOS among 21,219 LB (1.7 cases per 1000 LB) and 16 died (case fatality
rate of 44%). From 2014, 305 vaginal-rectal swabs were collected from high-risk
women and 74 (24%) turned out positive for GBS. After implementation of GBS
prevention guidelines, no new cases of GBS were detected, and the EOS incidence
was reduced from 1.9 (95% CI 1.3-2.8) to 1.3 (95% CI 0.7-2.3) cases per 1000 LB
from 2012-2013 to 2014-September 2015 (p=0.32). CONCLUSIONS: Although the
reduction of EOS incidence was not significant, GBS colonization among pregnant
women was high, no cases of neonatal GBS have occurred after implementation of
prevention guidelines.
PMID- 27875687
TI - Complete substitution of the Brazilian endemic clone by other methicillin
resistant Staphylococcus aureus lineages in two public hospitals in Rio de
Janeiro, Brazil.
AB - Staphylococcus aureus is an important cause of bloodstream infections. Therefore,
the main purpose of this work was to characterize a collection of 139 S. aureus
isolates from bloodstream infections in two public hospitals in relation to their
antimicrobial susceptibility profile, staphylococcal cassette chromosome mec
types, and clonal relationship. Methicillin resistance and resistance to other 12
agents were accessed by the disk diffusion test. Minimum inhibitory concentration
to mupirocin was also determined. The SCCmec types were accessed by multiplex
PCR, and the clonal relationship was determined by pulsed field gel
electrophoresis method and restriction modification system characterization.
Besides, multilocus sequence typing was performed for representative methicillin
resistant S. aureus isolates. The military hospital showed a dissemination of the
New York/Japan (USA100/ST5/CC5/SCCmecII) lineage associated to multidrug
resistance, including mupirocin resistance, and the teaching hospital presented
polyclonal and non-multidrug resistant MRSA isolates. Complete substitution of
the Brazilian endemic clone by other lineages was found in both hospitals. These
findings can highlight differences in policy control and prevention of infections
used in the hospitals and a change in the epidemiological profile of MRSA in
Brazilian hospitals, with the replacement of BEC, a previously well-established
clone, by other lineages.
PMID- 27875688
TI - Morphological Identification and Single-Cell Genomics of Marine Diplonemids.
AB - Recent global surveys of marine biodiversity have revealed that a group of
organisms known as "marine diplonemids" constitutes one of the most abundant and
diverse planktonic lineages [1]. Though discovered over a decade ago [2, 3],
their potential importance was unrecognized, and our knowledge remains restricted
to a single gene amplified from environmental DNA, the 18S rRNA gene (small
subunit [SSU]). Here, we use single-cell genomics (SCG) and microscopy to
characterize ten marine diplonemids, isolated from a range of depths in the
eastern North Pacific Ocean. Phylogenetic analysis confirms that the isolates
reflect the entire range of marine diplonemid diversity, and comparisons to
environmental SSU surveys show that sequences from the isolates range from rare
to superabundant, including the single most common marine diplonemid known. SCG
generated a total of ~915 Mbp of assembled sequence across all ten cells and
~4,000 protein-coding genes with homologs in the Kyoto Encyclopedia of Genes and
Genomes (KEGG) orthology database, distributed across categories expected for
heterotrophic protists. Models of highly conserved genes indicate a high density
of non-canonical introns, lacking conventional GT-AG splice sites. Mapping
metagenomic datasets [4] to SCG assemblies reveals virtually no overlap,
suggesting that nuclear genomic diversity is too great for representative SCG
data to provide meaningful phylogenetic context to metagenomic datasets. This
work provides an entry point to the future identification, isolation, and
cultivation of these elusive yet ecologically important cells. The high density
of nonconventional introns, however, also portends difficulty in generating
accurate gene models and highlights the need for the establishment of stable
cultures and transcriptomic analyses.
PMID- 27875689
TI - Extreme Diversity of Diplonemid Eukaryotes in the Ocean.
AB - The world's oceans represent by far the largest biome, with great importance for
the global ecosystem [1-4]. The vast majority of ocean biomass and biodiversity
is composed of microscopic plankton. Recent results from the Tara Oceans
metabarcoding study revealed that a significant part of the plankton in the upper
sunlit layer of the ocean is represented by an understudied group of
heterotrophic excavate flagellates called diplonemids [5, 6]. We have analyzed
the diversity and distribution patterns of diplonemid populations on the extended
set of Tara Oceans V9 18S rDNA metabarcodes amplified from 850 size- fractionated
plankton communities sampled across 123 globally distributed locations, for the
first time also including samples from the mesopelagic zone, which spans the
depth from about 200 to 1,000 meters. Diplonemids separate into four major
clades, with the vast majority falling into the deep-sea pelagic diplonemid
clade. Remarkably, diversity of this clade inferred from metabarcoding data
surpasses even that of dinoflagellates, metazoans, and rhizarians, qualifying
diplonemids as possibly the most diverse group of marine planktonic eukaryotes.
Diplonemids display strong vertical separation between the photic and mesopelagic
layers, with the majority of their relative abundance and diversity occurring in
deeper waters. Globally, diplonemids display no apparent biogeographic
structuring, with a few hyperabundant cosmopolitan operational taxonomic units
(OTUs) dominating their communities. Our results suggest that the planktonic
diplonemids are among the key heterotrophic players in the largest ecosystem of
our biosphere, yet their roles in this ecosystem remain unknown.
PMID- 27875690
TI - House sparrows.
AB - Shinichi Nakagawa and Joel Pick introduce what we can learn from house sparrows.
PMID- 27875691
TI - Traffic noise drowns out great tit alarm calls.
AB - Anthropogenic noise is one of the fastest growing and most ubiquitous types of
environmental pollution and can impair acoustic communication in a variety of
animals [1]. Recent research has shown that birds can adjust acoustic parameters
of their sexual signals (songs) in noisy environments [2,3], yet we know little
about other types of vocalizations. Anti-predator signals contain subtle
information that is critical for avoiding predation [4,5], and failure to detect
these calls [6,7] as a result of anthropogenic noise pollution could have large
fitness consequences by negatively impacting survival. We investigated whether
traffic noise impacts both the production and perception of avian alarm calls
using a combination of lab and field experiments with great tits (Parus major), a
songbird that frequently inhabits noise-polluted environments. In response to
experimental noise manipulation in controlled laboratory conditions, great tits
increased the amplitude, but not the frequency parameters, of their mobbing alarm
calls (hereafter 'alarm calls'). Playback experiments conducted in the wild
indicate that current levels of road traffic noise mask alarm calls, impeding the
ability of great tits to perceive these critical signals. These results show
that, despite the vocal adjustments used to compensate for anthropogenic noise,
great tits are not able to restore the active space of their calls in even
moderately noisy environments. Consequently, birds are likely to suffer from
increased predation risk under noise, with likely effects on their behaviour,
populations, and community dynamics in noise-polluted areas.
PMID- 27875692
TI - Cognitive control of heart rate in diving harbor porpoises.
AB - Marine mammals have adapted to forage while holding their breath in a suite of
aquatic habitats from shallow rivers to deep oceans. The key to tolerate such
extensive apnea is the dive response, which comprises bradycardia and peripheral
vasoconstriction. Although initially considered an all-or-nothing reflex [1],
numerous studies on freely diving marine mammals have revealed substantial
dynamics of the dive response to meet the impending dive demands of depth,
duration and exercise [2]. Such adjustments are not only autonomic responses, but
are under acute cognitive control in pinnipeds [3] living amphibiously on land
and in water. The fully aquatic cetaceans would similarly benefit from cognitive
cardiovascular control; however, even though they have exercise-modulated diving
bradycardia [2] and full voluntary control of their respiratory system to such
extent that even mild anesthesia often leads to asphyxiation [4], cognitive
cardiovascular control has never been demonstrated for this large group of marine
mammals. To address this, we tested the hypothesis that porpoises modulate
bradycardia according to anticipated dive duration. Two harbor porpoises,
instrumented with ECG recording tags, were trained to perform 20- and 80-second
stationary dives, during which they adjusted bradycardia to the anticipated
duration, demonstrating cognitive control of their dive response.
PMID- 27875693
TI - Decision Making: A Role for the Amygdala in Translating Goals into Choices.
AB - A new fMRI study shows that the human amygdala is involved in goal-directed
planning, further finding that amygdala activation during planning is predictive
of someone's willingness to forgo immediate small rewards in favor of delayed
larger rewards.
PMID- 27875694
TI - Camouflage: Being Invisible in the Open Ocean.
AB - Animals inhabiting the open ocean often conceal themselves by being highly
transparent, but this transparency is compromised by light that is scattered and
reflected from the body surface. New research shows that some midwater
crustaceans use antireflection coatings to enhance their invisibility.
PMID- 27875695
TI - Microbial Evolution: Xenology (Apparently) Trumps Paralogy.
AB - Within-genome gene duplication is generally considered the source of extra copies
when higher dosage is required and a starting point for evolution of new
function. A new study suggests that horizontal gene transfer can appear to play
both roles.
PMID- 27875696
TI - Visual Neuroscience: Seeing Causality with the Motor System?
AB - Understanding how humans perceive cause and effect in visual events has long
intrigued philosophers and scientists. A new study in primates reveals the neural
correlates of perceived causality at the single-cell level, but in an unexpected
place - the motor system.
PMID- 27875697
TI - Sensory Development: Brief Visual Deprivation Alters Audiovisual Interactions.
AB - Two recent studies have independently demonstrated that short periods of visual
deprivation early in human development can have long-term functional consequences
on sensory perception and on the balance between auditory and visual attention.
PMID- 27875698
TI - Behavioral Neuroscience: Who's Afraid of the C57BL/6 Mouse?
AB - Behavioral paradigms in which laboratory rodents express behaviors that their
wild counterparts presumably need every day are rare: a novel prey-capture model
for laboratory mice has been developed for examining the neurophysiological
underpinnings of prey capture in mice.
PMID- 27875699
TI - Evolution: When Dinosaurs Bested Their Early Rivals.
AB - A sublime fossil discovery in Brazil shows that dinosaurs and their immediate
evolutionary precursors lived together for tens of millions of years before
dinosaurs ultimately rose to the top.
PMID- 27875700
TI - Metacognition: Pre-verbal Infants Adapt Their Behaviour to Their Knowledge
States.
AB - Metacognitive abilities, such as knowing we know something or that we made the
wrong decision, can be powerful tools for adapting behaviour and accelerating
learning. Apes, dolphins, and even rats demonstrate some such abilities; a new
study provides evidence that human infants can too.
PMID- 27875701
TI - Genetics: From Molecule to Society.
AB - A genome-wide association study of neighborhood characteristics and family income
finds heritability, identifies single nucleotide polymorphisms and shows genetic
correlates of these traits with numerous other health and cognitive traits.
Different mechanisms behind genetic correlations imply different interpretations
of association and causality.
PMID- 27875702
TI - Revisiting Neuronal Cell Type Classification in Caenorhabditis elegans.
AB - We revisit the classification of neuronal cell types in the nervous system of the
nematode Caenorhabditis elegans. Based on anatomy and synaptic connectivity
patterns, the 302 neurons of the nervous system of the hermaphrodite were
categorized into 118 neuron classes more than 30 years ago. Analysis of all
presently available neuronal gene expression patterns reveals a remarkable
congruence of anatomical and molecular classification and further suggests
subclassification schemes. Transcription factor expression profiles alone are
sufficient to uniquely classify more than 90% of all neuron classes in the C.
elegans nervous system. Neuron classification in C. elegans may be paradigmatic
for neuron classification schemes in vertebrate nervous systems.
PMID- 27875703
TI - Prevalence and characterization of neonatal skin disorders in the first 72h of
life.
AB - OBJECTIVE: To determine the prevalence of neonatal dermatological findings and
analyze whether there is an association between these findings and neonatal and
pregnancy characteristics and seasonality. METHODS: Newborns from three maternity
hospitals in a Brazilian capital city were randomly selected to undergo
dermatological assessment by dermatologists. RESULTS: 2938 neonates aged up to
three days of life were randomly selected, of whom 309 were excluded due to
Intensive Care Unit admission. Of the 2530 assessed neonates, 49.6% were
Caucasians, 50.5% were males, 57.6% were born by vaginal delivery, and 92.5% of
the mothers received prenatal care. Some dermatological finding was observed in
95.8% of neonates; of these, 88.6% had transient neonatal skin conditions, 42.6%
had congenital birthmarks, 26.8% had some benign neonatal pustulosis, 2% had
lesions secondary to trauma (including scratches), 0.5% had skin malformations,
and 0.1% had an infectious disease. The most prevalent dermatological findings
were: lanugo, which was observed in 38.9% of the newborns, sebaceous hyperplasia
(35%), dermal melanocytosis (24.61%), skin desquamation (23.3%), erythema toxicum
neonatorum (23%), salmon patch (20.4%), skin erythema (19%), genital
hyperpigmentation (18.4%), eyelid edema (17.4%), milia (17.3%), genital
hypertrophy (12%), and skin xerosis (10.9%). CONCLUSIONS: Dermatological findings
are frequent during the first days of life and some of them characterize the
newborn's skin. Mixed-race newborns and those whose mothers had some gestational
risk factor had more dermatological findings. The gestational age, newborn's
ethnicity, gender, Apgar at the first and fifth minutes of life, type of
delivery, and seasonality influenced the presence of specific neonatal
dermatological findings.
PMID- 27875704
TI - Evaluation of Stress Response During Mesiodens Extraction Under General
Anesthesia Using Heart Rate Variability.
AB - PURPOSE: Stress related to dental treatment can be associated with negative
outcomes. Heart rate variability (HRV) is an objective measurement of autonomic
nervous system activity. Therefore, HRV was used to identify autonomic nervous
system reactions during mesiodens extraction under general anesthesia in
children. MATERIALS AND METHODS: Electrocardiography was performed with
customized software during treatment. HRV parameters were analyzed according to
time and frequency domains during each dental procedure (local anesthesia,
incision, flap, bone removal, extraction of mesiodens, and suturing). The
relations of HRV parameters to age also were determined. RESULTS: Total autonomic
nervous system activity decreased markedly after local anesthesia injection.
Depending on the responses of sympathetic nerve activity, patients were
categorized in a stress group and a nonstress group. The ratio of low-frequency
power (LF) to high-frequency power (HF), an indication of sympathetic and
parasympathetic balance, increased in the stress group after incision and flap
formation. Conversely, the LF/HF ratio decreased during treatment in the
nonstress group. However, HR, widely used to evaluate stress responses, did not
change statistically during mesiodens extraction in either group. HRV parameters
did not differ statistically according to age. CONCLUSIONS: The internal stress
related to mesiodens extraction can be evaluated more objectively with HRV
parameters than with conventional methods. Sympathetic nerve activity in the
stress group differed from that in the nonstress group during the treatment
procedures.
PMID- 27875705
TI - Societal Preference for Gender of Surgeons Performing Patients' Surgery.
AB - PURPOSE: Previous studies have shown that there may be a bias among some male
oral and maxillofacial surgeons regarding women practicing in the specialty. The
purpose of this study was to determine if there is a similar bias in the general
public. PATIENTS AND METHODS: We performed a survey of 65 patients of various
genders, educational levels, and ages asking them their surgeon gender preference
for extraction of a tooth, removal of impacted third molars, and cosmetic
surgery, as well as the reasons for their preference. RESULTS: Of respondents,
91% had no preference regarding surgeon gender for tooth extraction, 88% for
removal of impacted teeth, and 83% for cosmetic surgery. There was no difference
in gender preference based on the respondents' gender, educational level, or age.
CONCLUSIONS: There does not appear to be a gender bias against female oral and
maxillofacial surgeons in the general population.
PMID- 27875706
TI - Filariasis in Mandible and Maxilla: A Rare Entity-First Report of a Case.
AB - Wuchereria bancrofti, Brugia malayi, and Brugia timori, categorized as nematodes,
are responsible for causing lymphatic filariasis. Even though it can affect
individuals of all age groups and both genders, it predominantly affects people
of low socioeconomic strata. The filarial worms dwell in the subcutaneous tissues
and lymphatics of human hosts. In India, W bancrofti is the primary nematode to
cause filariasis, which is transmitted through the bite of blood-sucking infected
female anopheles mosquitoes. Lymphangitis, leading to elephantiasis of the legs,
arms, scrotum, and breast, is the most salient clinical feature of lymphatic
filariasis. The presence of filarial worms in the oral and perioral soft tissues
is uncommon; moreover, the presence of filarial worms in a centrally occurring
bony lesion is highly unusual and has not yet been reported. We report a case of
a central giant cell granuloma due to filariasis that was diagnosed after biopsy
of innocuous radiolucent bony lesions of the maxilla and mandible.
PMID- 27875707
TI - Mucormycosis Causing Ludwig Angina: A Unique Presentation.
AB - Mucormycosis is a rare fungal infection with a yearly incidence of 1.7 cases per
million in the United States. It usually occurs in patients with metabolic
abnormalities or who are immunocompromised with prolonged neutropenia. However,
it can also occur in patients without any underlying disease process. The
treatment of choice is surgical debridement of necrotic tissue and systemic
antifungal therapy, including amphotericin B. A dilemma for the surgeon when
faced with head and neck mucormycosis is the morbidity of surgical debridement
from both a functional and cosmetic standpoint. There have been multiple case
reports of a form of cutaneous mucormycosis in the head and neck involving the
oral mucosa and the mandible, but no reports in the literature of a fungal
infection causing Ludwig angina. This report describes one such case. The morbid
clinical implications of mucormycosis causing Ludwig angina become apparent when
considering the defect caused by adequate surgical debridement.
PMID- 27875708
TI - Does Restriction of Public Health Care Dental Benefits Affect the Volume,
Severity, or Cost of Dental-Related Hospital Visits?
AB - PURPOSE: On July 1, 2012, the Illinois legislature passed the Save Medicaid
Access and Resources Together (SMART) Act, which restricts adult public dental
insurance coverage to emergency-only treatment. The purpose of this study was to
measure the effect of this restriction on the volume, severity, and treatment
costs of odontogenic infections in an urban hospital. MATERIALS AND METHODS: A
retrospective cohort study of patients presenting for odontogenic pain or
infection at the University of Illinois Hospital was performed. Data were
collected using related International Classification of Diseases, Ninth Revision
codes from January 1, 2011 through December 31, 2013 and divided into 2 cohorts
over consecutive 18-month periods. Outcome variables included age, gender,
insurance status, oral and maxillofacial surgery (OMS) consultation, imaging,
treatment, treatment location, number of hospital admission days, and inpatient
care level. Severity was determined by the presence of OMS consultation, incision
and drainage, hospital admission, and cost per encounter. Hospital charges were
used to compare the cost of care between cohorts. Between-patients statistics
were used to compare risk factors and outcomes between cohorts. RESULTS: Of 5,192
encounters identified, 1,405 met the inclusion criteria. There were no
significant differences between cohorts for age (P = .28) or gender (P = .43).
After passage of the SMART Act, emergency department visits increased 48%,
surgical intervention increased 100%, and hospital admission days increased 128%.
Patients were more likely to have an OMS consult (odds ratio [OR] = 1.42; 95%
confidence interval [CI], 1.11-1.81), an incision and drainage (OR = 1.48; 95%
CI, 1.13-1.94), and a longer hospital admission (P = .04). The average cost per
encounter increased by 20% and the total cost of care increased by $1.6 million.
CONCLUSION: After limitation of dental benefits, there was an increase in the
volume and severity of odontogenic infections. In addition, there was an
escalated health care cost. The negative public health effects and increased
economic impact of eliminating basic dental care show the importance of
affordable and accessible preventative oral health care.
PMID- 27875709
TI - Iodine-125 Interstitial Brachytherapy for Pediatric Desmoid-Type Fibromatosis of
the Head and Neck: A Case Report.
AB - Desmoid-type fibromatosis (DF) is a locally aggressive benign soft tissue tumor.
It is rarely observed in the head and neck region and is particularly uncommon in
the parotid gland. This report describes the case of a 32-month-old girl with DF
of the head and neck. The tumor was resected with gross residual tumors.
Recurrence occurred 3 months later and then the patient was treated with iodine
125 interstitial brachytherapy. The tumor was completely absent 6 months after
brachytherapy. No recurrence was found 60 months after brachytherapy during
follow-up. No severe toxicities or growth abnormalities were observed. Very-low
dose rate brachytherapy as the sole modality could be a reasonable alternative
for the treatment of inoperable DF of the head and neck, which avoids the risk of
cosmetic deformity caused by surgery, especially in pediatric patients. In
addition, long-term follow-up is recommended.
PMID- 27875710
TI - Vascularized Fascia Lata for Prevention of Postoperative Parotid Fistula Arising
From Partial Parotidectomy During Neck Dissection.
AB - PURPOSE: Postoperative parotid fistula can occur after partial parotidectomy,
which is a routine surgical procedure during neck dissection of oral cancers
arising from or close to the oropharyngeal area. The aim of this study was to
evaluate the reliability of vascularized fascia lata for the prevention of
postoperative parotid fistula after neck dissection. MATERIALS AND METHODS: A
retrospective review was conducted of patients with oral cancer who underwent
ablative resection involving the parotid tail and reconstruction using the
anterolateral thigh (ALT) flap with a vascularized fascia lata paddle. The
vascularized fascia lata paddle was used to seal off the parotid stump by tightly
suturing the edges of the fascia lata and parotid wound together. RESULTS: Twenty
three patients (18 men and 5 women) with primary oral cancer arising from or
close to the oropharyngeal area were enrolled. The mean area of parotid defect
was 16.7 cm2 and the mean area of fascia lata harvested was 21.8 cm2. All flaps
survived. Pressure dressing or anticholinergic drugs were not used in any case.
During the follow-up period, there was no clinical evidence of the development of
parotid fistula. CONCLUSION: The vascularized fascia lata paddle is a reliable
option for the prevention of postoperative parotid fistula after neck dissection
of oral cancer.
PMID- 27875711
TI - Corrigendum to "External conditions inversely change the RNA polymerase II
elongation rate and density in yeast" [Biochim. Biophys. Acta 1829/11 (2013) 1248
1255].
PMID- 27875712
TI - Urinary phthalate and phthalate alternative metabolites and isoprostane among
couples undergoing fertility treatment.
AB - BACKGROUND: Epidemiological data suggest associations between phthalate exposures
to a variety of adverse reproductive outcomes including reduced sperm quality and
reproductive success. While mechanisms of these associations are not fully
elucidated, oxidative stress has been implicated as a potential mediator. We
examined associations of urinary metabolites of phthalates and phthalate
alternative plasticizers with oxidative stress among couples seeking fertility
treatment. METHODS: Seventeen urinary plasticizer metabolites and 15-F2t
isoprostane, a biomarker of oxidative stress, were quantified in spot samples
from 50 couples seeking fertility treatment who enrolled in the Sperm
Environmental Epigenetics and Development Study during 2014-2015. RESULTS: In
multivariable analyses, percent change in isoprostane was positively associated
with interquartile range increases for the oxidative metabolites of di-2
ethylhexyl phthalate, [mono-2-ethyl-5-hydroxyhexyl phthalate (MEHHP; 20.0%,
p=0.02), mono-2-ethyl-5-oxohexyl phthalate (MEOHP; 24.1%, p=0.01), and mono-2
ethyl-5-carboxypentyl phthalate (MECPP; 24.1%, p=0.004)], mono-isobutyl phthalate
(MiBP; 17.8%, p=0.02), mono-hydroxyisobutyl phthalate (MHiBP; 27.5%, p=0.003),
and cyclohexane-1,2-dicarboxylic acid mono-hydroxy-isononyl ester (MHINCH; 32.3%,
p=0.002). Stratification of participants by sex revealed that isoprostane was
positively associated with MHiBP (41.4%, p=0.01) and monocarboxy-isononyl
phthalate (MCNP; 26.0%, p=0.02) among females and MEOHP (35.8%, p=0.03), MiBP
(29.2%, p=0.01), MHiBP (34.7%, p=0.007) and MHINCH (49.0%, p=0.002) among males.
CONCLUSIONS: Our results suggest that exposure to phthalates and phthalate
replacements are associated with higher levels of oxidative stress in a sex
specific manner. Additional studies are needed to replicate our findings and to
examine the potential health implications of the use of phthalates and
alternative phthalates in consumer end products.
PMID- 27875713
TI - Prognostic value of degree and types of anaemia on clinical outcomes for
hospitalised older patients.
AB - STUDY OBJECTIVE: This study investigated in a large sample of in-patients the
impact of mild-moderate-severe anaemia on clinical outcomes such as in-hospital
mortality, re-admission, and death within three months after discharge. METHODS:
A prospective multicentre observational study, involving older people admitted to
87 internal medicine and geriatric wards, was done in Italy between 2010 and
2012. The main clinical/laboratory data were obtained on admission and discharge.
Based on haemoglobin (Hb), subjects were classified in three groups: group 1 with
normal Hb, (reference group), group 2 with mildly reduced Hb (10.0-11.9g/dL in
women; 10.0-12.9g/dL in men) and group 3 with moderately-severely reduced Hb
(<10g/dL in women and men). RESULTS: Patients (2678; mean age 79.2+/-7.4y) with
anaemia (54.7%) were older, with greater functional impairment and more
comorbidity. Multivariable analysis showed that mild but not moderate-severe
anaemia was associated with a higher risk of hospital re-admission within three
months (group 2: OR=1.62; 95%CI 1.21-2.17). Anaemia failed to predict in-hospital
mortality, while a higher risk of dying within three months was associated with
the degree of Hb reduction on admission (group 2: OR=1.82;95%CI 1.25-2.67; group
3: OR=2.78;95%CI 1.82-4.26) and discharge (group 2: OR=2.37;95%CI 1.48-3.93;
group 3: OR=3.70;95%CI 2.14-6.52). Normocytic and macrocytic, but not microcytic
anaemia, were associated with adverse clinical outcomes. CONCLUSIONS: Mild
anaemia predicted hospital re-admission of older in-patients, while three-month
mortality risk increased proportionally with anaemia severity. Type and severity
of anaemia affected hospital re-admission and mortality, the worst prognosis
being associated with normocytic and macrocytic anaemia.
PMID- 27875714
TI - The role of ego-resiliency in the relationship between social anxiety and problem
solving ability among South Korean nursing students.
AB - BACKGROUND: Problem-solving is a core ability that nursing students should
develop during their education. There is a need to better understand the
importance of problem-solving and the factors related to it among nursing
students. OBJECTIVES: This study aimed to identify the role of ego-resiliency in
the relationship between social anxiety and problem-solving ability in Korean
nursing students. METHODS: Data were collected from a total of 329 nursing
students who were enrolled in three nursing programs in South Korea, using a self
administrated questionnaire. Data were mainly analyzed by Baron and Kenny's three
step regression analysis and the Sobel test. RESULTS: Ego-resiliency played a
partial mediating role in the relationship between social anxiety and problem
solving ability. Further, the Sobel test suggested a mediating effect of ego
resiliency on the relationship between social anxiety and problem-solving (Z=
9.079, p<0.001). CONCLUSIONS: To enhance problem-solving ability in nursing
students, nursing educators should establish educational strategies that decrease
social anxiety and improve ego-resiliency.
PMID- 27875715
TI - Common and divergent neural correlates of anomia in amnestic and logopenic
presentations of Alzheimer's disease.
AB - The majority of logopenic variant primary progressive aphasia (lv-PPA) cases
harbour Alzheimer pathology, suggesting that lv-PPA constitutes an atypical
presentation of Alzheimer's disease (AD). However, even if caused by Alzheimer
pathology, the clinical manifestations of lv-PPA differ from those observed in
the typical or amnestic AD presentation: in lv-PPA, aphasia is the main feature
while amnestic AD is characterised by impaired episodic memory. Anomia or
impaired naming, however, is present in both AD presentations. Whether these
presentations share anatomical and mechanistic processes of anomia has not been
fully investigated. Accordingly, we studied naming performance and its
relationship with regions of brain atrophy in 23 amnestic AD and 22 lv-PPA cases
with presumed underlying Alzheimer pathology. Both AD groups displayed some
degree of anomia and impaired word comprehension but these were particularly
severe in lv-PPA and accompanied by a range of linguistic deficits, comprising
phonological substitutions, superordinate semantic paraphasias and abnormal
single-word repetition. Analysis of cortical thickness revealed that anomia was
correlated with thinning in left superior temporal gyrus in both groups. In
amnestic AD, however, anomia was also associated with thinning in right inferior
temporal regions. Single-word comprehension (SWC), by contrast, was associated
with cortical thinning involving bilateral fusiform gyri in both groups. These
findings suggest that anomia in both amnestic AD and lv-PPA results from the
involvement at multiple steps of word processing, in particular, semantic and
lexical retrieval; in addition lv-PPA patients display a more marked involvement
of phonological processing.
PMID- 27875716
TI - Face identity is encoded in the duration of N170 adaptation.
AB - Previous studies assessing the involvement of the face-sensitive N170 component
of the event-related potential (ERP) in the processing of face identity have
shown controversial results when assessing N170 amplitude in repetition
suppression (RS) designs. On the other hand, N170 adaptation is robustly
associated with the inter-stimulus interval (ISI) between immediate face
repetitions. Interestingly, interactions of face identity and ISI could provide
valuable information on early encoding of face identity, but have not been
investigated so far. We employed a repetition suppression paradigm using
identical and non-identical repetitions as well as parametrically varied ISIs
between 500 msec and 2,000 msec in 27 healthy subjects to investigate N170
adaptation effects. Both face identity and varying ISIs significantly influenced
N170 adaptation effects, albeit with small effects sizes. Most importantly,
however, face identity and ISIs strongly interacted with rapid N170 amplitude
recovery in non-identical trials, but sustained N170 adaptation in identical
trials. We excluded low-level sensory contributions to the N170 adaptation effect
by analyzing the P1 component and by running an additional experiment employing
different stimulus sizes. This specific result strongly argues in favor of
neuronal sensitivity to face identity, which is primarily mirrored in the N170
temporal decay function that essentially differentiates identical and non
identical face trials. In general, taking advantage of the temporal dimension of
adaptation processes, i.e., their decay over time, provides additional
dissections of neuronal function into feature-specific selectivity versus non
selectivity.
PMID- 27875717
TI - Comparative study on the mobility and speciation of heavy metals in ashes from co
combustion of sewage sludge/dredged sludge and rice husk.
AB - The co-combustion of sludge (sewage and dredged sludge) with rice husk is
expected to become a trend because of its economic and environmental benefits.
However, the massive residues from the co-combustion process and the mobility of
heavy metals (HMs) warrant special attention. The basic performance and
environmental properties of the trace elements (Cr, Cu, Fe, Mn, Ba and Zn) from
the co-combustion ashes were studied to promote the further utilization of these
materials. These ashes have a shell particle shape, high specific area, high
amorphous content and low crystalline phase content. The investigation mainly
focused on the environmental properties of these ashes to evaluate the risk of
these by-products to the environment. Results show Cu, Mn, and Zn have cumulative
leaching concentrations of 1.033, 23.32, and 3.363 mg/L for W, by contrast, Cr,
Cu, Fe, Mn, Ba, and Zn have cumulative leaching concentrations of 0.488, 0.296,
8.069, 10.44, 2.568, and 2.691 mg/L for H, which are much greater than the
Chinese ground water standard (GB/T14848-93). Meanwhile Mn, Zn, Ba, Cr, and Fe
all pose a very high risk for H, while Cu only poses a medium risk, and all HMs
in W exhibit much lower contamination levels than those in H by the method of
risk assessment code (RAC). It indicates that these ashes have undesirably high
levels of HMs that demonstrate high mobility and pose environmental risks
according to their leachability and chemical speciation. And the HMs in W show
lower mobility and environmental hazards than those in H.
PMID- 27875718
TI - An adsorbent with a high adsorption capacity obtained from the cellulose sludge
of industrial residues.
AB - One of the major problems in effluent treatment plants of the cellulose and paper
industry is the large amount of residual sludge generated. Therefore, this
industry is trying to develop new methods to treat such residues and to use them
as new products, such as adsorbents. In this regard, the objective of this work
was to develop an adsorbent using the raw activated sludge generated by the
cellulose and paper industry. The activated cellulose sludge, after being dried,
was chemically activated with 42.5% (v/v) phosphoric acid at 85 degrees C for 1
h and was charred at 500 degrees C, 600 degrees C and 700 degrees C for 2 h.
The efficiency of the obtained adsorbent materials was evaluated using kinetic
tests with methylene blue solutions. Using the adsorption kinetics, it was
verified that the three adsorbents showed the capacity to adsorb dye, and the
adsorbent obtained at a temperature of 600 degrees C showed the highest
adsorption capacity of 107.1 mg g-1. The kinetic model that best fit the
experimental data was pseudo-second order. The Langmuir-Freudlich isotherm
adequately described the experimental data. As a result, the cellulose sludge
generated by the cellulose and paper industries could be used as an adsorbent.
PMID- 27875719
TI - Evaluation of some factors influencing on variability in bioaccumulation of heavy
metals in rodents species: Rombomys opimus and Rattus norvegicus from central
Iran.
AB - In the present study, the effects of sex, age, nutritional status, and habitat
use on hair and internal tissue concentrations of some trace metals (Zn, Cu, Ni,
Pb and Cd) in brown rat (Rattus norvegicus) and great gerbil (Rhombomys opimus)
from Aran-O-Bidgol City in Central Iran were investigated. Five sampling stations
in different land-uses were selected for the study. Concentrations of Cd and Pb
in liver and whole body concentration as well as concentration of Zn in hair of
male rats were significantly higher than females. A significantly higher
concentration was only observed for Pb in kidney of male gerbils than females. In
numerous cases, age had significantly negative correlations with concentration of
metals in different tissues of both species, and was only significantly (and
positively) correlated with the concentration of Cd in kidney and Zn in liver of
gerbils. This finding may indicate that the early neonatal age is a critical
period for metals accumulation. The relationships between nutritional status and
metal concentrations for both species were negative, which can be ascribed to the
weight-specific metabolic rate that cause to a relatively low uptake of metals
per unit of body weight. No significant differences were observed for soil metals
concentrations in different sites. So, this suggests that the influence of life
history, microhabitat use and foraging behavior did significantly influence on
inter and intra specific variation of metals concentration in small mammals. Our
observations suggest that hair cannot be used alone for monitoring exposure of
metals.
PMID- 27875720
TI - Multi-generational xenoestrogenic effects of Perfluoroalkyl acids (PFAAs) mixture
on Oryzias latipes using a flow-through exposure system.
AB - To elucidate the multi-generational estrogenic potential of Perfluoroalkyl acids
(PFAAs) mixture, vitellogenin (VTG) expression, growth indices, histological
alteration, fecundity, hatching rate, larval survival rate, and sex ratio of
Japanese medaka (Oryzias latipes) were investigated by exposing the fish to a
mixture of perfluorooctane sulfonate (PFOS), perfluroroctanoic acid (PFOA),
perfluorobutane sulfonate (PFBS), and perfluorononanoic acid (PFNA) for three
generations (238 days). Mixture composition is in the ratio of 1:1:1:1. In
addition, whole body burden for each PFAA was analyzed. According to the results,
concentrated levels of the PFAAs in both F1 and F2 generation O. latipes were
ordered PFOS > PFNA > PFOA > PFBS at both low concentration (0.5 MUg/L) and high
concentration (5 MUg/L), whereas a significant difference in whole body burden
based on sex or generation was not detected. Significant induction of VTG
expression in F2 and the decline of the gonad somatic index (GSI) in F1 were
observed following PFAAs mixture exposure (p < 0.05, one-way ANOVA). Furthermore,
suppression level of reproduction rate relative to the control increased as
generation was transferred to the next in response to PFAAs mixture or 17 beta
estradiol exposure, with the inhibition of hatchability observed in the F1
generation. The PFAA high concentration caused significant alteration of F1
generation sex ratio, suggesting the adverse effect of PFAA in population level
(Chi-square test, P > 0.05). Overall, this study demonstrated that PFAA mixture
could have the potential of multi-generational endocrine disruptors in O.
latipes.
PMID- 27875721
TI - Percutaneous mitral valve repair: The last chance for symptoms improvement in
advanced refractory chronic heart failure?
AB - BACKGROUND: The role of percutaneous mitral valve repair (PMVR) in patients with
end-stage heart failure (HF) and functional mitral regurgitation (FMR) is
unclear. METHODS: Seventy-five consecutive patients with FMR grade>=3+ and severe
HF symptoms despite optimal medical therapy and resynchronization therapy
underwent PMVR with the MitraClip system (Abbott, Abbott Park, IL, USA) at 3
centers. Clinical evaluation, echocardiography and pro-BNP measurement were
performed at baseline and at 6-month. RESULTS: Mean age was 67+/-11years,
logistic EuroSCORE=23+/-18%, left ventricle ejection fraction (LVEF) 30+/-9%. In
6 patients (8%) PMVR was performed as a bridge to heart transplant; many patients
were dependent from iv diuretics and/or inotropes. Rate of serious adverse in
hospital events was 1.3% (1 patient who died after conversion to cardiac
surgery). Sixty-three patients (84%) were discharged with MR<=2+. At 6-month, 4
patients died (5%), 80% had MR<=2+ and 75% were in New York Heart Association
class <=II. Median pro-BNP decreased from 4395pg/ml to 2594pg/ml (p=0.04). There
were no significant changes in LV end-diastolic volume (222+/-75ml vs. 217+/-79,
p=0.19), end-systolic volume (LVESV, 154+/-66ml vs. 156+/-69, p=0.54) and LVEF
(30+/-9% vs. 30+/-12%, p=0.86). Significant reverse remodeling (reduction of
LVESV>=10%) was observed in 25%, without apparent association with baseline
characteristics. The number of hospitalizations for HF in comparison with the
6months before PMVR were reduced from 1.1+/-0.8 to 0.3+/-0.6 (p<0.001).
CONCLUSIONS: In extreme risk HF patients with FMR, PMVR improved symptoms and
reduced re-hospitalization and pro-BNP levels at 6months, despite the lack of LV
reverse remodeling.
PMID- 27875723
TI - Orosomucoid as prognosis factor associated with inflammation in acute or
nutritional status in chronic heart failure.
AB - BACKGROUND: Inflammation and nutritional state are involved in the pathogenesis
of heart failure (HF). OBJECTIVE: To study the contribution of alpha-1-acid
glycoprotein (AGP) to these factors and its prognostic value in acute (AHF) or
chronic HF (CHF). METHODS: The observational study has included 147 patients
(mean age 70years, 62% men) admitted to a cardiology department for HF and
followed-up for an average 326.6+/-140.8days. Blood AGP values were measured by
Enzyme-Linked ImmunoSorbent Assay. Monocytes subsets were determined with CD14
and CD16 antibodies by flow cytometry and body composition was measured by dual
energy X-ray absorptiometry. The regulation of tumor necrosis factor (TNF-alpha)
and leptin by AGP in epicardial adipose tissue (EAT) were analyzed by real time
polymerase chain reaction. RESULTS: High AGP, that was associated with CD14+CD16+
monocytes, and proBNP levels at the discharge were indicators of
rehospitalization for HF in AHF patients. However, low AGP levels determined a
worse nutritional state in CHF patients. The leptin levels were downregulated by
high AGP concentration in epicardial fat. CONCLUSION: AGP is a dual indicator in
HF because high levels are predictors of adverse outcomes in AHF but low levels
are related to the worse nutritional status in CHF. The regulation of leptin by
AGP in epicardial fat might suggest a new pathway as protective mechanism in CHF.
PMID- 27875722
TI - Progenitor cells from atria, ventricle and peripheral blood of the same patients
exhibit functional differences associated with cardiac repair.
AB - AIM: Deciding the best cell type for cardiac regeneration remains a big
challenge. No studies have directly compared the functional efficacy of cardiac
progenitor cells (CPCs) with extra-cardiac stem cells isolated from the same
patient. METHODS AND RESULTS: We compared the functional characteristics of
endothelial progenitor cells (EPCs), right atrial (RAA) CPCs and left ventricular
(LV) CPCs isolated from the same patients (n=14). Within the same heart, RAA and
LV CPCs exhibited marked differences in surface marker expression, with RAA CPCs
exhibiting better expansion potential and migration properties. When subjected to
hypoxia and serum starvation to simulate in vivo ischemic environment, RAA and LV
CPCs exhibited similar pattern of resistance to apoptotic cell death under
ischemia. Interestingly, EPCs exhibited highest resistance to apoptotic cell
death, however, they also showed the lowest proliferation under hypoxia. RT
profiler array showed comparable gene expression pattern in RAA and LV CPCs,
while they were differentially expressed in EPCs. Further, treating human
umbilical vein endothelial cells with conditioned medium (CM) from LV showed
maximum angiogenic potential, while cardiomyocytes treated with CM from RAA
showed greatest survival under hypoxic conditions. CONCLUSIONS: Results from this
study provide the first evidence that progenitor cells from different regions
exhibit functional differences within the same patient.
PMID- 27875724
TI - The LifeLines Cohort Study: Prevalence and treatment of cardiovascular disease
and risk factors.
AB - BACKGROUND: The LifeLines Cohort Study is a large three-generation prospective
study and Biobank. Recruitment and data collection started in 2006 and follow-up
is planned for 30years. The central aim of LifeLines is to understand healthy
ageing in the 21st century. Here, the study design, methods, baseline and major
cardiovascular phenotypes of the LifeLines Cohort Study are presented. METHODS
AND RESULTS: Baseline cardiovascular phenotypes were defined in 9700 juvenile (8
18years) and 152,180 adult (>=18years) participants. Cardiovascular disease (CVD)
was defined using ICD-10 criteria. At least one cardiovascular risk factor was
present in 73% of the adult participants. The prevalence, adjusted for the Dutch
population, was determined for risk factors (hypertension (33%),
hypercholesterolemia (19%), diabetes (4%), overweight (56%), and current smoking
(19%)) and CVD (myocardial infarction (1.8%), heart failure (1.0%), and atrial
fibrillation (1.3%)). Overall CVD prevalence increased with age from 9% in
participants<65years to 28% in participants>=65years. Of the participants with
hypertension, hypercholesterolemia and diabetes, respectively 75%, 96% and 41%
did not receive preventive pharmacotherapy. CONCLUSIONS: The contemporary
LifeLines Cohort Study provides researchers with unique and novel opportunities
to study environmental, phenotypic, and genetic risk factors for CVD and is
expected to improve our knowledge on healthy ageing. In this contemporary Western
cohort we identified a remarkable high percentage of untreated CVD risk factors
suggesting that not all opportunities to reduce the CVD burden are utilised.
PMID- 27875726
TI - The association of uncoupling protein 2 (UCP2) exon 8 insertion/deletion
polymorphism and ECG derived QRS duration: A cross-sectional study in an
Australian rural population.
AB - BACKGROUND: Associations between inherited mitochondrial disease and cardiac
conduction have been previously described. However, there are no available
studies exploring the mitochondrial uncoupling protein 2 gene (UCP2)
insertion/deletion (I/D) polymorphisms interaction on cardiac electrical
conduction. Our aim was to determine if ECG-derived QRS duration is associated
with UCP2 DD genotype in a cross-sectional Australian aging rural population.
METHODS: A retrospective study design utilizing a rural health diabetic screening
clinic data-base containing observational data from September 2011 to September
2014. Inclusion criteria included were having ECG parameters such as QRS duration
measures and a DNA sample within the same subject. Genomic DNA was extracted and
subjects were genotyped for the 45-bp I/D polymorphism in the 3'-untranslated
region of UCP2. RESULTS: 281 individuals were available for analysis. On the
basis of QRS duration >140ms we found an increased percentage of our population
with DD homozygotes, compared to ID heterozygotes and II homozygotes (p=0.047).
For other ECG parameters; mean PQ duration, QTc across UCP2 genotypes was not
significant (p=NS). QTc using a cut-off >440ms in contingency table analysis
revealed no significant differences across UCP2 I/D genotypes. Mean QT dispersion
(QTd) was paradoxically less in the UCP2 DD genotype compared to UCP2 II subgroup
(p=0.034). DISCUSSION: We have demonstrated an association between increasing ECG
derived QRS duration >140ms and the UCP2 DD polymorphism. The lack of association
with ECG derived QTd and UCP2 DD may suggest that gene-related QRS duration
prolongation is independent of cardiac hypertrophy.
PMID- 27875725
TI - Association of CXCR4 expression with coronary collateralization in patients with
chronic total coronary occlusion: A nested case-control study.
AB - OBJECTIVE: CXCR4 signaling contributes to the development and progression of
neovascularization. The objective of this study was to investigate whether CXCR4
expression in peripheral CD34+ cells associated with the coronary
collateralization (CC) in patients with chronic total coronary occlusion (CTO).
METHODS AND RESULTS: We measured CXCR4 expression in peripheral CD34+ cells and
assessed its relation with CC in a nested case-control study including 78 cases
and 78 matched controls aged 38-69years, assessed in January 2011 to December
2012 and with at least 1year of follow-up before the index date. Cases were
defined as good coronary collateralization (GCC) according to the Rentrop scoring
system (Rentrop score of 2 or 3); for each case, one age-matched control with
poor coronary collateralization (PCC) (Rentrop score 0 or 1) was randomly
selected from the study participants. Demographic, biochemical, and angiographic
variables were collected. In multivariate analysis, the OR (95% CI) of CXCR4
expression was 0.018 (0.017 to 0.020) in patients with GCC versus PCC.
Independent effect of CXCR4 expression on CC was (OR 0.012, 95% CI 0.010-0.014)
when adjusted for other variables. A nonlinear relationship between CXCR4
expression and CC was observed. The CC degree increased when CXCR4 expression
exceeded the turning point (30%) (OR 0.025, 95% CI 0.022-0.028; p<0.001). When
the CXCR4 expression exceeded 75%, increased CXCR4 level could not promoted CC
(OR 0.000, 95% CI 0.008-0.007; p=0.974). CONCLUSION: Increased CXCR4 level in
peripheral CD34+ cells was associated with GCC in patients with CTO.
PMID- 27875727
TI - Predictor of left ventricular dysfunction after aortic valve replacement in mixed
aortic valve disease.
AB - BACKGROUND: The fate of the left ventricle (LV) after aortic valve replacement
(AVR) in mixed aortic valve disease (MAVD) is unknown. METHODS: Patients with
moderate-severe MAVD, ejection fraction >=50%, and no coronary artery disease who
underwent AVR were identified. Moderate-severe MAVD was defined as a combination
of >=moderate aortic stenosis and >=moderate aortic regurgitation. Assessment for
LVD was performed at 1 and 5years after AVR. The purpose of the study was to
determine prevalence and predictors of early and late left ventricular
dysfunction (LVD) defined as ejection fraction <50% at 1 and 5years post-AVR. The
severity of LV hypertrophy was assessed using LV mass index (LVMI), while
relative wall thickness (RWT) was used to determine the type of hypertrophy. RWT
was calculated as (2*posterior wall thickness)/LV end-diastolic dimension
(LVEDD). A RWT score >=0.42 and <0.42 indicates concentric and eccentric
hypertrophy respectively. RESULTS: Patients with MAVD (n=179); age 63+/-8years,
males 134 (75%); underwent AVR at Mayo Clinic, 1994-2010. Early LVD occurred in
38(21%). Predictors of early LVD were LVMI/LVEDD >3.1 (HR 1.83, CI 1.59-1.98);
RWT >0.46 (HR 2.16, CI 1.21-4.99); and older age (HR 1.62, CI 1.23-3.02).
Assessment of LV function was performed in 124 patients at 5-years post-AVR, and
late LVD was present in 29(23%). Predictors of late LVD were LVMI/LVEDD >3.1 (HR
1.77, CI 1.24-2.01) and RWT >0.46 (HR 1.65, CI 1.29-2.24). All-cause mortality
occurred in 21(12%), and was more common in patients with LVMI/LVEDD >3.1
(P=0.043) and RWT >0.46 (P=0.029). Patients with postoperative LVD showed less
regression of LV mass after AVR even after controlling for blood pressure.
CONCLUSIONS: LVD can occur after AVR even in the setting of normal preoperative
LV function and absence of coronary artery disease. Preoperative LV mass was
predictive of LVD and should be taken into consideration when determining the
timing of AVR.
PMID- 27875728
TI - Exercise-induced pulmonary hypertension by stress echocardiography: Prevalence
and correlation with right heart hemodynamics.
AB - OBJECTIVES: The aim of this study was to determine the prevalence of exercise
induced pulmonary hypertension (EIPH) in consecutive subjects referred for stress
echocardiography for chest pain or shortness of breath and correlate
echocardiographic diagnosis of EIPH with hemodynamics at right heart
catheterization (RHC). BACKGROUND: Elevated pulmonary pressure can lead to
significant morbidity and mortality. EIPH by ehocardiography has been described
in patients with connective tissue disease. It's prevalence in the setting of
routine clinically indicated stress echocardiography unknown. METHODS: In a
retrospective analysis of 4068 consecutive stress subjects undergoing stress
echocardiography, 479 subjects with EIPH were identified. All 479 subjects with
EIPH were compared to 479 age and sex matched subjects with normal pulmonary
artery pressures post exercise. EIPH was defined as PASP>50mmHg or peak tricuspid
regurgitation velocity>3.2m/s. Of 100 patients with EIPH who underwent RHC we
identified variables which predicted abnormal hemodynamic findings on RHC.
RESULTS: The prevalence of EIPH in subjects referred for stress echocardiography
was 11.7%. A greater proportion of subjects with EIPH were obese or had lung
disease or connective tissue disease. Of 100 subjects who underwent RHC, 65 had
abnormal results. Age>55years (OR 5.1, p<0.01]) or dilated left atrium (OR 4.4,
p=0.02]) were independently associated with abnormal right heart hemodynamics.
CONCLUSIONS: The results demonstrate that 11.7% of patients undergoing clinically
indicated stress echocardiography have EIPH. Of those who underwent RHC abnormal
hemodynamics were significantly associated with a dilated left atrium or age
older than 55years.
PMID- 27875729
TI - "Seesaw balloon-wire cutting" technique is superior to Tornus catheter in balloon
uncrossable chronic total occlusions.
AB - BACKGROUND: Inability to advance a balloon is a well-recognized cause leading to
a failure in recanalization of chronic total occlusions (CTOs) despite
successfully passing a guidewire. A few techniques and devices have been
introduced to facilitate balloon passage, especially the use of Tornus catheter.
However, complex manipulation, expensive cost, and availability limit the
application of these methods. This study was to evaluate the efficiency and
safety of "seesaw balloon-wire cutting" technique in comparison with Tornus
catheter for balloon uncrossable CTOs. METHODS: Eighty patients with balloon
uncrossable CTOs were enrolled in this study. Among them, 40 patients treated
with "seesaw balloon-wire cutting" technique were consecutively investigated and
40 patients treated with Tornus catheter before were matched retrospectively. A
rotablator or retrograde strategy was taken as a bail-out strategy. Success rates
of device and procedure and complication rate were assessed. Complications
included coronary dissection, cardiac tamponade, death, Q-wave myocardial
infarction (MI), non-Q-wave MI, emergency PCI and bypass surgery. RESULTS:
Compared with the Tornus catheter, device success rate was significantly higher
with the "seesaw balloon-wire cutting" technique (87.5% vs. 45.0%, P<0.001), and
the mean procedural time was much shorter (90.5+/-8.3min vs. 141.5+/-21.3min,
P<0.001). The procedural success rate was also higher with the "seesaw balloon
wire cutting" technique (92.5% vs.72.5%, P=0.037). There were no differences in
complication rate. CONCLUSION: The "seesaw balloon-wire cutting" technique is
superior to the Tornus catheter in treating balloon uncrossable CTOs.
PMID- 27875730
TI - Contemporary review on the pathogenesis of takotsubo syndrome: The heart shedding
tears: Norepinephrine churn and foam at the cardiac sympathetic nerve terminals.
AB - Takotsubo syndrome (TS), an increasingly recognized acute cardiac disease entity,
is characterized by a unique pattern of circumferential and typically regional
left ventricular wall motion abnormality resulting in a conspicuous transient
ballooning of the left ventricle during systole. The mechanism of the disease
remains elusive. However, the sudden onset of acute myocardial stunning in a
systematic pattern extending beyond a coronary artery territory; the history of a
preceding emotional or physical stress factor in two thirds of cases; the signs
of sympathetic denervation at the regions of left ventricular dysfunction on
sympathetic scintigraphy; the finding of myocardial edema and other signs
consistent with (catecholamine-induced) myocarditis shown by cardiac magnetic
resonance imaging; and the contraction band necrosis on histopathological
examination all argue strongly for the involvement of the cardiac sympathetic
nervous system in the pathogenesis of TS. In this narrative review, extensive
evidence in support of local cardiac sympathetic nerve hyperactivation,
disruption and norepinephrine spillover causing TS in predisposed patients is
provided.
PMID- 27875731
TI - A study of the short-term associations between hospital admissions and mortality
from heart failure and meteorological variables in Hong Kong: Weather and heart
failure in Hong Kong.
AB - BACKGROUND: Previous research has shown winter peaks for both hospitalizations
and mortality from HF, but few studies have examined the association between
meteorological parameters and HF. METHODS: Daily HF admissions to Hong Kong
public hospitals, which cover about 83% of total admissions, and daily HF deaths,
were obtained for 2002-2011. Generalized additive (Poisson) regression models
were used with daily HF admissions/mortality as outcomes and daily mean
temperature, humidity, and wind speed as predictors, while controlling for
pollutant levels, time trend, season, day of the week, and holiday. Non-linear
distributed lag functions were used for predictors to allow for non-linear and
delayed associations. RESULTS: Lower mean daily temperatures were strongly
associated with increased HF admissions and mortality with a cumulative (to
23days) relative risk (RR) (95% confidence interval (CI)) for HF admissions of
2.63 (2.43, 2.84) for an 11 degrees C. vs. a 25 degrees Cday, and cumulative
(42days) RR (95% CI)=3.13 (1.90, 5.16) for HF mortality. The association with
cold weather was stronger among older age groups and for new hospitalizations
compared to recurrent ones, while presence of co-morbidities did not modify the
association. Both high and low relative humidity were modestly associated with
more admissions. CONCLUSIONS: Both HF admissions and mortality in Hong Kong were
very strongly associated with cold temperatures. Reducing exposure to cold
temperatures among those at risk for HF has the potential to reduce
hospitalizations and mortality.
PMID- 27875732
TI - Sirtuin 1 protects the aging heart from contractile dysfunction mediated through
the inhibition of endoplasmic reticulum stress-mediated apoptosis in cardiac
specific Sirtuin 1 knockout mouse model.
AB - BACKGROUND: The longevity regulator Sirtuin 1 is an NAD+-dependent histone
deacetylase that regulates endoplasmic reticulum stress and influences
cardiomyocyte apoptosis during cardiac contractile dysfunction induced by aging.
The mechanism underlying Sirtuin 1 function in cardiac contractile dysfunction
related to aging has not been completely elucidated. METHODS: We evaluated
cardiac contractile function, endoplasmic reticulum stress, apoptosis, and
oxidative stress in 6- and 12month-old cardiac-specific Sirtuin 1 knockout (Sirt1
/-) and control (Sirt1f/f) mice using western blotting and immunohistochemistry.
Mice were injected with a protein disulphide isomerase inhibitor. For in vitro
analysis, cultured H9c2 cardiomyocytes were exposed to either a Sirtuin 1
inhibitor or activator, with or without a mitochondrial inhibitor, to evaluate
the effects of Sirtuin 1 on endoplasmic reticulum stress, nitric oxide synthase
expression, and apoptosis. The effects of protein disulphide isomerase inhibition
on oxidative stress and ER stress-related apoptosis were also investigated.
RESULTS: Compared with 6-month-old Sirt1f/f mice, marked impaired contractility
was observed in 12-month-old Sirt1-/- mice. These findings were consistent with
increased endoplasmic reticulum stress and apoptosis in the myocardium. Measures
of oxidative stress and nitric oxide synthase expression were significantly
higher in Sirt1-/- mice compared with those in Sirt1f/f mice at 6months. In vitro
experiments revealed increased endoplasmic reticulum stress-mediated apoptosis in
H9c2 cardiomyocytes treated with a Sirtuin 1 inhibitor; the effects were
ameliorated by a Sirtuin 1 activator. Moreover, consistent with the in vitro
findings, impaired cardiac contractility was demonstrated in Sirt1-/- mice
injected with a protein disulphide isomerase inhibitor. CONCLUSION: The present
study demonstrates that the aging heart is characterized by contractile
dysfunction associated with increased oxidative stress and endoplasmic reticulum
stress and Sirtuin 1 might have the ability to protect the aging hearts from the
inhibition of endoplasmic reticulum-mediated apoptosis.
PMID- 27875734
TI - Comparison of two commonly used clinical cognitive screening tests to diagnose
mild cognitive impairment in heart failure with the golden standard European
Consortium Criteria.
AB - INTRODUCTION: This study on mild cognitive impairment (MCI) in heart failure (HF)
compares the utility of Montreal Cognitive Assessment (MoCA) to the Mini-Mental
Status Exam (MMSE) for diagnosing MCI in a HF population when compared to the
golden standard European Consortium Criteria (ECC). METHODS: Participants were
recruited from the Alberta HEART study at the Mazankowski Alberta Heart Institute
in Edmonton and St. Mary's hospital in Camrose. This study enrolled 53 community
adults aged>50years: 33 HF and 20 controls. Participants were assessed using both
the MMSE and MoCA for MCI. MCI was diagnosed using the golden standard, European
Consortium Criteria. Sensitivity and specificity analysis, positive and negative
predictive values, likelihood ratios and kappa statistic were calculated.
RESULTS: The mean age was 72.8years (SD 8.4), 60.4% were females and 34% had
underlying ischemic heart disease. Overall, two thirds of patients (22/33, 66%)
with HF had MCI. In comparison to European Consortium Criteria, the sensitivity
and specificity of MoCA were 82% and 91% in identifying individuals with MCI, and
MMSE were 9% and 91%, respectively. The positive and negative predictive values
for MoCA were 95% and 71%, and for MMSE were 67% and 33%, respectively. Kappa
statistics showed good agreement between MoCA and consortium criteria
(kappa=0.68) and a low agreement between MMSE and consortium criteria
(kappa=0.07). CONCLUSION: Cognitive dysfunction is common in patients with HF.
Overall, the MoCA seems to be a better screening tool than MMSE for MCI in HF
patients.
PMID- 27875733
TI - Relationship between ambient temperature and frequency and severity of
cardiovascular emergencies: A prospective observational study based on out-of
hospital care data.
AB - OBJECTIVE: To test the hypothesis that more cardiovascular emergencies occur at
low rather than at high temperatures under moderate climatic conditions. METHODS:
This was a prospective observational study performed in a prehospital setting.
Data from the Emergency Medical Service in Hamburg (Germany) and from the local
weather station were evaluated over a 5-year period. Temperature data were
matched with the associated rescue mission data. Lowess-Regression analysis was
performed to assess the relationship between the temperature and the frequency of
individual cardiovascular emergencies. In addition, three threshold-temperatures
(0 degrees C, 10 degrees C, 20 degrees C) were defined in order to determine the
frequency of cardiovascular emergencies above and below each cut-off value. The
severity of emergencies was assessed using the National Advisory Committee for
Aeronautics (NACA) scoring system. RESULTS: A total of 35,390 cardiovascular
emergencies were treated by Emergency Physicians. Transient Loss of Consciousness
increased at high temperatures (above 20 degrees C): +43% (95%-CI: [27%; 59%]).
In contrast, Coronary Artery Disease +26% (95%-CI: [17%; 34%]), Cardiac Pulmonary
Edema +21% (95%-CI: [14%; 27%]), Hypertensive Urgency +18% (95%-CI: [10%; 25%])
and Cerebrovascular Accident +17% (95%-CI: [8%; 24%]) increased at low
temperatures, particularly below 10 degrees C (significance level for all:
p<0.001). No temperature-related effect was seen in Cardiac Arrhythmia and
Pulmonary Embolism and no significant correlation was found between the severity
of emergencies and temperature. CONCLUSIONS: Our findings suggest that some
cardiovascular emergencies such as Coronary Artery Disease, Cardiac Pulmonary
Edema, Hypertensive Urgency and Cerebrovascular Accident are more frequent in low
temperatures even under mild climatic conditions.
PMID- 27875735
TI - Superior long term outcome associated with native vessel versus graft vessel PCI
following secondary PCI in patients with prior CABG.
AB - BACKGROUND: Secondary percutaneous coronary intervention (PCI) in patients with
prior coronary artery bypass graft surgery is increasingly common. Graft vessel
PCI has higher rates of adverse events compared with native coronary vessel PCI.
AIM: To investigate the clinical outcomes of patients with prior CABG who
underwent secondary PCI of either a graft vessel (GV), a native coronary vessel
(NV) or both graft and native (NG) vessels. METHODS: 220 patients (84% male) who
underwent PCI in our institution to either GV (n=89), NV (n=103) or both GV and
NV (NG group) (n=28) were studied. The study population underwent 378 procedures
(GV group; n=126, NV group; n=164 and NG group; n=88). Median follow up was for
36months [range 2-75months]. RESULTS: Target vessel revascularisation (TVR)
occurred in 12.5% of the GV group and 3.6% in the NV group [p=0.0004], and was
predominantly due to in-stent restenosis. Patients who had PCI due to TVR were
more likely to suffer from diabetes and peripheral vascular disease. History of
chronic renal failure was associated with higher risk (HR 2.21, p=0.005) whereas
preserved left ventricular ejection fraction (LVEF) with lower risk (HR 0.17,
p=0.0007) of death. The median survival (interval between CABG and end of follow
up period) was lower in the GV compared with the NV group (315 vs 372months
p=0.005). CONCLUSION: This registry demonstrates inferior long term outcome for
patients undergoing secondary PCI of GV versus NV. Where possible, a strategy of
NV rather than GV target PCI should be considered in patients with prior CABG.
CONDENSED ABSTRACT: Secondary PCI in patients with prior CABG surgery is
increasingly common. Graft vessel PCI has inferior outcomes with high rates of
restenosis and occlusion compared with native coronary vessel PCI. We studied the
clinical outcomes of 220 patients with prior CABG who underwent secondary PCI to
either a graft vessel (GV), a native coronary vessel (NV) or both graft and
native (NG) vessels. Target vessel revascularisation was 5 times higher in the GV
compared with the NV group. History of CRF and impaired left ventricular function
were associated with higher risk of death. We also found that the median survival
(interval between CABG and end of follow-up period) was better in the NV group
compared with GV group. This registry study demonstrates inferior long term
outcome for patients undergoing secondary PCI of GV. A strategy of NV rather than
GV target PCI should be considered in patients with prior CABG.
PMID- 27875736
TI - Emerging roles and mechanisms of long noncoding RNAs in atherosclerosis.
AB - Atherosclerosis is the most common cause of heart attacks, strokes, and
peripheral vascular disease. Atherosclerosis is predicted to be the primary cause
of death in the world by 2020. Increasing evidence suggests that long non-protein
coding RNAs (lncRNAs) are important for the regulation of tissue homeostasis and
pathophysiological conditions. Although knowledge about lncRNAs in
atherosclerosis and other cardiovascular diseases is sparse, lncRNAs are
clinically interesting because of their diagnostic and therapeutic value. This
review summarizes knowledge about lncRNAs through their actions, related research
methods and effects on atherosclerosis to provide helpful insights about how
lncRNAs work and control atherosclerosis process and how lncRNA-related
strategies could benefit human beings.
PMID- 27875737
TI - Experimental evidence for a severe proarrhythmic potential of levosimendan.
AB - BACKGROUND: The calcium sensitizer levosimendan is established for therapy of
acutely decompensated congestive heart failure. Clinical experience suggests a
possible proarrhythmic potential. The aim of the present study was to assess
possible proarrhythmic effects and underlying electrophysiological mechanisms.
METHODS AND RESULTS: Ten rabbit hearts were isolated and Langendorff-perfused.
Thereafter, levosimendan was infused in 3 concentrations (0.5, 1, and 2MUM).
Eight endo- and epicardial monophasic action potentials and a 12-lead ECG showed
a dose-dependent reduction of QT interval (0.5MUM: -27ms, 1MUM:-33ms, 2MUM:
77ms; p<0.05) and action potential duration at 90% of repolarization (APD90;
0.5MUM: -12ms, 1MUM: -12ms, 2MUM: -20ms). There was no significant increase in
dispersion of repolarization. The described abbreviation of myocardial
repolarization was accompanied by a significant decrease of effective refractory
period (ERP; 0.5MUM: -16ms, 1MUM: -20ms, 2MUM:-27ms; p<0.05). Under baseline
conditions, ventricular fibrillation was inducible by programmed stimulation and
aggressive burst stimulation in 3 of 10 hearts (4 episodes). After application of
1MUM levosimendan, 8 of 10 control hearts were inducible (27 episodes). Of note,
in 8 of 10 hearts after infusion of up to 2MUM levosimendan, incessant
ventricular fibrillation that could not be terminated by multiple external
defibrillations occurred. CONCLUSION: In the present study, acute infusion of
levosimendan resulted in an abbreviation of ventricular repolarization and a
reduction of ERP. This led to a significantly elevated inducibility of
ventricular fibrillation. In 8 of 10 hearts, incessant ventricular fibrillation
occurred. These results suggest a proarrhythmic effect of levosimendan and might
explain an increased mortality that coincided levosimendan treatment in a few
small clinical studies.
PMID- 27875738
TI - Heart rate response to exercise in heart failure patients: The prognostic role of
metabolic-chronotropic relation and heart rate recovery.
AB - BACKGROUND: The dynamics of the sinus node response to exercise is linked to
functional capacity and outcome in heart failure (HF). The goal of the work was
to analyze determinants and impacts of cardio-acceleration, described by the
concept of metabolic-chronotropic relation (MCR) and of cardio-deceleration,
described by heart rate recovery (HRR). METHODS: A cohort of 25 healthy controls
and 78 patients with advanced systolic HF and optimized medical and/or device
therapy (97% receiving beta-blockers, 54% ICD) underwent maximal cardiopulmonary
exercise test and were prospectively followed. RESULTS: HF patients had impaired
exercise performance compared with controls (pVO2 15+/-4 vs. 29+/-7ml.kg-1.min-1,
p<0.0001) and lower both MCR slope (0.54+/-0.24 vs. 0.90+/-0.15, p<0.0001) and
HRR (14.7+/-7.9 vs. 18.3+/-4.2min-1, p=0.03). In HF patients, MCR slope was
inversely associated with beta-blocker dose (r=-0.24), NYHA class (r=-0.28) and
HF duration (r=-0.25), whereas HRR with estimated glomerular filtration rate
(eGFR, r=0.39), age (r=-0.28) and BMI (r=-0.31, all p<0.05). During a follow-up
of 1269+/-933days, 64% patients experienced an adverse outcome (death, urgent
transplantation, left ventricular assist device implantation). Those patients had
higher NT-proBNP (p=0.02), worse left ventricular systolic function (LVEF,
p=0.03) and lower MCR slope (p=0.02) but not HRR (p=0.19). MCR slope (but not
HRR) was a significant outcome predictor (p=0.02 for Cox unadjusted model) even
after adjustment for LVEF, serum natrium, systolic blood pressure, eGFR and NT
proBNP (p=0.04). CONCLUSION: MCR slope is associated with different clinical
variables than HRR. Compared to HRR, MCR slope provides significant prognostic
information in HF patients.
PMID- 27875739
TI - Plasma levels of atrial and brain natriuretic peptides in apparently healthy
subjects: Effects of sex, age, and hemoglobin concentration.
AB - BACKGROUND: To examine whether the use of one value of natriuretic peptides to
define "normal" is appropriate in all individuals, and to assess the influence of
sex, age, and other variables on atrial and brain natriuretic peptides (ANP, BNP)
levels. METHODS AND RESULTS: A total of 1375 apparently healthy people
(women:155, men:1220), aged 18-70years were enrolled. Both ANP and BNP levels
were higher in women than in men (ANP: 12.50+/-6.82pg/mL vs 8.18+/-4.19pg/mL;
BNP: 9.85+/-7.63pg/mL vs 7.03+/-6.97pg/mL). The subjects were divided into three
age groups: group I, 18-30years; group II, 30-50years; group III, 50-70years.
First, the influence of age on ANP and BNP levels was examined. In women, both
ANP and BNP levels were higher in groups II and III than those in group I. In
men, ANP and BNP levels increased with age. Second, sex differences in ANP and
BNP levels due to age were examined. ANP level was higher in women than that in
men in all age groups. BNP level was higher in women than that in men in groups I
and II. Multivariate analysis indicated that both ANP and BNP levels were
influenced by age, hemoglobin level, and platelet counts. CONCLUSION: Because ANP
and BNP levels in healthy subjects are influenced by sex, age, and hemoglobin
levels, the use of a single value to define "normal" in all individuals is not
appropriate.
PMID- 27875740
TI - Conditional knockout of TFPI-1 in VSMCs of mice accelerates atherosclerosis by
enhancing AMOT/YAP pathway.
AB - BACKGROUND: Tissue factor pathway inhibitor-1 (TFPI-1) has multiple functions and
its precise role and molecular mechanism during the development of
atherosclerosis are not clear. OBJECTIVES: To determine the effect and molecular
mechanism of TFPI-1 deficiency in vascular smooth muscle cells (VSMCs) in
atherosclerosis in the apolipoprotein E knockout (ApoE-/-) mouse. METHODS AND
RESULTS: A mouse model with a conditional knockout of TFPI-1 in VSMCs in an
atherosclerosis-prone background (ApoE-/-) was generated. Mice were fed a high
fat diet for 18weeks and were then euthanized. Arterial trees and aortas were
stained with Sudan IV and were labeled via immunohistochemistry. Cell
proliferation and migration of VSMCs in atherosclerotic plaques were assessed.
More atherosclerotic lesions and higher levels of proliferation and migration of
VSMCs were observed in TFPI-1fl/fl/Sma-Cre+ApoE-/-mice. An interaction between
TFPI-1 and angiomotin (AMOT) was identified in human VSMCs by mass spectrometry,
immunoprecipitation and co-localization analyses. Signal pathway changes were
detected by Western blot analysis, and the expression levels of target genes were
determined by real-time PCR. Decreased phosphorylation of AMOT and Yes-associated
protein 1 (YAP) in TFPI-1fl/fl/Sma-Cre+ApoE-/- mice resulted in increased
expression levels of snail family zinc finger 2 (SLUG) and connective tissue
growth factor (CTGF), which are target genes of the Hippo signaling pathway that
have been verified as atherosclerosis candidate genes. CONCLUSION: Deficiency in
TFPI-1 in the VSMCs of ApoE-/- mice accelerated the development of
atherosclerosis by promoting the proliferation and migration of VSMCs which may
be caused by the decreased phosphorylation of AMOT and YAP. SIGNIFICANCE: TFPI-1
has been found to has an anticoagulant activity, induce cell apoptosis and
prevent cell proliferation. For the first time, we constructed a line of
conditional knockout mice in which the TPFI-1 gene is deleted in VSMCs. We found
that TFPI-1 deficiency clearly promoted the development of atherosclerosis when
these mice were crossed into an ApoE-/-background. One notable feature of
atherosclerosis is the proliferation and migration of smooth muscle cells.
Previous reports involved TFPI-1 do not completely explain the proliferation and
migration of VSMCs because heterozygous TF deficient (TF+/-) mice bred in an ApoE
/- background did not show diminished atherosclerosis compared to TF+/+ mice bred
in the same background. Our results first confirmed that TFPI-1 interacts with
AMOT, which led to a decrease in the phosphorylation of YAP and further increased
the genes expression of the proliferation and migration involved. Our results
further confirmed that atherosclerosis was a localized disease.
PMID- 27875741
TI - A contrast enhancement method for improving the segmentation of breast lesions on
ultrasonography.
AB - PURPOSE: This paper presents an adaptive contrast enhancement method based on
sigmoidal mapping function (SACE) used for improving the computerized
segmentation of breast lesions on ultrasound. METHODS: First, from the original
ultrasound image an intensity variation map is obtained, which is used to
generate local sigmoidal mapping functions related to distinct contextual
regions. Then, a bilinear interpolation scheme is used to transform every
original pixel to a new gray level value. Also, four contrast enhancement
techniques widely used in breast ultrasound enhancement are implemented:
histogram equalization (HEQ), contrast limited adaptive histogram equalization
(CLAHE), fuzzy enhancement (FEN), and sigmoid based enhancement (SEN). In
addition, these contrast enhancement techniques are considered in a computerized
lesion segmentation scheme based on watershed transformation. The performance
comparison among techniques is assessed in terms of both the quality of contrast
enhancement and the segmentation accuracy. The former is quantified by the
measure, where the greater the value, the better the contrast enhancement,
whereas the latter is calculated by the Jaccard index, which should tend towards
unity to indicate adequate segmentation. RESULTS: The experiments consider a data
set with 500 breast ultrasound images. The results show that SACE outperforms its
counterparts, where the median values for the measure are: SACE: 139.4, SEN:
68.2, HEQ: 64.1, CLAHE: 62.8, and FEN: 7.9. Considering the segmentation
performance results, the SACE method presents the largest accuracy, where the
median values for the Jaccard index are: SACE: 0.81, FEN: 0.80, CLAHE: 0.79, HEQ:
77, and SEN: 0.63. CONCLUSION: The SACE method performs well due to the
combination of three elements: (1) the intensity variation map reduces intensity
variations that could distort the real response of the mapping function, (2) the
sigmoidal mapping function enhances the gray level range where the transition
between lesion and background is found, and (3) the adaptive enhancing scheme for
coping with local contrasts. Hence, the SACE approach is appropriate for
enhancing contrast before computerized lesion segmentation.
PMID- 27875742
TI - Map-based cloning and characterization of the novel yellow-green leaf gene ys83
in rice (Oryza sativa).
AB - Leaf-color mutants have been extensively studied in rice, and many corresponding
genes have been identified up to now. However, leaf-color mutation mechanisms are
diverse and still need further research through identification of novel genes. In
the present paper, we isolated a leaf-color mutant, ys83, in rice (Oryza sativa).
The mutant displayed a yellow-green leaf phenotype at seedling stage, and then
slowly turned into light-green leaf from late tillering stage. In its yellow
leaves, photosynthetic pigment contents significantly decreased and the
chloroplast development was retarded. The mutant phenotype was controlled by a
recessive mutation in a nuclear gene on the short arm of rice chromosome 2. Map
based cloning and sequencing analysis suggested that the candidate gene was YS83
(LOC_Os02g05890) encoding a protein containing 165 amino acid residues. Gene YS83
was expressed in a wide range of tissues, and its encoded protein was targeted to
the chloroplast. In the mutant, a T-to-A substitution occurred in coding sequence
of gene YS83, which caused a premature translation of its encoded product. By
introduction of the wild-type gene, the ys83 mutant recovered to normal green
leaf phenotype. Taken together, we successfully identified a novel yellow-green
leaf gene YS83. In addition, number of productive panicles per plant and number
of spikelets per panicle only reduced by 6.7% and 7.6%, respectively, meanwhile
its seed setting rate and 1000-grain weight (seed size) were not significantly
affected in the mutant, so leaf-color mutant gene ys83 could be used as a trait
marker gene in commercial hybrid rice production.
PMID- 27875743
TI - From the struggle of defining to the understanding of dignity: A commentary on
Barclay (2016) "In sickness and in dignity: A philosophical account of the
meaning of dignity in health care".
PMID- 27875744
TI - Identification of protein secondary structures by laser induced autofluorescence:
A study of urea and GnHCl induced protein denaturation.
AB - In the present study an attempt has been made to interrogate the bulk secondary
structures of some selected proteins (BSA, HSA, lysozyme, trypsin and
ribonuclease A) under urea and GnHCl denaturation using laser induced
autofluorescence. The proteins were treated with different concentrations of urea
(3M, 6M, 9M) and GnHCl (2M, 4M, 6M) and the corresponding steady state
autofluorescence spectra were recorded at 281nm pulsed laser excitations. The
recorded fluorescence spectra of proteins were then interpreted based on the
existing PDB structures of the proteins and the Trp solvent accessibility
(calculated using "Scratch protein predictor" at 30% threshold). Further, the
influence of rigidity and conformation of the indole ring (caused by protein
secondary structures) on the intrinsic fluorescence properties of proteins were
also evaluated using fluorescence of ANS-HSA complexes, CD spectroscopy as well
as with trypsin digestion experiments. The outcomes obtained clearly demonstrated
GnHCl preferably disrupt helix as compared to the beta beta-sheets whereas, urea
found was more effective in disrupting beta-sheets as compared to the helices.
The other way round the proteins which have shown detectable change in the
intrinsic fluorescence at lower concentrations of GnHCl were rich in helices
whereas, the proteins which showed detectable change in the intrinsic
fluorescence at lower concentrations of urea were rich in beta-sheets. Since high
salt concentrations like GnHCl and urea interfere in the secondary structure
analysis by circular dichroism Spectrometry, the present method of analyzing
secondary structures using laser induced autofluorescence will be highly
advantageous over existing tools for the same.
PMID- 27875745
TI - Water as probe molecule for midgap states in nanocrystalline strontium titanate
by conventional and synchronous luminescence spectroscopy under ambient
conditions.
AB - Alkaline earth metal titanates are broad bandgap semiconductors with applications
in electronic devices, as catalysts, photocatalysts, sorbents, and sensors.
Strontium titanate SrTiO3 is of interest in electronic devices, sensors, in the
photocatalytic hydrogen generation, as catalyst and sorbent. Both photocatalysis
and operation of electronic devices rely upon the pathways of relaxation of
excited charge in the semiconductor, including relaxation through the midgap
states. We report characterization of nanocrystalline SrTiO3 at room temperature
by "conventional" vs. synchronous luminescence spectroscopy and complementary
methods. We determined energies of radiative transitions in the visible range
through the two midgap states in the nanocrystalline SrTiO3. Further, adsorption
and desorption of vapor of water as "probe molecule" for midgap states in the
nanocrystalline SrTiO3 was studied, for the first time, by luminescence
spectroscopy under ambient conditions. Emission of visible light from the
nanocrystalline SrTiO3 is significantly increased upon desorption of water and
decreased (quenched) upon adsorption of water vapor, due to interactions with the
surface midgap states.
PMID- 27875746
TI - De novo and inherited SCN8A epilepsy mutations detected by gene panel analysis.
AB - OBJECTIVES: To determine the incidence of pathogenic SCN8A variants in a cohort
of epilepsy patients referred for clinical genetic testing. We also investigated
the contribution of SCN8A to autism spectrum disorder, intellectual disability,
and neuromuscular disorders in individuals referred for clinical genetic testing
at the same testing laboratory. METHODS: Sequence data from 275 epilepsy panels
screened by Emory Genetics Laboratory were reviewed for variants in SCN8A. Two
additional cases with variants in SCN8A were ascertained from other testing
laboratories. Parental samples were tested for variant segregation and clinical
histories were examined. SCN8A variants detected from gene panel analyses for
autism spectrum disorder, intellectual disability, and neuromuscular disorders
were also examined. RESULTS: Five variants in SCN8A were identified in five
individuals with epilepsy. Three variants were de novo, one was inherited from an
affected parent, and one was inherited from an unaffected parent. Four of the
individuals have epilepsy and developmental delay/intellectual disability. The
remaining individual has a milder epilepsy presentation without cognitive
impairment. We also identified an amino acid substitution at an evolutionarily
conserved SCN8A residue in a patient who was screened on the autism spectrum
disorder panel. Additionally, we examined the distribution of pathogenic SCN8A
variants across the Nav1.6 channel and identified four distinct clusters of
variants. These clusters are primarily located in regions of the channel that are
important for the kinetics of channel inactivation. CONCLUSIONS: Variants in
SCN8A may be responsible for a spectrum of epilepsies as well as other
neurodevelopmental disorders without seizures. The predominant pathogenic
mechanism appears to involve disruption of channel inactivation, leading to gain
of-function effects.
PMID- 27875747
TI - New model of pharmacoresistant seizures induced by 3-mercaptopropionic acid in
mice.
AB - About 30% of the patients with epilepsy do not respond to clinically established
anticonvulsants, despite having effective concentrations of the antiepileptic
drug in plasma. Therefore, new preclinical models of epilepsy are needed to
identify more efficacious treatments. We describe here a new drug-resistant
seizure model in mice to be used at the early stages of pre-clinical trials. This
model consists in inducing daily generalized seizures for 23 consecutive days by
administration of 3-mercaptopropionic acid (MP). As a result, 100% of animals
become resistant to phenytoin and 80% to phenobarbital. Such resistance is
strongly associated with the overexpression of P-glycoprotein (Pgp), observed in
cerebral cortex, hippocampus and striatum while resistance to Pgp nonsubstrate
drugs such as carbamazepine, diazepam and levetiracetam is not observed. This
model could be useful for screening novel anticonvulsant drugs with a potential
effect on pharmacoresistant seizures treatment.
PMID- 27875748
TI - Piperine attenuates lipopolysaccharide (LPS)-induced inflammatory responses in
BV2 microglia.
AB - Piperine, the chief alkaloid isolated from Piper nigrum, has been known to have
anti-inflammatory effect. However, the effects of piperine on neuroinflammation
have not been reported. In the present study, we evaluated the effects of
piperine on neuroinflammation in BV2 microglia and investigated the molecular
mechanism. The results showed that piperine significantly inhibited LPS-induced
TNF-alpha, IL-6, IL-1beta, and PGE2 production in BV2 cells. Western blot
analysis showed that piperine dose-dependently inhibited LPS-induced NF-kappaB
activation. Furthermore, piperine was found to amplify the expression of Nrf2 and
HO-1 up-regulated by LPS. In addition, the inhibition of inflammatory mediators
by piperine can be reversed by transfection with Nrf2 siRNA. In conclusion,
piperine inhibited LPS-induced inflammatory response by activating Nrf2 signaling
pathway. These results indicated that piperine may be a promising agent for the
treatment of neurodegenerative diseases.
PMID- 27875750
TI - How children's victimization relates to distorted versus sensitive social
cognition: Perception, mood, and need fulfillment in response to Cyberball
inclusion and exclusion.
AB - This study examined whether victimization is associated with negatively distorted
social cognition (bias), or with a specific increased sensitivity to social
negative cues, by assessing the perception of social exclusion and the
consequences for psychological well-being (moods and fundamental needs). Both
self-reported and peer-reported victimization of 564 participants (Mage=9.9years,
SD=1.04; 49.1% girls) were measured, and social exclusion was manipulated through
inclusion versus exclusion in a virtual ball-tossing game (Cyberball). Children's
perceptions and psychological well-being were in general more negative after
exclusion than after inclusion. Moreover, self-reported-but not peer-reported
victimization was associated with the perception of being excluded more and
receiving the ball less, as well as more negative moods and less fulfillment of
fundamental needs, regardless of being excluded or included during the Cyberball
game. In contrast, peer-reported victimization was associated with more negative
mood and lower need fulfillment in the exclusion condition only. Together, these
results suggest that children who themselves indicate being victimized have
negatively distorted social cognition, whereas children who are being victimized
according to their peers experience increased sensitivity to negative social
situations. The results stress the importance of distinguishing between self
reported and peer-reported victimization and have implications for interventions
aimed at victimized children's social cognition.
PMID- 27875749
TI - Systematic investigation on the turning point of over-inflammation to
immunosuppression in CLP mice model and their characteristics.
AB - Immunosuppression is involved in refractory innate and adaptive immune responses
and is considered to be the predominant driving force for mortality in sepsis.
The cecal ligation and puncture (CLP) model is regarded as a golden standard
model for sepsis study, but the turning point of over-inflammation to
immunosuppression was reported differently. Herein, systematic investigation on
the turning point of over-inflammation to immunosuppression in CLP mice model was
carried out. The results showed only the mortality of mice challenged with of
Pseudomonas aeruginosa on Day 1 not other days after the surgery was higher than
that of other mice with Sham surgery, suggesting Day 1 after the CLP surgery
might be the turning point. There was very low mortality even without death in
Sham mice but the mortality was 80% after mice were challenged with 2.5*107,
5.0*106 and 1.0*106CFU/10g of Pseudomonas aeruginosa, further demonstrating Day 1
after the CLP surgery was the turning point. And, CLP mice presented low levels
of pro-inflammatory and anti-inflammatory cytokines, and high bacterial loads on
Day 1. Additionally, the amounts and proportion of blood cells and monocytes
significantly changed, too. In conclusion, Day 1 after the CLP surgery was the
turning point of over-inflammation to immunosuppression, and low levels of
cytokines and high bacterial loads were the characteristics of this model on Day
1, which is significant for pharmacological investigation on sepsis.
PMID- 27875751
TI - All-in-one dual-aptasensor capable of rapidly quantifying carcinoembryonic
antigen.
AB - Using a dual DNA aptamer (CEA aptamer linked to hemin aptamer), capable of
rapidly capturing carcinoembryonic antigen (CEA) and hemin, an all-in-one dual
aptasensor with 1,1'-oxalyldiimidazole (ODI) chemiluminescence detection was
developed for the early diagnosis of human cancer. CEA and hemin competitively
bound with the dual DNA aptamer while the mixture in a detection cell was
incubated for 30min at room temperature. When Amplex Red and H2O2 were added in
the detection cell after the incubation, the yield of resorufin formed from the
reaction Amplex Red and H2O2 depended on the concentration of HRP-mimicking G
quardruplex DNAzyme formed from the binding interaction between hemin and the
dual DNA aptamer. Bright red light was observed with the addition of ODI and H2O2
in the detection cell containing resorufin. Relative CL intensity of all-in-one
dual-aptasensor, operated with the competitive reaction of CEA and hemin in the
presence of the dual aptamer, was exponentially decreased with the increase of
CEA concentration in human serum. The limit of detection (LOD=3sigma) of the all
in-one dual-aptasensor which operated with excellent accuracy, precision, and
reproducibility was as low as 0.58ng/ml. The good correlation between the easy to
use all-in-one dual-aptasensor and conventional enzyme-linked immunosorbent assay
(ELISA), operated with time consuming procedures (e.g., long incubations and
multiple washings), indicates that the rapid all-in-one dual-aptasensor can be
applied as a novel clinical tool for the early diagnosis of breast cancer.
PMID- 27875752
TI - Toxicity evaluation of e-juice and its soluble aerosols generated by electronic
cigarettes using recombinant bioluminescent bacteria responsive to specific
cellular damages.
AB - Electronic-cigarettes (e-cigarette) are widely used as an alternative to
traditional cigarettes but their safety is not well established. Herein, we
demonstrate and validate an analytical method to discriminate the deleterious
effects of e-cigarette refills (e-juice) and soluble e-juice aerosol (SEA) by
employing stress-specific bioluminescent recombinant bacterial cells (RBCs) as
whole-cell biosensors. These RBCs carry luxCDABE-operon tightly controlled by
promoters that specifically induced to DNA damage (recA), superoxide radicals
(sodA), heavy metals (copA) and membrane damage (oprF). The responses of the RBCs
following exposure to various concentrations of e-juice/SEA was recorded in real
time that showed dose-dependent stress specific-responses against both the e
juice and vaporized e-juice aerosols produced by the e-cigarette. We also
established that high doses of e-juice (4-folds diluted) lead to cell death by
repressing the cellular machinery responsible for repairing DNA-damage,
superoxide toxicity, ion homeostasis and membrane damage. SEA also caused the
cellular damages but the cells showed enhanced bioluminescence expression without
significant growth inhibition, indicating that the cells activated their global
defense system to repair these damages. DNA fragmentation assay also revealed the
disintegration of total cellular DNA at sub-toxic doses of e-juice. Despite their
state of matter, the e-juice and its aerosols induce cytotoxicity and alter
normal cellular functions, respectively that raises concerns on use of e
cigarettes as alternative to traditional cigarette. The ability of RBCs in
detecting both harmful effects and toxicity mechanisms provided a fundamental
understanding of biological response to e-juice and aerosols.
PMID- 27875753
TI - Searching for anomalous methane in shallow groundwater near shale gas wells.
AB - Since the 1800s, natural gas has been extracted from wells drilled into
conventional reservoirs. Today, gas is also extracted from shale using high
volume hydraulic fracturing (HVHF). These wells sometimes leak methane and must
be re-sealed with cement. Some researchers argue that methane concentrations, C,
increase in groundwater near shale-gas wells and that "fracked" wells leak more
than conventional wells. We developed techniques to mine datasets of groundwater
chemistry in Pennsylvania townships where contamination had been reported. Values
of C measured in shallow private water wells were discovered to increase with
proximity to faults and to conventional, but not shale-gas, wells in the entire
area. However, in small subareas, C increased with proximity to some shale-gas
wells. Data mining was used to map a few hotspots where C significantly
correlates with distance to faults and gas wells. Near the hotspots, 3 out of 132
shale-gas wells (~2%) and 4 out of 15 conventional wells (27%) intersect faults
at depths where they are reported to be uncased or uncemented. These results
demonstrate that even though these data techniques do not establish causation,
they can elucidate the controls on natural methane emission along faults and may
have implications for gas well construction.
PMID- 27875754
TI - The impact of diet and arginine supplementation on pancreatic mass, digestive
enzyme activity, and insulin-containing cell cluster morphology during the
estrous cycle in sheep.
AB - To determine the effect of feed intake and arginine treatment during different
stages of the estrous cycle on pancreatic mass, digestive enzyme activity, and
histological measurements, ewes (n = 120) were randomly allocated to 1 of 3
dietary groups; control (CON; 2.14-Mcal metabolizable energy/kg), underfed (UF;
0.6 * CON), or overfed (OF; 2 * CON) over 2 yr. Estrus was synchronized using a
controlled internal drug release device for 14 d. At controlled internal drug
release withdrawal, ewes from each dietary group were assigned to 1 of 2
treatments; Arg (L-Arg HCl, 155-MUmol/kg BW) or Sal (approximately 10-mL saline).
Treatments were administered 3 times daily via jugular catheter and continued
until slaughter on d (day) 5 and 10 of the second estrus cycle (early luteal
phase, n = 41 and mid-luteal phase, n = 39; yr 1) and d 15 of the first estrus
cycle (late luteal phase, n = 40; yr 2). A blood sample collected from jugular
catheters for serum insulin analysis before slaughter. The pancreas was then
removed, trimmed of mesentery and fat, weighed, and a sample snap-frozen until
enzyme analysis. Additional pancreatic samples were fixed in 10% formalin
solution for histological examination of size and distribution of insulin
containing cell clusters. Data were analyzed as a completely randomized design
with a factorial arrangement of treatments. Diet, treatment, and diet * treatment
were blocked by yr and included in the model with initial BW used as a covariate.
Day of the estrous cycle was initially included in the model but later removed as
no effects (P > 0.10) were observed for any pancreatic variables tested. Overfed
ewes had the greatest (P < 0.001) change in BW, final BW, change in BCS, and
final BCS. A diet * treatment interaction was observed for change in BW and final
BW (P <= 0.004). Overfed and CON had increased (P < 0.001) pancreas weight (g)
compared with UF ewes. Protein concentration (g/pancreas) was the lowest (P <
0.001) in UF ewes, whereas protein content (mg/kg BW) was greater (P = 0.03) in
UF than OF ewes. Activity of alpha-amylase (U/g, kU/pancreas, U/kg of BW, and U/g
protein) and trypsin (U/pancreas) was greater (P <= 0.003) in OF than UF ewes.
Serum insulin was the greatest (P < 0.001) in OF ewes. No effects were observed
for pancreatic insulin-containing cell clusters. This study demonstrated that
plane of nutrition affected several measurements of pancreatic function; however,
the dosage of Arg used did not influence pancreatic function.
PMID- 27875755
TI - Individual differences in brainstem and basal ganglia structure predict postural
control and balance loss in young and older adults.
AB - It remains unclear which specific brain regions are the most critical for human
postural control and balance, and whether they mediate the effect of age. Here,
associations between postural performance and corticosubcortical brain regions
were examined in young and older adults using multiple structural imaging and
linear mixed models. Results showed that of the regions involved in posture, the
brainstem was the strongest predictor of postural control and balance: lower
brainstem volume predicted larger center of pressure deviation and higher odds of
balance loss. Analyses of white and gray matter in the brainstem showed that the
pedunculopontine nucleus area appeared to be critical for postural control in
both young and older adults. In addition, the brainstem mediated the effect of
age on postural control, underscoring the brainstem's fundamental role in aging.
Conversely, lower basal ganglia volume predicted better postural performance,
suggesting an association between greater neural resources in the basal ganglia
and greater movement vigor, resulting in exaggerated postural adjustments.
Finally, results showed that practice, shorter height and heavier weight (i.e.,
higher body mass index), higher total physical activity, and larger ankle active
(but not passive) range of motion were predictive of more stable posture,
irrespective of age.
PMID- 27875757
TI - The effect of body mass index on fluoroscopy time and radiation dose in intra
articular glenohumeral joint injections.
AB - PURPOSE: To determine the relationship between body mass index (BMI) and
fluoroscopy time and radiation dose during fluoroscopy-guided glenohumeral joint
injections. METHODS: This was a retrospective analysis of prospectively collected
data. Physicians with board certification in Physical Medicine and Rehabilitation
and/or Sports Medicine performed or supervised all injections. BMI was calculated
within three months of the injection. Fluoroscopy time and radiation dose data
were recorded by the fluoroscopy system and transcribed into the clinical
database after each procedure. RESULTS: A total of 335 intra-articular GHJ
injections were performed, 230 on the right shoulder and 105 on the left
shoulder; none were bilateral. The mean fluoroscopy time for all injections was
18.8+/-12.6s, and the mean radiation DAP was 656+/-1190mGy-cm2. There was no
significant difference in fluoroscopy time or dose between first-time and repeat
injections (P=.405; P=.011) and no significant differences in fluoroscopy time or
radiation dose when a trainee was involved (P=.756 for time and P=.149 for dose).
Needle lengths of 1.5, 2.5, or 3.5in. were used during the injection, and there
was no significant difference in needle length selection between BMI groups
(P=.319). CONCLUSIONS: Intra-articular glenohumeral joint injection fluoroscopy
time and radiation dose are not affected by body mass index, age, gender, trainee
involvement, first versus repeat injection, or needle length. This procedure is
associated with a dose of radiation that likely has minimal to no clinical
significance.
PMID- 27875756
TI - Patterns of cortisol and alpha-amylase reactivity to psychosocial stress in
maltreated women.
AB - BACKGROUND: Childhood maltreatment can trigger enduring changes in major stress
response systems, particularly in the context of major depressive disorder (MDD).
However, the relative impact of maltreatment versus MDD on hypothalamic-pituitary
adrenal axis and sympathetic-adrenal-medullary system stress reactivity is not
well understood. METHOD: This study examined salivary cortisol and alpha-amylase
responses to the Trier Social Stress Test (TSST) in 26 maltreated (15 with
current MDD) and 26 non-maltreated (17 with current MDD) women. RESULTS:
Maltreated women showed greater anticipatory cortisol reactivity during the TSST
protocol compared to non-maltreated women. Maltreated women also showed rapid
deceleration in cortisol levels. Whereas non-maltreated women showed initial
declines in alpha-amylase levels but rapidly increasing alpha-amylase levels
during the TSST protocol, maltreated women did not exhibit changes in alpha
amylase levels during the TSST protocol. Contrary to expectation, MDD did not
impact cortisol or alpha-amylase responses. LIMITATIONS: The present study is
limited by retrospective report of childhood maltreatment, cross-sectional
design, and modest sample sizes. CONCLUSIONS: These findings suggest that
childhood maltreatment plays a greater role driving alterations in cortisol and
alpha-amylase stress reactivity than MDD. Understanding the biological embedding
of maltreatment is critical for elucidating mechanisms linking these experiences
to risk for negative mental and physical health outcomes.
PMID- 27875759
TI - Round ligament leiomyoma: a rare manifestation of a common entity.
AB - A 68-year-old woman with a history of multifocal uterine leiomyomas presented
with left groin pain and was referred for cross-sectional imaging to assess for
the presence of an inguinal hernia. In this patient, MRI demonstrated a round
ligament leiomyoma encased in the proximal left inguinal canal. Leiomyomas are
the most common benign gynecologic tumors, however round ligament leiomyomas are
very rare. The purpose of this case report is to highlight a rare manifestation
of a common entity.
PMID- 27875758
TI - Beyond the lymph nodes: FDG-PET/CT in primary extranodal lymphoma.
AB - Extranodal lymphoma can be the primary presentation or secondary to systemic
involvement of lymphoma. 2-(fluorine-18) fluoro-2-deoxy-d-glucose positron
emission tomography with computer tomography (FDG-PET/CT) is useful in detecting
extranodal sites during staging, treatment response assessment or recurrence
detection in patients with lymphoma. In this article, we reviewed the imaging
features and FDG avidity of primary extranodal lymphoma of various organs and
systems on FDG-PET/CT, demonstrating the pearls and pitfalls of FDG-PET/CT in
evaluating this disease entity and cross-referencing to other imaging modalities
that aid in diagnosis and management.
PMID- 27875760
TI - Comparing cancer detection rates of patients undergoing short term follow-up vs
routine follow-up after benign breast biopsies, is follow-up needed?
AB - PURPOSE: To compare cancer rates after benign breast biopsies between patients
with short term imaging follow-up (STFU) and those with routine follow-up (RFU).
MATERIALS & METHODS: Retrospective review of benign stereotactic, US or DCE-MRI
breast biopsies. RESULTS: Of 580 lesions, 192 (33%) had STFU, and 388 (67%) had
RFU. For US and mammographic detected lesions, there is no difference in cancer
rates between the STFU (1 cancer, n=148) and the RFU group (0 cancer, n=365)
(p=0.29). There were 2 cancers in the STFU group versus 0 in the RFU DCE-MRI
group (p=0.54). CONCLUSION: Our results support RFU after benign ultrasound and
stereotactic breast biopsies.
PMID- 27875761
TI - Prognostic value of post-Yttrium 90 radioembolization therapy 18F
fluorodeoxyglucose positron emission tomography in patients with liver tumors.
AB - OBJECTIVE: This study assessed the benefit of post-therapy 18F-FDG PET/CT versus
CT alone in identifying malignant liver tumor progression following
radioembolization with Y-90 microspheres. METHODS: 24 patients with 44 liver
tumors underwent CT imaging pre-radioembolization and PET/CT post
radioembolization. Predictive value of Response Evaluation Criteria in Solid
Tumors (RECIST 1.1), The World Health Organization (WHO), mRECIST and European
Association for the Study of the Liver (EASL) with PET/CT versus CT alone was
assessed. RESULTS: Prediction of liver malignancy progression was improved
(p<0.05) for tumors labeled as non-responding based on combined PET/CT with
RECIST 1.1, WHO, mRECIST, and EASL criteria compared to assessment without PET.
CONCLUSIONS: The addition of post-therapy PET to routine CT in patients with
hepatic tumors undergoing radioembolization may improve identification of non
responding tumors.
PMID- 27875762
TI - Review of clinical studies and first clinical experiences with a commercially
available cone-beam breast CT in Europe.
AB - The dedicated cone-beam breast computed tomography (CBBCT) is a new and promising
imaging modality which provides isotropic, 3D images of the breast with high
spatial and contrast resolution. Non-contrast and contrast-enhanced CBBCT (CE
CBBCT) was superior to mammography for the visualization of breast masses,
especially in patients with dense breast tissue. CE-CBBCT accurately detects DCIS
and distinguishes it from benign causes of microcalcifications when compared with
non-contrast CBBCT and mammography. The purpose of this report is to describe the
technology and its possible indications, and to present the first results from
recent clinical studies, illustrating these with our own image examples.
PMID- 27875763
TI - Production of bio-oil and biochar from soapstock via microwave-assisted co
catalytic fast pyrolysis.
AB - In this study, production of bio-oil and biochar from soapstock via microwave
assisted co-catalytic fast pyrolysis combining the advantages of in-situ and ex
situ catalysis was performed. The effects of catalyst and pyrolysis temperature
on product fractional yields and bio-oil chemical compositions were investigated.
From the perspective of bio-oil yield, the optimal pyrolysis temperature was 550
degrees C. The use of catalysts reduced the water content, and the addition of
bentonite increased the bio-oil yield. Up to 84.16wt.% selectivity of
hydrocarbons in the bio-oil was obtained in the co-catalytic process. In
addition, the co-catalytic process can reduce the proportion of oxygenates in the
bio-oil to 15.84wt.% and eliminate the N-containing compounds completely. The
addition of bentonite enhanced the BET surface area of bio-char. In addition, the
bio-char removal efficiency of Cd2+ from soapstock pyrolysis in presence of
bentonite was 27.4wt.% higher than without bentonite.
PMID- 27875764
TI - Biological pretreatment of sugarcane bagasse with basidiomycetes producing varied
patterns of biodegradation.
AB - This work evaluated sugarcane bagasse pretreatment with wood-decay fungi,
producing varied patterns of biodegradation. The overall mass balance of sugars
released after pretreatment and enzymatic hydrolysis indicated that a selective
white-rot was necessary to provide glucose yields similar to the ones observed
from leading physico-chemical pretreatment technologies. The selective white-rot
Ceriporiopsis subvermispora was selective for lignin degradation in the
lignocellulosic material, preserved most of the glucan fraction, and increased
the cellulose digestibility of biotreated material. Glucose mass balances
indicated that of the potential glucose of untreated bagasse, 47% was recovered
as sugar-rich syrup after C. subvermispora biotreatment for 60days followed by
enzymatic digestion of the pretreated material.
PMID- 27875765
TI - The dynamic changes and interactional networks of prokaryotic community between
co-digestion and mono-digestions of corn stalk and pig manure.
AB - Anaerobic co-digestion is considered to be an efficient way to improve the biogas
production. The abundance, dynamic and interactional networks of prokaryotic
community were investigated between co-digestion and mono-digestions of corn
stalk and pig manure in mesophilic batch test. Co-digestion showed higher methane
production, and contributed to suitable microenvironment as well as stable
prokaryotic community structure. The highest methane production was achieved with
the highest relative abundance of Methanosaeta. Prokaryotic community in mono
digestions might inhibited by FA or FVFA. The functional modules in co-digestion
and mono-digestion of pig manure clustered together with bigger size and higher
degree, and the connections of metabolic functions were better-organized, which
means high-efficient utilization of substrate and prevention of the two digestion
systems crash. The partial mantel tests showed the functional modules were
significantly affected by environmental factors. These results further explained
that why co-digestion was more efficient than mono-digestion owing to suitable
microenvironment.
PMID- 27875766
TI - Augmentation of bacterial homeostasis by regulating in situ buffer capacity:
Significance of total dissolved salts over acidogenic metabolism.
AB - During anaerobic fermentation, consequent accumulation of acidic fermented
products leads to the failure of pH homeostasis. The present study aimed to
comprehend the changes in buffering capacity with addition of sodium salts of
hydroxide, bicarbonate and phosphate. The results showed notable augmentation in
buffer capacity and cumulative hydrogen production (CHP) compared to control. The
influential factor is the amount of undissociated volatile fatty acids released
that affected the cell metabolism and consequently biohydrogen generation. It is
inferred that among the tested salts, sodium bicarbonate has substantial
buffering capacity (beta, 0.035+/- mol) ensuing maximum CHP (468+/- mL). Besides,
bioelectrochemical analysis revealed variations in redox currents that aligned
with biohydrogen production. The study provides valuable information on the role
of inorganic dissolved salts that would be required to regulate H2 generation and
acidogenesis in the aspects of acid-gas phase system.
PMID- 27875768
TI - High efficiency succinic acid production from glycerol via in situ fibrous bed
bioreactor with an engineered Yarrowia lipolytica.
AB - In this study, in situ fibrous bed bioreactor (isFBB) was developed at the first
time for efficient succinic acid (SA) production by Yarrowia lipolytica. After
optimization, SA titer, productivity and yield of 51.9g/L, 1.46g/L/h and 0.42g/g
were obtained respectively via isFBB fermentation under conditions of 750cm2
cotton towel, 120g/L initial glycerol and 3L/min aeration rate. By fed batch
strategy, SA titer raised up to 198.2g/L was achieved, which was the highest
value ever reported. In operation stability study, SA productivity showed no
obvious decrease after 12 repeated batches of 460h fermentation, and cell
viability even recovered within two repeated batches after intentional
interruption. This study successfully attained a highly efficient and stable
isFBB for enhanced SA production by Y. lipolytica.
PMID- 27875767
TI - Enhanced bioelectricity generation and azo dye treatment in a reversible photo
bioelectrochemical cell by using novel anthraquinone-2,6-disulfonate (AQDS)/MnOx
doped polypyrrole film electrodes.
AB - A novel anthraquinone-2,6-disulfonate/MnOx-doped polypyrrole film (AQDS/Mn/PPy)
electrode was prepared by one-step electropolymerization method and was used to
improve performance of a reversible photo-bioelectrochemical cell (RPBEC). The
RPBEC was operated in polarity reversion depended on dark/light reaction of alga
Chlorella vulgaris by which sequential decolorization of azo dye and
mineralization of decolorization products coupled with bioelectricity generation
can be achieved. The results showed that formation of uniform AQDS/Mn/PPy film
significantly enhanced electroactive surface area and electrocatalytic activity
of carbon electrode. The RPBEC with AQDS/Mn/PPy electrodes demonstrated 77%
increases in maximum power and 73% increases in Congo red decolorization rate
before polarity reversion, and 198% increases in maximum power and 138% increases
in decolorization products mineralization rate after polarity reversion,
respectively, compared to the RPBEC with bare electrode. This was resulted from
simultaneous dynamics improvement in half-reaction rate of anode and photo
biocathode due to enhanced electron transfer and algal-bacterial biofilm
formation.
PMID- 27875769
TI - Status epilepticus in pregnant women with epilepsy after valproate adjustment: A
case series.
AB - PURPOSE: Valproate is an effective wide-spectrum anti-epileptic drug that is also
known to be teratogenic. Its administration in epileptic women remains
controversial. This report aims to draw more attention to valproate adjustment
before and during pregnancy. METHODS: We collected medical records of pregnant
women with epilepsy at West China Hospital in Chengdu, China who developed status
epilepticus during pregnancy after valproate withdrawal or reduction in dose from
January 2013 to July 2015. RESULTS: A total of 281 pregnancies in patients with
epilepsy were examined; 6 episodes of status epilepticus occurred during these
pregnancies. Four patients with status epilepticus took long-term valproate
before pregnancy. Among them, 2 patients stopped taking valproate 3 months prior
to pregnancy, and 2 patients discontinued valproate or reduced their valproate
dose when pregnancy was confirmed. All 4 suffered from a convulsive status
epilepticus; 3 experienced an increased frequency of seizures. One child was
diagnosed with neonatal asphyxia, hypoxic-ischemic encephalopathy, and neonatal
pneumonia. CONCLUSION: Valproate adjustment prior to or during pregnancy may
result in deterioration of seizure control, which may include resultant status
epilepticus. Valproate during pregnancy increases the risk of major congenital
malformations, but the significance of maintaining seizure control in pregnant
women should be also taken into consideration. Open and careful discussion with
every pregnant woman with epilepsy should discuss the risk-benefit ratio of
epilepsy medications.
PMID- 27875770
TI - Evaluation of permeable pavement responses to urban surface runoff.
AB - The construction of permeable pavement (PP) in sidewalks of urban areas is an
alternative low impact development (LID) to control stormwater runoff volume and
consequently decrease the discharge of pollutants in receiving water bodies. In
this paper, some laboratory experiments were performed to evaluate the efficiency
of a PP subjected to sediment loadings during its life span. Simple infiltration
models were validated by the laboratory experiments to evaluate the trend and
extend of PP infiltration capacity throughout the life of the pavement operation.
In addition, performances of the PP in removing total suspended solids (TSS) and
selective nutrient pollutants such as NO3-,NH4+ and PO4-3 from the surface runoff
have been investigated. Experimental data showed that the PP was completely
clogged after seven hydrological years. The model revealed that the ratio of
horizontal to vertical hydraulic conductivity is 3.5 for this PP. Moreover, it
was found that 20% reduction in hydraulic conductivity occurred after three
hydrological years. The PP showed 100%, 23% and 59% efficiencies in sediment
retention (TSS removal), (PO4-3), and N-NH4+ removal during the entire study,
respectively. However, the removal efficiency of (N-NO3-) was -12% and we suspect
the increase in effluent (N-NO3-) is due to the nitrification process in
subsurface layers. This study demonstrated that when PPs are annually cleaned, it
is expected that PPs can function hydraulically and be able to remove particulate
pollutants during their life span by a proper maintenance.
PMID- 27875771
TI - Nemo-like kinase 1 (Nlk1) and paraxial protocadherin (PAPC) cooperatively control
Xenopus gastrulation through regulation of Wnt/planar cell polarity (PCP)
signaling.
AB - The Wnt/planar cell polarity (PCP) pathway directs cell migration during
vertebrate gastrulation and is essential for proper embryonic development.
Paraxial protocadherin (PAPC, Gene Symbol pcdh8.2) is an important activator of
Wnt/PCP signaling during Xenopus gastrulation, but how PAPC activity is
controlled is incompletely understood. Here we show that Nemo-like kinase 1
(Nlk1), an atypical mitogen-activated protein (MAP) kinase, physically associates
with the C-terminus of PAPC. This interaction mutually stabilizes both proteins
by inhibiting polyubiquitination. The Nlk1 mediated stabilization of PAPC is
essential for Wnt/PCP signaling, tissue separation and gastrulation movements. We
identified two conserved putative phosphorylation sites in the PAPC C-terminus
that are critical for Nlk1 mediated PAPC stabilization and Wnt/PCP regulation.
Intriguingly, the kinase activity of Nlk1 itself was not essential for its
cooperation with PAPC, suggesting an indirect regulation for example by impeding
a different kinase that promotes protein degradation. Overall these results
outline a novel, kinase independent role of Nlk1, wherein Nlk1 regulates PAPC
stabilization and thereby controls gastrulation movements and Wnt/PCP signaling
during development.
PMID- 27875773
TI - Bereitschaftspotential preceding spontaneous and voluntary eyelid blinks in
normal individuals.
AB - OBJECTIVE: To investigate the Bereitschaftspotential (BP) preceding spontaneous
and voluntary eyelid blinks in normal subjects. METHODS: Eighteen healthy
individuals (10 female) between 17 and 60years (mean 35) were studied. The EEG
was recorded from 11 scalp positions of the 10-20 international electrode
placement system referenced to linked mastoids. The vertical electrooculogram
(VEOG) was recorded from two electrodes positioned above and below the right eye.
The ground electrode was placed in the right clavicle. The recordings were
obtained during spontaneous and voluntary eyelid blinks. Two-second EEG segments
before the onset of the blink potential (visually identified at the VEOG channel)
were averaged and analyzed off-line. The statistical significance of differences
was evaluated by repeated-measures analysis of variance with Geisser-Greenhouse
correction for violation of sphericity and the Newman-Keuls test was used for
post hoc comparisons. RESULTS: A BP starting around -1700ms prior to the onset of
voluntary blink was observed; it had average amplitude in the negative peak of
3.3MUV. There was no BP preceding spontaneous blinking. CONCLUSION: A BP precedes
voluntary blinks but not spontaneous blinks. SIGNIFICANCE: This is the first
study evaluating the BP preceding spontaneous and voluntary eye blinks.
PMID- 27875772
TI - Hnf4alpha is a key gene that can generate columnar metaplasia in oesophageal
epithelium.
AB - Barrett's metaplasia is the only known morphological precursor to oesophageal
adenocarcinoma and is characterized by replacement of stratified squamous
epithelium by columnar epithelium. The cell of origin is uncertain and the
molecular mechanisms responsible for the change in cellular phenotype are poorly
understood. We therefore explored the role of two transcription factors, Cdx2 and
HNF4alpha in the conversion using primary organ cultures. Biopsy samples from
cases of human Barrett's metaplasia were analysed for the presence of CDX2 and
HNF4alpha. A new organ culture system for adult murine oesophagus is described.
Using this, Cdx2 and HNF4alpha were ectopically expressed by adenoviral
infection. The phenotype following infection was determined by a combination of
PCR, immunohistochemical and morphological analyses. We demonstrate the
expression of CDX2 and HNF4alpha in human biopsy samples. Our oesophageal organ
culture system expressed markers characteristic of the normal SSQE: p63, K14, K4
and loricrin. Ectopic expression of HNF4alpha, but not of Cdx2 induced expression
of Tff3, villin, K8 and E-cadherin. HNF4alpha is sufficient to induce a columnar
like phenotype in adult mouse oesophageal epithelium and is present in the human
condition. These data suggest that induction of HNF4alpha is a key early step in
the formation of Barrett's metaplasia and are consistent with an origin of
Barrett's metaplasia from the oesophageal epithelium.
PMID- 27875774
TI - Risk factors for acute kidney injury in critically ill patients with complicated
intra-abdominal infection.
AB - PURPOSE: The aim was to determine the factors related to acute kidney injury
(AKI) in surgical septic patients with complicated intra-abdominal infection
(CIAI) and mortality associated to AKI. METHODS: An observational study was
performed in patients with CIAI requiring surgery and ICU admission (June 2011
June 2013). Factors at admission associated with developing of AKI and renal
replacement therapy (RRT) and association between mortality and AKI and RRT were
studied. RESULTS: A total of 114 patients were included. Developing of AKI was
independently associated with the sequential organ failure assessment (SOFA)
score (odds ratio [OR], 1.570; 95% confidence interval [CI], 1.286-2.016) and
creatinine at admission (OR for 0.1 units, 1.560; 95% CI, 1.296-1.990). Renal
replacement therapy was independently associated with arterial hypertension (OR,
4.896; 95% CI, 1.501-15.971) and SOFA (OR, 1.713; 95% CI, 1.377-2.132). In
another model with more predictive capacity, the number of previous medications
that may alter renal function (OR, 3.732; 95% CI, 1.923-8.383) and SOFA (OR,
1.860; 95% CI, 1.469-2.541) were related to RRT. Both AKI and RRT were related to
intensive care unit (P=.014 and P<.001, respectively) and 28-day mortality
(P=.045 and P<.001, respectively). CONCLUSIONS: Acute kidney injury in patients
with CIAI is clearly associated with SOFA and creatinine at admission. Severe AKI
with RRT need is highly associated with both previous arterial hypertension and
the number of previous medications potentially affecting renal function.
PMID- 27875775
TI - Bronchoscopic intubation is an effective airway strategy in critically ill
patients.
AB - PURPOSE: American Society of Anesthesiologists guidelines recommend the use of
bronchoscopic intubation as a rescue technique in critically ill patients. We
sought to assess the safety and efficacy of bronchoscopic intubation as an
initial approach in critically ill patients. METHODS: We performed a
retrospective cohort study of patients who underwent endotracheal intubation in
the medical intensive care unit of a tertiary urban referral center over 1
academic year. The primary outcome was first-pass success rate. MEASUREMENTS AND
MAIN RESULTS: We identified 219 patients who underwent either bronchoscopic
(n=52) or laryngoscopic guided (n=167) intubation as the initial attempt. There
was a higher first-pass success rate in the bronchoscopic intubation group than
in the laryngoscopic group (96% vs 78%; P=.003). The bronchoscopic intubation
group had a higher body mass index (28.4 vs 25.9; P=.027) and higher
preintubation fraction of inspired oxygen requirement (0.73+/-0.27 vs 0.63+/
0.30; P=.044) than the laryngoscopic group. There were no cases of right mainstem
intubation, esophageal intubation, or pneumothorax with bronchoscopic intubation.
Rates of postintubation hypotension and hypoxemia were similar in both groups.
The association with first-pass success remained with multivariate and propensity
matched analysis. CONCLUSIONS: Bronchoscopic intubation as an initial strategy in
critically ill patients is associated with a higher first-pass success rate than
laryngoscopic intubation, and is not associated with an increase in
complications.
PMID- 27875776
TI - Symbiosis with AMF and leaf Pi supply increases water deficit tolerance of woody
species from seasonal dry tropical forest.
AB - In seasonal dry tropical forests, plants are subjected to severe water deficit,
and the arbuscular mycorrhizal fungi (AMF) or inorganic phosphorus supply (Pi)
can mitigate the effects of water deficit. This study aimed to assess the
physiological performance of Poincianella pyramidalis subjected to water deficit
in combination with arbuscular mycorrhizal fungi (AMF) and leaf inorganic
phosphorus (Pi) supply. The experiment was conducted in a factorial arrangement
of 2 water levels (+H2O and -H2O), 2 AMF levels (+AMF and -AMF) and 2Pi levels
(+Pi and -Pi). Leaf primary metabolism, dry shoot biomass and leaf mineral
nutrients were evaluated. Inoculated AMF plants under well-watered and drought
conditions had higher photosynthesis and higher shoot biomass. Under drought,
AMF, Pi or AMF+Pi plants showed metabolic improvements in photosynthesis, leaf
biochemistry and higher biomass compared to the plants under water deficit
without AMF or Pi. After rehydration, those plants submitted to drought with AMF,
Pi or AMF+Pi showed a faster recovery of photosynthesis compared to treatment
under water deficit without AMF or Pi. However, plants under the drought
condition with AMF showed a higher net photosynthesis rate. These findings
suggest that AMF, Pi or AMF+Pi increase the drought tolerance in P. pyramidalis,
and AMF associations under well-watered conditions increase shoot biomass and,
under drought, promoted faster recovery of photosynthesis.
PMID- 27875777
TI - Does pelvic floor muscle contraction early after delivery cause perineal pain in
postpartum women?
AB - OBJECTIVE: Pelvic floor muscle training is effective and necessary in the
prevention and treatment of pelvic floor dysfunction during pregnancy and after
childbirth. But because of the high prevalence of perineal pain observed in women
after childbirth, many women and caregivers fear to start pelvic floor muscle
training immediately after childbirth. However, it is unknown whether pelvic
floor muscle contractions (PFMC) provoke perineal pain in women shortly after
childbirth. Therefore, the main objective is to study whether PFMC performed
immediately after childbirth is painful or not. STUDY DESIGN: Observational
longitudinal study. Perineal pain was assessed (1-6 days and 9 weeks postpartum)
using a visual analogue scale (VAS 0-10) during PFMC and during several
activities of daily living (ADL), during micturition and defecation. Descriptive
statistics, Wilcoxon and McNemar tests were used. RESULTS: A total of 233 women
participated (148 primiparous and 85 multiparous). Immediately postpartum the
prevalence and intensity of pain during ADL (73%; VAS 4.9 (+/-2.3)), micturition
(47%; VAS 3.4 (+/-1.7)) and defecation (19%; VAS 3.6 (+/-2.2)) were significantly
higher (all p<0.000) than during PFMC (8%; VAS 2.2 (+/-0.9)). At 9 weeks
postpartum, 30% experienced perineal pain during sexual intercourse (VAS 4.6 +/-
2.3) and 18% during defecation (VAS 4.7 +/- 2.3), but none during PFMC.
CONCLUSION: Perineal pain is highly prevalent immediately after childbirth during
ADL, micturition and defecation, but not during PFMC (only 8%). In case perineal
pain occurs during PFMC, the intensity of pain is low (VAS 2). These results show
that fear of perineal pain should not discourage women to start pelvic floor
muscle training shortly after childbirth.
PMID- 27875778
TI - Phytochrome-interacting factor from Arabidopsis to liverwort.
AB - Phytochromes are red and far-red light photoreceptors that regulate the responses
of plants to light throughout their life cycles. Phytochromes do this in part by
inhibiting the function of a group of basic helix-loop-helix transcription
factors called phytochrome-interacting factors (PIFs). Arabidopsis has eight PIFs
that function sometimes redundantly and sometimes distinctively depending on
their expression patterns and protein stability, as well as on variations in the
promoters they target in vivo. PIF-like proteins exist in other seed plants and
non-vascular plants where they also regulate light responses. The mechanism by
which phytochrome regulates light responses by promoting the degradation of the
PIFs is conserved in liverwort, suggesting it must have evolved some time before
the last common ancestor shared by seed plants and non-vascular plants.
PMID- 27875780
TI - VP1 residues around the five-fold axis of enterovirus A71 mediate heparan sulfate
interaction.
AB - Enterovirus A71 (EV-A71) is a neurotropic enterovirus that uses heparan sulfate
as an attachment receptor. The molecular determinants of EV-A71-heparan sulfate
interaction are unknown. With In silico heparin docking and mutagenesis of all
possible lysine residues in VP1, we identified that K162, K242 and K244 are
responsible for heparin interaction and inhibition. EV-A71 mutants with K242A and
K244A rapidly acquired compensatory mutations, T100K or E98A, and Q145R-T237N
respectively, which restored the heparin-binding phenotype. Both VP1-98 and VP1
145 modulates heparin binding. Heparin-binding phenotype was completely abolished
with VP1-E98-E145, but was restored by an E98K or E145Q substitution. During cell
culture adaptation, EV-A71 rapidly acquired K98 or Q/G145 to restore the heparin
binding phenotype. Together with next-generation sequencing analysis, our results
implied that EV-A71 has high genetic plasticity by modulating positively-charged
residues at the five-fold axis during in vitro heparin adaptation. Our finding
has impact on EV-A71 vaccine production, evolutionary studies and pathogenesis.
PMID- 27875779
TI - Novel pyrimidine-2,4-dione-1,2,3-triazole and furo[2,3-d]pyrimidine-2-one-1,2,3
triazole hybrids as potential anti-cancer agents: Synthesis, computational and X
ray analysis and biological evaluation.
AB - Regioselective 1,4-disubstituted 1,2,3-triazole tethered pyrimidine-2,4-dione
derivatives (5-23) were successfully prepared by the copper(I)-catalyzed click
chemistry. While known palladium/copper-cocatalyzed method based on Sonogashira
cross-coupling followed by the intramolecular 5-endo-dig ring closure generated
novel 6-alkylfuro[2,3-d]pyrimidine-2-one-1,2,3-triazole hybrids (24b-37b), a
small library of their 5-alkylethynyl analogs (24a-37a) was synthesized and
described for the first time by tandem terminal alkyne dimerization and
subsequent 5-endo-trig cyclization, which was additionally corroborated with
computational and X-ray crystal structure analyses. The nature of substituents on
alkynes and thereof homocoupled 1,3-diynes predominantly influenced the ratio of
the formed products in both pathways. In vitro antiproliferative activity of
prepared compounds evaluated on five human cancer cell lines revealed that N,N
1,3-bis-(1,2,3-triazole)-5-bromouracil (5-7) and 5,6-disubstituted furo[2,3
d]pyrimidine-2-one-1,2,3-triazole 34a hybrids exhibited the most pronounced
cytostatic acitivities against hepatocellular carcinoma (HepG2) and cervical
carcinoma (HeLa) cells with higher potencies than the reference drug 5
fluorouracil. Cytostatic effect of pyrimidine-2,4-dione-1,2,3-triazole hybrid 7
in HepG2 cells could be attributed to the Wee-1 kinase inhibition and abolishment
of sphingolipid signaling mediated by acid ceramidase and sphingosine kinase 1.
Importantly, this compound proved to be a non-mitochondrial toxicant, which makes
it a promising candidate for further lead optimization and development of a new
and more efficient agent for the treatment of hepatocellular carcinoma.
PMID- 27875781
TI - Long-term pediatrician outcomes of a parent led curriculum in developmental
disabilities.
AB - : Previous research has demonstrated high satisfaction and perceived relevance of
Project DOCC (Delivery of Chronic Care), a parent led curriculum in developmental
disabilities, across a sample of medical residents. AIMS: The influence of such a
training program on the clinical practices and professional activities of these
residents once they are established in their careers as physicians, however, has
not been studied; this was the aim of the present study. METHODS: An anonymous
follow-up survey was designed and disseminated to physicians who participated in
Project DOCC during their one-month developmental disabilities rotation as part
of their pediatrics or medicine/pediatric residency between 2002 and 2010. Fifty
eight physicians completed the survey. RESULTS: The findings suggest that
participation in a parent led curriculum during medical residency had a lasting
impact on physicians' relationships with families. Specifically, a majority of
the physicians espoused a family-centered approach to care, a sensitivity to the
interactional effect that caring for a Child with Special Health Care Needs
(CSHCN) has on family members, the need for physicians to have a prominent role
in community resource coordination, and the importance of an integrated approach
to health care provision. CONCLUSIONS: Use of a parent led curriculum as a means
to increase the provision of family-centered care by physicians is supported.
PMID- 27875782
TI - Using the concrete-representational-abstract approach to support students with
intellectual disability to solve change-making problems.
AB - BACKGROUND/AIMS/METHODS: The Concrete-Representational-Abstract (CRA)
instructional approach supports students with disabilities in mathematics. Yet,
no research explores the use of the CRA approach to teach functional-based
mathematics for this population and limited research explores the CRA approach
for students who have a disability different from a learning disability, such as
an intellectual disability. This study investigated the effects of using the CRA
approach to teach middle school students in a self-contained mathematics class
focused on functional-based mathematics to solve making change problems.
Researchers used a multiple probe across participants design to determine if a
functional relation existed between the CRA strategy and students' ability to
solve making change problems. PROCEDURES/OUTCOMES: The study of consisted of five
to-eight baseline sessions, 9-11 intervention sessions, and two maintenance
sessions for each student. Data were collected on percentage of making change
problems students solved correctly. RESULTS/CONCLUSIONS: The CRA instructional
strategy was effective in teaching all four participants to correctly solve the
problems; a functional relation between the CRA approach and solving making
change with coins problems across all participants was found. IMPLICATIONS: The
CRA instructional approach can be used to support students with mild intellectual
disability or severe learning disabilities in learning functional-based
mathematics, such as purchasing skills (i.e., making change).
PMID- 27875783
TI - PRAME overexpression predicted good outcome in pediatric B-cell acute
lymphoblastic leukemia patients receiving chemotherapy.
AB - To investigate the prognostic value of PRAME expression in pediatric acute
lymphoblastic leukemia(ALL), we measured PRAME transcript levels at diagnosis in
191 patients(146 B-ALL; 45T-ALL)receiving chemotherapy only. PRAME overexpression
was defined as transcript levels higher than 0.30%, which is the upper limit of
normal bone marrow and the optimal cutoff value derived from ROC curve analysis.
PRAME overexpression was identified in 45.5% of patients. In B-ALL, PRAME
overexpression was significantly associated with lower CIR(cumulative incidence
of relapse), higher DFS (disease-freesurvival), and OS(overall survival) rates at
3 years, respectively (5.8% vs. 14.9%, P=0.014; 94.2% vs. 85.1%, P=0.014; 96.0%
vs. 87.4%, P=0.039). PRAME overexpression had no impact on outcome in T-ALL
patients. Among B-ALL patients with non-poor cytogenetic risk, those with PRAME
overexpression showed significantly lower CIR, higher DFS and OS rates at 3
years, respectively (8.47% vs. 14.5%, P=0.009; 96.5% vs. 85.5%, P=0.009; 98.4%
vs. 88.0%, P=0.023). Furthermore, PRAME overexpression was an independent good
prognostic factor for relapse in all B-ALL patients and B-ALL patients with non
poor cytogenetic risk. Therefore, the prognostic significance of PRAME
overexpression differed by ALL subtype; It predicted good outcome in pediatric B
ALL receiving chemotherapy.
PMID- 27875784
TI - Anticonvulsant effect of dextrometrophan on pentylenetetrazole-induced seizures
in mice: Involvement of nitric oxide and N-methyl-d-aspartate receptors.
AB - Dextrometrophan (DM), widely used as an antitussive, has recently generated
interest as an anticonvulsant drug. Some effects of dextrometrophan are
associated with alterations in several pathways, such as inhibition of nitric
oxide synthase (NOS) enzyme and N-methyl d-aspartate (NMDA) receptors. In this
study, we aimed to investigate the anticonvulsant effect of acute administration
of dextrometrophan on pentylenetetrazole (PTZ)-induced seizures and the probable
involvement of the nitric oxide (NO) pathway and NMDA receptors in this effect.
For this purpose, seizures were induced by intravenous PTZ infusion. All drugs
were administrated by intraperitoneal (i.p.) route before PTZ injection. Our
results demonstrate that acute DM treatment (10-100mg/kg) increased the seizure
threshold. In addition, the nonselective NOS inhibitor L-NAME (10mg/kg) and the
neural NOS inhibitor, 7-nitroindazole (40mg/kg), at doses that had no effect on
seizure threshold, augmented the anticonvulsant effect of DM (3mg/kg), while the
inducible NOS inhibitor, aminoguanidine (100mg/kg), did not affect the
anticonvulsant effect of DM. Moreover, the NOS substrate l-arginine (60mg/kg)
blunted the anticonvulsant effect of DM (100mg/kg). Also, NMDA antagonists,
ketamine (0.5mg/kg) and MK-801 (0.05mg/kg), augmented the anticonvulsant effect
of DM (3mg/kg). In conclusion, we demonstrated that the anticonvulsant effect of
DM is mediated by a decline in neural nitric oxide activity and inhibition of
NMDA receptors.
PMID- 27875785
TI - Manual asymmetries in bimanual isochronous tapping tasks in children.
AB - Tapping tasks have been investigated throughout the years, with variations in
features such as the complexity of the task, the use of one or both hands, the
employ of auditory or visual stimuli, and the characteristics of the subjects.
The evaluation of lateral asymmetries in tapping tasks in children offers an
insight into the structure of rhythmic movements and handedness at early stages
of development. The current study aims to investigate the ability of children
(aged six and seven years-old) to maintain a rhythm, in a bimanual tapping task
at two different target frequencies, as well as the manual asymmetries displayed
while doing so. The analyzed data in this work are the series of the time
intervals between successive taps. We suggest several profiles of behavior,
regarding the overall performance of children in both tempo conditions. We also
propose a new method of quantifying the variability of the performance and the
asymmetry of the hands, based on ellipses placed on scatter plots of the non
dominant-dominant series versus the dominant-non-dominant series. We then use
running correlations to identify changes of coordination tendencies over time.
The main results show that variability is larger in the task with the longer
target interval. Furthermore, most children evidence lateral asymmetries, but in
general they show the capacity to maintain the mean of consecutive intertap
intervals of both hands close to the target interval. Finally, we try to
interpret our findings in the light of existing models and timing modes.
PMID- 27875786
TI - Inflammation, dysregulated metabolism and aromatase in obesity and breast cancer.
AB - Obesity is associated with an increased risk of estrogen-dependent breast cancer
after menopause. Adipose tissue undergoes important changes in obesity due to
excess storage of lipids, leading to adipocyte cell death and the recruitment of
macrophages. The resultant state of chronic low-grade inflammation is associated
with the activation of NFkB signaling and elevated levels of aromatase, the rate
limiting enzyme in estrogen biosynthesis. This occurs not only in the visceral
and subcutaneous fat, but also in the breast fat. The regulation of aromatase in
the breast adipose stromal cell in response to inflammatory mediators is under
the control of complex signaling pathways, including metabolic pathways involving
LKB1/AMPK, p53, HIF1alpha and PKM2. Interventions aimed at modifying weight,
including diet and exercise, are associated with changes in adipose tissue
inflammation and estrogen production that are likely to impact breast cancer
risk. This review will present an overview of these topics.
PMID- 27875787
TI - Simultaneous determination of anemoside B4, phellodendrine, berberine, palmatine,
obakunone, esculin, esculetin in rat plasma by UPLC-ESI-MS/MS and its application
to a comparative pharmacokinetic study in normal and ulcerative colitis rats.
AB - A sensitive and rapid ultra-performance liquid chromatography-electrospray
ionization-mass spectrometry (UPLC-ESI-MS/MS) method was developed for the
simultaneous analysis of anemoside B4, phellodendrine, berberine, palmatine,
obakunone, esculin, esculetin, toosendanin (IS1 of anemoside B4),
tetrahydropalmatine (IS2 of phellodendrine, berberine, palmatine and obakunone)
and scopoletin (IS3 of esculin and esculetin) and to compare the pharmacokinetics
of these active ingredients in normal and ulcerative colitis rats. After methanol
deproteinization, solvents were evaporated at 40 degrees C under a gentle stream
of nitrogen. Chromatography was performed using a C18 column with a gradient
elution of 0.1% aqueous formic acid and acetonitrile at 0.4ml/min. Detection and
measurement were performed on a 4000 QTRAP UPLC-MS/MS system from AB Sciex in the
multiple reaction monitoring (MRM) mode. Phellodendrine, berberine, palmatine,
obakunone, esculin, esculetin, tetrahydropalmatine (IS2) and scopoletin (IS3)
were monitored under positive ionization conditions. Anemoside B4, and
toosendanin (IS1) were monitored under negative ionization conditions. The
optimized mass transition ion-pairs (m/z) were 1221.1/750.7 for anemoside B4,
343.2/193.2 for phellodendrine, 337.1/321.0 for berberine, 353.0/336.9 for
palmatine, 455.1/161.1 for obakunone, 341.2/179.2 for esculin, 179.1/123.0 for
esculetin, 573.4/531.4 for toosendanin (IS1), 356.2/192.2 for tetrahydropalmatine
(IS2) and 193.0/133.1 for scopoletin (IS3).
PMID- 27875789
TI - Comparison of the chemical consituents and immunomodulatory activity of
ophiopogonis radix from two different producing areas.
AB - Zhemaidong (ZMD) and Chuanmaidong (CMD) are the two genuine cultivation areas of
Ophiopogonis Radix which has been widely used as a traditional Chinese medicine
in China for treating cardiovascular and pulmonary diseases. Differences between
ZMD and CMD in chemical constituents and pharmacological effects have been
reported, however, the details remain largely unknown. The aim of this study was
to comprehensively characterize the chemical composition of Ophiopogonis Radix
from the two producing areas and compare their immunomodulatory activities. An
approach of HPLC-MS coupled with multivariate statistical analysis was
established to reveal the characteristic constituents of ZMD and CMD.
Furthermore, the effects of ZMD and CMD on the macrophage phagocytosis and
gastrointestinal peristalsis were also determined in zebrafish models for
assessing the immunomodulatory activities of these two strains. The results
revealed that the chemical constituents of ZMD and CMD were much different from
each other, and 19 constituents could be served as chemical markers to
distinguish these two strains. Moreover, ZMD showed higher promoting rates in
macrophage phagocytosis and gastrointestinal motility than those of CMD,
suggesting ZMD might possess better immunomodulatory activities. Taken together,
the results generated from this study indicated that the herbs from different
producing areas should be evaluated and considered in preparing TCM
prescriptions.
PMID- 27875788
TI - Simultaneous determination and pharmacokinetic study of four phenolic acids in
rat plasma using UFLC-MS/MS after intravenous administration of salvianolic acid
for injection.
AB - A simple, sensitive and selective ultra-fast liquid chromatography-tandem mass
spectrometry (UFLC-MS/MS) method was established for simultaneous determination
and pharmacokinetic study of rosmarinic acid (RA), salvianolic acid D (Sal D),
lithospermic acid (LA) and salvianolic acid B (Sal B) in rat plasma after
intravenous administration of salvianolic acid for injection (SAFI). Three doses
of administration, containing 14, 28 and 56mg/kg, were investigated in this
study. Plasma samples were pretreated using protein precipitation (PP) with pre
cooled acetonitrile. Chromatographic separation was achieved on a CORTECSTM UPLC
C18 column (1.6MUm, 2.1*100mm) with a mobile phase composed of 0.1% formic acid
aqueous (V/V) and 0.1% formic acid acetonitrile (V/V). Analytes were detected
using electrospray ionization (ESI) source in negative ionization mode and
quantified in multiple reaction monitoring (MRM) mode. The validated method is
stable and reliable. No significant difference of half lives (t1/2) of four
analytes at three doses was observed. Area under the curve (AUC0-infinity) and
peak concentration (Cmax) of the four analytes demonstrated a linear increase in
across the doses with the linear correlation r of each analyte at three doses
were greater than 0.95. It indicated that the pharmacokinetic behavior of SAFI is
positively related to dose at the range of 14-56mg/kg.
PMID- 27875790
TI - Vaping to lose weight: Predictors of adult e-cigarette use for weight loss or
control.
AB - INTRODUCTION: Some traditional cigarette smokers are motivated to smoke to lose
weight or control their weight. The current study evaluated whether a subset of
adult e-cigarette users reported vaping to lose or control their weight and
examined potential predictors of vaping for weight management. METHODS: Adult e
cigarette users (n=459) who reported wanting to lose weight or maintain their
weight completed an anonymous online survey. Participants reported on
demographics, vaping frequency, e-cigarette nicotine content, cigarette smoking
status, preferred e-cigarette/e-liquid flavors, current weight status (i.e.,
overweight, underweight), use of dieting strategies associated with anorexia and
bulimia, lifetime history of binge eating, self-discipline, and impulse control.
Binary logistic regression was used to examine whether vaping for weight
loss/control was associated with the aforementioned variables. RESULTS:
Participants who reported vaping for weight loss/control (13.5%) were more likely
to vape frequently (adjOR=1.15; 95% CI [1.00, 1.31]); be overweight (adjOR=2.80;
[1.33, 5.90]); restrict calories (adjOR=2.23; [1.13, 4.42]); have poor impulse
control (adjOR=0.59; [0.41, 0.86]); and prefer coffee- (adjOR=2.92; [1.47, 5.80])
or vanilla-flavored e-liquid (adjOR=7.44; [1.56, 36.08]). CONCLUSIONS: A subset
of adult e-cigarette users reported vaping for weight loss/control, raising
concerns about expanded, scientifically unsubstantiated uses of e-cigarettes.
Identifying where individuals obtain information about vaping for weight loss
(e.g., e-cigarette ads, Internet) and whether weight-related motives promote e
cigarette initiation among e-cigarette naive individuals is important to
informing regulatory efforts. Further research also is needed to better
understand the link between e-liquid flavors and weight loss motivations.
PMID- 27875791
TI - Localization and expression patterns of TRP channels in submandibular gland
development.
AB - OBJECTIVE: Expression of Transient receptor potential (TRP) channels: TRP
canonical (TRPC)1, TRP vanilloid (TRPV)3, TRPV4 and TRP melastatin (TRPM)8 in
adult rat salivary gland has recently been reported. The authors investigated
expression of these TRP channels in the submandibular gland during early
developmental stage in which the cell constitution is different, and discussed
the function of TRP in the submandibular gland in early development. DESIGN:
Using rat submandibular gland at embryonic days (E)18 and E20 and postnatal days
(PN)0 and PN5 and PN28, expression of TRPV3, TRPV4, TRPC1 and TRPM8 was
investigated using real-time polymerase chain reaction (RT-PCR) and
immunohistochemistry. RESULTS: All TRP channels were expressed in cells
constituting the submandibular gland in early developmental stage, but an
increase in the expression level at PN5 on RT-PCR was significant compared with
those at E18, PN0 and PN28 in TRPC1 and TRPV4 channels, whereas an increase was
observed but not significant in the others. On immunohistochemical staining at
PN5, whereas strong reactions of anti-TRPM8 antibody, anti-TRPV3 and anti-TRPV4
antibodies were observed in cells which proliferated from a terminal portion of
cells arranged tubular structure which previously constituted mostly the
submandibular gland. CONCLUSION: It was clarified that TRP channels are expressed
in the rat submandibular gland in early developmental stage although cells
constituting the submandibular gland are different from those in adult animals,
suggesting that these TRP channels are involved in cell differentiation in at PN5
into the adult submandibular gland during early development.
PMID- 27875792
TI - Investigation of CD40 gene rs4810485 and rs1883832 mutations in patients with
recurrent aphthous stomatitis.
AB - OBJECTIVES: Recurrent aphthous stomatitis (RAS) is a common painful disorder
affecting oral health, mucosa and overall quality of life. The etiopathogenesis
of RAS remains unclear. RAS shows a large genetic diversity among the patients.
In present study, we investigated whether CD40 gene rs4810485 and rs1883832 are
associated with RAS and its clinical findings in Turkish patients. MATERIALS AND
METHODS: Genomic DNA obtained from 387 individuals (160 patients with RAS and 227
healthy controls) were used in the study. CD40 gene rs4810485 and rs1883832
mutations were determined by using polymerase chain reaction with the specific
primers. RESULTS: There was no statistically significant difference between the
groups with respect to genotype and allele distribution (p>0.05, OR 0.94, 95% CI
0.70-1.28, OR 1.01 95% CI 0.75-1.37, respectively). Additionally, there was no
statistically significant difference in the combined genotype analysis of CD40
gene rs4810485 and rs1883832 mutations (p>0.05). CONCLUSIONS: According to our
results, we found that CD40 gene mutations are not associated with RAS. We are
convinced that CD40 gene mutations do not predispose to develop RAS in Turkish
population. To our knowledge, this is the first study regarding CD40 gene
rs4810485 and rs1883832 mutations investigated in RAS patients.
PMID- 27875793
TI - Detection and quantification of Aggregatibacter actinomycetemcomitans,
Porphyromonas gingivalis and Streptococcus oralis in blood samples with different
microbiological identification methods: An in vitro study.
AB - BACKGROUND: Culture-based methods (culture broth bottles or lysis methods) have
been the standard for detecting bacteremia. More recently, quantitative
polymerase chain reaction (qPCR) was proposed as a more sensitive and specific
test although none of them has been validated for the identification of
periodontal pathogens (fastidious growing bacteria) in blood samples. OBJECTIVE:
To compare the ability to detect and quantify Aggregatibacter
actinomycetemcomitans, Porphyromonas gingivalis and Streptococcus oralis (alone
or in combination) in blood samples with three culture techniques [direct
anaerobic culturing (DAC), haemo-culture (BACTEC), and lysis-centrifugation (LC)]
and a non-culture dependent approach (qPCR) in an in vitro study. MATERIAL AND
METHODS: Blood samples from 12 periodontally healthy volunteers were contaminated
with three concentrations [104,102 and 101 colony forming units (CFU)/mL] of A.
actinomycetemcomitans, P. gingivalis and S. oralis, alone or in combination.
Samples were analysed by DAC, BACTEC, LC and qPCR. Sensitivity, specificity,
predictive values, kappa index and Lins correlation coefficients were calculated.
RESULTS: DAC, LC and qPCR were able to detect the three target species at all
concentrations. An excellent concordance (correlation coefficient r: 0.92-1) was
observed between DAC and the reference standard (sensitivity raging 93.33-100%
and specificity 88.89-100%) values. BACTEC was not able to identify P. gingivalis
in any of the performed experiments. qPCR provided false negative results for
S.oralis. CONCLUSIONS: DAC showed the best results for the proper identification
and quantification of A. actinomycetemcomitans, P. gingivalis and S. oralis,
alone or in combination, in blood samples.
PMID- 27875794
TI - G protein signaling in plants: minus times minus equals plus.
AB - Heterotrimeric G proteins are key regulators in the transduction of extracellular
signals both in animals and plants. In plants, heterotrimeric G protein signaling
plays essential roles in development and in response to biotic and abiotic
stress. However, over the last decade it has become clear that plants have unique
mechanisms of G protein signaling. Although plants share most of the core
components of heterotrimeric G proteins, some of them exhibit unusual properties
compared to their animal counterparts. In addition, plants do not share
functional GPCRs. Therefore the well-established paradigm of the animal G protein
signaling cycle is not applicable in plants. In this review, we summarize recent
insights into these unique mechanisms of G protein signaling in plants with
special focus on the evident potential of G protein signaling as a target to
modify developmental and physiological parameters important for yield increase.
PMID- 27875795
TI - Cerebellar hemisphere herniation in the neck: Case report of a very rare
complication following a posterior fossa craniectomy.
AB - This article presents a very rare late complication of surgery to the posterior
fossa involving a craniectomy: cerebellar hemisphere herniation in the neck,
through the craniectomy site. Here we also analyse the possible causes of such
complication.
PMID- 27875796
TI - Development of extensive inferior vena cava thrombosis due to the ligation of a
large mesenteric-caval shunt during liver transplantation: A case report.
AB - INSTRUCTION: Inferior vena cava (IVC) thrombosis can be a life-threatening
complication after liver transplantation (LT). Although this complication is
usually related to technical problems associated with vascular anastomosis, we
report a case of IVC thrombosis which developed from a ligated large mesenteric
caval shunt. PRESENTATION OF CASE: A 35-year-old man underwent LT from a brain
dead donor for primary sclerosing cholangitis. Enhanced computed tomography (CT)
before LT showed a huge collateral vessel of the inferior mesenteric vein (IMV)
draining into the infra-renal IVC directly. To obtain sufficient portal vein (PV)
flow, the dilated IMV collateral was ligated. A routine Doppler ultrasound study
on post-operative day 1 showed thrombus inside the infra-hepatic IVC. Enhanced CT
showed that this thrombus originated from a ligated collateral vessel of the IMV
and extended into the IVC. He was hemodynamically stable and liver function was
consistently stable. The size of IVC thrombus slowly reduced and he is currently
in good condition without any symptoms. DISCUSSION: To obtain adequate PV flow,
ligation of a major PSS at the time of LT has been suggested. However, where it
should be occluded has not been discussed. We should occlude a mesenteric-caval
shunt not only at the upper side, but at the IVC side, based on findings from the
current case. CONCLUSION: To obtain appropriate PV flow toward a liver graft,
occlusion of portosystemic shunts during LT is recommended. However, the position
of ligation should be carefully considered to avoid extension of thrombus to
major vessels.
PMID- 27875798
TI - Parsimony and goodness-of-fit in multi-dimensional NMR inversion.
AB - Multi-dimensional nuclear magnetic resonance (NMR) experiments are often used for
study of molecular structure and dynamics of matter in core analysis and
reservoir evaluation. Industrial applications of multi-dimensional NMR involve a
high-dimensional measurement dataset with complicated correlation structure and
require rapid and stable inversion algorithms from the time domain to the
relaxation rate and/or diffusion domains. In practice, applying existing inverse
algorithms with a large number of parameter values leads to an infinite number of
solutions with a reasonable fit to the NMR data. The interpretation of such
variability of multiple solutions and selection of the most appropriate solution
could be a very complex problem. In most cases the characteristics of materials
have sparse signatures, and investigators would like to distinguish the most
significant relaxation and diffusion values of the materials. To produce an easy
to interpret and unique NMR distribution with the finite number of the principal
parameter values, we introduce a new method for NMR inversion. The method is
constructed based on the trade-off between the conventional goodness-of-fit
approach to multivariate data and the principle of parsimony guaranteeing
inversion with the least number of parameter values. We suggest performing the
inversion of NMR data using the forward stepwise regression selection algorithm.
To account for the trade-off between goodness-of-fit and parsimony, the objective
function is selected based on Akaike Information Criterion (AIC). The performance
of the developed multi-dimensional NMR inversion method and its comparison with
conventional methods are illustrated using real data for samples with bitumen,
water and clay.
PMID- 27875799
TI - Genetic modification technology for nutrition and improving diets: an ethical
perspective.
AB - Genetically modified (GM) techniques to improve the nutrition and health content
of foods is a highly debated area riddled with ethical dilemmas. Assessing GM
technology with a public health ethical framework, this paper identifies public
health goals, the potential burdens of the technology, and areas to consider for
minimizing burdens and ensuring beneficence, autonomy, and little infringements
on justice. Both policymakers and food producers should acknowledge local food
environments and the agricultural context of each community in order to
effectively prepare communication strategies and equitably distribute any
proposed GM food intervention.
PMID- 27875797
TI - Determining lower threshold concentrations for synergistic effects.
AB - Though only occurring rarely, synergistic interactions between chemicals in
mixtures have long been a point of focus. Most studies analyzing synergistic
interactions used unrealistically high chemical concentrations. The aim of the
present study is to determine the threshold concentration below which proven
synergists cease to act as synergists towards the aquatic crustacean Daphnia
magna. To do this, we compared several approaches and test-setups to evaluate
which approach gives the most conservative estimate for the lower threshold for
synergy for three known azole synergists. We focus on synergistic interactions
between the pyrethroid insecticide, alpha-cypermethrin, and one of the three
azole fungicides prochloraz, propiconazole or epoxiconazole measured on Daphnia
magna immobilization. Three different experimental setups were applied: A
standard 48h acute toxicity test, an adapted 48h test using passive dosing for
constant chemical exposure concentrations, and a 14-day test. Synergy was defined
as occuring in mixtures where either EC50 values decreased more than two-fold
below what was predicted by concentration addition (horizontal assessment) or as
mixtures where the fraction of immobile organisms increased more than two-fold
above what was predicted by independent action (vertical assessment). All three
tests confirmed the hypothesis of the existence of a lower azole threshold
concentration below which no synergistic interaction was observed. The lower
threshold concentration, however, decreased with increasing test duration from
0.026+/-0.013MUM (9.794+/-4.897MUgL-1), 0.425+/-0.089MUM (145.435+/-30.46MUgL-1)
and 0.757+/-0.253MUM (249.659+/-83.44MUgL-1) for prochloraz, propiconazole and
epoxiconazole in standard 48h toxicity tests to 0.015+/-0.004MUM (5.651+/
1.507MUgL-1), 0.145+/-0.025MUM (49.619+/-8.555MUgL-1) and 0.122+/-0.0417MUM
(40.236+/-13.75MUgL-1), respectively, in the 14-days tests. Testing synergy in
relation to concentration addition provided the most conservative values. The
threshold values for the vertical assessments in tests where the two could be
compared were in general 1.2 to 4.7 fold higher than the horizontal assessments.
Using passive dosing rather than dilution series or spiking did not lower the
threshold significantly. Below the threshold for synergy, slight antagony could
often be observed. This is most likely due to induction of enzymes active in
metabolization of alpha-cypermethrin. The results emphasize the importance of
test duration when assessing synergy, but also show that azole concentrations
within the typically monitored range of up to 0.5MUgL-1 are not likely to cause
severe synergy concerning Daphnia magna immobilization.
PMID- 27875800
TI - Evaluation of TSPO PET imaging, a marker of glial activation, to study the
neuroimmune footprints of morphine exposure and withdrawal.
AB - INTRODUCTION: A growing area of research suggests that neuroimmunity may impact
the pharmacology of opioids. Microglia is a key component of the brain immunity.
Preclinical and clinical studies have demonstrated that microglial modulators may
improve morphine-induced analgesia and prevent the development of tolerance and
dependence. Positron emission tomography (PET) using translocator protein 18kDa
(TSPO) radioligand is a clinically validated strategy for the non-invasive
detection of microglial activation. We hypothesized that TSPO PET imaging may be
used to study the neuroimmune component of opioid tolerance and withdrawal.
METHODS: Healthy rats (n=6 in each group) received either saline or escalating
doses of morphine (10-40mg/kg) on five days to achieve tolerance and a withdrawal
syndrome after morphine discontinuation. MicroPET imaging with [18F]DPA-714 was
performed 60h after morphine withdrawal. Kinetic modeling was performed to
estimate [18F]DPA-714 volume of distribution (VT) in several brain regions using
dynamic PET images and corresponding metabolite-corrected input functions.
Immunohistochemistry (IHC) experiments on striatal brain slices were performed to
assess the expression of glial markers (Iba1, GFAP and CD68) during 14days after
morphine discontinuation. RESULTS: The baseline binding of [18F]DPA-714 to the
brain (VT=0.086+/-0.009mLcm-3) was not increased by morphine exposure and
withdrawal (VT=0.079+/-0.010mLcm-3) indicating the absence of TSPO
overexpression, even at the regional level. Accordingly, expression of glial
markers did not increase after morphine discontinuation. CONCLUSIONS: Morphine
tolerance and withdrawal did not detectably activate microglia and had no impact
on [18F]DPA-714 brain kinetics in vivo.
PMID- 27875802
TI - Urgency traits moderate daily relations between affect and drinking to
intoxication among young adults.
AB - BACKGROUND: Young adults with higher trait urgency (i.e., a tendency to act
rashly in response to heightened affect) may be especially vulnerable to heavy
drinking. The current study examined 1) the influence of urgency on daily
relations between affect and drinking to intoxication, and 2) whether urgency
influenced the effectiveness of naltrexone (vs. placebo) for reducing alcohol
use. METHODS: This study is a secondary analysis of data from 126 (n=40 female)
heavy drinking young adults, ages 18-25, enrolled in a double-blind, 8-week
clinical trial comparing brief motivational intervention and either naltrexone or
placebo. Multilevel models examined whether trait urgency moderated daily
relations between positive and negative affect and drinking to intoxication,
measured by an estimated blood-alcohol concentration (eBAC) at or above the legal
limit (>=0.08g%). Person-level interactions examined whether naltrexone was more
effective than placebo at reducing the odds of eBAC>=0.08g% for individuals with
higher vs. lower trait urgency. RESULTS: On days of greater within-person
positive or negative affect, young adults with higher urgency were more likely to
drink to intoxication than those with lower urgency. Naltrexone reduced the odds
of drinking to intoxication significantly more than placebo, independent of
positive or negative urgency. CONCLUSIONS: Although naltrexone treatment reduced
drinking overall, young adults with higher trait urgency were still at increased
risk for hazardous drinking following times of strong positive or negative mood.
Targeted interventions are needed to reduce the risk of heavy drinking among
young adults with high trait urgency.
PMID- 27875801
TI - The widening gender gap in marijuana use prevalence in the U.S. during a period
of economic change, 2002-2014.
AB - AIM: Concurrently with increasingly permissive attitudes towards marijuana use
and its legalization, the prevalence of marijuana use has increased in recent
years in the U.S. Substance use is generally more prevalent in men than women,
although for alcohol, the gender gap is narrowing. However, information is
lacking on whether time trends in marijuana use differ by gender, or whether
socioeconomic status in the context of the Great Recession may affect these
changes. METHODS: Using repeated cross-sectional data from the National Survey on
Drug Use and Health (2002-2014), we examined changes over time in prevalence of
past-year marijuana use by gender, and whether gender differences varied across
income levels. After empirically determining a change point in use in 2007, we
used logistic regression to test interaction terms including time, gender, and
income level. RESULTS: Prevalence of marijuana use increased for both men (+4.0%)
and women (+2.7%) from 2002 to 2014, with all of the increase occurring from 2007
to 2014. Increases were greater for men, leading to a widening of the gender gap
over time (p<0.001). This divergence occurred primarily due to increased
prevalence among men in the lowest income level (+6.2%) from 2007 to 2014.
CONCLUSION: Our findings are consistent with other studies documenting increased
substance use during times of economic insecurity, especially among men.
Corresponding with the Great Recession and lower employment rate beginning in
2007, low-income men showed the greatest increases in marijuana use during this
period, leading to a widening of the gender gap in prevalence of marijuana use
over time.
PMID- 27875803
TI - Analysis of alcohol use disorders from the Nathan Kline Institute-Rockland
Sample: Correlation of brain cortical thickness with neuroticism.
AB - BACKGROUND: Although differences in both neuroanatomical measures and personality
traits, in particular neuroticism, have been associated with alcohol use
disorders (AUD), whether lifetime AUD diagnosis alters the relationship between
neuroticism and neuroanatomical structures remains to be determined. METHODS:
Data from 65 patients with lifetime AUD diagnoses and 65 healthy comparisons (HC)
group-matched on age, sex and race were extracted from the Nathan Kline Institute
- Rockland Sample data set. Each subject completed personality trait measures and
underwent MRI scanning. Cortical thickness measures at 68 Desikan-Killiany Atlas
regions were obtained using FreeSurfer 5.3.0. Regression analyses were performed
to identify brain regions at which the neuroticism-cortical thickness
relationship was altered by lifetime AUD status. RESULTS: As expected, AUDs had
higher neuroticism scores than HCs. Correlations between neuroticism and cortical
thickness in the left insula and right fusiform differed significantly across
groups. Higher neuroticism score in AUD and the interaction between the insular
cortical thickness-neuroticism correlation and AUD status were confirmed in a
replication study using the Human Connectome Project data set. CONCLUSIONS:
Results confirmed the relationship between neuroticism and AUD and suggests that
specific cortical regions, particularly the left insula, represent anatomic
substrates underlying this association in AUD.
PMID- 27875805
TI - Voluntary aerobic exercise increases arterial resilience and mitochondrial health
with aging in mice.
AB - Mitochondrial dysregulation and associated excessive reactive oxygen species
(mtROS) production is a key source of oxidative stress in aging arteries that
reduces baseline function and may influence resilience (ability to withstand
stress). We hypothesized that voluntary aerobic exercise would increase arterial
resilience in old mice. An acute mitochondrial stressor (rotenone) caused greater
(further) impairment in peak carotid EDD in old (~27 mo., OC, n=12; -32.5+/-
10.5%) versus young (~7 mo., YC n=11; -5.4+/-- 3.7%) control male mice, whereas
arteries from young and old exercising (YVR n=10 and OVR n=11, 10-wk voluntary
running; -0.8+/--2.1% and -8.0+/-4.9%, respectively) mice were protected. Ex-vivo
simulated Western diet (WD, high glucose and palmitate) caused greater impairment
in EDD in OC (-28.5+/-8.6%) versus YC (-16.9+/-5.2%) and YVR (-15.3+/-2.3%),
whereas OVR (-8.9+/-3.9%) were more resilient (not different versus YC).
Simultaneous ex-vivo treatment with mitochondria-specific antioxidant MitoQ
attenuated WD-induced impairments in YC and OC, but not YVR or OVR, suggesting
that exercise improved resilience to mtROS-mediated stress. Exercise normalized
age-related alterations in aortic mitochondrial protein markers PGC-1alpha, SIRT
3 and Fis1 and augmented cellular antioxidant and stress response proteins. Our
results indicate that arterial aging is accompanied by reduced resilience and
mitochondrial health, which are restored by voluntary aerobic exercise.
PMID- 27875804
TI - Optogenetic approaches for dissecting neuromodulation and GPCR signaling in
neural circuits.
AB - Optogenetics has revolutionized neuroscience by providing means to control cell
signaling with spatiotemporal control in discrete cell types. In this review, we
summarize four major classes of optical tools to manipulate neuromodulatory GPCR
signaling: opsins (including engineered chimeric receptors); photoactivatable
proteins; photopharmacology through caging-photoswitchable molecules; fluorescent
protein based reporters and biosensors. Additionally, we highlight technologies
to utilize these tools in vitro and in vivo, including Cre dependent viral vector
expression and two-photon microscopy. These emerging techniques targeting
specific members of the GPCR signaling pathway offer an expansive base for
investigating GPCR signaling in behavior and disease states, in addition to
paving a path to potential therapeutic developments.
PMID- 27875807
TI - On the heterogeneity of human populations as reflected by mortality dynamics.
AB - The heterogeneity of populations is used to explain the variability of mortality
rates across the lifespan and their deviations from an exponential growth at
young and very old ages. A mathematical model that combines the heterogeneity
with the assumption that the mortality of each constituent subpopulation
increases exponentially with age, has been shown to successfully reproduce the
entire mortality pattern across the lifespan and its evolution over time. In this
work we aim to show that the heterogeneity is not only a convenient consideration
for fitting mortality data but is indeed the actual structure of the population
as reflected by the mortality dynamics over age and time. In particular, we show
that the model of heterogeneous population fits mortality data better than other
commonly used mortality models. This was demonstrated using cohort data taken for
the entire lifespan as well as for only old ages. Also, we show that the model
can reproduce seemingly contradicting observations in late-life mortality
dynamics. Finally, we show that the homogenisation of a population, observed by
fitting the model to actual data of consecutive periods, can be associated with
the evolution of allele frequencies if the heterogeneity is assumed to reflect
the genetic variations within the population.
PMID- 27875808
TI - Increased Soluble Suppression of Tumorigenicity 2 Level Predicts All-Cause and
Cardiovascular Mortality in Maintenance Hemodialysis Patients: A Prospective
Cohort Study.
AB - BACKGROUND: Soluble suppression of tumorigenicity 2 (sST2) is a novel
cardiovascular biomarker. This study aimed to evaluate the prognostic value of
sST2 in hemodialysis patients. METHODS: Four hundred and fourteen maintenance
hemodialysis (MHD) patients were enrolled and followed up prospectively. Serum
sST2 levels were measured. The endpoint was all-cause and cardiovascular
mortality. RESULTS: During a median follow-up of 22.3 months, 58 patients died,
including 31 cardiovascular deaths. sST2 was an independent predictor of all
cause mortality with a relative risk (RR) of 1.31 (95% CI 1.000-1.717, p = 0.050)
and cardiovascular mortality with an RR of 2.10 (95% CI 1.507-2.927, p < 0.001).
The prognostic value of sST2 was additive to N-terminal pro-B-type natriuretic
peptide (NT-proBNP) and high-sensitivity cardiac troponin T (hs-cTnT), as the
combined use of sST2 and NT-proBNP or hs-cTnT better identified higher-risk
patients. CONCLUSION: Elevated sST2 is an independent predictor of all-cause and
cardiovascular mortality in MHD patients. A combined use of sST2 and NT-proBNP or
hs-cTnT helps identify individuals of higher risk.
PMID- 27875809
TI - Impact of Pseudomonas aeruginosa Infection on Respiratory Muscle Function in
Adult Cystic Fibrosis Patients.
AB - BACKGROUND: Pseudomonas aeruginosa infection impairs respiratory muscle function
in adolescents with cystic fibrosis, but its impact on adult patients has not
been characterised. OBJECTIVES: To investigate respiratory muscle function in
adult cystic fibrosis patients according to P. aeruginosa status (repetitive
samples over 12 months). METHODS: The pressure-time index of the respiratory
muscles (PTImus), a measure of their efficiency, served as the primary outcome.
In addition, respiratory load and maximal respiratory muscle strength were
assessed. RESULTS: In 51 patients examined (65% female; median age 32 years, IQR
24-40), a median of 3.0 (IQR 2-4) different pathogens was found in each patient.
The PTImus was 0.113 and 0.126 in Pseudomonas-positive (n = 33) and -negative (n
= 18) patients, respectively (p = 0.53). Univariate analysis showed a lower
PTImus in male than in female patients (p = 0.006). Respiratory muscle load and
strength were otherwise comparable, with the exception of higher nasal sniff
pressures in Pseudomonas-positive patients who were chronically infected (>50% of
positive samples). Quality of Life (according to the Cystic Fibrosis
Questionnaire-Revised) was higher if both respiratory load and the PTImus were
low (high respiratory muscle efficiency). CONCLUSIONS: Chronic P. aeruginosa
infection does not influence respiratory muscle efficiency in adult cystic
fibrosis patients with otherwise multiple co-infections. In addition, patients
with reduced respiratory muscle efficiency had worse Quality of Life.
PMID- 27875811
TI - Prospective Case-Control Study of Abnormal Bleeding after Outpatient
Corticosteroid Injection.
AB - OBJECTIVE: This study is aimed at evaluating the incidence of bleeding among
women having outpatient corticosteroid injection compared to matched controls,
using mailed surveys and electronic health records. STUDY DESIGN: Prospective
survey study of women receiving outpatient corticosteroid injection for joint or
back pain (cases) compared to women matched for visit, diagnosis of joint/back
pain, and age, who did not receive an injection (controls). A survey was mailed
45 days following outpatient visit, inquiring about menstrual history, abnormal
bleeding, and potential risk factors. The proportion of women reporting abnormal
bleeding was compared between cases and controls, and stratified by menopausal
status. RESULTS: One thousand and sixty two surveys were mailed to 531 identified
cases/control pairs, and 40% response was seen from each group. Of 379 analyzable
responders, 135 (36%) were premenopausal and 244 (64%) postmenopausal.
Postmenopausal women who had a corticosteroid injection were more likely to
report recent abnormal bleeding compared to controls (17 vs. 7%, p = 0.02),
whereas rates were similar among premenopausal women (50 vs. 43%, p = 0.39). When
logistic regression was performed, injection was associated with bleeding among
postmenopausal women, independent of body mass index and hormone use.
CONCLUSIONS: Corticosteroid injection is associated with increased abnormal
vaginal bleeding among postmenopausal women.
PMID- 27875812
TI - Hemodialysis Decreases the Etiologically-Related Early Vascular Aging Observed in
End-Stage Renal Disease: A 5-Year Follow-Up Study.
AB - AIMS: To analyze the early vascular aging (EVA) in end-stage renal disease (ESRD)
patients, attempting to determine a potential association between EVA and the
etiology of ESRD, and to investigate the association of hemodialysis and EVA in
ESRD patients during a 5-year follow-up period. METHODS: Carotid-femoral pulse
wave velocity (cfPWV) was obtained in 151 chronically hemodialyzed patients (CHP)
and 283 control subjects, and in 25 CHP, who were followed-up after a 5-year
lapse. RESULTS: cfPWV increased in ESRD patients compared to control subjects.
The cfPWV-age relationship was found to have a steeper increase in ESRD patients.
The highest cfPWV and EVA values were observed in patients with diabetic
nephropathy. Regression analysis demonstrated a significant reduction of the EVA
in HD patients on a 5-year follow-up. CONCLUSION: Patients in ESRD showed higher
levels of EVA. cfPWV and EVA differed in ESRD patients depending on their renal
failure etiology. CHP showed an EVA reduction after a 5-year follow-up period.
PMID- 27875810
TI - Nonstructural Protein 1 of Tick-Borne Encephalitis Virus Induces Oxidative Stress
and Activates Antioxidant Defense by the Nrf2/ARE Pathway.
AB - BACKGROUND: Infection with tick-borne encephalitis virus (TBEV) causes
pathological changes in the central nervous system. However, the possible redox
alterations in the infected cells that can contribute to the virus pathogenicity
remain unknown. OBJECTIVE: In the current study we explored the ability of TBEV
nonstructural protein 1 (NS1) to induce oxidative stress and activate antioxidant
defense via the nuclear factor (erythroid-derived-2)-like 2/antioxidant response
element (Nrf2/ARE) pathway. METHODS: HEK 293T cells were transfected with plasmid
encoding NS1 protein, and the production of reactive oxygen species (ROS) was
measured using oxidation-sensitive dyes, the activation of the ARE promoter was
estimated using a reporter plasmid, and the expression of phase II detoxifying
enzymes was quantified by measuring their mRNA levels using RT-qPCR. RESULTS: A
high level of ROS production was detected in cells transfected with NS1
expressing plasmid. In addition, this protein activated the promoter with an ARE
and upregulated the transcription of ARE-dependent genes that encode phase II
enzymes. CONCLUSION: TBEV NS1 protein both triggers ROS production and activates
a defense Nrf2/ARE pathway. These data suggest that a role of redox-mediated
processes in TBEV-induced damage of the central nervous system should also be
explored. These data can contribute to a better understanding of TBEV
pathogenicity, further improvement of TBE treatment, and the development of
vaccine candidates against this infection.
PMID- 27875813
TI - Memories of a Professor Emeritus.
PMID- 27875806
TI - Mast cells and histamine are triggering the NF-kappaB-mediated reactions of adult
and aged perilymphatic mesenteric tissues to acute inflammation.
AB - This study aimed to establish mechanistic links between the aging-associated
changes in the functional status of mast cells and the altered responses of
mesenteric tissue and mesenteric lymphatic vessels (MLVs) to acute inflammation.
We used an in vivo model of acute peritoneal inflammation induced by
lipopolysaccharide treatment of adult (9-month) and aged (24-month) F-344 rats.
We analyzed contractility of isolated MLVs, mast cell activation, activation of
nuclear factor-kappaB (NF-kappaB) without and with stabilization of mast cells by
cromolyn or blockade of all types of histamine receptors and production of 27
major pro-inflammatory cytokines in adult and aged perilymphatic mesenteric
tissues and blood. We found that the reactivity of aged contracting lymphatic
vessels to LPS-induced acute inflammation was abolished and that activated mast
cells trigger NF-kappaB signaling in the mesentery through release of histamine.
The aging-associated basal activation of mesenteric mast cells limits acute
inflammatory NF-kappaB activation in aged mesentery. We conclude that proper
functioning of the mast cell/histamine/NF-kappaB axis is necessary for reactions
of the lymphatic vessels to acute inflammatory stimuli as well as for interaction
and trafficking of immune cells near and within the collecting lymphatics.
PMID- 27875814
TI - Characterisation of Prognosis and Invasion of Cutaneous Squamous Cell Carcinoma
by Podoplanin and E-Cadherin Expression.
AB - BACKGROUND: Around 5% of all cutaneous squamous cell carcinoma (cSCC)
metastasise. Metastases usually locate in regional skin and lymph nodes,
suggesting collective cancer invasion. The cellular level of tumour invasion and
prognostic parameters remain to be characterised. METHODS: We performed
immunohistochemical analyses of E-cadherin (marker for collective cancer
invasion) and podoplanin (marker for epithelial-mesenchymal transition [EMT],
single-cell invasion) expression in 102 samples of metastatic and non-metastatic
cSCC and 18 corresponding skin and lymph node metastases to characterise the
invasion of cSCC. Immunohistochemical results were retrospectively correlated
with clinical data. RESULTS: E-cadherin was highly expressed in metastatic and
non-metastatic cSCC and skin metastases. This suggests collective cancer
invasion. However, E-cadherin was downregulated in poorly differentiated cSCC and
lymph node metastases, suggesting partial EMT. Podoplanin was significantly
upregulated in metastatic (p = 0.002) and poorly differentiated (p = 0.003) cSCC.
Overexpression of podoplanin represented a statistically independent prognostic
factor for disease-free survival (p = 0.014). CONCLUSION: Collective cancer
invasion is likely in cSCC. In lymph node metastases and poorly differentiated
cSCC, partial EMT is possible. Podoplanin is an independent prognostic parameter
for metastasis.
PMID- 27875815
TI - Wang's Forceps-Assisted Catheter Reposition and Fixation: An Easy and Reliable
Rescue Method.
AB - Catheter migration and omental wrap are the most common causes of catheter
malfunction, which usually result in catheter removal or replacement. The
conventional open surgery for catheter reposition has many disadvantages. A new
tunnel is needed throughout the procedure of catheter replacement causing more
pain and frustration to the patients. Another drawback is that the incidence of
catheter migration after conventional catheter reposition surgery is still as
high as it was before the procedure. Wang's forceps, an instrument commonly used
in our peritoneal dialysis center, is easy and effective in catheter insertion
and fixation. Recently, we have successfully used the Wang's forceps to resolve
the catheter displacement for 10 patients, including 1 patient who suffered from
catheter tip migration 3 times and had undergone conventional catheter rescue by
both open surgery and laparoscopy. This new technique was easy and reliable, and
the original tunnel was maintained, which reduced pain and risk of infection in
the patients. These advantages may grant the Wang's forceps technique favorable
over the conventional surgical approach.
PMID- 27875816
TI - Serum Magnesium and Mortality in Maintenance Hemodialysis Patients.
AB - BACKGROUND AND AIM: The study aimed to investigate the potential contributing
effect of serum magnesium on mortality in maintenance hemodialysis (MHD)
patients. METHODS: The patients receiving regular MHD in March 2013 were
involved. Baseline data including clinical data, anthropometrics and biochemical
measurement were collected. After being followed for 36 months, the time of death
and reason were recorded. RESULTS: One hundred and thirty-five MHD patients were
enrolled in the study and analyzed, with mean serum magnesium of 1.11 +/- 0.15
mmol/l. The level of serum magnesium in 64 patients was normal (47.4%), and it
was elevated in 71 of the 135 patients (52.6%). And none of MHD patients had
hypomagnesemia. The levels of serum albumin (Alb), urea nitrogen, creatinine
(Cr), uric acid and phosphorus were significantly higher, but high-sensitivity C
reactive protein (Hs-CRP) and lipoprotein A were significantly lower in
hypermagnesemia group compared to the normal serum magnesium group (p < 0.05).
Serum Alb, serum Cr, serum phosphorus and Hs-CRP were related factors of
hypermagnesemia by multivariate logistic regression analysis (p < 0.05). During
the 36 months of follow-up, 27 patients died (20.0%), of whom 55.6% died of
cardiovascular (CV) events. Kaplan-Meier curves showed that cumulative incidence
of CV mortality were significantly higher in the normal serum magnesium group
than in the hypermagnesemia group (p = 0.027); however, there was no significant
difference in all-cause mortality (p > 0.05). CONCLUSIONS: Serum magnesium was
elevated, which was related with nutrition and inflammation markers including
serum Alb, serum Cr, serum phosphorus and Hs-CRP. Lower serum magnesium is a risk
factor of CV mortality in MHD patients. Intervention studies are needed to
clarify whether magnesium supplementation is beneficial for improving patient
prognosis, when MHD patients had inflammatory and malnutrition.
PMID- 27875817
TI - Association of Preoperative Platelet-to-Lymphocyte Ratio with Atrial Fibrillation
after Coronary Artery Bypass Graft Surgery.
AB - OBJECTIVE: The aim of this study was to investigate the association between
platelet-to-lymphocyte ratio (PLR) and atrial fibrillation (AF) after coronary
artery bypass graft (CABG) surgery. SUBJECTS AND METHODS: A total of 125 patients
were retrospectively analyzed. AF was diagnosed using standard clinical criteria,
and PLR was calculated as the ratio of the platelets to lymphocytes, obtained
from the blood samples that were taken in the fasting state before CABG surgery.
The association of different variables with postoperative AF and PLR was
calculated using univariate and multivariate analysis. The receiver operating
characteristics curve was used to determine the sensitivity and specificity of
PLR and the optimal cutoff value for predicting post-CABG AF. RESULTS: Of the 125
patients, 50 with AF (mean age: 67.0 +/- 9.5 years, 38 males and 12 females) and
75 patients without AF (mean age: 61.1 +/- 9.1 years, 58 males and 17 females)
were identified, and the difference in the mean age was statistically significant
(p = 0.01). PLR was also significantly higher in those with AF (152.8 +/- 82.2)
than those without AF (118.2 +/- 32.9) (p = 0.012). Univariate analysis showed
that age and PLR were associated with AF after CABG surgery (p < 0.001 and p =
0.005, respectively). Using a multivariate logistic regression model with the
backward elimination method, age and PLR remained as independent predictors of AF
after CABG surgery (p < 0.001 and p = 0.005, respectively). PLR levels >119.3
predicted postoperative AF with 64% sensitivity and 56% specificity (AUC: 0.634,
p = 0.012). CONCLUSION: In this study, age and PLR level were independent
predictors of AF after CABG surgery. Patients with an elevated preoperative PLR
were at higher risk of AF after CABG surgery.
PMID- 27875818
TI - The Expression of CCAT2, a Novel Long Noncoding RNA Transcript, and rs6983267
Single-Nucleotide Polymorphism Genotypes in Colorectal Cancers.
AB - Colon cancer-associated transcription 2 (CCAT2) was recently identified as a
novel long noncoding RNA transcript encompassing the single-nucleotide
polymorphism rs6983267. CCAT2 is overexpressed in colorectal cancer (CRC) where
it promotes tumor growth, metastasis, and chromosomal instability, although the
clinical relevance of this enhanced expression is unknown. In this retrospective
study, CCAT2 expression was evaluated using real-time polymerase chain reaction
in 149 CRC patients, and its associations with clinicopathological
characteristics, outcome, rs6983267 genotypes, microsatellite status, DNA ploidy,
and BubR1 expression were analyzed. CCAT2 expression in cancer tissue was
significantly higher than in noncancer tissue (p < 0.001), particularly in cases
of metastatic cancer (p < 0.001). However, relative CCAT2 expression levels and
rs6983267 genotypes were not correlated with clinicopathological features or
patient prognosis. CRC cases demonstrating high CCAT2 expression were all
microsatellite stable (p < 0.005). Together, this indicates that CCAT2 expression
was associated with microsatellite-stable CRC.
PMID- 27875819
TI - New Zealand Applied Neurosciences Conference. Auckland, New Zealand, November 24
26, 2016: Abstracts.
PMID- 27875820
TI - Local and Global HIV Aging Demographics and Research.
AB - This introduction serves to foreground current patterns associated with HIV and
aging, globally. We highlight key trends by World Health Organization sub-region,
and identify gaps in existing knowledge. HIV and aging is insufficiently
documented, as prevalence data for those over age 49 have not generally been
captured by many countries, or by UNAIDS. Despite limited data and data systems,
several dominant trends among adults aged 50 and older are discernible,
including: growing HIV risk and prevalence is increasingly evident among maturing
adults, worldwide; older individuals at risk of or living with HIV, and their
health providers, fail to recognize risk and symptoms, leading to disease
progression and delayed treatment. Cross-sectoral strategies will be needed to
mount responses; public health campaigns will be essential in educating and
informing individuals about HIV risk, prevention and care; and special efforts to
tailor interventions to key populations most vulnerable or stigmatized in
countries will be critical.
PMID- 27875821
TI - Are HIV-Infected Older Adults Aging Differently?
AB - With increasing success in treating HIV, infected persons are living longer, and
a new challenge has emerged - the need to understand how HIV-infected adults are
aging. What are the similarities with typical aging and what are the unique
aspects that may have resulted from HIV infection, interacting with
characteristic life style factors and other comorbid conditions? Are specific
diseases and conditions (comorbidities), typically seen as part of the aging
process, occurring at accelerated rates or with higher frequency (accentuated) in
HIV-infected adults? At this juncture, conclusions should be tentative.
Certainly, biological processes that correlate with aging occur earlier in the
older adult HIV population. Clinical manifestations of these biological processes
are age-associated illnesses occurring in greater numbers (multimorbidity), but
they are not accelerated. Specifically cardiovascular disease, certain cancers,
and renal disease are more common with other comorbidities less certain.
Management of this elevated risk for developing multimorbidity is a major concern
for patients and their health care teams. The medical system must respond to the
evolving needs of this aging and growing older adult population who will dominate
the epidemic. Adopting a more holistic approach to their health care management
is needed to achieve optimal health and well-being in the HIV-infected older
adult. Geriatric care principles best embody this approach.
PMID- 27875822
TI - Cellular Senescence, Immunosenescence and HIV.
AB - Aging is a complex biological process that leads to several physiological
changes. Among these changes, the most striking are those involving the innate
and adaptive parts of the immune system. Furthermore, these changes are
associated with a low-grade inflammation called inflamm-aging, which is the
result of several lifelong antigenic stimulations, including chronic viral
infections such as cytomegalovirus. Immunosenescence, concomitantly with inflamm
aging, is considered as the leading cause of age-related diseases including
cardiovascular, neurodegenerative and metabolic diseases, and cancer. HIV
infection, once considered a unique deadly infectious disease, has now become a
chronic disease with efficacious highly active antiretroviral therapy. This
signifies that the treatment transforms HIV infection from a chronic infection to
a chronic inflammatory disease. Most people with HIV infection become aged, and
older adults have been contracting HIV infection. Thus, there is a great interest
to study HIV infection in relation to immunosenescence and inflamm-aging to
determine whether immunosenescence contributes to HIV infection, or if HIV is
causing immunosenescence and, as such, represents a premature immunosenescence
and accelerated aging. Although there are many similarities in the immune and
inflammatory changes and the occurrence of age-related chronic diseases between
normal aging and HIV infection, the interaction between these processes is not
well understood, and consequently the concept that HIV infection is an
accelerated aging model is questioned. Future studies are needed to effectively
answer this question for the better care of HIV-infected elderly patients.
PMID- 27875823
TI - Biomarkers and Clinical Indices of Aging with HIV.
AB - HIV infection may potentiate specific biomarkers that influence the development
of premature clinical indices commonly associated with aging. Therefore,
predicting mortality outcomes in people living with HIV is extremely important as
this population ages. This chapter describes biomarkers associated with
inflammation, coagulation, and immune activation in HIV, and reviews the
association between specific biomarkers and the development of co-morbid
conditions in individuals with HIV. Measures that incorporate specific biomarkers
related to HIV infection, designed to predict mortality outcomes in individuals
with HIV, are also discussed.
PMID- 27875824
TI - Multimorbidity and Burden of Disease.
AB - With effective antiretroviral therapy, HIV has become a chronic disease, and life
expectancy among HIV-infected persons is approaching that of HIV-uninfected
persons. Despite this success, epidemiologic evidence suggests that the burden of
multiple aging-related diseases, including cardiovascular disease, liver disease,
metabolic abnormalities, chronic kidney disease, cognitive dysfunction, and
osteoporosis, is higher in HIV-infected persons compared to their HIV-uninfected
peers. These comorbid diseases tend to cluster in a single person, leading to
multimorbidity and polypharmacy. Emerging evidence suggests that multimorbidity
among HIV-infected persons results in functional decline, reduced quality of
life, and increased mortality. In this review, we examine the epidemiology, risk
factors, etiologies, and potential consequences of multimorbidity in aging HIV
infected persons. With aggressive risk factor management for comorbidities and
less toxic antiretroviral medications, the burden of multimorbidity in HIV
infected persons can be reduced.
PMID- 27875825
TI - Polypharmacy, Using New Treatments to Customize Care for Aging Patients and
Adherence Present and Future.
AB - Nearly 50% of HIV patients in the US are now over 50, and the problem of
comorbidities associated with the aging process is becoming increasingly
complicated. In this chapter, we will review the challenge of polypharmacy and
suggest ways of minimizing drug-drug interactions. Newer medications and
combinations that reduce the pill burden, and allow the healthcare provider to
customize HIV treatment while remaining mindful of other medical issues will be
addressed. Adherence to medication schedules and possible future alternative drug
delivery systems will also be presented.
PMID- 27875826
TI - Behavioral Health.
AB - In this chapter, we will describe the state of the literature on behavioral
health, which includes mental health and substance use problems, and the
available treatment interventions to ameliorate these problems, for older adults
living with HIV (OALH). The scientific literature on the behavioral health of
OALH is highly underdeveloped, especially in terms of the creation of empirically
supported interventions to alleviate psychological distress. From the literature
that does exist, there are a number of salient factors that emerge, including
stereotypes (i.e., older adults are not sexually active), stigmatization (of
those who are HIV-positive), social isolation, unique psychosocial needs for
newly-infected OALH, and elevated rates of emotional distress and concomitant
disorders - especially, depression. These factors persist alongside findings that
OALH have fewer sources of social or institutional support, fewer surviving
peers, and a lack of family to care for them. Additionally, many OALH report
problems with substance use, both as a function of their 'baby-boomer'
generational status (i.e., people born between 1946 and 1964) and in terms of the
life experiences associated with their HIV-positive status. Overall, it is
unclear how mental health and substance use problems affect combination
antiretroviral therapy adherence, multimorbidity, polypharmacy, or treatment
outcomes in this population, and further study is needed.
PMID- 27875827
TI - Disability among Persons Aging with HIV/AIDS.
AB - Persons surviving to older ages with HIV/AIDS often face an accelerated aging
accompanied by increased comorbidity and decline in health and function. In this
chapter, we review the process of disablement among persons aging with HIV/AIDS,
from chronic conditions to impairments and functional limitations, leading to
disability. Chronic immune activation related to chronic HIV infection may
contribute to early development of chronic conditions that are common in older
adults resulting in premature disablement. Anatomical and physiological changes
related to the aging process make people vulnerable to physical and cognitive
impairments. In old age, quality of life depends mainly on avoidance and
management of age-associated diseases rather than chronological parameters.
Interventions to manage chronic conditions associated with aging may have a
significant impact on quality of life in older persons with HIV infection.
Because of the complexity of physical and cognitive impairments among persons
aging with HIV infection, a range of supports and interventions will be needed to
effectively address the problem of disablement in this population.
PMID- 27875829
TI - Sexual Health, Risk and Prevention.
AB - Sexuality is an important aspect of health across the lifespan and includes sex,
gender identities, sexual orientation, intimacy, pleasure, reproduction, and free
from coercion and discrimination. In 2010, individuals 50 years and older living
with HIV in the US made up 8.5 per 100,000 persons affected by the virus, with
African Americans accounting for 46% of seroconversion in the same year, which
was 10.7 times greater than Whites. African American women are particularly at
risk. Although there are many promising HIV prevention interventions to date,
there are few that focus specifically on older adults. This chapter raises
methodological concerns in research that focuses on sexual health of older adults
living with HIV infection and special concerns regarding the older adult
population's sexual health risk and suggested clinical interventions.
PMID- 27875828
TI - Application of Geriatric Principles and Care Models in HIV and Aging.
AB - People aging with HIV present a unique challenge for providers. HIV-infected
patients experience accentuated aging and multimorbidity, but are typically
disconnected from geriatric care, which is limited by a shortage of geriatric
providers worldwide. Consequently, HIV providers are tasked with managing
multiple age-related illnesses, within service networks that are historically not
designed to care for aging patients. While comfortable with the management of
antiretroviral therapy, HIV providers may have limited training on how to
recognize or manage geriatric syndromes, especially in the context of
multimorbidity. The result is an emerging, vulnerable population, and the
question is how to best care for them. As part of the answer, we offer examples
of how providers can use geriatric principles to improve the care of aging HIV
infected patients. We begin by describing basic geriatric concepts and examples
of care models, and subsequently use a patient case to illustrate their
applications at the patient level. At the system level, we discuss how HIV
service networks can use components of geriatric care models to meet the needs of
aging HIV-infected patients. Lastly, we identify aging-specific guidelines and
service integration as important areas for future endeavors.
PMID- 27875830
TI - Stigma in an Aging Context.
AB - Both the Joint United Nations Program on HIV/AIDS and the US National HIV/AIDS
Strategy identify HIV stigma as a barrier to care, a barrier to service access,
and deleterious to personal and social well-being. This chapter discusses the
topic of HIV stigma from a conceptual basis, including the mechanisms of
prejudice and discrimination, and defining anticipated, enacted, and internalized
stigma. Stigma is then placed in a historical context of HIV and AIDS, and events
exacerbating HIV stigma are discussed. The components of HIV stigma are then
applied to the population of older adults (age 50 years and older) who are at
risk of or living with HIV infection. The importance of intersectionality is
discussed with regard to race, ethnicity, gender, sexual orientation, gender
identity, and in particular, age. Drawing upon the HIV and gerontological
literature, the chapter outlines characteristics found to be protective against
stigma and placing older adults at greater risk for HIV stigma. Stigma management
strategies are outlined and finally, implications of working with older adults
related to HIV stigma are provided.
PMID- 27875831
TI - Social Support Systems and Social Network Characteristics of Older Adults with
HIV.
AB - Social networks of older adults with HIV have been characterized as fragile, with
a greater reliance on friends as compared to family. However, we know little
about the subgroup differences in the social network constellations of this
population, how such characteristics are related to social support resources, and
their relationship with psychosocial well-being. We developed a typology of
social networks of older HIV-positive adults and examined if they would be
related to receipt of informal assistance, perceptions of support sufficiency,
and psychosocial well-being. Data were obtained from Research on Older Adults
with HIV (n = 914). Participants were 50 years and older, HIV positive, and
diverse in terms of race/ethnicity, gender, and sexual orientation. Cluster
analysis identified Isolated, Friend-centered, and Integrated social network
types. The Isolated reported significantly lower levels of assistance, lower
perceptions of support availability and adequacy, greater stigma and
psychological distress, and lower well-being compared to their peers. While
friends dominate many social networks in this population, a more nuanced
interpretation is needed; many have no friends and a substantial proportion
receive significant family support. Those with Isolated network types will likely
need to access a high volume of community-based services as they age as they lack
informal support resources.
PMID- 27875832
TI - Remediating HIV-Associated Neurocognitive Disorders via Cognitive Training: A
Perspective on Neurocognitive Aging.
AB - Over 50% of adults with HIV exhibit some form of HIV-associated neurocognitive
disorder, ranging from mild asymptomatic neurocognitive impairment to HIV
associated dementia. As adults age with HIV and become susceptible to
cardiovascular and metabolic comorbidities, the prevalence and severity of such
neurocognitive disorders are likely to increase. With compromised renal and
hepatic functioning often accompanying HIV, pharmaceutical interventions to
address such neurocognitive disorders may not be the best strategy and are not
without risks. Fortunately, as noted in the geriatric literature, cognitive
training strategies have been shown to improve targeted neurocognitive domains
and everyday functioning. A review of some of these cognitive training
strategies, especially as they relate to aging with HIV, are highlighted and
explained in the context of neuroAIDS, aging, and neurocognitive reserve.
Implications for practice and research are provided.
PMID- 27875833
TI - Mental Health, Psychosocial Challenges and Resilience in Older Adults Living with
HIV.
AB - In addition to physical health challenges, older people living with HIV/AIDS
(PLWHA) experience mental health burdens and challenges to their social well
being that diminish their overall health. These health states are synergistic and
are driven by HIV and HIV treatments, the aging process itself, and psychosocial
and structural conditions of their lives. However, resilience, which we
understand as both a trait and a process, may serve to buffer the effects that
HIV/HIV treatments, aging, and social/structural conditions may have on the
overall well-being of the individual. In this chapter, we examine the extant
literate on the mental health and psychosocial challenges experienced by older
PLWHA as elements of the total health of the individual. We also provide a
contextualization and conceptualization for understanding the significant role
that resilience may play in empowering individuals to enact processes which
buffer health from the stressors. In this perspective, the health of older PLWHA
must be viewed through a lens of power and strength rather than one of deficit.
We conclude by outlining a theoretical paradigm for the role of resilience in the
health of older HIV-positive adults, which may serve as a guide to clinicians,
public health practitioners, and researchers working with this population.
PMID- 27875834
TI - Medical, Social and Supportive Services for Older Adults with HIV.
AB - Older people living with HIV are increasingly requiring formal supportive
community-based services. Supportive services are essential to medical care and
treatment for older people living with HIV/AIDS. This chapter considers
Andersen's behavioral model of health services, and explores the predisposing,
enabling, and need factors that affect service utilization among the older HIV
population. The Andersen model provides a lens to understand the need for
supportive services to go beyond primary medical care. Examples of such services
and referrals typically include medical and non-medical case management, clinical
provider referrals, mental health and substance use treatment, housing
assistance, legal services, nutrition, transportation, home care, emergency
assistance, patient education support groups, and other programs such as the AIDS
Drug Assistance Program and secondary prevention services. Barriers to assistance
and support, and consequences and resources for caregivers are addressed. Aspects
surrounding structural inequities, multiple-minority status, and HIV stigma are
examined with the goal of offering insight and advocacy ideas for community-based
providers and policy makers. In future, the healthcare and supportive services
infrastructure must be better equipped to manage the distinctive treatment and
care needs of HIV-positive older adults.
PMID- 27875835
TI - The Relevance of Palliative Care in HIV and Aging.
AB - The connection between palliative care and HIV infection has deep and wide roots
in the United States that go back to the time when many gay men in the early
1980s were dying from a disease we knew little about, and there was no way to
help but to alleviate symptoms in hospice and end of life centers across the
United States. More individuals (adults and children), families, and communities
attribute the success of antiretroviral therapies and other therapeutic
approaches to advancing quality of life and life itself today. The identity of
HIV, like many 'life-threatening illness with no cure' has evolved as a 'chronic'
condition with a longer time period to address physical, social, and emotional
experiences that may concern those living with HIV infection. Chronic conditions
create an opportunity for healthcare providers from all types of disciplines to
rethink and retool their knowledge and skills, to have conversations with those
affected by HIV infection as to what they would ideally want in addressing their
care needs; care needs that are now complicated by comorbid conditions of aging
and healthcare reimbursement that uniquely intersect with HIV infection. This
chapter addresses the current relevance of palliative care in HIV history, both
nationally and internationally, and offers ideas for health professionals to use
a multidisciplinary integration of knowledge to not just cure but align 'cure and
care' toward healing action while being present to others from their perspective
and values.
PMID- 27875837
TI - Erratum.
PMID- 27875836
TI - Ageism, Aging and HIV: Community Responses to Prevention, Treatment, Care and
Support.
AB - Ageism, in the form of prejudice, stereotyping, and discrimination targeting
older adults, represents a barrier to addressing the graying of the HIV epidemic.
There is widespread misperception on the part of older adults themselves, as well
as service providers and society in general that HIV risk is low as one ages. In
addition, internalized ageism may play a role in poorer physical and mental
health outcomes, as the negative stereotypes associated with aging become a self
fulfilling prophecy. A number of steps can be taken to address HIV and aging in
the context of ageism with regard to: prevention, education, and outreach;
treatment guidelines for older adults with HIV; funding to address the aging of
the epidemic; engagement of communities, health and social service organizations,
and other providers around mental health and social support, and addressing the
needs of special populations. Caring for an aging population with HIV represents
a challenge, which is exacerbated in low and/or middle-income countries that
typically lack the infrastructure of high resource settings. How we address the
aging-related issues of the HIV epidemic across regions and settings could serve
as a model in dealing with aging in our society in general regardless of HIV
status.
PMID- 27875838
TI - Contents Vol. 81, 2016.
PMID- 27875839
TI - Severe Metabolic Acidosis and Hepatopathy due to Leukoencephalopathy with
Thalamus and Brainstem Involvement and High Lactate.
AB - Leukoencephalopathy with thalamus and brainstem involvement and high lactate
(LTBL) is a recently described autosomal recessive mitochondrial disease
characterized by early onset of neurological symptoms, a biphasic clinical
course, and distinctive neuroimaging. Pathogenic variants in the EARS2 gene that
encode for mitochondrial glutamyl-tRNA synthetase are responsible for LTBL. Here,
we describe the clinical course of an infant diagnosed with an acute crisis of
LTBL and severe liver disease. This article illustrates the utility of blood
lactate quantification in addition to basic metabolic testing and brain imaging
in a child with low tone and poor growth. In addition, this case demonstrates the
utility of current genetic diagnostic testing, in lieu of more invasive
procedures, in obtaining rapid answers in this very complicated group of
disorders.
PMID- 27875840
TI - Application of a diathermic dilator for negotiating near-total antropyloric
strictures.
PMID- 27875841
TI - Endoscopic submucosal dissection of pancreatic heterotopia in children.
PMID- 27875842
TI - Through-the-mesh technique after endoscopic ultrasonography-guided
hepaticogastrostomy: a novel re-intervention method.
PMID- 27875843
TI - Underwater endoscopic mucosal resection without submucosal lift.
PMID- 27875844
TI - Fracture of a self-expanding metal stent used to manage a post-vertical sleeve
gastrectomy stenosis.
PMID- 27875845
TI - Colonoscopic extraction of a chicken wishbone stuck in the sigmoid colon,
identified as diverticulitis: the patient's and doctor's wish comes true.
PMID- 27875846
TI - Endoscopic full-thickness resection of a submucosal right colon lesion.
PMID- 27875847
TI - Duodenal arteriovenous malformation: endosonographic diagnosis and coil
embolization.
PMID- 27875848
TI - Multimodal therapy of recurrent and refractory bleeding from esophageal varices -
case report and review of the literature.
AB - Bleeding from esophageal varices is a major cause of mortality in patients with
advanced liver disease. Although standard treatment and secondary prophylaxis are
effective, in some patients sustained hemostasis cannot be achieved. We report
the case of a woman with alcoholic liver disease in whom pharmacological,
endoscopic, and intravascular therapies failed to control variceal bleeding. Only
a combination of (repeated) band ligation, insertion of a self-expanding metal
stent, TIPS implantation and redilatation, transjugular variceal embolization,
and finally implantation of a portocaval shunt proved to be successful. We
discuss the stepwise approach to this situation and the challenges encountered in
the process.
PMID- 27875849
TI - [Short- and long-term results in operable pancreatic ductal adenocarcinomas from
a cooperation between two departments gastroenterology-visceral surgery at non
university hospitals benchmarked to results of expert-centers].
AB - The only curative approach in pancreatic ductal adenocarcinoma (PDAC) is
resection, which is possible only in 15 - 30 % of patients. Local tumor spread or
distant metastases are contraindications for resection in the majority of
patients. Surgical-oncological quality with short- and long-term results are
varying tremendously, so that "expertise/quality" are associated to hospital- or
surgeon's volume and/or center formation. The treatment results also depend, to a
great extent, on the medical diagnostic quality. With our retrospective study, we
aim to compare the results-quality of cooperative pancreatic cancer treatment
based on an extensive preoperative diagnostic procedure for staging and risk
estimation in a specialized GI-medical department and visceral surgical
oncological expertise in pancreatic cancer surgery at a general hospital with the
results-quality of expert centers. Fifty-three patients with PDAC had diagnosis
and resection of their cancer between 1/2002 and 12/2009. The 30 day hospital
mortality was 3.8 % and the median survival time after demission from the
hospital was 23.1 months. The 5-year-survival rate of R0-resected patients, all
of whom had received adjuvant chemotherapy, was high with 31 %. The survival data
and the extraordinarily high resection rate of 98.1 % in the patient group, whose
primary tumor stage was pT3 in 81 %, reflects the excellent cooperation of high
standards in medical diagnostic processes, visceral pancreatic surgery, and
adjuvant medical chemotherapy. The results are well comparable to those of "high
volume centers". The responsible heads of the two departments have been trained
at university expert centers. Expertise in the treatment of pancreatic cancer
patients may be successfully transferred from an expert center to a general
hospital, if the team has high expertise.
PMID- 27875851
TI - Comment On: Peripartum Cardiomyopathy Treatment with Dopamine Agonist and
Subsequent Pregnancy with a Satisfactory Outcome.
PMID- 27875850
TI - ?
PMID- 27875852
TI - Computerized Cardiotocography Analysis: Comparison among Several Parental Ethnic
Origins.
AB - Objective We speculate that genetic racial disparity exists in fetal life and can
be detected by modern computerized cardiotocography (cCTG). Methods This is a
retrospective study comparing the results of the cCTG of pregnant patients at 37
42 weeks according to the parental ethnicity (black versus white). A cCTG was
performed to analyze the variables of fetal heart rate (FHR). The cCTG variables
analyzed were: percentage of signal loss; number of contractions; basal FHR;
number of accelerations; number of decelerations; length of high variation
episodes; short-term variability (STV); total trace duration time; and number of
fetal active movements. Non-stress test (NST) parameters in the two groups were
compared using the Mann-Whitney test for continuous data, and the Chi-square test
for categorical variables. Results We found a significantly lower number of
active fetal movements (p = 0.007) and longer periods of low variation (p =
0.047) in the cCTG of black patients when compared with white patients.
Conclusions In conclusion, identifying the factors responsible for the variance
in the objective analysis of CTG results is important to improve the outcomes of
patients. Our study lends further evidence as to the importance of ethnicity in
clinical cCTG interpretation.
PMID- 27875853
TI - Use of endoscopic septotomy for the treatment of late staple-line leaks after
laparoscopic sleeve gastrectomy.
AB - Background Staple-line leak following laparoscopic sleeve gastrectomy is a dire
adverse event. While the treatment of acute and early leaks is well established,
there is still dispute regarding late and chronic leaks. We describe an
endoscopic approach combining septotomy and sleeve stricture dilation for
treating late/chronic leaks. Methods Ten consecutive patients with late/chronic
proximal leaks were treated at our center. The septum separating the sleeve lumen
from the perigastric cavity was progressively dissected over several sessions and
the downstream stricture was pneumatically dilated. The technical and clinical
success rates were evaluated. Results: All ten patients were treated
successfully. Eight patients had sleeve strictures that were dilated in
conjunction with septotomy. A mean of five sessions over the course of 43 days
was needed to complete treatment. In two patients with a small perigastric cavity
and no stricture, septotomy was achieved with through-the-scope balloon dilation
of the fistula. No adverse events were encountered. Conclusions Septotomy
accompanied by stricture dilation seems highly effective and safe in late and
chronic leaks following sleeve gastrectomy.
PMID- 27875854
TI - Duodenal endoscopic submucosal dissection is feasible using the pocket-creation
method.
AB - Background and study aims Duodenal endoscopic submucosal dissection (ESD)
requires sophisticated endoscopic techniques because of a high rate of
perforation. We introduced the pocket-creation method (PCM) of duodenal ESD to
overcome difficulties. The aim of this study was to evaluate the safety and
usefulness of ESD using the PCM for superficial tumors of the duodenum. Patients
and methods We performed ESD of 17 non-ampullary duodenal lesions using the
conventional method and of 28 lesions using the PCM from 2006 to 2015 and
retrospectively reviewed the results, comparing the PCM and the conventional
method. The median follow-up period was 35 months (range 2 - 97). Results There
were more lesions at the duodenal angles in the PCM group compared with the
conventional method group (54 % [15/28] vs. 22 % [4/17]; P = 0.048), and the
resected specimen diameter was larger in the PCM than the conventional method
group (median 37 mm [range 25 - 101] vs. 25 mm [15 - 55]; P = 0.007). Dissection
speed was faster in the PCM than the conventional method group (9.4 mm2/min [3.0
15.7] vs. 6.5 mm2/min [1.5 - 19.7]; P = 0.09). En bloc resection was more
frequent in the PCM (100 % [28/28]) than the conventional method group (88 %
[15/17]) (P = 0.07). Perforation was significantly less frequent in the PCM (7 %
[2/28]) than the conventional method group (29 % [5/17]; P = 0.046). The one
delayed perforation in the conventional method group required surgical repair,
while other intraprocedural perforations were treated by clipping. There were no
recurrences. Conclusions ESD of duodenal lesions can be safely performed using
the PCM, which stabilizes the tip of the endoscope even in difficult locations.
PMID- 27875856
TI - Antimicrobial resistance surveillance in the genomic age.
AB - The loss of effective antimicrobials is reducing our ability to protect the
global population from infectious disease. However, the field of antibiotic drug
discovery and the public health monitoring of antimicrobial resistance (AMR) is
beginning to exploit the power of genome and metagenome sequencing. The creation
of novel AMR bioinformatics tools and databases and their continued development
will advance our understanding of the molecular mechanisms and threat severity of
antibiotic resistance, while simultaneously improving our ability to accurately
predict and screen for antibiotic resistance genes within environmental,
agricultural, and clinical settings. To do so, efforts must be focused toward
exploiting the advancements of genome sequencing and information technology.
Currently, AMR bioinformatics software and databases reflect different scopes and
functions, each with its own strengths and weaknesses. A review of the available
tools reveals common approaches and reference data but also reveals gaps in our
curated data, models, algorithms, and data-sharing tools that must be addressed
to conquer the limitations and areas of unmet need within the AMR research field
before DNA sequencing can be fully exploited for AMR surveillance and improved
clinical outcomes.
PMID- 27875855
TI - Endoscopic ultrasound-guided gallbladder drainage reduces adverse events compared
with percutaneous cholecystostomy in patients who are unfit for cholecystectomy.
AB - Background and study aim There are no data comparing endoscopic ultrasound (EUS)
guided gallbladder drainage (EGBD) with percutaneous cholecystostomy as a
treatment for patients with acute cholecystitis. Patients and methods This was a
1 : 1 matched cohort study of all patients who were unfit for cholecystectomy and
underwent EGBD or percutaneous cholecystostomy instead for the treatment of acute
cholecystitis. The outcomes were matched for age, sex, and American Society of
Anesthesiologists grade. Outcome measures included the technical and clinical
success rates, adverse events, hospital stay, the number of unplanned admissions,
and mortality. Results Between November 2011 and August 2014, a total of 118
patients were included in the study (59 EGBD, 59 percutaneous cholecystostomy).
Technical and clinical success rates were similar. In the EGBD group,
significantly fewer patients suffered from overall adverse events (19 [32.2 %]
vs. 44 [74.6 %]; P < 0.001) and serious adverse events (14 [23.7 %] vs. 44 [74.6
%]; P < 0.001) compared to the percutaneous cholecystostomy group. Patients in
the EGBD group required fewer unplanned admissions (4 [6.8 %] vs. 42 [71.2 %]; P
< 0.001), which were due to problems related to the cholecystostomy tube in 95.2
%. The 30-day adverse event rates were similar between the groups (17 [28.8 %]
vs. 10 [16.9 %]; P = 0.13). For instance, recurrent acute cholecystitis occurred
in 0 patients in the EGBD group and in 4 (6.8 %) patients in the percutaneous
cholecystostomy group (P = 0.12). The 30-day mortality rates were non
significantly higher in the EGBD group (5 [8.5 %] vs. 1 [1.7 %]; P = 0.21).
Conclusions EGBD and percutaneous cholecystostomy were both effective means of
achieving gallbladder drainage. EGBD may be a promising alternative to
percutaneous cholecystostomy for treatment of acute cholecystitis in patients who
are unfit for surgery, provided that experienced endosonographers are available.
PMID- 27875857
TI - Retrospective review of Prothrombinex use by SAAS MedSTAR.
AB - OBJECTIVE: The aim of this study was to review and describe the use of
Prothrombinex by a physician-led retrieval service based remote from a hospital
blood bank. METHODS: This is a retrospective observational study. Patients to
whom Prothrombinex was administered by the retrieval team were identified from
the retrieval service patient database. The paper case cards of the identified
patients were then manually reviewed and the data matched to patients in the
state-wide electronic laboratory record. RESULTS: Between 1 January 2010 and 30
November 2013 38 cases were identified. For 28 the indication was warfarinisation
associated with life-threatening bleeding (most commonly intracranial or
gastrointestinal tract). In the remaining 10 cases, Prothrombinex was used to
treat coagulopathy associated with liver disease or massive haemorrhage. The
median time saved by the retrieval team administering PTX-VF, rather than waiting
to the receiving centre, was 120 min (interquartile range: 85-195 min). The
median dose of PTX-VF administered was 23.25 IU/kg (interquartile range: 20-33
IU/kg). Paired international normalised ratios (INRs) were available for 33 of
the 38 patients. In the warfarin group, all patients had an improvement in their
INR and 21 of 25 had correction of their INR. In the non-warfarin group, the
effect on INR was more variable. CONCLUSION: Prothrombinex is a clinically useful
product that can be relatively easily stored and used by retrieval services, even
if they are based in isolation from a hospital blood bank. More research is
required to look at the utility of Prothrombinex for non-warfarin-related
bleeding in the pre-hospital and retrieval environment.
PMID- 27875858
TI - Contemporary rates of pathological features and mortality for adenocarcinoma of
the urinary bladder in the USA.
AB - OBJECTIVES: To examine contemporary rates of pathological features and mortality
for adenocarcinoma of the urinary bladder in the USA using population-based data
analysis. METHODS: We relied on 10 024 patients with non-metastatic bladder
cancer diagnosed between 2004 and 2013 within the Surveillance, Epidemiology and
End Results registries. Logistic regression analyses focused on grade and stage.
Kaplan-Meier analyses assessed cancer-specific mortality rates in adenocarcinoma
and urothelial carcinoma of the bladder. Cox regression analyses assessed the
impact of histological subtype on cancer-specific mortality. RESULTS: Overall,
215 (2.1%) adenocarcinoma and 9809 (97.9%) urothelial carcinoma patients were
identified. The rate of non-organ-confined disease was higher in adenocarcinoma
(64.7% vs 50.8%, P < 0.001). In multivariable logistic regression analyses,
adenocarcinoma patients had a 2.2-fold higher risk of harboring non-organ
confined disease (95% confidence interval 1.7-3.0; P < 0.001) than urothelial
carcinoma patients. Cancer-specific mortality-free survival rates were lower in
adenocarcinoma (P < 0.01). This disadvantage only applied to non-organ-confined
disease (P = 0.044), and not to organ-confined disease (P = 0.9). In
multivariable Cox regression analyses, adenocarcinoma conferred a 1.3-fold higher
rate of cancer-specific mortality (hazard ratio 1.30, 95% confidence interval
1.05-1.60; P = 0.01). Among adenocarcinoma patients, 30.7% harbored signet-ring
cell adenocarcinoma and portended particularly poor cancer-specific mortality
rates. CONCLUSIONS: In bladder cancer, adenocarcinoma presents at higher stages
than urothelial carcinoma. However, cancer-specific mortality rates do not
differ. A more unfavorable stage at diagnosis and higher cancer-specific
mortality apply to the signet-ring cell variant.
PMID- 27875859
TI - Clinical significance of preoperative renal function and gross hematuria for
intravesical recurrence after radical nephroureterectomy for upper tract
urothelial carcinoma.
AB - OBJECTIVES: To investigate the predictive values of perioperative factors and to
develop a nomogram for intravesical recurrence after radical nephroureterectomy
in patients with upper urinary tract urothelial carcinoma. METHODS: A
retrospective analysis of 144 patients who underwent radical nephroureterectomy
from 1996 to 2014 was carried out. The actuarial probabilities of the
intravesical recurrence-free survival rate were calculated using the Kaplan-Meier
method. Prognostic indicators for intravesical recurrence were identified using
competing-risks regression analyses. RESULTS: Intravesical recurrence occurred in
63 patients during the follow-up period. The intravesical recurrence-free
survival rates at 1, 3, and 5 years were 65.7%, 50.6% and 47.1%, respectively. In
univariate analysis, the presence of gross hematuria (P = 0.028) and the
preoperative serum creatinine level (P = 0.033) were significantly associated
with intravesical recurrence. In multivariate analysis, the presence of gross
hematuria (subdistribution hazard ratio 2.03, 95% CI 1.145-3.496; P = 0.013) and
the preoperative serum creatinine level (subdistribution hazard ratio 3.15, 95%
CI 1.161-3.534; P = 0.021) were independent predictors for intravesical
recurrence after radical nephroureterectomy. Accordingly, a nomogram based on the
model was developed. The concordance index of this model was 0.632. CONCLUSION:
The presence of gross hematuria and preoperative serum creatinine levels seem to
be independent predictors for intravesical recurrence after radical
nephroureterectomy. Our nomogram developed based on these factors might aid in
appropriate patient selection for clinical trials of novel therapeutic
interventions, including administration of intravesical chemotherapy.
PMID- 27875860
TI - The phase behavior study of human antibody solution using multi-scale modeling.
AB - Phase transformation in antibody solutions is of growing interest in both
academia and the pharmaceutical industry. Recent experimental studies have shown
that, as in near-spherical proteins, antibodies can undergo a liquid-liquid phase
separation under conditions metastable with respect to crystallization. However,
the phase diagram of the Y-shaped antibodies exhibits unique features that differ
substantially from those of spherical proteins. Specifically, antibody solutions
have an exceptionally low critical volume fraction (CVF) and a broader and more
asymmetric liquid-liquid coexistence curve than those of spherical proteins.
Using molecular dynamics simulation on a series of trimetric Y-shaped coarse
grained models, we investigate the phase behavior of antibody solutions and
compare the results with the experimental phase diagram of human immunoglobulin G
(IgG), one of the most common Y-shape typical of antibody molecules. With the
fitted size of spheres, our simulation reproduces both the low CVF and the
asymmetric shape of the experimental coexistence curve of IgG antibodies. The
broadness of the coexistence curve can be attributed to the anisotropic nature of
the inter-protein interaction. In addition, the repulsion between the inner parts
of the spherical domains of IgG dramatically expands the coexistence region in
the scaled phase diagram, while the hinge length has only a minor effect on the
CVF and the overall shape of the coexistence curve. We thus propose a seven-site
model with empirical parameters characterizing the exclusion volume and the hinge
length of the IgG molecules, which provides a base for simulation studies of the
phase behavior of IgG antibodies.
PMID- 27875861
TI - Analyzing signal attenuation in PFG anomalous diffusion via a non-Gaussian phase
distribution approximation approach by fractional derivatives.
AB - Anomalous diffusion exists widely in polymer and biological systems. Pulsed-field
gradient (PFG) techniques have been increasingly used to study anomalous
diffusion in nuclear magnetic resonance and magnetic resonance imaging. However,
the interpretation of PFG anomalous diffusion is complicated. Moreover, the exact
signal attenuation expression including the finite gradient pulse width effect
has not been obtained based on fractional derivatives for PFG anomalous
diffusion. In this paper, a new method, a Mainardi-Luchko-Pagnini (MLP) phase
distribution approximation, is proposed to describe PFG fractional diffusion. MLP
phase distribution is a non-Gaussian phase distribution. From the fractional
derivative model, both the probability density function (PDF) of a spin in real
space and the PDF of the spin's accumulating phase shift in virtual phase space
are MLP distributions. The MLP phase distribution leads to a Mittag-Leffler
function based PFG signal attenuation, which differs significantly from the
exponential attenuation for normal diffusion and from the stretched exponential
attenuation for fractional diffusion based on the fractal derivative model. A
complete signal attenuation expression Ealpha(-Dfbalpha,beta*) including the
finite gradient pulse width effect was obtained and it can handle all three types
of PFG fractional diffusions. The result was also extended in a straightforward
way to give a signal attenuation expression of fractional diffusion in PFG
intramolecular multiple quantum coherence experiments, which has an nbeta
dependence upon the order of coherence which is different from the familiar n2
dependence in normal diffusion. The results obtained in this study are in
agreement with the results from the literature. The results in this paper provide
a set of new, convenient approximation formalisms to interpret complex PFG
fractional diffusion experiments.
PMID- 27875862
TI - Communication: Probing the existence of partially arrested states in ionic
liquids.
AB - The recent predictions of the self-consistent generalized Langevin equation
theory, describing the existence of unusual partially arrested states in the
context of ionic liquids, were probed using all-atom molecular dynamics
simulations of a room-temperature ionic liquid. We have found a slower diffusion
of the smaller anions compared with the large cations for a wide range of
temperatures. The arrest mechanism consists on the formation of a strongly
repulsive glass by the anions, stabilized by the long range electrostatic
potential. The diffusion of the less repulsive cations occurs through the holes
left by the small particles. All of our observations in the simulated system
coincide with the theoretical picture.
PMID- 27875863
TI - A theory of diffusion controlled reactions in polyatomic molecule system.
AB - The conventional Smoluchowski equation has been extensively utilized to
investigate diffusion controlled reactions. However, application of the equation
is limited to spherical-particle system. In the present study, a new Smoluchowski
equation for polyatomic molecular system is derived based on Zwanzig-Mori
projection operator method and reference interaction site model (RISM) theory.
The theory is applied to monoatomic molecular liquid, and the obtained time
dependent rate constant is virtually identical with that from conventional
Smoluchowski equation. For diatomic molecular liquid, time-dependent distribution
function and rate constant are obtained, showing a good agreement with those from
molecular dynamics simulation.
PMID- 27875864
TI - Vertical excitation energies from the adiabatic connection.
AB - Vertical single-particle excitations from closed-shell ground states are
complicated by the fact that the singlet open-shell states are, even in the first
approximation, two-determinantal. Thus two-electron integrals come into play and
standard time-independent DFT (density-functional theory) does not apply. In this
work, we use the "adiabatic connection" to analyse the role of the two-electron
integrals, obtaining a time-independent DFT approach to excitation-energy
calculations that is new and simple. A non-empirical modeling of the method works
as well as the popular TD-B3LYP time-dependent approach to excited states, and
can be made even simpler by introducing one reasonable semi-empirical parameter.
PMID- 27875865
TI - Molecular weight effects on interfacial properties of linear and ring polymer
melts: A molecular dynamics study.
AB - Using molecular dynamics simulations, we study and compare the pressure, P, and
the surface tension, gamma, of linear chains and of ring polymers at the hard
walls confining both melts into a slit. We examine the dependence of P and gamma
on the length (i.e., molecular weight) N of the macromolecules. For linear
chains, we find that both pressure and surface tension are inversely proportional
to the chain length, P(N)-P(N->infinity)?N-1,gamma(N)-gamma(N->infinity)?N-1,
irrespective of whether the confining planes attract or repel the monomers. In
contrast, for melts comprised of cyclic (ring) polymers, neither the pressure nor
the surface tension is found to depend on molecular weight N for both kinds of
wall-monomer interactions. While other structural properties as, e.g., the
probability distributions of trains and loops at impenetrable walls appear
quantitatively indistinguishable, we observe an amazing dissimilarity in the
probability to find a chain end or a tagged monomer of a ring at a given distance
from the wall in both kinds of polymeric melts. In particular, we demonstrate
that the conformational equivalence of linear chains in a confined melt to a
single chain under conditions of critical adsorption to a planar surface,
established two decades ago, does also hold for ring polymers in a melt of linear
chains. This analogy does not hold, however, for linear and ring chains in a
confined melt of ring chains.
PMID- 27875866
TI - Salting-out effects by pressure-corrected 3D-RISM.
AB - We demonstrate that using a pressure corrected three-dimensional reference
interaction site model one can accurately predict salting-out (Setschenow's)
constants for a wide range of organic compounds in aqueous solutions of NaCl. The
approach, based on classical molecular force fields, offers an alternative to
more heavily parametrized methods.
PMID- 27875867
TI - Introducing new reactivity descriptors: "Bond reactivity indices." Comparison of
the new definitions and atomic reactivity indices.
AB - A new methodology to obtain reactivity indices has been defined. This is based on
reactivity functions such as the Fukui function or the dual descriptor and makes
it possible to project the information of reactivity functions over molecular
orbitals instead of the atoms of the molecule (atomic reactivity indices). The
methodology focuses on the molecule's natural bond orbitals (bond reactivity
indices) because these orbitals (with physical meaning) have the advantage of
being very localized, allowing the reaction site of an electrophile or
nucleophile to be determined within a very precise molecular region. This
methodology gives a reactivity index for every Natural Bond Orbital (NBO), and we
have verified that they have equivalent information to the reactivity functions.
A representative set of molecules has been used to test the new definitions.
Also, the bond reactivity index has been related with the atomic reactivity one,
and complementary information has been obtained from the comparison. Finally, a
new atomic reactivity index has been defined and compared with previous
definitions.
PMID- 27875869
TI - Coarse-grained simulations for flow of complex soft matter fluids in the bulk and
in the presence of solid interfaces.
AB - We present a coarse-grained particle-based simulation technique for modeling flow
of complex soft matter fluids such as polymer solutions in the presence of solid
interfaces. In our coarse-grained description of the system, we track the motion
of polymer molecules using their centers-of-mass as our coarse-grain co-ordinates
and also keep track of another set of variables that describe the background flow
field. The coarse-grain motion is thus influenced not only by the interactions
based on appropriate potentials used to model the particular polymer system of
interest and the random kicks associated with thermal fluctuations, but also by
the motion of the background fluid. In order to couple the motion of the coarse
grain co-ordinates with the background fluid motion, we use a Galilean invariant,
first order Brownian dynamics algorithm developed by Padding and Briels [J. Chem.
Phys. 141, 244108 (2014)], which on the one hand draws inspiration from smoothed
particle hydrodynamics in a way that the motion of the background fluid is
efficiently calculated based on a discretization of the Navier-Stokes equation at
the positions of the coarse-grain coordinates where it is actually needed, but
also differs from it because of the inclusion of thermal fluctuations by having
momentum-conserving pairwise stochastic updates. In this paper, we make a few
modifications to this algorithm and introduce a new parameter, viz., a friction
coefficient associated with the background fluid, and analyze the relationship of
the model parameters with the dynamic properties of the system. We also test this
algorithm for flow in the presence of solid interfaces to show that appropriate
boundary conditions can be imposed at solid-fluid interfaces by using artificial
particles embedded in the solid walls which offer friction to the real fluid
particles in the vicinity of the wall. We have tested our method using a model
system of a star polymer solution at the overlap concentration.
PMID- 27875868
TI - Optimized parameter selection reveals trends in Markov state models for protein
folding.
AB - As molecular dynamics simulations access increasingly longer time scales,
complementary advances in the analysis of biomolecular time-series data are
necessary. Markov state models offer a powerful framework for this analysis by
describing a system's states and the transitions between them. A recently
established variational theorem for Markov state models now enables modelers to
systematically determine the best way to describe a system's dynamics. In the
context of the variational theorem, we analyze ultra-long folding simulations for
a canonical set of twelve proteins [K. Lindorff-Larsen et al., Science 334, 517
(2011)] by creating and evaluating many types of Markov state models. We present
a set of guidelines for constructing Markov state models of protein folding;
namely, we recommend the use of cross-validation and a kinetically motivated
dimensionality reduction step for improved descriptions of folding dynamics. We
also warn that precise kinetics predictions rely on the features chosen to
describe the system and pose the description of kinetic uncertainty across
ensembles of models as an open issue.
PMID- 27875870
TI - Communication: Cargo towing by artificial swimmers.
AB - An active swimmer can tow a passive cargo by binding it to form a self-propelling
dimer. The orientation of the cargo relative to the axis of the active dimer's
head is determined by the hydrodynamic interactions associated with the
propulsion mechanism of the latter. We show how the tower-cargo angular
configuration greatly influences the dimer's diffusivity and, therefore, the
efficiency of the active swimmer as a micro-towing motor.
PMID- 27875871
TI - Remote detection of hyperpolarized 129Xe resonances via multiple distant dipolar
field interactions with 1H.
AB - A remote detection scheme utilizing the distant dipolar field interaction between
two different spin species was proposed by Granwehr et al. [J. Magn. Reson.
176(2), 125 (2005)]. In that sequence 1H spins were detected indirectly via their
dipolar field interaction with 129Xe spins, which served as the sensing spins.
Here we propose a modification of the proposed detection scheme that takes
advantage of the longer T1 relaxation time of xenon to create a long lasting
dipolar field with which the fast relaxing 1H spins are allowed to interact many
times during a single acquisition. This new acquisition scheme improves detection
sensitivity, but it also presents some challenges.
PMID- 27875872
TI - Obtaining the solid-liquid interfacial free energy via multi-scheme thermodynamic
integration: Ag-ethylene glycol interfaces.
AB - The solid-liquid interfacial free energy gammasl is an important quantity in
wetting, nucleation, and crystal growth. Although various methods have been
developed to calculate gammasl with atomic-scale simulations, such calculations
still remain challenging for multi-component interfaces between molecular fluids
and solids. We present a multi-scheme thermodynamic integration method that is
inspired by the "cleaving-wall" method and aimed at obtaining gammasl for such
systems using open-source simulation packages. This method advances two aspects
of its predecessor methods. First, we incorporate separate schemes to resolve
difficulties when manipulating periodic boundary conditions of the supercell
using open-source simulation packages. Second, we introduce a numerical
approximation to obtain thermodynamic integrands for complex force fields when an
analytical differentiation is not readily available. To demonstrate this method,
we obtain gammasl for interfaces between Ag(100) and Ag(111) and ethylene glycol
(EG). These interfacial free energies mirror interfacial potential energies for
each facet. We also estimate entropies of interface formation and these are
consistent with theoretical predictions in signs and trends. For the Ag-EG
systems, we find that the largest contribution to gammasl is the free energy to
create the bare metal surfaces. The second-largest contribution to gammasl is
from the liquid-solid interaction. This user-friendly method will accelerate
investigation in a broad range of research topics, such as the thermodynamic
effect of structure-directing agents in solution-phase shape-controlled
nanocrystal syntheses.
PMID- 27875873
TI - Experimental study on the relationship between the frequency-dependent shear
viscosity and the intermediate scattering function of representative viscous
liquids.
AB - The frequency-dependent shear viscosity of two representative viscous liquids, o
terphenyl and glycerin, was experimentally determined at several temperatures and
compared with the intermediate scattering functions reported in the literature. A
comparison based on mode-coupling theory succeeded in relating the frequency
dependent shear viscosity with the intermediate scattering function at the main
peak of the static structure factor. It suggests that the slow relaxation mode of
the shear viscosity of both liquids is governed by the density fluctuation at the
main peak of the static structure factor, in spite of the differences in the
details of their intermolecular interactions.
PMID- 27875874
TI - Geometries of H2S?MI (M = Cu, Ag, Au) complexes studied by rotational
spectroscopy: The effect of the metal atom.
AB - Complexes formed between H2S and each of CuI, AgI, and AuI have been isolated and
structurally characterised in the gas phase. The H2S?MI complexes (where M is the
metal atom) are generated through laser vaporisation of a metal rod in the
presence of a low concentration of H2S and CF3I in a buffer gas of argon
undergoing supersonic expansion. The microwave spectra of six isotopologues of
each of H2S?CuI, H2S?AgI and three isotopologues of H2S?AuI have been measured by
chirped-pulse Fourier transform microwave spectroscopy. The spectra are
interpreted to determine geometries for the complexes and to establish the values
of structural parameters. The complexes have Cs symmetry at equilibrium and have
a pyramidal configuration about the sulfur atom. The local C2 axis of the
hydrogen sulfide molecule intersects the linear axis defined by the three heavy
atoms at an angle, phi = 75.00(47) degrees for M = Cu, phi = 78.43(76) degrees
for M = Ag, and phi = 71.587(13) degrees for M = Au. The trend in the molecular
geometries is consistent with significant relativistic effects in the gold
containing complex. The force constant describing the interaction between the H2S
and MI sub-units is determined from the measured centrifugal distortion constant,
DeltaJ, of each complex. Nuclear quadrupole coupling constants, chiaa(M) and
chiaa(I) (where M denotes the metal atom), are determined for H2S?CuI and H2S?AuI
for the first time.
PMID- 27875875
TI - On the accuracy of the MB-pol many-body potential for water: Interaction
energies, vibrational frequencies, and classical thermodynamic and dynamical
properties from clusters to liquid water and ice.
AB - The MB-pol many-body potential has recently emerged as an accurate molecular
model for water simulations from the gas to the condensed phase. In this study,
the accuracy of MB-pol is systematically assessed across the three phases of
water through extensive comparisons with experimental data and high-level ab
initio calculations. Individual many-body contributions to the interaction
energies as well as vibrational spectra of water clusters calculated with MB-pol
are in excellent agreement with reference data obtained at the coupled cluster
level. Several structural, thermodynamic, and dynamical properties of the liquid
phase at atmospheric pressure are investigated through classical molecular
dynamics simulations as a function of temperature. The structural properties of
the liquid phase are in nearly quantitative agreement with X-ray diffraction data
available over the temperature range from 268 to 368 K. The analysis of other
thermodynamic and dynamical quantities emphasizes the importance of explicitly
including nuclear quantum effects in the simulations, especially at low
temperature, for a physically correct description of the properties of liquid
water. Furthermore, both densities and lattice energies of several ice phases are
also correctly reproduced by MB-pol. Following a recent study of DFT models for
water, a score is assigned to each computed property, which demonstrates the high
and, in many respects, unprecedented accuracy of MB-pol in representing all three
phases of water.
PMID- 27875876
TI - Dissolution dynamic nuclear polarization of deuterated molecules enhanced by
cross-polarization.
AB - We present novel means to hyperpolarize deuterium nuclei in 13CD2 groups at
cryogenic temperatures. The method is based on cross-polarization from 1H to 13C
and does not require any radio-frequency fields applied to the deuterium nuclei.
After rapid dissolution, a new class of long-lived spin states can be detected
indirectly by 13C NMR in solution. These long-lived states result from a sextet
triplet imbalance (STI) that involves the two equivalent deuterons with spin I =
1. An STI has similar properties as a triplet-singlet imbalance that can occur in
systems with two equivalent I = 12 spins. Although the lifetimes TSTI are shorter
than T1(Cz), they can exceed the life-time T1(Dz) of deuterium Zeeman
magnetization by a factor of more than 20.
PMID- 27875877
TI - Communication: XFAIMS-eXternal Field Ab Initio Multiple Spawning for electron
nuclear dynamics triggered by short laser pulses.
AB - Attoscience is an emerging field where attosecond pulses or few cycle IR pulses
are used to pump and probe the correlated electron-nuclear motion of molecules.
We present the trajectory-guided eXternal Field Ab Initio Multiple Spawning
(XFAIMS) method that models such experiments "on-the-fly," from laser pulse
excitation to fragmentation or nonadiabatic relaxation to the ground electronic
state. For the photoexcitation of the LiH molecule, we show that XFAIMS gives
results in close agreement with numerically exact quantum dynamics simulations,
both for atto- and femtosecond laser pulses. We then show the ability of XFAIMS
to model the dynamics in polyatomic molecules by studying the effect of nuclear
motion on the photoexcitation of a sulfine (H2CSO).
PMID- 27875878
TI - Publisher's Note: "Ab initio study of vacancy formation in cubic LaMnO3 and
SmCoO3 as cathode materials in solid oxide fuel cells" [J. Chem. Phys. 145,
014703 (2016)].
PMID- 27875879
TI - Guided ion beam and theoretical studies of the reactions of Re+, Os+, and Ir+
with CO.
AB - The kinetic-energy dependences of the reactions M+ + CO where M+ = Re+, Os+, and
Ir+ are studied using guided ion-beam tandem mass spectrometry. Formation of both
MO+ and MC+ was observed in endothermic processes for all three metals. Modeling
of the data provides thresholds that yield 0 K bond dissociation energies (BDEs,
in eV) of 4.67 +/- 0.09 (Re+-O), 4.82 +/- 0.14 (Os+-O), 4.25 +/- 0.11 (Ir+-O),
5.13 +/- 0.12 (Re+-C), 6.14 +/- 0.14 (Os+-C), and 6.58 +/- 0.12 (Ir+-C). These
BDEs agree well with literature values within experimental uncertainties
demonstrating that ground state products are formed for all cases even though
some of the reactions are formally spin forbidden. Quantum mechanical
calculations at several levels of theory and using several basis sets were
performed for MC+ and MO+ (with comparable results taken from the literature in
some cases). B3LYP and CCSD(T) calculated ground state BDEs agree reasonably well
with experimental values. The ground states in B3LYP and CCSD(T)/CBS calculations
are Sigma-3 (ReC+), Delta2 (OsC+), and Sigma+1 or Delta3 (IrC+) after including
spin-orbit considerations. Relaxed potential energy surfaces (PESs) for the M+ +
CO reactions show crossings between surfaces of different spin states such that
products can be formed with no barriers in excess of the substantial
endothermicities. Unlike results for these metal cations reacting with O2, the
kinetic energy dependent cross sections for the formation of MO+ in the M+ + CO
reactions exhibit only one feature. Reasons for this differential behavior are
discussed in detail.
PMID- 27875880
TI - Measured electrical charge of SiO2 in polar and nonpolar media.
AB - We present measurements of the net electrical surface charge of silicon dioxide
(SiO2) in contact with solvents of dielectric constants between 5 and 80. Our
experimental approach relies on observing the thermal motion of single silica
particles confined in an electrostatic fluidic trap created by SiO2 surfaces. We
compare the experimentally measured functional form of the trapping potential
with that from free energy calculations and thereby determine the net surface
charge in the system. Our findings clearly demonstrate that contrary to popular
perception, even in the absence of surfactants, the net electrical charge of
ionizable surfaces in contact with apolar solvents can be large enough to lead to
significant repulsive forces. A charge regulation model for SiO2 surfaces with a
single tunable parameter explains our measurements. This model may find general
applicability in estimating the net charge of ionizable surfaces, given system
parameters such as the dissociation or association constants of the ionizable
groups and the pH, ionic strength, and dielectric constant of the solvent phase.
PMID- 27875881
TI - Communication: "Position" does matter: The photofragmentation of the
nitroimidazole isomers.
AB - A combined experimental and theoretical approach has been used to disentangle the
fundamental mechanisms of the fragmentation of the three isomers of
nitroimidazole induced by vacuum ultra-violet (VUV) radiation, namely, 4-, 5-,
and 2-nitroimidazole. The results of mass spectrometry as well as photoelectron
photoion coincidence spectroscopy display striking differences in the radiation
induced decomposition of the different nitroimidazole radical cations. Based on
density functional theory (DFT) calculations, a model is proposed which fully
explains such differences, and reveals the subtle fragmentation mechanisms
leading to the release of neutral species like NO, CO, and HCN. Such species have
a profound impact in biological media and may play a fundamental role in
radiosensitising mechanisms during radiotherapy.
PMID- 27875882
TI - On the feasibility of designing hyperalkali cations using superalkali clusters as
ligands.
AB - The possibility of using superalkali clusters instead of alkali atoms as ligands
to design a class of cationic compounds, referred to as hyperalkali cations, has
been examined by using gradient-corrected density functional theory. By taking
typical superalkalis (FLi2, OLi3, and NLi4) as examples, a series of hyperalkali
cations ML2+ [M = (super)halogen; L = superalkali] have been constructed and
investigated. Calculational results show that all the superalkali moieties
preserve their geometric and electronic integrity in these proposed cations. The
stability of these studied cations is guaranteed by the strong ionic bonds
between superalkali ligand and (super)halogen core, as well as their large
highest occupied molecular orbital-lowest unoccupied molecular orbital gaps and
positive dissociation energies. In particular, all these proposed cations possess
lower vertical electron affinities (2.36-3.56 eV) than those of their
corresponding cationic superalkali ligands, verifying their hyperalkali nature.
We, therefore, hope that this study will provide an approach to obtain new
species with excellent reducing capability by utilizing various superalkalis as
building blocks.
PMID- 27875883
TI - Electronic ground state of Ni2.
AB - The Phi9/24 ground state of the Ni2+ diatomic molecular cation is determined
experimentally from temperature and magnetic-field-dependent x-ray magnetic
circular dichroism spectroscopy in a cryogenic ion trap, where an electronic and
rotational temperature of 7.4+/-0.2 K was reached by buffer gas cooling of the
molecular ion. The contribution of the spin dipole operator to the x-ray magnetic
circular dichroism spin sum rule amounts to 7Tz=0.17+/-0.06MUB per atom,
approximately 11% of the spin magnetic moment. We find that, in general,
homonuclear diatomic molecular cations of 3d transition metals seem to adopt
maximum spin magnetic moments in their electronic ground states.
PMID- 27875884
TI - Non-adiabatic transition probability dependence on conical intersection
topography.
AB - We derive a closed form analytical expression for the non-adiabatic transition
probability for a distribution of trajectories passing through a generic conical
intersection (CI), based on the Landau-Zener equation for the non-adiabatic
transition probability for a single straight-line trajectory in the CI's
vicinity. We investigate the non-adiabatic transition probability's variation
with topographical features and find, for the same crossing velocity, no
intrinsic difference in efficiency at promoting non-adiabatic decay between
peaked and sloped CIs, a result in contrast to the commonly held view. Any
increased efficiency of peaked over sloped CIs is thus due to dynamical effects
rather than to any increased transition probability of topographical origin. It
is also shown that the transition probability depends in general on the direction
of approach to the CI, and that the coordinates' reduced mass can affect the
transition probability via its influence on the CI topography in mass-scaled
coordinates. The resulting predictions compare well with surface hopping
simulation results.
PMID- 27875885
TI - Morphology of symmetric ABCD tetrablock quaterpolymers studied by Monte Carlo
simulation.
AB - Morphology of symmetric ABCD tetrablock quaterpolymers in melt was studied by the
Monte Carlo (MC) simulation, where the volume fractions of the block chains, f,
kept the relationships of fA=fD and fB=fC, and the volume fraction of the two mid
blocks phi was defined as phi=fB+fC. Previous self-consistent field theory for
ABCD reported morphological change including several structures; however, the
scope was limited within a two-dimensional system. To the contrary, in this
paper, MC simulations were carried out in three dimensions with changing the phi
value finely, which resulted in finding a tetracontinuous structure in the range
of 0.625<=phi<=0.75. Moreover the tetracontinuous structure has been found to be
the gyroid structure, and the mean curvature of the B/C interface is nearly zero.
We concluded that the B/C interface must be the Schoen gyroid surface, one of
three-dimensional periodic minimal surfaces. The geometrical nature of the A/B
interface should be equivalent to that of the C/D interface, and they stand as
level surfaces to the Schoen gyroid surface.
PMID- 27875886
TI - An accurate potential model for the a3Sigmau+ state of the alkali dimers Na2, K2,
Rb2, and Cs2.
AB - A modified semi-empirical Tang-Toennies potential model is used to describe the
a3Sigmau+ potentials of the alkali dimers. These potentials are currently of
interest in connection with the laser manipulation of the ultracold alkali gases.
The fully analytical model is based on three experimental parameters, the well
depth De, well location Re, and the harmonic vibrational frequency omegae of
which the latter is only slightly optimized within the range of the literature
values. Comparison with the latest spectroscopic data shows good agreement for
Na2, K2, Rb2, and Cs2, comparable to that found with published potential models
with up to 55 parameters. The differences between the reduced potential of Li2
and the conformal reduced potentials of the heavier dimers are analyzed together
with why the model describes Li2 less accurately. The new model potential
provides a test of the principle of corresponding states and an excellent first
order approximation for further optimization to improve the fits to the
spectroscopic data and describe the scattering lengths and Feshbach resonances at
ultra-low temperatures.
PMID- 27875887
TI - The dynamics of copper intercalated molybdenum ditelluride.
AB - Layered transition metal dichalcogenides are emerging as key materials in
nanoelectronics and energy applications. Predictive models to understand their
growth, thermomechanical properties, and interaction with metals are needed in
order to accelerate their incorporation into commercial products. Interatomic
potentials enable large-scale atomistic simulations connecting first principle
methods and devices. We present a ReaxFF reactive force field to describe
molybdenum ditelluride and its interactions with copper. We optimized the force
field parameters to describe the energetics, atomic charges, and mechanical
properties of (i) layered MoTe2, Mo, and Cu in various phases, (ii) the
intercalation of Cu atoms and small clusters within the van der Waals gap of
MoTe2, and (iii) bond dissociation curves. The training set consists of an
extensive set of first principles calculations computed using density functional
theory (DFT). We validate the force field via the prediction of the adhesion of a
single layer MoTe2 on a Cu(111) surface and find good agreement with DFT results
not used in the training set. We characterized the mobility of the Cu ions
intercalated into MoTe2 under the presence of an external electric field via
finite temperature molecular dynamics simulations. The results show a significant
increase in drift velocity for electric fields of approximately 0.4 V/A and that
mobility increases with Cu ion concentration.
PMID- 27875888
TI - Interaction and charge transfer between dielectric spheres: Exact and approximate
analytical solutions.
AB - We present exact analytical solutions for charge transfer reactions between two
arbitrarily charged hard dielectric spheres. These solutions, and the
corresponding exact ones for sphere-sphere interaction energies, include sums
that describe polarization effects to infinite orders in the inverse of the
distance between the sphere centers. In addition, we show that these exact
solutions may be approximated by much simpler analytical expressions that are
useful for many practical applications. This is exemplified through calculations
of Langevin type cross sections for forming a compound system of two colliding
spheres and through calculations of electron transfer cross sections. We find
that it is important to account for dielectric properties and finite sphere sizes
in such calculations, which for example may be useful for describing the
evolution, growth, and dynamics of nanometer sized dielectric objects such as
molecular clusters or dust grains in different environments including
astrophysical ones.
PMID- 27875889
TI - A new wavefunction hierarchy for interacting geminals.
AB - A new truncation scheme for non-orthogonal antisymmetrized products of
interacting geminals (APIG) is introduced based on antisymmetrized products of
strongly orthogonal geminals (APSG). This wavefunction hierarchy of interacting
geminals (IG) allows us to gradually increase the accuracy at which the ground
state of a seniority-zero Hamiltonian can be estimated, ranging from APSG up to
approximation-free APIG. Mathematical expressions for the lowest four orders
(IG0, IG1, IG2, and IG3) are given explicitly and the computational cost to
evaluate their transition density matrix is verified to scale only cubically with
system size. Exemplary numerical calculations indicate that already a very early
truncation level leads to results virtually identical to APIG.
PMID- 27875890
TI - Elucidating the structure of merocyanine dyes with the ASEC-FEG method. Phenol
blue in solution.
AB - The electronic structure of phenol blue (PB) was investigated in several protic
and aprotic solvents, in a wide range of dielectric constants, using atomistic
simulations. We employed the sequential QM/MM and the free energy gradient
methods to optimize the geometry of PB in each solvent at the MP2/aug-cc-pVTZ
level. The ASEC mean field is used to include the ensemble average of the solute
solvent interaction into the molecular hamiltonian, both for the geometry
optimization and for the calculations of the electronic properties. We found that
the geometry of PB changes considerably, from a polyene-like structure in
nonpolar solvents to a cyanine-like in water. Moreover, and quite interestingly,
in protic solvents with higher dielectric constant than water, the structure of
the molecule is less affected and lies in an intermediate state. The results
illustrate the important role played by hydrogen bonds in the conformation of
merocyanine dyes.
PMID- 27875891
TI - The Uhlenbeck-Ford model: Exact virial coefficients and application as a
reference system in fluid-phase free-energy calculations.
AB - The Uhlenbeck-Ford (UF) model was originally proposed for the theoretical study
of imperfect gases, given that all its virial coefficients can be evaluated
exactly, in principle. Here, in addition to computing the previously unknown
coefficients B11 through B13, we assess its applicability as a reference system
in fluid-phase free-energy calculations using molecular simulation techniques.
Our results demonstrate that, although the UF model itself is too soft,
appropriately scaled Uhlenbeck-Ford (sUF) models provide robust reference systems
that allow accurate fluid-phase free-energy calculations without the need for an
intermediate reference model. Indeed, in addition to the accuracy with which
their free energies are known and their convenient scaling properties, the fluid
is the only thermodynamically stable phase for a wide range of sUF models. This
set of favorable properties may potentially put the sUF fluid-phase reference
systems on par with the standard role that harmonic and Einstein solids play as
reference systems for solid-phase free-energy calculations.
PMID- 27875892
TI - A coarse-grained DNA model for the prediction of current signals in DNA
translocation experiments.
AB - We present an implicit solvent coarse-grained double-stranded DNA (dsDNA) model
confined to an infinite cylindrical pore that reproduces the experimentally
observed current modulations of a KaCl solution at various concentrations. Our
model extends previous coarse-grained and mean-field approaches by incorporating
a position dependent friction term on the ions, which Kesselheim et al. [Phys.
Rev. Lett. 112, 018101 (2014)] identified as an essential ingredient to correctly
reproduce the experimental data of Smeets et al. [Nano Lett. 6, 89 (2006)]. Our
approach reduces the computational effort by orders of magnitude compared with
all-atom simulations and serves as a promising starting point for modeling the
entire translocation process of dsDNA. We achieve a consistent description of the
system's electrokinetics by using explicitly parameterized ions, a friction term
between the DNA beads and the ions, and a lattice-Boltzmann model for the
solvent.
PMID- 27875893
TI - High pressure chemistry of thioaldehydes: A first-principles molecular dynamics
study.
AB - First-principles molecular dynamics simulations are used to investigate the
chemical behavior of bulk thioacetaldehyde (MeC(H)S) in response to changes in
pressure, P. The simulations show that these molecules oligomerize in response to
applied P. Oligomerization is initiated through C-S bond formation, with
constrained dynamics simulations showing that the barrier to this reaction step
is lowered significantly by applied P. Subsequent reactions involving the
formation of additional C-S bonds or radical processes that lead to S-S and C-C
bonds lengthen the oligomers. Oligomerization is terminated through proton
transfer or the formation of rings. The mechanistic details of all reactions are
examined. The results indicate that the P-induced reactivity of the MeC(H)S-based
system differs significantly from that of analogous MeC(H)O-based systems, which
have been reported previously. Comparison with the MeC(H)O study shows that
replacing oxygen with sulfur significantly lowers the P required to initiate
oligomerization (from 26 GPa to 5 GPa), increases the types of reactions in which
systems of this type can take part, and increases the variety of products formed
through these reactions. These differences can be explained in terms of the
electronic structures of these systems, which may be useful for certain high P
applications.
PMID- 27875894
TI - Properties of the two-dimensional heterogeneous Lennard-Jones dimers: An integral
equation study.
AB - Structural and thermodynamic properties of a planar heterogeneous soft dumbbell
fluid are examined using Monte Carlo simulations and integral equation theory.
Lennard-Jones particles of different sizes are the building blocks of the dimers.
The site-site integral equation theory in two dimensions is used to calculate the
site-site radial distribution functions and the thermodynamic properties.
Obtained results are compared to Monte Carlo simulation data. The critical
parameters for selected types of dimers were also estimated and the influence of
the Lennard-Jones parameters was studied. We have also tested the correctness of
the site-site integral equation theory using different closures.
PMID- 27875895
TI - Monitoring coherent electron wave packet excitation dynamics by two-color
attosecond laser pulses.
AB - We propose a method to monitor coherent electron wave packet (CEWP) excitation
dynamics with two-color attosecond laser pulses. Simulations are performed on
aligned H2+ by numerically solving the three-dimensional time-dependent
Schrodinger equation with combinations of a resonant linearly polarized lambdal=
100/70 nm pump pulse and a circularly polarized lambdac=5 nm attosecond probe
pulse. It is found that time dependent diffraction patterns in molecular frame
photoelectron angular distributions (MFPADs) produced by the circular probe pulse
exhibit sensitivity to the molecular alignments and time-dependent geometry of
the CEWPs during and after the coherent excitation between the ground and excited
states induced by the linear pump pulse. The time dependent MFPADs are described
by an ultrafast diffraction model for the ionization of the bound CEWPs.
PMID- 27875896
TI - Publisher's Note: "Density-based cluster algorithms for the identification of
core sets" [J. Chem. Phys. 145, 164104 (2016)].
PMID- 27875897
TI - Isotropic-nematic transition and cholesteric phases of helical Yukawa rods.
AB - We present a Monte Carlo simulation study of helical Yukawa rods as a model for
chiral liquid crystal mesogens. To simulate the cholesteric phase, we introduce a
new simulation method that uses soft walls and self-determined boundary
conditions. We observe that the isotropic-nematic phase transition is shifted to
lower volume fractions with decreasing salt concentration as well as with
increasing internal pitch of the rods. For particular sets of interaction
parameters, the sense of the cholesteric pitch inverts, i.e., depending on
concentration, mesogens of a given handedness can produce cholesteric phases of
both chiral senses.
PMID- 27875898
TI - Reflection of light by anisotropic molecular crystals including exciton
polaritons and spatial dispersion.
AB - A theory for the reflection of light by molecular crystals is described, which
reproduces the minimum within the reflection band that is observed
experimentally. The minimum in reflection is related to the excitation of
polaritons in the crystal. The theory involves reformulation of the boundary
conditions for electromagnetic waves at the interface between vacuum and
material. The material is modeled by a cubic lattice of oriented Lorentz
oscillators. By requiring uniformity of gauge of the electromagnetic potential
across the interface between vacuum and the dipole lattice, the need for
additional boundary conditions is obviated. The frequency separation between the
maxima in reflectance on both sides of the minimum allows for the extraction of a
plasma frequency. The plasma frequencies extracted from reflection spectra are
compared to the plasma frequencies calculated directly from structural data on
the crystals and the oscillator strengths of the constituent molecules. A good
agreement between extracted and calculated plasma frequency is obtained for a set
of 11 dye molecules.
PMID- 27875899
TI - Amelioration of energy metabolism by melatonin in skeletal muscle of rats with
LPS induced endotoxemia.
AB - In the literature, few studies have investigated the effects of melatonin on
energy metabolism in skeletal muscle in endotoxemia. We investigated the effects
of melatonin on tissue structure, energy metabolism in skeletal muscle, and
antioxidant level of rats with endotoxemia. We divided rats into 4 groups,
control, lipopolysaccharide (LPS) (20 mg/kg, i.p., single dose), melatonin (10
mg/kg, i.p., three times), and melatonin + LPS. Melatonin was injected i.p. 30
min before and after the 2nd and 4th hours of LPS injection. Antioxidant status
was determined by glutathione (GSH) measurement in the blood. Muscle tissue was
stained using modified Gomori trichrome (MGT), succinic dehydrogenase (SDH), and
cytochrome oxidase (COX) and histological scored. Also the sections were then
stained with hematoxylin and eosin. The stained sections were visualized and
photographed. Creatine, creatine phosphate, adenosine triphosphate (ATP),
adenosine diphosphate (ADP), and adenosine monophosphate (AMP) levels were
investigated using high performance liquid chromatography (HPLC) in muscle
tissue. In the Melatonin + LPS group, blood GSH levels were increased compared
with the LPS group (P<0.01). Melatonin reduced myopathic changes in the LPS group
according to the histopathologic findings. In addition, ATP values were increased
compared with the LPS group (P<0.05). Our findings showed melatonin treatment
prevented muscle damage by increasing ATP and GSH levels in rats with LPS induced
endotoxemia.
PMID- 27875900
TI - Effects of necrostatin-1, an inhibitor of necroptosis, and its inactive analogue
Nec-1i on basal cardiovascular function.
AB - Inhibition of receptor-interacting serine/threonine-protein kinase 1 (RIP1) by
necrostatin-1 (Nec-1) alleviates cardiac injury due to prevention of necroptotic
cell death. Its inactive analogue necrostatin-1i (Nec-1i), lacking RIP1 activity,
serves as a suitable control. It is unknown if these agents influence the heart
function in the absence of damaging stimuli. For this purpose, we measured
intraarterial blood pressure (systolic - sBP and diastolic - dBP) and ECG
parameters after a bolus administration of Nec-1 and Nec-1i in rats during 30
min. Nec-1, unlike Nec-1i, increased sBP and dBP, as well as heart rate reaching
the peak at 20 min. The P wave duration tended to be decreased and the duration
of the PR interval was shortened by Nec-1 indicating faster conduction of the
impulses through atria to the ventricles. The drugs did not influence the QTc
interval duration and no episode of ventricular arrhythmia was observed. In
summary, Nec-1 temporarily modulates blood pressure and electrical function of
the healthy heart. These effects of Nec-1 are likely due to its off-target action
or RIP1 has an important role in the regulation of cardiovascular function
independently of its action on the necroptotic pathway.
PMID- 27875901
TI - Reducing radiation-related morbidity in the treatment of nasopharyngeal
carcinoma.
AB - While radiation therapy is the mainstay of treatment for nasopharyngeal
carcinoma, the anatomic location of the nasopharynx in close proximity to
radiation-sensitive organs such as the salivary glands, optic nerves and chiasm,
cochlea, brainstem and temporal lobes presents a special challenge. Technological
approaches to reducing the morbidity of nasopharyngeal cancer irradiation have
been historically successful with the evolution from 2D techniques to
increasingly conformal forms of radiation therapy. This report reviews normal
tissue dose constraints and major considerations in target delineation for
patients with nasopharyngeal cancer in the intensity-modulated radiation therapy
era. Furthermore, this report discusses more contemporary approaches to toxicity
reduction such as the judicious reduction or omission of radiation to low-risk
regions and the potential role of particle beam therapy.
PMID- 27875902
TI - Nuisance Regression of High-Frequency Functional Magnetic Resonance Imaging Data:
Denoising Can Be Noisy.
AB - Recently, emerging studies have demonstrated the existence of brain resting-state
spontaneous activity at frequencies higher than the conventional 0.1 Hz. A few
groups utilizing accelerated acquisitions have reported persisting signals beyond
1 Hz, which seems too high to be accommodated by the sluggish hemodynamic process
underpinning blood oxygen level-dependent contrasts (the upper limit of the
canonical model is ~0.3 Hz). It is thus questionable whether the observed high
frequency (HF) functional connectivity originates from alternative mechanisms
(e.g., inflow effects, proton density changes in or near activated neural tissue)
or rather is artificially introduced by improper preprocessing operations. In
this study, we examined the influence of a common preprocessing step-whole-band
linear nuisance regression (WB-LNR)-on resting-state functional connectivity
(RSFC) and demonstrated through both simulation and analysis of real dataset that
WB-LNR can introduce spurious network structures into the HF bands of functional
magnetic resonance imaging (fMRI) signals. Findings of present study call into
question whether published observations on HF-RSFC are partly attributable to
improper data preprocessing instead of actual neural activities.
PMID- 27875904
TI - Timing of rectal cancer surgery following neoadjuvant chemoradiation: how close
are we to striking an equipoise?
PMID- 27875903
TI - Correlates of sexual-risk behaviors among young black MSM: implications for
clinic-based counseling programs.
AB - This study applied an 8-item index of recent sexual-risk behaviors to young Black
men who have sex with men (YBMSM) and evaluated the distribution for normality.
The distribution was tested for associations with possible antecedents of sexual
risk. YBMSM (N = 600), aged 16-29 years, were recruited from a sexually
transmitted infection clinic, located in the southern US. Men completed an
extensive audio computer-assisted self-interview. Thirteen possible antecedents
of sexual risk, as assessed by the index, were selected for analyses. The 8-item
index formed a normal distribution with a mean of 4.77 (SD = 1.77). In adjusted
analyses, not having completed education beyond high school was associated with
less risk, as was having sex with females. Conversely, meeting sex partners
online was associated with greater risk, as was reporting that sex partners were
drunk during sex. The obtained normal distribution of sexual-risk behaviors
suggests a corresponding need to "target and tailor" clinic-based counseling and
prevention services for YBMSM. Avoiding sex when partners are intoxicated may be
an especially valuable goal of counseling sessions.
PMID- 27875906
TI - The therapeutic effects of cholecystokinin octapeptide on rat liver and kidney
microcirculation disorder in endotoxic shock.
AB - OBJECTIVES: Our previous studies demonstrated that pretreatment with
cholecystokinin octapeptide (CCK-8) could alleviate endothelial cell injury and
reverse abnormal vascular reactivity as well as reduce LPS-induced inflammation
cascades, which suggested that CCK-8 plays a potential role in anti-endotoxic
shock. The present study aimed to determine the therapeutic effects of CCK-8 on
rat liver and kidney microcirculatory perfusion disorder under endotoxic shock
(ES) conditions. MATERIALS AND METHODS: Sprague-Dawley rats were induced to
lethal endotoxic shock by an injection of LPS. CCK-8 was administered 30 min
after LPS injection. Either a specific CCK-1R antagonist or CCK-2R antagonist was
injected before CCK-8 treatment. The mean arterial pressure (MAP), liver and
kidney microcirculatory perfusion, and heart rate (HR) were recorded with a multi
channel data acquisition system. The serum concentrations of alanine
aminotransferase (ALT) and creatinine (Cr) were measured, and the
histopathological changes in the liver and kidney were also observed. RESULTS:
Administration of CCK-8 significantly delayed the LPS-induced decreases in not
only the liver and kidney microcirculation perfusion but also the HR. The
pathology changes induced by LPS in the liver and kidney tissues were
significantly mitigated in the LPS + CCK-8 group. The levels of ALT and Cr in the
serum of the LPS + CCK-8 group were obviously lower than those in the LPS group.
In addition, the specific antagonist at the CCK-2 receptor (CCK-2R) abrogated the
action of CCK-8 significantly. CONCLUSIONS: These results indicated that CCK-8
has potential therapeutic effects on microcirculation failure in an ES rat model
via the CCK-2 receptor.
PMID- 27875905
TI - Identification of Novel Resistance-Related Polymorphisms in HIV-1 Subtype C RT
Connection and RNase H Domains from Patients Under Virological Failure in Brazil.
AB - Mutations in the connection and RNase H C-terminal reverse transcriptase (RT)
domains of HIV-1 have been shown to impact drug resistance to RT inhibitors.
However, their impact in the context of non-B subtypes has been poorly assessed.
This study aimed to characterize resistance-related mutations in the C-terminal
portions of RT in treatment-failing patients from southern Brazil, a region with
endemic HIV-1 subtype C (HIV-1C). Viral RNA was isolated and reverse transcribed
from 280 infected subjects, and genomic regions were analyzed by polymerase chain
reaction, DNA sequencing, and phylogenetic analysis. Two novel mutations, M357R
and E529D, were evidenced in Brazilian HIV-1C strains from treatment-failing
patients. In global viral isolates of subjects on treatment, M357R was selected
in HIV-1C and CRF01_AE and E529D was selected in HIV-1 subtype B (HIV-1B). While
most C-terminal RT mutations described for HIV-1B also occur in HIV-1C, this work
pinpointed novel mutations that display subtype-specific predominance or
occurrence.
PMID- 27875907
TI - Chemical constituents from the roots of Tripterygium wilfordii and their
cytotoxic activity.
AB - In our ongoing search for bioactive constituents, a new sesquiterpene polyol
ester, named triptersinine U (1), together with five known triterpenes (2-6) and
seven sesquiterpene pyridine alkaloids (7-13), were isolated from the roots of
Tripterygium wilfordii Hook. f. Their chemical structures were elucidated using
extensive spectroscopic analyses, including 1D and 2D NMR, and HRESIMS, as well
as comparison with previously reported data. Cytotoxic activities of all
compounds 1-13 were evaluated against six human tumor cell lines (HepG2, Hep3B,
Bcap37, U251, MCF-7 and A549) using the MTT in vitro assay. The results showed
that triterpenes exhibited moderate cytotoxic activities toward the tested cell
lines.
PMID- 27875908
TI - Short Communication: The Impact of Viral Suppression and Viral Breakthrough on
Limited-Antigen Avidity Assay Results in Individuals with Clade B HIV Infection.
AB - We analyzed the impact of HIV viral load on the performance of a limiting antigen
avidity enzyme immunoassay (LAg-Avidity assay) and determined if this assay could
be used to identify viral breakthrough. Three groups of samples were tested: (1)
18 individuals (30 samples) previously identified as elite suppressors; (2) 18
individuals (72 samples) who were continually suppressed on antiretroviral
treatment (ART) with 1 sample before and 2-6 samples (one/year) after ART
initiation; and (3) 20 individuals (179 samples) on ART who had evidence of viral
breakthrough (>400 copies/ml) with subsequent viral suppression. Elite
suppressors had the lowest LAg-Avidity assay values. Among those who were
continually suppressed on ART, 83% (15/18) had LAg-Avidity assay values that
decreased over time. Although the LAg-Avidity assay on a single sample cannot
identify when a viral breakthrough occurs, paired longitudinal samples could
identify viral breakthrough (sensitivity: 65%, specificity: 84%).
PMID- 27875909
TI - High HIV-Trypanosoma cruzi Coinfection Levels in Vulnerable Populations in Buenos
Aires, Argentina.
PMID- 27875910
TI - Cancer pain: a review of epidemiology, clinical quality and value impact.
AB - Cancer-related pain, reported by more than 70% of patients, is one of the most
common and troublesome symptoms affecting patients with cancer. Despite the
availability of effective treatments, cancer-related pain may be inadequately
controlled in up to 50% of patients. With the growing focus on 'value'
(healthcare outcomes achieved per dollar spent) in healthcare, the management of
cancer-related pain has assumed novel significance in recent years. Data from
initiatives that assess the quality of pain management in clinical practice have
shown that effective management of cancer-related pain improves patient-perceived
value of cancer treatment. As a result, assessment and effective management of
cancer-related pain are now recognized as important measures of value in cancer
care.
PMID- 27875911
TI - Identification of the optimal visual recording system in open abdominal surgery -
a prospective observational study.
AB - INTRODUCTION: Current methodologies used to record and render the surgeon's point
of view in open operative surgery remain limited. Chief among these limitations
is a failure to demonstrate, in high definition and magnification, the planar
roadmap that surgeons utilise in colorectal surgery. The high magnification and
high resolution views provided during laparoscopic surgery simultaneously capture
the planar road map and surgeon's point of view. We developed an arm-mounted
external laparoscope (exoscope) system and compared its performance against
multiple standard recording modalities. METHODS: Following ethical approval and
informed consent, open colorectal procedures were recorded using five separate
methodologies. Each methodology was assessed and compared. RESULTS: Most of the
methodologies utilised scored poorly at one if not more levels. The arm-mounted
external laparoscope (exoscope) scored highest in rendering the surgeon's point
of view while simultaneously achieving high resolution and high magnification
rendition of operative field (p < .001). This methodology was tested in a number
of operative contexts within which it reproducibly and consistently scored
highly. CONCLUSIONS: The arm-mounted exoscope is the optimal means of rendering
the surgeon's point of view of anatomic planes during open colorectal surgery.
PMID- 27875912
TI - Assessing time to first treatment in early chronic lymphocytic leukemia (CLL): a
comparative performance analysis of five prognostic models with inclusion of CLL
international prognostic index (CLL-IPI).
PMID- 27875913
TI - Development and optimization of a meloxicam/beta-cyclodextrin complex for orally
disintegrating tablet using statistical analysis.
AB - The purpose of this research was to develop an inclusion complex of meloxicam
(MEL)/beta-cyclodextrin (beta-CD) incorporated into an orally disintegrating
tablet (ODT), using statistical analysis to optimize the ODT formulation based on
a quality by design (QbD) approach. MEL/beta-CD complexation was performed by
kneading, co-precipitation and spray drying methods under different molar ratios.
Fourier transform infrared spectroscopy, X-ray diffraction and thermal analysis
were utilized to evaluate the complexes. A central composite design (alpha = 2)
was applied to optimize and assess the influence of Primojel, Primellose and
crushing strength (CS) as independent variables on tablet friability,
disintegration behavior, wicking properties and drug release. The spray drying
method induced formation of an amorphous complex and enhanced solubility and drug
release of MEL. Furthermore, a QbD-based statistical analysis was successfully
utilized to optimize the ODT formulation. Primojel, Primellose and CS showed
unique main effects and interactions at different levels. CS was the dominant
factor, affecting friability, disintegration behavior and drug release, while
wicking properties were affected by Primojel and its interaction with Primellose.
Therefore, according to the overlay plot, CS was dominant factor in determining
the optimum region based on a QbD approach.
PMID- 27875914
TI - Second generation antipsychotics in 'real-life' paediatric patients. Adverse drug
reactions and clinical outcomes of drug switch.
AB - OBJECTIVE: Gap in knowledge on benefit/risk ratio of second generation
antipsychotics (SGA) in the paediatric population represents a challenge for the
scientific community. This study aims to analyse all suspected adverse drug
reactions (ADRs) to SGA observed during the study period; compare the safety
profiles of risperidone and aripiprazole; evaluate the effect of switching from
risperidone to aripiprazole or to a first generation antipsychotic (FGA).
METHODS: Prospective analysis of spontaneously reported ADRs concerning 184
paediatric outpatients between 2012 and 2014.; clinical outcomes of drug switch
were evaluated. RESULTS: Out of the 184 patients, 130 experienced at least one
ADR; ADRs were usually not serious and more frequently associated with
aripiprazole. Switching to aripiprazole was associated with better results than
switching to FGAs in the Clinical Global Impression scale- Efficacy (CGI-E)
scores (p = 0.018), Disturbed behaviour checklist-parents (DBC-P) self-absorption
subscale (p = 0.010); only a trend for difference between changing to
aripiprazole vs FGAs in the DBC-P total score (p = 0.054) and social relating
subscale (p = 0.053) was observed. CONCLUSIONS: SGAs safety data were consistent
with the ones already known; however, there is still a need to improve the
knowledge in pharmacovigilance field among clinicians. Switching to aripiprazole
may be a valid alternative to risperidone.
PMID- 27875915
TI - Efficacy and safety of insulin-GLP-1 receptor agonists combination in type 2
diabetes mellitus: a systematic review.
AB - INTRODUCTION: Attaining optimal glycemic targets in patients with type 2 diabetes
is often hard and compromised by the shortcomings of the several treatments.
Areas covered: When glycemic levels are not adequately controlled, an association
of GLP-1 receptor agonists and insulin therapy can be adopted. In order to assess
the benefit/risk profile of this combination therapy, a literature search of
randomized clinical trials was performed.Eighteen trials matched the inclusion
criteria. In 10 studies, GLP-1 receptor agonists were added on to an existing
regimen, whereas insulin added to an existing GLP-1 receptor agonists regimen
occurred in 2 studies. Six studies compared GLP-1 receptor agonists with short
acting insulin as a treatment strategy to intensify basal insulin therapy. Expert
opinion: Clinical trials herein reviewed demonstrated the safety and the efficacy
of combining GLP-1 receptor agonists with basal insulin, with most studies
showing equal or slightly superior efficacy, as compared with the addition of
prandial insulin, associated with weight loss and less hypoglycemia.
PMID- 27875916
TI - New era in treatment options of chronic hepatitis C: focus on safety of new
direct-acting antivirals (DAAs).
AB - INTRODUCTION: New direct-acting antivirals have changed hepatitis C virus
infection management extremely. Areas covered: The pharmacological management of
HCV infection and the main characteristics of new DAA therapies have been
discussed. In order to analyse safety data regarding DAA therapies, a narrative
review was performed searching for safety results of main second generation DAAs
pivotal and post-marketing studies. Data on main DAAs drug-drug interactions have
also been discussed. Results of main DAAs pivotal studies revealed that these
drugs were frequently associated to adverse events such as asthenia, headache,
nausea, and insomnia. Although some of post-marketing studies confirmed the good
tolerability profile already detected in the pre-marketing phase, real-world
safety data showed that second generation DAAs can be associated to cutaneous,
metabolic, pulmonary, hepatic, and renal adverse events. Expert opinion: Safety
results of pivotal and post-marketing studies indicated that the most recently
approved DAAs are well tolerated. However, considering the recent marketing
approval of new DAAs, further observational studies and post-marketing
surveillance activities will be necessary in order to improve the knowledge of
their safety.
PMID- 27875917
TI - Campania Region (Italy) spontaneous reporting system and preventability
assessment through a case-by-case approach: a pilot study on psychotropic drugs.
AB - OBJECTIVE: We conducted the first pilot Italian study to assess the
preventability of adverse drug reactions involving psychotropic drugs reported
through spontaneous reporting system from 01/07/2012 to 31/12/2014 in Campania
Region. METHODS: Preventability was assessed, case-by-case, using an adapted
version of the P-method. The evaluation was performed only for those reports that
had, as suspected drug, antipsychotics, mood stabilizers, antidepressants,
anxiolytic and/or sedative-hypnotic. RESULTS: Eighty-one cases (19.2%) out of 421
reported during the study period were preventable. In seventy-seven (95.1%) out
of 81 preventable cases, the underlying mechanism of the adverse drug reactions
was dose-related, in four (4.9%) preventable cases the underlying mechanism of
the adverse drug reactions was respectively susceptibility- (1; 1.2%), unknown-
(1; 1.2%) and time-related (2; 2.5%). In the 81 preventable cases, 97 critical
criteria were detected of which 29/97 (29.9%) related to healthcare
professionals' practices, 0/97 (0.0%) to drug quality and 68/97 (70.1%) to
patient behaviour. CONCLUSIONS: We proved that it was possible to apply and adapt
the P-Method to assess the preventability of the adverse drug reactions involving
psychotropic drugs, analysing individual case safety report sent through Campania
Region spontaneous reporting system. Information acquired will be used to
organize educational activities for both physicians and patients to promote a
more appropriate drug use.
PMID- 27875918
TI - Expected and actual adverse drug-drug interactions in elderly patients accessing
the emergency department: data from the ANCESTRAL-ED study.
AB - OBJECTIVE: This study was aimed at evaluating the frequency and describing the
adverse drug-drug interactions (DDIs) recorded among elderly patients accessing
the emergency department (ED). METHODS: Patients aged >=65 years, accessing the
ED of Pisa University Hospital (Italy) from 1 January 2015 to 31 December 2015
within the ANCESTRAL-ED program, were included in this study. 'Expected' DDIs
were assessed using Thomson Micromedex(r). Each ED admission (discharge
diagnosis) consistent with the signs and symptoms of an expected DDI for each
patient was classified as an 'actual' DDI. RESULTS: Throughout the study period,
3473 patients (3812 ED admissions, 58% females, mean age: 80.3) were recorded.
The total number of expected DDIs was 12,578 (67 contraindicated; 3334 major;
8878 moderate; 299 minor) detected in 2147 (62%) patients. Overall 464 expected
DDIs were found to be consistent with the ED admission in 194 patients
(representing 9% of patients with expected DDIs). CONCLUSIONS: More than one half
of elderly patients admitted to ED presented at least one expected DDI at the
time of ED presentation. However, 9% of the expected DDIs were identified as
actual DDIs, based on the consistency of the expected event with the ED discharge
diagnosis.
PMID- 27875919
TI - Adverse drug reactions associated with off-label use of ketorolac, with
particular focus on elderly patients. An analysis of the Italian
pharmacovigilance database and a population based study.
AB - OBJECTIVE: This study aims to evaluate the frequency of off-label use of
ketorolac in Italy and the related suspected adverse drug reactions (ADRs)
reported. METHODS: All the suspected cases associated with ketorolac recorded in
the Italian Pharmacovigilance database were retrieved. Case evaluations were
carried out in order to identify the off-label use of ketorolac. Moreover, an
analysis of the inappropriate use of ketorolac was conducted using the 'Arianna'
database of Caserta local health unit. RESULTS: Up to December 2014, 822 reports
of suspected ADRs related to ketorolac were retrieved in the database. The use of
ketorolac was classified as off-label for 553 reports and on-label for 269. Among
the off-label cases, 58.6% were serious compared to 39.0% of on-label cases.
Gastrointestinal events were more frequently reported with off-label use. The
analysis of Arianna database showed that 37,729 out of 61,910 patients, were
treated off-label. CONCLUSIONS: The off-label use of ketorolac is widespread in
Italy. This use increases the risk of serious ADR, especially in in case of
prolonged duration of treatment and in elderly patients. The Italian Medicine
Agency has decided to accurately monitor the appropriate use of the drug in Italy
and, if necessary, take measures in order to minimize the risks.
PMID- 27875920
TI - Italian monitoring registries: a tool for a safer use of innovative drugs? Data
from the national pharmacovigilance system.
AB - OBJECTIVES: Our aim was to investigate the ADR reports of drugs with a monitoring
registry (MR drugs), in particular those related to abuse/misuse, medication
error, overdose, which might indicate an unsafe use. We compared these reports
with those of similar drugs without a registry (non-MR drugs), thus verifying
whether the registries could be useful tools for a safer use of innovative drugs.
METHODS: All ADR reports included in the Italian Pharmacovigilance Network
database from January 1st 2013 to December 31st 2015 (vaccines and literature
cases excluded) were analysed. We compared the ADR reports of MR and non-MR drugs
with the same ATC class at III level. RESULTS: The percentage of ADR reports with
a completed 'Section 7' was significantly lower for MR compared to non-MR drugs
(2.0 versus 6.2, p < 0.001). The difference concerned in particular the ADR
reports related to abuse/misuse, medication errors and overdose. These reports,
more strictly related to inappropriate use, were less frequent for MR drugs in
all the considered ATC classes. CONCLUSIONS: Our study suggests that monitoring
registries could be a useful tool for the reduction of frequency of ADRs related
to inappropriate use, besides the control of pharmaceutical budget.
PMID- 27875921
TI - A retrospective review of paediatric adverse drug reactions reported in Lombardy
and Croatia from 2005 to 2013.
AB - OBJECTIVE: to characterise the adverse drugs reactions (ADRs) reported in the
Lombard and Croatian paediatric population and to compare data to specific
paediatric age groups, in terms of trend, pattern and severity of ADRs,
increasing understanding of paediatric ADRs. RESEARCH DESIGN AND METHODS: We
selected and analysed all the spontaneous reports in which children were involved
(0 < 18 years old) reported in the Lombardy and in Croatian pharmacovigilance
databases from 1th March 2005 to 31th December 2013. RESULTS: 9175 ADR reports
were reported in the Lombardy, 2457 were included in the Croatian database. The
age groups most involved were 2-11 for both countries. The 13.2% and 40.3% of
reports retrieved in Lombardy and Croatia were classified as serious,
respectively. Fatalities account for 0.09% and 0.12% in Lombardy and Croatia,
respectively. CONCLUSION: Data on serious reports reflect a similar scenario in
terms of age range; strikingly different therapeutic subgroups were involved in
reporting activity likely due to greater self-medication practices with
penicillins and anti-inflammatory, analgesic and antipyretics drugs in Lombardy
than in Croatia, highlighting the need to closely monitor this paediatric
therapeutic area to ensure a safe use of these drugs.
PMID- 27875922
TI - No substantial gender differences in suspected adverse reactions to ACE
inhibitors and ARBs: results from spontaneous reporting system in Campania
Region.
AB - BACKGROUND: Today, there is a poor knowledge about gender differences in adverse
drug reactions (ADRs) to cardiovascular drugs such as angiotensin-converting
enzyme (ACE) inhibitors and angiotensin receptor blockers (ARBs). Therefore, the
aim of this study was to analyze spontaneous reports of suspected ADRs induced by
ACE-inhibitors and ARBs, between January 2001 and June 2015, recorded in a Region
of Southern Italy (Campania Region). METHODS: We performed a descriptive gender
related analysis of regional safety data, obtained from the spontaneous reporting
system. RESULTS: In the considered period, 772 suspected ADRs to ACE inhibitors
and ARBs (in monotherapy or in combination) were reported with a slightly higher
frequency in men compared with women. In both genders, the most involved category
was ARBs in combination, whereas the most prescribed active substance was
ramipril. General and administration site conditions, vascular disorders and
modification of laboratory parameters were more common in men, while respiratory
disorders were most common in women. In 88.2% of cases, not serious ADRs were
described more by men than women. CONCLUSIONS: This analysis suggested no
substantial gender differences. Further studies such as randomized population
studies or meta-analysis of ACE inhibitors and ARBs randomized studies are needed
to clarify whether gender differences exist in the safety profile of these drugs.
PMID- 27875923
TI - Age of onset of mesial temporal lobe epilepsy with hippocampal sclerosis: the
effect of apolipoprotein E and febrile seizures.
AB - PURPOSE: Mesial temporal lobe epilepsy with hippocampal sclerosis (MTLE-HS) is
the most frequent pharmaco-resistant epilepsy. It has been associated with
febrile seizures (FS) in childhood. Its aetiology remains unclear but genetic
factors are involved. Apolipoprotein E (ApoE) is the main lipoprotein secreted in
brain. It has a critical immunomodulatory function, influences neurotransmission
and it is involved in repairing damaged neurons. ApoE epsilon4 is an isoform of
ApoE with altered protein function, previously associated with refractoriness and
early onset epilepsy. This study was undertaken to determine if ApoE isoforms are
risk factors for MTLE-HS and influence clinical characteristics. METHODS: A group
of 188 MTLE-HS patients (101 F, 87 M, mean age = 44.7 +/- 11.6 years, 100 with FS
antecedents) was studied and compared with a group of 342 healthy individuals in
a case-control genetic association study. Data were analysed with Pearson Chi
squared Test or Student's t test, as appropriated. RESULTS: No differences in
ApoE epsilon4 allelic frequencies between MTLE-HS patients and controls or
between MTLE-HS subgroups were observed. Nevertheless, ApoE epsilon4 carriers had
an earlier MTLE-HS onset (11.0 +/- 7.9 years in ApoE epsilon4 carriers vs. 14.4
+/- 11.2 years in ApoE epsilon4 non-carriers p < 0.05). Additionally, we observed
that MTLE-HS patients with FS antecedents had a statistically significant early
disease onset (11.5 +/- 8.7 years in FS+ vs. 16.0 +/- 12.1 years in FS-, p <
0.01). CONCLUSIONS: Our data show that ApoE epsilon4 and FS may not participate
directly in etiopathogenic mechanisms of MTLE-HS but could hasten the disease
development in predisposed individuals.
PMID- 27875924
TI - Explaining ethnic disparities in preterm birth in Argentina and Ecuador.
AB - Little is understood about racial/ethnic disparities in infant health in South
America. We quantified the extent to which the disparity in preterm birth (PTB;
<37 gestational weeks) rate between infants of Native only ancestry and those of
European only ancestry in Argentina and Ecuador are explained by household socio
economic, demographic, healthcare use, and geographic location indicators. The
samples included 5199 infants born between 2000 and 2011 from Argentina and 1579
infants born between 2001 and 2011 from Ecuador. An Oaxaca-Blinder type
decomposition model adapted to binary outcomes was estimated to explain the
disparity in PTB risk across groups of variables and specific variables. Maternal
use of prenatal care services significantly explained the PTB disparity, by
nearly 57% and 30% in Argentina and Ecuador, respectively. Household socio
economic status explained an additional 26% of the PTB disparity in Argentina.
Differences in maternal use of prenatal care may partly explain ethnic
disparities in PTB in Argentina and Ecuador. Improving access to prenatal care
may reduce ethnic disparities in PTB risk in these countries.
PMID- 27875925
TI - The application of subjective job task analysis techniques in physically
demanding occupations: evidence for the presence of self-serving bias.
AB - The aim of this study was to determine if perceptions of physically demanding job
tasks are biased by employee demographics and employment profile characteristics
including: age, sex, experience, length of tenure, rank and if they completed or
supervised a task. Surveys were administered to 427 Royal Australian Navy
personnel who characterised 33 tasks in terms of physical effort, importance,
frequency, duration and vertical/horizontal distance travelled. Results showed no
evidence of bias resulting from participant characteristics, however participants
who were actively involved in both task participation and supervision rated these
tasks as more important than those involved only in the supervision of that task.
This may indicate self-serving bias in which participants that are more actively
involved in a task had an inflated perception of that task's importance. These
results have important implications for the conduct of job task analyses,
especially the use of subjective methodologies in the development of
scientifically defensible physical employment standards. Practitioner Summary: To
examine the presence of systematic bias in subjective job task analysis
methodologies, a survey was conducted on a sample of Royal Australian Navy
personnel. The relationship between job task descriptions and participant's
demographic and job profile characteristics revealed the presence of self-serving
bias affecting perceptions of task importance.
PMID- 27875926
TI - A meta-analysis of the relationship between symptom severity of Posttraumatic
Stress Disorder and executive function.
AB - INTRODUCTION: Some studies of Posttraumatic Stress Disorder (PTSD) find executive
dysfunction, whereas others do not. We meta-analytically examined the association
between executive function and PTSD and used meta-regression to examine the
potential moderating effect of PTSD severity on executive function. METHODS: We
conducted a meta-analysis according to Preferred Reporting Items for Systematic
Reviews and Meta-Analyses guidelines. We identified published peer-reviewed
articles containing measures of executive function and PTSD symptom severity in
subjects with PTSD compared to trauma-unexposed controls or trauma-exposed
controls without PTSD, or both. We calculated an effect size for each study
containing at least one measure of executive function and PTSD symptom severity.
RESULTS: PTSD subjects for whom the Clinician-Administered PTSD Scale (CAPS)
score was available had worse executive function compared to both trauma
unexposed controls (g = 0.464, p < .001) and to trauma-exposed controls without
PTSD (g = 0.414, p = .001), as did PTSD subjects for whom the Mississippi Scale
for Combat-Related PTSD (M-PTSD) score was available (g = 0.390, p < .001).
Neither CAPS nor M-PTSD scores significantly moderated the effect size of
executive function. CONCLUSIONS: PTSD is associated with executive dysfunction,
but this association was not moderated by PTSD symptom severity, suggesting that
once PTSD occurs, executive dysfunction may occur regardless of PTSD severity.
PMID- 27875928
TI - Combining mechanical foaming and thermally induced phase separation to generate
chitosan scaffolds for soft tissue engineering.
AB - In this paper, a novel foaming methodology consisting of turbulent mixing and
thermally induced phase separation (TIPS) was used to generate scaffolds for
tissue engineering. Air bubbles were mechanically introduced into a chitosan
solution which forms the continuous polymer/liquid phase in the foam created. The
air bubbles entrained in the foam act as a template for the macroporous
architecture of the final scaffolds. Wet foams were crosslinked via
glutaraldehyde and frozen at -20 degrees C to induce TIPS in order to limit film
drainage, bubble coalescence and Ostwald ripening. The effects of production
parameters, including mixing speed, surfactant concentration and chitosan
concentration, on foaming are explored. Using this method, hydrogel scaffolds
were successfully produced with up to 80% porosity, average pore sizes of 120 MUm
and readily tuneable compressive modulus in the range of 2.6 to 25 kPa relevant
to soft tissue engineering applications. These scaffolds supported 3T3 fibroblast
cell proliferation and penetration and therefore show significant potential for
application in soft tissue engineering.
PMID- 27875929
TI - Introducing a new concept in obstructive sleep apnea: The continuum of treatment.
PMID- 27875930
TI - Hybrid Aorta Constructs via In Situ Crosslinking of Poly(glycerol-sebacate)
Elastomer Within a Decellularized Matrix.
AB - Decellularization of tissues and organs has high potential to obtain unique
conformation and composition as native tissue structure but may result in
weakened tissue mechanical strength. In this study, poly(glycerol-sebacate) (PGS)
elastomers were combined with decellularized aorta fragments to investigate the
changes in mechanical properties. PGS prepolymer was synthesized via microwave
irradiation and then in situ crosslinked within the decellularized aorta
extracellular matrix (ECM). Tensile strength (sigma) values were found comparable
as 0.44 +/- 0.10 MPa and 0.57 +/- 0.18 MPa for native and hybrid aorta samples,
respectively, while elongation at break (E) values were 261% +/- 17%, 7.5% +/-
0.57%, and 22.18% +/- 2.48% for wet control (native), decellularized dried
aortae, and hybrid matrices, showing elastic contribution. Young's modulus data
indicate that there was a threefold decrease in stiffness compared to
decellularized samples once PGS is introduced into the ECM structure. Scanning
electron microscopy (SEM) analysis of hybrid grafts revealed that the construct
preserves porosity in medial layer of the vessel. Biocompatibility analyses
showed no cytotoxic effects on human abdominal aorta smooth muscle cells. Cell
studies showed 98% activity in hybrid graft extracts. As a control, collagen
coating of the hybrid grafts was performed in the recellularization stage. SEM
analysis of recellularized hybrid grafts revealed that cells were attached to the
surface of the hybrid graft and proliferated during the 14 days of culture in
both groups. This study shows that introducing an elastomer into the native ECM
structure following decellularization process can be a useful approach for the
preparation of mechanically enhanced composites for soft tissues.
PMID- 27875927
TI - What Is Being Trained? How Divergent Forms of Plasticity Compete To Shape
Locomotor Recovery after Spinal Cord Injury.
AB - Spinal cord injury (SCI) is a devastating syndrome that produces dysfunction in
motor and sensory systems, manifesting as chronic paralysis, sensory changes, and
pain disorders. The multi-faceted and heterogeneous nature of SCI has made
effective rehabilitative strategies challenging. Work over the last 40 years has
aimed to overcome these obstacles by harnessing the intrinsic plasticity of the
spinal cord to improve functional locomotor recovery. Intensive training after
SCI facilitates lower extremity function and has shown promise as a tool for
retraining the spinal cord by engaging innate locomotor circuitry in the lumbar
cord. As new training paradigms evolve, the importance of appropriate afferent
input has emerged as a requirement for adaptive plasticity. The integration of
kinematic, sensory, and loading force information must be closely monitored and
carefully manipulated to optimize training outcomes. Inappropriate peripheral
input may produce lasting maladaptive sensory and motor effects, such as central
pain and spasticity. Thus, it is important to closely consider the type of
afferent input the injured spinal cord receives. Here we review preclinical and
clinical input parameters fostering adaptive plasticity, as well as those
producing maladaptive plasticity that may undermine neurorehabilitative efforts.
We differentiate between passive (hindlimb unloading [HU], limb immobilization)
and active (peripheral nociception) forms of aberrant input. Furthermore, we
discuss the timing of initiating exposure to afferent input after SCI for
promoting functional locomotor recovery. We conclude by presenting a candidate
rapid synaptic mechanism for maladaptive plasticity after SCI, offering a
pharmacological target for restoring the capacity for adaptive spinal plasticity
in real time.
PMID- 27875932
TI - Hybrid soliwave technique for mitigating sulfate-reducing bacteria in controlling
biocorrosion: a case study on crude oil sample.
AB - Microbiologically influenced corrosion (MIC) is among the common corrosion types
for buried and deep-water pipelines that result in costly repair and pipeline
failure. Sulfate-reducing bacteria (SRB) are commonly known as the culprit of
MIC. The aim of this work is to investigate the performance of combination of
ultrasound (US) irradiation and ultraviolet (UV) radiation (known as Hybrid
soliwave technique, HyST) at pilot scale to inactivate SRB. The influence of
different reaction times with respect to US irradiation and UV radiation and
synergistic effect toward SRB consortium was tested and discussed. In this
research, the effect of HyST treatment toward SRB extermination and corrosion
studies of carbon steel coupon upon SRB activity before and after the treatment
were performed using weight loss method. The carbon steel coupons immersed in SRB
sample were exposed to HyST treatment at different time of exposure.
Additionally, Field Emission Scanning Electron Microscopy coupled with Energy
Dispersive X-ray Spectroscopy were used to investigate the corrosion morphology
in verifying the end product of SRB activity and corrosion formation after
treatment. Results have shown that the US irradiation treatment gives a
synergistic effect when combined with UV radiation in mitigating the SRB
consortium.
PMID- 27875933
TI - Comparison between protein repulsions by diblock PLA-PEO and albumin nanocoatings
using OWLS.
AB - A previous investigation suggested that a surface bearing a rinsing-resistant
depot (nanocoating) of albumin is more protein-repulsive than the same surface
physically pegylated by a poly(D,L-lactic acid)-poly(ethylene oxide) diblock
copolymer. To complement the study, Optical Waveguide Lightmode Spectroscopy was
used to compare the mass and the thickness of protein depots from different
systems, namely albumin alone at different concentrations, a mixture of albumin +
fibrinogen + gamma-globulin at their physiological concentrations, and sheep
serum. The same standard OWLS protocol was applied to compare data for bare
sensor chips, for chips covered by an albumin nanocoating, and for chips
physically pegylated using poly(D,L-lactic acid)-poly(ethylene oxide) diblock
copolymers with different compositions and block lengths. The strategy and the
conditions being rather different from those generally used to study pegylation
related antifouling properties; the literature was first reviewed critically.
Then full coverage of sensor chips by albumin was demonstrated. The comparative
study confirmed that albumin was more protein-repulsive than any of the diblock
copolymers, irrespective of the protein system. Furthermore, it was found that
pegylated surfaces were albumin-repulsive only when the concentration of the
protein solution flowing over the surface was very low (0.1 g/L). It was not
possible to correlate the copolymer data to PEO chain density, chain length and
existence of brush. The in vitro repulsive activity of albumin was not affected
by drying and rehydration, a feature of interest for storage of albumin-coated
surfaces. All these observations confirmed our preliminary findings and showed
that considering model proteins individually or in mixtures at concentrations far
from physiological concentrations are not suitable to reflect the reality of full
blood-surface interactions.
PMID- 27875931
TI - Early numeracy skills in preschool-aged children: a review of neurocognitive
findings and implications for assessment and intervention.
AB - OBJECTIVES: The goals are to (1) provide a review of the typical and atypical
development of early numeracy; (2) present what is known about the neurocognitive
underpinnings of early numeracy; and (3) discuss the implications for early
assessment and intervention. METHOD: Studies on the development of typical and
atypical early numeracy are reviewed with a particular focus on longitudinal
findings including those from our work on spina bifida myelomeningocele.
Implications of this research for assessment are presented. The paper ends with a
discussion of early math interventions. RESULTS: Learning to count, identify
numbers, and compare and manipulate quantities are key early numeracy skills.
These are powerful predictors of school-age mathematical learning and
performance. General neurocognitive abilities such as working memory and
language, are also important for the development of early numeracy. It is
recommended that early assessment for risk of mathematical learning difficulties
include tests of both early number knowledge and key neurocognitive abilities.
Math-specific interventions are most effective for improving early numeracy.
There is currently little evidence that training of general cognitive functions
transfers to mathematical learning. CONCLUSION: Understanding the development of
early numeracy skills and their neurocognitive predictors offer important
insights into early assessment and intervention for children at risk for or with
mathematical learning difficulties.
PMID- 27875934
TI - Analysis of de novo sequencing and transcriptome assembly and lignocellulolytic
enzymes gene expression of Coriolopsis gallica HTC.
AB - White-rot basidiomycete Coriolopsis gallica HTC is one of the main biodegraders
of poplar. In our previous study, we have shown the strong capacity of C. gallica
HTC to degrade lignocellulose. In this study, equal amounts of total RNA fromC.
Gallica HTC cultures grown in different conditions were pooled together. Illumina
paired-end RNA sequencing was performed, and 13.2 million 90-bp paired-end reads
were generated. We chose the Merged Assembly of Oases data-set for the following
blast searches and gene ontology analyses. The reads were assembled de novo into
28,034 transcripts (>= 100 bp) using combined assembly strategy MAO. The
transcripts were annotated using Blast2GO. In all, 18,810 transcripts (>=100 bp)
achieved BLASTX hits, of which, 7048 transcripts had GO term and 2074 had ECs.
The expression level of 11 lignocellulolytic enzyme genes from the assembled C.
gallica HTC transcriptome were detected by real-time quantitative polymerase
chain reaction. The results showed that expression levels of these genes were
affected by carbon source and nitrogen source at the level of transcription. The
current abundant transcriptome data allowed the identification of many new
transcripts in C. gallica HTC. Data provided here represent the most
comprehensive and integrated genomic resources for cloning and identifying genes
of interest from C. gallica HTC. Characterization of C. gallica HTC transcriptome
provides an effective tool to understand mechanisms underlying cellular and
molecular functions of C. gallica HTC.
PMID- 27875935
TI - JAK2, MPL, and CALR mutations in Chinese Han patients with essential
thrombocythemia.
AB - BACKGROUND: Mutations in Janus kinase 2 (JAK2), myeloproliferative leukemia
(MPL), and CALR are highly relevant to Philadelphia chromosome (Ph)-negative
myeloproliferative neoplasms. METHODS: Assessing the prevalence of molecular
mutations in Chinese Han patients with essential thrombocythemia (ET), and
correlating their mutational profile with disease characteristics/phenotype.
RESULTS: Of the 110 subjects studied, 62 carried the JAK2 V617F mutation, 21 had
CALR mutations, one carried an MPL (W515) mutation, and 28 had non-mutated JAK2,
CALR, and MPL (so-called triple-negative ET). Mutations in JAK2 exon 12 were not
detected in any patient. Two ET patients had both CALR and JAK2 V617F mutations.
Comparing the hematological parameters of the patients with JAK2 mutations with
those of the patients with CALR mutations showed that the ET patients with CALR
mutations were younger (p = 0.045) and had higher platelet counts (p = 0.043).
CONCLUSION: Genotyping for CALR could be a useful diagnostic tool for JAK2/MPL
negative ET, since the data suggest that CALR is much more prevalent than MPL,
therefore testing for CALR should be considered in patients who are JAK2 negative
as its frequency is almost 20 times that of MPL mutation.
PMID- 27875936
TI - Characterization of dust from blast furnace cast house de-dusting.
AB - During casting of liquid iron and slag, a considerable amount of dust is emitted
into the cast house of a blast furnace (BF). Usually, this dust is extracted via
exhaust hoods and subsequently separated from the ventilation air. In most BFs
the cast house dust is recycled. In this study a sample of cast house dust was
split by air classification into five size fractions, which were then analysed.
Micrographs showed that the dominating particle type in all size fractions is
that of single spherical-shaped particles. However, some irregular-shaped
particles were also found and in the finest size fraction also some agglomerates
were present. Almost spherical particles consisted of Fe and O, while highly
irregular-shaped particles consisted of C. The most abundant element was Fe,
followed by Ca and C. These elements were distributed relatively uniformly in the
size fractions. As, Cd, Cu, K, Pb, S, Sb and Zn were enriched significantly in
the fine size fractions. Thus, air classification would be an effective method
for improved recycling. By separating a small fraction of fines (about 10-20%), a
reduction of the mass of Zn in the coarse dust recycled in the range of 40-55%
would be possible.
PMID- 27875937
TI - Operator adaptation to changes in system reliability under adaptable automation.
AB - This experiment examined how operators coped with a change in system reliability
between training and testing. Forty participants were trained for 3 h on a
complex process control simulation modelling six levels of automation (LOA). In
training, participants either experienced a high- (100%) or low-reliability
system (50%). The impact of training experience on operator behaviour was
examined during a 2.5 h testing session, in which participants either experienced
a high- (100%) or low-reliability system (60%). The results showed that most
operators did not often switch between LOA. Most chose an LOA that relieved them
of most tasks but maintained their decision authority. Training experience did
not have a strong impact on the outcome measures (e.g. performance, complacency).
Low system reliability led to decreased performance and self-confidence.
Furthermore, complacency was observed under high system reliability. Overall, the
findings suggest benefits of adaptable automation because it accommodates
different operator preferences for LOA. Practitioner Summary: The present
research shows that operators can adapt to changes in system reliability between
training and testing sessions. Furthermore, it provides evidence that each
operator has his/her preferred automation level. Since this preference varies
strongly between operators, adaptable automation seems to be suitable to
accommodate these large differences.
PMID- 27875938
TI - The role of long noncoding RNA HOTAIR in the acquired multidrug resistance to
imatinib in chronic myeloid leukemia cells.
AB - OBJECTIVES: Imatinib, a breakpoint cluster region-Abelson murine leukemia
tyrosine kinase inhibitor, has revolutionized the treatment of chronic
myelogenous leukemia (CML). However, the development of multidrug resistance
(MDR) limits the clinical application of imatinib. In this study, we aimed to
investigate the mechanisms of long noncoding RNA (lncRNA) HOTAIR in CML
resistance to imatinib. METHODS: Thirty-four CML patients were divided into
multidrug resistance protein 1 (MRP1)-low and MRP1-high groups according to the
median expression. Real-time PCR (qPCR) was used to detect the expression of
lncRNA HOTAIR in CML patients, and MTT assay and flow cytometry assay were
employed to detect the biological function of silencing lncRNA HOTAIR on the cell
survival rate and apoptotic rate. An imatinib-resistant human CML cell line K562
(K562-R) was established, and western blot was used to detect the impact of
lncRNA HOTAIR on the activation of PI3K/Akt signaling pathway. RESULTS: Our
results showed that lncRNA HOTAIR was greatly upregulated in the MRP1-high
patients as well as in the K562-imatinib-resistant cells compared with control.
Knockdown of HOTAIR expression downregulated the MRP1 expression levels in the
K562-imatinib cells and resulted in higher sensitivity to the imatinib treatment.
In addition, the activation of PI3K/Akt was greatly attenuated when HOTAIR was
knocked down in K562-imatinib cells. DISCUSSIONS: These data suggest that the
knockdown of HOTAIR may play a crucial role in improving acquired resistance to
imatinib in CML K562-R cells via PI3K/Akt pathway. CONCLUSIONS: LncRNA HOTAIR
modulates CML cell MDR in a PI3K/Akt-dependent way.
PMID- 27875939
TI - Injectable Shape-Memorizing Three-Dimensional Hyaluronic Acid Cryogels for Skin
Sculpting and Soft Tissue Reconstruction.
AB - INTRODUCTION: Hyaluronic acid (HA)-based fillers are used for various cosmetic
procedures. However, due to filler migration and degradation, reinjections of the
fillers are often required. Methacrylated HA (MA-HA) can be made into injectable
shape-memorizing fillers (three-dimensional [3D] MA-HA) aimed to address these
issues. In this study, shape retention, firmness, and biocompatibility of 3D MA
HA injected subcutaneously in mice were evaluated. MATERIALS AND METHODS: Fifteen
mice, each receiving two subcutaneous injections in their back, were divided into
four groups receiving HA, MA-HA, 3D MA-HA, or saline, respectively. Digital
imaging, scanning electron microscope (SEM) and in vivo imaging system (IVIS),
durometry, and histology were utilized to evaluate in vitro/vivo degradation and
migration, material firmness, and the angiogenic (CD31) and immunogenic (CD45)
response of the host tissue toward the injected materials. RESULTS: Digital
imaging, SEM, and IVIS revealed that 3D MA-HA fillers maintained their
predetermined shape for at least 30 days in vitro and in vivo. Little volume
effects were noted in the saline and other control groups. There were no
differences in skin firmness between the groups or over time. Histology showed
intact skin architecture in all groups. Three-dimensional MA-HA maintained its
macroporous structure with significant angiogenesis at the 3D MA-HA/skin
interfaces and throughout the 3D MA-HA. There was no significant inflammatory
response to any of the injected materials. CONCLUSION: 3D MA-HA showed remarkable
tissue compatibility, compliance, and shape predictability, as well as retention,
and thus might be suitable for various skin sculpting and soft tissue
reconstruction purposes.
PMID- 27875940
TI - Improvement of functionality after chitosan-modified zein biocomposites.
AB - A series of chitosan-modified zein composite films were fabricated from zein and
chitosan by a process involving blending, solution casting and evaporation.
Effects of chitosan content on the structure and physical properties of the
composite films were investigated by Fourier transform infrared spectroscopy,
differential scanning calorimetry, scanning electron microscopy, tensile testing,
water absorption measurement and water contact angle measurement. The results
showed that the zein/chitosan composite films were fabricated successfully due to
the formation of hydrogen bonds between zein and chitosan, and the thermal
stability, water absorption, hydrophilicity, tensile strength, flexibility of the
composite films increased with an increase in chitosan content from 0 to 50%. The
cytotoxicity and cytocompatibility of the composite films were evaluated by 3-[45
dimethyl-2-thiazoly1]-25-diphenyl-2H-tetrazolium bromide (MTT) assay and in vitro
cell culture, which showed that the films have non- or low-cytotoxicity, and
chitosan promoted the growth, adhesion and proliferation of the cells. These
results indicated that chitosan-modified zein composite films might have
potentials applications as biomaterials.
PMID- 27875941
TI - Perceived time slows during fleeting fun or fear.
AB - Previous psychophysical studies at durations greater than 1000 ms have confirmed
the anecdotal reports of an increase in the perceived duration of both positively
and negatively valenced emotive stimuli; however, the results of studies at
durations less than 1000 ms have been inconsistent. This study further
investigated the effect of valence on the perception of durations less than 1000
ms. We used both positively and negatively valenced stimuli in order to compare
their effects on the distortion of duration, and we tested multiple data points
within the sub-one-second range. We found an increase in the perceived duration
of both positively and negatively valenced emotional stimuli at all data points.
This is consistent with studies at durations longer than 1000 ms and also with
models of temporal processing. We also confirmed that Weber fractions, within the
range tested, followed the generalized form of Weber's law.
PMID- 27875942
TI - A combined study of MEG and pico-Tesla TMS on children with autism disorder.
AB - Magnetoencephalographic (MEG) recordings from the brain of 10 children with
autism (6 boys and 4 girls, with ages range from 5-12 years, mean[Formula: see
text][Formula: see text][Formula: see text]SD: 8.3[Formula: see text][Formula:
see text][Formula: see text]2.1) were obtained using a whole-head 122-channel MEG
system in a magnetically shielded room of low magnetic noise. A double-blind
experimental design was used in order to look for possible effect of external
pico-Tesla Transcranial Magnetic Stimulation (pT-TMS). The pT-TMS was applied on
the brain of the autistic children with proper field characteristics (magnetic
field amplitude: 1-7.5[Formula: see text]pT, frequency: the alpha - rhythm of the
patient 8-13[Formula: see text]Hz). After unblinding it was found a significant
effect of an increase of frequencies in the range of 2-7[Formula: see text]Hz
across the subjects followed by an improvement and normalization of their MEG
recordings. The statistical analysis of our results showed a statistical
significance at 6 out of 10 patients (60%). It is also observed an increase of
alpha activity in autistic children at the end of one month after pT-TMS
treatment at home. In conclusion, the application of pT-TMS has the prospective
to be a noninvasive, safe and important modality in the management of autism
children.
PMID- 27875943
TI - Increasing surfboard volume reduces energy expenditure during paddling.
AB - The purpose of this study was to investigate how altering surfboard volume (BV)
affects energy expenditure during paddling. Twenty surfers paddled in a swim
flume on five surfboards in random order twice. All surfboards varied only in
thickness and ranged in BV from 28.4 to 37.4 L. Measurements of heart rate (HR),
oxygen consumption (VO2), pitch angle, roll angle and paddling cadence were
measured. VO2 and HR significantly decreased on thicker boards [VO2: r = -0.984,
p = 0.003; HR: r = -0.972, p = 0.006]. There was also a significant decrease in
pitch and roll angles on thicker boards [Pitch: r = -0.995, p < 0.001; Roll: r =
0.911, p = 0.031]. Results from this study suggest that increasing BV reduces the
metabolic cost of paddling as a result of lower pitch and roll angles, thus
providing mechanical evidence for increased paddling efficiency on surfboards
with more volume. Practioner Summary: This study investigated the impact of
surfboard volume on energy expenditure during paddling. Results from this study
suggest that increasing surfboard volume reduces the metabolic cost of paddling
as a result of lower pitch and roll angles, thus providing mechanical evidence
for increased paddling efficiency on surfboards with more volume.
PMID- 27875944
TI - Development and evaluation of a novel polymeric hydrogel of sucrose acrylate-co
polymethylacrylic acid for oral curcumin delivery.
AB - A monomer of sucrose acrylate (AC-sucrose) was synthesized by conjugating
starting compound sucrose with methyl acrylate (MA). The obtained AC-sucrose was
characterized by mass spectrometry (MS) and Fourier transform infrared (FTIR)
spectroscopy. AC-sucrose was selected as a monomer to fabricate a novel pH
sensitive hydrogel via free radical polymerization. The inner morphology of the
final hydrogel was observed with an S-4800 scanning electron microscope (SEM).
The swelling and de-swelling behaviors of the hydrogel chips were also studied.
Curcumin (CUR) was selected as a model drug and loaded into the final hydrogel.
The release profiles of CUR were performed via dialysis method in pH 1.2, 6.8 and
7.4 buffers, respectively. Mass and FTIR spectra confirmed the synthesis of AC
sucrose. SEM photographs showed that poly(AC-sucrose-co-MAA) hydrogels had many
3D meshes. In pH 1.2 buffer, the hydrogel chips showed the biggest swelling ratio
(SR) of 34.4 +/- 1.9%. However, in pH 7.4 buffer, the SRs of the hydrogel chips
reached to 368.7 +/- 28.0%, which suggested that the hydrogel had an excellent pH
sensibility. The releasing profiles showed that only 4.6 +/- 0.4% of CUR was
released in pH 1.2 buffer but 93.7 +/- 4.7% of CUR was diffused into pH 7.4
buffer. These data suggested that the CUR-loaded poly (AC-sucrose-co-MAA)
hydrogel could direct CUR to release in basic environments.
PMID- 27875945
TI - Three-Dimensional Printing Articular Cartilage: Recapitulating the Complexity of
Native Tissue.
AB - In the past few decades, the field of tissue engineering combined with rapid
prototyping (RP) techniques has been successful in creating biological
substitutes that mimic tissues. Its applications in regenerative medicine have
drawn efforts in research from various scientific fields, diagnostics, and
clinical translation to therapies. While some areas of therapeutics are well
developed, such as skin replacement, many others such as cartilage repair can
still greatly benefit from tissue engineering and RP due to the low success
and/or inefficiency of current existing, often surgical treatments. Through
fabrication of complex scaffolds and development of advanced materials, RP
provides a new avenue for cartilage repair. Computer-aided design and three
dimensional (3D) printing allow the fabrication of modeled cartilage scaffolds
for repair and regeneration of damaged cartilage tissues. Specifically, the
various processes of 3D printing will be discussed in details, both cellular and
acellular techniques, covering the different materials, geometries, and
operational printing conditions for the development of tissue-engineered
articular cartilage. Finally, we conclude with some insights on future
applications and challenges related to this technology, especially using 3D
printing techniques to recapitulate the complexity of native structure for
advanced cartilage regeneration.
PMID- 27875946
TI - The Role of Diabetes Mellitus in Sexual and Reproductive Health: An Overview of
Pathogenesis, Evaluation, and Management.
AB - BACKGROUND: Uncontrolled or long-term diabetes mellitus is conducive to vascular
and oxidative stress disturbances that impede several physiological systems,
which may in turn elicit psychological symptoms. OBJECTIVE: We assess the sexual
and hormonal complications of diabetes mellitus that impair reproductive function
in males and females. METHODS: A comprehensive MEDLINE(r) search was guided using
key words relevant to diabetes mellitus and reproductive health. RESULTS: We
reviewed the pathogenesis, clinical manifestations, imaging modalities,
pharmacological treatment, and intervention options for each diabetic
reproductive complication in males and females. Erectile dysfunction secondary to
angiopathic, neuropathic, and myopathic damage is a leading complication of
diabetes in males. Other reproductive complications include ejaculatory
dysfunction, hypogonadism, modified semen parameters, and delayed puberty.
Specifics of reproductive dysfunction in diabetic women are less definite than in
men due to the lack of standardized evaluation of sexual function in women as
well as the increased role of psychological morbidity. Despite this, it is known
to manifest as hypogonadism, hypoactive sexual desire disorder, dyspareunia,
menstrual dysfunction, and polycystic ovarian syndrome. CONCLUSION: Longitudinal
studies with larger sample sizes are necessary to better comprehend the
connection between diabetes and sexual dysfunction, chiefly in females.
Understanding and dividing the role of fertility and sexual issues in
reproductive dysfunction can help guide evaluation and management.
PMID- 27875947
TI - Skeletal Muscle Insulin Resistance as a Precursor to Diabetes: Beyond
Glucoregulation.
AB - BACKGROUND: Prevalence of Type 2 Diabetes Mellitus (T2DM) has reached pandemic
levels in the Western societies. T2DM begins with the development of peripheral
insulin resistance which prior research suggests may commonly originate within
the skeletal muscle. A number of mechanisms have been proposed for the
development of muscle insulin resistance including those of classical glucose
handling, and also other cellular derangements observed in this disease which
include mitochondrial degeneration, alterations in muscle protein turnover and
early evidences for dysregulation of the microRNAs. The purpose of the current
review is to examine the current findings on these latter aspects of
mitochondrial maintenance, protein turnover and microRNA dysregulation along with
the potential implications for these derangements in the development of insulin
resistance and hence T2DM. We summarize multiple evidences for the degeneration
of mitochondria and known elements of the processes regulating mitochondrial
quality. Subsequently, we examine current findings of the alterations in muscle
protein synthesis and autophagic protein degradation in T2DM and potential
feedback of these systems onto canonical insulin signaling. Finally, evidences
have emerged for the dysregulation of microRNAs in muscle insulin resistance. Of
note early data point to several microRNAs altered by the insulin resistant state
which exhibit relations to classic insulin signaling and the other processes
discussed here. CONCLUSION: Considering that T2DM may be initiated with muscle
insulin resistance, improved understanding of the dysregulation of these
metabolic parameters of skeletal muscle in the pathogenesis of T2DM may be key to
developing efficacious therapeutic modalities to prevent and treat this
condition.
PMID- 27875948
TI - Prevalence, Incidence, Risk and Protective Factors of Amnestic Mild Cognitive
Impairment in the Elderly in Shanghai.
AB - BACKGROUND: Amnestic MCI (aMCI) has notably increased in Shanghai, China.
OBJECTIVE: The study was designed to estimate the prevalence and incidence rates
of aMCI and to determine the risk and protective factors for aMCI among persons
>= 60 years-old and >= 70 years-old in Shanghai communities, respectively.
METHOD: We carried out this 1-year longitudinal study to survey a random sample
of 1,302 individuals >= 60 years-old, to collect baseline and follow-up data
about lifestyle through self-reports, and vascular and comorbid conditions from
medical records and a physical examination. We also analyzed a subgroup of
individuals >= 70 years-old. RESULTS: The prevalence rate of aMCI in persons >=
60 years-old was 22.3%, and the incidence rate (per 1,000 person-years) was 96.9.
Being female was a risk factor for aMCI; protective factors included smoking,
drinking tea, engaging in intellectual work before retirement, social activities
and hobbies, regular reading habits, and surfing the internet. The prevalence
rate of aMCI in persons >= 70 years was 30.3%, and the incidence rate was 145.6.
Smoking, drinking tea, and surfing the internet were not protective factors for
this age group (>= 70 years). CONCLUSION: The present study indicates that aMCI
is a considerable health problem in Shanghai. Preventive strategies for aMCI are
needed to enhance lifestyle factors that promote brain activity.
PMID- 27875949
TI - Treponema, Iron and Neurodegeneration.
AB - Spirochetes are suspected to be linked to the genesis of neurological diseases,
including neurosyphillis or neurodegeneration (ND). Impaired iron homeostasis has
been implicated in loss of function in several enzymes requiring iron as a
cofactor, formation of toxic oxidative species, inflammation and elevated
production of beta-amyloid proteins. This review proposes to discuss the link
that may exist between the involvement of Treponema spp. in the genesis or
worsening of ND, and iron dyshomeostasis. Proteins secreted by Treponema can act
directly on iron metabolism, with hemin binding ability (HbpA and HbpB) and iron
reductase able to reduce the central ferric iron of hemin, iron-containing
proteins (rubredoxin, neelaredoxin, desulfoferrodoxin metalloproteins,
bacterioferritins etc). Treponema can also interact with cellular compounds,
especially plasma proteins involved in iron metabolism, contributing to the
virulence of the syphilis spirochetes (e.g. treponemal motility and survival).
Fibronectin, transferrin and lactoferrin were also shown to be receptors for
treponemal adherence to host cells and extracellular matrix. Association between
Treponema and iron binding proteins results in iron accumulation and
sequestration by Treponema from host macromolecules during systemic and mucosal
infections.
PMID- 27875950
TI - Targeted Delivery of Cabazitaxel by Conjugation to Albumin-PEG-folate
Nanoparticles Using a Cysteine-acrylate Linker and Simple Synthesis Conditions.
AB - BACKGROUND: Cabazitaxel (CBZ) is a new taxane approved by FDA for treatment of
castration- resistant prostate cancer not responding to docetaxel. However, CBZ
is not a suitable substrate for p-glycoprotein 60, an efflux pump which
transports anticancer drugs out of malignant cells and is therefore a promising
drug for treatment of multidrug resistant tumors. Similar to other taxanes, the
presence of Tween 80 in the CBZ formulation shows that it is insoluble in water.
METHODS: In order to increase the solubility and circulation time of this drug,
CBZ-human serum albumin (HSA) conjugate was synthesized. The designed linker was
composed of methacrylic acid and N-acetyl cysteine to increase the solubility of
CBZ and to increase the efficiency of conjugation. Targeting was performed by
poly(ethylene glycol)-folic acid amide bound formation with carboxyl groups of
HSA during in the step of nanoparticle formation. Cytotoxicity of nanoparticles
was evaluated in vitro on HT-29, as a folate negative cell line, and MDA-MB-231,
as a folate positive cell line. RESULTS: H-NMR, Gel Permeation Chromatography,
High Pressure Liquid Chromatography and UV spectrophotometry analysis confirmed
the composition of conjugates. The resulting nanoparticles had a spherical shape,
narrow size distribution and mean diameter of 138 nm. The efficiency of
conjugation was 41.6 %. The IC50 of CBZ in targeted nanoparticles was 10.1 and
17.4% lower than that of the free CBZ for HT-29 and MDA-MB-231 cells,
respectively. CONCLUSION: This designed drug delivery system was more water
soluble and had enhanced in vitro characteristics and higher cytotoxic activity
on cancer cells.
PMID- 27875951
TI - Effect of Administration of Nandrolone Decanoate upon Aldosterone Concentration
and Serum Na+/K+ Levels in Albino Mice.
AB - BACKGROUND: This article is a study of adverse effects associated with the abuse
of recreational drugs such as anabolic androgenic steroids. Nandrolone decanoate
is one such drug often abused by athletes and bodybuilders seeking enhanced
physical strength or appearance. The use of such steroids has increased
dramatically over the years. OBJECTIVE: The present study was conducted to
investigate the impact of nandrolone decanoate when consumed at an abused dose,
upon serum aldosterone concentration in albino mice. Sodium and potassium ion
concentrations were also monitored with the same experimental dosage. METHOD:
0.1ml of 25 mg Nandrolone decanoate was administered to the animals twice a week
for a period of 90 days. Blood samples for obtaining the serum from both normal
and treated group of animals were collected at an interval of 15 days upto the
90th day. RESULT: The present investigation revealed a significant increase
(p<0.01) in the serum aldosterone and sodium ion concentrations in the treated
group of animals compared to that in the normal group. Potassium ion
concentration in the treated group did not exhibit a significant alteration when
compared with the untreated animals. CONCLUSION: From the above observation,
nandrolone decanoate abuse could be suggested as one of the causes of aldosterone
and electrolyte imbalance in the body that could possibly be a serious risk
factor for cardiovascular related disorders.
PMID- 27875952
TI - In Vitro Sensitivity Profiling of Neuroblastoma Cells Against A Comprehensive
Small Molecule Kinase Inhibitor Library to Identify Agents for Future Therapeutic
Studies.
AB - BACKGROUND: Neuroblastoma (NB) constitutes about 8% of all childhood tumors, yet
accounts for more than 15% of deaths, with an unacceptable overall survival rate.
These rates are despite the current multimodal therapeutic approaches involving
surgery, radiation, chemotherapy and myeloablation with hematopoietic stem cell
rescue. Hence, efforts have intensified to identify new targets and novel
therapeutic approaches to improve cure rates in these children. Numerous new
agents for adult malignancies are developed and evaluated for cancer each year,
providing an invaluable resource, with the added advantage of available
pharmacologic and toxicity data for consideration. METHODS: To identify potential
therapeutic targets, we screened a small molecule library of 151 small kinase
inhibitors against NB cell lines. Based on our initial screening data, we further
examined the potential of Bcr-Abl targeting small molecule inhibitors to affect
the growth and survival of NB cells. RESULTS: There is diverse activity among the
currently available Bcr-Abl inhibitors, possibly reflecting the molecular
heterogeneity and off-target activity in each combination. In depth analyses of
ponatinib, an oral multi-target kinase inhibitor and effective agent in the
treatment of refractory Philadelphia chromosome (Ph) positive leukemia, show
growth inhibition at sub-micromolar concentrations. In addition, we also
identified the potential of this agent to interfere with insulin-like growth
factor-1 receptor (IGF-1R) signaling pathways and Src activity, inhibit cell
migration and induce apoptosis. CONCLUSION: Our findings provide initial data on
ponatinib's potential to target key growth regulatory pathways and provide the
rationale for further studies and evaluation in future early phase clinical
trials for the treatment of refractory NB.
PMID- 27875953
TI - Management of Hypertension in Patients with Chronic Kidney Disease in Asia.
AB - Hypertension is both a cause and consequence of chronic kidney disease (CKD).
According to the Chinese national survey in 2007-2010, the prevalence of CKD was
much higher in hypertensive patients (18.9%, n=16,691) than in the overall
population sample (10.8%, n=47,204). CKD in hypertension confers risks to the
kidneys as well as other organs. Probably because of high dietary salt intake,
Asian hypertensive patients with CKD show high prevalence of non-dipping and
reversed dipping blood pressure pattern, and may have even higher risks of
cardiovascular disease. Therefore, out-of-office blood pressure evaluation and
comprehensive cardiovascular evaluations are required. Most of current
hypertension guidelines recommend intensive antihypertensive treatment in
hypertensive patients with CKD. This is probably of particular relevance for
cardiovascular prevention in Asia, because stroke, as a major complication of
hypertension in Asia, is more closely related to blood pressure than coronary
events. Intensive blood pressure control to 130/80 mmHg is often required to
prevent CKD progression and cardiovascular complications. The inhibitors of the
renin-angiotensin system (RAS) are recommended as the first line antihypertensive
medications in patients with a glomerular filtration rate higher than 30
ml/min/1.73 m2, which may more efficaciously prevent end-stage renal disease and
cardiovascular events. Nonetheless, combination therapy of RAS inhibitors with
other classes of antihypertensive drugs, such as calcium-channel blockers,
diuretics, etc, is required to control blood pressure to the target.
PMID- 27875954
TI - How Immune-inflammatory Processes Link CNS and Psychiatric Disorders:
Classification and Treatment Implications.
AB - In this article the emerging biological overlaps of CNS disorders and psychiatric
conditions are reviewed. Recent work has highlighted how immune-inflammatory
processes and their interactions with oxidative and nitrosative stress, couple to
drive changes in neuroregulatory tryptophan catabolites, with consequences for
serotonin availability, including as a precursor for the melatonergic pathways.
Subsequent alterations in the regulation of local melatonin synthesis are likely
to have direct impacts on the reactivity of immune cells, both centrally and
systemically. These inflammatory processes also lead to the activation of wider
immune processes. Such wider processes can include the production of
immunoglobulin (Ig)A and IgM antibody responses, including to tryptophan
catabolites, emphasizing the importance of immune responses, and their
interactions with inflammatory processes, in the etiology and course of an array
of medical conditions, including CNS disorders and psychiatric conditions. Such
work poses questions as to the validity and utility of current, non-biologically
based classification systems for psychiatric and CNS disorders. In this article,
the biological underpinnings of CNS disorders and psychiatric conditions are
reviewed in the context of how recent data, in reconceptualizing key processes in
these classically-conceived brain-associated disorders, provides scope for novel,
and hopefully more clinically useful, treatments. These processes are looked at
in detail in Alzheimer's disease and major depressive disorder. One important
treatment target is the gut. Alterations in the gut, including gut permeability
and the composition of the microbiome, have now become an important target for
treatment across an array of medical conditions, emphasizing the importance of
targeting regulators of the immune system in developing novel treatments that are
based on a more comprehensive and 'wholistic' understanding of currently poorly
managed medical conditions, particularly psychiatric and CNS disorders.
PMID- 27875955
TI - Detection of the M. pneumonia in Synovial Fluid of Children with Negative Culture
Arthritis: A Cross Sectional Study in Tehran, Iran.
AB - BACKGROUND: Arthritis could be caused by different etiologies ranging from
rheumatologic diseases to infectious conditions. Therefore, early diagnosis of
etiology and treatment is important. The purpose of this study was to determine
the the M. pneumonia in synovial fluid of children with arthritis by 2 methods
(serology and qualitative PCR). METHODS & MATERIALS: This trial was carried out
as a cross sectional study in pediatric and orthopedic ward of Rasoul-e Akram
hospital in Tehran, Iran. Seventy three patients (39 boys and 34 girls) with mean
age of 11+/- 3.9 y/o were selected by continuous sampling after synovial fluid
aspiration. All samples were evaluated by direct smear, culture and latex tests.
Septic arthritis was diagnosed in 18 patients (25.4%). PCR and serology tests for
M. pneumonia (specific IgM and IgG) were performed in 50 cases with negative
culture. The results were compared by Independent T test. RESULTS: According to
physical examination and culture 18 patients (25.4%) were diagnosed with septic
arthritis, 50 patients with non-septic arthritis were studied. Seventeen patients
(33.3%) were IgG positive and 2 patients (4%) were IgM positive. Only 2 patients
(4%) showed weakly positive results on PCR which did not demonstrate any
association with serology. CONCLUSION: Positive PCR in SF (4%) definitely
indicates active infection and M. pneumonia induced arthiritis. Although positive
SF-IgM (4%) suggests either a current or a very recent M. pneumonia infection but
not for SF-IgG (previous infection). So, we can summate that PCR, though being
the best and most accurate method to detect M. pneumonia infection arthritis, is
not considered a practical one due to costs and availability issues. Hence it can
be safely replaced by serology test (Specific IgM) in SF for diagnosis of M.
pneumonia arthritis, which is available in most of the hospitals and is much more
economical as compared to PCR.
PMID- 27875956
TI - Editorial : Combined use of Computed Chemodescriptors and Biodescriptors in the
Evaluation of Chemicals for New Drug Discovery and Environmental Protection: A
Pragmatic Approach.
PMID- 27875957
TI - Editorial.
PMID- 27875958
TI - Commentary: Death Associated Protein Kinase 1: A Perp in Cerebral Ischemia.
PMID- 27875959
TI - Editorial (Thematic Issue: Molecular and Cellular Engineering Approaches for
Neurological Disorders, Diseases, and Injuries Involved the Central and
Peripheral Nervous Systems).
PMID- 27875960
TI - Neuroprotective Effects of an Erythropoietin-Derived Peptide in PC1 2 Cells under
Oxidative Stress.
AB - Erythropoietin (EPO) has been shown to be a key cytokine in the production of
erythrocytes from erythroblasts. Recently, attempts have been made to adopt EPO
as a drug target for neuroprotection in selected neurological pathologies. In the
current study, a novel EPO-derived peptide which mimics the weak binding site of
EPO to its receptor (MK-X) was generated. Experimental results demonstrated that
MK-X was able to ameliorate neuronal death due to reactive oxygen species and
conditions of oxidative stress similar to EPO. In addition, MK-X induced long
lasting Extracellular signal-regulated protein kinases 1 and 2 (ERK1/2) and Akt
activation. Furthermore, treatment with inhibitors of ERK1/2 and Akt abolished
the neuroprotective effect of MK-X. Unlike EPO, however, MK-X did not induce
cellular proliferation. Collectively, the results of the current study suggested
that MK-X may be useful as a novel neuroprotective reagent.
PMID- 27875961
TI - Editorial (Thematic Issue: From Old Cannabinoids to Emerging New Synthetic
Derivatives with Potential Therapeutic Application in Neurological Disorders).
PMID- 27875963
TI - Engineering Virus-like Particles for Antigen and Drug Delivery.
AB - Virus-like particles (VLPs) are nanoscale biological structures consisting of
viral proteins assembled in a morphology that mimic the native virion but do not
contain the viral genetic material. The possibility of chemically and genetically
modifying the proteins contained within VLPs makes them an attractive system for
numerous applications. As viruses are potent immune activators as well as natural
delivery vehicles of genetic materials to their host cells, VLPs are especially
well suited for antigen and drug delivery applications. Despite the great
potential, very few VLP designs have made it through clinical trials. In this
review, we will discuss the challenges of developing VLPs for antigen and drug
delivery, strategies being explored to address these challenges, and the genetic
and chemical approaches available for VLP engineering.
PMID- 27875964
TI - Bioengineering for Microbial Inulinases: Trends and Applications.
AB - Inulinase has attracted attention due to their number of applications in various
industries viz. pharmaceuticals, food and bioethanol. Enzymes due to their unique
properties and enormous power of catalysis at very wide range of temperature are
always in demand in industries. There are certain techniques which are employed
to improve the productivity of enzymes as well as enhancing their catalytic
activity. Modeling of structure of inulinase will provide an overview of the
catalytic domain and help in improvising catalytic potential. In the present
review we have discussed on the topics of different substrate specificity and
statistical optimization methods for the improvement of inulinase production.
Recovery of enzyme is a cost effective approach and crucial step in the
industrial application of enzyme and can be achieved by different immobilizing
techniques. Immobilized inulinases have been widely studied and applied in
different bioreactor systems. Kluyveromyces, Aspergillus, Staphylococcus,
Xanthomonas, and Pseudomonas are few high level of inulinase producing
microorganisms and are commercially employed for production of certain important
product. Since inulins are used as prebiotic, it has also great impact in the
nutritional biology field. Inulinase in food industries and inulin as probiotic
are also discussed.
PMID- 27875962
TI - The Beneficial Effects of Taurine to Counteract Sarcopenia.
AB - Aging is a multifactorial process characterized by several features including low
grade inflammation, increased oxidative stress and reduced regenerative capacity,
which ultimately lead to alteration in morpho-functional properties of skeletal
muscle, thus promoting sarcopenia. This condition is characterized by a gradual
loss of muscle mass due to an unbalance between protein synthesis and
degradation, finally conveying in functional decline and disability. The
development of specific therapeutic approaches able to block or reverse this
condition may represent an invaluable tool for the promotion of a healthy aging
among elderly people. It is well established that changes in the quantity and the
quality of dietary proteins, as well as the intake of specific amino acids, are
able to counteract some of the physiopathological processes related to the
progression of the loss of muscle mass and may have beneficial effects in
improving the anabolic response of muscle in the elderly. Taurine is a non
essential amino acid expressed in high concentration in several mammalian tissues
and particularly in skeletal muscle where it is involved in the modulation of
intracellular calcium concentration and ion channel regulation and where it also
acts as an antioxidant and anti-inflammatory factor. The aim of this review is to
summarize the pleiotropic effects of taurine on specific muscle targets and to
discuss its role in regulating signaling pathways involved in the maintenance of
muscle homeostasis. We also highlight the potential use of taurine as a
therapeutic molecule for the amelioration of skeletal muscle function and
performance severely compromised during aging.
PMID- 27875965
TI - Stimulation of Laccase Biocatalysis in Ionic Liquids: A Review on Recent
Progress.
AB - It has been well known that laccases can directly or indirectly catalyze
oxidation of a broad species of phenols, amines and many other electron donor
substrates. However, laccases as biocatalyst in "green" ionic liquids (ILs) media
instead of conventional solvents are less known and regarded as an innovative
research direction. The presence of ILs can either inhibit or stimulate laccase
activity, strongly depending on water-miscibility and kosmotropic natures of ILs.
In addition, enzyme source, mediator, pH as well as water content are very
important factors which influence laccase activity and stability. Therefore,
elucidation of mechanisms underlying the interactions between laccases and ILs
will facilitate to screen ILs with excellent laccase compatibility. Strategies
based on molecular evolution, enzyme immobilization and/or ILs modification
greatly increase the tolerance of laccases against specific ILs. The use of ILs
can spread the laccase applications in fields of biosynthesis, biodegradation,
biosensor and biofuel cells. This article summarizes the recent progress, trends
and problems in this field and focuses, in particular, on the stimulation of
laccase activity in aqueous ILs media.
PMID- 27875967
TI - Microbial P450 Enzymes in Bioremediation and Drug Discovery: Emerging Potentials
and Challenges.
AB - Cytochrome P450 enzymes are a structurally conserved but functionally diverse
group of heme-containing mixed function oxidases found across both prokaryotic
and eukaryotic forms of the microbial world. Microbial P450s are known to perform
diverse functions ranging from the synthesis of cell wall components to
xenobiotic/drug metabolism to biodegradation of environmental chemicals.
Conventionally, many microbial systems have been reported to mimic mammalian P450
like activation of drugs and were proposed as the in-vitro models of mammalian
drug metabolism. Recent reports suggest that native or engineered forms of
specific microbial P450s from these and other microbial systems could be employed
for desired specific biotransformation reactions toward natural and synthetic
(drug) compounds underscoring their emerging potential in drug improvement and
discovery. On the other hand, microorganisms particularly fungi and actinomycetes
have been shown to possess catabolic P450s with unusual potential to degrade
toxic environmental chemicals including persistent organic pollutants (POPs).
Wood-rotting basidiomycete fungi in particular have revealed the presence of
exceptionally large P450 repertoire (P450ome) in their genomes, majority of which
are however orphan (with no known function). Our pre- and post-genomic studies
have led to functional characterization of several fungal P450s inducible in
response to exposure to several environmental toxicants and demonstration of
their potential in bioremediation of these chemicals. This review is an attempt
to summarize the postgenomic unveiling of this versatile enzyme superfamily in
microbial systems and investigation of their potential to synthesize new drugs
and degrade persistent pollutants, among other biotechnological applications.
PMID- 27875966
TI - Recombinant Approaches for Microbial Xylanases: Recent Advances and Perspectives.
AB - Xylanases are crucial enzymes to hydrolyse the xylan of plant hemicellulose in
order to complete the carbon cycle. Xylanases have been used widely in a variety
of industries ranging from food and feed industry to pulp and paper industry.
Most of the industrial processes which using xylanase requires a thermostable and
alkali stable enzyme. Therefore it is desired to produce high thermostable and
alkali stable xylanase with high activity. In this review a number of molecular
techniques are used in this genomic era have been utilized to enhance
physiological properties of xylanases for greater commercial application in the
industries. A brief outline of diverse molecular techniques such as genome
walking PCR, thermal asymmetric interlaced PCR (TAIL-PCR), staggered extension
process (StEP) recombination method, site-directed mutagenesis together with
metagenomic approaches have been discussed which are used to improve the
charactestics of xylanases and its production. Metagenomic studies along with
directed evolution by mutant creation have also been reported as an effective
tool in improvement of xylanase activity and its properties. This review
comprehensively describes the recent reports and different combinatorial
approaches towards production of efficient xylanases.
PMID- 27875968
TI - Re-engineering of Bacterial Luciferase; For New Aspects of Bioluminescence.
AB - Bacterial luminescence is the end-product of biochemical reactions catalyzed by
the luciferase enzyme. Nowadays, this fascinating phenomenon has been widely used
as reporter and/or sensors to detect a variety of biological and environmental
processes. The enhancement or diversification of the luciferase activities will
increase the versatility of bacterial luminescence. Here, to establish the
strategy for luciferase engineering, we summarized the identity and relevant
roles of key amino acid residues modulating luciferase in Vibrio harveyi, a model
luminous bacterium. The current opinions on crystal structures and the critical
amino acid residues involved in the substrate binding sites and unstructured loop
have been delineated. Based on these, the potential target residues and/or
parameters for enzyme engineering were also suggested in limited scale. In
conclusion, even though the accurate knowledge on the bacterial luciferase is yet
to be reported, the structure-guided site-directed mutagenesis approaches
targeting the regulatory amino acids will provide a useful platform to re
engineer the bacterial luciferase in the future.
PMID- 27875969
TI - Microbial Interactions in Plants: Perspectives and Applications of Proteomics.
AB - The structure and function of proteins involved in plant-microbe interactions is
investigated through large-scale proteomics technology in a complex biological
sample. Since the whole genome sequences are now available for several plant
species and microbes, proteomics study has become easier, accurate and huge
amount of data can be generated and analyzed during plant-microbe interactions.
Proteomics approaches are highly important and relevant in many studies and
showed that only genomics approaches are not sufficient enough as much
significant information are lost as the proteins and not the genes coding them
are final product that is responsible for the observed phenotype. Novel
approaches in proteomics are developing continuously enabling the study of the
various aspects in arrangements and configuration of proteins and its functions.
Its application is becoming more common and frequently used in plant-microbe
interactions with the advancement in new technologies. They are more used for the
portrayal of cell and extracellular destructiveness and pathogenicity variables
delivered by pathogens. This distinguishes the protein level adjustments in host
plants when infected with pathogens and advantageous partners. This review
provides a brief overview of different proteomics technology which is currently
available followed by their exploitation to study the plant-microbe interaction.
PMID- 27875970
TI - A Systematic Prediction of Drug-Target Interactions Using Molecular Fingerprints
and Protein Sequences.
AB - BACKGROUND: Drug-Target Interactions (DTI) play a crucial role in discovering new
drug candidates and finding new proteins to target for drug development. Although
the number of detected DTI obtained by high-throughput techniques has been
increasing, the number of known DTI is still limited. On the other hand, the
experimental methods for detecting the interactions among drugs and proteins are
costly and inefficient. OBJECTIVE: Therefore, computational approaches for
predicting DTI are drawing increasing attention in recent years. In this paper,
we report a novel computational model for predicting the DTI using extremely
randomized trees model and protein amino acids information. METHOD: More
specifically, the protein sequence is represented as a Pseudo Substitution Matrix
Representation (Pseudo-SMR) descriptor in which the influence of biological
evolutionary information is retained. For the representation of drug molecules, a
novel fingerprint feature vector is utilized to describe its substructure
information. Then the DTI pair is characterized by concatenating the two vector
spaces of protein sequence and drug substructure. Finally, the proposed method is
explored for predicting the DTI on four benchmark datasets: Enzyme, Ion Channel,
GPCRs and Nuclear Receptor. RESULTS: The experimental results demonstrate that
this method achieves promising prediction accuracies of 89.85%, 87.87%, 82.99%
and 81.67%, respectively. For further evaluation, we compared the performance of
Extremely Randomized Trees model with that of the state-of-the-art Support Vector
Machine classifier. And we also compared the proposed model with existing
computational models, and confirmed 15 potential drug-target interactions by
looking for existing databases. CONCLUSION: The experiment results show that the
proposed method is feasible and promising for predicting drug-target interactions
for new drug candidate screening based on sizeable features.
PMID- 27875971
TI - Therapeutic Suppression of Nonsense Mutation: An Emerging Target in Multiple
Diseases and Thrombotic Disorders.
AB - Nonsense mutations contribute to approximately 10-30% of the total human
inherited diseases via disruption of protein translation. If any of the three
termination codons (UGA, UAG and UAA) emerges prematurely [known as premature
termination codon (PTC)] before the natural canonical stop codon, truncated
nonfunctional proteins or proteins with deleterious loss or gain-of-function
activities are synthesized, followed by the development of nonsense mutation
mediated diseases. In the past decade, PTC-associated diseases captured much
attention in biomedical research, especially as molecular therapeutic targets via
nonsense suppression (i.e. translational readthrough) regimens. In this review,
we highlighted different treatment strategies of PTC targeting readthrough
therapeutics including the use of aminoglycosides, ataluren (formerly known as
PTC124), suppressor tRNAs, nonsense-mediated mRNA decay, pseudouridylation and
CRISPR/Cas9 system to treat PTC-mediated diseases. In addition, as thrombotic
disorders are a group of disease with major burdens worldwide, 19 potential genes
containing a total of 705 PTCs that cause 21 thrombotic disorders have been
listed based on the data reanalysis from the 'GeneCards(r) - Human Gene Database'
and 'Human Gene Mutation Database' (HGMD(r)). These PTC-containing genes can be
potential targets amenable for different readthrough therapeutic strategies in
the future.
PMID- 27875972
TI - The Role of Chinese Herbal Medicines and Bioactive Ingredients Targeting
Myocardial KCa and KATP Channels in Cardiovascular Diseases.
AB - Cardiovascular disorders are the most common diseases all over the world, which
have limitations in the current treatment stratergies. As two subtypes of
potassium channel, KCa and KATP ion channels are playing important roles in the
occurrence and development of cardiovascular diseases. KCa by activating the
signal pathway of c-Src/PI3-kinase/Akt-dependent or eNOS-NO-cGMP effects the
function of vascular endothelial cell, while KATP acting as a medium combines the
cell electrical activity and energy metabolism. Thus, through acting on KCa
or/and KATP, some drugs can play roles in these cardiovascular diseases.
Nevertheless, specific and effective western medicines selectively working on
these two channels are not identified at present. Some Chinese herbal medicines
or bioactive ingredients in contrast have been discovered to be safe and
effective in the regulation of the two recently. Therefore, this review article
summarized some single herbs and bioactive ingredients targeting KCa or/and KATP
ion channels in the management of cardiovascular diseases, with the purpose of
demonstrating the alternative treatment of these diseases in perspective of
Traditional Chinese medicine.
PMID- 27875973
TI - Structural Modifications of Diarylpyrimidine-quinolone Hybrids as Potent HIV-1
NNRTIs with an Improved Drug Resistance Profile.
AB - Earlier we reported the identification of diarylpyrimidine-quinolone hybrids as a
new class of HIV-1 NNRTIs. A few of these hybrids displayed moderate inhibitory
activity against wt HIV-1 replication at submicromolar level, however, all of
them lacked inhibitory activity against the double mutant virus (K103N/Y181C),
which is the most prevalent NNRTI resistant-associated double mutant observed in
the clinic. In the present study, we designed and synthesized a new series of
diarylpyrimidine-quinolone hybrids featuring a halogen group at C-6' position of
quinolone ring. The biological results indicated that most of these hybrids could
inhibit wt HIV-1 replication at nanomolar level ranging from 0.088 to 0.0096 MUM.
The most promising hybrid 5c displayed a significant EC50 value of 0.0096 MUM
against HIV-1 IIIB and of 0.98 MUM against K103N/Y181C. Further docking studies
revealed that these hybrids could be well located in the hydrophobic NNIBP of HIV
1 RT despite the bulky and polar properties of a quinolone 3-carboxylic acid
scaffold in the molecules. These promising results suggested a high potential to
further develop these hybrids as next-generation NNRTIs with improved antiviral
efficacy and resistance profile.
PMID- 27875974
TI - Nanoparticle Systems Modulating Myeloid-Derived Suppressor Cells for Cancer
Immunotherapy.
AB - Myeloid-derived suppressor cells (MDSCs) are a heterogeneous population of
immature myeloid cells that are preferentially expanded in cancer. They arise
from myeloid progenitor cells that do not differentiate into mature dendritic
cells (DCs), granulocytes, or macrophages, and are rather thought to play a
pivotal role in immune escape and cancer progression. MDSCs are characterized by
the ability to suppress T cell proliferation and cytotoxicity, inhibit natural
killer T (NKT) cell activation, and induce the differentiation and expansion of
regulatory T cells (Treg). MDSC levels have been shown to correlate negatively
with prognosis and overall survival of patients with cancers of various types and
stages. The role of MDSCs in cancer progression represents a promising target for
effective cancer immunotherapy. In this review, we discuss the mechanisms of MDSC
functions, their influence on tumor progression and metastasis, and finally focus
on up to date nanoparticle approaches that target and antagonize MDSCs in tumor
bearing hosts. The development of multifunctional nanoparticle systems for
effective imaging, assessment and manipulation of MDSCs will represent strategic
theranostic innovations that may improve cancer staging, therapeutic outcomes,
and overall patient survival.
PMID- 27875975
TI - Self-assembly of DNA-based Nanomaterials and Potential Application in Drug
Delivery.
AB - DNA can be self-assembled into programmable two-dimensional and three-dimensional
nanoarchitectures with arbitrarily predetermined sizes and shapes. Because of the
addressable arbitrary size and shape, great capacity of cargo loading, ability to
be internalized by cells, the stability of structures under physiological
conditions and excellent biocompatibility, the pristine DNA nanostructures are
explored as drug vehicles in drug delivery. In addition, DNA block copolymer and
DNADendron hybrid, as new building blocks, can be self-assembled into different
kinds of ordered structures, e.g., nanofibers, spherical micelles, and vesicles,
in aqueous solution. Recent studies have shown that some of these nanostructures
could easily enter cells with excellent cell uptake efficiency. Herein, this
review will mainly introduce the self-assembly behavior of pristine DNA and DNA
hybrid materials including DNA block copolymers and DNA-Dendron hybrids, and
their application in drug delivery.
PMID- 27875976
TI - Micro-/Nano-Scale Biointerfaces, Mechanical Coupling and Cancer Therapy.
AB - The interaction between cancer cells and their microenvironment is an
indispensable link in cancer progression that occurs on the interfaces between
them and presents typical biointerfacial behavior. Recently, the cancer
cell/microenvironment interface has begun to attract more attention because of
its fundamental roles in cancer growth and metastasis, which is promising for the
efficacy of anti-cancer drugs and other important effects. In this review, we
focused on mechanical coupling of the biointerfaces and their application in
cancer early diagnosis, the pharmacology of anticancer agents and the design of
the anticancer drug carriers. Newly developed strategies for cancer therapy based
on mechanical coupling, such as correcting cell mechanics defects, tunable
rigidity for drug delivery and topography-coupled-mechanical drug design, and
drug screening, provide a proof of concept that cell mechanics offer a rich drug
target space, allowing for the possible corrective modulation of tumor cell
behavior. Biomechanopharmacology is therefore important to recognize the
biomechanical factors and to control them not only for improvement in our
knowledge of cancer but also for the development of new drugs and new uses of old
drugs.
PMID- 27875977
TI - Theranostics Based on Iron Oxide and Gold Nanoparticles for Imaging- Guided
Photothermal and Photodynamic Therapy of Cancer.
AB - With the progress of nanotechnology, the treatment of cancer by photothermal
therapy (PTT) and photodynamic therapy (PDT) using theranostic nanomaterials
based on iron oxide (Fe3O4) and gold (Au) nanoparticles (NPs) has received much
attention in recent years. The Fe3O4 NPs have been used as imaging-guided PTT of
cancer due to their high relaxivity, excellent contrast enhancement, and less
toxicity. The Au NPs have been widely employed as a contrast agent for CT imaging
of different biological systems due to their enhanced X-ray attenuation property.
Due to the strong surface plasmon resonance (SPR) absorption intensity in near
infrared (NIR) region, Au NPs have been considered for imaging-guided PTT of
cancer. Since the photosensitizer, which plays an important role in PDT of
cancer, can be efficiently conjugated with Fe3O4 and Au NPs, these NPs have also
been considered for imaging-guided PDT of cancer. It has been found that both
Fe3O4 and Au NPs allow passive targeting of tumors through enhanced permeability
and retention (EPR) effect to improve the treatment efficacy in PTT and PDT. The
present review focuses on the recent developments of Fe3O4 and Au-based NPs as
theranostics for imaging-guided PTT and PDT of cancer.
PMID- 27875978
TI - Near-infrared Light Responsive Polymeric Nanocomposites for Cancer Therapy.
AB - Inorganic nanoparticles, which can absorb and convert near infrared (NIR) light
to heat to ablate cancer cells, have been widely investigated in photothermal
therapy. However, the inherent poor solubility and acute systemic toxicity of
these inorganic particles hinder their application in clinical practice.
Polymeric nanocomposites materials containing both inorganic nanoparticles and
polymers could be harnessed to achieve enhanced photothermal therapeutic effect
as well as improved biocompatibility and multi-responsiveness. Synergistic chemo
photothermal efficacy towards cancer cells and tumor tissue can thus be realized
through such multi-functional and multi-responsive polymeric nanocomposites. In
this review, the recent developments in polymeric nanocomposites based on
different types of inorganic nanoparticles (i.e. gold, carbon nanotube, graphene,
and upconversion nanoparticles) for NIR-triggered cancer therapy are summarized.
PMID- 27875979
TI - Self-assembled Nucleic Acid Nanostructures for Cancer Theranostic Medicines.
AB - Theranostic medicine has become more promising in cancer treatment, where the
cancer diagnosis and chemotherapy are combined for early diagnosis and precise
treatment with improved efficacy and reduced side effects. Nanotechnology has
played a critical role in developing various nanomaterials with engendered smart
functions and targeted delivery. The rapid development of structural DNA
nanotechnology has enabled the design and fabrication of complex nanostructures
with prescribed 1D, 2D and 3D patterns in vitro and in vivo. Self-assembled DNA
nanostructures can serve as drug delivery platforms that are integrated with
various functions ranging from molecular recognition and computations,
dynamically structural switch to carrying molecular payloads and selectively
release. In this review, we summarize recent exciting progress of using DNA
nanostructures to engineer novel smart drug-delivery systems potential for
treating cancer.
PMID- 27875981
TI - A statistical model for the analysis of beta values in DNA methylation studies.
AB - BACKGROUND: The analysis of DNA methylation is a key component in the development
of personalized treatment approaches. A common way to measure DNA methylation is
the calculation of beta values, which are bounded variables of the form M/(M+U)
that are generated by Illumina's 450k BeadChip array. The statistical analysis of
beta values is considered to be challenging, as traditional methods for the
analysis of bounded variables, such as M-value regression and beta regression,
are based on regularity assumptions that are often too strong to adequately
describe the distribution of beta values. RESULTS: We develop a statistical model
for the analysis of beta values that is derived from a bivariate gamma
distribution for the signal intensities M and U. By allowing for possible
correlations between M and U, the proposed model explicitly takes into account
the data-generating process underlying the calculation of beta values. Using
simulated data and a real sample of DNA methylation data from the Heinz Nixdorf
Recall cohort study, we demonstrate that the proposed model fits our data
significantly better than beta regression and M-value regression. CONCLUSION: The
proposed model contributes to an improved identification of associations between
beta values and covariates such as clinical variables and lifestyle factors in
epigenome-wide association studies. It is as easy to apply to a sample of beta
values as beta regression and M-value regression.
PMID- 27875980
TI - Comparative genomics of European avian pathogenic E. Coli (APEC).
AB - BACKGROUND: Avian pathogenic Escherichia coli (APEC) causes colibacillosis, which
results in significant economic losses to the poultry industry worldwide.
However, the diversity between isolates remains poorly understood. Here, a total
of 272 APEC isolates collected from the United Kingdom (UK), Italy and Germany
were characterised using multiplex polymerase chain reactions (PCRs) targeting 22
equally weighted factors covering virulence genes, R-type and phylogroup.
Following these analysis, 95 of the selected strains were further analysed using
Whole Genome Sequencing (WGS). RESULTS: The most prevalent phylogroups were B2
(47%) and A1 (22%), although there were national differences with Germany
presenting group B2 (35.3%), Italy presenting group A1 (53.3%) and UK presenting
group B2 (56.1%) as the most prevalent. R-type R1 was the most frequent type
(55%) among APEC, but multiple R-types were also frequent (26.8%). Following
compilation of all the PCR data which covered a total of 15 virulence genes, it
was possible to build a similarity tree using each PCR result unweighted to
produce 9 distinct groups. The average number of virulence genes was 6-8 per
isolate, but no positive association was found between phylogroup and number or
type of virulence genes. A total of 95 isolates representing each of these 9
groupings were genome sequenced and analysed for in silico serotype, Multilocus
Sequence Typing (MLST), and antimicrobial resistance (AMR). The UK isolates
showed the greatest variability in terms of serotype and MLST compared with
German and Italian isolates, whereas the lowest prevalence of AMR was found for
German isolates. Similarity trees were compiled using sequencing data and notably
single nucleotide polymorphism data generated ten distinct geno-groups. The
frequency of geno-groups across Europe comprised 26.3% belonging to Group 8
representing serogroups O2, O4, O18 and MLST types ST95, ST140, ST141, ST428,
ST1618 and others, 18.9% belonging to Group 1 (serogroups O78 and MLST types
ST23, ST2230), 15.8% belonging to Group 10 (serogroups O8, O45, O91, O125ab and
variable MLST types), 14.7% belonging to Group 7 (serogroups O4, O24, O35, O53,
O161 and MLST type ST117) and 13.7% belonging to Group 9 (serogroups O1, O16,
O181 and others and MLST types ST10, ST48 and others). The other groups (2, 3, 4,
5 and 6) each contained relatively few strains. However, for some of the
genogroups (e.g. groups 6 and 7) partial overlap with SNPs grouping and PCR
grouping (matching PCR groups 8 (13 isolates on 22) and 1 (14 isolates on 16)
were observable). However, it was not possible to obtain a clear correlation
between genogroups and unweighted PCR groupings. This may be due to the genome
plasticity of E. coli that enables strains to carry the same virulence factors
even if the overall genotype is substantially different. CONCLUSIONS: The
conclusion to be drawn from the lack of correlations is that firstly, APEC are
very diverse and secondly, it is not possible to rely on any one or more basic
molecular or phenotypic tests to define APEC with clarity, reaffirming the need
for whole genome analysis approaches which we describe here. This study
highlights the presence of previously unreported serotypes and MLSTs for APEC in
Europe. Moreover, it is a first step on a cautious reconsideration of the merits
of classical identification criteria such as R typing, phylogrouping and
serotyping.
PMID- 27875983
TI - Liver transcriptome response to hyperthermic stress in three distinct chicken
lines.
AB - BACKGROUND: High ambient temperatures cause stress in poultry, especially for
broiler lines, which are genetically selected for rapid muscle growth. RNA-seq
technology provides powerful insights into environmental response from a highly
metabolic tissue, the liver. We investigated the effects of acute (3 h, 35
degrees C) and chronic (7d of 35 degrees C for 7 h/d) heat stress on the liver
transcriptome of 3-week-old chicks of a heat-susceptible broiler line, a heat
resistant Fayoumi line, and their advanced intercross line (AIL). RESULTS:
Transcriptome sequencing of 48 male chickens using Illumina HiSeq 2500 technology
yielded an average of 33.9 million, 100 base-pair, single-end reads per sample.
There were 8 times more differentially expressed genes (DEGs) (FDR < 0.05) in
broilers (n = 627) than Fayoumis (n = 78) when comparing the acute-heat samples
to the control (25 degrees C) samples. Contrasting genetic lines under similar
heat treatments, the highest number of DEGs appeared between Fayoumi and broiler
lines. Principal component analysis of gene expression and analysis of the number
of DEGs suggested that the AIL had a transcriptomic response more similar to the
Fayoumi than the broiler line during acute heat stress. The number of DEGs also
suggested that acute heat stress had greater impact on the broiler liver
transcriptome than chronic heat stress. The angiopoietin-like 4 (ANGPTL4) gene
was identified as differentially expressed among all 6 contrasts. Ingenuity
Pathway Analysis (IPA) created a novel network that combines the heat shock
protein family with immune response genes. CONCLUSIONS: This study extends our
understanding of the liver transcriptome response to different heat exposure
treatments in distinct genetic chicken lines and provides information necessary
for breeding birds to be more resilient to the negative impacts of heat. The data
strongly suggest ANGPTL4 as a candidate gene for improvement of heat tolerance in
chickens.
PMID- 27875982
TI - Comparative genomics to explore phylogenetic relationship, cryptic sexual
potential and host specificity of Rhynchosporium species on grasses.
AB - BACKGROUND: The Rhynchosporium species complex consists of hemibiotrophic fungal
pathogens specialized to different sweet grass species including the cereal crops
barley and rye. A sexual stage has not been described, but several lines of
evidence suggest the occurrence of sexual reproduction. Therefore, a comparative
genomics approach was carried out to disclose the evolutionary relationship of
the species and to identify genes demonstrating the potential for a sexual cycle.
Furthermore, due to the evolutionary very young age of the five species currently
known, this genus appears to be well-suited to address the question at the
molecular level of how pathogenic fungi adapt to their hosts. RESULTS: The
genomes of the different Rhynchosporium species were sequenced, assembled and
annotated using ab initio gene predictors trained on several fungal genomes as
well as on Rhynchosporium expressed sequence tags. Structures of the rDNA regions
and genome-wide single nucleotide polymorphisms provided a hypothesis for intra
genus evolution. Homology screening detected core meiotic genes along with most
genes crucial for sexual recombination in ascomycete fungi. In addition, a large
number of cell wall-degrading enzymes that is characteristic for hemibiotrophic
and necrotrophic fungi infecting monocotyledonous hosts were found. Furthermore,
the Rhynchosporium genomes carry a repertoire of genes coding for polyketide
synthases and non-ribosomal peptide synthetases. Several of these genes are
missing from the genome of the closest sequenced relative, the poplar pathogen
Marssonina brunnea, and are possibly involved in adaptation to the grass hosts.
Most importantly, six species-specific genes coding for protein effectors were
identified in R. commune. Their deletion yielded mutants that grew more
vigorously in planta than the wild type. CONCLUSION: Both cryptic sexuality and
secondary metabolites may have contributed to host adaptation. Most importantly,
however, the growth-retarding activity of the species-specific effectors suggests
that host adaptation of R. commune aims at extending the biotrophic stage at the
expense of the necrotrophic stage of pathogenesis. Like other apoplastic fungi
Rhynchosporium colonizes the intercellular matrix of host leaves relatively
slowly without causing symptoms, reminiscent of the development of endophytic
fungi. Rhynchosporium may therefore become an object for studying the mutualism
parasitism transition.
PMID- 27875984
TI - Plastid: nucleotide-resolution analysis of next-generation sequencing and
genomics data.
AB - BACKGROUND: Next-generation sequencing (NGS) informs many biological questions
with unprecedented depth and nucleotide resolution. These assays have created a
need for analytical tools that enable users to manipulate data nucleotide-by
nucleotide robustly and easily. Furthermore, because many NGS assays encode
information jointly within multiple properties of read alignments - for example,
in ribosome profiling, the locations of ribosomes are jointly encoded in
alignment coordinates and length - analytical tools are often required to extract
the biological meaning from the alignments before analysis. Many assay-specific
pipelines exist for this purpose, but there remains a need for user-friendly,
generalized, nucleotide-resolution tools that are not limited to specific
experimental regimes or analytical workflows. RESULTS: Plastid is a Python
library designed specifically for nucleotide-resolution analysis of genomics and
NGS data. As such, Plastid is designed to extract assay-specific information from
read alignments while retaining generality and extensibility to novel NGS assays.
Plastid represents NGS and other biological data as arrays of values associated
with genomic or transcriptomic positions, and contains configurable tools to
convert data from a variety of sources to such arrays. Plastid also includes
numerous tools to manipulate even discontinuous genomic features, such as spliced
transcripts, with nucleotide precision. Plastid automatically handles conversion
between genomic and feature-centric coordinates, accounting for splicing and
strand, freeing users of burdensome accounting. Finally, Plastid's data models
use consistent and familiar biological idioms, enabling even beginners to develop
sophisticated analytical workflows with minimal effort. CONCLUSIONS: Plastid is a
versatile toolkit that has been used to analyze data from multiple NGS assays,
including RNA-seq, ribosome profiling, and DMS-seq. It forms the genomic engine
of our ORF annotation tool, ORF-RATER, and is readily adapted to novel NGS
assays. Examples, tutorials, and extensive documentation can be found at
https://plastid.readthedocs.io .
PMID- 27875985
TI - Nuclear envelope structural defect underlies the main cause of aneuploidy in
ovarian carcinogenesis.
AB - BACKGROUND: The Cancer Atlas project has shown that p53 is the only commonly (96
%) mutated gene found in high-grade serous epithelial ovarian cancer, the major
histological subtype. Another general genetic change is extensive aneuploidy
caused by chromosomal numerical instability, which is thought to promote
malignant transformation. Conventionally, aneuploidy is thought to be the result
of mitotic errors and chromosomal nondisjunction during mitosis. Previously, we
found that ovarian cancer cells often lost or reduced nuclear lamina proteins
lamin A/C, and suppression of lamin A/C in cultured ovarian epithelial cells
leads to aneuploidy. Following up, we investigated the mechanisms of lamin A/C
suppression in promoting aneuploidy and synergy with p53 inactivation. RESULTS:
We found that suppression of lamin A/C by siRNA in human ovarian surface
epithelial cells led to frequent nuclear protrusions and formation of
micronuclei. Lamin A/C-suppressed cells also often underwent mitotic failure and
furrow regression to form tetraploid cells, which frequently underwent aberrant
multiple polar mitosis to form aneuploid cells. In ovarian surface epithelial
cells isolated from p53 null mice, transient suppression of lamin A/C produced
massive aneuploidy with complex karyotypes, and the cells formed malignant tumors
when implanted in mice. CONCLUSIONS: Based on the results, we conclude that a
nuclear envelope structural defect, such as the loss or reduction of lamin A/C
proteins, leads to aneuploidy by both the formation of tetraploid intermediates
following mitotic failure, and the reduction of chromosome (s) following nuclear
budding and subsequent loss of micronuclei. We suggest that the nuclear envelope
defect, rather than chromosomal unequal distribution during cytokinesis, is the
main cause of aneuploidy in ovarian cancer development.
PMID- 27875986
TI - The retardant effect of 2-Tridecanone, mediated by Cytochrome P450, on the
Development of Cotton bollworm, Helicoverpa armigera.
AB - BACKGROUND: Plant allelochemicals act as toxins, inhibitors of digestion, and
deterrents that affect the fecundity of insects. These compounds have attracted
significant research attention in recent decades, and much is known about the
effects of these xenobiotic plant secondary metabolites on insect development. To
date, although ecological interactions between xenobiotic plant secondary
chemicals that retard insect growth have been observed in many species, it
remains unclear how particular allelochemicals influence insect development in a
life stage-dependent manner. RESULTS: We found that 2-tridecanone can affect
insect development; this effect appears similar to the symptoms induced by the
physiological imbalance between juvenile and molting hormones in cotton bollworm.
We later detected that a decrease in the concentration of 20-hydroxyecdysone
occurred alongside the observed symptoms. We next identified the transcriptome of
Helicoverpa armigera and eightdigital gene expression libraries for shading light
on how 2-tridecanone retarded the development of cotton bollworm. The expression
of CYP314A1, CYP315A1, CYP18A1, CYP307A1, and CYP306A1 (unigenes 16487, 15409,
40026, 41217, 35643, 16953, 8199, 13311, and 13036) were found to be induced by 2
tridecanone; these are known to be related to the biosynthesis or metabolism of
20-hydroxyecdysone. Expression analysis and RNA interference studies established
that the retardant effect of 2-tridecanone on the development of cotton bollworm
is mediated by P450 genes. CONCLUSIONS: The candidate P450 gene approach
described and exploited here is useful for identifying potential causal genes for
the influence of plant allelochemicals on insect development.
PMID- 27875987
TI - Biomarkers of cardiovascular injury and stress are associated with increased
frequency of ventricular ectopy: a population-based study.
AB - BACKGROUND: Asymptomatic ventricular arrhythmias are common and associated with
increased risk of cardiovascular mortality. Cardiac troponins, natriuretic
peptides and C-reactive protein (CRP) are also predictive of adverse
cardiovascular events in the general population, but limited information is
available on the relationship between these biomarkers and ventricular ectopy in
a community-based population. The objectives were to evaluate the associations
between ventricular ectopic activity and N-terminal pro-B-type natriuretic
peptide (NT-proBNP), high sensitivity-troponin I (hs-TnI) and hs-CRP in a
community-based setting. METHODS: We performed a 24 h Holter-recording and blood
sampling in 498 subjects. Premature ventricular complexes (PVC) were classified
as frequent at >5/h and the presence of any bigeminy, trigeminy or non-sustained
ventricular tachycardia were classified as complex ventricular ectopy. The
associations between biomarkers and ventricular arrhythmias were investigated by
univariate and multivariate logistic regression analyses. RESULTS: Frequent PVC's
and complex ventricular ectopy were detected in 46 (9%) and 47 (9%) participants
respectively, and were associated with significantly (p < 0.001) higher
concentrations of NT-proBNP and hs-TnI. The association between NT-proBNP and
both frequent PVC's (p = 0.020) and complex ventricular ectopy (p = 0.001)
remained significant after adjusting for conventional risk markers in
multivariate analyses. CONCLUSION: Increased level of NT-proBNP was independently
associated with ventricular ectopy, whereas no independent association was
observed between hs-TnI and hs-CRP levels and ventricular ectopy in this
community-based sample.
PMID- 27875988
TI - Common data elements for secondary use of electronic health record data for
clinical trial execution and serious adverse event reporting.
AB - BACKGROUND: Data capture is one of the most expensive phases during the conduct
of a clinical trial and the increasing use of electronic health records (EHR)
offers significant savings to clinical research. To facilitate these secondary
uses of routinely collected patient data, it is beneficial to know what data
elements are captured in clinical trials. Therefore our aim here is to determine
the most commonly used data elements in clinical trials and their availability in
hospital EHR systems. METHODS: Case report forms for 23 clinical trials in
differing disease areas were analyzed. Through an iterative and consensus-based
process of medical informatics professionals from academia and trial experts from
the European pharmaceutical industry, data elements were compiled for all disease
areas and with special focus on the reporting of adverse events. Afterwards, data
elements were identified and statistics acquired from hospital sites providing
data to the EHR4CR project. RESULTS: The analysis identified 133 unique data
elements. Fifty elements were congruent with a published data inventory for
patient recruitment and 83 new elements were identified for clinical trial
execution, including adverse event reporting. Demographic and laboratory elements
lead the list of available elements in hospitals EHR systems. For the reporting
of serious adverse events only very few elements could be identified in the
patient records. CONCLUSIONS: Common data elements in clinical trials have been
identified and their availability in hospital systems elucidated. Several
elements, often those related to reimbursement, are frequently available whereas
more specialized elements are ranked at the bottom of the data inventory list.
Hospitals that want to obtain the benefits of reusing data for research from
their EHR are now able to prioritize their efforts based on this common data
element list.
PMID- 27875989
TI - Interleukin-1 receptor (IL-1R) mediates epilepsy-induced sleep disruption.
AB - BACKGROUND: Sleep disruptions are common in epilepsy patients. Our previous study
demonstrates that homeostatic factors and circadian rhythm may mediate epilepsy
induced sleep disturbances when epilepsy occurs at different zeitgeber hours. The
proinflammatory cytokine, interleukin-1 (IL-1), is a somnogenic cytokine and may
also be involved in epileptogenesis; however, few studies emphasize the effect of
IL-1 in epilepsy-induced sleep disruption. We herein hypothesized that IL-1
receptor type 1 (IL-1R1) mediates the pathogenesis of epilepsy and epilepsy
induced sleep disturbances. We determined the role of IL-1R1 by using IL-1R1
knockout (IL-1R1 -/- KO) mice. RESULTS: Our results elucidated the decrease of
non-rapid eye movement (NREM) sleep during the light period in IL-1R -/- mice and
confirmed the somnogenic role of IL-1R1. Rapid electrical amygdala kindling was
performed to induce epilepsy at the particular zeitgeber time (ZT) point, ZT13.
Our results demonstrated that seizure thresholds induced by kindling stimuli,
such as the after-discharge threshold and successful kindling rates, were not
altered in IL-1R -/- mice when compared to those obtained from the wildtype mice
(IL-1R +/+ mice). This result suggests that IL-1R1 is not involved in kindling
induced epileptogenesis. During sleep, ZT13 kindling stimulation significantly
enhanced NREM sleep during the subsequent 6 h (ZT13-18) in wildtype mice, and
sleep returned to the baseline the following day. However, the kindling-induced
sleep alteration was absent in the IL-1R -/- KO mice. CONCLUSIONS: These results
indicate that the IL-1 signal mediates epilepsy-induced sleep disturbance, but
dose not participate in kindling-induced epileptogenesis.
PMID- 27875990
TI - Inverse relationship between Alzheimer's disease and cancer, and other factors
contributing to Alzheimer's disease: a systematic review.
AB - BACKGROUND: The AD etiology is yet not properly known. Interactions among
environmental factors, multiple susceptibility genes and aging, contribute to AD.
This study investigates the factors that play role in causing AD and how changes
in cellular pathways contribute to AD. METHODS: PUBMED database, MEDLINE database
and Google Scholar were searched with no date restrictions for published articles
involving cellular pathways with roles in cancers, cell survival, growth,
proliferation, development, aging, and also contributing to Alzheimer's disease.
This research explores inverse relationship between AD and cancer, also
investigates other factors behind AD using several already published research
literature to find the etiology of AD. RESULTS: Cancer and Alzheimer's disease
have inverse relationship in many aspects such as P53, estrogen, neurotrophins
and growth factors, growth and proliferation, cAMP, EGFR, Bcl-2, apoptosis
pathways, IGF-1, HSV, TDP-43, APOE variants, notch signals and presenilins, NCAM,
TNF alpha, PI3K/AKT/MTOR pathway, telomerase, ROS, ACE levels. AD occurs when
brain neurons have weakened growth, cell survival responses, maintenance
mechanisms, weakened anti-stress responses such as Vimentin, Carbonic anhydrases,
HSPs, SAPK. In cancer, these responses are upregulated and maintained.
Evolutionarily conserved responses and maintenance mechanisms such as FOXO are
impaired in AD. Countermeasures or compensatory mechanisms by AD affected neurons
such as Tau, Beta Amyloid, S100, are last attempts for survival which may be
protective for certain time, or can speed up AD in Alzheimer's microenvironment
via C-ABL activation, GSK3, neuro-inflammation. CONCLUSIONS: Alzheimer's disease
and Cancer have inverse relationship; many factors that are upregulated in any
cancer to sustain growth and survival are downregulated in Alzheimer's disease
contributing to neuro-degeneration. When aged neurons or genetically susceptible
neurons have weakened growth, cell survival and anti-stress responses, age
related gene expression changes, altered regulation of cell death and maintenance
mechanisms, they contribute to Alzheimer's disease. Countermeasures by AD neurons
such as Beta Amyloid Plaques, NFTs, S100, are last attempts for survival and this
provides neuroprotection for certain time and ultimately may become pathological
and speed up AD. This study may contribute in developing new potential diagnostic
tests, interventions and treatments.
PMID- 27875991
TI - The prevalence of renal impairment in individuals seeking HIV testing in Urban
Malawi.
AB - BACKGROUND: Chronic kidney disease (CKD) poses a major health threat to people
living in low- and middle-income countries, especially when it is combined with
HIV, antiretroviral treatment (ART) or communicable and non-communicable
diseases. Data about the prevalence of CKD and its association with other
diseases is scarce, particularly in HIV-negative individuals. This study
estimated the prevalence of CKD in individuals who were either HIV-positive (and
ART-naive) or HIV-negative in an urban Malawian population. METHODS: This cross
sectional study was conducted at a HIV Testing and Counselling Centre in
Lilongwe, Malawi. Consecutive clients who were >=18 years and consented to
participate were enrolled over a 3-month period. Clients were screened for
potential renal disease and other conditions. Their blood pressure was measured,
urine examined via dipstick and albumin/creatinine ratio and blood drawn for
creatinine, cystatin C and sero-markers for schistosomiasis. Estimated glomerular
filtration (eGFR) rate was calculated using a cystatin C-based formula and
classified according to the matching CKD stages by K/DOQI (The National Kidney
Foundation Kidney Disease Outcome Quality Initiative). We performed a descriptive
analysis and compared differences between HIV-positive (and ART naive) and
negative participants. RESULTS: Out of 381 consecutive clients who were
approached between January and March 2012, 366 consented and 363 (48% female; 32%
HIV-positive) were included in the analysis. Reasons for exclusion were missing
samples or previous use of ART. HIV-positive and negative clients did not differ
significantly with regard to age, sex or medical history, but they did differ for
BMI-21.3 (+/-3.4) vs. 24 (+/-5.1), respectively (p < 0.001). Participants also
differed with regard to serum cystatin C levels, but not creatinine. Reduced
kidney function (according to CKD stages 2-5) was significantly more frequent
15.5 vs. 3.6%, respectively (p < 0.001) among HIV-positive clients compared to
the HIV-negative group. Differences in renal function were most pronounced in the
eGFR range 60-89 ml/min/1.73 m2 accompanied by proteinuria with results as 11.2%
vs. 1.2%, respectively for clients who were HIV-positive vs. HIV-negative (p =
0.001). CONCLUSIONS: Reduced glomerular filtration and/or proteinuria occurred in
15.5% of HIV-positive, and 3.6% of HIV-negative patients in this urban Malawian
cohort. Since generalized renal monitoring is not feasible in Malawi or other
resource-limited countries, strategies to identify patients at risk for higher
stages of CKD and appropriate preventive measures are needed for both HIV
positive and HIV-negative patients.
PMID- 27875992
TI - Optimizing literature search in systematic reviews - are MEDLINE, EMBASE and
CENTRAL enough for identifying effect studies within the area of musculoskeletal
disorders?
AB - BACKGROUND: When conducting systematic reviews, it is essential to perform a
comprehensive literature search to identify all published studies relevant to the
specific research question. The Cochrane Collaborations Methodological
Expectations of Cochrane Intervention Reviews (MECIR) guidelines state that
searching MEDLINE, EMBASE and CENTRAL should be considered mandatory. The aim of
this study was to evaluate the MECIR recommendations to use MEDLINE, EMBASE and
CENTRAL combined, and examine the yield of using these to find randomized
controlled trials (RCTs) within the area of musculoskeletal disorders. METHODS:
Data sources were systematic reviews published by the Cochrane Musculoskeletal
Review Group, including at least five RCTs, reporting a search history, searching
MEDLINE, EMBASE, CENTRAL, and adding reference- and hand-searching. Additional
databases were deemed eligible if they indexed RCTs, were in English and used in
more than three of the systematic reviews. Relative recall was calculated as the
number of studies identified by the literature search divided by the number of
eligible studies i.e. included studies in the individual systematic reviews.
Finally, cumulative median recall was calculated for MEDLINE, EMBASE and CENTRAL
combined followed by the databases yielding additional studies. RESULTS: Deemed
eligible was twenty-three systematic reviews and the databases included other
than MEDLINE, EMBASE and CENTRAL was AMED, CINAHL, HealthSTAR, MANTIS, OT-Seeker,
PEDro, PsychINFO, SCOPUS, SportDISCUS and Web of Science. Cumulative median
recall for combined searching in MEDLINE, EMBASE and CENTRAL was 88.9% and
increased to 90.9% when adding 10 additional databases. CONCLUSION: Searching
MEDLINE, EMBASE and CENTRAL was not sufficient for identifying all effect studies
on musculoskeletal disorders, but additional ten databases did only increase the
median recall by 2%. It is possible that searching databases is not sufficient to
identify all relevant references, and that reviewers must rely upon additional
sources in their literature search. However further research is needed.
PMID- 27875994
TI - Do primary care professionals agree about progress with implementation of primary
care teams: results from a cross sectional study.
AB - BACKGROUND: Primary care is the cornerstone of healthcare reform with policies
across jurisdictions promoting interdisciplinary team working. The effective
implementation of such health policies requires understanding the perspectives of
all actors. However, there is a lack of research about health professionals'
views of this process. This study compares Primary Healthcare Professionals'
perceptions of the effectiveness of the Primary Care Strategy and Primary Care
Team (PCT) implementation in Ireland. METHODS: Design and Setting: e-survey of
(1) General Practitioners (GPs) associated with a Graduate Medical School (N =
100) and (2) Primary Care Professionals in 3 of 4 Health Service Executive (HSE)
regions (N = 2309). After piloting, snowball sampling was used to administer the
survey. Descriptive analysis was carried out using SPSS. Ratings across groups
were compared using non-parametric tests. RESULTS: There were 569 responses.
Response rates varied across disciplines (71 % for GPs, 22 % for other Primary
Healthcare Professionals (PCPs). Respondents across all disciplines viewed
interdisciplinary working as important. Respondents agreed on lack of progress of
implementation of formal PCTs (median rating of 2, where 1 is no progress at all
and 5 is complete implementation). GPs were more negative about the effectiveness
of the Strategy to promote different disciplines to work together (median rating
of 2 compared to 3 for clinical therapists and 3.5 for nurses, P = 0.001).
Respondents identified resources and GP participation as most important for
effective team working. Protected time for meetings and capacity to manage
workload for meetings were rated as very important factors for effective team
working by GPs, clinical therapists and nurses. A building for co-location of
teams was rated as an important factor by nurses and clinical therapists though
GPs rated it as less important. Payment to attend meetings and contractual
arrangements were considered important factors by GPs but not by nurses or
clinical therapists. CONCLUSION: PCPs and GPs agree there is limited PCT
implementation. GPs are most negative about this implementation. There is some
disagreement about which resources are most important for effective PCT working.
These findings provide valuable data for clinicians and policy makers about
implementation of interdisciplinary teams in primary care.
PMID- 27875993
TI - A transcriptome-based model of central memory CD4 T cell death in HIV infection.
AB - BACKGROUND: Human central memory CD4 T cells are characterized by their capacity
of proliferation and differentiation into effector memory CD4 T cells.
Homeostasis of central memory CD4 T cells is considered a key factor sustaining
the asymptomatic stage of Human Immunodeficiency Virus type 1 (HIV-1) infection,
while progression to acquired immunodeficiency syndrome is imputed to central
memory CD4 T cells homeostatic failure. We investigated if central memory CD4 T
cells from patients with HIV-1 infection have a gene expression profile impeding
proliferation and survival, despite their activated state. METHODS: Using gene
expression microarrays, we analyzed mRNA expression patterns in naive, central
memory, and effector memory CD4 T cells from healthy controls, and naive and
central memory CD4 T cells from patients with HIV-1 infection. Differentially
expressed genes, defined by Log2 Fold Change (FC) >= |0.5| and Log (odds) > 0,
were used in pathway enrichment analyses. RESULTS: Central memory CD4 T cells
from patients and controls showed comparable expression of differentiation
related genes, ruling out an effector-like differentiation of central memory CD4
T cells in HIV infection. However, 210 genes were differentially expressed in
central memory CD4 T cells from patients compared with those from controls.
Expression of 75 of these genes was validated by semi quantitative RT-PCR, and
independently reproduced enrichment results from this gene expression signature.
The results of functional enrichment analysis indicated movement to cell cycle
phases G1 and S (increased CCNE1, MKI67, IL12RB2, ADAM9, decreased FGF9, etc.),
but also arrest in G2/M (increased CHK1, RBBP8, KIF11, etc.). Unexpectedly, the
results also suggested decreased apoptosis (increased CSTA, NFKBIA, decreased
RNASEL, etc.). Results also suggested increased IL-1beta, IFN-gamma, TNF, and
RANTES (CCR5) activity upstream of the central memory CD4 T cells signature,
consistent with the demonstrated milieu in HIV infection. CONCLUSIONS: Our
findings support a model where progressive loss of central memory CD4 T cells in
chronic HIV-1 infection is driven by increased cell cycle entry followed by
mitotic arrest, leading to a non-apoptotic death pathway without actual
proliferation, possibly contributing to increased turnover.
PMID- 27875995
TI - High-throughput gene-expression quantification of grapevine defense responses in
the field using microfluidic dynamic arrays.
AB - BACKGROUND: The fight against grapevine diseases due to biotrophic pathogens
usually requires the massive use of chemical fungicides with harmful
environmental effects. An alternative strategy could be the use of compounds able
to stimulate plant immune responses which significantly limit the development of
pathogens in laboratory conditions. However, the efficiency of this strategy in
natura is still insufficient to be included in pest management programs. To
understand and to improve the mode of action of plant defense stimulators in the
field, it is essential to develop reliable tools that describe the resistance
status of the plant upon treatment. RESULTS: We have developed a pioneering tool
("NeoViGen96" chip) based on a microfluidic dynamic array platform allowing the
expression profiling of 85 defense-related grapevine genes in 90 cDNA
preparations in a 4 h single run. Two defense inducers, benzothiadiazole (BTH)
and fosetyl-aluminum (FOS), have been tested in natura using the "NeoViGen96"
chip as well as their efficacy against downy mildew. BTH-induced grapevine
resistance is accompanied by the induction of PR protein genes (PR1, PR2 and
PR3), genes coding key enzymes in the phenylpropanoid pathway (PAL and STS), a
GST gene coding an enzyme involved in the redox status and an ACC gene involved
in the ethylene pathway. FOS, a phosphonate known to possess a toxic activity
against pathogens and an inducing effect on defense genes provided a better
grapevine protection than BTH. Its mode of action was probably strictly due to
its fungicide effect at high concentrations because treatment did not induce
significant change in the expression level of selected defense-related genes.
CONCLUSIONS: The NeoViGen96" chip assesses the effectiveness of plant defense
inducers on grapevine in vineyard with an excellent reproducibility. A single run
with this system (4 h and 1,500 ?), corresponds to 180 qPCR plates with
conventional Q-PCR assays (Stragene system, 270 h and 9,000 ?) thus a throughput
60-70 times higher and 6 times cheaper. Grapevine responses after BTH elicitation
in the vineyard were similar to those obtained in laboratory conditions, whereas
our results suggest that the protective effect of FOS against downy mildew in the
vineyard was only due to its fungicide activity since no activity on plant
defense genes was observed. This tool provides better understanding of how the
grapevine replies to elicitation in its natural environment and how the elicitor
potential can be used to reduce chemical fungicide inputs.
PMID- 27875998
TI - Transcriptome profiling and comparison of maize ear heterosis during the spikelet
and floret differentiation stages.
AB - BACKGROUND: Hybridization is a prominent process in the evolution of crop plants
that can give rise to gene expression variation, phenotypic novelty and
heterosis. Maize is the most successful crop in utilizing heterosis. The
development of hybrid maize ears exhibits strong heterotic vigor and greatly
affects maize yield. However, a comprehensive perspective on transcriptional
variation and its correlation with heterosis during maize ear development is not
available. RESULTS: Using RNA sequencing technology, we investigated the
transcriptome profiles of maize ears in the spikelet and floret differentiation
stages of hybrid ZD808 and its parents CL11 and NG5. Our results revealed that
53.9% (21,258) of maize protein-coding genes were transcribed in at least one
genotype. In both development stages, significant numbers of genes were
differentially expressed between the hybrid and its parents. Gene expression
inheritance analysis revealed approximately 80% of genes were expressed
additively, which suggested that the complementary effect may play a foundation
role in maize ear heterosis. Among non-additively expressed genes, NG5-dominant
genes were predominant. Analyses of the allele-specific gene expression in hybrid
identified pervasive allelic imbalance and significant preferential expression of
NG5 alleles in both developmental stages. The results implied that NG5 may
provide beneficial alleles that contribute greatly to heterosis. Further
comparison of parental and hybrid allele-specific expression suggested that gene
expression variation is largely attributable to cis-regulatory variation in
maize. The cis-regulatory variations tend to preserve the allelic expression
levels in hybrid and result in additive expression. Comparison between the two
development stages revealed that allele-specific expression and cis-/trans
regulatory variations responded differently to developmental cues, which may lead
to stage-specific vigor phenotype during maize ear development. CONCLUSION: Our
research suggests that cis-regulated additive expression may fine-tune gene
expression level into an optimal status and play a foundation role in maize ear
heterosis. Our work provides a comprehensive insight into transcriptional
variation and its correlation with heterosis during maize ear development. The
knowledge gained from this study presents novel opportunity to improve our maize
varieties.
PMID- 27875996
TI - Differences in the skeletal muscle transcriptome profile associated with extreme
values of fatty acids content.
AB - BACKGROUND: Lipids are a class of molecules that play an important role in
cellular structure and metabolism in all cell types. In the last few decades, it
has been reported that long-chain fatty acids (FAs) are involved in several
biological functions from transcriptional regulation to physiological processes.
Several fatty acids have been both positively and negatively implicated in
different biological processes in skeletal muscle and other tissues. To gain
insight into biological processes associated with fatty acid content in skeletal
muscle, the aim of the present study was to identify differentially expressed
genes (DEGs) and functional pathways related to gene expression regulation
associated with FA content in cattle. RESULTS: Skeletal muscle transcriptome
analysis of 164 Nellore steers revealed no differentially expressed genes (DEGs,
FDR 10%) for samples with extreme values for linoleic acid (LA) or stearic acid
(SA), and only a few DEGs for eicosapentaenoic acid (EPA, 5 DEGs),
docosahexaenoic acid (DHA, 4 DEGs) and palmitic acid (PA, 123 DEGs), while large
numbers of DEGs were associated with oleic acid (OA, 1134 DEGs) and conjugated
linoleic acid cis9 trans11 (CLA-c9t11, 872 DEGs). Functional annotation and
functional enrichment from OA DEGs identified important genes, canonical pathways
and upstream regulators such as SCD, PLIN5, UCP3, CPT1, CPT1B, oxidative
phosphorylation mitochondrial dysfunction, PPARGC1A, and FOXO1. Two important
genes associated with lipid metabolism, gene expression and cancer were
identified as DEGs between animals with high and low CLA-c9t11, specifically,
epidermal growth factor receptor (EGFR) and RNPS. CONCLUSION: Only two out of
seven classes of molecules of FA studied were associated with large changes in
the expression profile of skeletal muscle. OA and CLA-c9t11 content had
significant effects on the expression level of genes related to important
biological processes associated with oxidative phosphorylation, and cell growth,
survival, and migration. These results contribute to our understanding of how
some FAs modulate metabolism and may have protective health function.
PMID- 27875997
TI - Identification of the transcripts associated with spontaneous HCV clearance in
individuals co-infected with HIV and HCV.
AB - BACKGROUND: Infection with human immunodeficiency virus (HIV) influences the
outcome and natural disease progression of hepatitis C virus (HCV) infection.
While the majority of HCV mono-infected and HCV/HIV co-infected subjects develop
chronic HCV infection, 20-46% of mono- and co-infected subjects spontaneously
clear HCV infection. The mechanism underlying viral clearance is not clearly
understood. Analysis of differential cellular gene expression (mRNA) between HIV
infected patients with persistent HCV infection or spontaneous clearance could
provide a unique opportunity to decipher the mechanism of HCV clearance. METHODS:
Plasma RNA from HIV/HCV co-infected subjects who cleared HCV and those who
remained chronically infected with HCV was sequenced using Ion Torrent
technology. The sequencing results were analyzed to identify transcripts that are
associated with HCV clearance by measuring differential gene expression in
HIV/HCV co-infected subjects who cleared HCV and those who remained chronically
infected with HCV. RESULTS: We have identified plasma mRNA, the levels of which
are significantly elevated (at least 5 fold, False Discovery Rate (FDR) <0.05)
before HCV infection in subjects who cleared HCV compared to those who remained
chronically infected. Upon further analysis of these differentially expressed
genes, before and after HCV infection, we found that before HCV infection 12
genes were uniquely upregulated in the clearance group compared to the
chronically infected group. Importantly, a number of these 12 genes and their
upstream regulators (such as CCL3, IL17D, LBP, SOCS3, NFKBIL1, IRF) are
associated with innate immune response functions. CONCLUSIONS: These results
suggest that subjects who spontaneously clear HCV may express these unique genes
associated with innate immune functions.
PMID- 27876000
TI - Comparison of repair of peripheral nerve transection in predegenerated muscle
with and without a vein graft.
AB - BACKGROUND: Despite substantial research into the topic and valiant surgical
efforts, reconstruction of peripheral nerve injury remains a challenging surgery.
This study was conducted to evaluate the effectiveness of axonal regeneration of
a transected sciatic nerve through a vein conduit containing degenerated skeletal
muscle compared with axonal regeneration in a transected sciatic nerve through
degenerated skeletal muscle alone. METHODS: In two of the three experimental rat
groups, 10 mm of the left sciatic nerve was transected and removed. The proximal
and distal ends of the transected sciatic nerve were then approximated and
surrounded with either (a) a degenerated skeletal muscle graft; or (b) a graft
containing both degenerated skeletal muscle and vein. In the group receiving the
combined vein and skeletal muscle graft, the vein walls were subsequently sutured
to the proximal and distal nerve stump epineurium. Sciatic functional index (SFI)
was used for assessment of functional recovery. Tracing study and histological
procedures were used to assess axonal regeneration. RESULTS: At 60 days, the gait
functional recovery as well as the mean number of myelinated axons in the middle
and distal parts of the sciatic nerve significantly increased in the group with
the vein graft compared to rats with only the muscular graft (P < 0.05). Mean
diameter of myelinated nerve fiber of the distal sciatic nerve was also improved
with the vein graft compared to the muscle graft alone (P < 0.05). The mean
number of DiI-labeled motor neurons in the L4-L5 spinal segment increased in the
vein with muscle group but was not significantly different between the two
groups. CONCLUSIONS: These findings demonstrated that a graft consisting of not
only predegenerated muscle, but also predegenerated muscle with vein more
effectively supported nerve regeneration, thus promoting functional recovery
after sciatic nerve injury in rats.
PMID- 27876001
TI - Severe mitral regurgitation due to mitral leaflet aneurysm diagnosed by three
dimensional transesophageal echocardiography: a case report.
AB - BACKGROUND: A small mitral valve aneurysm (MVA) presenting as severe mitral
regurgitation (MR) is uncommon. CASE PRESENTATION: A 47-year-old man with a
history of hypertension complained of exertional chest discomfort. A
transthoracic echocardiogram (TTE) revealed the presence of MR and prolapse of
the posterior leaflet. A 6-mm in diameter MVA, not clearly visualized by TTE, was
detected on the posterior leaflet on a three-dimensional (3D) transesophageal
echocardiography (TEE). The patient underwent uncomplicated triangular resection
of P2 and mitral valve annuloplasty, and was discharged from postoperative
rehabilitation, 2 weeks after the operation. Histopathology of the excised
leaflet showed myxomatous changes without infective vegetation or signs of
rheumatic heart disease. CONCLUSIONS: A small, isolated MVA is a cause of severe
MR, which might be overlooked and, therefore, managed belatedly. 3D TEE was
helpful in imaging its morphologic details.
PMID- 27876002
TI - Sporadic Creutzfeldt-Jakob disease with unusual initial presentation as posterior
reversible encephalopathy syndrome: a case report.
AB - BACKGROUND: Creutzfeldt - Jakob disease (CJD) is a rapidly progressive and fatal
neurodegenerative prion disease. MRI findings are included in diagnostic criteria
for probable CJD, giving a sensitivity and specificity more than 90%, but the
atypical radiological presentations in the early stage of the disease could cause
the diagnostic difficulties. CJD can be definitively diagnosed by
histopathological confirmation, brain biopsy or at autopsy. CASE PRESENTATION: We
present a case of 53-year-old woman with a history of a rapidly progressive
dementia with symptoms of visual impairment, increased extrapyramidal type muscle
tonus, stereotypical movements and ataxic gait resulting in the patient's death
after13 months. The clinical symptoms deteriorated progressively to myoclonus and
akinetic mutism already on the 14th week. The series of diagnostic examinations
were done to exclude the possible causes of dementia. Initial MRI evaluation as
posterior reversible encephalopathy syndrome (PRES) on the 9th week after the
onset of symptoms created us a diagnostic conundrum. Subsequent MRI findings of
symmetrical lesions in the basal ganglia (nucleus caudatus, putamen) on the 13th
week and EEG with periodic sharp wave complexes (PSWC) in frontal regions on the
18th week allowed us to diagnose the probable sCJD. The histopathological
findings after brain biopsy on the 14th week demonstrated the presence of the
abnormal prion protein deposits in the grey matter by immunohistochemistry with
ICSM35, KG9 and 12 F10 antibodies and confirmed the diagnosis of sCJD.
CONCLUSIONS: In this article we focus our attention on a rare association between
radiological PRES syndrome and early clinical stage of sCJD. Although concurrent
manifestation of these conditions can be accidental, but the immunogenic or
neuropeptide mechanisms could explain such radiological MRI findings. A thorough
knowledge of differential diagnostic of PRES may be especially useful in earlier
diagnosis of sCJD.
PMID- 27875999
TI - Helping Babies Breathe (HBB) training: What happens to knowledge and skills over
time?
AB - BACKGROUND: The first minutes after birth are critical to reducing neonatal
mortality. Helping Babies Breathe (HBB) is a simulation-based neonatal
resuscitation program for low resource settings. We studied the impact of initial
HBB training followed by refresher training on the knowledge and skills of the
birth attendants in facilities. METHODS: We conducted HBB trainings in 71
facilities in the NICHD Global Network research sites (Nagpur and Belgaum, India
and Eldoret, Kenya), with a 6:1 ratio of facility trainees to Master Trainers
(MT). Because of staff turnover, some birth attendants (BA) were trained as they
joined the delivery room staff, after the initial training was completed (catch
up initial training). We compared pass rates for skills and knowledge pre- and
post- initial HBB training and following refresher training among active BAs. An
Objective Structured Clinical Examination (OSCE) B tested resuscitation skill
retention by comparing post-initial training performance with pre-refresher
training performance. We identified factors associated with loss of skills in pre
refresher training performance using multivariable logistic regression analysis.
Daily bag and mask ventilation practice, equipment checks and supportive
supervision were stressed as part of training. RESULTS: One hundred five MT (1.6
MT per facility) conducted initial and refresher HBB trainings for 835 BAs; 76%
had no prior resuscitation training. Initial training improved knowledge and
skills: the pass percentage for knowledge tests improved from 74 to 99% (p <
0.001). Only 5% could ventilate a newborn mannequin correctly before initial
training but 97% passed the post-initial ventilation training test (p < 0.0001)
and 99% passed the OSCE B resuscitation evaluation. During pre-refresher training
evaluation, a mean of 6.7 (SD 2.49) months after the initial training, 99% passed
the knowledge test, but the successful completion rate fell to 81% for the OSCE B
resuscitation skills test. Characteristics associated with deterioration of
resuscitation skills were BAs from tertiary care facilities, no prior
resuscitation training, and the timing of training (initial vs. catch-up
training). CONCLUSIONS: HBB training significantly improved neonatal
resuscitation knowledge and skills. However, skills declined more than knowledge
over time. Ongoing skills practice and monitoring, more frequent retesting, and
refresher trainings are needed to maintain neonatal resuscitation skills. TRIAL
REGISTRATION: ClinicalTrials.gov Identifier: NCT01681017 ; 04 September 2012,
retrospectively registered.
PMID- 27876003
TI - PLA2R antibodies and PLA2R glomerular deposits in psoriasis patients with
membranous nephropathy.
AB - BACKGROUND: The association between psoriasis and membranous nephropathy (MN)
remains largely unclear. We examined the prevalence of serum PLA2R antibody and
characterized the expression of PLA2R and THSD7A in glomeruli in patients with MN
and psoriasis. METHODS: A total of 24 patients with MN without evidence of a
secondary cause except psoriasis were enrolled. The clinical and pathological
features were retrospectively analyzed. Serum anti-PLA2R antibody was measured
using IFA Mosaic. Renal tissue samples stored in the laboratory bio-bank were
used for PLA2R staining under immunofluorescence microscopy and THSD7A
immunohistochemical analysis. RESULTS: Twenty-four patients (21 male and 3
female) with a mean age of 43.6 +/- 15.7 years old were enrolled. Serum anti
PLA2R antibody was positive in 7 patients, which was significantly lower than the
positivity observed in idiopathic MN (29.2% vs. 81.7%, P < 0.001). Glomerular
PLA2R staining was positive in 7 patients with positive serum anti-PLA2R
antibody. THSD7A staining was negative in all 24 patients. During the follow-up
visits, 13 patients with negative serum PLA2R antibody achieved CR. In contrast,
CR was only achieved in 1 patient with positive serum PLA2R antibody, PR was
achieved in 2 patients. CONCLUSIONS: The prevalence of serum anti-PLA2R antibody
and glomerular expression of PLA2R was significantly lower in patients with
psoriasis and MN than in those with idiopathic MN, and THSD7A staining was
negative, suggesting that MN is associated with psoriasis in the majority of
patients. However, idiopathic MN might also accompany psoriasis in a minority of
psoriatic patients with positive serum anti-PLA2R antibody.
PMID- 27876005
TI - A predictive model to differentiate dengue from other febrile illness.
AB - BACKGROUND: Dengue is a major public health problem in tropical and subtropical
countries and has a presentation similar to other febrile illnesses. Since
laboratory confirmation is frequently delayed, the majority of dengue cases are
diagnosed based on symptoms. The objective of this study was to identify
clinical, hematological and demographical parameters that could be used as
predictors of dengue fever among patients with febrile illness. METHODS: We
conducted a retrospective cohort study of 548 patients presenting with febrile
syndrome to the largest public hospitals in Honduras. Patients' clinical,
laboratory, and demographic data as well as dengue laboratory detection by either
serology or viral isolation were used to build a predictive statistical model to
identify dengue cases. RESULTS: Of 548 patients, 390 were confirmed with dengue
infection while 158 had negative results. Univariable analysis revealed seven
variables associated with dengue: male sex, petechiae, skin rash, myalgia, retro
ocular pain, positive tourniquet test, and gingival bleeding. In multivariable
logistic regression analysis, retro-ocular pain petechiae and gingival bleeding
were associated with increased risk, while epistaxis and paleness of skin were
associated with reduced risk of dengue. Using a value of 0.6 (i.e., 60%
probability for a case to be positive based on the equation values), our model
had a sensitivity of 86.2%, a specificity of 27.2%, and an overall accuracy of
69.2%; allowing for the diagnosis of dengue to be ruled out and for other febrile
conditions to be investigated. CONCLUSIONS: Among Honduran patients presenting
with febrile illness, our analysis identified key symptoms associated with dengue
fever, however the overall accuracy of our model was still low and specificity
remains a concern. Our model requires validation in other populations with a
similar pattern of dengue transmission.
PMID- 27876004
TI - Enoxaparin for the prevention of preeclampsia and intrauterine growth restriction
in women with a prior history - an open-label randomised trial (the EPPI trial):
study protocol.
AB - BACKGROUND: Preeclampsia and intrauterine fetal growth restriction (IUGR) are two
of the most common causes of maternal and perinatal morbidity and mortality.
Current methods of predicting those at most risk of these conditions remain
relatively poor, and in clinical practice past obstetric history remains the most
commonly used tool. Aspirin and, in women at risk of preeclampsia only, calcium
have been demonstrated to have a modest effect on risk reduction. Several
observational studies and randomised trials suggest that low molecular weight
heparin (LMWH) therapy may confer some benefit. METHODS/DESIGN: This is a
multicentre open label randomised controlled trial to determine the effect of the
LMWH, enoxaparin, on the prevention of recurrence of preeclampsia and/or IUGR in
women at high risk due to their past obstetric history in addition to standard
high risk care for all participants. INCLUSION CRITERIA: A singleton pregnancy
>6+0 and <16+0 weeks gestation with most recent prior pregnancy with duration >12
weeks having; (1) preeclampsia delivered <36+0 weeks, (2) Small for gestational
age (SGA) infant <10th customised birthweight centile delivered <36+0 weeks or,
(3) SGA infant <=3rd customised birthweight centile delivered at any gestation.
Randomisation is stratified for maternal thrombophilia status and women are
randomly assigned to 'standard high risk care' or 'standard high risk care' plus
enoxaparin 40 mg from recruitment until 36+0 weeks or delivery, whichever occurs
sooner. Standard high risk care includes the use of aspirin 100 mg daily and
calcium 1000-1500 mg daily (unless only had previous SGA with no preeclampsia).
The primary outcome is preeclampsia and/or SGA <5th customised birthweight
centile. Analysis will be by intention to treat. DISCUSSION: The EPPI trial has
more focussed and clinically relevant inclusion criteria than other randomised
trials with a more restricted composite primary outcome. The inclusion of
standard use of aspirin (and calcium) for all participants will help to ensure
that any differences observed in outcome are likely to be related to enoxaparin
use. These data will make a significant contribution to future meta-analyses and
systematic reviews on the use of LMWH for the prevention of placental mediated
conditions. TRIAL REGISTRATION: ACTRN12609000699268 Australian New Zealand
Clinical Trials Registry. Date registered 13/Aug/2009 (prospective registration).
PMID- 27876006
TI - Predictors of in-hospital mortality following major lower extremity amputations
in type 2 diabetic patients using artificial neural networks.
AB - BACKGROUND: Outcome prediction is important in the clinical decision-making
process. Artificial neural networks (ANN) have been used to predict the risk of
post-operative events, including survival, and are increasingly being used in
complex medical decision making. We aimed to use ANN analysis to estimate
predictive factors of in-hospital mortality (IHM) in patients with type 2
diabetes (T2DM) after major lower extremity amputation (LEA) in Spain. METHODS:
We design a retrospective, observational study using ANN models. We used the
Spanish National Hospital Discharge Database to select all hospital admissions of
major LEA procedure in T2DM patients. MAIN OUTCOME MEASURES: Predictors of IHM
using 4 ANN models: i) with all discharge diagnosis included in the database; ii)
with all discharge diagnosis included in the database, excluding infectious
diseases; iii) comorbidities included in the Charlson Comorbidities Index; iv)
comorbidities included in the Elixhauser Comorbidity Index. RESULTS: From 2003 to
2013, 40,857 major LEAs in patients with T2DM were identified with a 10.0% IHM.
We found that Elixhauser Comorbidity Index model performed better in terms of
sensitivity, specificity and precision than Charlson Comorbidity Index model
(0.7634 vs 0.7444; 0.9602 vs 0.9121; 0.9511 vs 0.888, respectively). The area
under the ROC curve for Elixhauser comorbidity model was 91.7% (95% CI 90.3-93.0)
and for Charlson comorbidity model was 88.9% (95% CI; 87.590.2) p = 0.043. Models
including all discharge diagnosis with and without infectious diseases showed
worse results. In the Elixhauser Comorbidity Index model the most sensitive
parameter was age (variable sensitive ratio [VSR] 1.451) followed by female sex
(VSR 1.433), congestive heart failure (VSR 1.341), renal failure (VSR 1.274) and
chronic pulmonary disease (VSR 1.266). CONCLUSIONS: Elixhauser Comorbidity Index
is a superior comorbidity risk-adjustment model for major LEA survival prediction
in patients with T2DM than Charlson Comorbidity Index model using ANN models.
Female sex, congestive heart failure, and renal failure are strong predictors of
mortality in these patients.
PMID- 27876007
TI - Effect of Pregnane X Receptor*1B genetic polymorphisms on postoperative analgesia
with fentanyl in Chinese patients undergoing gynecological surgery.
AB - BACKGROUND: The purpose of the study was to investigate the effects of the
pregnane X receptor (PXR)*1B polymorphisms on CYP3A4 enzyme activity and
postoperative fentanyl consumption in Chinese patients undergoing gynecological
surgery. METHODS: A total of 287 females of Han ethnicity, aged 20 to 50 years
old, ASA I or II, scheduled to abdominal total hysterectomy or myomectomy under
general anesthesia were enrolled. The analgesic model used was fentanyl
consumption via patient-controlled intravenous analgesia (PCIA) in the post
operative period. Additionally, pain was assessed using a visual analog score
(VAS). Pain scores, occurrence of adverse reactions and consumption of fentanyl
were recorded during the 24 h postoperative period. The enzyme activity of CYP3A4
was evaluated by measuring the plasma ratio of 1'-hydroxymidazolam to midazolam 1
h after intravenous administration of 0.1 mg/kg midazolam. PXR genotyping was
performed by direct DNA sequencing and the PXR * 1B haplotype was analyzed via
PHASE V.2.1 software. RESULTS: The polymorphism frequency of PXR11156A > C/11193
T > C and 8055C > T were 49.6 and 49.3%, and the rate of PXR * 1B haplotype was
48.8% in our study. None of the pain scores, consumption of fentanyl 24 h post
operatively or enzyme activity of CYP3A4, showed differences among different
genotypes. CONCLUSIONS: PXR11156A > C, PXR11193T > C, PXR8055C > T or the PXR *
1B haplotype do not appear to be important factors contributing to CYP3A4
activity and interindividual variations in postoperative fentanyl consumption in
Han female patients undergoing gynecological surgery. TRIAL REGISTRATION: The DNA
samples were obtained since 2007 to 2010 year in our hospital, there was no
registration at that time. So this section is not applicable to our research.
PMID- 27876008
TI - Physical activity and metabolic health in chronic kidney disease: a cross
sectional study.
AB - BACKGROUND: Patients with chronic kidney disease (CKD) are at high risk of
progression to end stage renal disease and cardiovascular events. Physical
activity may reduce these risks by improving metabolic health. We tested
associations of physical activity with central components of metabolic health
among people with moderate-severe non-diabetic CKD. METHODS: We performed a cross
sectional study of 47 people with CKD (estimated GFR <60 ml/min/1.73 m2) and 29
healthy control subjects. Accelerometry was used to measured physical activity
over 7 days, the hyperinsulinemic-euglycemic clamp was used to measure insulin
sensitivity, and DXA was used to measured fat mass. We tested associations of
physical activity with insulin sensitivity, fat mass, blood pressure, serum lipid
concentrations, and serum high sensitivity C-reactive protein concentration using
multivariable linear regression, adjusting for possible confounding factors.
RESULTS: Participants with CKD were less active than participants without CKD
(mean (SD) 468.1 (233.1) versus 662.3 (292.5) counts per minute) and had lower
insulin sensitivity (4.1 (2.1) versus 5.2 (2.0 (mg/min)/(MUU/mL)), higher fat
mass (32.0 (11.4) versus 29.4 (14.8) kg), and higher triglyceride concentrations
(153.2 (91.6) versus 99.6 (66.8) mg/dL). With adjustment for demographics,
comorbidity, medications, and estimated GFR, each two-fold higher level of
physical activity was associated with a 0.9 (mg/min)/(MUU/mL) higher insulin
sensitivity (95% CI 0.2, 1.5, p = 0.006), an 8.0 kg lower fat mass (-12.9, -3.1,
p = 0.001), and a 37.9 mg/dL lower triglyceride concentration (-71.9, -3.9, p =
0.03). Associations of physical activity with insulin sensitivity and
triglycerides did not differ significantly by CKD status (p-values for
interaction >0.3). CONCLUSIONS: Greater physical activity is associated with
multiple manifestations of metabolic health among people with moderate-severe
CKD.
PMID- 27876009
TI - Lifestyle, medication and socio-demographic determinants of mental and physical
health-related quality of life in people with multiple sclerosis.
AB - BACKGROUND: Health-related quality of life (QOL) is a key outcome for people with
multiple sclerosis (MS). While modifiable lifestyle factors, like smoking,
physical activity and vitamin D, have strong associations with development and
progression of MS, few studies have examined such associations with QOL. METHODS:
Using patient-reported data from 2312 people with MS from 54 countries,
regression models explored associations of socio-demographic, therapeutic and
lifestyle factors with QOL, using the Multiple Sclerosis Quality of Life-54
(MSQOL-54). RESULTS: Participants were on average 45.6 years old, 82.4% women,
mostly partnered (74.1%), with a university degree (59.5%). Controlling for socio
demographic factors and disability, factors associated with better physical
health composite (PHC) (on a 100 point scale) were: moderate and high physical
activity compared to low (5.9 [95% confidence interval: 4.2, 7.6] and 9.9 [CI:
8.1, 11.6] points higher score respectively); non-smoking compared to current
smoking (4.6 points [CI: 2.4, 6.7]); better diet (per 10 points on the 100 point
Diet Habits Questionnaire scale (DHQ) 1.6 points [CI: 1.0, 2.2] points); normal
body mass index (BMI) versus overweight or obese (2.1 points [CI: 0.4, 3.7] and
2.4 points [CI: 0.5, 4.3]); fewer comorbidities (4.4 points [CI: 3.9, 4.9]); and
not taking a disease-modifying drug (DMD) (2.1 points [CI: 0.7, 3.4]). Better
mental health composite (MHC) determinants were: moderate and high physical
activity compared to low (4.0 points [CI: 2.0, 6.0] and 5.7 points [CI: 3.5,
8.0]); non-smoking compared to current (6.7 points [CI: 4.1, 9.3]); better diet
(2.8 points [CI: 1.9, 3.5]); normal BMI versus overweight or obese (3.1 points
[CI: 1.1, 5.1] and 3.5 points [CI: 1.3, 5.7]); meditating regularly (2.2 points
[CI: 0.2, 4.2]); and no DMD use (2.9 points [CI: 1.3, 4.6]). CONCLUSIONS: While
causality cannot be concluded from cross-sectional data, the associations between
modifiable lifestyle factors and QOL suggest significant potential for secondary
prevention of the known deterioration of QOL for people with MS through lifestyle
risk factor modification.
PMID- 27876010
TI - A discriminant analysis prediction model of non-syndromic cleft lip with or
without cleft palate based on risk factors.
AB - BACKGROUND: A risk prediction model of non-syndromic cleft lip with or without
cleft palate (NSCL/P) was established by a discriminant analysis to predict the
individual risk of NSCL/P in pregnant women. METHODS: A hospital-based case
control study was conducted with 113 cases of NSCL/P and 226 controls without
NSCL/P. The cases and the controls were obtained from 52 birth defects'
surveillance hospitals in Hunan Province, China. A questionnaire was administered
in person to collect the variables relevant to NSCL/P by face to face interviews.
Logistic regression models were used to analyze the influencing factors of
NSCL/P, and a stepwise Fisher discriminant analysis was subsequently used to
construct the prediction model. RESULTS: In the univariate analysis, 13
influencing factors were related to NSCL/P, of which the following 8 influencing
factors as predictors determined the discriminant prediction model: family
income, maternal occupational hazards exposure, premarital medical examination,
housing renovation, milk/soymilk intake in the first trimester of pregnancy,
paternal occupational hazards exposure, paternal strong tea drinking, and family
history of NSCL/P. The model had statistical significance (lambda = 0.772, chi
square = 86.044, df = 8, P < 0.001). Self-verification showed that 83.8 % of the
participants were correctly predicted to be NSCL/P cases or controls with a
sensitivity of 74.3 % and a specificity of 88.5 %. The area under the receiver
operating characteristic curve (AUC) was 0.846. CONCLUSIONS: The prediction model
that was established using the risk factors of NSCL/P can be useful for
predicting the risk of NSCL/P. Further research is needed to improve the model,
and confirm the validity and reliability of the model.
PMID- 27876011
TI - Nivolumab-associated acute glomerulonephritis: a case report and literature
review.
AB - BACKGROUND: Immune checkpoint inhibitors are changing the landscape of oncology
treatment as they are significantly improving treatment for multiple
malignancies. Nivolumab, an anti-programmed death 1 antibody, is a US Food and
Drug Administration-approved treatment for melanoma, non-small cell lung cancer,
and kidney cancer but can result in a spectrum of autoimmune side effects.
Adverse effects can occur within any organ system in the body including the
colon, lung, liver, endocrine systems, or kidneys. CASE PRESENTATION: A 70-year
old male with clear cell kidney cancer was admitted with acute kidney injury
while on nivolumab. A kidney biopsy revealed diffuse tubular injury and immune
complex-mediated glomerulonephritis. Electron microscopy of the specimen showed
hump-like subepithelial deposits. Nivolumab was discontinued and the patient was
started on a high dose of steroids. After 5 months of systemic corticosteroids
and hemodialysis, the patient's kidney function improved to his baseline level.
Despite a prolonged interruption to treatment, immunosuppressive therapy did not
compromise the anticancer effects of nivolumab. CONCLUSION: Immune-related
adverse effects in the kidney can cause autoimmune glomerulonephritis as well as
tubulointerstitial injury. In the literature, immune-related nephritis generally
responded well to systemic corticosteroid treatment. Based on our experience, a
prolonged course of a high dose of steroids and hemodialysis may be required to
achieve an adequate treatment effect.
PMID- 27876013
TI - Reduced neonatal mortality in a regional hospital in Mozambique linked to a
Quality Improvement intervention.
AB - BACKGROUND: Neonatal mortality remains a serious health issue especially in low
resource countries, where 99% of neonatal deaths occur. Doctors with Africa CUAMM
is an Italian non-governmental organization in the field of healthcare that has
been working in Africa since 1955. In Mozambique, at the Central Beira Hospital
(CBH), it has a project with the aim of supporting the neonatal intensive care
unit (NICU) and the Obstetrical Department of the CBH through a multi-level
intervention. Our aim was to evaluate the effectiveness of CUAMM continuous
Quality Improvement intervention in terms of reduction of the overall neonatal
mortality rate in the NICU of CBH. METHODS: A baseline analysis was performed in
order to assess the actual standard of neonatal care. Subsequently, the
intervention was focused on three main areas: infrastructure, equipment and
clinical protocols improvement. A retrospective pre- (2013)/post- (2014)
implementation analysis of clinical outcomes was performed. RESULTS: Total
population included 4,276 newborns, 2,118 (50%) born in 2013 and 2158 (50%) born
after implementation. Baseline characteristics of the two groups were similar
apart from a higher incidence of outborn neonates (33% vs 30%, p = 0.02) and a
lower incidence of Apgar score < 7 at 5 min (37% vs 43%, p < 0.01). The rates of
admissions for asphyxia (22% vs 30%), sepsis (4% vs 7%) and prematurity (18% vs
28%) increased between the two study period. Mortality rate for each of these
causes decreased from before to after the implementation: asphyxia (34% vs 19%, p
< 0.01), sepsis (39% vs 28%, p = 0.06) and prematurity (43% vs 33%, p < 0.01).
CONCLUSION: We found a reduction in mortality rate among newborns admitted to
CBH's NICU after the first year of CUAMM intervention. Most of this reduction can
be attributed to the decrease in deaths for asphyxia, sepsis and prematurity. A
Quality Improvement intervention based on infrastructural, equipment and clinical
objectives was associated with a reduction of neonatal mortality rate in a low
resource NICU.
PMID- 27876012
TI - Phase I study of low-dose metronomic temozolomide for recurrent malignant
gliomas.
AB - BACKGROUND: The treatment goal for recurrent malignant gliomas centers on disease
stabilization while minimizing therapy-related side effects. Metronomic dosing of
cytotoxic chemotherapy has emerged as a promising option to achieve this
objective. METHODS: This phase I study was performed using metronomic
temozolomide (mTMZ) at 25 or 50 mg/m2/day continuously in 42-day cycles.
Correlative studies were incorporated using arterial spin labeling MRI to assess
tumor blood flow, analysis of matrix metalloproteinase-2 (MMP-2) and MMP-9
activities in the cerebrospinal fluid (CSF) as surrogates for tumor angiogenesis
and invasion, as well as determination of CSF soluble interleukin-2 receptor
alpha (sIL-2Ralpha) levels as a marker of immune modulation. RESULTS: Nine
subjects were enrolled and toxicity consisted of primarily grade 1 or 2
hematological and gastrointestinal side effects; only one patient had a grade 3
elevated liver enzyme level that was reversible. Tumor blood flow was variable
across subjects and time, with two experiencing a transient increase before a
decrease to below baseline level while one exhibited a gradual drop in blood flow
over time. MMP-2 activity correlated with overall survival but not with
progression free survival, while MMP-9 activity did not correlate with either
outcome parameters. Baseline CSF sIL-2Ralpha level was inversely correlated with
time from initial diagnosis to first progression, suggesting that subjects with
higher sIL-2Ralpha may have more aggressive disease. But they lived longer when
treated with mTMZ, probably due to drug-related changes in T-cell constituency.
CONCLUSIONS: mTMZ possesses efficacy against recurrent malignant gliomas by
altering blood flow, slowing invasion and modulating antitumor immune function.
PMID- 27876014
TI - Prenatal diagnosis in Sweden 2011 to 2013-a register-based study.
AB - BACKGROUND: Prenatal diagnosis involves methods used in early pregnancy as either
screening tests or diagnostic methods. The aims of the study were to i)
investigate guidelines on prenatal diagnosis in the counties of Sweden, ii)
investigate uptake of prenatal diagnosis, and iii) background characteristics and
pregnancy outcomes in relation to different prenatal diagnostic methods. METHODS:
A retrospective cross-sectional study using data from the Swedish Pregnancy
Register 2011 to 2013 (284,789 pregnancies) was performed. Additionally,
guidelines on prenatal diagnosis were collected. Biostatistical and
epidemiological analyses were performed including calculation of odds ratios (OR)
and their 95% confidence intervals in univariate and multivariate logistic
regression analyses. RESULTS: The national uptake of routine ultrasound
examination, Combined Ultrasound and Biochemical test (CUB), Amniocentesis (AC)
and Chorionic Villus Sampling (CVS) were 97.6, 33.0, 2.6 and 1.1%, respectively.
From 2012, 6/21 counties offered CUB test to all pregnant women, nine counties at
specific indications, and five counties did not offer CUB at all. Advanced
maternal age demonstrated the highest impact on uptake of prenatal diagnosis.
Further, university educational level in relation to lower educational level was
associated with an increased likelihood of undergoing CUB (OR 2.30, 95% CI 2.26
2.35), AC (OR 1.54, 95% CI 1.46-1.63) and CVS (OR 2.68, 95% CI 2.44-2.93).
CONCLUSION: Offers of prenatal diagnosis varied considerably between counties
resulting in unequal access to prenatal diagnosis for pregnant women. The
intentions of the Swedish Health and Medical Services Act stating equal care for
all, was thus not fulfilled.
PMID- 27876015
TI - On normative judgments and ethics.
AB - Recent rapid technological and medical advance has more than ever before brought
to the fore a spectrum of problems broadly categorized under the umbrella of
'ethics of human enhancement'. Some of the most contentious issues are typified
well by the arguments put forward in a recent article on human cognitive
enhancement authored by Garasic and Lavazza. Herein I analyse some of the
assumptions made in their work and highlight important flaws. In particular I
address the problems associated with the distinction between 'treatment' and
'enhancement', and 'natural' vs. 'non-natural' therapies.
PMID- 27876016
TI - Incidence and risk of hypertension in patients newly treated for multiple
myeloma: a retrospective cohort study.
AB - BACKGROUND: Hypertension is commonly reported in multiple myeloma (MM) patients
and may be associated with older age, disease-related complications and
consequences of MM treatments. This study evaluated the incidence rates of and
risk factors for hypertension and malignant hypertension in newly-treated MM
patients in the United States. METHODS: Newly-treated adult MM patients were
identified from Truven MarketScan claims database from 1/1/05 to 3/31/14.
Inclusion criteria were new diagnosis of MM with start of MM treatment, >=12
months continuous enrollment prior to diagnosis, >=30 days of continuous
enrollment following initial diagnosis, and prescription drug coverage. Non-MM
patients were matched for age (within +/- 5 years), sex and distribution of index
dates to MM patients. Baseline cardiovascular (CV) comorbidities, incidence rate
of hypertension and malignant hypertension in the follow-up period, and risk of
hypertension and malignant hypertension based on existing baseline CV
comorbidities were evaluated. RESULTS: A total of 7895 MM patients (38% with
hypertension history) and 23,685 non-MM patients (24% with hypertension history)
were included in the study. Twenty-two percent of MM patients versus 3% of non-MM
patients had baseline renal failure. A higher percentage of MM versus non-MM
patients had baseline hypertension in combination with renal failure, congestive
heart failure or both. The incidence rate of hypertension in MM and non-MM
patients was 260 and 178 per 1000 person-years, respectively. There was a 30%
increase in the risk of hypertension for MM versus non-MM patients: hazard ratio
(HR) 1.30 (95% confidence interval [CI] 1.22, 1.37). In MM patients with a
history of hypertension, the risk of malignant hypertension was significantly
increased with the following comorbid conditions: cardiomyopathy, HR 2.79 (95% CI
1.20, 6.48); renal failure, HR 2.13 (95% CI 1.36, 3.34); and diabetes mellitus,
HR 1.59 (95% CI 1.05, 2.39). CONCLUSIONS: This study confirms that the incidence
of hypertension and malignant hypertension is significantly higher in newly
treated MM versus non-MM patients. Hypertension is a risk factor for MM patients
developing malignant hypertension. Management of CV comorbidities in MM patients
is important based on the increased risk of hypertension and malignant
hypertension among patients with these comorbidities.
PMID- 27876018
TI - Social norms and family planning decisions in South Sudan.
AB - BACKGROUND: With a maternal mortality ratio of 789 per 100,000 live births, and a
contraceptive prevalence rate of 4.7%, South Sudan has one of the worst
reproductive health situations in the world. Understanding the social norms
around sexuality and reproduction, across different ethnic groups, is key to
developing and implementing locally appropriate public health responses. METHODS:
A qualitative study was conducted in the state of Western Bahr el Ghazal (WBeG)
in South Sudan to explore the social norms shaping decisions about family
planning among the Fertit community. Data were collected through five focus group
discussions and 44 semi-structured interviews conducted with purposefully
selected community members and health personnel. RESULTS: Among the Fertit
community, the social norm which expects people to have as many children as
possible remains well established. It is, however, under competitive pressure
from the existing norm which makes spacing of pregnancies socially desirable.
Young Fertit women are increasingly, either covertly or overtly, making family
planning decisions themselves; with resistance from some menfolk, but also
support from others. The social norm of having as many children as possible is
also under competitive pressure from the emerging norm that equates taking good
care of one's children with providing them with a good education. The return of
peace and stability in South Sudan, and people's aspirations for freedom and a
better life, is creating opportunities for men and women to challenge and subvert
existing social norms, including but not limited to those affecting reproductive
health, for the better. CONCLUSIONS: The sexual and reproductive health
programmes in WBeG should work with and leverage existing and emerging social
norms on spacing in their health promotion activities. Campaigns should focus on
promoting a family ideal in which children become the object of parental
investment, rather than labour to till the land - instead of focusing directly or
solely on reducing family size. The conditions are right in WBeG and in South
Sudan for public health programmes to intervene to trigger social change on
matters related to sexual and reproductive health; this window of opportunity
should be leveraged to achieve sustainable change.
PMID- 27876017
TI - Increased Wnt5a in squamous cell lung carcinoma inhibits endothelial cell
motility.
AB - BACKGROUND: Angiogenesis is important both in normal tissue function and disease
and represents a key target in lung cancer (LC) therapy. Unfortunately, the two
main subtypes of non-small-cell lung cancers (NSCLC) namely, adenocarcinoma (AC)
and squamous cell carcinoma (SCC) respond differently to anti-angiogenic e.g.
anti-vascular endothelial growth factor (VEGF)-A treatment with life-threatening
side effects, often pulmonary hemorrhage in SCC. The mechanisms behind such
adverse reactions are still largely unknown, although peroxisome proliferator
activator receptor (PPAR) gamma as well as Wnt-s have been named as molecular
regulators of the process. As the Wnt microenvironments in NSCLC subtypes are
drastically different, we hypothesized that the particularly high levels of non
canonical Wnt5a in SCC might be responsible for alterations in blood vessel
growth and result in serious adverse reactions. METHODS: PPARgamma, VEGF-A,
Wnt5a, miR-27b and miR-200b levels were determined in resected adenocarcinoma and
squamous cell carcinoma samples by qRT-PCR and TaqMan microRNA assay. The role of
PPARgamma in VEGF-A expression, and the role of Wnts in overall regulation was
investigated using PPARgamma knock-out mice, cancer cell lines and fully human,
in vitro 3 dimensional (3D), distal lung tissue aggregates. PPARgamma mRNA and
protein levels were tested by qRT-PCR and immunohistochemistry, respectively.
PPARgamma activity was measured by a PPRE reporter system. The tissue engineered
lung tissues expressing basal level and lentivirally delivered VEGF-A were
treated with recombinant Wnts, chemical Wnt pathway modifiers, and were subjected
to PPARgamma agonist and antagonist treatment. RESULTS: PPARgamma down-regulation
and VEGF-A up-regulation are characteristic to both AC and SCC. Increased VEGF-A
levels are under direct control of PPARgamma. PPARgamma levels and activity,
however, are under Wnt control. Imbalance of both canonical (in AC) and non
canonical (in SCC) Wnts leads to PPARgamma down-regulation. While canonical Wnts
down-regulate PPARgamma directly, non-canonical Wnt5a increases miR27b that is
known regulator of PPARgamma. CONCLUSION: During carcinogenesis the Wnt
microenvironment alters, which can downregulate PPARgamma leading to increased
VEGF-A expression. Differences in the Wnt microenvironment in AC and SCC of NSCLC
lead to PPARgamma decrease via mechanisms that differentially alter endothelial
cell motility and branching which in turn can influence therapeutic response.
PMID- 27876020
TI - The brief negative symptom scale: validation of the German translation and
convergent validity with self-rated anhedonia and observer-rated apathy.
AB - BACKGROUND: Negative symptoms are considered core symptoms of schizophrenia. The
Brief Negative Symptom Scale (BNSS) was developed to measure this symptomatic
dimension according to a current consensus definition. The present study examined
the psychometric properties of the German version of the BNSS. To expand former
findings on convergent validity, we employed the Temporal Experience Pleasure
Scale (TEPS), a hedonic self-report that distinguishes between consummatory and
anticipatory pleasure. Additionally, we addressed convergent validity with
observer-rated assessment of apathy with the Apathy Evaluation Scale (AES), which
was completed by the patient's primary nurse. METHODS: Data were collected from
75 in- and outpatients from the Psychiatric Hospital, University Zurich diagnosed
with either schizophrenia or schizoaffective disorder. We assessed convergent and
discriminant validity, internal consistency and inter-rater reliability. RESULTS:
We largely replicated the findings of the original version showing good
psychometric properties of the BNSS. In addition, the primary nurses evaluation
correlated moderately with interview-based clinician rating. BNSS anhedonia items
showed good convergent validity with the TEPS. CONCLUSIONS: Overall, the German
BNSS shows good psychometric properties comparable to the original English
version. Convergent validity extends beyond interview-based assessments of
negative symptoms to self-rated anhedonia and observer-rated apathy.
PMID- 27876019
TI - A systematic comparison of copy number alterations in four types of female
cancer.
AB - BACKGROUND: Detection and localization of genomic alterations and breakpoints are
crucial in cancer research. The purpose of this study was to investigate, in a
methodological and biological perspective, different female, hormone-dependent
cancers to identify common and diverse DNA aberrations, genes, and pathways.
METHODS: In this work, we analyzed tissue samples from patients with breast (n =
112), ovarian (n = 74), endometrial (n = 84), or cervical (n = 76) cancer. To
identify genomic aberrations, the Circular Binary Segmentation (CBS) and
Piecewise Constant Fitting (PCF) algorithms were used and segmentation thresholds
optimized. The Genomic Identification of Significant Targets in Cancer (GISTIC)
algorithm was applied to the segmented data to identify significantly altered
regions and the associated genes were analyzed by Ingenuity Pathway Analysis
(IPA) to detect over-represented pathways and functions within the identified
gene sets. RESULTS AND DISCUSSION: Analyses of high-resolution copy number
alterations in four different female cancer types are presented. For
appropriately adjusted segmentation parameters the two segmentation algorithms
CBS and PCF performed similarly. We identified one region at 8q24.3 with focal
aberrations that was altered at significant frequency across all four cancer
types. Considering both, broad regions and focal peaks, three additional regions
with gains at significant frequency were revealed at 1p21.1, 8p22, and 13q21.33,
respectively. Several of these events involve known cancer-related genes, like
PPP2R2A, PSCA, PTP4A3, and PTK2. In the female reproductive system (ovarian,
endometrial, and cervix [OEC]), we discovered three common events: copy number
gains at 5p15.33 and 15q11.2, further a copy number loss at 8p21.2.
Interestingly, as many as 75% of the aberrations (75% amplifications and 86%
deletions) identified by GISTIC were specific for just one cancer type and
represented distinct molecular pathways. CONCLUSIONS: Our results disclose that
some prominent copy number changes are shared in the four examined female,
hormone-dependent cancer whereas others are definitive to specific cancer types.
PMID- 27876021
TI - Epidemiology of pertussis in two Ibero-American countries with different
vaccination policies: lessons derived from different surveillance systems.
AB - BACKGROUND: Pertussis is a re-emerging disease worldwide despite its high
vaccination coverage. European and Latin-American countries have used different
surveillance and vaccination policies against pertussis. We compared the
epidemiology of this disease in two Ibero-American countries with different
vaccination and surveillance policies. METHODS: We compared the epidemiology of
pertussis in Spain and the Dominican Republic (DR). We present a 10-year
observational study of reported pertussis based on suspected and/or probable
cases of pertussis identified by the national mandatory reporting system in both
countries between 2005 and 2014. Both countries have a similar case definition
for pertussis surveillance, although Spain applies laboratory testing, and uses
real time PCR and/or culture for case confirmation while in DR only probable
and/or suspected cases are reported. We analyzed incidence, hospitalization, case
fatality rates, mortality and vaccination coverage. RESULTS: The average annual
incidence in children aged <1 year was 3.40/100,000 population in Spain and
12.15/100,000 in DR (p = 0.01). While the incidence in DR was generally higher
than in Spain, in 2011 it was six times higher in Spain than in DR. The highest
infant mortality in Spain was 0.017/100,000 in 2011, and the highest in DR was
0.08/100,000 in 2014 (p = 0.01). The proportion of hospitalized cases per year
among children <1 year varied between 22.0% and 93.7% in Spain, and between 1.1%
and 29.4% in DR (p = 0.0002), while mortality varied from 0 to 0.017 and 0 to
0.08 per 100,000 population in Spain and DR, respectively (p = 0.001).
Vaccination coverage was 96.5% in Spain and 82.2% in DR (p = 0.001). CONCLUSIONS:
Pertussis is a public health problem in both countries. Surveillance, prevention
and control measures should be improved, especially in DR. Current vaccination
programs are not sufficient for preventing continued pertussis transmission, even
in Spain which has high vaccination coverage.
PMID- 27876022
TI - Foot insensitivity is associated with renal function decline in patients with
type 2 diabetes: a cohort study.
AB - BACKGROUND: Identifying patients with diabetes at increased risk of chronic
kidney disease (CKD) is essential to prevent/slow the progression to end-stage
renal disease (ESRD). CKD and diabetic peripheral neuropathy (DPN) share common
mechanisms. Hence, we aimed to examine the relationship between foot
insensitivity and CKD in patients with Type 2 diabetes. METHODS: A prospective
observational cohort study in adults with Type 2 diabetes. Patients with ESRD
were excluded. Foot insensitivity was assessed using the 10-g monofilament test.
Renal function was assessed using estimated glomerular filtration rate (eGFR)
based on the MDRD equation. Albuminuria was defined as the presence of urinary
albumin/creatinine ratio (ACR) >3.4 mg/mmol. RESULTS: Two hundred and twenty
eight patients were recruited and followed-up for 2.5 years. One hundred and
ninety patients (83.4%) had eGFR >= 60 ml/min/1.73 m2. Seventy six (33.3%)
patients had foot insensitivity (i.e. abnormal monofilament test). Patients with
foot insensitivity had lower eGFR and higher prevalence of albuminuria compared
to patients with normal monofilament test. After adjustment for age, gender,
ethnicity, diabetes duration, HbA1c, body mass index, insulin treatment, number
of anti-hypertensives, history of peripheral vascular disease, and baseline eGFR
(R2 0.87), baseline foot insensitivity was associated with study-end eGFR (B =
3.551, p = 0.036). CONCLUSIONS: Patients with Type 2 diabetes and foot
insensitivity are at increased risk of eGFR decline. Identifying these patients
offers an opportunity to intensify metabolic and blood pressure control to
prevent/retard the development of CKD. Future studies of larger sample size and
longer follow up from multiple centres are needed to assess the diagnostic
performance of our findings in predicting CKD development, and to compare the
performance of the monofilament test with albuminuria.
PMID- 27876023
TI - Association of parental social support with energy balance-related behaviors in
low-income and ethnically diverse children: a cross-sectional study.
AB - BACKGROUND: Parents play an important role in providing their children with
social support for healthy eating and physical activity. However, different types
of social support (e.g., instrumental, emotional, modeling, rules) might have
different results on children's actual behavior. The purpose of this study was to
assess the association of the different types of social support with children's
physical activity and eating behaviors, as well as to examine whether these
associations differ across racial/ethnic groups. METHODS: We surveyed 1169 low
income, ethnically diverse third graders and their caregivers to assess how
children's physical activity and eating behaviors (fruit and vegetable and sugar
sweetened beverage intake) were associated with instrumental social support,
emotional social support, modeling, rules and availability of certain foods in
the home. We used sequential linear regression to test the association of
parental social support with a child's physical activity and eating behaviors,
adjusting for covariates, and then stratified to assess the differences in this
association between racial/ethnic groups. RESULTS: Parental social support and
covariates explained 9-13% of the variance in children's energy balance-related
behaviors. Family food culture was significantly associated with fruit and
vegetable and sugar-sweetened beverage intake, with availability of sugar
sweetened beverages in the home also associated with sugar-sweetened beverage
intake. Instrumental and emotional support for physical activity were
significantly associated with the child's physical activity. Results indicate
that the association of various types of social support with children's physical
activity and eating behaviors differ across racial/ethnic groups. CONCLUSIONS:
These results provide considerations for future interventions that aim to enhance
parental support to improve children's energy balance-related behaviors.
PMID- 27876025
TI - Substituting sugar confectionery with fruit and healthy snacks at checkout - a
win-win strategy for consumers and food stores? a study on consumer attitudes and
sales effects of a healthy supermarket intervention.
AB - BACKGROUND: The widespread use of in-store marketing strategies to induce
unhealthy impulsive purchases has implications for shopping experience, food
choice and possibly adverse health outcomes. The aim of this study was to examine
consumer attitudes and evaluate sales effects of a healthy checkout supermarket
intervention. The study was part of Project Sundhed & Lokalsamfund (Project SoL);
a Danish participatory community-based health promotion intervention. METHODS:
Consumer attitudes towards unhealthy snack exposure in supermarkets were examined
in a qualitative pre-intervention study (29 short in-store interviews, 11 semi
structured interviews and three focus group interviews). Findings were presented
to food retailers and informed the decision to test a healthy checkout
intervention. Sugar confectionery at one checkout counter was substituted with
fruit and healthy snacking items in four stores for 4 weeks. The intervention was
evaluated by 48 short exit interviews on consumer perceptions of the intervention
and by linear mixed model analyses of supermarket sales data from the
intervention area and a matched control area. RESULTS: The qualitative pre
intervention study identified consumer concern and annoyance with placement and
promotion of unhealthy snacks in local stores. Store managers were willing to
respond to local consumer concern and a healthy checkout intervention was
therefore implemented. Exit interviews found positive attitudes towards the
intervention, while intervention awareness was modest. Most participants believed
that the intervention could help other consumers make healthier choices, while
fewer expected to be influenced by the intervention themselves. Statistical
analyses suggested an intervention effect on sales of carrot snack packs when
compared with sales before the intervention in Bornholm control stores (P <
0.05). No significant intervention effect on sales of other intervention items or
sugar confectionery was found. CONCLUSIONS: The present study finds that the
healthy checkout intervention was positively evaluated by consumers and provided
a 'responsible' branding opportunity for supermarkets, thus representing a win
win strategy for store managers and consumers in the short term. However, the
intervention was too modest to draw conclusions on long-term sales and health
implications of this initiative. More research is needed to assess whether
retailer-researcher collaborations on health promotion can be a winning strategy
for public health.
PMID- 27876024
TI - Evaluation of computer-based computer tomography stratification against outcome
models in connective tissue disease-related interstitial lung disease: a patient
outcome study.
AB - BACKGROUND: To evaluate computer-based computer tomography (CT) analysis
(CALIPER) against visual CT scoring and pulmonary function tests (PFTs) when
predicting mortality in patients with connective tissue disease-related
interstitial lung disease (CTD-ILD). To identify outcome differences between
distinct CTD-ILD groups derived following automated stratification of CALIPER
variables. METHODS: A total of 203 consecutive patients with assorted CTD-ILDs
had CT parenchymal patterns evaluated by CALIPER and visual CT scoring:
honeycombing, reticular pattern, ground glass opacities, pulmonary vessel volume,
emphysema, and traction bronchiectasis. CT scores were evaluated against
pulmonary function tests: forced vital capacity, diffusing capacity for carbon
monoxide, carbon monoxide transfer coefficient, and composite physiologic index
for mortality analysis. Automated stratification of CALIPER-CT variables was
evaluated in place of and alongside forced vital capacity and diffusing capacity
for carbon monoxide in the ILD gender, age physiology (ILD-GAP) model using
receiver operating characteristic curve analysis. RESULTS: Cox regression
analyses identified four independent predictors of mortality: patient age (P <
0.0001), smoking history (P = 0.0003), carbon monoxide transfer coefficient (P =
0.003), and pulmonary vessel volume (P < 0.0001). Automated stratification of
CALIPER variables identified three morphologically distinct groups which were
stronger predictors of mortality than all CT and functional indices. The
Stratified-CT model substituted automated stratified groups for functional
indices in the ILD-GAP model and maintained model strength (area under curve
(AUC) = 0.74, P < 0.0001), ILD-GAP (AUC = 0.72, P < 0.0001). Combining automated
stratified groups with the ILD-GAP model (stratified CT-GAP model) strengthened
predictions of 1- and 2-year mortality: ILD-GAP (AUC = 0.87 and 0.86,
respectively); stratified CT-GAP (AUC = 0.89 and 0.88, respectively).
CONCLUSIONS: CALIPER-derived pulmonary vessel volume is an independent predictor
of mortality across all CTD-ILD patients. Furthermore, automated stratification
of CALIPER CT variables represents a novel method of prognostication at least as
robust as PFTs in CTD-ILD patients.
PMID- 27876026
TI - Spatial suicide clusters in Australia between 2010 and 2012: a comparison of
cluster and non-cluster among young people and adults.
AB - BACKGROUND: A suicide cluster has been defined as a group of suicides that occur
closer together in time and space than would normally be expected. We aimed to
examine the extent to which suicide clusters exist among young people and adults
in Australia and to determine whether differences exist between cluster and non
cluster suicides. METHODS: Suicide data were obtained from the National Coronial
Information System for the period 2010 and 2012. Data on date of death, postcode,
age at the time of death, sex, suicide method, ICD-10 code for cause of death,
marital status, employment status, and aboriginality were retrieved. We examined
the presence of spatial clusters separately for youth suicides and adult suicides
using the Scan statistic. Pearson's chi-square was used to compare the
characteristics of cluster suicides with non-cluster suicides. RESULTS: We
identified 12 spatial clusters between 2010 and 2012. Five occurred among young
people (n = 53, representing 5.6% [53/940] of youth suicides) and seven occurred
among adults (n = 137, representing 2.3% [137/5939] of adult suicides). Clusters
ranged in size from three to 21 for youth and from three to 31 for adults. When
compared to adults, suicides by young people were significantly more likely to
occur as part of a cluster (difference = 3.3%, 95% confidence interval [CI] = 1.8
to 4.8, p < 0.0001). Suicides by people with an Indigenous background were also
significantly more likely to occur in a cluster than suicide by non-Indigenous
people and this was the case among both young people and adults. CONCLUSIONS:
Suicide clusters have a significant negative impact on the communities in which
they occur. As a result it is important to find effective ways of managing and
containing suicide clusters. To date there is limited evidence for the
effectiveness of those strategies typically employed, in particular in Indigenous
settings, and developing this evidence base needs to be a future priority. Future
research that examines in more depth the socio-demographic and clinical factors
associated with suicide clusters is also warranted in order that appropriate
interventions can be developed.
PMID- 27876027
TI - Application of the multiphase optimization strategy to a pilot study: an
empirical example targeting obesity among children of low-income mothers.
AB - BACKGROUND: Emerging approaches to building more efficient and effective
behavioral interventions are becoming more widely available. The current paper
provides an empirical example of the use of the engineering-inspired multiphase
optimization strategy (MOST) to build a remotely delivered responsive parenting
intervention to prevent obesity among children of low-income mothers with and
without depressive symptoms. METHODS: Participants were 107 mothers with (n = 45)
and without (n = 62) depressive symptoms who had a child aged 12 to 42 months
participating in the Women, Infants and Children program. Participants were
randomized to one of sixteen experimental conditions using a factorial design
that included a combination of the following eight remotely delivered
intervention components: responsive feeding curriculum (given to all
participants), parenting curriculum, portion size guidance, obesogenic risk
assessment, personalized feedback on mealtime routines, feeding curriculum
counseling, goal setting, mobile messaging, and social support. This design
enabled efficient identification of components with low feasibility and
acceptability. RESULTS: Completion rates were high (85%) and did not
statistically differ by depressive symptoms. However, mothers with depressive
symptoms who received obesogenic risk assessment and personalized feedback on
mealtime routines components had lower completion rates than mothers without
depressive symptoms. All intervention components were feasible to implement
except the social support component. Regardless of experimental condition, most
participants reported that the program increased their awareness of what, when,
and how to feed their children. CONCLUSIONS: MOST provided an efficient way to
assess the feasibility of components prior to testing them with a fully powered
experiment. This framework helped identify potentially challenging combinations
of remotely delivered intervention components. Consideration of how these results
can inform future studies focused on the optimization phase of MOST is discussed.
PMID- 27876028
TI - Clinical assessment of children with renal abscesses presenting to the pediatric
emergency department.
AB - BACKGROUND: Renal abscesses are relatively uncommon in children but may result in
prolonged hospital stays and life-threatening events. We undertook this study to
analyze the clinical spectrum of renal abscesses in children admitted to the
pediatric emergency department (ED) and to find helpful clinical characteristics
that can potentially aid emergency physicians for detecting renal abscesses in
children earlier. METHODS: From 2004 to 2011, we retrospectively analyzed 17
patients, aged 18 years or younger, with a definite diagnosis of renal abscess
admitted to the ED. The following clinical information was studied: demographics,
clinical presentation, laboratory testing, microbiology, imaging studies,
treatment modalities, complications, and long-term outcomes. We analyzed these
variables among other potential predisposing factors. RESULTS: During the 8-year
study period, 17 patients (7 males and 10 females; mean age, 6.1 +/- 4.5 years)
were diagnosed with renal abscesses on the basis of ultrasonography and computed
tomography findings. The 2 most common presenting symptoms were fever and flank
pain (100% and 70.6%, respectively). All of the patients presented with
leukocytosis and elevated C-reactive protein (CRP) levels. Organisms cultured
from urine or from the abscess were identified in 11 (64.7%) patients, and
Escherichia coli was the most common organism cultured. All patients were treated
with broad-spectrum intravenous antibiotics with the exception of 4 children who
also required additional percutaneous drainage of the abscess. CONCLUSIONS: Renal
abscesses are relatively rare in children. We suggest that primary care
physicians should keep this disease in mind especially when children present with
triad symptoms (fever, nausea/vomiting, and flank pain), pyuria, significant
leukocytosis, and elevated CRP levels. However, aggressive percutaneous drainage
may not need to be routinely performed in children with renal abscesses.
PMID- 27876029
TI - Home telemonitoring for patients with acute exacerbation of chronic obstructive
pulmonary disease: a randomized controlled trial.
AB - BACKGROUND: Although a number of studies have suggested that the use of
Telemonitoring (TM) in patients with Chronic Obstructive Pulmonary Disease (COPD)
can be useful and efficacious, its real utility in detecting Acute Exacerbation
(AE) signaling the need for prompt treatment is not entirely clear. The current
study aimed to investigate the benefits of a TM system in managing AE in advanced
stage COPD patients to improve their Health-Related Quality of Life (HRQL) and to
reduce utilization of healthcare services. METHODS: A 12-month Randomised
Controlled Trial (RCT) was conducted in the Veneto region (Italy). Adult patients
diagnosed with Class III-IV COPD in accordance with the Global Initiative for
Chronic Obstructive Lung Disease (GOLD) classification were recruited and
provided a TM system to alert the clinical staff via a trained operator whenever
variations in respiratory parameters fell beyond the individual's normal range.
The study's primary endpoint was HRQL, measured by the Italian version of the two
Short Form 36-item Health Survey (SF36v2). Its secondary endpoints were: scores
on the Hospital Anxiety and Depression Scale (HADS); the number and duration of
hospitalizations; the number of readmissions; the number of appointments with a
pulmonary specialist; the number of visits to the emergency department; and the
number of deaths. RESULTS: Three hundred thirty-four patients were enrolled and
randomized into two groups for a 1 year period. At its conclusion, changes in the
SF36 Physical and Mental Component Summary scores did not significantly differ
between the TM and control groups [(-2.07 (8.98) vs -1.91 (7.75); p = 0.889 and
1.08 (11.30) vs -1.92 (10.92); p = 0.5754, respectively]. Variations in HADS were
not significantly different between the two groups [0.85 (3.68) vs 0.62 (3.6); p
= 0.65 and 0.50 (4.3) vs 0.72 (4.5); p = 0.71]. The hospitalization rate for
AECOPD and/or for any cause was not significantly different in the two groups
[IRR = 0.89 (95% CI 0.79-1,04); p = 0.16 and IRR = 0.91 (95% CI 0,75 - 1.04); p =
0.16, respectively]. The readmission rate for AECOPD and/or any cause was,
however, significantly lower in the TM group with respect to the control one [IRR
= 0.43 (95% CI 0.19-0.98); p = 0.01 and 0.46 (95% CI 0.24-0.89); p = 0.01,
respectively]. CONCLUSION: Study results showed that in areas where medical
services are well established, TM does not significantly improve HRQL in patients
with COPD who develop AE. Although not effective in reducing hospitalizations, TM
can nevertheless facilitate continuity of care during hospital-to-home transition
by reducing the need for early readmission. TRIAL REGISTRATION: Retrospectively
registered on January 2012, ClinicalTrials.gov Identifier: NCT01513980 .
PMID- 27876031
TI - User satisfaction with the structure and content of the NEXit intervention, a
text messaging-based smoking cessation programme.
AB - BACKGROUND: Smoking is still the leading cause of preventable ill health and
death. There is a limited amount of evidence for effective smoking cessation
interventions among young people. To address this, a text messaging-based smoking
cessation programme, the NEXit intervention, was developed. Short-term
effectiveness, measured immediately after the 12-week intervention revealed that
26% of smokers in the intervention group had prolonged abstinence compared with
15% in the control group. The present study was performed to explore the users'
experiences of the structure and content of the intervention in order to further
develop the intervention. METHODS: Students participating in the main NEXit
randomized controlled trial were invited to grade their experiences of the
structure and content of the intervention after having completed follow-up. The
participants received an e-mail with an electronic link to a short questionnaire.
Descriptive analysis of the distribution of the responses to the questionnaire
was performed. Free-text comments to 14 questions were analysed. RESULTS: The
response rate for the user feedback questionnaire was 35% (n = 289/827) and 428
free-text comments were collected. The first motivational phase of the
intervention was appreciated by 55% (158/289) of the participants. Most
participants wanted to quit smoking immediately and only 124/289 (43%) agreed to
have to decide a quit-date in the future. Most participants 199/289 (69%) found
the content of the messages in the core programme to be very good or good, and
the variability between content types was appreciated by 78% (224/289). Only 34%
(97/289) of the participants thought that all or nearly all messages were
valuable, and some mentioned that it was not really the content that mattered,
but that the messages served as a reminder about the decision to quit smoking.
CONCLUSIONS: The programme was largely perceived satisfactory in most aspects
concerning structure and content by young people and most participants stated
that they would recommend it to a friend who wants to quit smoking. The
motivational phase might be worth shortening and the number of messages around
the quit date itself reduced. Shorter messages seemed to be more acceptable.
TRIAL REGISTRATION: ISRCTN75766527 .
PMID- 27876030
TI - Long-term outcomes following lower extremity press-fit bone-anchored prosthesis
surgery: a 5-year longitudinal study protocol.
AB - BACKGROUND: Patients with lower extremity amputation frequently suffer from
socket-related problems. This seriously limits prosthesis use, level of activity
and health-related quality of life (HRQoL). An additional problem in patients
with lower extremity amputation are asymmetries in gait kinematics possibly
accounting for back pain. Bone-anchored prostheses (BAPs) are a possible solution
for socket-related problems. Knowledge concerning the level of function, activity
and HRQoL after surgery is limited. The aims of this ongoing study are to: a)
describe changes in the level of function, activity, HRQoL and satisfaction over
time compared to baseline before surgery; b) examine potential predictors for
changes in kinematics, prosthetic use, walking ability, HRQoL, prosthesis comfort
over time and level of stump pain at follow-up; c) examine potential mechanisms
for change of back pain over time by identifying determinants, moderators and
mediators. METHODS/DESIGN: A prospective 5-year longitudinal study with multiple
follow-ups. All adults, between May 2014 and May 2018, with lower extremity
amputation receiving a press-fit BAP are enrolled consecutively. Patients with
socket-related problems and trauma, tumour resection or stable vascular disease
as cause of primary amputation will be included. Exclusion criteria are severe
cognitive or psychiatric disorders. Follow-ups are planned at six-months, one-,
two- and five-years after BAP surgery. The main study outcomes follow, in part,
the ICF classification: a) level of function defined as kinematics in coronal
plane, hip abductor strength, prosthetic use, back pain and stump pain; b) level
of activity defined as mobility level and walking ability; c) HRQoL; d)
satisfaction defined as prosthesis comfort and global perceived effect. Changes
over time for the continuous outcomes and the dichotomized outcome (back pain)
will be analysed using generalised estimating equations (GEE). Multivariate GEE
will be used to identify potential predictors for change of coronal plane
kinematics, prosthetic use, walking ability, HRQoL, prosthesis comfort and for
the level of post-operative stump pain. Finally, potential mechanisms for change
in back pain frequency will be explored using coronal plane kinematics as a
potential determinant, stump pain as moderator and hip abductor strength as
mediator. DISCUSSION: This study may identify predictors for clinically relevant
outcome measures. TRIAL REGISTRATION: NTR5776 . Registered 11 March 2016,
retrospectively registered.
PMID- 27876032
TI - Self-control and problematic mobile phone use in Chinese college students: the
mediating role of mobile phone use patterns.
AB - BACKGROUND: With the popularity of mobile phones, problematic mobile phone use is
getting increasing attention in recent years. Although self-control was found to
be a critical predictor of problematic mobile phone use, no study has ever
explored the association between self-control and mobile phone use patterns as
well as the possible pathway how self-control affects problematic mobile phone
use. METHODS: Four hundred sixty-eight college students were randomly selected in
this study. Data were collected using the Problematic Mobile Phone Use Scale, the
Self-Control Scale, and the Mobile Phone Use Pattern Questionnaire. Statistical
tests were conducted to identify the potential role of mobile phone use patterns
in the association between self-control and problematic mobile phone use.
RESULTS: In this sample, female students displayed significant higher mobile
phone dependence than males. Self-control was negatively correlated with
interpersonal, transaction and entertainment mobile phone use patterns, but
positively correlated with information seeking use pattern. Self-control could
predict problematic mobile phone use directly and indirectly via interpersonal
and transaction patterns. CONCLUSIONS: Our research provided additional evidence
for the negative association between self-control and problematic mobile phone
use. Moreover, interpersonal and transaction use patterns played a mediating role
in this link.
PMID- 27876033
TI - Genital examination training: assessing the effectiveness of an integrated female
and male teaching programme.
AB - BACKGROUND: Learning to undertake intimate female and male examinations is an
important part of medical student training but opportunities to participate in
practical, supervised learning in a safe environment can be limited. A
collaborative, integrated training programme to provide such learning was
developed by two university teaching departments and a specialist sexual health
service, utilising teaching associates trained for intimate examinations in a
simulated clinical educational setting. The objective of this research was to
determine changes in senior medical students' self- reported experience and
confidence in performing male and female genital examinations, before and after
participating in a new clinical teaching programme. METHODS: A quasi-experimental
mixed methods design, using pre and post programme questionnaires and focus
groups, was used to assess the effectiveness of the programme. RESULTS: The
students reported greatly improved skill, confidence and comfort levels for both
male and female genital examination following the teaching programme. Skill,
confidence and comfort regarding male examinations were rated particularly low on
the pre-teaching programme self- assessment, but post-programme was rated at
similar levels to the female examination. CONCLUSIONS: This integrated female
male teaching programme (utilising trained teaching associates as simulated
patients in a supervised clinical teaching environment) was successful in
increasing senior medical students' skills and levels of confidence in performing
genital examinations. There were differences between female and male medical
students in their learning. Suggestions for improvement included providing more
detailed instruction to some clinical supervisors about their facilitation role
in the session.
PMID- 27876034
TI - H5N2 Highly Pathogenic Avian Influenza Viruses from the US 2014-2015 outbreak
have an unusually long pre-clinical period in turkeys.
AB - BACKGROUND: From December 2014 through June 2015, the US experienced the most
costly highly pathogenic avian influenza (HPAI) outbreak to date. Most cases in
commercial poultry were caused by an H5N2 strain which was a reassortant with 5
Eurasian lineage genes, including a clade 2.3.4.4 goose/Guangdong/1996 lineage
hemagglutinin, and 3 genes from North American wild waterfowl low pathogenicity
avian influenza viruses. The outbreak primarily affected turkeys and table-egg
layer type chickens. Three isolates were selected for characterization in
turkeys: the US index isolate from December 2014 (A/northern
pintail/WA/40964/2014), and two poultry isolates from April 2015
(A/chicken/IA/13388/2015 and A/turkey/MN/12528/2015). RESULTS: Four week old
broad-breasted white turkeys were inoculated with one of three doses (102, 104 or
106 50% egg infectious doses [EID50] per bird) of each of the isolates to
evaluate infectious dose and pathogenesis. The mean bird infectious dose of
A/northern pintail/WA/40964/2014 and A/turkey/MN/12528/2015 was 105 EID50 per
bird, but was 103 EID50 per bird for A/chicken/IA/13388/2015, suggesting the
latter had greater adaptation to gallinaceous birds. All three isolates had
unusually long mean death time of 5.3-5.9 days post challenge, and the primary
clinical signs were severe lethargy and neurological signs which started no more
than 24 h before death (the average pre-clinical period was 4 days). Infected
turkeys also shed high levels of virus by both the oropharyngeal and cloacal
routes. CONCLUSIONS: The unusually long mean death times, high levels of virus in
feces, and increased adaptation of the later viruses may have contributed to the
rapid spread of the virus during the peak of the outbreak.
PMID- 27876035
TI - Study Protocol: Evaluation of a DVD intervention designed to meet the informaton
needs of patients with head and neck cancer and their partner, carer and
families.
AB - BACKGROUND: Patients who undergo surgery for cancer of the head and neck and
their families face complex and difficult challenges and are at risk of anxiety
and depression and inability to cope with symptom and treatment burden.
Information available to support them is not flexible enough to adjust to
individual need. DESIGN/METHODS: A randomised clinical trial pre and post
intervention design, comparing the use of a tailored DVD intervention, provided
preoperatively and used throughout the post- operative period, with usual
treatment. One hundred fifty-six individuals or partner couples will be randomly
recruited into either the intervention or control group. A survey will be
administered at three time points, preoperatively, post operatively and 3 months
post-surgery. Anxiety and empowerment are the primary outcome measures.
Qualitative data about use of the resource will be gathered by phone interview.
DISCUSSION: This is the first study to rigorously evaluate the impact of a DVD
intervention for this group of patients and their family members. The study will
help to understand the impact of information usage on patient and family well-
being and test a means by which to evaluate information and education resources
for this and other cancer patient groups. TRIAL REGISTRATION: ACTRN12614001104640
. Date registered: 17/10/2014.
PMID- 27876036
TI - Diabetes screening intervals based on risk stratification.
AB - BACKGROUND: Guidelines for frequency of Type 2 diabetes mellitus (DM) screening
remain unclear, with proposed screening intervals typically based on expert
opinion. This study aims to demonstrate that HbA1c screening intervals may differ
substantially when considering individual risk for diabetes. METHODS: This was a
multi-institutional retrospective open cohort study. Data were collected between
April 1999 to March 2014 from one urban and one rural cohort in Japan. After
categorization by age, we stratified individuals based on cardiovascular disease
risk (Framingham 10-year cardiovascular risk score) and body mass index (BMI). We
adapted a signal-to-noise method for distinguishing true HbA1c change from
measurement error by constructing a linear random effect model to calculate
signal and noise of HbA1c. Screening interval for HbA1c was defined as
informative when the signal-to-noise ratio exceeded 1. RESULTS: Among 96,456
healthy adults, 46,284 (48.0%) were male; age (range) and mean HbA1c (SD) were 48
(30-74) years old and 5.4 (0.4)%, respectively. As risk increased among those 30
44 years old, HbA1c screening intervals for detecting Type 2 DM consistently
decreased: from 10.5 (BMI <18.5) to 2.4 (BMI > 30) years, and from 8.0
(Framingham Risk Score <10%) to 2.0 (Framingham Risk Score >=20%) years. This
trend was consistent in other age and risk groups as well; among obese 30-44 year
olds, we found substantially shorter intervals compared to other groups.
CONCLUSION: HbA1c screening intervals for identification of DM vary substantially
by risk factors. Risk stratification should be applied when deciding an optimal
HbA1c screening interval in the general population to minimize overdiagnosis and
overtreatment.
PMID- 27876037
TI - The efficacy of adalimumab in psoriatic arthritis concomitant to overlapping
primary biliary cholangitis and primary sclerosing cholangitis: a case report.
AB - BACKGROUND: The overlap syndrome of primary biliary cholangitis (formerly called
primary biliary cirrhosis) and primary sclerosing cholangitis is an extremely
rare condition that has never been described in association with other immune
mediated diseases, including psoriatic arthritis. While treatment with anti
Tumour Necrosis Factor-alpha (TNF-alpha) agents has proved to be effective in
inflammatory arthropathies such as psoriatic arthritis, they have been employed
in only a limited number of patients with autoimmune hepatitis, and their
effectiveness is unclear. CASE PRESENTATION: We report the case of a 51-year-old
female affected with psoriatic arthritis concomitant to overlapping primary
biliary cholangitis and primary sclerosing cholangitis in whom 28 months of
adalimumab treatment improved the symptoms of the inflammatory arthropathy as
well as those of both cholangiopathies. CONCLUSION: Our results suggest that
further studies examining the therapeutic role of this particular TNF-alpha
blocker are warranted in cholestatic autoimmune hepatitis patients, and in
particular in those individuals in whom the disease is associated with
inflammatory arthropathies.
PMID- 27876038
TI - Parental perception of built environment characteristics and built environment
use among Latino families: a cross-sectional study.
AB - BACKGROUND: Perception of undesirable features may inhibit built environment use
for physical activity among underserved families with children at risk for
obesity. METHODS: To examine the association of perceived availability,
condition, and safety of the built environment with its self-reported use for
physical activity, we conducted a cross-sectional analysis on baseline data from
a randomized controlled trial. Adjusted Poisson regression was used to test the
association between the primary independent variables (perceived availability,
physical condition, and safety) with the primary outcome of self-reported use of
built environment structures. RESULTS: Among 610 parents (90% Latino) of
preschool-age children, 158 (26%) reported that there were no available built
environment structures for physical activity in the neighborhood. The use of
built environment structures was associated with the perceived number of
available structures (B = 0.34, 95% CI 0.31, 0.37, p < 0.001) and their perceived
condition (B = 0.19, 95% CI 0.12, 0.27, p = 0.001), but not with perceived safety
(B = 0.00, 95% CI -0.01, 0.01, p = 0.7). CONCLUSIONS: In this sample of
underserved families, perceived availability and condition of built environment
structures were associated with use rather than perceived safety. To encourage
physical activity among underserved families, communities need to invest in the
condition and availability of built environment structures. TRIAL REGISTRATION:
Registered at ClinicalTrials.gov ( NCT01316653 ) on March 11, 2011.
PMID- 27876040
TI - Botulinum toxin treatment for essential palatal tremors presenting with nasal
clicks instead of pulsatile tinnitus: a case report.
AB - BACKGROUND: In this study, we report a rare case of an adult patient with
essential palatal tremors (EPT) presenting as nasal clicks, instead of otic
clicks or objective pulsatile tinnitus in common EPT. CASE PRESENTATION: Nasal
endoscopic examination and EMG recordings of the soft palate muscles were
performed to confirm the source of the clicks. Initial treatment with lidocaine
provided symptomatic relief for four hours. The patient was then treated with
four simultaneous injections of 12.5 U of botulinum toxin in four different sites
of the soft palate. Palatal tremors and clicks completely disappeared within
three months of treatment. CONCLUSIONS: To our knowledge, this is the first case
of EPT that presented with nasal clicks. We recommend that otolaryngologists
should expect this rare occurrence in the clinical setting, and handle patients
presenting with such symptoms with care and compassion in order not to worsen
their psychological status.
PMID- 27876041
TI - Time series analysis of malaria in Afghanistan: using ARIMA models to predict
future trends in incidence.
AB - BACKGROUND: Malaria remains endemic in Afghanistan. National control and
prevention strategies would be greatly enhanced through a better ability to
forecast future trends in disease incidence. It is, therefore, of interest to
develop a predictive tool for malaria patterns based on the current passive and
affordable surveillance system in this resource-limited region. METHODS: This
study employs data from Ministry of Public Health monthly reports from January
2005 to September 2015. Malaria incidence in Afghanistan was forecasted using
autoregressive integrated moving average (ARIMA) models in order to build a
predictive tool for malaria surveillance. Environmental and climate data were
incorporated to assess whether they improve predictive power of models. RESULTS:
Two models were identified, each appropriate for different time horizons. For
near-term forecasts, malaria incidence can be predicted based on the number of
cases in the four previous months and 12 months prior (Model 1); for longer-term
prediction, malaria incidence can be predicted using the rates 1 and 12 months
prior (Model 2). Next, climate and environmental variables were incorporated to
assess whether the predictive power of proposed models could be improved.
Enhanced vegetation index was found to have increased the predictive accuracy of
longer-term forecasts. CONCLUSION: Results indicate ARIMA models can be applied
to forecast malaria patterns in Afghanistan, complementing current surveillance
systems. The models provide a means to better understand malaria dynamics in a
resource-limited context with minimal data input, yielding forecasts that can be
used for public health planning at the national level.
PMID- 27876039
TI - Evidence of a multiple insecticide resistance in the malaria vector Anopheles
funestus in South West Nigeria.
AB - BACKGROUND: Knowing the extent and spread of insecticide resistance in malaria
vectors is vital to successfully manage insecticide resistance in Africa. This
information in the main malaria vector, Anopheles funestus sensu stricto, is
completely lacking in the most populous country in Africa, Nigeria. This study
reports the insecticide susceptibility status and the molecular basis of
resistance of An. funestus as well as its involvement in malaria transmission in
Akaka-Remo, a farm settlement village in southwest Nigeria. RESULTS: Plasmodium
infection analysis using TaqMan protocol coupled with a nested PCR revealed an
infection rate of 8% in An. funestus s.s. from Akaka-Remo. WHO susceptibility
tests showed this species has developed multiple resistance to insecticides in
the study area. Anopheles funestus s.s. population in Akaka-Remo is highly
resistant to organochlorines: dieldrin (8%) and DDT (10%). Resistance was also
observed against pyrethroids: permethrin (68%) and deltamethrin (87%), and the
carbamate bendiocarb (84%). Mortality rate with DDT slightly increased (from 10
to 30%, n = 45) after PBO pre-exposure indicating that cytochrome P450s play
little role in DDT resistance while high mortalities were recorded after PBO pre
exposure with permethrin (from 68 to 100%, n = 70) and dieldrin (from 8 to 100%,
n = 48) suggesting the implication of P450s in the observed permethrin and
dieldrin resistance. High frequencies of resistant allele, 119F in F0 (77%) and
F1 (80% in resistant and 72% in susceptible) populations with an odd ratio of
1.56 (P = 0.1859) show that L119F-GSTe2 mutation is almost fixed in the
population. Genotyping of the A296S-RDL mutation in both F0 and F1 samples shows
an association with dieldrin resistance with an odd ratio of 81 (P < 0.0001)
(allelic frequency (R) = 76% for F0; for F1, 90 and 10% were observed in
resistant and susceptible populations, respectively) as this mutation is not yet
fixed in the population. CONCLUSION: The study reports multiple insecticide
resistance in An. funestus from Akaka Remo. It is, therefore, necessary to pay
more attention to this major malaria vector for effective malaria control in
Nigeria.
PMID- 27876042
TI - The effect of screw thread length on initial stability of Schatzker type 1 tibial
plateau fracture fixation: a biomechanical study.
AB - BACKGROUND: This study compares the cyclic loading properties and failure loads
of two screw combinations on a synthetic Schatzker type 1 tibia fracture model.
Our hypothesis was that after adequate compression with first a partially
threaded screw, addition of a fully threaded screw would provide more stability
than an addition of a second partially threaded screw. METHODS: The Schatzker
type 1 tibial plateau fracture model was created. Fixation was obtained in group
A (n = 10) with two partially threaded screws and in group B (n = 10) with one
fully threaded screw and one partially threaded screw. Load-displacement
evaluation was made at each 1000-cycle interval up to 10,000 cycles. Failure load
was identified as the load creating a 2-mm displacement. Two-factor (groups and
periods) repeated measurement analysis of variance and independent sample t tests
were used. RESULTS: According to the two-factor repeated analysis, there was no
significant difference for periods (p = 0.29) and time-period interaction (p =
0.59) (Wilk's Lambda F value, 1.507 and 0.871, respectively). In the test of
between-subject effects, there was no significant difference between groups in
terms of cyclic loadings (p = 0.06, F = 4.065). However, in the t test for each
1000-cycle interval, the value of mean displacement in group B was significantly
lower than that in group A in the initial, 1000-, 2000-, and 3000-cycle intervals
(p = 0.023, 0.031, 0.025, 0.043, respectively). The mean displacement and
standard deviations increased with the number of cycles. The mean range of
displacement initially was 0.66 mm for group A and 0.36 mm for group B. The mean
range of displacement after 10,000 cycles was 0.79 mm for group A and 0.44 mm for
group B. The mean failure load value was 682 +/- 234 N for group A and 835 +/-
245 N for group B. In independent sample t tests, there were no significant
differences between the two groups in terms of failure load (p > 0.05).
CONCLUSIONS: Obtaining fixation with one partially and one fully threaded screw
can minimize displacement at the fracture site at early cyclic loadings.
PMID- 27876043
TI - Medical education in a foreign language and history-taking in the native language
in Lebanon - a nationwide survey.
AB - BACKGROUND: With the adoption of the English language in medical education, a gap
in clinical communication may develop in countries where the native language is
different from the language of medical education. This study investigates the
association between medical education in a foreign language and students'
confidence in their history-taking skills in their native language. METHODS: This
cross-sectional study consisted of a 17-question survey among medical students in
clinical clerkships of Lebanese medical schools. The relationship between the
language of medical education and confidence in conducting a medical history in
Arabic (the native language) was evaluated (n = 457). RESULTS: The majority
(88.5%) of students whose native language was Arabic were confident they could
conduct a medical history in Arabic. Among participants enrolled in the first
clinical year, high confidence in Arabic history-taking was independently
associated with Arabic being the native language and with conducting medical
history in Arabic either in the pre-clinical years or during extracurricular
activities. Among students in their second clinical year, however, these factors
were not associated with confidence levels. CONCLUSIONS: Despite having their
medical education in a foreign language, the majority of students in Lebanese
medical schools are confident in conducting a medical history in their native
language.
PMID- 27876044
TI - Generation of monoclonal antibodies against native viral proteins using antigen
expressing mammalian cells for mouse immunization.
AB - BACKGROUND: Due to their rising incidence and progressive geographical spread,
infections with mosquito-borne viruses, such as dengue (DENV), chikungunya and
zika virus, have developed into major public health challenges. Since all of
these viruses may cause similar symptoms and can occur in concurrent epidemics,
tools for their differential diagnosis and epidemiological monitoring are of
urgent need. RESULTS: Here we report the application of a novel strategy to
rapidly generate monoclonal antibodies (mAbs) against native viral antigens,
exemplified for the DENV nonstructural glycoprotein 1 (NS1). The described system
is based on the immunization of mice with transfected mammalian cells expressing
the target antigens in multiple displays on their cell surface and thereby
presenting them efficiently to the host immune system in their native
conformation. By applying this cell-based approach to the DENV NS1 protein of
serotypes 1 (D1NS1) and 4 (D4NS1), we were able to rapidly generate panels of
DENV NS1 serotype cross-reactive, as well as D1NS1- and D4NS1 serotype-specific
mAbs. Our data show that the generated mAbs were capable of recognizing the
endogenous NS1 protein in DENV-containing biological samples. CONCLUSION: The use
of this novel immunization strategy, allows for a fast and efficient generation
of hybridoma cell lines, producing mAbs against native viral antigens. Envisaged
applications of the mAbs include the development of test platforms enabling a
differentiation of the DENV serotypes and high resolution immunotyping for
epidemiological studies.
PMID- 27876045
TI - Seroprevalence of avian hepatitis E virus and avian leucosis virus subgroup J in
chicken flocks with hepatitis syndrome, China.
AB - BACKGROUND: From 2014 to 2015 in China, many broiler breeder and layer hen flocks
exhibited a decrease in egg production and some chickens developed hepatitis
syndrome including hepatomegaly, hepatic necrosis and hemorrhage. Avian hepatitis
E virus (HEV) and avian leucosis virus subgroup J (ALV-J) both cause decreasing
in egg production, hepatomegaly and hepatic hemorrhage in broiler breeder and
layer hens. In the study, the seroprevalence of avian HEV and ALV-J in these
flocks emerging the disease from Shandong and Shaanxi provinces were
investigated. RESULTS: A total of 1995 serum samples were collected from 14
flocks with hepatitis syndrome in Shandong and Shaanxi provinces, China.
Antibodies against avian HEV and ALV-J in these serum samples were detected using
iELISAs. The seroprevalence of anti-avian HEV antibodies (35.09%) was
significantly higher than that of anti-ALV-J antibodies (2.16%) (p = 0.00).
Moreover, the 43 serum samples positive for anti-ALV-J antibodies were all also
positive for anti-avian HEV antibodies. In a comparison of both provinces,
Shandong chickens exhibited a significantly higher seroprevalence of anti-avian
HEV antibodies (42.16%) than Shaanxi chickens (26%) (p = 0.00). In addition, the
detection of avian HEV RNA and ALV-J cDNA in the liver samples from the flocks of
two provinces also showed the same results of the seroprevalence. CONCLUSIONS: In
the present study, the results showed that avian HEV infection is widely
prevalent and ALV-J infection is endemic in the flocks with hepatitis syndrome
from Shandong and Shaanxi provinces of China. These results suggested that avian
HEV infection may be the major cause of increased egg drop and hepatitis syndrome
observed during the last 2 years in China. These results should be useful to
guide development of prevention and control measures to control the diseases
within chicken flocks in China.
PMID- 27876047
TI - Effects of longitudinal changes in Charlson comorbidity on prognostic survival
model performance among newly diagnosed patients with hypertension.
AB - BACKGROUND: To assess the use of updated comorbidity information over time on
ability to predict mortality among adults with newly diagnosed hypertension.
METHODS: We studied adults 18 years and older with an incident diagnosis of
hypertension from Alberta, Canada. We compared the prognostic performance of Cox
regression models using Charlson comorbidities as time-invariant covariates at
baseline (TIC) versus models including Charlson comorbidities as time-varying
covariates (TVC) using Akaike Information Criterion (AIC) for testing goodness of
fit. RESULTS: The strength of the association between important prognostic
clinical variables and mortality varied by modeling technique; for example,
myocardial infarction was less strongly associated with mortality in the TIC
model (Hazard Ratio 1.07; 95% Confidence Interval (CI): 1.05 to 1.1) than in the
TVC model (HR 1.20; 95% CI: 1.18 to 1.22). All TVC models slightly outperformed
TIC models, regardless of the method used to adjust for comorbid conditions
(individual Charlson Comorbidities, count of comorbidities or indices). The TVC
model including all 17 Charlson comorbidities as individual independent variables
showed the best fit and performance. CONCLUSION: Accounting for changes in
patient comorbidity status over time more accurately captures a patient's health
risk and slightly improves predictive model fit and performance than traditional
methods using TIC assessment.
PMID- 27876048
TI - An integrated approach to care attracts people living with HIV who use illicit
drugs in an urban centre with a concentrated HIV epidemic.
AB - BACKGROUND: People living with HIV (PLHIV) who are also marginalized by social
and structural inequities often face barriers to accessing and adhering to HIV
treatment and care. The Dr. Peter Centre (DPC) is a non-profit integrated care
facility with a supervised injection room that serves PLHIV experiencing multiple
barriers to social and health services in Vancouver, Canada. This study examines
whether the DPC is successful in drawing in PLHIV with complex health issues,
including addiction. METHODS: Using data collected by the Longitudinal
Investigations into Supportive and Ancillary health services (LISA) study from
July 2007 to January 2010, linked with clinical variables available through the
British Columbia Centre for Excellence in HIV/AIDS Drug Treatment Program, we
identified DPC and non-DPC clients with a history of injection drug use.
Bivariable and multivariable logistic regression analyses compared socio
demographic and clinical characteristics of DPC clients (n = 76) and non-DPC
clients (n = 482) with a history of injection drug use. RESULTS: Of the 917 LISA
participants included within this analysis, 100 (10.9%) reported being a DPC
client, of which 76 reported a history of injection drug use. Adjusted results
found that compared to non-DPC clients with a history of injection drug use, DPC
clients were more likely to be male (AOR: 4.18, 95% CI = 2.09-8.37); use
supportive services daily vs. less than daily (AOR: 3.16, 95% CI = 1.79-5.61); to
have been diagnosed with a mental health disorder (AOR: 2.11; 95% CI: 1.12-3.99);
to have a history of interpersonal violence (AOR: 2.76; 95% CI: 1.23-6.19); and
to have ever experienced ART interruption longer than 1 year (AOR: 2.39; 95% CI:
1.38-4.15). CONCLUSIONS: Our analyses suggest that the DPC operating care model
engages PLHIV with complex care needs, highlighting that integrated care
facilities are needed to support the multiple intersecting vulnerabilities faced
by PLHIV with a history of injection drug use living within urban centres in
North America and beyond.
PMID- 27876046
TI - Increasing insecticide resistance in Anopheles funestus and Anopheles arabiensis
in Malawi, 2011-2015.
AB - BACKGROUND: Susceptibility of principal Anopheles malaria vectors to common
insecticides was monitored over a 5-year period across Malawi to inform and guide
the national malaria control programme. METHODS: Adult blood-fed Anopheles spp.
and larvae were collected from multiple sites in sixteen districts across the
country between 2011 and 2015. First generation (F1) progeny aged 2-5 days old
were tested for susceptibility, using standard WHO procedures, against
pyrethroids (permethrin and deltamethrin), carbamates (bendiocarb and propoxur),
organophosphates (malathion and pirimiphos-methyl) and an organochlorine (DDT).
RESULTS: Mortality of Anopheles funestus to deltamethrin, permethrin, bendiocarb
and propoxur declined significantly over the 5-year (2011-2015) monitoring
period. There was wide variation in susceptibility to DDT but it was not
associated with time. In contrast, An. funestus exhibited 100% mortality to the
organophosphates (malathion and pirimiphos-methyl) at all sites tested. There was
reduced mortality of Anopheles arabiensis to deltamethrin over time though this
was not statistically significant. However, mortality of An. arabiensis exposed
to permethrin declined significantly over time. Anopheles arabiensis exposed to
DDT were more likely to be killed if there was high ITN coverage in the mosquito
collection area the previous year. There were no other associations between
mosquito mortality in a bioassay and ITN coverage or IRS implementation.
Mortality of An. funestus from four sites exposed to deltamethrin alone ranged
from 2 to 31% and from 41 to 94% when pre-exposed to the synergist piperonyl
butoxide followed by deltamethrin. For permethrin alone, mortality ranged from 2
to 13% while mortality ranged from 63 to 100% when pre-exposed to PBO.
CONCLUSION: Pyrethroid resistance was detected in An. funestus and An. arabiensis
populations across Malawi and has worsened over the last 5 years. New
insecticides and control strategies are urgently needed to reduce the burden of
malaria in Malawi.
PMID- 27876049
TI - Geographical predisposition influences on the distribution and tissue
characterisation of eccentric coronary plaques in non-branching coronary
arteries: cross-sectional study of coronary plaques analysed by intravascular
ultrasound.
AB - BACKGROUND: We investigated the influence of geographical predisposition on the
spatial distribution and composition of coronary plaques. METHODS: Thirty
coronary arteries were evaluated. A total of 1441 cross-sections were collected
from intravascular ultrasound (IVUS) and radio-frequency signal-based virtual
histology (VH-IVUS) imaging. To exclude complex geographical effects of side
branches and to localise the plaque distribution, we analysed only eccentric
plaques in non-branching regions. The spatial distribution of eccentric plaques
in the coronary artery was classified into myocardial, lateral, and epicardial
regions. The composition of eccentric plaques was analysed using VH-IVUS.
RESULTS: The plaque was concentric in 723 sections (50.2%) and eccentric in 718
(49.9%). Eccentric plaques were more frequently distributed towards the
myocardial side than towards the epicardial side (46.7 +/- 7.5% vs. 12.5 +/-
4.2%, p = 0.003). No significant difference was observed between the myocardial
and lateral sides (46.7 +/- 7.5% vs. 20.8 +/- 5.0%) or between the lateral and
epicardial sides. Eccentric thin-capped fibroatheromas were more frequently
distributed towards the myocardial side than towards the lateral side (p = 0.024)
or epicardial side (p = 0.005). CONCLUSION: Geographical predisposition is
associated with distribution, tissue characterisation, and vulnerability of
plaques in non-branching coronary arteries.
PMID- 27876050
TI - Studies on mosquito biting risk among migratory rice farmers in rural south
eastern Tanzania and development of a portable mosquito-proof hut.
AB - BACKGROUND: Subsistence rice farmers in south-eastern Tanzania are often
migratory, spending weeks or months tending to crops in distant fields along the
river valleys and living in improvised structures known as Shamba huts, not fully
protected from mosquitoes. These farmers also experience poor access to organized
preventive and curative services due to long distances. Mosquito biting exposure
in these rice fields, relative to main village residences was assessed, then a
portable mosquito-proof hut was developed and tested for protecting these
migratory farmers. METHODS: Pair-wise mosquito surveys were conducted in four
villages in Ulanga district, south-eastern Tanzania in 20 randomly-selected
Shamba huts located in the distant rice fields and in 20 matched houses within
the main villages, to assess biting densities and Plasmodium infection rates. A
portable mosquito-proof hut was designed and tested in semi-field and field
settings against Shamba hut replicas, and actual Shamba huts. Also, semi
structured interviews were conducted, timed-participant observations, and focus
group discussions to assess experiences and behaviours of the farmers regarding
mosquito-bites and the mosquito-proof huts. RESULTS: There were equal numbers of
mosquitoes in Shamba huts and main houses [RR (95% CI) 27 (25.1-31.2), and RR
(95% CI) 30 (27.5-33.4)], respectively (P > 0.05). Huts having >1 occupant had
more mosquitoes than those with just one occupant, regardless of site [RR (95%
CI) 1.57 (1.30-1.9), P < 0.05]. Open eaves [RR (95% CI) 1.15 (1.08-1.23), P <
0.05] and absence of window shutters [RR (95% CI) 2.10 (1.91-2.31), P < 0.05]
increased catches of malaria vectors. All Anopheles mosquitoes caught were
negative for Plasmodium. Common night-time outdoor activities in the fields
included cooking, eating, fetching water or firewood, washing dishes, bathing,
and storytelling, mostly between 6 and 11 p.m., when mosquitoes were also biting
most. The prototype hut provided 100% protection in semi-field and field
settings, while blood-fed mosquitoes were recaptured in Shamba huts, even when
occupants used permethrin-impregnated bed nets. CONCLUSION: Though equal numbers
of mosquitoes were caught between main houses and normal Shamba huts, the higher
proportions of blood-fed mosquitoes, reduced access to organized healthcare and
reduced effectiveness of LLINs, may increase vulnerability of the itinerant
farmers. The portable mosquito-proof hut offered sufficient protection against
disease-transmitting mosquitoes. Such huts could be improved to expand protection
for migratory farmers and possibly other disenfranchised communities.
PMID- 27876052
TI - Implementing the United Kingdom's ten-year teenage pregnancy strategy for England
(1999-2010): How was this done and what did it achieve?
AB - BACKGROUND: In 1999, the UK Labour Government launched a 10-year Teenage
Pregnancy Strategy for England to address the country's historically high rates
and reduce social exclusion. The goal was to halve the under-18 conception rate.
This study explores how the strategy was designed and implemented, and the
features that contributed to its success. METHODS: This study was informed by
examination of the detailed documentation of the strategy, published throughout
its 10-year implementation. RESULTS: The strategy involved a comprehensive
programme of action across four themes: joined up action at national and local
level; better prevention through improved sex and relationships education and
access to effective contraception; a communications campaign to reach young
people and parents; and coordinated support for young parents (The support
programme for young parents was an important contribution to the strategy. In the
short term by helping young parents prevent further unplanned pregnancies and, in
the long term, by breaking intergenerational cycles of disadvantage and lowering
the risk of teenage pregnancy.). It was implemented through national, regional
and local structures with dedicated funding for the 10-year duration. The under
18 conception rate reduced steadily over the strategy's lifespan. The 2014 under
18 conception rate was 51% lower than the 1998 baseline and there have been
significant reductions in areas of high deprivation. One leading social
commentator described the strategy as 'The success story of our time' (Toynbee,
The drop in teenage pregnancies is the success story of our time, 2013).
CONCLUSIONS: As rates of teenage pregnancy are influenced by a web of inter
connected factors, the strategy was necessarily multi-faceted in its approach. As
such, it is not possible to identify causative pathways or estimate the relative
contributions of each constituent part. However, we conclude that six key
features contributed to the success: creating an opportunity for action;
developing an evidence based strategy; effective implementation; regularly
reviewing progress; embedding the strategy in wider government programmes; and
providing leadership throughout the programme. The learning remains relevant for
the UK as England's teenage birth rate remains higher than in other Western
European countries. It also provides important lessons for governments and policy
makers in other countries seeking to reduce teenage pregnancy rates.
PMID- 27876051
TI - Dietary marine-derived long-chain monounsaturated fatty acids and cardiovascular
disease risk: a mini review.
AB - Regular fish/fish oil consumption is widely recommended for protection against
cardiovascular diseases (CVD). Fish and other marine life are rich sources of the
cardioprotective long-chain n-3 polyunsaturated fatty acids (n-3 PUFA)
eicosapentaenoic acid (C20:5 n-3; EPA) and docosahexaenoic acid (C22:6 n-3; DHA).
The lipid content and fatty acid profile of fish, however, vary greatly among
different fish species. In addition to n-3 PUFA, certain fish, such as saury,
pollock, and herring, also contain high levels of long-chain monounsaturated
fatty acids (LCMUFA), with aliphatic tails longer than 18 C atoms (i.e., C20:1
and C22:1 isomers). Compared with well-studied n-3 PUFA, limited information,
however, is available on the health benefits of marine-derived LCMUFA,
particularly in regard to CVD. Our objective in this review is to summarize the
current knowledge and provide perspective on the potential therapeutic value of
dietary LCMUFA-rich marine oil for improving CVD risk factors. We will also
review the possible mechanisms of LCMUFA action on target tissues. Finally, we
describe the epidemiologic data and small-scaled clinical studies that have been
done on marine oils enriched in LCMUFA. Although there are still many unanswered
questions about LCMUFA, this appears to be promising new area of research that
may lead to new insights into the health benefits of a different component of
fish oils besides n-3 PUFA.
PMID- 27876053
TI - Three years follow-up after cryoablation of a right atrial myxoma arising from
the Koch's triangle.
AB - We reported 3 years ago the use of cryoablation in the treatment of a right
atrium myxoma arising from the Koch's triangle. The atrioventricular conduction
was successfully preserved. Today, after 3 years follow-up, the patient remains
with a conducted sinus rhythm and is free of recurrence. Even if extensive
resection of the stack of the myxoma remains the first choice attitude,
cryoablation could be considered as a serious second choice alternative.
PMID- 27876054
TI - Engineering an inducible gene expression system for Bacillus subtilis from a
strong constitutive promoter and a theophylline-activated synthetic riboswitch.
AB - BACKGROUND: Synthetic riboswitches have been increasingly used to control and
tune gene expression in diverse organisms. Although a set of theophylline
responsive riboswitches have been developed for bacteria, fully functional
expression elements mediated by synthetic riboswitches in Bacillus subtilis are
rarely used because of the host-dependent compatibility between the promoters and
riboswitches. RESULTS: A novel genetic element composed of the promoter P43 and a
theophylline-riboswitch was developed and characterized in B. subtilis. When
combined with a P43 promoter (P43'-riboE1), the theophylline-riboswitch
successfully switched the constitutive expression pattern of P43 to an induced
pattern. The expression mediated by the novel element could be activated at the
translational level by theophylline with a relatively high induction ratio. The
induction ratios for P43'-riboE1 by 4-mM theophylline were elevated during the
induction period. The level of induced expression was dependent on the
theophylline dose. Correspondingly, the induction ratios gradually increased in
parallel with the elevated dose of theophylline. Importantly, the induced
expression level was higher than three other strong constitutive promoters
including PsrfA, PaprE, and the native P43. It was found that the distance
between the SD sequence within the expression element and the start codon
significantly influenced both the level of induced expression and the induction
ratio. A 9-bp spacer was suitable for producing desirable expression level and
induction ratio. Longer spacer reduced the activation efficiency. Importantly,
the system successfully overexpressed beta-glucuronidase at equal levels, and
induction ratio was similar to that of GFP. CONCLUSION: The constructed
theophylline-inducible gene expression system has broad compatibility and
robustness, which has great potential in over-production of pharmaceutical and
industrial proteins and utilization in building more complex gene circuits.
PMID- 27876055
TI - Methanolic extract of Agerantum conyzoides exhibited toxicity and growth
disruption activities against Anopheles gambiae sensu stricto and Anopheles
arabiensis larvae.
AB - BACKGROUND: Vector control remains the mainstay to effective malaria management.
The negative implications following persistent application of synthetic
insecticides geared towards regulation of mosquito populations have necessitated
prospection for ecofriendly effective chemistries. Plant-derived compounds have
the potential to control malaria-transmitting mosquito populations. Previously,
Agerantum conyzoides extracts have demonstrated toxicity effects on disease
transmitting mosquitoes. However, their efficacy in controlling Afrotropical
malaria vectors remains unclear. Herein, the toxicity and growth disruption
activities of crude methanolic leaf extract of A. conyzoides on Anopheles gambiae
sensu stricto and An. arabiensis larvae were assessed. METHODS: Late third (L3)
instars of An. gambiae s.s and An. arabiensis larvae were challenged with
increasing doses of crude methanolic extract of A. conyzoides. The larval
mortality rates were recorded every 24 h and the LC50 values determined at their
associated 95% confidence levels. ANOVA followed by Post-hoc Student-Newman-Keuls
(SNK) test was used to compare results between treatment and control groups.
Phytochemical profiling of the extract was performed using standard chemical
procedures. RESULTS: Treatment of larvae with the methanolic extract depicted
dose-dependent effects with highest mortality percentages of >= 69% observed when
exposed with 250 ppm and 500 ppm for 48 h while growth disruption effects were
induced by sublethal doses of between 50-100 ppm for both species. Relative to
experimental controls, the extract significantly reduced larval survival in both
mosquito species (ANOVA, F(8,126) = 43.16776, P < 0.001). The LC50 values of the
extract against An. gambiae s.s ranged between 84.71-232.70 ppm (95% CI 81.17
239.20), while against An. arabiensis the values ranged between 133.46-406.35 ppm
(95% CI 131.51-411.25). The development of the juvenile stages was arrested at
pupal-larval intermediates and adult emergence. The presence of alkaloids,
aglycone flavonoids, triterpenoids, tannins and coumarins can partly be
associated with the observed effects. CONCLUSION: The extract displayed
considerable larvicidal activity and inhibited emergence of adult mosquitoes
relative to experimental controls, a phenomenon probably associated with induced
developmental hormone imbalance. Optimization of the bioactive compounds could
open pathways into vector control programmes for improved mosquito control and
reduced malaria transmission rates.
PMID- 27876056
TI - Post universal health coverage trend and geographical inequalities of mortality
in Thailand.
AB - BACKGROUND: Thailand has achieved remarkable improvement in health status since
the achievement of universal health coverage in 2002. Health equity has improved
significantly. However, challenges on health inequity still remain.This study
aimed to determine the trends of geographical inequalities in disease specific
mortality in Thailand after the country achieved universal health coverage.
METHODS: National vital registration data from 2001 to 2014 were used to
calculate age-adjusted mortality rate and standardized mortality ratio (SMR). To
minimize large variations in mortality across administrative districts, the
adjacent districts were systematically grouped into "super-districts" by taking
into account the population size and proximity. Geographical mortality inequality
among super-districts was measured by the coefficient of variation. Mixed effects
modeling was used to test the difference in trends between super-districts.
RESULTS: The overall SMR steadily declined from 1.2 in 2001 to 0.9 in 2014. The
upper north and upper northeast regions had higher SMR whereas Greater Bangkok
achieved the lowest SMR. Decreases in SMR were mostly seen in Greater Bangkok and
the upper northern region. Coefficient of variation of SMR rapidly decreased from
20.0 in 2001 to 12.5 in 2007 and remained close to this value until 2014. The
mixed effects modelling revealed significant differences in trends of SMR across
super-districts. Inequality in mortality declined among adults (>=15 years old)
but increased in children (0-14 years old). A declining trend in inequality of
mortality was seen in almost all regions except Greater Bangkok where the
inequality in SMR remained high throughout the study period. CONCLUSIONS: A
decline in the adult mortality inequality across almost all regions of Thailand
followed universal health coverage. Inequalities in child mortality rates and
among residents of Greater Bangkok need further exploration.
PMID- 27876057
TI - Bridging the gap between clinicians and systems biologists: from network biology
to translational biomedical research.
AB - With the wealth of data accumulated from completely sequenced genomes and other
high-throughput experiments, global studies of biological systems, by
simultaneously investigating multiple biological entities (e.g. genes,
transcripts, proteins), has become a routine. Network representation is
frequently used to capture the presence of these molecules as well as their
relationship. Network biology has been widely used in molecular biology and
genetics, where several network properties have been shown to be functionally
important. Here, we discuss how such methodology can be useful to translational
biomedical research, where scientists traditionally focus on one or a small set
of genes, diseases, and drug candidates at any one time. We first give an
overview of network representation frequently used in biology: what nodes and
edges represent, and review its application in preclinical research to date.
Using cancer as an example, we review how network biology can facilitate system
wide approaches to identify targeted small molecule inhibitors. These types of
inhibitors have the potential to be more specific, resulting in high efficacy
treatments with less side effects, compared to the conventional treatments such
as chemotherapy. Global analysis may provide better insight into the overall
picture of human diseases, as well as identify previously overlooked problems,
leading to rapid advances in medicine. From the clinicians' point of view, it is
necessary to bridge the gap between theoretical network biology and practical
biomedical research, in order to improve the diagnosis, prevention, and treatment
of the world's major diseases.
PMID- 27876059
TI - A prospective observational cohort study in primary care practices to identify
factors associated with treatment failure in Staphylococcus aureus skin and soft
tissue infections.
AB - BACKGROUND: The incidence of outpatient visits for skin and soft tissue
infections (SSTIs) has substantially increased over the last decade. The
emergence of community-associated methicillin-resistant Staphylococcus aureus (CA
MRSA) has made the management of S. aureus SSTIs complex and challenging. The
objective of this study was to identify risk factors contributing to treatment
failures associated with community-associated S. aureus skin and soft tissue
infections SSTIs. METHODS: This was a prospective, observational study among 14
primary care clinics within the South Texas Ambulatory Research Network. The
primary outcome was treatment failure within 90 days of the initial visit.
Univariate associations between the explanatory variables and treatment failure
were examined. A generalized linear mixed-effect model was developed to identify
independent risk factors associated with treatment failure. RESULTS: Overall, 21%
(22/106) patients with S. aureus SSTIs experienced treatment failure. The
occurrence of treatment failure was similar among patients with methicillin
resistant S. aureus and those with methicillin-susceptible S. aureus SSTIs (19
vs. 24%; p = 0.70). Independent predictors of treatment failure among cases with
S. aureus SSTIs was a duration of infection of >=7 days prior to initial visit
[aOR, 6.02 (95% CI 1.74-19.61)] and a lesion diameter size >=5 cm [5.25 (1.58
17.20)]. CONCLUSIONS: Predictors for treatment failure included a duration of
infection for >=7 days prior to the initial visit and a wound diameter of >=5 cm.
A heightened awareness of these risk factors could help direct targeted
interventions in high-risk populations.
PMID- 27876058
TI - A novel non-Hodgkin lymphoma murine model closer to the standard clinical
scenario.
AB - BACKGROUND: Non-Hodgkin lymphomas (NHL) are the most frequent hemato-oncological
malignancies. Despite recent major advances in treatment, a substantial
proportion of patients relapses highlighting the need for new therapeutic
modalities. Promissory results obtained in pre-clinical studies are usually not
translated when moving into clinical trials. Pre-clinical studies are mainly
conducted in animals with high tumor burden; instead patients undergo
chemotherapy as first line of treatment and most likely are under remission when
immunotherapies are applied. Thus, an animal model that more closely resembles
patients' conditions would be a valuable tool. METHODS: BALB/c mice were injected
subcutaneously with A20 lymphoma cells and after tumor development different
doses of chemotherapy were assessed to find optimal conditions for minimal
residual disease (MRD) establishment. Tumor growth and survival, as well as drugs
side effects, were all evaluated. Complete lymphoma remission was monitored in
vivo using positron emission tomography (PET), and the results were correlated
with histology. Immunological status was assessed by splenocytes proliferation
assays in NHL-complete remission mice and by analyzing tumor cell infiltrates and
chemokines/cytokines gene expression in the tumor microenvironment of animals
with residual lymphoma. RESULTS: Two cycles of CHOP chemotherapy at days 25 and
35 post-tumor implantation induced complete remission for around 20 days. PET
showed to be a suitable follow-up technique for MRD condition with 85.7 and 75%
of sensibility and specificity respectively. Proliferative responses upon mitogen
stimulation were similar in animals that received chemotherapy and wild type
mice. Tumors from animals with residual lymphoma showed higher numbers of CD4+
and CD8+ and similar numbers of NK, neutrophils and Tregs infiltrating cells as
compared with non-treated animals. Gene expression of several cytokines as well
as an array of chemokines associated with migration of activated T cells to tumor
sites was upregulated in the tumor microenvironment of animals that received
chemotherapy treatment. CONCLUSIONS: We established a NHL-B pre-clinical model
using standard chemotherapy to achieve MRD in immunocompetent animals. The MRD
condition is maintained for approximately 20 days providing a therapeutic window
of time where new immunotherapies can be tested in conditions closer to the
clinics.
PMID- 27876060
TI - 20-year follow-up study of Danish HHT patients-survival and causes of death.
AB - BACKGROUND: Hereditary Haemorrhagic Telangiectasia (HHT) is a dominantly
inheritable disorder, with a wide variety of clinical manifestations due to
presence of multiple arteriovenous manifestations. The most common mutations are
found in HHT1 (ENG) and HHT2 (ACVRL1) patients, causing alterations in the TGF
beta pathway which is responsible for angiogenesis. Modulations of angiogenesis
may influence cancer rates. The objective of the study was to evaluate 20-year
survival according to HHT subtype, as well as to evaluate differences in causes
of death comparing HHT patients and controls. We also wanted to investigate
whether cancer morbidity among HHT patients differs from that among controls.
RESULTS: We included all HHT patients in the County of Fyn, Denmark, prevalent as
of January 1st 1995 in total 73 HHT patients. In addition three age- and sex-
matched controls per HHT patient were evaluated, in total 218 controls (one was
lost due to registration failure). The controls were defined at start of follow
up in 1995. Information on lifestyle factors was not available. A total of 32
(44%) HHT patients and 97 (44%) controls passed away during follow-up. The
survival curves were evenly distributed showing similar survival rates in the two
groups. Cancer diagnoses had been registered in the follow-up period in 4 (5%)
HHT patients and in 38 (17%) controls. CONCLUSION: The mortality was not
increased among Danish HHT patients compared to controls. This study is based on
a clinical unselected series of HHT patients with the whole spectrum of severity,
independent of need for medical care. Our data also suggest that HHT patients to
a lesser degree than the background population are affected by cancer.
PMID- 27876061
TI - Associations of DNMT3B -149C>T and -2437T>A polymorphisms and lung cancer risk in
Chinese population.
AB - BACKGROUND: DNMT3B polymorphisms are associated with the susceptibility of lung
cancer. DNMT3B -2437T>A is a novel polymorphism, and its influence on the risk of
lung cancer in Chinese was investigated in this study. In addition, effect of
DNMT3B -149C>T polymorphism on lung cancer was also explored. METHODS: Genotyping
in subjects were performed by PCR-RFLP. Haplotype frequencies were estimated by
estimating haplotype software. Adjusted odds ratios (ORs) with 95% confidence
intervals (CIs) were calculated by unconditional logistic regression analysis.
RESULTS: Neither of the two polymorphisms was correlated with lung cancer (
149C>T: CT+TT vs CC: OR = 0.78, 95%CI, 0.57 to 1.05, P = 0.361; -2437T>A: AT+AA
vs TT: OR = 0.99, 95%CI, 0.74 to 1.33, P = 0.168). In stratification analysis, T
allele carrier genotype of -149C>T polymorphism resulted in a reduced lung cancer
risk at stage II, compared with CC (OR = 0.46, 95%CI, 0.28 to 0.77, P = 0.023).
In haplotype analysis, when -149C/-2437T was used as reference, the other
combined genotypes of the two polymorphisms had no significant effect on lung
cancer risk (P > 0.05). CONCLUSIONS: The two DNMT3B polymorphisms are not
correlated with lung cancer risk among Chinese population nor the haplotype of
them.
PMID- 27876062
TI - Elevated risk of incarceration among street-involved youth who initiate drug
dealing.
AB - BACKGROUND: Street-involved youth are known to be an economically vulnerable
population that commonly resorts to risky activities such as drug dealing to
generate income. While incarceration is common among people who use illicit drugs
and associated with increased economic vulnerability, interventions among this
population remain inadequate. Although previous research has documented the role
of incarceration in further entrenching youth in both the criminal justice system
and street life, less is known whether recent incarceration predicts initiating
drug dealing among vulnerable youth. This study examines the relationship between
incarceration and drug dealing initiation among street-involved youth. METHODS:
Between September 2005 and November 2014, data were collected through the At-Risk
Youth Study, a cohort of street-involved youth who use illicit drugs, in
Vancouver, Canada. An extended Cox model with time-dependent variables was used
to examine the relationship between recent incarceration and initiation into drug
dealing, controlling for relevant confounders. RESULTS: Among 1172 youth
enrolled, only 194 (16.6%) were drug dealing naive at baseline and completed at
least one additional study visit to facilitate the assessment of drug dealing
initiation. Among this sample, 56 (29%) subsequently initiated drug dealing. In
final multivariable Cox regression analysis, recent incarceration was
significantly associated with initiating drug dealing (adjusted hazard ratio =
2.31; 95% confidence interval (CI) 1.21-4.42), after adjusting for potential
confounders. Measures of recent incarceration lagged to the prior study follow-up
were not found to predict initiation of drug dealing (hazard ratio = 1.50; 95% CI
0.66-3.42). CONCLUSIONS: These findings suggest that among this study sample,
incarceration does not appear to significantly propel youth to initiate drug
dealing. However, the initiation of drug dealing among youth coincides with an
increased risk of incarceration and their consequent vulnerability to the
significant harms associated therein. Given that existing services tailored to
street-involved youth are inadequate, evidence-based interventions should be
invested and scaled up as a public health priority.
PMID- 27876063
TI - Early and delayed assessments of quantitative gait measures to improve the tap
test as a predictor of shunt effectiveness in idiopathic normal pressure
hydrocephalus.
AB - BACKGROUND: To improve the diagnostic performance of the cerebrospinal fluid
(CSF) tap test (TT), early and delayed assessments of gait were performed after
the removal of 30 ml of CSF in patients with probable idiopathic normal pressure
hydrocephalus. Assessments of gait included the 3-m timed up and go test (TUG),
and the 10-m walk in time (10Ti) and in step (10St) tests. METHODS: Quantitative
data for the TUG, the 10Ti, and the 10St were obtained before CSF removal and on
days 1 and 4 after removal of 30 ml CSF. CSF shunt surgery was performed in 61
patients within one month after the TT. The gait outcome was assessed 3 months
after surgery. The area under the curve (AUC), sensitivity, specificity, and
cutoff values were computed for the TUG, the 10Ti, and the 10St on day 1 and day
4 using receiver operating characteristic (ROC) curve analysis. RESULTS: The
positive response rate in three measures on day 4 was equal to or higher than the
values on day 1. Times were reduced significantly in the TUG and the 10mTi tests
between baseline and both days 1 and 4 after TT. No significant differences were
noted in the number of steps for the 10St test. The percent change in TUG on day
1 had the highest AUC value among all other variables (0.808). Although this was
not statistically different from other variables in the TUG and the 10Ti, it had
a good balance of high sensitivity (78.3%) and high specificity (80.0%), with a
cutoff value of 11.3%. The change in the measured value in the day 1 TUG had the
second highest AUC value at 0.770. The variables on day 4 tended to have high
specificities of around 90%, although their sensitivities were low. CONCLUSIONS:
The percent change of TUG on day 1 showed the highest diagnostic accuracy.
Delayed assessments on day 4 were not superior to those on day 1. Thus, the TUG
on day 1 is useful as a simple quantitative measure for predicting shunt
effectiveness.
PMID- 27876064
TI - Melatonin improves non-alcoholic fatty liver disease via MAPK-JNK/P38 signaling
in high-fat-diet-induced obese mice.
AB - BACKGROUND: Melatonin can regulate lipid metabolism, increase insulin
sensitivity, regulate glucose metabolism and reduce body weight. This study is
aimed to determine the effects and mechanism of action of melatonin on non
alcoholic fatty liver disease (NAFLD) in high-fat-diet (HFD) induced obese mice.
METHODS: NAFLD was induced by HFD in C57BL/6 mice. A total of 24 mice were
randomly assigned to 4 groups. Groups A and B were fed with HFD for 36 weeks
while groups C and D were fed with a regular diet (RD). During the last 12 weeks,
Groups A and C were treated with 10 mg/kg melatonin while Groups B and D were
treated with water in the same volume by intragastric administration. Body and
liver weight, blood glucose, serum transaminases and lipid levels, and markers of
hepatic inflammation were measured. Histological analyses were also performed on
liver tissue. RESULTS: After 12 weeks of treatment with melatonin, body weights
(Group A: before 53.11 +/- 0.72 vs after 12w treatment 39.48 +/- 0.74) and liver
weights (A 1.93 +/- 0.09 g vs B 2.92 +/- 0.19 g vs C 1.48 +/- 0.09 g vs D 1.49 +/
0.10 g), fasting plasma glucose, alanine transaminase (A 24.33 +/- 11.90 IU/L vs
B 60.80 +/- 10.18 IU/L vs C 13.01 +/- 3.49 IU/L vs D 16.62 +/- 2.00 IU/L), and
low-density cholesterol (A 0.24 +/- 0.06 mmol/L vs B 1.57 +/- 0.10 mmol/L vs C
0.28 +/- 0.06 mmol/L vs D 0.29 +/- 0.03 mmol/L) were significantly decreased in
HFD mice. HFD fed mice treated with melatonin showed significantly less liver
steatosis. Treatment of HFD fed mice with melatonin led to a significant decrease
in the expression of TNF-alpha, IL-1beta, and IL-6 measured using quantitative
real-time polymerase chain reaction (qRT-PCR). HFD fed mice demonstrated
increased phosphorylation of P38 and JNK1/2, which was reduced by melatonin
treatment. CONCLUSIONS: The study concluded that melatonin could improve NAFLD by
decreasing body weight and reduce inflammation in HFD induced obese mice by
modulating the MAPK-JNK/P38 signaling pathway.
PMID- 27876065
TI - Expression of adiponectin receptors in human and rat intervertebral disc cells
and changes in receptor expression during disc degeneration using a rat tail
temporary static compression model.
AB - BACKGROUND: Adipose tissue is a large endocrine organ known to secret
adiponectin, which has anti-diabetic, anti-atherogenic, and anti-inflammatory
properties. Adiponectin is widely involved in systemic disease, diabetes
mellitus, and cardiac infraction. This study aimed to investigate the involvement
of adiponectin in intervertebral disc (IVD) degeneration. METHODS: Adipose and
IVD tissues were obtained from human patients undergoing surgery (n = 4) and from
skeletally mature Sprague-Dawley rats (n = 21). Tissues were stained
immunohistochemically for adiponectin and adiponectin receptors AdipoR1 and
AdipoR2. Changes in adiponectin receptor expression with IVD degeneration
severity were then investigated using a rat tail temporary compression model. Rat
IVD tissues were stained immunohistochemically with AdipoR1 or AdipoR2, and
immunopositive cell percentages were calculated. Rat nucleus pulposus (NP) and
annulus fibrosus (AF) tissues were isolated separately and treated with
recombinant adiponectin (Ad 0.1 or 1.0 MUg/ml) and/or interleukin-1 beta (IL
1beta) (0.2 MUg/ml) for 24 h. The four groups were as follows: control group (no
treatment), IL-1beta group (IL-1beta-only treatment), IL-1beta+Ad (0.1) group (IL
1beta and adiponectin [0.1 MUg/ml] treatment), and IL-1beta+Ad (1.0) group (IL
1beta and adiponectin [1.0 MUg/ml]). Real-time reverse transcription-polymerase
chain reaction was performed to evaluate messenger-RNA (mRNA) expression of tumor
necrosis factor-alpha (TNF-alpha) and interleukin-6 (IL-6). RESULTS: Adiponectin
was widely expressed in human subcutaneous and epidural adipose tissue. In rat
IVD tissue, adiponectin was not observed in NP and AF. However, both AdipoR1 and
AdipoR2 were widely expressed in both human and rat IVD tissues, with no
significant differences in expression levels between receptors. Furthermore,
expression levels of AdipoR1 and AdipoR2 were gradually decreased with increased
IVD degeneration severity. Interestingly, mRNA expression levels of TNF-alpha and
IL-6 were significantly upregulated by IL-1beta stimulation. TNF-alpha expression
in the IL-1beta+Ad 1.0 group was significantly lower than that in the IL-1beta
group in both NP and AF cells (P < 0.05). Finally, IL-6 expression was not
affected by adiponectin treatment in IVD cells. CONCLUSIONS: This study
investigated for the first time the expression of adiponectin receptors in human
and rat IVD cells. The findings indicate that adiponectin produced by the
systemic or epidural adipose tissue may be involved in the pathomechanism of IVD
degeneration.
PMID- 27876066
TI - In vivo cardiovascular magnetic resonance of 2D vessel wall diffusion anisotropy
in carotid arteries.
AB - BACKGROUND: Diffusion weighted (DW) cardiovascular magnetic resonance (CMR) has
shown great potential to discriminate between healthy and diseased vessel tissue
by evaluating the apparent diffusion coefficient (ADC) along the arterial axis.
Recently, ex vivo studies on porcine arteries utilizing diffusion tensor imaging
(DTI) revealed a circumferential fiber orientation rather than an organization in
axial direction, suggesting dominant diffusion perpendicular to the slice
direction. In the present study, we propose a method to access tangential and
radial diffusion of carotids in vivo by utilizing a pulse sequence that enables
high resolution DW imaging in combination with a two-dimensional (2D) diffusion
gradient direction sampling scheme perpendicular to the longitudinal axis of the
artery. METHODS: High resolution DTI of 12 healthy male volunteers (age: 25-60
years) was performed on one selected axial slice using a read-out segmented EPI
(rs-EPI) sequence on a 3T MR scanner. RESULTS: It was found consistently for all
12 volunteers, that the tangential component as the principle direction of
diffusion. Mean vessel wall fractional anisotropy (FA) values ranged from 0.7 for
the youngest to 0.56 for the oldest participant. Linear regression analysis
between the FA values and volunteers age revealed a highly significant (P < 0.01)
linear relationship with an adjusted R2 of 0.52. In addition, a linear trend (P <
0.1) could be observed between radial diffusivity (RD) and age. CONCLUSION: These
results point to FA being a sensitive parameter able to capture changes in the
vascular architecture with age. In detail, the data demonstrate a decrease in FA
with advancing age indicating possible alterations of tissue microstructural
integrity. Moreover, analyzing 2D diffusion tensor directions is sufficient and
applicable in a clinical setup concerning the overall scan time.
PMID- 27876068
TI - Erratum to: Comparison of radiological spino-pelvic sagittal parameters in skiers
and non-athletes.
PMID- 27876067
TI - Correlation between clinical and MRI assessment of depth of invasion in oral
tongue squamous cell carcinoma.
AB - BACKGROUND: Neck metastasis is the most important prognostic factor in oral
cavity squamous cell carcinomas (SCC). Apart from the T- stage, depth of invasion
has been used as a highly predictable factor for microscopic neck metastasis,
despite the controversy on the exact depth cut off point. Depth of invasion can
be determined clinically and radio logically. However, there is no standard tool
to determine depth of invasion preoperatively. Although MRI is used widely to
stage the head and neck disease, its utility in depth evaluation has not formally
been assessed. OBJECTIVE: To compare preoperative clinical and radiological depth
evaluation in oral tongue SCC using the standard pathological depth. To compare
clinical and radiological accuracy between superficial (<5 mm) vs. deep invaded
tumor (>=5 mm) METHODS: This prospective study used consecutive biopsy-proven
oral tongue invasive SCC that presented to the University health network (UHN),
Toronto. Clinical examination, radiological scan and appropriate staging were
determined preoperatively. Standard pathology reports postoperatively were
reviewed to determine the depth of invasion from the tumor specimen. RESULTS: 72
tumour samples were available for analysis and 53 patients were included. For all
tumors, both clinical depth (r = 0.779; p < 0.001) and radiographic depth (r
=0.907; p <0.001) correlated well with pathological depth, with radiographic
depth correlating slightly better. Clinical depth also correlated well with
radiographic depth (r = 0.731; p < 0.001). By contrast, for superficial tumors
(less than 5 mm on pathological measurement) neither clinical (r = 0.333, p =
0.34) nor radiographic examination (r = - 0.211; p = 0.56) correlated with
pathological depth of invasion. CONCLUSION: This is the first study evaluating
the clinical assessment of tumor thickness in comparison to radiographic
interpretation in oral cavity cancer. There are strong correlations between
pathological, radiological, and clinical measurements in deep tumors (>=5 mm). In
superficial tumors (<5 mm), clinical and radiological examination had low
correlation with pathological thickness.
PMID- 27876069
TI - MGDG extracted from spinach enhances the cytotoxicity of radiation in pancreatic
cancer cells.
AB - BACKGROUND: In our previous study, monogalactosyl diacylglycerol (MGDG) purified
from spinach was found to have cytotoxic effects in human cancer cell lines. This
study further assessed whether MGDG can enhance the cytotoxic effects of
radiation in human pancreatic cancer cells in vitro and in vivo. METHODS:
Glycoglycerolipids from spinach including MGDG were extracted from dried spinach.
The cytotoxicity of MGDG were evaluated by the MTT assay using four human
pancreatic cancer cell lines (MIAPaCa-2, AsPC-1, BxPC-3 and PANC-1) and normal
human dermal fibroblasts (NHDFs). The effects of radiation and MGDG alone or in
combination in MIAPaCa-2 cells was analyzed with the colony forming and apoptosis
assays, western blotting and cell cycle and DNA damage analyses (gamma-H2AX foci
staining and comet assay). The inhibitory effects on tumor growth were assessed
in a mouse xenograft tumor model. RESULTS: MGDG showed dose- and time-dependent
cytotoxicity, with half-maximal inhibitory concentrations (IC50) in PANC-1, BxPC
3, MIAPaCa-2 and AsPC-1 cells at 72 h of 25.6 +/- 2.5, 26.9 +/- 1.3, 18.5 +/-
1.7, and 22.7 +/- 1.9 MUM, respectively. The colony forming assay revealed fewer
MIAPaCa-2, BxPC-3 and AsPC-1 cell colonies upon treatment with both MGDG and
radiation as compared to irradiation alone (P < 0.05). The combination of MGDG
and radiation induced a higher proportion of apoptosis in MIAPaCa-2 cells; this
effect was associated with increased mitochondrial release of cytochrome c and
activation of cleaved poly (ADP-ribose) polymerase and caspase-3. DNA damage was
detected and DNA repair mechanisms were more frequently impaired in cells
receiving the combination treatment as compared to either one alone. Tumor growth
was inhibited to a greater degree in mice treated by intratumoral injection of
MGDG combined with irradiation as compared to either one alone (P < 0.05).
CONCLUSIONS: This is the first report demonstrating that MGDG enhances the
cytotoxicity of radiation to induce apoptosis of cancer cells in vitro and in
vivo. Our findings indicate that this therapeutic combination can be an effective
strategy for the treatment of pancreatic cancer.
PMID- 27876070
TI - Ovarian thecoma-fibroma groups: clinical and sonographic features with
pathological comparison.
AB - BACKGROUND: Ovarian thecoma-fibroma groups (OTFG) are uncommon sex cord-stromal
neoplasms. The objective of the study was to demonstrate clinical and sonographic
features of OTFG and compare with surgical histopathology. METHODS: A total of 61
patients with surgically proven OTFG were enrolled in this retrospective study to
demonstrate its clinical and sonographic features and to compare with
pathological findings. Gray scale and color Doppler sonography were performed
presurgically with either transabdominal or transvaginal approach to image pelvic
structures and lesions. The clinical findings and sonographic appearances were
compared with the types of the OTFG tumors based on the histopathological
diagnosis. RESULTS: The mean patient age was 53.57 (range, 26-86) years. There
were 63.93% (39/61) patients in postmenopausal and 63.93% (39/61) patients with
no clinical symptoms. Ultrasound findings of OTFG revealed as solid tumors with a
typical feature of well-demarcated hypoechoic masses in 70.49% (43/61), among
which 74.41% (32/43) tumors were smaller than 5 cm in diameter. There were 17
mixed echogenic masses with calcification, hemorrhage, or cyst, among which
70.59% (12/17) lesions were larger than 5 cm in diameter. Acoustic attenuation of
the tumor was presented in 44.26% (27/61) of the cases. Doppler flow signals
within the tumors were found in 20 cases (32.79%), in which 80% (16/20) had
minimal or moderate flow signals. Ascites was detected in 32.79% (20/61) of the
cases, Megi's syndrome was found in 1 case. Final pathology revealed 41 (67.21%)
thecoma-fibromas, 15 (24.59%) fibromas, 4 (6.56%) thecomas and 1 (1.64%)
fibrosarcoma. There were 58 patients underwent cancer antigen 125 (CA125) test,
and 20.69% (12/58) showed an elevated level. The diameter of tumors was found to
be significantly correlated with CA125 level (p < 0.01) and the amount of ascites
fluid (p < 0.05). CONCLUSIONS: The typical sonographic features of OTFG include
adnexal hypoechoic masses with clear border and acoustic attenuation as well as
minimal Doppler flow signals. All the aforementioned features could make
ultrasound imaging as a assistent tool improve the preoperative diagnostic
accuracy.
PMID- 27876071
TI - Bilateral single-port thoracoscopic extended thymectomy for management of thymoma
and myasthenia gravis: case report.
AB - BACKGROUND: Video-assisted thoracoscopy is become a widely accepted approach for
the resection of anterior mediastinal masses, including thymoma. The current
trend is to reduce the number of ports and minimize the length of incisions to
further decrease postoperative pain, chest wall paresthesia, and length of
hospitalization. Herein, we reported an extended resection of thymoma in a
patient with myasthenia gravis through an uniportal bilateral thoracoscopic
approach. CASE PRESENTATION: A 74 years old woman with myasthenia gravis was
referred to our attention for management of a 3.5 cm, well capsulate, thymoma.
All laboratory and cardio-pulmonary tests were within normal; thus, she was
scheduled for thymoma resection through an uniportal bilateral thoracoscopic
approach. Under general anaesthesia and selective intubation, the patient was
placed in a 60 degrees right lateral decubitus. A 3 cm skin incision was
performed in the fourth right intercostal space and, through that a 30 degrees
video-camera and working instruments were inserted without rib spreading. After
complete dissection of the thymus and mediastinal fat, the contralateral pleura
was opened, and, through that the specimen was pushed into the left pleural
cavity. Then, the patient was placed in the left lateral decubitus. Similarly to
the right side procedure, a 3-cm incision was performed in the fourth left
intercostal space to complete thymic dissection and retrieve the specimen. No
intraoperative and post-operative complications were found. The patient was
discharged four days later. Pathological examination revealed a type A thymoma
(Masaoka stage I). No recurrence was found at 18 months of follow-up CONCLUSIONS:
Bilateral single-port thoracoscopy is an available procedure for management of
thymoma associated with myasthenia gravis. The less post-operative pain, the
reduction of hospital stay and the better esthetic results are all potential
advantages of this approach over traditional technique. Obviously, our impression
should be validated by larger studies in terms of long-term oncological outcomes.
PMID- 27876073
TI - Multiple-locus variable-number tandem repeat analysis potentially reveals the
existence of two groups of Anaplasma phagocytophilum circulating in cattle in
France with different wild reservoirs.
AB - BACKGROUND: Anaplasma phagocytophilum is the causative agent of tick-borne fever,
a disease with high economic impact for domestic ruminants in Europe.
Epidemiological cycles of this species are complex, and involve different
ecotypes circulating in various host species. To date, these epidemiological
cycles are poorly understood, especially in Europe, as European reservoir hosts
(i.e. vertebrate hosts enabling long-term maintenance of the bacterium in the
ecosystem), of the bacterium have not yet been clearly identified. In this study,
our objective was to explore the presence, the prevalence, and the genetic
diversity of A. phagocytophilum in wild animals, in order to better understand
their implications as reservoir hosts of this pathogen. METHODS: The spleens of
101 wild animals were collected from central France and tested for the presence
of A. phagocytophilum DNA by msp2 qPCR. Positive samples were then typed by multi
locus variable-number tandem repeat (VNTR) analysis (MLVA), and compared to 179
previously typed A. phagocytophilum samples. RESULTS: Anaplasma phagocytophilum
DNA was detected in 82/101 (81.2%) animals including 48/49 red deer (98%), 20/21
roe deer (95.2%), 13/29 wild boars (44.8%), and 1/1 red fox. MLVA enabled the
discrimination of two A. phagocytophilum groups: group A contained the majority
of A. phagocytophilum from red deer and two thirds of those from cattle, while
group B included a human strain and variants from diverse animal species, i.e.
sheep, dogs, a horse, the majority of variants from roe deer, and the remaining
variants from cattle and red deer. CONCLUSIONS: Our results suggest that red deer
and roe deer are promising A. phagocytophilum reservoir host candidates.
Moreover, we also showed that A. phagocytophilum potentially circulates in at
least two epidemiological cycles in French cattle. The first cycle may involve
red deer as reservoir hosts and cattle as accidental hosts for Group A strains,
whereas the second cycle could involve roe deer as reservoir hosts and at least
domestic ruminants, dogs, horses, and humans as accidental hosts for Group B
strains.
PMID- 27876072
TI - High-specificity bioinformatics framework for epigenomic profiling of discordant
twins reveals specific and shared markers for ACPA and ACPA-positive rheumatoid
arthritis.
AB - BACKGROUND: Twin studies are powerful models to elucidate epigenetic
modifications resulting from gene-environment interactions. Yet, commonly a
limited number of clinical twin samples are available, leading to an underpowered
situation afflicted with false positives and hampered by low sensitivity. We
investigated genome-wide DNA methylation data from two small sets of monozygotic
twins representing different phases during the progression of rheumatoid
arthritis (RA) to find novel genes for further research. METHODS: We implemented
a robust statistical methodology aimed at investigating a small number of samples
to identify differential methylation utilizing the comprehensive CHARM platform
with whole blood cell DNA from two sets of twin pairs discordant either for ACPA
(antibodies to citrullinated protein antigens)-positive RA versus ACPA-negative
healthy or for ACPA-positive healthy (a pre-RA stage) versus ACPA-negative
healthy. To deconvolute cell type-dependent differential methylation, we assayed
the methylation patterns of sorted cells and used computational algorithms to
resolve the relative contributions of different cell types and used them as
covariates. RESULTS: To identify methylation biomarkers, five healthy twin pairs
discordant for ACPAs were profiled, revealing a single differentially methylated
region (DMR). Seven twin pairs discordant for ACPA-positive RA revealed six
significant DMRs. After deconvolution of cell type proportions, profiling of the
healthy ACPA discordant twin-set revealed 17 genome-wide significant DMRs. When
methylation profiles of ACPA-positive RA twin pairs were adjusted for cell type,
the analysis disclosed one significant DMR, associated with the EXOSC1 gene.
Additionally, the results from our methodology suggest a temporal connection of
the protocadherine beta-14 gene to ACPA-positivity with clinical RA. CONCLUSIONS:
Our biostatistical methodology, optimized for a low-sample twin design, revealed
non-genetically linked genes associated with two distinct phases of RA.
Functional evidence is still lacking but the results reinforce further study of
epigenetic modifications influencing the progression of RA. Our study design and
methodology may prove generally useful in twin studies.
PMID- 27876074
TI - Combined detection of serum CTX-II and COMP concentrations in osteoarthritis
model rabbits: an effective technique for early diagnosis and estimation of
disease severity.
AB - BACKGROUND: Early diagnosis of osteoarthritis (OA) is difficult. Cartilage
oligomeric matrix protein (COMP) and crosslinked C-telopeptides of type II
collagen (CTX-II) are two markers which can potentially predict the destruction
of articular cartilage of early OA. To comprehensively evaluate the diagnosis
value of serum COMP and CTX-II markers in OA, the longitudinal and combined
measurement of serum COMP and CTX-II were performed at different stages of
pathological process of OA in adult rabbits with OA, compared with the sham
operated rabbits. METHODS: Thirty-six adult white rabbits were randomly divided
into two groups, the OA group and the control group (n = 18 per group). OA models
were established by anterior cruciate ligament transection. Sham operations were
performed in the control group. Before the surgery and at weeks 2, 4, 6, 8, 10,
and 12 after surgery, serum CTX-II and COMP concentrations were detected using
sandwich-ELISA in all rabbits. Three rabbits in each group were killed at weeks
2, 4, 6, 8, 10, and 12 after surgery, and femoral condyle specimens were
collected. Histological changes in articular cartilage were evaluated according
to the Mankin scoring criteria. RESULTS: At each time point, the Mankin scores
and serum concentrations of CTX-II and COMP were significantly higher in the OA
group than in the control group. In addition, in the OA group, there was a
significant relationship between the CTX-II and COMP concentrations and the
Mankin score. CONCLUSIONS: Early dynamic combined detection of serum CTX-II and
COMP concentrations is effective for early OA diagnosis and evaluation of OA
severity.
PMID- 27876075
TI - Chlorhexidine bathing and health care-associated infections among adult intensive
care patients: a systematic review and meta-analysis.
AB - BACKGROUND: Health care-associated infections (HAI) have been shown to increase
length of stay, the cost of care, and rates of hospital deaths (Kaye and
Marchaim, J Am Geriatr Soc 62(2):306-11, 2014; Roberts and Scott, Med Care
48(11):1026-35, 2010; Warren and Quadir, Crit Care Med 34(8):2084-9, 2006;
Zimlichman and Henderson, JAMA Intern Med 173(22):2039-46, 2013). Importantly,
infections acquired during a hospital stay have been shown to be preventable
(Loveday and Wilson, J Hosp Infect 86:S1-70, 2014). In particular, due to more
invasive procedures, mechanical ventilation, and critical illness, patients cared
for in the intensive care unit (ICU) are at greater risk of HAI and associated
poor outcomes. This meta-analysis aims to summarise the effectiveness of
chlorhexidine (CHG) bathing, in adult intensive care patients, to reduce
infection. METHODS: A systematic literature search was undertaken to identify
trials assessing the effectiveness of CHG bathing to reduce risk of infection,
among adult intensive care patients. Infections included were: bloodstream
infections; central line-associated bloodstream infections (CLABSI); catheter
associated urinary tract infections; ventilator-associated pneumonia; methicillin
resistant Staphylococcus aureus (MRSA); vancomycin-resistant Enterococcus; and
Clostridium difficile. Summary estimates were calculated as incidence rate ratios
(IRRs) and 95% confidence/credible intervals. Variation in study designs was
addressed using hierarchical Bayesian random-effects models. RESULTS: Seventeen
trials were included in our final analysis: seven of the studies were cluster
randomised crossover trials, and the remaining studies were before-and-after
trials. CHG bathing was estimated to reduce the risk of CLABSI by 56% (Bayesian
random effects IRR = 0.44 (95% credible interval (CrI), 0.26, 0.75)), and MRSA
colonisation and bacteraemia in the ICU by 41% and 36%, respectively (IRR = 0.59
(95% CrI, 0.36, 0.94); and IRR = 0.64 (95% CrI, 0.43, 0.91)). The numbers needed
to treat for these specific ICU infections ranged from 360 (CLABSI) to 2780 (MRSA
bacteraemia). CONCLUSION: This meta-analysis of the effectiveness of CHG bathing
to reduce infections among adults in the ICU has found evidence for the benefit
of daily bathing with CHG to reduce CLABSI and MRSA infections. However, the
effectiveness may be dependent on the underlying baseline risk of these events
among the given ICU population. Therefore, CHG bathing appears to be of the most
clinical benefit when infection rates are high for a given ICU population.
PMID- 27876076
TI - Bladder outlet obstruction; a rare complication of the neglected schistosome,
Schistosoma haematobium: two case reports and public health challenges.
AB - BACKGROUND: Schistosomiasis is a severe parasitic infestation with debilitating
complications and is the third most devastating tropical disease in the world. It
is one of the neglected tropical diseases (NTDs) with a high disease-burden. We
present two rare cases of bladder outlet obstruction: one which led to a chronic
kidney disease and ultimately death and a second which recovered after treatment
with praziquantel. CASE PRESENTATIONS: A 72 year old male presented with lower
urinary tract symptoms which culminated in an episode of acute urinary retention.
The patient had never received preventive chemotherapy with praziquantel. After
suprapubic aspiration, the cause of the obstructive uropathy was found to be
several mature live worms of Schistosoma haematobium. Despite treatment with
praziquantel and haemodialysis; we lost the patient due to sepsis from a urinary
tract infection. In the second case, a 15 year old male presented with LUTS for a
1 year duration and was diagnosed to have schistosomiasis after eggs of
Schistosoma haematobium were found in his urine. He was treated with
praziquantel. CONCLUSION: There are several gaps in the public health policies in
place to control this NTD in Cameroon as annual distribution of preventive
chemotherapy is inadequate due to inaccessibility of some high-endemic zones and
is based on data obtained two decades ago. Population education is insufficient
leading to poor health-seeking behaviour. These gaps in public health policies
need to be addressed to aid in the overall achievement of the sustainable
development goals.
PMID- 27876077
TI - Post-traumatic acute kidney injury: a cross-sectional study of trauma patients.
AB - BACKGROUND: The causes of post-traumatic acute kidney injury (AKI) are
multifactorial, and shock associated with major trauma has been proposed to
result in inadequate renal perfusion and subsequent AKI in trauma patients. This
study aimed to investigate the true incidence and clinical presentation of post
traumatic AKI in hospitalized adult patients and its association with shock at a
Level I trauma center. METHODS: Detailed data of 78 trauma patients with AKI and
14,504 patients without AKI between January 1, 2009 and December 31, 2014 were
retrieved from the Trauma Registry System. Patients with direct renal trauma were
excluded from this study. Two-sided Fisher's exact or Pearson's chi-square tests
were used to compare categorical data, unpaired Student's t-test was used to
analyze normally distributed continuous data, and Mann-Whitney's U test was used
to compare non-normally distributed data. Propensity score matching with a 1:1
ratio with logistic regression was used to evaluate the effect of shock on AKI.
RESULTS: Patients with AKI presented with significantly older age, higher
incidence rates of pre-existing comorbidities, higher odds of associated injures
(subdural hematoma, intracerebral hematoma, intra-abdominal injury, and hepatic
injury), and higher injury severity than patients without AKI. In addition,
patients with AKI had a longer hospital stay (18.3 days vs. 9.8 days,
respectively; P < 0.001) and intensive care unit (ICU) stay (18.8 days vs. 8.6
days, respectively; P < 0. 001), higher proportion of admission into the ICU
(57.7% vs. 19.0%, respectively; P < 0.001), and a higher odds ratio (OR) of short
term mortality (OR 39.0; 95% confidence interval, 24.59-61.82; P < 0.001).
However, logistic regression analysis of well-matched pairs after propensity
score matching did not show a significant influence of shock on the occurrence of
AKI. DISCUSSION: We believe that early and aggressive resuscitation, to avoid
prolonged untreated shock, may help to prevent the occurrence of post-traumatic
AKI. However, more evidence is required to support this observation. CONCLUSION:
Compared to patients without AKI, patients with AKI presented with different
injury characteristics and worse outcome. However, an association between shock
and post-traumatic AKI could not be identified.
PMID- 27876078
TI - Simultaneous detection and differentiation of three genotypes of Brassica yellows
virus by multiplex reverse transcription-polymerase chain reaction.
AB - BACKGROUND: Brassica yellows virus (BrYV), proposed to be a new polerovirus
species, three distinct genotypes (BrYV-A, BrYV-B and BrYV-C) have been
described. This study was to develop a simple, rapid, sensitive, cost-effective
method for simultaneous detection and differentiation of three genotypes of BrYV.
RESULTS: In this study, a multiplex reverse transcription-polymerase chain
reaction (mRT-PCR) was developed for simultaneous detection and differentiation
of the three genotypes of BrYV. The three genotypes of BrYV and Tunip yellows
virus (TuYV) could be differentiated simultaneously using six optimized specific
oligonucleotide primers, including one universal primer for detecting BrYV, three
BrYV genotype-specific primers, and a pair of primers for specific detection of
TuYV. Primers were designed from conserved regions of each virus and their
specificity was confirmed by sequencing PCR products. The mRT-PCR products were
278 bp for BrYV-A, 674 bp for BrYV-B, 505 bp for BrYV-C, and 205 bp for TuYV.
Amplification of three target genotypes was optimized by increasing the PCR
annealing temperatures to 62 degrees C. One to three fragments specific for the
virus genotypes were simultaneously amplified from infected samples and
identified by their specific molecular sizes in agarose gel electrophoresis. No
specific products could be amplified from cDNAs of other viruses which could
infect crucifer crops. Detection limits of the plasmids for multiplex PCR were
100 fg for BrYV-A and BrYV-B, 10 pg for BrYV-C, and 1 pg for TuYV, respectively.
The mRT-PCR was applied successfully for detection of three BrYV genotypes from
field samples collected in China. CONCLUSIONS: The simple, rapid, sensitive, and
cost-effective mRT-PCR was developed successfully for detection and
differentiation of the three genotypes of BrYV.
PMID- 27876079
TI - Copenhagen infant mental health project: study protocol for a randomized
controlled trial comparing circle of security -parenting and care as usual as
interventions targeting infant mental health risks.
AB - BACKGROUND: Infant mental health is a significant public health issue as early
adversity and exposure to early childhood stress are significant risk factors
that may have detrimental long-term developmental consequences for the affected
children. Negative outcomes are seen on a range of areas such as physical and
mental health, educational and labor market success, social network and
establishing of family. Secure attachment is associated with optimal outcomes in
all developmental domains in childhood, and both insecure and disorganized
attachment are associated with a range of later problems and psychopathologies.
In disadvantaged populations insecure and disorganized attachment are common,
which points to the need of identifying early risk and effective methods of
addressing such problems. This protocol describes an experimental evaluation of
an indicated group-based parental educational program, Circle of Security
Parenting (COS-P), currently being conducted in Denmark. METHODS/DESIGN: In a
parallel randomized controlled trial of two intervention groups this study tests
the efficacy of COS-P compared to Care as Usual (CAU) in enhancing maternal
sensitivity and child attachment in a community sample in the City of Copenhagen,
Denmark. During the project a general population of an estimated 17.600 families
with an infant aged 2-12 months are screened for two known infant mental health
risks, maternal postnatal depression and infant social withdrawal. Eligible
families (N = 314), who agree to participate, will be randomly allocated with a
ratio of 2:1 into the COS-P intervention arm and into CAU. Data will be obtained
at inclusion (baseline) and at follow-up when the child is 12-16 months. The
primary outcome is maternal sensitivity. Secondary outcomes include quality of
infant attachment, language, cognitive and socioemotional development, family
functioning, parental stress, parental mentalizing and maternal mental wellbeing.
DISCUSSION: The potential implications of the experimental evaluation of an
indicated brief group-based parenting educational program to enhance parental
sensitivity and attachment are discussed. TRIAL REGISTRATION:
ClinicalTrials.govID: NCT02497677 . Registered July 15 2015.
PMID- 27876080
TI - Effect of testosterone on the Connexin37 of sexual mature mouse cumulus oocyte
complex.
AB - BACKGROUND: Recent researches demonstrate that pre-treatment with androgen could
increase retrieved oocytes number and clinical pregnancy rate in poor ovarian
response (POR) patients. In view of gap junction intercellular communication
(GJIC) is important for follicular growth, and androgen plays an important role
in improving prognosis of POR patients, we speculate that androgen can increase
the expression of connexin in follicle cells, and improve ovarian
microenvironment, thus can promote ovarian response. The objective of the
research is to study the effect of testosterone on connexin37 (Cx37) expression
so as to provide theoretical basis for adding testosterone in treatment of POR.
METHODS: Cumulus-oocyte-cells (COCs) were collected from ICR mice ovaries, and
were cultured in vitro for 48 h and then treated with testosterone (T) at various
concentration. To assess whether the effect of androgen on Cx37 expression is
mediated through androgen receptor (AR) pathway, COCs were cultured in vitro with
Flutamide (androgen receptor antagonist). The expression of Cx37 was determined
by western blot. RESULTS: The expression of Cx37 in COCs which were treated with
testosterone was higher than that of control group. There were significant
differences (P < 0.001;<0.001;<0.001;<0.001). Cx37 increased with the elevated
testosterone concentrations. Cx37 was lower in androgen receptor antagonist group
(2.57 +/- 0.12) than the corresponding testosterone concentrations group (4.42 +/
0.28). There were significant differences between two groups (P < 0.001).
CONCLUSIONS: There was close relationship between gap junction protein and
ovarian response, which suggested that androgen could promote ovarian response by
increasing the expression of Cx37 in follicle. Androgen plays an important role
in ovarian response through the AR pathway and non-AR pathway.
PMID- 27876081
TI - In vitro activity of ten essential oils against Sarcoptes scabiei.
AB - BACKGROUND: The development of alternative approaches in ectoparasite management
is currently required. Essential oils have been demonstrated to exhibit fumigant
and topical toxicity to a number of arthropods. The aim of the present study was
to assess the potential efficacy of ten essential oils against Sarcoptes scabiei.
METHODS: The major chemical components of the oils were identified by GC-MS
analysis. Contact and fumigation bioassays were performed on Sarcoptes mites
collected from experimentally infected pigs. For contact bioassays, essential
oils were diluted with paraffin to get concentrations at 10, 5, and even 1% for
the most efficient ones. The mites were inspected under a stereomicroscope 10,
20, 30, 40, 50, 60, 90, 120, 150, and 180min after contact. For fumigation
bioassay, a filter paper was treated with 100 MUL of the pure essential oil. The
mites were inspected under a stereomicroscope for the first 5min, and then every
5min until 1h. RESULTS: Using contact bioassays, 1% clove and palmarosa oil
killed all the mites within 20 and 50min, respectively. The oils efficacy order
was: clove > palmarosa > geranium > tea tree > lavender > manuka > bitter orange
> eucalyptus > Japanese cedar. In fumigation bioassays, the efficacy order was:
tea tree > clove > eucalyptus > lavender > palmarosa > geranium > Japanese cedar
> bitter orange > manuka. In both bioassays, cade oil showed no activity.
CONCLUSION: Essential oils, especially tea tree, clove, palmarosa, and eucalyptus
oils, are potential complementary or alternative products to treat S. scabiei
infections in humans or animals, as well as to control the mites in the
environment.
PMID- 27876082
TI - Evaluating Data Abstraction Assistant, a novel software application for data
abstraction during systematic reviews: protocol for a randomized controlled
trial.
AB - BACKGROUND: Data abstraction, a critical systematic review step, is time
consuming and prone to errors. Current standards for approaches to data
abstraction rest on a weak evidence base. We developed the Data Abstraction
Assistant (DAA), a novel software application designed to facilitate the
abstraction process by allowing users to (1) view study article PDFs juxtaposed
to electronic data abstraction forms linked to a data abstraction system, (2)
highlight (or "pin") the location of the text in the PDF, and (3) copy relevant
text from the PDF into the form. We describe the design of a randomized
controlled trial (RCT) that compares the relative effectiveness of (A) DAA
facilitated single abstraction plus verification by a second person, (B)
traditional (non-DAA-facilitated) single abstraction plus verification by a
second person, and (C) traditional independent dual abstraction plus adjudication
to ascertain the accuracy and efficiency of abstraction. METHODS: This is an
online, randomized, three-arm, crossover trial. We will enroll 24 pairs of
abstractors (i.e., sample size is 48 participants), each pair comprising one less
and one more experienced abstractor. Pairs will be randomized to abstract data
from six articles, two under each of the three approaches. Abstractors will
complete pre-tested data abstraction forms using the Systematic Review Data
Repository (SRDR), an online data abstraction system. The primary outcomes are
(1) proportion of data items abstracted that constitute an error (compared with
an answer key) and (2) total time taken to complete abstraction (by two
abstractors in the pair, including verification and/or adjudication). DISCUSSION:
The DAA trial uses a practical design to test a novel software application as a
tool to help improve the accuracy and efficiency of the data abstraction process
during systematic reviews. Findings from the DAA trial will provide much-needed
evidence to strengthen current recommendations for data abstraction approaches.
TRIAL REGISTRATION: The trial is registered at National Information Center on
Health Services Research and Health Care Technology (NICHSR) under Registration #
HSRP20152269:
https://wwwcf.nlm.nih.gov/hsr_project/view_hsrproj_record.cfm?NLMUNIQUE_ID=201522
9&SEARCH_FOR=Tianjing%20Li . All items from the World Health Organization Trial
Registration Data Set are covered at various locations in this protocol. Protocol
version and date: This is version 2.0 of the protocol, dated September 6, 2016.
As needed, we will communicate any protocol amendments to the Institutional
Review Boards (IRBs) of Johns Hopkins Bloomberg School of Public Health (JHBSPH)
and Brown University. We also will make appropriate as-needed modifications to
the NICHSR website in a timely fashion.
PMID- 27876083
TI - Two-year clinical follow-up of the Multicenter Randomized Clinical Trial of
Endovascular Treatment for Acute Ischemic Stroke in The Netherlands (MR CLEAN):
design and statistical analysis plan of the extended follow-up study.
AB - BACKGROUND: MR CLEAN was the first randomized trial to demonstrate the short-term
clinical effectiveness of endovascular treatment in patients with acute ischemic
stroke caused by large vessel occlusion in the anterior circulation. Several
other trials confirmed that endovascular treatment improves clinical outcome at
three months. However, limited data are available on long-term clinical outcome.
We aimed to estimate the effect of endovascular treatment on functional outcome
at two-year follow-up in patients with acute ischemic stroke. Secondly, we aimed
to assess the effect of endovascular treatment on major vascular events and
mortality during two years of follow-up. METHODS: MR CLEAN is a multicenter
clinical trial with randomized treatment allocation, open-label treatment, and
blinded endpoint evaluation. Patients included were 18 years or older with acute
ischemic stroke caused by a proven anterior proximal artery occlusion who could
be treated within six hours after stroke onset. The intervention contrast was
endovascular treatment and usual care versus no endovascular treatment and usual
care. The current study extended the follow-up duration from three months to two
years. The primary outcome is the score on the modified Rankin scale at two
years. Secondary outcomes include all-cause mortality and the occurrence of major
vascular events within two years of follow-up. DISCUSSION: The results of our
study provide information on the long-term clinical effectiveness of endovascular
treatment, which may have implications for individual treatment decisions and
estimates of cost-effectiveness. TRIAL REGISTRATION: NTR1804 . Registered on 7
May 2009; ISRCTN10888758 . Registered on 24 July 2012 (main MR CLEAN trial);
NTR5073 . Registered on 26 February 2015 (extended follow-up study).
PMID- 27876085
TI - Onychomycosis in diabetic patients in Fako Division of Cameroon: prevalence,
causative agents, associated factors and antifungal sensitivity patterns.
AB - BACKGROUND: Onychomycosis is an infection of the nail unit by a fungus. This is a
very common infection amongst diabetics. Its occurrence among diabetics in Fako
division is unknown. In this study we provide information on the characteristics
of onychomycosis in diabetics in Fako division, Cameroon. METHODS: A cross
sectional descriptive and analytical hospital-based study was conducted in two
diabetic clinics in the Buea and Limbe regional hospitals. We recruited 152
consenting diabetics into the study. Demographic, behavioural, and clinical data
of patients were obtained through the use of structured questionnaires. Toenail,
finger nail, skin scrapings and nail clippings were collected from participants,
KOH mounts were prepared and observed under the microscope and cultured on
Sabouraud Dextrose Agar supplemented with chloramphenicol to isolate causative
fungi. Identification of isolates was done to species level using the cello tape
flag method and slide culture. The presence of a dermatophyte by either
microscopy or culture or both methods was considered positive for onychomycosis.
Antifungal susceptibility testing was carried out using selected antifungals by
the Kirby-Bauer disk diffusion method on Sabouraud Dextrose Agar. RESULTS:
Clinical onychomycosis was found in 77 of the 152 diabetics tested giving a
prevalence of 50.7% (95% CI 42.4-58.9) in diabetics in Fako. No socio-demographic
or clinical factor studied was significantly associated with onychomycosis.
Trichophyton rubrum was the most common isolate (62%). Other isolates included
Trichophyton metagraphyte (22%) and Trichophyton tonsurans (16%). Dermatophytes
were sensitive to miconazole (66%), amphotericin B (19%) and ketoconazole (14%).
CONCLUSION: Onychomycosis is common in diabetics in Fako signifying the need for
regular screening by either microscopy or culture. Infected nails could be
treated with miconazole.
PMID- 27876086
TI - Suprascapular neuropathy in the setting of rotator cuff tears: study protocol for
a double-blinded randomized controlled trial.
AB - BACKGROUND: It has been indicated that rotator cuff tears, especially large or
massive ones, can cause suprascapular neuropathy. When such a diagnosis has been
established, it is still unknown whether an arthroscopic release of the superior
transverse scapular ligament during cuff repair can change the course of this
neuropathy. METHODS/DESIGN: This is a single-center, double-blinded randomized
controlled trial for which 42 patients with large or massive repairable rotator
cuff tears and suprascapular neuropathy will be recruited and followed up at 6
and 12 months. Nerve function will be measured by nerve conduction and
electromyography studies preoperatively and at the selected follow-up periods.
Patients will be randomly divided into equally numbered groups, the first one
being the control group. Patients of this group will undergo arthroscopic repair
of the rotator cuff without combined arthroscopic release of the superior
transverse scapular ligament; in the second group the ligament will be released.
The primary objective is to test the null hypothesis that arthroscopic repair of
large/massive rotator cuff tears in patients with combined suprascapular
neuropathy provides equivalent outcomes to one-stage arthroscopic cuff repair
where the superior suprascapular ligament is additionally released. The secondary
objective is to search for a relation between rotator cuff tear size and degree
of suprascapular nerve recovery. The tertiary objective is to demonstrate any
relation between rotator cuff muscle fatty infiltration grade and degree of
suprascapular nerve function. Patients, clinicians during follow-up clinics and
the neurologist will be blinded to the type of surgery performed. DISCUSSION: To
the best of our knowledge, we are unaware of any prospective, randomized double
blinded studies with similar objectives. So far, the evidence suggests a positive
correlation between massive rotator cuff tears and suprascapular neuropathy.
However, there is mixed evidence suggesting that neuropathy can be effectively
treated with rotator cuff repair with or without release of the superior
transverse scapular ligament. TRIAL REGISTRATION: ClinicalTrials.gov registration
number NCT02318381 ; date of initial release: 5 December 2014.
PMID- 27876084
TI - A trial to determine whether septic shock-reversal is quicker in pediatric
patients randomized to an early goal-directed fluid-sparing strategy versus usual
care (SQUEEZE): study protocol for a pilot randomized controlled trial.
AB - BACKGROUND: Current pediatric septic shock resuscitation guidelines from the
American College of Critical Care Medicine focus on the early and goal-directed
administration of intravascular fluid followed by vasoactive medication infusions
for persistent and fluid-refractory shock. However, accumulating adult and
pediatric data suggest that excessive fluid administration is associated with
worse patient outcomes and even increased risk of death. The optimal amount of
intravascular fluid required in early pediatric septic shock resuscitation prior
to the initiation of vasoactive support remains unanswered. METHODS/DESIGN: The
SQUEEZE Pilot Trial is a pragmatic, two-arm, parallel-group, open-label,
prospective pilot randomized controlled trial. Participants are children aged 29
days to under 18 years with suspected or confirmed septic shock and a need for
ongoing resuscitation. Eligible participants are enrolled under an exception to
consent process and randomly assigned via concealed allocation to either the
Usual Care (control) or Fluid Sparing (intervention) resuscitation strategy. The
primary objective of this pilot trial is to determine feasibility, based on the
ability to enroll participants and to adhere to the study protocol. The primary
outcome measure by which success will be determined is participant enrollment
rate ("pass" defined as at least two participants/site/month, recognizing that
enrollment may be slower during the run-in phase). Secondary objectives include
assessing (1) appropriateness of eligibility criteria, and (2) completeness of
clinical outcomes to inform the endpoints for the planned multisite trial. To
support the nested translational study, SQUEEZE-D, we will also evaluate the
feasibility of describing cell-free DNA (a procoagulant molecule with prognostic
utility) in blood samples obtained from children enrolled into the SQUEEZE Pilot
Trial at baseline and at 24 h. DISCUSSION: The optimal degree of fluid
resuscitation and the timing of initiation of vasoactive support in order to
achieve recommended therapeutic targets in children with septic shock remains
unanswered. No prospective study to date has examined this important question for
children in developed countries including Canada. Recruitment for the SQUEEZE
Pilot Trial opened on 6 January 2014. Findings will inform the feasibility of the
planned multicenter trial to answer our overall research question. TRIAL
REGISTRATION: ClinicalTrials.gov Identifier NCT01973907 , registered on 23
October 2013.
PMID- 27876088
TI - Erratum to: Illuminating uveitis: metagenomic deep sequencing identifies common
and rare pathogens.
PMID- 27876087
TI - Heterogeneity in the abundance and distribution of Ixodes ricinus and Borrelia
burgdorferi (sensu lato) in Scotland: implications for risk prediction.
AB - BACKGROUND: Cases of Lyme borreliosis, a vector-borne zoonosis caused by bacteria
in the Borrelia burgdorferi (sensu lato) species group, have increased in recent
years in Europe. Knowledge of environmental factors associated with abundance of
the tick vector Ixodes ricinus and the pathogen B. burgdorferi (s.l.) is of
interest to understand responses to environmental changes, predict variation in
risk and to inform management interventions. METHODS: Nineteen woodland sites
across Scotland were surveyed in 2012 for B. burgdorferi (s.l.) infection in
questing I. ricinus nymphs (n = 200 per site), deer abundance and vegetation.
Climatic factors were extracted for each site. Six additional sites were surveyed
for questing nymphs in both 2012 and 2013 (n = 200 per site and year) to test for
variation in B. burgdorferi (s.l.) prevalence between years. RESULTS: The mean
prevalence of B. burgdorferi (s.l.) across 19 sites was 1.7% (95% CI: 1.4-2.2%;
range 0-6%), all four genospecies known to be present in the UK were detected: B.
garinii, B. afzelii, B. burgdorferi (sensu stricto) and B. valaisiana. A higher
prevalence of B. burgdorferi (s.l.), higher densities of nymphs and higher
densities of infected nymphs were found at sites with warmer climates, estimated
with growing degree-days. No association between infection prevalence in nymphs
and woodland type (semi-natural mixed vs coniferous) or deer density was found.
At six sites sampled in 2012 and 2013, there was a significant increase in B.
afzelli prevalence at two sites and a decrease in B. garinii prevalence at one
site. CONCLUSIONS: This study highlights challenges for the prediction of risk of
Lyme borreliosis, reflecting the sensitivity of both pathogen and vector ecology
to habitat, host and climatic factors. Significant changes in the prevalence of
individual genospecies at sites monitored across time are likely to be due to
variability in the host community composition between years. Our results indicate
the importance of monitoring dynamic variables such as reservoir host populations
as well as climate and habitat factors over multiple years, to identify
environmental factors associated with Lyme borreliosis risk.
PMID- 27876089
TI - Rapid-onset obesity, hypoventilation, hypothalamic dysfunction, autonomic
dysregulation and neuroendocrine tumor syndrome with a homogenous enlargement of
the pituitary gland: a case report.
AB - BACKGROUND: Rapid-onset obesity with hypoventilation, hypothalamic dysfunction,
and autonomic dysregulation syndrome is a rare pediatric disorder with a variable
sequence of clinical presentations, undefined etiology, and high risk of
mortality. Our patient presented an unusual course of the disease accompanied by
a homogenous mild enlargement of her pituitary gland with an intact pituitary
endocrine axis which, to the best of our knowledge, represents a new finding in
rapid-onset obesity with hypoventilation, hypothalamic dysfunction, and autonomic
dysregulation syndrome. CASE PRESENTATION: We present a documented case of a 4
years and 8-month-old Syrian Arabic girl with a distinctive course of signs and
symptoms of rapid-onset obesity with hypoventilation, hypothalamic dysfunction,
and autonomic dysregulation syndrome accompanied by mature ganglioneuroma in her
chest, a homogenous mild enlargement of her pituitary gland, generalized cortical
brain atrophy, and seizures. Three months after her first marked symptoms were
noted she had a sudden progression of severe respiratory distress that ended with
her death. CONCLUSIONS: The findings of this case could increase our
understanding of the pathogenetic mechanisms of rapid-onset obesity with
hypoventilation, hypothalamic dysfunction, and autonomic dysregulation, and place
more emphases on pediatricians to consider rapid-onset obesity with
hypoventilation, hypothalamic dysfunction, and autonomic dysregulation syndrome
whenever early rapid onset of obesity, associated with any malfunction, is
observed in children. This knowledge could be lifesaving for children with rapid
onset obesity with hypoventilation, hypothalamic dysfunction, and autonomic
dysregulation syndrome.
PMID- 27876090
TI - The impact of household wealth on child survival in Ghana.
AB - BACKGROUND: Improving child health is one of the major policy agendas for most of
the governments, especially in the developing countries. These governments have
been implementing various strategies such as improving healthcare financing,
improving access to health, increasing educational level, and income level of the
household to improve child health. Despite all these efforts, under-five and
infant mortality rates remain high in many developing nations. Some previous
studies examined how economic development or household's economic condition
contributes to child survival in developing countries. In Ghana, the question as
to what extent does economic circumstances of households reduces infant and child
mortality still remain largely unanswered. Thus, the purpose of this study is to
investigate the extent to which wealth affects the survival of under-five
children, using data from the Demographic and Health Survey (DHS) of Ghana.
METHODS: In this study, we use four waves of data from Demographic and Health
Surveys (DHS) of Ghana from 1993 to 2008. The DHS is a detailed data set that
provides comprehensive information on households and their demographic
characteristics in Ghana. Data was obtained by distributing questionnaires to
women (from 6000 households) of reproductive age between 15 and 49 years, which
asked, among other things, their birth history information. The Weibull hazard
model with gamma frailty was used to estimate wealth effect, as well as the trend
of wealth effect on child's survival probability. RESULTS: We find that household
wealth status has a significant effect on the child survival in Ghana. A child is
more likely to survive when he/she is from a household with high wealth status.
Among other factors, birth spacing and parental education were found to be highly
significant to increase a child's survival probability. CONCLUSIONS: Our findings
offer plausible mechanisms for the association of household wealth and child
survival. We therefore suggest that the Government of Ghana strengthens and
sustains improved livelihood programs, which reduce poverty. They should also
take further initiatives that will increase adult education and improve health
knowledge. To the best of our knowledge, this is the first study in Ghana that
combines four cross sectional data sets from DHS to study a policy-relevant
question. We extend Standard Weibull hazard model into Weibull hazard model with
gamma frailty, which gives us a more accurate estimation. Finally, the findings
of this study are of interest not only because they provide insights into the
determinants of child health in Ghana and other developing countries, but they
also suggest policies beyond the scope of health.
PMID- 27876091
TI - Symmetrical acrokeratoderma: a case series in Indian patients.
AB - : Symmetrical acrokeratoderma is a recently described dermatosis in young adult
males of Chinese descent. In this report, we describe a series of five cases of
symmetrical acrokeratoderma from India. All 5 patients had asymptomatic, brownish
black plaques distributed symmetrically over dorsum of hand and feet with
variable involvement of wrist, flexural surface of forearm, elbow, ankles, shin
and knee joint. Palms and soles were characteristically spared. Typically whitish
maceration of the lesions was seen after immersing in water. The disease showed
exacerbation in hot and humid climate with spontaneous resolution in winter.
Histopathological examination showed basket weave hyperkeratosis, irregular
acanthosis and mild peri-vascular lymphomononuclear infiltrate. Loosening of the
stratum corneum was seen in post-immersion biopsy specimens. Acitretin appeared
to provide symptomatic improvement in the short term without any long-term effect
on the natural disease course. The genetic and environmental factors involved in
disease causation needs to be elucidated in future. TRIAL REGISTRATION: The study
was not registered in a trial registry since it was a retrospective analysis of
the clinical records and not an interventional/observational study.
PMID- 27876092
TI - Assessing the validity of abbreviated literature searches for rapid reviews:
protocol of a non-inferiority and meta-epidemiologic study.
AB - BACKGROUND: Systematic reviews offer the most reliable and valid support for
health policy decision-making, patient information, and guideline development.
However, they are labor intensive and frequently take longer than 1 year to
complete. Consequently, they often do not meet the needs of those who need to
make decisions quickly. Rapid reviews have therefore become a pragmatic
alternative to systematic reviews. They are knowledge syntheses that abbreviate
certain methodological aspects of systematic reviews to produce information more
quickly. Methodological shortcuts often take place in literature identification.
A potential drawback is less reliable results. To date, the impact of abbreviated
searches on estimates of treatment effects and subsequent conclusions has not
been analyzed systematically across multiple bodies of evidence. We aim to answer
the research question: Do bodies of evidence that are based on abbreviated
literature searches lead to different conclusions about benefits and harms of
interventions compared with bodies of evidence that are based on comprehensive,
systematic literature searches? METHODS: We will use a non-inferiority and meta
epidemiologic design. The primary outcome is the proportion of discordant
conclusions based on different search approaches. Drawing of a pool of Cochrane
reports published between 2012 and 2016, we will randomly select 60 reports.
Eligible reports are those that present a summary-of-findings table, draw a clear
conclusion, present data for meta-analyses, and document the search strategy
clearly. We will conduct several abbreviated searches to detect whether included
studies in these Cochrane reviews could be detected. If searches could not detect
all studies, we will revise the original summary-of-findings table and ask review
authors whether the missed evidence would change conclusions of their report. We
will determine the proportion of discordant conclusions for each abbreviated
search approach. We will consider an abbreviated search as non-inferior if the
lower limit of the 95% confidence interval of the proportion of discordant
conclusions is below the non-inferiority margin, which is determined based on
results of a survey for clinical and public health scenarios. DISCUSSION: This
will be the first study to assess whether the reduced sensitivity of abbreviated
searches has an impact on conclusions across multiple bodies of evidence, not
only on effect estimates.
PMID- 27876095
TI - Asymmetric hybridization between non-native winter moth, Operophtera brumata
(Lepidoptera: Geometridae), and native Bruce spanworm, Operophtera bruceata, in
the Northeastern United States, assessed with novel microsatellites and SNPs.
AB - The European winter moth, Operophtera brumata, is a non-native pest in the
Northeastern USA causing defoliation of forest trees and crops such as apples and
blueberries. This species is known to hybridize with O. bruceata, the Bruce
spanworm, a native species across North America, although it is not known if
there are hybrid generations beyond F1. To study winter moth population genetics
and hybridization with Bruce spanworm, we developed two sets of genetic markers,
single nucleotide polymorphisms (SNPs) and microsatellites, using genomic
approaches. Both types of markers were validated using samples from the two
species and their hybrids. We identified 1216 SNPs and 24 variable microsatellite
loci. From them we developed a subset of 95 species-diagnostic SNPs and ten
microsatellite loci that could be used for hybrid identification. We further
validated the ten microsatellite loci by screening field collected samples of
both species and putative hybrids. In addition to confirming the presence of F1
hybrids reported in previous studies, we found evidence for multi-generation
asymmetric hybridization, as suggested by the occurrence of hybrid backcrosses
with the winter month, but not with the Bruce spanworm. Laboratory crosses
between winter moth females and Bruce spanworm males resulted in a higher
proportion of viable eggs than the reciprocal cross, supporting this pattern. We
discuss the possible roles of population demographics, sex chromosome genetic
incompatibility, and bacterial symbionts as causes of this asymmetrical
hybridization and the utility of the developed markers for future studies.
PMID- 27876094
TI - Nitric oxide has contrasting age-dependent effects on the functionality of murine
hematopoietic stem cells.
AB - BACKGROUND: The success of hematopoietic stem cell (HSC) transplantation is
dependent on the quality of the donor HSCs. Some sources of HSCs display reduced
engraftment efficiency either because of inadequate number (e.g., fetal liver and
cord blood), or age-related dysfunction (e.g. in older individuals). Therefore,
use of pharmacological compounds to improve functionality of HSCs is a forefront
research area in hematology. METHODS: Lineage negative (Lin-) cells isolated from
murine bone marrow or sort-purified Lin-Sca-1+c-Kit+CD34- (LSK-CD34-) were
treated with a nitric oxide donor, sodium nitroprusside (SNP). The cells were
subjected to various phenotypic and functional assays. RESULTS: We found that SNP
treatment of Lin- cells leads to an increase in the numbers of LSK-CD34+ cells in
them. Using sort-purified LSK CD34- HSCs, we show that this is related to
acquisition of CD34 expression by LSK-CD34- cells, rather than proliferation of
LSK-CD34+ cells. Most importantly, this upregulated expression of CD34 had age
dependent contrasting effects on HSC functionality. Increased CD34 expression
significantly improved the engraftment of juvenile HSCs (6-8 weeks); in sharp
contrast, it reduced the engraftment of adult HSCs (10-12 weeks). The molecular
mechanism behind this phenomenon involved nitric oxide (NO)-mediated differential
induction of various transcription factors involved in commitment with regard to
self-renewal in adult and juvenile HSCs, respectively. Preliminary experiments
performed on cord blood-derived and mobilized peripheral blood-derived cells
revealed that NO exerts age-dependent contrasting effects on human HSCs as well.
CONCLUSIONS: This study demonstrates novel age-dependent contrasting effects of
NO on HSC functionality and suggests that HSC age may be an important parameter
in screening of various compounds for their use in manipulation of HSCs.
PMID- 27876093
TI - Involvement of hepatic macrophages in the antifibrotic effect of IGF-I
overexpressing mesenchymal stromal cells.
AB - BACKGROUND: Cirrhosis is a major health problem worldwide and new therapies are
needed. Hepatic macrophages (hMo) have a pivotal role in liver fibrosis, being
able to act in both its promotion and its resolution. It is well-known that
mesenchymal stromal cells (MSCs) can modulate the immune/inflammatory cells.
However, the effects of MSCs over hMo in the context of liver fibrosis remain
unclear. We previously described evidence of the antifibrotic effects of in vivo
applying MSCs, which were enhanced by forced overexpression of insulin-like
growth factor 1 (AdIGF-I-MSCs). The aim of this work was to analyze the effect of
MSCs on hMo behavior in the context of liver fibrosis resolution. METHODS:
Fibrosis was induced in BALB/c mice by chronic administration of thioacetamide (8
weeks). In vivo gene expression analyses, in vitro experiments using hMo isolated
from the nonparenchymal liver cells fraction, and in vivo experiments with
depletion of Mo were performed. RESULTS: One day after treatment, hMo from
fibrotic livers of MSCs-treated animals showed reduced pro-inflammatory and pro
fibrogenic gene expression profiles. These shifts were more pronounced in AdIGF-I
MSCs condition. This group showed a significant upregulation in the expression of
arginase-1 and a higher downregulation of iNOS expression thus suggesting
decreased levels of oxidative stress. An upregulation in IGF-I and HGF expression
was observed in hMo from AdIGF-I-MSCs-treated mice suggesting a restorative
phenotype in these cells. Factors secreted by hMo, preconditioned with MSCs
supernatant, caused a reduction in the expression levels of hepatic stellate
cells pro-fibrogenic and activation markers. Interestingly, hMo depletion
abrogated the therapeutic effect achieved with AdIGF-I-MSCs therapy. Expression
profile analyses for cell cycle markers were performed on fibrotic livers after
treatment with AdIGF-I-MSCs and showed a significant regulation in genes related
to DNA synthesis and repair quality control, cell cycle progression, and DNA
damage/cellular stress compatible with early induction of pro-regenerative and
hepatoprotective mechanisms. Moreover, depletion of hMo abrogated such effects on
the expression of the most highly regulated genes. CONCLUSIONS: Our results
indicate that AdIGF-I-MSCs are able to induce a pro-fibrotic to resolutive
phenotype shift on hepatic macrophages, which is a key early event driving liver
fibrosis amelioration.
PMID- 27876096
TI - A randomised-controlled trial of the effects of very low-carbohydrate and high
carbohydrate diets on cognitive performance in patients with type 2 diabetes.
AB - This study compared the longer-term effects of a very low-carbohydrate, high-fat
diet with a high-carbohydrate, low-fat diet on cognitive performance in
individuals with type 2 diabetes (T2D). In total, 115 obese adults with T2D
(sixty-six males, BMI: 34.6 (sd 4.3) kg/m2, age: 58 (sd 7) years, HbA1c: 7.3 (sd
1.1) %, diabetes duration: 8 (sd 6) years) were randomised to consume either an
energy-restricted, very low-carbohydrate, low-saturated-fat (LC) diet or an
energy-matched high unrefined carbohydrate, low-fat (HC) diet with supervised
aerobic/resistance exercise (60 min, 3 d/week) for 52 weeks. Body weight, HbA1c
and cognitive performance assessing perceptual speed, reasoning speed, reasoning
ability, working memory, verbal fluency, processing speed, short-term memory,
inhibition and memory scanning speed were assessed before and after intervention.
No differences in the changes in cognitive test performance scores between the
diet groups were observed for any of the cognitive function outcomes assessed
(P>=0.24 time*diet). Percentage reduction in body weight correlated with
improvements with perceptual speed performance. In obese adults with T2D, both LC
and HC weight-loss diets combined with exercise training had similar effects on
cognitive performance. This suggests that an LC diet integrated within a
lifestyle modification programme can be used as a strategy for weight and
diabetes management without the concern of negatively affecting cognitive
function.
PMID- 27876098
TI - A look back: investigating Google Flu Trends during the influenza A(H1N1)pdm09
pandemic in Canada, 2009-2010.
PMID- 27876097
TI - Leishmania donovani development in Phlebotomus argentipes: comparison of
promastigote- and amastigote-initiated infections.
AB - Leishmania parasites alternate in their life cycle between promastigote stages
that develop in the gut of phlebotomine sand flies and amastigotes residing
inside phagocytic cells of vertebrate hosts. For experimental infections of sand
flies, promastigotes are frequently used as this way of infection is technically
easier although ingestion of promastigotes by sand flies is unnatural. Here we
aimed to answer a critical question, to what extent do promastigote-initiated
experimental infections differ from those initiated with intracellular
amastigotes. We performed side-by-side comparison of Leishmania development in
Phlebotomus argentipes females infected alternatively with promastigotes from log
phase cultures or amastigotes grown ex vivo in macrophages. Early stage
infections showed substantial differences in parasite load and representation of
morphological forms. The differences disappeared along the maturation of
infections; both groups developed heavy late-stage infections with colonization
of the stomodeal valve, uniform representation of infective metacyclics and equal
efficiency of transmission. The results showed that studies focusing on early
phase of Leishmania development in sand flies should be initiated with
intracellular amastigotes. However, the use of promastigote stages for sand fly
infections does not alter significantly the final outcome of Leishmania donovani
development in P. argentipes and their transmissibility to the vertebrate host.
PMID- 27876100
TI - Long-term spatio-temporal dynamics of the mosquito Aedes aegypti in temperate
Argentina.
AB - Buenos Aires city is located near the southern limit of the distribution of Aedes
aegypti (Diptera: Culicidae). This study aimed to assess long-term variations in
the abundance of Ae. aegypti in Buenos Aires in relation to changes in climatic
conditions. Ae. aegypti weekly oviposition activity was analyzed and compared
through nine warm seasons from 1998 to 2014, with 200 ovitraps placed across the
whole extension of the city. The temporal and spatial dynamics of abundances were
compared among seasons, and their relation with climatic variables were analyzed.
Results showed a trend to higher peak abundances, a higher number of infested
sites, and longer duration of the oviposition season through subsequent years,
consistent with a long-term colonization process. In contrast, thermal
favorability and rainfall pattern did not show a consistent trend of changes. The
long-term increase in abundance, and the recently documented expansion of Ae.
aegypti to colder areas of Buenos Aires province suggest that local populations
might be adapting to lower temperature conditions. The steadily increasing
abundances may have implications on the risk of dengue transmission.
PMID- 27876099
TI - Broad-scale suppression of cotton bollworm, Helicoverpa armigera (Lepidoptera:
Noctuidae), associated with Bt cotton crops in Northern New South Wales,
Australia.
AB - The cotton bollworm, Helicoverpa armigera, is a major pest of many agricultural
crops in several countries, including Australia. Transgenic cotton, expressing a
single Bt toxin, was first used in the 1990s to control H. armigera and other
lepidopteran pests. Landscape scale or greater pest suppression has been reported
in some countries using this technology. However, a long-term, broad-scale
pheromone trapping program for H. armigera in a mixed cropping region in eastern
Australia caught more moths during the deployment of single Bt toxin cotton
(Ingard(r)) (1996-2004) than in previous years. This response can be attributed,
at least in part, to (1) a precautionary cap (30% of total cotton grown, by area)
being applied to Ingard(r) to restrict the development of Bt resistance in the
pest, and (2) during the Ingard(r) era, cotton production greatly increased (as
did that of another host plant, sorghum) and H. armigera (in particular the 3rd
and older generations) responded in concert with this increase in host plant
availability. However, with the replacement of Ingard(r) with Bollgard II(r)
cotton (containing two different Bt toxins) in 2005, and recovery of the cotton
industry from prevailing drought, H. armigera failed to track increased host
plant supply and moth numbers decreased. Greater toxicity of the two gene
product, introduction of no cap on Bt cotton proportion, and an increase in
natural enemy abundance are suggested as the most likely mechanisms responsible
for the suppression observed.
PMID- 27876101
TI - Caring for people with dementia in hospital: findings from a survey to identify
barriers and facilitators to implementing best practice dementia care.
AB - BACKGROUND: Best practice dementia care is not always provided in the hospital
setting. Knowledge, attitudes and motivation, practitioner behavior, and external
factors can influence uptake of best practice and quality care. The aim of this
study was to determine hospital staff perceived barriers and enablers to
implementing best practice dementia care. METHODS: A 17-item survey was
administered at two Australian hospitals between July and September 2014.
Multidisciplinary staff working in the emergency departments and general medical
wards were invited to participate in the survey. The survey collected data about
the respondents' current role, work area, and years of experience, their
perceived level of confidence and knowledge in dementia care and common symptoms
of dementia, barriers and enablers to implementing best practice dementia care,
job satisfaction in caring for people with dementia, and to rate the hospital's
capacity and available resources to support best practice dementia care. RESULTS:
A total of 112 survey responses were received. The environment, inadequate
staffing levels and workload, time, and staff knowledge and skills were
identified as barriers to implementing best practice dementia care. Most
respondents rated their knowledge of dementia care and common symptoms of
dementia, and confidence in recognizing whether a person has dementia, as
moderate or high dementia. Approximately, half the respondents rated access to
training and equipment as low or very low. CONCLUSION: The survey findings
highlighted hospital staff perceived barriers to implementing best practice
dementia care that can be used to inform locally tailored improvement
interventions.
PMID- 27876102
TI - Structural-functional brain changes in depressed patients during and after
electroconvulsive therapy.
AB - OBJECTIVES: Electroconvulsive therapy (ECT) is a non-pharmacological treatment
that is effective in treating severe and treatment-resistant depression. Although
the efficacy of ECT has been demonstrated to treat major depressive disorder
(MDD), the brain mechanisms underlying this process remain unclear. Structural
functional changes occur with the use of ECT as a treatment for depression based
on magnetic resonance imaging (MRI). For this reason, we have tried to identify
the changes that were identified by MRI to try to clarify some operating
mechanisms of ECT. We focus to brain changes on MRI [structural MRI (sMRI),
functional MRI (fMRI) and diffusion tensor imging (DTI)] after ECT. METHODS: A
systematic search of the international literature was performed using the
bibliographic search engines PubMed and Embase. The research focused on papers
published up to 30 September 2015. The following Medical Subject Headings (MESH)
terms were used: electroconvulsive therapy AND (MRI OR fMRI OR DTI). Papers
published in English were included. Four authors searched the database using a
predefined strategy to identify potentially eligible studies. RESULTS: There were
structural changes according to the sMRI performed before and after ECT
treatment. These changes do not seem to be entirely due to oedema. This
investigation assessed the functional network connectivity associated with the
ECT response in MDD. ECT response reverses the relationship from negative to
positive between the two pairs of networks. CONCLUSION: We found structural
functional changes in MRI post-ECT. Because of the currently limited MRI data on
ECT in the literature, it is necessary to conduct further investigations using
other MRI technology.
PMID- 27876103
TI - Boston Naming Test (BNT) original, Brazilian adapted version and short forms:
normative data for illiterate and low-educated older adults.
AB - BACKGROUND: The aims of this study were (1) to describe and compare the
performance of illiterate and low-educated older adults, without evidence of
cognitive impairment, on different versions of the Boston Naming Test (BNT)
original, Brazilian adapted, abbreviated 30-item (even and odd) and 15-item from
the CERAD (Consortium to Establish a Registry for Alzheimer's Disease) battery;
(2) to compare performance on the original versus adapted versions of the BNT.
METHODS: A total of 180 healthy older adults (60 years or older) were stratified
according to educational level (0, 1-2, and 3-4 years), and age (60-69, 70-79,
and >= 80 years). The protocol comprised the following instruments: Mini-Mental
State Examination (MMSE), Brief Cognitive Screening Battery (BCSB), Functional
Activities Questionnaire (FAQ), Geriatric Depression Scale (GDS), and the BNT.
RESULTS: The illiterate participants had poorer performance than the educated
participants. The performance of the two educated groups was similar on all
versions of the BNT. A higher number of correct responses were observed on the
adapted BNT than on the original BNT in all three education groups. CONCLUSIONS:
The adapted BNT appears to be the most suitable for use in the low-educated
Brazilian population. The present study provided normative data for low-educated
elderly on several different versions of the BNT, which may be helpful in
diagnosing naming deficits among elderly in these strata of the population.
PMID- 27876104
TI - A cohort study of unstable overdose patients treated with intravenous lipid
emulsion therapy.
AB - OBJECTIVES: Intravenous lipid emulsion (ILE) has been used increasingly over the
last decade for a range of drug overdoses. Although the use of ILE in local
anesthetic toxicity (LAST) is well established, the hemodynamic effectiveness of
ILE in non-LAST poisonings is still unclear. Thus, the primary objective of this
study was to examine a cohort of poisoned patients in whom ILE was administered.
METHODS: Consecutive patients were identified by calls to a regional poison
center from May 1, 2012 to May 30, 2014. Patients were enrolled if they ingested
a drug, developed hemodynamic instability, failed conventional treatment, and
received ILE therapy. Data were collected by medical record review. The primary
outcome was the change in mean arterial pressure (MAP) in the first hour after
ILE administration. Secondary outcomes included survival, length of stay, and the
effect of drug class on patient outcome. RESULTS: Thirty-six patients were
enrolled. Agents ingested included calcium channel blockers and beta blockers
(10/36, 27.8%), tricyclic antidepressants (5/36, 13.9%), bupropion (3/36, 8.3%),
and antiepileptic agents (1/36, 2.8%). Seventeen patients (47.2%) ingested
multiple agents. Twenty-five patients survived (69.0%). Overall, MAP increased by
13.79 mm Hg (95% CI 1.43-26.15); this did not meet our a priori definition of
clinical significance. CONCLUSIONS: Our study did not find a clinically important
improvement in MAP after ILE administration. Until future research is done to
more definitively study its efficacy, ILE should remain a potential treatment
option for hemodynamically unstable overdose patients only after conventional
therapy has failed.
PMID- 27876105
TI - Psychological interventions to reduce suicidality in high-risk patients with
major depression: a randomized controlled trial.
AB - BACKGROUND: Positive psychological constructs have been associated with reduced
suicidal ideation, and interventions to cultivate positive feelings have the
potential to reduce suicide risk. This study compares the efficacy of a 6-week,
telephone-based positive psychology (PP) intervention against a cognition-focused
(CF) control intervention among patients recently hospitalized for depression and
suicidal ideation or behavior. METHOD: A total of 65 adults with a current major
depressive episode reporting suicidal ideation or a recent suicide attempt were
enrolled from participating in-patient psychiatric units. Prior to discharge,
participants were randomized to the PP (n = 32) or CF (n = 33) intervention. In
both interventions, participants received a treatment manual, performed weekly PP
(e.g. gratitude letter) or CF (e.g. recalling daily events) exercises, and
completed weekly one-on-one telephone sessions over 6 weeks. Between-group
differences in hopelessness (primary outcome), depression, suicidality and
positive psychological constructs at 6 and 12 weeks were tested using mixed
effects models accounting for intensity of post-hospitalization psychiatric
treatment. RESULTS: Compared with PP, the CF intervention was associated with
significantly greater improvements in hopelessness at 6 weeks (beta = -3.15, 95%
confidence interval -6.18 to -0.12, effect size = -0.84, p = 0.04), but not 12
weeks. Similarly, the CF intervention led to greater improvements in depression,
suicidal ideation, optimism and gratitude at 6 and 12 weeks. CONCLUSIONS:
Contrary to our hypothesis, the CF intervention was superior to PP in improving
hopelessness, other suicide risk factors and positive psychological constructs
during a key post-discharge period among suicidal patients with depression.
Further study of this CF intervention is warranted in populations at high suicide
risk.
PMID- 27876107
TI - Sodium butyrate protects mice from the development of the early signs of non
alcoholic fatty liver disease: role of melatonin and lipid peroxidation.
AB - Non-alcoholic fatty liver disease (NAFLD) is one of the most common liver
diseases worldwide with universally accepted treatments still lacking. Oral
supplementation of sodium butyrate (SoB) has been suggested to attenuate liver
damage of various aetiologies. Our study aimed to further delineate mechanisms
involved in the SoB-dependent hepatic protection using a mouse model of fructose
induced NAFLD and in in vitro models. C57BL/6J mice were either pair-fed a
fructose-enriched liquid diet +/-0.6 g/kg body weight per d SoB or standard chow
for 6 weeks. Markers of liver damage, intestinal barrier function, glucose
metabolism, toll-like receptor-4 (TLR-4) and melatonin signalling were determined
in mice. Differentiated human carcinoma colon-2 (Caco-2) and J774A.1 cells were
used to determine molecular mechanisms involved in the effects of SoB. Despite
having no effects on markers of intestinal barrier function and glucose
metabolism or body weight gain, SoB supplementation significantly attenuated
fructose-induced hepatic TAG accumulation and inflammation. The protective
effects of SoB were associated with significantly lower expression of markers of
the TLR-4-dependent signalling cascade, concentrations of inducible nitric oxide
synthase (iNOS) protein and 4-hydroxynonenal protein adducts in liver. Treatment
with SoB increased melatonin levels and expression of enzymes involved in
melatonin synthesis in duodenal tissue and Caco-2 cells. Moreover, treatment with
melatonin significantly attenuated lipopolysaccharide-induced expression of iNOS
and nitrate levels in J774A.1 cells. Taken together, our results indicated that
the protective effects of SoB on the development of fructose-induced NAFLD in
mice are associated with an increased duodenal melatonin synthesis and
attenuation of iNOS induction in liver.
PMID- 27876106
TI - Hubris and Humility Effect and the Domain-Masculine Intelligence Type in Two
Countries: Colombia and the UK.
AB - Spanish-speaking Colombian (n = 50) and English-speaking British (N = 52) adults
completed a self-assessed intelligence measure that yielded a score on domain
masculine intelligence (DMIQ), a composite of mathematical/logical and spatial
intelligences. They also completed a Sex Role inventory in order to establish
their masculinity and femininity. Males in both countries gave significantly
higher self-estimates (Colombia: Males 110.36, Females 100.75, d = .94; England:
Males 114.37, Females 105.75, d = .86; both p < .01) than females but sex role
was note related to DMIQ. However there was a positive relationship between
masculinity and DMIQ (r = .45, r = .39, p < .01), but only for males. Cultural
issues in self-assessed intelligence and limitations, particularly sample size of
this exploratory study are considered.
PMID- 27876108
TI - Unmarried patients with early cognitive impairment are more likely than their
married counterparts to complete advance care plans.
AB - BACKGROUND: Patients with early cognitive impairment (ECI) face the prospect of
progressive cognitive decline that impairs their ability to make decisions on
financial and personal matters. Advance care planning (ACP) is a process that
facilitates decision making on future care and often includes identifying a proxy
decision maker. This prospective study explores factors related to completion or
non-completion of ACP in patients with ECI. METHODS: Patients with ECI (n = 158,
M age = 76.2 +/- 7.25 years) at a memory clinic received psycho-education and
counseling on the importance of ACP and followed-up longitudinally for up to 12
months to ascertain if ACP had been completed. Univariate and logistic regression
were used to analyze factors related to completion and non-completion of ACP.
RESULTS: Seventy-seven patients (48.7%) were initially willing to consider ACP
after the counseling and psycho-educational session but only 17 (11.0%)
eventually completed ACP. On logistic regression, patients who were single were
8.9 times more likely to complete ACP than those who were married (p = 0.007).
Among those initially willing to consider ACP, factors impeding completion of ACP
included patient (48.0%), process (31.0%), and family factors (21.0%).
CONCLUSIONS: As unmarried patients may not have immediate family members to
depend on to make decisions, they may perceive ACP to be more important and
relevant. Understanding the barriers to ACP completion can facilitate targeted
interventions to improve the uptake of ACP.
PMID- 27876109
TI - The complex metabolism of trimethylamine in humans: endogenous and exogenous
sources-CORRIGENDUM.
PMID- 27876110
TI - Determinants of microstructural, dimensional and bone mineral changes postpartum
in Swedish women.
AB - During lactation, areal (a) and volumetric (v) bone mineral density (BMD) are
known to temporarily decrease. Factors that affect skeletal changes postpartum
are not fully elucidated. The aim was to study determinants of the previously
observed changes in aBMD at lumbar spine, and cortical vBMD, microstructure and
dimensions at ultra-distal tibia postpartum. Women (25-40 years) were studied
longitudinally at 2 weeks (baseline) and 4 months (n 81), 12 months (n 79) and 18
months (n 58) postpartum. At each visit, blood samples were collected, body
weight and height were measured and information about lactation habits, oestrogen
contraceptives and physical activity was obtained. Ca intake was measured using 4
d food diaries at 4 months postpartum. Serum 25-hydroxyvitamin D (25OHD) was
analysed by liquid chromatography-tandem MS. Skeletal changes were assessed with
dual-energy X-ray absorptiometry and high-resolution peripheral quantitative
computed tomography. Mean baseline BMI was 24.8 (sd 3.1) kg/m2. Median (quartiles
1-3) duration of total lactation was 8.1 (6.8-10.4) months. Longer duration of
full lactation was associated with larger decreases of lumbar spine aBMD and
tibia vBMD and microstructure. Higher baseline body weight was associated with
smaller decreases in tibia vBMD and microstructure. Higher Ca intake was
associated with smaller decreases in tibia cortical vBMD and thickness. Higher
baseline 25OHD was only associated with larger decreases in lumbar spine aBMD. In
conclusion, lactation and body weight were the main determinants of skeletal
changes during the first 18 months postpartum. Ca intake and serum concentrations
of 25OHD appear to have different associations with cortical and trabecular bone.
PMID- 27876111
TI - The remarkable Dr Robertson.
AB - Muriel Robertson (1883-1973) was a pioneering protozoologist who made a
staggering number of important contributions to the fields of parasitology,
bacteriology and immunology during her career, which spanned nearly 60 years.
These contributions were all the more remarkable given the scientific and social
times in which she worked. While Muriel is perhaps best known for her work on the
life cycle and transmission of the African trypanosome, Trypanosoma brucei, which
she carried out in Uganda at the height of a major Sleeping Sickness epidemic,
her work on the Clostridia during the First and Second World Wars made
significant contributions to the understanding of anaerobes and to the
development of anti-toxoid vaccines, and her work on the immunology of
Trichomonas foetus infections in cattle, carried out in collaboration with the
veterinarian W. R. Kerr, resulted in changes in farming practices that very
quickly eradicated trichomoniasis from cattle herds in Northern Ireland. The
significance of her work was recognized with the award of Fellow of the Royal
Society in 1947 and an Honorary Doctorate of Law from the University of Glasgow,
where she had earlier studied, in 1948.
PMID- 27876113
TI - Rosmarinic acid reverses the effects of metronidazole-induced infertility in male
albino rats.
AB - Rosmarinic acid (RA) is a natural antioxidant that has many biological
activities. In the present study we investigated the potential of RA to reverse
the negative effects of the widely used antibiotic and antiprotozoal agent
metronidazole (MTZ), which is known to induce reversible male infertility. Two
doses of RA (5 and 15mg kg-1) were studied in sexually mature rats with and
without MTZ-induced infertility. Rats were intraperitoneally injected with 5mg kg
1 RA or 15mg kg-1 RA (in distilled water) and, 45min later, they were
intraperitoneally injected with 40mg kg-1 MTZ (in distilled water). Cauda
epididymidal sperm suspensions were used to assess sperm count, motility and
morphology. Histological and ultrastructural studies were performed on the testes
and cauda epididymidis. In rats in which infertility was not induced, neither
dose of RA affected the parameters assessed. However, in sexually mature rats in
which infertility was induced by 40mg kg-1 MTZ, RA at both 5 and 15mg kg-1
ameliorated the damaging effects of MTZ on final bodyweight (30 days later),
sperm motility and morphology. Only 5mg kg-1 RA, and not 15mg kg-1 RA, improved
the harmful effects of MTZ on the sperm count and testis ultrastructure. The
findings of the present study have considerable clinical implications and suggest
a possible use for RA to reverse the negative effects of MTZ on male fertility,
the male reproductive system and spermatogenesis.
PMID- 27876112
TI - Antioxidant defense of Nrf2 vs pro-inflammatory system of NF-kappaB during the
amoebic liver infection in hamster.
AB - Entamoeba histolytica is the causative agent of amoebic liver abscess (ALA),
which course with an uncontrolled inflammation and nitro-oxidative stresses,
although it is well known that amoeba has an effective defence mechanisms against
this toxic environment, the underlying molecular factors responsible for
progression of tissue damage remain largely unknown. The purpose of the present
study was to determine during the acute stage of ALA in hamsters, the involvement
of nuclear factor (erythroid-derived 2)-like 2 (Nrf2) and nuclear factor-kappa B
(NF-kappaB), which are activated in response to oxidative stress. From 12 h post
infection the ALA was visible, haematoxylin-eosin and Masson's trichrome stains
were consistent with these observations, and alanine aminotransferase, alkaline
phosphatase and gamma-glutamyl transpeptidase serum activities were increased
too. At 48 h after infection, liver glycogen content was significantly reduced.
Western blot analyses showed that 4-Hydroxy-2-nonenal peaked at 12 h, while
glycogen synthase kinase-3beta, cleaved caspase-3, pNF-kappaB, interleukin-1beta
and tumour necrosis factor-alpha were overexpressed from 12 to 48 h post
infection. Otherwise, Nrf2 and superoxide dismutase-1, decreased at 48 h and
catalase declined at 36 and 48 h. Furthermore, heme oxygenase-1 was increased at
12 and 24 h and decreased to normal levels at 36 and 48 h. These findings suggest
for the first time that the host antioxidant system of Nrf2 is influenced during
ALA.
PMID- 27876114
TI - Modified platelet-rich plasma with transforming growth factor beta1
neutralization antibody injection may reduce recurrence rate of urethral
stricture.
AB - Urethral stricture is one of the most bothersome urologic disease among
urologists and has a substantial impact on quality of life and healthcare costs.
Although it can be cured with internal urethrotomy easily, post-surgery stricture
recurrence is challenging. Several adjuvant therapies have been used in
conjunction with internal urethrotomy but none of them are used routinely because
the pathophysiology of the disease is still obscure. Fibrosis is the most accused
hypothesis for the action. Platelet-rich plasma (PRP) is an autologous blood
product containing a high concentration of platelets that is being used for a
very wide range of clinical healing applications. It comprises a concentration of
fundamental protein growth factors shown to be actively excreted by platelets to
initiate accurate wound healing. Although PRP can play a critical role in wound
healing and has been used in fibrotic diseases successfully, it has some
deleterious cytokines such as transforming growth factor beta1 (TGF beta1) which
can also cause fibrosis. The new hypothesis is that the subcutaneous injection of
neutralized platelet-rich plasma with TGFbeta1 antibody at the planned
urethrotomy site may prevent recurrence and provide superior healing and long
term results.
PMID- 27876115
TI - The "first digit law" - A hypothesis on its possible impact on medicine and
development aid.
AB - The "first digit law" or "Benford's law" is a mathematical distribution
discovered by Simon Newcomb and Frank Benford. It states, that the probability of
the leading number d (d?{1,...,9}) in many natural datasets follows: P (d)=log10
(d+1)-log10 (d)=log10 (1+1/d). It was successfully used through tax authorities
and "forensic accounting" in order to detect fraud and other irregularities.
Benfords law was almost neglected for its use outside financial accounting. The
planning for health care systems in developing countries is extremely dependant
on good, valid data. Whether you plan the catchment area for the future district
hospitals, the number of health posts, the staff establishment for the central
hospital or the drug budget in the Ministry. The "first digit law" can be used in
medicine, public health, physiology and development aid to unmask questionable
data, to discover unexpected challenges, difficulties in the data collection
process, loss through corruption and criminal fraud. Our hypothesis suggests,
that the "first digit law" is a cost effective tool, which is easy to use for
most people in the medical profession, which does not really needs complicated
statistical software and can be used on the spot, even in the resource restricted
conditions of developing countries. Several preconditions (like the size of the
data set and its reach over more than two dimensions) have to be fulfilled, but
then Benfords law can be used by any clinician, physiologist, public health
specialist or aid consultant without difficulties and without deeper statistical
knowledge in the four steps, we suggest in this article. The consequences will be
different depending on the level (local regional, national, continental,
international) on which you will use the law. All levels will be enabled to get
insight into the validity of the data-challenges for the other levels without the
help of trained statisticians or accountants. We believe that the "first digit
law" is a vastly underestimated and neglected, but extremely useful tool for the
identification of unexpected challenges, supervision and control in various parts
of medicine and public health for almost all aspects of development aid.
PMID- 27876116
TI - Could field cancerization be interpreted as a biochemical anomaly amplification
due to transformed cells?
AB - Field cancerization is a concept used to explain cellular and molecular
alterations in tissue associated to neoplasia and cancer. This effect was
proposed by Slaughter in order to explain the development of multiple primary
tumors and locally recurrent cancer. The particular changes associated with this
effect, in each type of cancer, have been detected even at distances greater than
10cm off the tumor, in areas classified as normal by histopathological studies.
Early detection of lung, colon, and ovary cancer has been reported by the use of
Partial Wave Microscopy Spectroscopy (PWS) and has been explained in terms of the
field cancerization effect. Until now, field cancerization has been studied as a
field effect and we hypothesize that it can be understood as an amplifying effect
of biochemical abnormalities in cells, which leads us to ask the question: Could
field cancerization be interpreted as a biochemical anomaly amplification due to
transformed cells? We propose this question because the biochemical changes due
to field cancerization alter the dynamics of molecules and cells in abnormal
tissues in comparison to normal ones, these alterations modify the interaction of
intracellular and extracellular medium, as well as cellular movement. We
hypothesize that field cancerization when interpreted as an amplification effect
can be used for the early detection of cancer by measuring the change of cell
dynamics.
PMID- 27876118
TI - Flat shoes increase neurogenesis.
AB - The impairment of the horizontal is caused by elevation of the heel of the foot
from the ground. Receptors in the soles of the feet provide a mapping of body
orientation to the upright, and is identical to Mittelstaedt's idiotropic
tendency. Initiation of gait wearing flat shoes without elevation of the heel is
sufficient to change to a truthful horizontal. Using flat shoes increases
neurogenesis and leads to a decreased frequency of diseases of the nervous
system.
PMID- 27876117
TI - Neonatal abstinence syndrome and the gastrointestinal tract.
AB - Development of a healthy gut microbiome is essential in newborns to establish
immunity and protection from pathogens. Recent studies suggest that infants who
develop dysbiosis may be at risk for lifelong adverse health consequences.
Exposure to opioid drugs during pregnancy is a factor of potential importance for
microbiome health that has not yet been investigated. Since these infants are
born after an entire gestation exposed to mu opioid receptor agonists and have
severe gastrointestinal and neurological symptoms, we hypothesize that these
infants are at risk for dysbiosis. We speculate that opioid exposure during
gestation and development of NAS at birth may lead to a dysbiotic gut microbiome,
which may impair normal microbiome succession and development, and impact future
health of these children.
PMID- 27876119
TI - Primary bladder neck obstruction may be determined by postural imbalances.
AB - Primary bladder neck obstruction (PBNO) is a frequent under-investigated
urological condition in which the bladder neck fails to open adequately during
voiding. In the majority of cases no known etiological factor can be found. In
this study we propose a new hypothesis to explain the origin of the disease in
young male patients with no neurological disorders. We suggest a possible role of
an unbalanced biomechanics of the pelvis on urethral sphincters activity and on
functional bladder capacity. To support the proposed hypothesis, we present pilot
gait analysis data of young male patients with primary bladder neck obstruction.
PMID- 27876120
TI - Migraine: A disorder of metabolism?
AB - The treatment and prevention of migraine within the last decade has become
largely pharmacological. While there is little doubt that the advent of drugs
(e.g. triptans) has helped many migraine sufferers to lead a normal life, there
is still little knowledge with respect to the factors responsible for
precipitating a migraine attack. Evidence from biochemical and behavioural
studies from a number of disciplines is integrated to put forward the proposal
that migraine is part of a cascade of events, which together act to protect the
organism when confronted by a metabolic challenge.
PMID- 27876121
TI - Possible neuronal mechanisms of sleep disturbances in patients with autism
spectrum disorders and attention-deficit/hyperactivity disorder.
AB - The most common form of sleep disturbance among both patients with autism
spectrum disorders and patients with attention-deficit/hyperactivity disorder is
sleep-onset insomnia, but the neuronal mechanisms underlying it have yet to be
elucidated and no specific treatment strategy has been proposed. This means that
many caregivers struggle to manage this problem on a daily basis. This paper
presents a hypothesis about the neuronal mechanisms underlying insomnia in
patients with autism spectrum disorders and attention-deficit/hyperactivity
disorder based on recent clinical and basic research. It is proposed that three
neuronal mechanisms (increased orexinergic system activity, reduced 5
hydroxytryptamine and melatonergic system activity, rapid eye movement sleep
reduction) are involved in insomnia in both autism spectrum disorders and
attention-deficit/hyperactivity disorder. This suggests that antagonists against
the orexin receptors may have beneficial effects on insomnia in patients with
autism spectrum disorders or attention-deficit/hyperactivity disorder. To the
best of the author's knowledge there has been no research into the effects of
this agent on insomnia in these patient groups. Large, controlled trials should
be carried out.
PMID- 27876122
TI - A hypothesis on the mechanism of action of high-dose thyroid in refractory mood
disorders.
AB - Multiple lines of evidence suggest the hypothesis that high dose thyroid therapy
corrects for cellular hypothyroidism found in bipolar disorders. Evidence
indicates that bipolar disorders are associated with mitochondrial dysfunction
which results in low cellular adenosine 5'-triphosphate (ATP) levels. Transport
of thyroid hormones into cells is energy intensive and dependent on ATP except in
the pituitary gland. Inadequate ATP levels makes it difficult to get thyroid
hormone into cells leading to cellular hypothyroidism. This creates a condition
where the blood and pituitary levels of thyroid hormone are normal but low in
other tissues. High dose thyroid therapy produces a gradient that is sufficient
for thyroid hormone to diffuse into cells correcting cellular hypothyroidism. If
this hypothesis is correct there are number of implications. The two most
important are: On average patients suffering from a bipolar disorder die 10
20years earlier than the general population. The medical sequelae associated with
bipolar disorders cause far more deaths than suicide. If high dose thyroid
corrects for cellular hypothyroidism it could well decrease the medical morbidity
and mortality associated with bipolar disorders that are the result of cellular
hypothyroidism. Thus high dose thyroid would be a first treatment that decreases
the considerable medical morbidity and mortality associated with the bipolar
disorders. This would stand in stark contrast to most psychiatric medications
that can that increase morbidity and mortality. It would also reinforce the
safety of HDT. The second implication is thyroid hormone blood levels in patients
suffering from a bipolar disorder do not accurately reflect the true thyroid
status.
PMID- 27876123
TI - BLM germline and somatic PKMYT1 and AHCY mutations: Genetic variations beyond
MYCN and prognosis in neuroblastoma.
AB - Neuroblastoma (NB) is the most common extra cranial solid tumor of childhood and
often lethal in childhood. Clinical and biologic characteristics that are
independently prognostic of outcome in NB are currently used for risk
stratification to optimally the therapy. It includes age at diagnosis,
International Neuroblastoma Staging System tumor histopathology and MYCN
amplification. However, even in patients with theoretically good prognosis, such
as localized tumor and non-amplified MYCN, either disease progress or recurrence
may occur. Potential genetic determinants of this unfavorable behavior are not
yet fully clarified. The presence of elevated expression of AHCY, PKMYT1, and BLM
has accompanied poor prognosis MYCN-amplified neuroblastoma patients. Considering
the potential implication of these genes on the clinical management of NB, we
hypothesize that the identification of genetic variations may have significant
impact during development of the recurrent or progressive disease. Using targeted
DNA sequencing, we analyzed the mutation profiles of the genes PKMYT1, AHCY, and
BLM in tumor samples of five patients with MYCN amplified and 15 MYCN non
amplified NB. In our study, BLM germline variants were detected in two patients
with MYCN-non-amplified neuroblastoma. Our data allow us to hypothesize that,
regardless of MYCN status, these mutations partially abolish BLM protein activity
by impairing its ATPase and helicase activities. BLM mutations are also
clinically relevant because BLM plays an important role in DNA damage repair and
the maintenance of genomic integrity. We also found a novel variant in our
cohort, PKMYT1 mutation localized in the C-terminal domain with effect unknown on
NB. We hypothesize that this variant may affect the catalytic activity of PKMYT1
in NB, specifically when CDK1 is complexed to cyclins. The prognostic value of
this mutation must be further investigated. Another mutation identified was a
nonsynonymous variant in AHCY. This variant may be related to the slow
progression of the disease, even in more aggressive cases. It affects the
maintenance of the catalytic capacity of AHCY, leading to the consequent
functional effects observed in the NB patients studied. In conclusion, our
hypothesis may provide that mutations in BLM, AHCY and PKMYT1 genes found in
children with MYCN-amplified or MYCN-non amplified neuroblastomas, may be
associated with the prognosis of the disease.
PMID- 27876124
TI - Estimating the influence of aortic-stent grafts after endovascular aneurysm
repair: Are we missing something?
AB - The implantation of a stiff aortic endograft for endovascular abdominal aneurysm
repair (EVAR) has been reported to increase aortic stiffness and pulse wave
velocity (PWV), raising potential concern over deterioration of myocardial
performance. Yet, additional stiffness indices such as the augmentation index
(AIx), reflection magnitude (RM) and changes in augmentation pressure (AP) have
not been studied adequately to facilitate and improve our knowledge regarding the
ways that EVAR affects central hemodynamics. In this article it is suggested that
the implantation of an aortic stent-graft exerts its immediate effects not only
by interposing extra stiffness on the infrarenal segment but by also modifying
the pulse wave reflection site and changing the aortic flow field without
necessarily causing significant alterations in PWV. Hence, further studies on
myocardial performance in large patient populations are expected to delineate the
precise influence of different designs of EVAR endografts on the cardiovascular
hemodynamic which, in turn, can affect the morbidity and survival of these
patients.
PMID- 27876125
TI - Is there a relationship between sinusitis and psychological disorders?
AB - Chronic rhinosinusitis refers to inflammation of the nasal and sinuses mucosa and
the main criteria for diagnosis of this disease related to the nasal cavity or
the facial area. According to several reports based on the relationship of this
disease with mental disorders, psychological issues are missing in the criteria
of chronic rhinosinusitis diagnosis. In this study the etiology and clinical
symptoms of the disease were studied by searching scientific databases and
authentic Iranian Medicine books such as Avicenna's book The Canon of Medicine
(Al-Qanun fi't-Tibb) that now taught in medicine schools of the Traditional East
Asian; the results of this study showed that rhinosinusitis accompaniment with
psychological symptoms are listed in abundance not only in old books but also in
scientific literature before 1928 but after the discovery of antibiotics and
extreme attention in the context of physiopathological assignment of diseases to
pathogens, this relationship has been weakened. Given the positive results of
recent studies on rhinosinusitis accompaniment with psychological disorders it is
suggested that more studies are needed to explore the relationship between
chronic rhinosinusitis and psychological diseases and, if necessary, to be
included in the diagnostic criteria as a diagnostic standard.
PMID- 27876126
TI - Regular sun exposure benefits health.
AB - Since it was discovered that UV radiation was the main environmental cause of
skin cancer, primary prevention programs have been started. These programs advise
to avoid exposure to sunlight. However, the question arises whether sun-shunning
behaviour might have an effect on general health. During the last decades new
favourable associations between sunlight and disease have been discovered. There
is growing observational and experimental evidence that regular exposure to
sunlight contributes to the prevention of colon-, breast-, prostate cancer, non
Hodgkin lymphoma, multiple sclerosis, hypertension and diabetes. Initially, these
beneficial effects were ascribed to vitamin D. Recently it became evident that
immunomodulation, the formation of nitric oxide, melatonin, serotonin, and the
effect of (sun)light on circadian clocks, are involved as well. In Europe (above
50 degrees north latitude), the risk of skin cancer (particularly melanoma) is
mainly caused by an intermittent pattern of exposure, while regular exposure
confers a relatively low risk. The available data on the negative and positive
effects of sun exposure are discussed. Considering these data we hypothesize that
regular sun exposure benefits health.
PMID- 27876128
TI - Can subphysiological cold application be utilized in excessive dermal scarring
prophylaxis and treatment?: A promising hypothetical perspective.
AB - Excessive dermal scarring (EDS) is a wound healing complication, characterized by
protruded erythematous and inelastic 'proliferative scar tissue' which is
associated with increased and prolonged inflammation process within the wound
microenvironment. As inflammation plays a key role in this process, methods to
contain or attenuate excessive inflammation hold promise in treatment and
prophylaxis of EDS conditions. While cold exposure is notorious as the causative
agent a wide array of morbidities and fatalities, its tempered use is exploited
in medicine for ablative and therapeutic applications. "Subphysiological cold"
has been administered for its antiinflammatory effects which act via decreasing
vascular permeability and downregulating proliferation of cells in the wound
environment; this knowledge supports our hypothesis that "subphysiological cold
application" can also be utilized in human EDS prophylaxis and treatment. In this
study, we are reviewing the mechanisms of its both deleterious and therapeutic
actions and suggesting another possible application for prevention and/or
treatment of human EDS conditions.
PMID- 27876127
TI - In silico prediction of specific pathways that regulate mesangial cell
proliferation in IgA nephropathy.
AB - IgA nephropathy is one of the most common forms of primary glomerulonephritis
worldwide leading to end-stage renal disease. Proliferation of mesangial cells,
i.e., the multifunctional cells located in the intracapillary region of
glomeruli, after IgA- dominant immune deposition is the major histologic feature
in IgA nephropathy. In spite of several studies on molecular basis of
proliferation in these cells, specific pathways responsible for regulation of
proliferation are still to be discovered. In this study, we predicted a specific
signaling pathway started from transferrin receptor (TFRC), a specific IgA1
receptor on mesangial cells, toward a set of proliferation-related proteins. The
final constructed subnetwork was presented after filtration and evaluation. The
results suggest that estrogen receptor (ESR1) as a hub protein in the significant
subnetwork has an important role in the mesangial cell proliferation and is a
potential target for IgA nephropathy therapy. In conclusion, this study suggests
a novel hypothesis for the mechanism of pathogenesis in IgA nephropathy and is a
reasonable start point for the future experimental studies on mesangial
proliferation process in this disease.
PMID- 27876129
TI - Metabolic syndrome - A truly psychosomatic disorder? A global hypothesis.
AB - Exact cause of the metabolic syndrome [MS], a global epidemic, is still unclear.
Man has same fundamental needs to live as animals but modern man's life-style
compels him to acquire certainty of resources for all his needs in a complex
social network. Today money has become the sole life essential need. Contrarily
none of the animals needs to earn money. Brain is also an organ of the human body
with a unique thought process to define logical actions to achieve a person's
goals. This way life is a flow of desires followed by logical actions. The person
struggles to attain desired goals via the allostatic load but a perceived
insurmountable threat can make his flow of life stalled to freeze him. Published
data from varied branches of medical science indicates role of hormones in
overall homeostasis. Particularly multifaceted role of serotonin is well
documented. Adrenalin being the primary mediator of Cori cycle is also well
known. From the integration of observations from published data with reference to
common human's modern lifestyle, it is hypothesized that a perceived trapped
situation in life creates acute chaos of thoughts in brain, which results in
acute excess of stress hormones and concurrent depletion of resting hormones,
which in turn triggers MS. In global terms, MS indicates an acute imbalance of a
few hormones and implies psychosomatic roots of the disorder. This may pave a
better way in deciding a personalized holistic protocol with combination of
counter regulatory psychoactive medications.
PMID- 27876130
TI - The potential behavioral and economic impacts of widespread HMG-CoA reductase
inhibitor (statin) use.
AB - Dyslipidemia is a common pathology throughout the industrialized world, and HMG
CoA reductase inhibitors (statins) are often administered to treat elevated lipid
levels. Substantial concern has been raised regarding the aggressive clinical
lowering of cholesterol, particularly in light of a growing body of research
linking low circulating lipid levels with negative behavioral outcomes in both
human samples and non-human primate models. In 2009, Goldstein and colleagues
tentatively speculated that the greed, impulsiveness, and lack of foresight that
lead to the worldwide economic collapse in 2007-2008 could have been caused (in
part) by depressed population cholesterol levels resulting from the widespread
use of statins by workers in the financial services industry. This paper reviews
the literature that links low circulating lipid levels with neurobehavioral
dysfunction, develops Goldstein and colleagues' initial speculation into a formal
hypothesis, and proposes several specific studies that could rigorously
empirically evaluate this hypothesis.
PMID- 27876131
TI - Chemosensitization role of fulvestrant in combination with chemotherapy in
postmenopausal hormone receptor positive and human epidermal growth factor
negative metastatic breast cancer.
AB - In metastatic breast cancer (MBC), hormone receptor positive (HR+), human
epidermal growth factor negative (HER2-) subtype accounts for the majority. With
various new modalities available to prolong life span in this group of patients,
the effect is distant from optimum. Prevalent strategy of treating postmenopausal
HR+ HER2- MBC is application of chemotherapy (CT) after progression of disease on
endocrine therapy (ET) of several lines. Generally, ET targets HR+ ingredients
and CT works better with HR- tumor cells. HR+ MBC, though hormone-sensitive, has
HR- portion which reacts poorly to ET. Thus, sequential use of ET and CT neglects
its insensitive part and gives rise to drug resistance, while alleviation of
tumor burden is the top priority in metastatic setting. Chemohormonal therapy
(i.e. concomitant use of ET and chemotherapy) complements for the shortcoming of
current therapy strategy targeting both HR+ and HR- ingredients theoretically.
Fulvestrant, a pure estrogen receptor antagonist and down-regulator, could be a
promising agent using concurrently with CT based on chemosensitizing character
shown in preclinical and pilot clinical studies. It is hypothesized in this
article that chemohormonal therapy with concurrent fulvestrant and CT would be a
promising strategy in postmenopausal HR+ HER2- MBC patients. Proof of this
hypothesis would help control evolvement of tumor burden and acquirement of drug
resistance over a short period of time.
PMID- 27876132
TI - Possible role of intronic polymorphisms in the PHACTR1 gene on the development of
cardiovascular disease.
AB - Cardiovascular disease (CVD) is a complex multifactorial and polygenetic disease
in which the interaction of numerous genes, genetic variants, and environmental
factors plays a major role in its development. In an attempt to demonstrate the
association between certain genetic variants and CVD, researchers have run large
genomic wild association studies (GWAS) in recent decades. These studies have
correlated several genomic variants with the presence of CVD. Recently, certain
polymorphisms in the phosphatase and actin regulator 1 (PHACTR1) gene have been
shown to be associated with CVD (i.e., coronary artery disease, coronary artery
calcification, early onset myocardial infarction, cervical artery dissection and
hypertension) in different ethnic groups. It is important to state that all of
the described PHACTR1 genetic variants associated with CVD are located in non
translating gene regions known as introns. Thus, the purpose of this article is
to hypothesize the effect of certain intronic polymorphisms in the PHACTR1 gene
on pathological processes in the cardiovascular system. In addition, we present
compelling evidence that supports this hypothesis as well as a methodology that
could be used to assess the allelic effect using in vitro and in vivo models,
which will ultimately demonstrate the pathophysiological contribution of PHACTR1
intronic polymorphisms to the development of CVD.
PMID- 27876133
TI - Skin phenotypes can offer some insight about the association between telomere
length and cancer susceptibility.
AB - The role of telomere biology in cancer has been studied for a wide variety of
different cancers but the association with telomere length has been
controversial. This is because some cancers have been found to be associated with
longer telomeres in circulating white cells whilst other cancer types are more
common in individuals with shorter telomeres. Hence, there has been some
skepticism as to whether telomere length may be helpful in estimating cancer
risk. For melanoma, however, results have been fairly consistent showing that
longer telomeres are associated with an increased risk. This link was first
discovered because of a link between longer telomeres and a high number of naevi.
In contrast, for cutaneous squamous cell carcinomas, the relationship is reversed
with higher risk in individuals with shorter telomeres. Differences in skin
phenotypes with the presence of high number of naevi versus photoageing with
solar elastosis and solar keratoses have already been valuable for dermatologists
as the former phenotype is associated with melanoma whilst the latter is more
common in patients with squamous cell carcinoma of the skin. The hypothesis is
that the differences in cutaneous phenotypes already observed by dermatologists
for skin cancers may, in fact, be useful as well for cancer prediction in general
as it may reflect underlying telomere biology. This manuscript will address the
evidence for links between telomere biology, skin phenotypes and cancer risk.
PMID- 27876134
TI - Geniuses of medical science: Friendly, open and responsible, not mad.
AB - Recent studies based on biography analysis provide support for the notion that
the prevalence of mental illness in the creative geniuses of art, literature and
science is higher than it is in more ordinary folk. However, this relationship
between madness and genius, which was also addressed by the classical
philosophers, has been generalized to all branches of professional endeavour.
Whilst it may hold true for illustrious personalities of the fine arts, we found
that the relationship proves inappropriate to the biographies of ten individuals
renowned in history for their innovative contributions to medical science.
Furthermore, examination of these ten biographies invites the hypothesis that
certain personality traits - especially, agreeableness, conscientiousness and
openness to new experience - can act to enhance creativity and protect against
mental illness.
PMID- 27876135
TI - From molecules to behavior: An integrative theory of autism spectrum disorder.
AB - Autism spectrum disorder (ASD) comprises a group of neurodevelopmental disorders
for which various theories have been proposed. Each theory brings valuable
insights and has experimental evidence backing it, yet none provides an
overarching explanation for each of the pathological aspects involved in ASD.
Here we present an integrative theory of ASD, centered on a sequence of events
spanning from the molecular to the behavioral level. We propose that an
abnormality in the interplay between retinoic acid and sex hormones predisposes
an individual to specific molecular malfunctions. In turn, this molecular
syndrome generates an altered brain connectivity between the cerebellum, the
midbrain dopaminergic areas, and the prefrontal cortex. Lastly, this
disconnection would generate specific behavioral traits traditionally involved in
ASD. Therefore, this paper represents a step forward in unifying different levels
of pathological features into novel integrated testable hypotheses.
PMID- 27876136
TI - Worse or better?-Cirrhosis with hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) accounts for about 90% of all malignant tumors of
liver, ranking fifth in the worldwide incidence of malignant tumors and the third
in fatality. More and more evidences suggest that cancer is a metabolic-related
disease. From the analysis of recent clinical research data, we found that as the
severity of the cirrhosis aggravated, patients with HCC and end-stage liver
cirrhosis had a flat energy metabolism which was better than it in patients with
simple end-stage liver cirrhosis. Based on these clinical phenomenon, the major
aim of this study is to present a new hypothesis: "compensated liver function
mechanism" for patients with HCC and liver cirrhosis, cancer cells may play a
role to compensate liver function. In this study, we elaborated relevant content
about this novel standpoint combined with tumor energy metabolism reprogramming
mechanism and tumor cell origin as well as cell exchange mechanism.
PMID- 27876137
TI - Cathepsin K: The association between Cathepsin K expression and sphenoid sinus
invasion of pituitary adenomas.
AB - Pituitary adenomas with sphenoid sinus or clivus invasion are not uncommon, but
the pathogenesis responsible for this phenomenon remains unclear. Cathepsin K,
expressed predominantly in osteoclasts, can degrade type I collagen and plays an
essential role in bone resorption. Recent studies reported the expression of
Cathepsin K in various malignant tumors, such as bone, breast, lung and prostate
cancers, and its expression is further increased in bone metastasis or invasive
subpopulations. In addition, cathepsin K inhibitors (CatKi) were demonstrated to
effectively prevent or reduce osteolytic lesions in breast cancers with bone
metastasis. In comparable to bone metastasis of breast carcinomas, pituitary
adenomas with sphenoid sinus or clivus invasion lead to osteolytic lesions.
However, the role of Cathepsin K in sphenoid sinus or clivus invasion of
pituitary adenomas has not been identified. Therefore, we presume that Cathepsin
K may have a great importance in sphenoid sinus or clivus invasion and CatKi are
promising potential therapy for the suppression or prevention of sphenoid sinus
or clivus invasion of pituitary adenomas.
PMID- 27876138
TI - Adenomatoid odontogenic tumor: What is the true nature?
AB - The Adenomatoid odontogenic tumor (AOT) is one of the most controversial benign
odontogenic tumor, which has been known to the pathologists for the past 100
years. Since then the history, histogenesis and histopathologic designation of
AOT remains a matter of debate. Some authors consider it as a true benign
neoplasm while others consider it as a hamartoma and still others as an
odontogenic cyst. Here we propose that the AOT should not be considered as a cyst
because its true cystic nature remains questionable. We hypothesize that when the
AOT arises from a change in REE covering of the impacted tooth, then it appears
as cystic in nature & certainly not a true cyst by origin. Further studies on the
histogenesis are required to change the nomenclature of AOT to adenomatoid
odontogenic cyst (AOC).
PMID- 27876139
TI - Conversations between insulin and bone: Potential mechanism of high bone density
in patients with Berardinelli-Seip Congenital Lipodystrophy.
AB - Berardinelli-Seip Congenital Lipodystrophy (BSCL) is a rare autosomal recessive
syndrome characterized by a difficulty storing lipid in adipocytes, low body fat,
hypertriglyceridemia, and fat liver. The serum leptin is usually very low, and
serum insulin, as well as HOMAIR (homeostasis model assessment), is very high and
correlated positively with bone mineral density (BMD). Despite
deficiency/insufficiency of vitamin D, low body mass index, low daily calcium
intake, physical inactivity, and menarche at a later age, BSCL patients usually
have normal or even high BMD. We hypothesize that low leptin and high insulin may
play a role in this outcome. Understanding the potential pathophysiological
mechanism of these bone abnormalities will help to clarify the effects of extreme
insulin resistance in the bone.
PMID- 27876140
TI - Retinal pigment epithelium cell-derived exosomes: Possible relevance to CNV in
wet-age related macular degeneration.
AB - Exosomes are small vesicles that are released by almost every cell type and play
a crucial role in many physiological and pathological processes associated with
different diseases. Specifically, they promote angiogenesis in the pathogenesis
of some diseases. According to previous research, the proteins of exosomes taken
from the aqueous humor (AH) of patients with wet-age related macular degeneration
(AMD) may function as a new diagnostic biomarker of AMD, suggesting that exosomes
may play an important role in the occurrence and development of choroidal
neovascularization (CNV). Moreover, additional research has revealed that the
levels of some protein makers of exosomes are up-regulated in aged retinal
pigment epithelium (RPE) and that drusen and oxidative stress may promote the
secretion of exosomes derived from RPE cells. Consequently, we hypothesize that
RPE cell-derived exosomes may be relevant to CNV in wet AMD. If this hypothesis
is proven correct, future studies based on this link may also help to elucidate
the molecular mechanisms of wet AMD and to find new therapeutic targets for the
treatment of AMD.
PMID- 27876141
TI - SERS as an analytical tool in environmental science: The detection of
sulfamethoxazole in the nanomolar range by applying a microfluidic cartridge
setup.
AB - Sulfamethoxazole (SMX) is a commonly applied antibiotic for treating urinary
tract infections; however, allergic reactions and skin eczema are known side
effects that are observed for all sulfonamides. Today, this molecule is present
in drinking and surface water sources. The allowed concentration in tap water is
2.10-7 mol L-1. SMX could unintentionally be ingested by healthy people when
drinking contaminated tap water, representing unnecessary drug intake. To assess
the quality of tap water, fast, specific and sensitive detection methods are
required, in which consequence measures for improving the purification of water
might be initiated in the short term. Herein, the quantitative detection of SMX
down to environmentally and physiologically relevant concentrations in the
nanomolar range by employing surface-enhanced Raman spectroscopy (SERS) and a
microfluidic cartridge system is presented. By applying surface-water samples as
matrices, the detection of SMX down to 2.2.10-9 mol L-1 is achieved, which
illustrates the great potential of our proposed method in environmental science.
PMID- 27876142
TI - Magnetic polyethyleneimine functionalized reduced graphene oxide as a novel
magnetic solid-phase extraction adsorbent for the determination of polar acidic
herbicides in rice.
AB - A novel magnetic polyethyleneimine modified reduced graphene oxide (Fe3O4@PEI
RGO) had been fabricated based on a self-assemble approach between positive
charged magnetic polyethyleneimine (Fe3O4@PEI) and negative charged GO sheets via
electrostatic interaction followed by chemical reduction of GO to RGO. The as
prepared Fe3O4@PEI-RGO was characterized by transmission electron microscopy
(TEM), Fourier transform infrared spectrometry (FT-IR), X-ray diffraction (XRD),
thermal gravimetric analyzer (TGA), vibrating sample magnetometer (VSM) and zeta
potential analysis, and then was successfully applied to determine four phenoxy
acid herbicides and dicamba in rice coupled with high performance liquid
chromatography (HPLC). As a surface modifier of RGO, PEI not only effectually
affected the surface property of RGO (e.g. zeta potential), but also changed the
polarity of RGO and offered anion exchange groups to polar acidic herbicides,
which would directly influence the type of adsorbed analytes. Compared with
Fe3O4@PEI, Fe3O4/RGO and Fe3O4@PEI-GO, the as-prepared Fe3O4@PEI-RGO, integrating
the superiority of PEI and RGO, showed higher extraction efficiency for polar
acidic herbicides. Besides, the adsorption mechanism was investigated as well. It
turned out that electrostatic interaction and pi-pi interaction were considered
to be two major driving force for the adsorption process. Response surface
methodology (RSM), a multivariate experimental design technique, was used to
optimize experimental parameters affecting the extraction efficiency in detail.
Under the optimal conditions, a satisfactory performance was obtained. The
calibration curves were linear over the concentration ranging from 2 to 300 ng g
1 with correlation coefficients (r) between 0.9985 and 0.9994. The limits of
detection (LODs) were in the range of 0.67-2 ng g-1. The recoveries ranged from
87.41% to 102.52% with relative standard deviations (RSDs) less than 8.94%. Taken
together, the proposed method was an efficient pretreatment and enrichment
procedure and could be successfully applied for selective extraction and
determination of polar acidic herbicides in complex matrices.
PMID- 27876143
TI - A dispersive liquid-liquid microextraction using a switchable polarity dispersive
solvent. Automated HPLC-FLD determination of ofloxacin in chicken meat.
AB - In this article, dispersive liquid-liquid microextraction (DLLME), based on the
use of so-called switchable polarity dispersive solvent (SPDS) for
microextraction, is presented for the first time. The new extraction technique
makes use of a mixture of extraction solvent (dichloromethane) and the SPDS
(acrylic acid). This mixture is injected into the aqueous sample solution, which
was previously fortified with the alkaline agent (NaOH). The SPDS is dissolved in
aqueous phase and a cloudy solution consisting of fine droplets of extraction
solvent fully dispersed in the aqueous phase is observed. Simultaneously, as a
consequence of the fast neutralization reaction, the SPDS investigated is
converted into water-soluble salt and phase separation is achieved because the
SPDS switches its polarity. Conversion of the SPDS excludes the negative
influence of the conventional dispersive solvents used in DLLME on the solubility
of target analytes in aqueous phase and, as a result, increases the DLLME
efficiency. The proposed extraction technique was automated based on a flow
system and coupled with high performance liquid chromatography system with
fluorescence detection (HPLC-FLD) and demonstrated by the determination of
ofloxacin (OFLX) in chicken meat samples. This analytical task was used as a
proof-of-concept example. The automated method includes on-line ultrasound
assisted solid-liquid extraction of OFLX from chicken meat samples followed by
DLLME using SPDS, solvent exchange and the determination by HPLC-FLD. Under the
optimal conditions, the detector response for OFLX was linear in concentration
range of 6.10-9 - 5.10-7 mol L-1. The limit of detection, calculated from a blank
test based on 3sigma, was 2.10-9 mol L-1.
PMID- 27876144
TI - In-tip nanoreactors for cancer cells proteome profiling.
AB - Mass spectrometry (MS)-based proteome profiling is essential for molecular
diagnostics in modern biomedical study. To date, sample preparation including
protein extraction and proteolysis is still very challenging and lack of
efficiency. Recently tips-based sample preparation protocols exhibit strong
potentials to achieve the goal of "a proteome in an hour". However, in-tip
proteolysis is still rarely reported and far from ideal for dealing with complex
bio-samples. In this work, nanoreactors encapsulated micropipette tips were
demonstrated as high performance devices for fast (~minutes) and multiplexing
proteolysis to assist the profiling of cancer cells proteome. Nanoporous silica
materials with controlled pore size and surface chemistry were prepared as
nanoreactors and encapsulated in micropipette tips for efficient in situ
proteolysis. The as-constructed device showed desirable sensitivity (LOD of 0.204
+/- 0.008 ng/MUL and LOQ of 0.937 +/- 0.055 ng/MUL), selectivity, stability (two
months under -20 degrees C), reusability (at least 10 times), and little memory
effect in MS based bottom-up proteomic analysis. It was used for comprehensive
protein mapping from cancer cell lines. The number of identified proteins was
increased by 18%, 22%, 52%, and 52% dealing with HepG2, F56, MCF7, and HCCLM3
cancer cells, compared to traditional in-solution proteolysis based bottom-up
proteomic strategy. With the enhanced performance, our work built a novel,
efficient and miniaturized platform for facile proteomic sample preparation,
which is promising for advanced biomarkers discovery in biomedical study.
PMID- 27876145
TI - Entropy-driven reactions in living cells for assay let-7a microRNA.
AB - Imaging of microRNA (miRNA) in living cells could facilitate the monitoring of
the expression and distribution of miRNA and research on miRNA-related diseases.
Given the low expression levels and even down-regulation of cellular miRNA that
is associated with some diseases, enzyme-free amplification strategies are
imperative for intracellular miRNA assay. In this work, we report an entropy
driven reaction for amplification assay miRNA with a detection limit of 0.27 pM.
The resulting signal amplification provides excellent recognition and signal
enhancement of specific miRNAs in living cells. This method supplies accurate
information regarding cellular miRNA-related biological events and provides a new
tool for highly sensitive and simultaneous imaging of multiple low-level
biomarkers, thereby improving the accuracy of early disease diagnosis.
PMID- 27876146
TI - Vertical-flow paper SERS system for therapeutic drug monitoring of flucytosine in
serum.
AB - A number of life-saving drugs require therapeutic drug monitoring (TDM) for safe
and effective use. Currently, however, TDM is performed using sophisticated
analytical techniques relegated to central labs, increasing the cost per test and
time to answer. Here, using a novel vertical flow membrane system with inkjet
printed surface enhanced Raman sensors, along with a portable spectrometer, we
demonstrate a low cost and easy to use device to quantify levels of flucytosine,
an antifungal that requires TDM for effective patient care, from undiluted human
serum. To our knowledge, this work represents the first report of a passive
vertical flow sample cleanup method with surface enhanced Raman detection. We
first investigated and optimized the parameters of the vertical flow system for
the detection of flucytosine in spiked serum samples. Then, using an optimized
vertical-flow system utilizing nitrocellulose membranes and a paper SERS sensor,
we achieved detection of down to 10 MUg mL-1 flucytosine in undiluted serum, with
quantitative detection across the entire therapeutic range. This system reduces
the assay time to about 15 min, far quicker than the current gold standards. We
anticipate that this novel system will enable near-patient therapeutic drug
monitoring, leading to the safe and effective administration of a number of life
saving drugs. Furthermore, it will spawn the development of SERS detection
systems capable of separating target analytes from real-world biological
matrices.
PMID- 27876147
TI - Facile fabrication of hydrophilic PAA-Ti/TiO2 nanocomposite for selective
enrichment and detection of phosphopeptides from complex biological samples.
AB - Highly selective enrichment of trace phosphorylated proteins or peptides from
complex biological samples is of profound significance for the discovery of
disease biomarkers in biological systems. In this study, a novel affinity
material has been synthesized to improve the enrichment specificity for
phosphopeptides by using PAAS as coupling molecule. In the resulting materials,
highly abundant titanium is available for selective enrichment of
phosphopeptides, with plenty of carboxylate groups that can inhibit nonspecific
adsorption. The enrichment results demonstrated that the hydrophilic PAA-Ti/TiO2
composite possesses excellent selectivity for phosphopeptides even at a very low
molar ratio of phosphopeptides/non-phosphopeptides (1:1000), extreme sensitivity
(the detection limit was at the fmol level), and high recovery of phosphopeptides
(as high as 78%). Moreover, the as-prepared nanocomposite provides effective
enrichment of phosphopeptides from real samples (mouse liver), showing great
potential in the detection of low-abundance phosphopeptides in biological
samples.
PMID- 27876149
TI - Gas chromatographic determination of perfluorocarboxylic acids in aqueous samples
- A tutorial review.
AB - Determination of perfluorocarboxylic acids (PFCAs) by gas chromatography (GC) has
been undertaken since 1980. However, only small number of studies can be found in
the literature due to the major drawbacks associated with the GC determination of
PFCAs such as high detection limits, a small range of analytes, long analysis
time, and laborious derivatization prior to chromatographic separation. Liquid
chromatography-tandem mass spectrometry (LC-MS2) can overcome these limitations
of GC, and therefore has become the method of choice for the determination of
PFCAs since 2001. Nevertheless, GC as a low-cost and commonly available
analytical technique should not be ignored because of its inherent advantage over
LC to identify PFCA isomers in environmental and biological matrices owing to its
high-resolution power. In addition, GC provides an opportunity to crosscheck LC
MS2 results that are often suspicious due to background contamination. This
tutorial provides an overview of GC methods that have been used for the
determination of PFCAs after derivatization. Moreover, performance
characteristics of GC-MS are compared with that of LC-MS2. PFCAs in aqueous
samples were determined by both analytical techniques, and two sets of
measurements were compared using the Bland-Altman plot. For both methods, reasons
for false-positive and false-negative results (overestimation and underestimation
of the PFCA concentration, respectively) are discussed, and accordingly some
advice is offered on how to avoid erroneous results. Finally, major applications
of GC and its future perspectives for the determination of PFCAs are discussed.
PMID- 27876148
TI - Fc-specific biotinylation of antibody using an engineered photoactivatable Z
Biotin and its biosensing application.
AB - The development of a site-specific and covalent attachment methodology is crucial
for antibody-biotin conjugates to preserve the antigen-binding ability of
antibodies and yield homogeneous products. In this study, an engineered
photoactivatable Z-domain variant [an UV-active amino acid benzoylphenylalanine
(Bpa) was genetically incorporated into the Z-domain] carrying one biotin
molecule (ZBpa-Biotin) was prepared by employing aminoacyl-tRNA
synthetase/suppressor tRNA and Avitag/BirA techniques. The site-specific and
covalent attachment of IgG-biotin conjugates, viz. photo-biotinylated IgG, was
successfully achieved after UV exposure by combining the inherent Fc-binding
capability of the Z-domain with the formation of covalent bond by the photo
crosslinker. Sodium dodecyl sulfate-polyacrylamide gel electrophoresis assay
showed that more than 90% of IgGs conjugated with ZBpa-Biotin molecules suffered
3 h UV irradiation. Further pepsin digestion analysis confirmed that the ZBpa
Biotin was conjugated to the Fc fragment of IgG without interference. We took the
tumor biomarker carcinoembryoic antigen (CEA) as model to evaluate the detection
efficiency of the site-specific photo-biotinylated IgG in biosensing application
using surface plasmon resonance (SPR) technology. The photo-biotinylated IgG
coated surface gave a limit of detection (LOD) of 2 ng mL-1, is 5-fold lower than
that of the randomly NHS-biotinylated IgG (10 ng mL-1). Given that the
(strept)avidin-biotin complex is extensively used in immunoassays, the proposed
method for biotinylated IgG provides a powerful approach to further expand
related applications.
PMID- 27876150
TI - Coupling hybridization chain reaction with DNAzyme recycling for enzyme-free and
dual amplified sensitive fluorescent detection of methyltransferase activity.
AB - Aberrant DNA methylation originated from changes in DNA methyltransferase
activity can lead to many genetic diseases and tumor types, and the monitoring of
methyltransferase activity is thus of great importance in disease diagnosis and
drug screening. In this work, by combing hybridization chain reaction (HCR) and
metal ion-dependent DNAzyme recycling, we have developed a convenient enzyme-free
signal amplification strategy for highly sensitive detection of DNA adenine
methyltransferase (Dam MTase) activity and its inhibitors. The Dam MTase-induced
methylation and subsequent cleavage of the methylated hairpin DNA probes by DpnI
endonuclease lead to the release of ssDNA triggers for HCR formation of many Mg2+
dependent DNAzymes, in which the fluorescently quenched substrate sequences are
catalytically and cyclically cleaved by Mg2+ to generate remarkably amplified
fluorescent signals for highly sensitive detection of Dam MTase at 7.23 * 10-4
U/mL. In addition, the inhibition of different drugs to Dam MTase activity can
also be evaluated with the developed method. With the advantages of simplicity
and significant signal amplification over other common methods, the demonstrated
biosensing approach thus offers great potential for highly sensitive detection of
various methyltransferases and provides a convenient platform for drug screening
for therapeutic applications.
PMID- 27876153
TI - Correction.
PMID- 27876154
TI - Corrigendum to Automatic and controlled stimulus processing in conflict tasks:
Superimposed diffusion processes and delta functions [Cogn. Psychol. 78 (2015)
148-174].
PMID- 27876155
TI - A Dual Hit Model for Dopamine in Schizophrenia.
PMID- 27876156
TI - Withdrawal.
PMID- 27876151
TI - Identification of an Epitope from Adenine Nucleotide Translocator 1 That Induces
Inflammation in Heart in A/J Mice.
AB - Heart failure, a leading cause of death in humans, can emanate from myocarditis.
Although most individuals with myocarditis recover spontaneously, some develop
chronic dilated cardiomyopathy. Myocarditis may result from both infectious and
noninfectious causes, including autoimmune responses to cardiac antigens. In
support of this notion, intracellular cardiac antigens, like cardiac myosin heavy
chain-alpha, cardiac troponin-I, and adenine nucleotide translocator 1 (ANT1),
have been identified as autoantigens in cardiac autoimmunity. Herein, we
demonstrate that ANT1 can induce autoimmune myocarditis in A/J mice by generating
autoreactive T cells. We show that ANT1 encompasses multiple immunodominant
epitopes (namely, ANT1 21-40, ANT1 31-50, ANT1 171-190, and ANT1 181-200).
Although all four peptides induce comparable T-cell responses, only ANT1 21-40
was found to be a major myocarditogenic epitope in immunized animals. The
myocarditis-inducing ability of ANT1 21-40 was associated with the generation of
T cells producing predominantly IL-17A, and the antigen-sensitized T cells could
transfer the disease to naive recipients. These data indicate that cardiac
mitochondrial proteins can be target autoantigens in myocarditis, supporting the
notion that the antigens released as a result of primary damage may contribute to
the persistence of chronic inflammation through autoimmunity.
PMID- 27876152
TI - The Role of Substance P in Pulmonary Clearance of Bacteria in Comparative Injury
Models.
AB - Neural input to the immune system can alter its ability to clear pathogens
effectively. Patients suffering mild traumatic brain injury (mTBI) have shown
reduced rates of pneumonia and a murine model replicated these findings, with
better overall survival of TBI mice compared with sham-injured mice. To further
investigate the mechanism of improved host response in TBI mice, this study
developed and characterized a mild tail trauma model of similar severity to mild
TBI. Both mild tail trauma and TBI induced similar systemic changes that
normalized within 48 hours, including release of substance P. Examination of
tissues showed that injuries are limited to the target tissue (ie, tail in tail
trauma, brain in mTBI). Pneumonia challenge showed that mild TBI mice showed
improved immune responses, characterized by the following: i) increased survival,
ii) increased pulmonary neutrophil recruitment, iii) increased bacterial
clearance, and iv) increased phagocytic cell killing of bacteria compared with
tail trauma. Administration of a neurokinin-1-receptor antagonist to block
substance P signaling eliminated the improved survival of mTBI mice. Neurokinin-1
receptor antagonism did not alter pneumonia mortality in tail trauma mice. These
data show that immune benefits of trauma are specific to mTBI and that tail
trauma is an appropriate control for future studies aimed at elucidating the
mechanisms of improved innate immune responses in mTBI mice.
PMID- 27876157
TI - Addressing Cognitive Deficits in Schizophrenia: Toward a Neurobiologically
Informed Approach.
PMID- 27876158
TI - Is Bicarbonate Therapy Useful?
AB - Despite concerns about the negative effects of metabolic acidosis, there is
minimal evidence that sodium bicarbonate administration is an effective
treatment. In addition, sodium bicarbonate therapy is associated with many
adverse effects, including paradoxic intracellular acidosis, hypokalemia,
hypocalcemia, hypernatremia, and hyperosmolality. Definitive recommendations
regarding bicarbonate therapy are challenging as there is little high-quality
evidence available. In most clinical scenarios of metabolic acidosis, treatment
efforts should focus on resolution of the underlying cause, and sodium
bicarbonate therapy should be used with caution, if at all. An exception to this
is kidney disease, wherein sodium bicarbonate therapy may have a valuable role.
PMID- 27876159
TI - Natural radionuclides in lichens, mosses and ferns in a thermal power plant and
in an adjacent coal mine area in southern Brazil.
AB - The radio-elements 234U, 235U, 238U, 230Th, 232Th and 210Po were characterized in
lichens, mosses and ferns species sampled in an adjacent coal mine area at
Figueira City, Parana State, Brazil, due to their importance for the assessment
of human exposure related to the natural radioactivity. The coal is geologically
associated with a uranium deposit and has been used as a fossil fuel in a thermal
power plant in the city. Samples were initially prepared at LABIDRO (Isotopes and
Hydrochemistry Laboratory), UNESP, Rio Claro (SP), Brazil. Then, alpha
spectrometry after several radiochemical steps was used at the Applied Nuclear
Physics Laboratories, University of Seville, Seville, Spain, for measuring the
activity concentration of the radionuclides. It was 210Po the radionuclide that
most bio-accumulates in the organisms, reaching the highest levels in mosses. The
ferns species were less sensitive as bio-monitor than the mosses and lichens,
considering polonium in relation to other radionuclides. Fruticose lichens
exhibited lower polonium content than the foliose lichens sampled in the same
site. Besides biological features, environmental characteristics also modify the
radio-elements absorption by lichens and mosses like the type of vegetation
covering these organisms, their substrate, the prevailing wind direction,
elevation and climatic conditions. Only 210Po and 238U correlated in ferns and in
soil and rock materials, being particulate emissions from the coal-fired power
plant the most probable U-source in the region. Thus, the biomonitors used were
able to detect atmospheric contamination by the radionuclides monitored.
PMID- 27876160
TI - An analysis of the radioactive contamination due to radon in a granite processing
plant and its decontamination by ventilation.
AB - This work focuses on studying concentration distribution of 222Rn radioisotope in
a granite processing plant. Using Computational Fluid Dynamic Techniques (CFD),
the exposure of the workers to radiation was assessed and, in order to minimise
this exposure, different decontamination scenarios using ventilation were
analysed. Natural ventilation showed not sufficient to maintain radon
concentration below acceptable limits, so a forced ventilation was used instead.
Position of the granite blocks also revealed as a determining factor in the
radioactive level distribution. Thus, a correct layout of the stored material and
an adequate ventilation system can guarantee free of exposure to radiation zones
within the studied workshop. This leads to a drastic fall in the exposure of the
workers and consequently minimises their risk of developing aggressive illness
like lung cancer.
PMID- 27876161
TI - Intermaxillary fixation with intraoral plates and screws.
PMID- 27876162
TI - Predictive factors of hospital stay in patients with odontogenic maxillofacial
infections: the role of C-reactive protein.
AB - To investigate whether clinical or laboratory variables on admission of patients
with odontogenic infections are associated with a severe clinical course and a
prolonged hospital stay, we hypothesised that specific factors such as the serum
concentration of C-reactive protein (CRP) may act as predictors of the duration
of stay. We designed a prospective patient-oriented study that included all those
treated for maxillofacial infections of odontogenic origin in the Oral and
Maxillofacial Surgery Department of Northampton General Hospital between November
2013 and December 2014. A total of 71 were enrolled. We found that the
concentration of CRP was a significant predictor of hospital stay (p=0.01). Its
measurement on admission can predict the likely duration of stay of these
patients and enable beds to be managed more efficiently.
PMID- 27876164
TI - Measuring the environmental sustainability performance of global supply chains: A
multi-regional input-output analysis for carbon, sulphur oxide and water
footprints.
AB - Measuring the performance of environmentally sustainable supply chains instead of
chain constitute has become a challenge despite the convergence of the
underlining principles of sustainable supply chain management. This challenge is
exacerbated by the fact that supply chains are inherently dynamic and complex and
also because multiple measures can be used to characterize performances. By
identifying some of the critical issues in the literature regarding performance
measurements, this paper contributes to the existing body of literature by
adopting an environmental performance measurement approach for economic sectors.
It uses economic sectors and evaluates them on a sectoral level in specific
countries as well as part of the Global Value Chain based on the established
multi-regional input-output (MRIO) modeling framework. The MRIO model has been
used to calculate direct and indirect (that is supply chain or upstream)
environmental effects such as CO2, SO2, biodiversity, water consumption and
pollution to name just a few of the applications. In this paper we use MRIO
analysis to calculate emissions and resource consumption intensities and
footprints, direct and indirect impacts, and net emission flows between
countries. These are exemplified by using carbon emissions, sulphur oxide
emissions and water use in two highly polluting industries; Electricity
production and Chemical industry in 33 countries, including the EU-27, Brazil,
India and China, the USA, Canada and Japan from 1995 to 2009. Some of the
highlights include: On average, direct carbon emissions in the electricity sector
across all 27 member states of the EU was estimated to be 1368 million tons and
indirect carbon emissions to be 470.7 million tons per year representing 25.6% of
the EU-27 total carbon emissions related to this sector. It was also observed
that from 2004, sulphur oxide emissions intensities in electricity production in
India and China have remained relatively constant at about 62.8 gSOx/,
respectively, $ and 84.4 gSOx/$ although being higher than in other countries. In
terms of water use, the high water use intensity in China (1040.27 L/$) and India
(961.63 L/$), which are among the highest in the sector in the electricity sector
is exacerbated by both countries being ranked as High Water Stress Risk
countries. The paper also highlights many advantages of the MRIO approach
including: a 15-year time series study (which provides a measurement of
environmental performance of key industries and an opportunity to assess
technical and technological change during the investigated time period), a supply
chain approach that provides a consistent methodological framework and accounts
for all upstream supply chain environmental impacts throughout entire global
supply chains. The paper also discusses the implications of the study to
environmental sustainability performance measurement in terms of the level of
analysis from a value chain hierarchy perspective, methodological issues,
performance indicators, environmental exchanges and policy relevance.
PMID- 27876163
TI - Process control charts in infection prevention: Make it simple to make it happen.
AB - BACKGROUND: Quality improvement is central to Infection Prevention and Control
(IPC) programs. Challenges may occur when applying quality improvement
methodologies like process control charts, often due to the limited exposure of
typical IPs. Because of this, our team created an open-source database with a
process control chart generator for IPC programs. The objectives of this report
are to outline the development of the application and demonstrate application
using simulated data. METHODS: We used Research Electronic Data Capture (REDCap
Consortium, Vanderbilt University, Nashville, TN), R (R Foundation for
Statistical Computing, Vienna, Austria), and R Studio Shiny (R Foundation for
Statistical Computing) to create an open source data collection system with
automated process control chart generation. We used simulated data to test and
visualize both in-control and out-of-control processes for commonly used metrics
in IPC programs. RESULTS: The R code for implementing the control charts and
Shiny application can be found on our Web site (https://github.com/ul-research
support/spcapp). Screen captures of the workflow and simulated data indicating
both common cause and special cause variation are provided. CONCLUSIONS: Process
control charts can be easily developed based on individual facility needs using
freely available software. Through providing our work free to all interested
parties, we hope that others will be able to harness the power and ease of use of
the application for improving the quality of care and patient safety in their
facilities.
PMID- 27876166
TI - [8th congress on Real World Data, Paris, June 2nd 2016].
PMID- 27876167
TI - [18F]-Fluorodeoxyglucose Positron Emission Tomography in the Diagnosis, Treatment
Stratification, and Monitoring of Patients with Retroperitoneal Fibrosis: A
Prospective Clinical Study.
AB - BACKGROUND: The ability to distinguish malignant from benign retroperitoneal
fibrosis (RPF) and to select patients who are likely to respond to steroid
treatment using a noninvasive test would be a major step forward in the
management of patients with RPF. OBJECTIVE: To prospectively evaluate the
potential of [18F]-fluorodeoxyglucose positron emission tomography (FDG-PET) to
improve clinical decision-making and management of RPF. DESIGN, SETTING, AND
PARTICIPANTS: A total of 122 RPF patients were assessed and managed by a
multidisciplinary RPF service between January 2012 and December 2015. Of these,
78 patients underwent 101 FDG-PET scans, as well as computed tomography and blood
tests. Management was based on the findings from these investigations. Median
follow-up was 16 mo. RESULTS AND LIMITATIONS: Of the 24 patients with negative
[18F]-FDG-PET, none (0%) had malignancy on biopsy (negative predictive value
100%). [18F]-FDG-PET identified malignancy in 4/4 patients (100%) before biopsy.
All four patients had highly avid PET (maximum standardised uptake value >=4)
with atypical avidity distribution. [18F]-FDG-PET revealed avidity in 19/38
patients (50%) with normal inflammatory markers and no avidity in 10/63 patients
(16%) with raised marker levels. Patients with highly avid PET were significantly
more likely to respond to steroids compared to those with low avidity (9/11 [82%]
vs 3/24 [12%]; p<0.01) or negative PET (9/11 [82%] vs 0/14 [0%]; p<0.01).
Limitations include the small number of patients and the predominance of tertiary
referrals, which may represent patients with particularly problematic RPF.
CONCLUSIONS: This study has established a promising role for [18F]-FDG-PET in
optimising and individualising the treatment of RPF. PATIENT SUMMARY: This study
shows that [18F]-fluorodeoxyglucose positron emission tomography scans could
reduce the need for biopsy in patients with retroperitoneal fibrosis (RPF). This
technique can distinguish cancer from noncancerous RPF, and may be better than
blood tests in assessing and monitoring RPF. It also appears to predict a
patient's response to steroids, which should allow more individualised treatment.
PMID- 27876168
TI - Re: Daniel E. Spratt, Hebert A. Vargas, Zachary S. Zumsteg, et al. Patterns of
Lymph Node Failure after Dose-escalated Radiotherapy: Implications for Extended
Pelvic Lymph Node Coverage. Eur Urol 2017;71:37-43: A Step Forward in the Era of
Functional Imaging?
PMID- 27876170
TI - Corrigendum to "Determinants of brain-derived neurotrophic factor (BDNF) in
umbilical cord and maternal serum" [Psychoneuroendocrinology 63 (2016) 191-197].
PMID- 27876165
TI - Application of Research Domain Criteria to childhood and adolescent impulsive and
addictive disorders: Implications for treatment.
AB - The Research Domain Criteria (RDoC) initiative provides a large-scale,
dimensional framework for the integration of research findings across traditional
diagnoses, with the long-term aim of improving existing psychiatric treatments. A
neurodevelopmental perspective is essential to this endeavor. However, few papers
synthesizing research findings across childhood and adolescent disorders exist.
Here, we discuss how the RDoC framework may be applied to the study of childhood
and adolescent impulsive and addictive disorders in order to improve
neurodevelopmental understanding and to enhance treatment development. Given the
large scope of RDoC, we focus on a single construct highly relevant to addictive
and impulsive disorders - initial responsiveness to reward attainment. Findings
from genetic, molecular, neuroimaging and other translational research
methodologies are highlighted.
PMID- 27876169
TI - [Bacillus isolates from rhizosphere of cacti improve germination and bloom in
Mammillaria spp. (Cactaceae)].
AB - Cacti are the most representative vegetation of arid zones in Mexico where
rainfall is scarce, evapotranspiration is high and soil fertility is low. Plants
have developed physiological strategies such as the association with
microorganisms in the rhizosphere zone to increase nutrient uptake. In the
present work, four bacterial isolates from the rhizosphere of Mammillaria
magnimamma and Coryphantha radians were obtained and named as QAP3, QAP19, QAP22
and QAP24, and were genetically identified as belonging to the genus Bacillus,
exhibiting in vitro biochemical properties such as phosphate solubilization,
indoleacetic acid production and ACC deaminase activity related to plant growth
promotion, which was tested by inoculating M. magnimamma seeds. It was found that
all isolates increased germination from 17 to 34.3% with respect to the
uninoculated control seeds, being QAP24 the one having the greatest effect,
accomplishing the germination of viable seeds (84.7%) three days before the
control seeds. Subsequently, the inoculation of Mammillari zeilmanniana plants
with this isolate showed a positive effect on bloom, registering during two
months from a one year period, an increase of up to 31.0% in the number of
flowering plants compared to control plants. The characterized Bacillus spp.
isolates have potential to be used in conservation programs of plant species from
arid zones.
PMID- 27876171
TI - Cardiovascular Risk Factor Management Performance in Canada and the United
States: A Systematic Review.
AB - BACKGROUND: Comparative cardiovascular risk factor care across North America is
unknown. We aimed to determine current performance in Canada and the United
States (US). METHODS: A systematic review was conducted of Medline and EMBASE (to
June 1, 2014). Eligible studies reported on screening, awareness, treatment, or
control rates for hypertension, dyslipidemia, diabetes, and smoking. Categorical
performance 'ratings' on the basis of the most successful US health plans were
used to classify rates as suboptimal (< 50%), below target (50%-70%), above
target (70%-90%), or optimal (> 90%). RESULTS: A total of 127 studies reporting
on 10,510,324 individuals across North America were included. Hypertension
awareness (84.3%) and treatment (82.0%) rates in Canada and the US (82.7% and
75.6%, respectively) were above target, whereas control in both nations was below
target (68.1% vs 51.8%, respectively). Canadian awareness, treatment, and control
rates for dyslipidemia (42.7%, 40.9%, and 41.5%, respectively) were suboptimal,
and American indicators were generally below target (61.5%, 43.0%, and 63.6%,
respectively). Canada and the US showed diabetes awareness (88.2% vs 86.8%) and
treatment rates (82.3% vs 82.5%) above target. However, glucose control was
suboptimal in Canada (35.3%), and below target in the US (58.8%). There was a
modest decline in absolute smoking prevalence rates in Canada from 1999 to 2013
(25.2% to 14.6%). Screening for tobacco use (72.2%) and counselling rates (73.8%)
in the US were above target. CONCLUSIONS: Substantial variation exists in
cardiovascular risk factor care across North America. Standardized reporting,
dissemination of practice guidelines, and setting explicit goal-directed targets
for performance might facilitate improvement.
PMID- 27876172
TI - Cystatin C Versus Creatinine for GFR Estimation in CKD Due to Heart Failure.
PMID- 27876173
TI - Automated detection of bone metastatic changes using serial CT scans.
AB - Bone metastases resulting from a primary tumor invasion to the bone are common
and cause significant morbidity in advanced cancer patients. Although the
detection of bone metastases is often straightforward, it is difficult to
identify their spread and track their changes, particularly in early stages. This
paper presents a novel method that automatically finds the changes in appearance
and the progress of bone metastases using longitudinal CT images. In contrast to
previous methods based on nodule detection within a specific bone site in an
individual CT scan, the approach in the present study is based on the subtraction
between two registered CT volumes. The volumes registered using the proposed
weighted-Demons registration and symmetric warping were subtracted with
minimizing noise, and the Jacobian and false positive suppressions were performed
to reduce false alarms. The proposed method detects the changes in bone
metastases within 3min for entire chest bone structures covering the spine, ribs,
and sternum. The method was validated based on 3-fold cross validation using the
radiologists' markings of 459 lesions in 24 subjects and was performed with a
sensitivity of 92.59%, a false positive volume of 2.58%, and 9.71 false positives
per patient. Note that 113 lesions (24%) missed by the radiologists were
identified by the present system and confirmed to be true metastases. Indeed,
three patients diagnosed initially as normal, having no metastatic difference, by
radiologists were found to be abnormal using the proposed system. Automatic
detection method of bone metastatic changes in the entire chest bone was
developed. Weighted Demons, symmetric warping, following false positive
suppressions, and their parallel computing implementation enabled precise and
fast computation of delicate changes in serial CT scans. The cross validation
proved that this method can be quite useful for assisting radiologists in sensing
minute metastatic changes from early stage.
PMID- 27876174
TI - Artificial neural networks: Predicting head CT findings in elderly patients
presenting with minor head injury after a fall.
AB - OBJECTIVES: To construct an artificial neural network (ANN) model that can
predict the presence of acute CT findings with both high sensitivity and high
specificity when applied to the population of patients>=age 65years who have
incurred minor head injury after a fall. METHODS: An ANN was created in the
Python programming language using a population of 514 patients >= age 65 years
presenting to the ED with minor head injury after a fall. The patient dataset was
divided into three parts: 60% for "training", 20% for "cross validation", and 20%
for "testing". Sensitivity, specificity, positive and negative predictive values,
and accuracy were determined by comparing the model's predictions to the actual
correct answers for each patient. RESULTS: On the "cross validation" data, the
model attained a sensitivity ("recall") of 100.00%, specificity of 78.95%, PPV
("precision") of 78.95%, NPV of 100.00%, and accuracy of 88.24% in detecting the
presence of positive head CTs. On the "test" data, the model attained a
sensitivity of 97.78%, specificity of 89.47%, PPV of 88.00%, NPV of 98.08%, and
accuracy of 93.14% in detecting the presence of positive head CTs. CONCLUSIONS:
ANNs show great potential for predicting CT findings in the population of
patients >= 65 years of age presenting with minor head injury after a fall. As a
good first step, the ANN showed comparable sensitivity, predictive values, and
accuracy, with a much higher specificity than the existing decision rules in
clinical usage for predicting head CTs with acute intracranial findings.
PMID- 27876175
TI - [Anti-HMG-CoA reductase necrotizing myopathy: A report of 4 cases].
AB - INTRODUCTION: Anti-3-hydroxy-3-methylglutaryl-coenzyme A reductase antibody
associated necrotizing autoimmune myopathy has been recently described (2011).
This myopathy is distinct from statin toxic myopathy. Our objective is to report
on the clinical and para-clinical characteristics of this myopathy and to show
the difficulties of therapeutic care. CASE REPORTS: We describe 4 cases of
patients followed-up in Brittany, France. All data have been analyzed
retrospectively. The mean age of our patients was 59.5 years, with a sex ratio of
1. The clinical presentation was homogeneous, with a subacute painful proximal
and symmetrical weakness, without extra-muscular involvement. Other presentations
have been described (including pseudo-dystrophic presentation). All patients had
a previous statin medication (mean duration of 3.75 years) although this criteria
is not a requisite. All patients had high levels of creatine kinase and abnormal
electromyographic examination. The pathological pattern on muscular biopsy was a
necrotizing myopathy without significant inflammatory cells infiltration. Cardio
respiratory function was normal and no associated neoplasia was found. Over the
follow-up, we observed a marked corticosteroid-dependence, not improved by
immunosuppressive drugs (azathioprine and methotrexate). The benefit of
intravenous immunoglobulin was clear with, sometimes, prolonged responses.
CONCLUSION: An early diagnosis of this myopathy is necessary in order to
introduce an immunotherapy associated with a close monitoring. The therapeutic
strategy (within which the stead of intravenous immunoglobulin seems increased)
remains to be defined and long-term prospective studies are thus needed.
PMID- 27876176
TI - [An aortic abnormality].
PMID- 27876177
TI - The Evolution of Child Neurology Training.
PMID- 27876178
TI - Hydranencephaly in Monochorionic-Diamniotic Twins.
PMID- 27876180
TI - Gray-scale intravascular ultrasound sheds light on the importance of vasa vasorum
in unstable coronary plaque.
PMID- 27876179
TI - Prevalence and Risk Factors of Peri-ictal Autonomic Changes in Children With
Temporal Lobe Seizures.
AB - BACKGROUND: We determined the prevalence of signs and symptoms of autonomic
dysfunction in seizures of temporal lobe onset in children. METHODS: We evaluated
the prevalence and risk factors of peri-ictal autonomic changes in temporal lobe
onset seizures in children from a single-center pediatric epilepsy monitoring
unit between June 1, 2009 and October 31, 2013. Age, gender, epilepsy etiology,
current antiepileptic drug therapy, ictal electroencephalographic lateralization,
brain magnetic resonance imaging results, and the presence of generalized tonic
clonic seizures over the preceding year were recorded from medical record review.
RESULTS: Forty-nine children were identified (55% male, median age 10.1 years
[interquartile range 5.5 to 13.9 years]). Overall, peri-ictal autonomic changes
were observed in 32 of 49 patients (66%) and 91 of 172 evaluated seizures (53%).
Tachycardia (51%), oxygen desaturation (33%), and salivation (27%) were the most
frequent autonomic changes identified. Bradycardia occurred in one patient (2%).
CONCLUSIONS: Among pediatric patients with temporal lobe seizures, peri-ictal
autonomic changes are frequent yet seldom require intervention.
PMID- 27876181
TI - Analysis of suboptimal stent deployment using intravascular ultrasound and
coronary pressure pullback measurement.
AB - BACKGROUND: There are some cases in whom a sufficient improvement in fractional
flow reserve (FFR) could not be achieved even if anatomical results indicated
satisfactory stent deployment. We investigated the relation of abnormal findings
between intravascular ultrasound (IVUS) and coronary pressure pullback
measurement (CP-PB). METHODS: IVUS and CP-PB were investigated after stent
deployment in 60 vessels in 53 patients. CP-PB criterion for adequate stent
deployment was defined as a ratio of coronary pressure at the stent distal edge
to the proximal edge (Psd/Psp) that is greater than 0.95. RESULTS: Residual
pressure gradient across the stent which was indicated by Psd/Psp<=0.95 was
present in 11 (18%), and four of them were caused by insufficient stent expansion
(incomplete apposition and asymmetric dilation), and five of them were caused by
issues with stent edge (edge dissection and incomplete coverage of the plaques).
Insufficient FFR recovery which was recorded at distal part of target vessel was
present in 10 (17%), and the main causes corresponded to inadequate stent
deployment in half of the lesions, and presence of residual lesion at a non-stent
segment in the other half. There were six lesions in whom Psd/Psp was <=0.95 but
FFR was >=0.80. Disagreement between IVUS and CP-PB findings was seen in 12
(20%). CONCLUSIONS: Residual pressure gradient across the stent can reflect not
only an insufficient stent expansion but also issues with stent edges. The
decision of optimum stent deployment as assessed by IVUS and CP-PB was mismatched
in 20% of cases, therefore careful attention should be paid to decoding the CP-PB
findings.
PMID- 27876182
TI - Colonization of Black Smokers by Hyperthermophilic Microorganisms.
AB - Newly erupted black smokers (hydrothermal vent chimneys) are sterile during their
formation, but they house hyperthermophiles in substantial amounts in later
stages. No hard data exist on the mechanisms by which hyperthermophiles colonize
newly erupted black smokers. Here I propose a scenario - based on various
experimental data - for how hyperthermophiles colonize black smokers.
Hyperthermophiles which are present in cold sea water in minute amounts are
transferred by chance to the outside of black smokers and react within seconds to
the high temperature by very fast movements. After reaching an optimal
temperature region they scan the surface via a zigzag seek-movement and adhere
via their flagella at a suitable place, building up biofilms.
PMID- 27876183
TI - Electroconvulsive therapy selectively enhances amyloid beta 1-42 in the
cerebrospinal fluid of patients with major depression: A prospective pilot study.
AB - A complex interplay between beta-amyloid (Abeta), Alzheimer's disease (AD) and
major depression disorder (MDD) suggests that patients with MDD have an altered
cerebral Abeta metabolism and an increased risk of developing AD. In order to
elucidate the relationship between antidepressant treatment and Abeta metabolism
in humans, we performed a study on Abeta peptides in the cerebrospinal fluid
(CSF) in patients with MDD during electroconvulsive therapy (ECT) as an effective
antidepressant treatment. We measured the levels of Abeta1-42, Abeta1-40 and of
tau proteins in the CSF in 12 patients with MDD before and after a course of ECT.
Abeta1-42 was significantly elevated after the ECT treatment compared to
baseline, whereas no difference was found for other peptides and proteins such as
Abeta1-40, Abeta ratio, total tau protein or its phosphorylated form. The most
salient finding was, that the increase of Abeta1-42 after ECT was found in all
patients with clinical response to the treatment, but not in those who did not
respond. The number of ECT sessions of each responding patient correlated with
the increase of Abeta1-42 in the CSF. Our data point towards to a specific
antidepressant mechanism which is not based on a general increase of Abeta, but
seems to involve merely Abeta1-42, the isoform with highest amyloidogenic
potential. We present the first study in humans demonstrating an isolated
mobilization of Abeta1-42 in the CSF of patients with depression who respond to
an ECT treatment.
PMID- 27876184
TI - Use of Dexmedetomidine to Attenuate Myocardial Injury After Off-Pump Coronary
Artery Bypass Grafting Surgery.
PMID- 27876185
TI - Peripheral Near-Infrared Spectroscopy: Methodologic Aspects and a Systematic
Review in Post-Cardiac Surgical Patients.
PMID- 27876186
TI - Randomized trial for superiority of high field strength intra-operative magnetic
resonance imaging guided resection in pituitary surgery.
AB - Till date there are no randomized trials to suggest the superiority of intra
operative magnetic resonance imaging (IOMRI) guided trans-sphenoidal pituitary
resection over two dimensional fluoroscopic (2D-F) guided resections. We
conducted this trial to establish the superiority of IOMRI in pituitary surgery.
Primary objective was to compare extent of tumor resection between the two study
arms. It was a prospective, randomized, outcome assessor and statistician
blinded, two arm (A: IOMRI, n=25 and B: 2D-F, n=25), parallel group clinical
trial. 4 patients from IOMRI group cross-over to 2D-F group and were consequently
analyzed in latter group, based on modified intent to treat method. A total of 50
patients were enrolled till completion of trial (n=25 in each study arm).
Demographic profile and baseline parameters were comparable among the two arms
(p>0.05) except for higher number of endoscopic procedures and experienced
neurosurgeons (>10years) in arm B (p=0.02, 0.002 respectively). Extent of
resection was similar in both study arms (A, 94.9% vs B, 93.6%; p=0.78), despite
adjusting for experience of operating surgeon and use of microscope/endoscope for
surgical resection. We observed that use of IOMRI helped optimize the extent of
resection in 5/20 patients (25%) for pituitary tumor resection in-group A.
Present study failed to observe superiorty of IOMRI over conventional 2D-F guided
resection in pituitary macroadenoma surgery. By use of this technology, younger
surgeons could validate their results intra-operatively and hence could increase
EOR without causing any increase in complications.
PMID- 27876187
TI - Multiple organ failure by serotype K1 Klebsiella pneumoniae.
PMID- 27876189
TI - Bacteremia due to Leptotrichia trevisanii after an allogeneic bone marrow
transplant.
PMID- 27876188
TI - Infant with exanthema and fever.
PMID- 27876190
TI - Bilateral abducens nerve palsy as the initial clinical manifestation of
meningococcal meningitis.
PMID- 27876191
TI - Breast abscess along with pulmonary mass found in an immunocompetent patient.
PMID- 27876192
TI - Synthesis and biological evaluation of salinomycin triazole analogues as
anticancer agents.
AB - Salinomycin, a polyether antibiotic used for treatment of coccidial disease in
animal husbandry, has demonstrated promising efficacy for treating different
cancers. To enrich structure-activity relationship of salinomycin in tumours, we
prepared a series of new triazole derivatives in specific site of salinomycin by
click cycloaddition reactions, and assessed their antiproliferative activities on
breast cancer cell lines. The screening results indicated that most derivatives
modified at the C20 hydroxyl group have potent antitumour activity. Notably,
salinomycin triazole dimers were 3.27-4.97 times more toxic than the natural
substance in ERalpha-positive breast cancer cells (MCF-7), and had moderately
improved toxicity in triple-negative breast cancer cells (MDA-MB-231).
PMID- 27876193
TI - Effect of adenovirus-mediated TGF-beta1 gene transfer on the function of rabbit
articular chondrocytes.
AB - BACKGROUND: Articular chondrocytes are important in maintaining normal cartilage
tissue and preventing articular degeneration. Exogenous genes have previously
been transduced into articular cells using adenoviral vectors to contribute to
the maintenance of cell function. This study aimed to transfer the transforming
growth factor-beta1 gene (TGF-beta1) into rabbit articular chondrocytes by
adenovirus infection to elucidate its effects on cell function. METHODS: Rabbit
chondrocytes were isolated and cultured both as monolayers and three-dimensional
culture systems. To achieve overexpression, TGF-beta1 was transfected by
adenovirus infection, using the LacZ gene as a control. TGF-beta1 protein
expression was analyzed by western blotting. Quantitative DNA fluorometric
analysis evaluated cell proliferation, and quantitative reverse transcriptase PCR
determined the mRNA expression of related chondrocyte marker genes. Western
blotting and glycosaminoglycan quantitative testing were used to examine changes
in extracellular matrix components. RESULTS: TGF-beta1 protein expression was
found to increase in Adv-TGF-beta1-transduced cells, reaching a maximum after
chondrocytes had been cultured for 4 weeks. Adv-hTGF-beta1 transduction altered
chondrocyte morphology from fibrocyte-like long spindle-shaped to round or oval.
TGF-beta1-transduced cells showed an increase in DNA synthesis, glycosaminoglycan
content, and increased aggrecan and collagen II protein expression, while
collagen I was significantly decreased. Moreover, TGF-beta1 overexpression
significantly promoted the mRNA expression of the chondrogenic gene SOX9, and
inhibited that of the hypertrophic marker COL10A1 and the mineralization marker
MMP-13. CONCLUSIONS: TGF-beta1 overexpression positively improved the phenotype,
function, and proliferation of chondrocytes, even after several generations.
PMID- 27876195
TI - Inclined Wind Tunnel for the Study of Human and Large Animal Flight.
PMID- 27876194
TI - Predictive factors of overall and major postoperative complications after partial
nephrectomy: Results from a multicenter prospective study (The RECORd 1 project).
AB - INTRODUCTION AND OBJECTIVES: To analyze postoperative complications and to assess
for significant predictive factors during partial nephrectomy (PN) using a large
multicenter dataset. METHODS: Patients who underwent PN for clinical T1 renal
tumors at 19 urological Italian centers (Registry of Conservative Renal Surgery
[RECORd] project) were evaluated between 2009 and 2012. Anthropometric data,
comorbidities and perioperative outcomes were analyzed. Complications were
divided as intra- and postoperative, medical and surgical, as appropriate. The
severity of postoperative complications was graded according to the modified
Clavien classification system. Patients who experienced intraoperative
complications were excluded from the analyses for the potential confounding
effect in the evaluation of predicting factors for postoperative complications.
RESULTS: Overall, 979 patients were analyzed: open, laparoscopic and robot
assisted (available since 2011) surgical approaches were used in 522 (56.4%), 286
(30.9%) and 117 (12.6%) cases, respectively. Surgical postoperative complications
were reported in 121 (13.1%) cases (32 (3.5%) were Clavien 3), medical were
reported in 52 (5.6%) cases (3 (0.3%) were Clavien 3). No Clavien 4 complications
were reported. At multivariable analysis, ECOG score >=1 (OR 1.98; p = 0.002),
lower preoperative hemoglobin (OR 0.71; p < 0.0001) and open surgical approach
(2.91; p = 0.02) were significant predictive factors of overall surgical
postoperative complications, ECOG score >=1 (OR 1.93; p = 0.04) and surgical
approach (p = 0.05) were significant predictive factors of Clavien 3 either
surgical or medical postoperative complications. CONCLUSIONS: Comorbidities and
surgical approach should be considered in preoperative evaluation of patients
undergoing PN, as they resulted to play a significant role in the occurrence of
postoperative complications.
PMID- 27876196
TI - Clinically Significant Envenomation From Postmortem Copperhead (Agkistrodon
contortrix).
AB - Over 14,000 copperhead (Agkistrodon contortrix) bites were reported to United
States poison centers between 1983 and 2008, and 1809 cases were reported to
poison centers in 2014. The copperhead is primarily found in the southeastern
United States and belongs to the pit viper subfamily Crotalinae, which also
includes the water moccasin (Agkistrodon piscivorus) and rattlesnakes (Crotalus
and Sistrurus genera). Postmortem rattlesnakes have been reported to cause
clinically significant envenomation; we report a case of a postmortem copperhead
causing clinically significant envenomation after inadvertent puncture with the
deceased copperhead fang. The copperhead was transected twice, leaving the snake
in 3 separate pieces. While handling the snake head, an inadvertent puncture
occurred on the right index finger followed by pain and swelling in the affected
extremity necessitating antivenom administration. Care should be taken when
handling deceased pit vipers due to the continued risk of envenomation.
PMID- 27876197
TI - Vaccination of special populations: Protecting the vulnerable.
AB - One of the strategic objectives of the 2011-2020 Global Vaccine Action Plan is
for the benefits of immunisation to be equitably extended to all people. This
approach encompasses special groups at increased risk of vaccine-preventable
diseases, such as preterm infants and pregnant women, as well as those with
chronic and immune-compromising medical conditions or at increased risk of
disease due to immunosenescence. Despite demonstrations of effectiveness and
safety, vaccine uptake in these special groups is frequently lower than expected,
even in developed countries with vaccination strategies in place. For example,
uptake of the influenza vaccine in pregnancy rarely exceeds 50% in developed
countries and, although data are scarce, it appears that only half of preterm
infants are up-to-date with routine paediatric vaccinations. Many people with
chronic medical conditions or who are immunocompromised due to disease or aging
are also under-vaccinated. In the US, coverage among people aged 65years or older
was 67% for the influenza vaccine in the 2014-2015 season and 55-60% for tetanus
and pneumococcal vaccines in 2013, while the coverage rate for herpes zoster
vaccination among those aged 60years or older was only 24%. In most other
countries, rates are far lower. Reasons for under-vaccination of special groups
include fear of adverse outcomes or illness caused by the vaccine, the
inconvenience (and in some settings, cost) of vaccination and lack of awareness
of the need for vaccination or national recommendations. There is also evidence
that healthcare providers' attitudes towards vaccination are among the most
important influences on the decision to vaccinate. It is clear that physicians'
adherence to recommendations needs to be improved, particularly where patients
receive care from multiple subspecialists and receive little or no care from
primary care providers.
PMID- 27876198
TI - Rotavirus vaccine effectiveness in low-income settings: An evaluation of the test
negative design.
AB - BACKGROUND: The test-negative design (TND), an epidemiologic method currently
used to measure rotavirus vaccine (RV) effectiveness, compares the vaccination
status of rotavirus-positive cases and rotavirus-negative controls meeting a pre
defined case definition for acute gastroenteritis. Despite the use of this study
design in low-income settings, the TND has not been evaluated to measure
rotavirus vaccine effectiveness. METHODS: This study builds upon prior methods to
evaluate the use of the TND for influenza vaccine using a randomized controlled
clinical trial database. Test-negative vaccine effectiveness (VE-TND) estimates
were derived from three large randomized placebo-controlled trials (RCTs) of
monovalent (RV1) and pentavalent (RV5) rotavirus vaccines in sub-Saharan Africa
and Asia. Derived VE-TND estimates were compared to the original RCT vaccine
efficacy estimates (VE-RCTs). The core assumption of the TND (i.e., rotavirus
vaccine has no effect on rotavirus-negative diarrhea) was also assessed. RESULTS:
TND vaccine effectiveness estimates were nearly equivalent to original RCT
vaccine efficacy estimates. Neither RV had a substantial effect on rotavirus
negative diarrhea. CONCLUSIONS: This study supports the TND as an appropriate
epidemiologic study design to measure rotavirus vaccine effectiveness in low
income settings.
PMID- 27876199
TI - Comparison of homologous and heterologous prime-boost immunizations combining MVA
vectored and plant-derived VP2 as a strategy against IBDV.
AB - Different immunogens such as subunit, DNA or live viral-vectored vaccines against
Infectious Bursal Disease virus (IBDV) have been evaluated in the last years.
However, the heterologous prime-boost approach using recombinant modified
vaccinia Ankara virus (rMVA), which has shown promising results in both mammals
and chickens, has not been tried against this pathogen yet. IBD is a highly
contagious and immunosuppressive disease of poultry that affects mainly young
chicks. It is caused by IBDV, a double-stranded RNA virus carrying its main
antigenic epitopes on the capsid protein VP2. Our objective was to evaluate the
immune response elicited by two heterologous prime-boost schemes combining an
rMVA carrying the VP2 mature gene (rVP2) and a recombinant VP2 protein produced
in Nicotiana benthamiana (pVP2), and to compare them with the performance of the
homologous pVP2-pVP2 scheme usually used in our laboratory. The SPF chickens
immunized with the three evaluated schemes elicited significantly higher anti-VP2
antibody titers (p<0.001) and seroneutralizing titers (p<0.05) and had less T
cell infiltration (p<0.001), histological damage (p<0.001) and IBDV particles
(p<0.001) in their bursae of Fabricius when compared with control groups. No
significant differences were found between both heterologous schemes and the
homologous one. However, the rVP2-pVP2 scheme showed significantly higher anti
VP2 antibody titers than pVP2-rVP2 and a similar tendency was found in the
seroneutralization assay. Conversely, pVP2-rVP2 had the best performance when
evaluated through bursal parameters despite having a less potent humoral immune
response. These findings suggest that the order in which rVP2 and pVP2 are
combined can influence the immune response obtained. Besides, the lack of a
strong humoral immune response did not lessen the ability to protect from IBDV
challenge. Therefore, further research is needed to evaluate the mechanisms by
which these immunogens are working in order to define the combination that
performs better against IBDV.
PMID- 27876200
TI - Improving adolescent HPV vaccination in a randomized controlled cluster trial
using the 4 PillarsTM practice Transformation Program.
AB - OBJECTIVE: Uptake of meningococcal vaccine (MCV) and tetanus, diphtheria and
pertussis (Tdap) vaccine among adolescents has approached Healthy People 2020
goals, but human papillomavirus (HPV) vaccination has not. This study evaluated
an intervention using the 4 PillarsTM Practice Transformation Program to increase
HPV, MCV and Tdap uptake among adolescents in primary care practices. METHODS:
Practices with at least 50 patients 11-17years old with estimated vaccination
rates less than national goals, were assigned to intervention (n=11) and control
(n=11) groups in a randomized controlled cluster trial; 9 intervention and 11
control sites completed the study. The baseline and active study periods were
7/1/2013-6/30/2014 and 7/1/2014-3/31/2015, respectively. Vaccination and
demographic data for patients who had a visit in both study periods were derived
from de-identified EMR extractions. Primary outcomes were vaccination rates and
percentage point (PP) changes. Data were analyzed in 2015-16. RESULTS: Among the
cohort of 10,861 adolescent patients, 38% were 11-13years old; 50% were female;
18% were non-white; and 64% were commercially insured. Average baseline HPV
initiation rates were 52.5% for intervention and 61.8% for control groups. After
9months, the intervention sites increased HPV initiation 10.2PP compared with
7.3PP in control sites (P<0.001); HPV series completion rates did not differ
between groups. Implementation of >10 strategies to improve rates significantly
increased the likelihood of HPV series initiation (OR=2.06, 95% CI=1.43, 2.96).
CONCLUSIONS: Using >10 strategies from the 4 PillarsTM Practice Transformation
Program is effective for increasing HPV series initiation among adolescents.
Clinical trial registry number: NCT02165722.
PMID- 27876201
TI - Changing molecular epidemiology of rotavirus infection after introduction of
monovalent rotavirus vaccination in Scotland.
AB - BACKGROUND: Rotaviruses (RV) are the leading cause of gastroenteritis in children
less than five years of age worldwide. Rotarix(r), a live attenuated monovalent
vaccine containing a RV strain of G1P[8] specificity has been included in the
childhood immunisation schedule from June 2013 in Scotland. This study aimed to
characterise the prevalent RV strains in Scotland before and after the
introduction of the RV vaccine. METHODS: RV positive faecal samples from Scottish
virology laboratories covering the years 2012-2015 were genotyped. Viral RNA was
extracted from faecal suspensions. VP7 and VP4 gene specific primers were used
for multiplex hemi-nested PCRs and sequencing. Mann-Whitney U test and Chi-square
test were used for statistical comparison. RESULTS: There was a decrease in RV
positive samples from the Scottish virology laboratories from 7409 samples in the
pre-vaccination years (2009-2013) to 760 in 2014-2015, with an annual reduction
of RV infections by 74.4% (RR-3.95; 95%-CI, 3.53-4.42, p<0.001). 362 samples from
the pre-vaccination period and 278 samples from the post-vaccination were
genotyped. There was a drop in prevalence of G1P[8] strains (72.1%, 95%-CI, 67.42
76.33 to 15%, 95%-CI, 11.38-19.79) after introduction of the vaccine. In the post
vaccination period G2P[4] was the dominant strain in Scotland (21.9%, 95%-CI,
17.48-27.17) with increase in G9P[8] (12.9%, 95%-CI, 9.50-7.41), G12P[8] (12.2%,
95%-CI, 8.89-16.60) and G3P[8] (11.9%, 95%-CI, 8.58-16.20) infections.
Phylogenetic analysis of the VP7 and VP4 genes showed no major differences
between the pre and post-vaccination G1P[8] strains. CONCLUSION: This laboratory
based surveillance study shows significant reduction in reported RV cases and a
shift in proportion from G1P[8] to G2P[4] strains after introduction of RV
vaccination in Scotland. The genotyping data from a subset of the total reported
RV cases will be used to ascertain cross protection against strains and identify
vaccine induced RV strain shifts in the years to come.
PMID- 27876202
TI - An interferon inducing porcine reproductive and respiratory syndrome virus
vaccine candidate elicits protection against challenge with the heterologous
virulent type 2 strain VR-2385 in pigs.
AB - Achieving consistent protection by vaccinating pigs against porcine reproductive
and respiratory syndrome virus (PRRSV) remains difficult. Recently, an interferon
inducing PRRSV vaccine candidate strain A2MC2 was demonstrated to be attenuated
and induced neutralizing antibodies. The objective of this study was to determine
the efficacy of passage 90 of A2MC2 (A2P90) to protect pigs against challenge
with moderately virulent PRRSV strain VR-2385 (92.3% nucleic acid identity with
A2MC2) and highly virulent atypical PRRSV MN184 (84.5% nucleic acid identity with
A2MC2). Forty 3-week old pigs were randomly assigned to five groups including a
NEG-CONTROL group (non-vaccinated, non-challenged), VAC-VR2385 (vaccinated,
challenged with strain VR-2385), VR2385 (challenged with strain VR-2385), VAC
MN184 (vaccinated, challenged with strain MN184) and a MN184 group (challenged
with MN184 virus). Vaccination was done at 3weeks of age followed by challenge at
8weeks of age. No viremia was detectable in any of the vaccinated pigs; however,
by the time of challenge, 15/16 vaccinated pigs had seroconverted based on ELISA
and had neutralizing antibodies against a homologous strain with titers ranging
from 8 to 128. Infection with VR-2385 resulted in mild-to-moderate clinical
disease and lesions. For VR-2385 infected pigs, vaccination significantly lowered
PRRSV viremia and nasal shedding by 9days post challenge (dpc), significantly
reduced macroscopic lung lesions, and significantly increased the average daily
weight gain compared to the non-vaccinated pigs. Infection with MN184 resulted in
moderate-to-severe clinical disease and lesions regardless of vaccination status;
however, vaccinated pigs had significantly less nasal shedding by dpc 5 compared
to non-vaccinated pigs. Under the study conditions, the A2P90 vaccine strain was
attenuated without detectable shedding, improved weight gain, and offered
protection to the pigs challenged with VR-2385 by reduction of virus load and
macroscopic lung lesions. Further work is needed to investigate different
vaccination and challenge protocols, including routes, doses, timing and strains.
PMID- 27876204
TI - Distinct functions of human RecQ helicases during DNA replication.
AB - DNA replication is the most vulnerable process of DNA metabolism in proliferating
cells and therefore it is tightly controlled and coordinated with processes that
maintain genomic stability. Human RecQ helicases are among the most important
factors involved in the maintenance of replication fork integrity, especially
under conditions of replication stress. RecQ helicases promote recovery of
replication forks being stalled due to different replication roadblocks of either
exogenous or endogenous source. They prevent generation of aberrant replication
fork structures and replication fork collapse, and are involved in proper
checkpoint signaling. The essential role of human RecQ helicases in the genome
maintenance during DNA replication is underlined by association of defects in
their function with cancer predisposition.
PMID- 27876205
TI - Activating Patients.
PMID- 27876203
TI - Impact of the national targeted Hepatitis A immunisation program in Australia:
2000-2014.
AB - In November 2005, hepatitis A vaccine was funded under the Australian National
Immunisation Program for Aboriginal and Torres Strait Islander (Indigenous)
children aged 12-24months in the targeted jurisdictions of Queensland, South
Australia, Western Australia and the Northern Territory. We reviewed the
epidemiology of hepatitis A from 2000 to 2014 using data from the Australian
National Notifiable Diseases Surveillance System, the National Hospital Morbidity
Database, and Australian Bureau of Statistics causes-of-death data. The impact of
the national hepatitis A immunisation program was assessed by comparison of pre
vaccine (2000-2005) and post-vaccine time periods (2006-2014), by age group,
Indigenous status and jurisdiction using incidence rate ratios (IRR) per 100,000
population and 95% confidence intervals (CI). The national pre-vaccine
notification rate in Indigenous people was four times higher than the non
Indigenous rate, and declined from 8.41 per 100,000 (95% CI 5.03-11.79) pre
vaccine to 0.85 per 100,000 (95% CI 0.00-1.99) post-vaccine, becoming similar to
the non-Indigenous rate. Notification and hospitalisation rates in Indigenous
children aged <5years from targeted jurisdictions declined in the post-vaccine
period when compared to the pre-vaccine period (notifications: IRR=0.07; 95% CI
0.04-0.13; hospitalisations: IRR=0.04; 95% CI 0.01-0.16). As did notification
rates in Indigenous people aged 5-19 (IRR=0.08; 95% CI 0.05-0.13) and 20-49years
(IRR=0.06; 95% CI 0.02-0.15) in targeted jurisdictions. For non-Indigenous people
from targeted jurisdictions, notification rates decreased significantly in
children aged <5years (IRR 0.47; 95% CI 0.31-0.71), and significantly more
overall (IRR=0.43; 95% CI 0.39-0.47) compared to non-Indigenous people from non
targeted jurisdictions (IRR=0.60; 95% CI 0.56-0.64). The national hepatitis A
immunisation program has had a significant impact in the targeted population with
relatively modest vaccine coverage, with evidence suggestive of substantial herd
protection effects.
PMID- 27876206
TI - Synergistic anti-cancer effects of galangin and berberine through apoptosis
induction and proliferation inhibition in oesophageal carcinoma cells.
AB - Galangin is an active pharmacological ingredient from propolis and Alpinia
officinarum Hance, and has been reported to have anti-cancer and antioxidative
properties. Berberine, a major component of Berberis vulgaris extract, exhibits
potent anti-cancer activities through distinct molecular mechanisms. However, the
anticancer effect of galangin in combination with berberine is still unknown. In
the present study, we demonstrated that the combination of galangin with
berberine synergistically resulted in cell growth inhibition, apoptosis and cell
cycle arrest at G2/M phase with the increased intracellular reactive oxygen
species (ROS) levels in oesophageal carcinoma cells. Pretreatment with ROS
scavenger promoted the apoptosis dramatically induced by co-treatment with
galangin and berberine. Treatment with galangin and berberine alone caused the
decreased expressions of Wnt3a and beta-catenin. Interestingly, combination of
galangin with berberine could further suppress Wnt3a and beta-catenin expression
and induce apoptosis in cancer cells. Additionally, in nude mice with xenograft
tumors, the combinational treatment of galangin and berberine significantly
inhibited the tumor growth without obvious toxicity. Overall, galangin in
combination with berberine presented outstanding synergistic anticancer role in
vitro and in vivo, indicating that the beneficial combination of galangin and
berberine might provide a promising treatment for patients with oesophageal
carcinoma.
PMID- 27876207
TI - Aglycone rich extracts of phytoestrogens cause ROS-mediated DNA damage in breast
carcinoma cells.
AB - Phytoestrogens are known for their physiological role in lowering risk of
osteoporosis, heart disease, breast cancer and menopausal symptoms. They are
plant derived potent anti-oxidants, but tend to show pro-oxidant effect at higher
concentrations. This study has been undertaken to exploit their pro-oxidant
effect in the management of cancer. Cancer cells inherently possess high
intracellular ROS levels, however, these levels do not cause harm to the cancer
cells because of the anti-oxidant enzyme system. So, there is a need for a
treatment strategy which could modulate the ROS levels. Breast cancer cell lines
MCF-7 and MDA-MB-231 are treated with various concentrations of soyabean aglycone
rich extracts (SARE) and flaxseed aglycone rich extracts (FSARE). The treatment
brings about a significant decrease in super oxide dismutase (SOD) and
glutathione peroxidase (GPx) activity, thereby leading to accumulation of
superoxide ion and peroxide in the cells. The catalase (CAT) activity however,
did not show a dose dependent change. The intra-cellular reactive oxygen species
(ROS) levels increased and a marked change in mitochondrial membrane potential
was detected. Cell cycle arrest was seen at S and G2/M phase in MCF-7 cells and
high accumulation of cells in Sub G1 phase was seen in MDA-MB-231 cells.
Microscopic evaluation indicated apoptotic morphology and DNA damage. This study
suggests an important role of soyabean and flaxseed aglycones in modulating
intracellular ROS in breast carcinoma.
PMID- 27876208
TI - Betulinic acid protects against cerebral ischemia/reperfusion injury by
activating the PI3K/Akt signaling pathway.
AB - Betulinic acid (BA), a naturally occurring pentacyclic lupane group triterpenoid,
has been demonstrated to protect against ischemia/reperfusion-induced renal
damage. However, the effects of BA on cerebral ischemia/reperfusion (I/R) injury
remain unclear. Hence, this study was to investigate the effects of BA on oxygen
and glucose deprivation/reperfusion (OGD/R) induced neuronal injury in rat
hippocampal neurons. Our results showed that BA pretreatment greatly attenuated
OGD/R-induced neuronal injury. BA also inhibited OGD/R-induced intracellular ROS
production and MDA level in rat hippocampal neurons. Furthermore, the down
regulation of Bcl-2, up-regulation of Bax and the consequent activation of
caspase-3 induced by OGD/R were reversed by BA pretreatment. Mechanistic studies
demonstrated that BA pretreatment up-regulated the expression levels of p-PI3K
and p-Akt in hippocampal neurons induced by OGD/R. Taken together, these data
suggested that BA inhibits OGD/R-induced neuronal injury in rat hippocampal
neurons through the activation of PI3K/Akt signaling pathway.
PMID- 27876209
TI - Synthesis and biological evaluation against Mycobacterium tuberculosis and
Leishmania amazonensis of a series of diaminated terpenoids.
AB - We report the synthesis of a series of diaminated terpenoids containing, as side
chain of the diamine core, the "head-to-tail" prenyl derivatives, with amino
amino spacers of variable length. In vitro biological activity of these compounds
was evaluated against Mycobacterium tuberculosis and Leishmania amazonensis, and
the structure-activity relationships are discussed. Different biological results
were observed depending on the terpenic side-chain length. The best results were
obtained for trans,trans-farnesol derivatives. Moreover, these results
demonstrated that the stereochemistry of the double bond could play an important
role in determining antitubercular and antileishmanial activities of these
compounds.
PMID- 27876210
TI - Conditioned medium from LS 174T goblet cells treated with oxyresveratrol
strengthens tight junctions in Caco-2 cells.
AB - BACKGROUND: Strengthening of intestinal tight junctions provides an effective
barrier from the external environment. Goblet cell-derived trefoil factor 3
(TFF3) increases transepithelial resistance by upregulating the expression of
tight junction proteins. Oxyresveratrol (OXY) is a hydroxyl-substituted stilbene
found in the roots, leaves, stems, and fruit of many plants and known to have
various biological activities. In this study, we investigated the strengthening
effect of OXY on intestinal tight junctions through stimulation of TFF production
in goblet cells. METHODS: We prepared conditioned medium from LS 174T goblet
cells treated with OXY (GCO-CM) and investigated the effect of GCO-CM on
strengthening tight junctions of Caco-2 cells. The mRNA and protein expression
levels of major tight junction components (claudin-1, occludin, and ZO-1) were
measured by quantitative real-time PCR and western blotting, respectively.
Transepithelial electric resistance (TEER) was measured using an ohm/V meter.
Monolayer permeability was evaluated by paracellular transport of fluorescein
isothiocyanate-dextran. RESULTS: OXY showed a strong antioxidant activity. It
significantly increased the expression level of TFF3 in LS 174T goblet cells. GCO
CM prepared by treatment with 2.5, 5, and 10MUg/ml OXY did not show cytotoxicity
in Caco-2 cells. GCO-CM increased the mRNA and protein expression levels of
claudin-1, occludin, and ZO-1. It also significantly increased tight junction
integrity and reduced permeability in a dose-dependent manner. CONCLUSION: OXY
stimulates the expression of TFF3 in goblet cells, which might increase the
integrity of the intestinal tight junction barrier.
PMID- 27876211
TI - Evaluation of anti-proliferative and anti-inflammatory activities of Pelagia
noctiluca venom in Lipopolysaccharide/Interferon-gamma stimulated RAW264.7
macrophages.
AB - Components of Pelagia noctiluca (P. noctiluca) venom were evaluated for their
anticancer and nitric Oxide (NO) inhibition activities. Three fractions, out of
four, obtained by gel filtration on Sephadex G75 of P. noctiluca venom revealed
an important selective anti-proliferative activity on several cell lines such as
human bladder carcinoma (RT112), human glioblastoma (U87), and human myelogenous
leukemia (K562) but not on mitogen-stimulated peripheral blood mononuclear cells.
Interestingly, P. noctiluca components showed an important dose-dependent anti
inflammatory activity, through inhibition of NO production via transcriptional
regulation of Inducible NO Synthase (iNOS), in IFN-gamma/LPS stimulated RAW 264.7
macrophages. These data strongly suggest that P. noctiluca venom could be used as
a natural inhibitor of cancer cell lines and a potent anti-inflammatory agent for
the treatment of anti-inflammatory diseases.
PMID- 27876212
TI - Alteration in Oxidative/nitrosative imbalance, histochemical expression of
osteopontin and antiurolithiatic efficacy of Xanthium strumarium (L.) in ethylene
glycol induced urolithiasis.
AB - Xanthium strumarium has traditionally been used in the treatment of urolitiasis
especially by the rural people in India, but its antiurolithiatic efficacy was
not explored scientifically till now. Therefore, the present study was designed
to validate the ethnic practice scientifically, and explore the possible
antiurolithiatic effect to rationalize its medicinal use. Urolitiasis was induced
in hyperoxaluric rat model by giving 0.75% ethylene glycol (EG) for 28days along
with 1% ammonium chloride (AC) for first 14days. Antiurolithiatic effect of
aqueous-ethanol extract of Xanthium strumarium bur (xanthium) was evaluated based
on urine and serum biochemistry, oxidative/nitrosative stress indices,
histopathology, kidney calcium and calcium oxalate content and
immunohistochemical expression of matrix glycoprotein, osteopontin (OPN).
Administration of EG and AC resulted in hyperoxaluria, crystalluria,
hypocalciuria, polyurea, raised serum urea, creatinine, erythrocytic lipid
peroxidise and nitric oxide, kidney calcium content as well as crystal deposition
in kidney section in lithiatic group rats. However, xanthium treatment
significantly restored the impairment in above kidney function test as that of
standard treatment, cystone. The up-regulation of OPN was also significantly
decreased after xanthium treatment. The present findings demonstrate the curative
efficacy of xanthium in ethylene glycol induced urolithiasis, possibly mediated
through inhibition of various pathways involved in renal calcium oxalate
formation, antioxidant property and down regulation of matrix glycoprotein, OPN.
Therefore, future studies may be established to evaluate its efficacy and safety
for clinical use.
PMID- 27876213
TI - The impact of methotrexate on lung inflammatory and apoptotic pathway biomarkers
The role of gallic acid.
AB - BACKGROUNDS: The aim of this study was to investigate the effects of methotrexate
(MTX) on the lung via inflammatory and apoptotic pathway biomarkers and the role
of gallic acid (GA). METHODS: In this study, twenty four male Wistar-Albino rats
weighing 300-350g were divided into 3 groups as follows; Control group
(0.1ml/oral saline, for 7 days+2nd day i.p.). MTX group (20mg/kg, single dose, on
2nd day). MTX+GA group (15mg/kg, orally, for 7 days). Comet analysis, oxidant
antioxidant status, IMA were conducted. Histopathological analyses were
evaluated. RESULTS: Comet assay on the blood, TOS and OSI values in the lung were
increased in the group II compared with the control group (p<0.05). GA
significantly reduced the comet score and IMA levels in the blood, TOS and OSI
values in the lung tissue in group III compared with group II (p<0.05).
Immunohistochemically PGE2, TNF-alpha, CRP, serum SAA, Caspase 3 and Caspase 9
expressions significantly increased in group II compared with the control group
(p<0.001) and GA treatment ameliorated these parameters significantly in group
III compared with group II (p<0.001). CONCLUSIONS: MTX caused oxidative stress
and DNA damage in the blood tissue and caused oxidative damage, inflammation and
apoptosis in the lung tissue.
PMID- 27876214
TI - Beneficial effects of Plantago albicans on high-fat diet-induced obesity in rats.
AB - Obesity is a one of the main global public health problems associated with
chronic diseases such as coronary heart disease, diabetes and cancer. As a
solution to obesity, we suggest Plantago albicans, which is a medicinal plant
with several biological effects. This study assesses the possible anti-obesity
protective properties of Plantago albicans in high fat diet-fed rats. 28 male
Wistar rats were divided into 4 groups; a group which received normal diet (C),
the second group was fed HDF diet (HDF), the third group was given normal diet
supplemented with Plantago albicans (P.AL), and the fourth group received HDF
supplemented with Plantago albicans (HDF+P.AL) (30mg/kg/day) for 7 weeks. Our
results showed an increase in body weight of HDF rats by ~16% as compared to the
control group with an increase in the levels of total cholesterol (TC) as well as
LDL-cholesterol, triglycerides (TG) in serum. Also, the concentration of TBARS
increased in the liver and heart of HDF-fed rats as compared to the control
group. The oral gavage of Plantago albicans extract to obese rats induced a
reduction in their body weight, lipid accumulation in liver and heart tissue,
compared to the high-fat diet control rats. The obtained results proved that the
antioxidant potency of Plantago albicans extracts was correlated with their
phenolic and flavonoid contents. The antioxidant capacity of the extract was
evaluated by DPPH test (as EC50=250+/-2.12MUg/mL) and FRAP tests (as EC50=27.77+/
0.14MUg/mL). These results confirm the phytochemical and antioxidant impact of
Plantago albicans extracts. Plantago albicans content was determined using
validated HPLC methodology.
PMID- 27876215
TI - Serological evaluation of ovarian steroids of red-rumped agouti (Dasyprocta
leporina) during the estrous cycle phases.
AB - The objective of this study was to evaluate serum progesterone (P4) and 17beta
estradiol (E2) concentrations throughout the estrous cycle in the red-rumped
agouti (Dasyprocta leporina). A total of eight multiparous, captive-bred females
were bled throughout their estrous cycle via saphenous venipuncture, with E2 and
P4 concentrations being measured via ELISA of the serum collected. Serum E2
ranged from 1212 to 3500pg/ml with a peak value coinciding with observed estrus.
However, two additional peak values for E2 were also recorded, one each in
metestrus and proestrus respectively. P4 concentrations reached a maximum of
4.23ng/ml, and increases in P4 immediately followed the second E2 peak in
metestrus. The highest concentrations of P4 were recorded in mid diestrus;
between days 23-25 of the 31-day cycle. This phase was the longest in the agouti,
consisting of approximately 19days and accounted for 61% of the cycle. This study
increased the basal scientific reproductive knowledge of this potentially
valuable neo-tropical species.
PMID- 27876216
TI - Corrigendum to "Structural elucidation and evaluation of multidrug-resistance
modulatory capability of Amarissinins A-C, diterpenes derived from Salvia
amarissima" [Fitoterapia 114 (2016) 1-6].
PMID- 27876217
TI - Spontaneous Pneumothorax Complicating Miliary Tuberculosis.
PMID- 27876219
TI - Optimizing the outcome of transnasal endoscopic sphenopalatine artery ligation in
managing refractory posterior epistaxis: A case-control analysis.
AB - OBJECTIVE: To optimize the outcome of transnasal endoscopic sphenopalatine artery
ligation (TESPAL) by determining the key surgical steps and applying them
accordingly. METHODS: This is a case-control study carried out in a tertiary care
teaching institution on subjects who underwent TESPAL during the period of
October 2010 to September 2015. Their surgical and clinical records were
reviewed, and success (no re-bleed) and failure (return with re-bleed) were
considered the main outcome measures of TESPAL. Accordingly, depending on whether
the subjects returned with re-bleed or not, they were classified as "failure" and
"success" groups. After appropriate matching in terms of age, gender and a given
set of exclusion criteria that could influence per-operative decision-making or
contribute to post-operative epistaxis, the groups were considered as cases
(subjects undergoing TESPAL and returned with re-bleed; part of the "failure"
group following matching) and controls (subjects undergoing TESPAL and did not
return with re-bleed; part of the "success" group following matching). Per
operative/surgical factors executed or followed in varied combinations in each
group that influenced the outcome of TESPAL were then identified from the
surgical notes and were subsequently analyzed statistically. RESULTS: In 89% of
cases, the sphenopalatine artery (SPA) pedicle was either clipped or cauterized,
whereas in 90% of the controls, it was both clipped and cauterized. The posterior
nasal artery (PNA), when found, was cauterized in 25% of the cases, compared to
85% in the controls. Also, the septal artery region was cauterized in only 33% of
cases. Both cauterization/clipping of the SPA along with cauterization of PNA was
done in 69% of the controls, whereas in 59% the septal artery region was also
cauterized. However, there were no cases when all these steps were combined. The
results were statistically significant. CONCLUSION: When only the per
operative/surgical factors were considered, the outcome of TESPAL was most
favorable when the procedure could be done combining both clipping and
cauterization of the SPA, along with cauterization of the PNA and the septal
artery region.
PMID- 27876218
TI - Antifungal activities of selected essential oils against Fusarium oxysporum f.
sp. lycopersici 1322, with emphasis on Syzygium aromaticum essential oil.
AB - The antifungal effects of four essential oils viz., clove (Syzygium aromaticum),
lemongrass (Cymbopogon citratus), mint (Mentha * piperita) and eucalyptus
(Eucalyptus globulus) were evaluated against wilt causing fungus, Fusarium
oxysporum f. sp. lycopersici 1322. The inhibitory effect of oils showed dose
dependent activity on the tested fungus. Most active being the clove oil,
exhibiting complete inhibition of mycelial growth and spore germination at 125
ppm with IC50 value of 18.2 and 0.3 ppm, respectively. Essential oils of
lemongrass, mint and eucalyptus were inhibitory at relatively higher
concentrations. The Minimum inhibitory concentration (MIC) of clove oil was 31.25
ppm by broth microdilution method. Thirty one different compounds of clove oil,
constituting approximately >=99% of the oil, were identified by gas
chromatography-mass spectroscopy analysis. The major components were eugenol
(75.41%), E-caryophyllene (15.11%), alpha-humulene (3.78%) and caryophyllene
oxide (1.13%). Effect of clove oil on surface morphology of F. oxysporum f. sp.
lycopersici 1322 was studied by scanning electron microscopy (SEM) and atomic
force microscopy (AFM). SEM observation revealed shrivelled hyphae while AFM
observation showed shrunken and disrupted spores in clove oil treated samples. In
pots, 5% aqueous emulsion of clove oil controlled F. oxysporum f. sp. lycopersici
1322 infection on tomato plants. This study demonstrated clove oil as potent
antifungal agent that could be used as biofungicide for the control of F.
oxysporum f. sp. lycopersici in both preventive and therapeutic manner.
PMID- 27876220
TI - Breaking bad news to patients with cancer: A randomized control trial of a brief
communication skills training module incorporating the stories and preferences of
actual patients.
AB - OBJECTIVE: This study tested the effectiveness of a brief, learner-centered,
breaking bad news (BBN) communication skills training module using objective
evaluation measures. METHODS: This randomized control study (N=66) compared
intervention and control groups of students (n=28) and residents' (n=38)
objective structured clinical examination (OSCE) performance of communication
skills using Common Ground Assessment and Breaking Bad News measures. RESULTS:
Follow-up performance scores of intervention group students improved
significantly regarding BBN (colon cancer (CC), p=0.007, r=-0.47; breast cancer
(BC), p=0.003, r=-0.53), attention to patient responses after BBN (CC, p<0.001,
r=-0.74; BC, p=0.001, r=-0.65), and addressing feelings (BC, p=0.006, r=-0.48).
At CC follow-up assessment, performance scores of intervention group residents
improved significantly regarding BBN (p=0.004, r=-0.43), communication related to
emotions (p=0.034, r=-0.30), determining patient's readiness to proceed after BBN
and communication preferences (p=0.041, r=-0.28), active listening (p=0.011, r=
0.37), addressing feelings (p<0.001, r=-0.65), and global interview performance
(p=0.001, r=-0.51). CONCLUSION: This brief BBN training module is an effective
method of improving BBN communication skills among medical students and
residents. PRACTICE IMPLICATIONS: Implementation of this brief individualized
training module within health education programs could lead to improved
communication skills and patient care.
PMID- 27876221
TI - Effectiveness of an internet-based education on maternal satisfaction in NICUs.
AB - OBJECTIVE: This study was conducted to evaluate the effect of internet-based
education on the satisfaction of the mothers of the preterm neonates in the
NICUs. METHODS: This quasi-experimental study was conducted on 80 mothers of
preterm neonates hospitalized in the NICUs of two hospitals in Iran during 9
months. The mothers were assigned in two groups as cases and controls. The
satisfaction level of the mothers was evaluated by using WBPL-Revised1 in both
groups on the first and tenth day of the study. Mothers in the case group
received the educational program available at www.iranlms.ir/myinfant for 10days.
After 10days, the satisfaction level of the mothers in both groups was measured
by questionnaire again. RESULT: the satisfaction of the mothers increased in both
groups after this intervention. However, comparison of the mean scores revealed
that the satisfaction of the mothers in the case group increased significantly
following the intervention (P<0.001). CONCLUSION: Considering the benefits of
internet-based education, its utilization in mothers education programs in NICUs
is recommended. PRACTICE IMPLICATIONS: The results of this study show nurses in
the NICU is a way to improve communication and education to parents of infants
hospitalized in NICU.
PMID- 27876223
TI - Monitoring of organochlorine pesticides in blood of women with uterine cervix
cancer.
AB - In Yucatan, Mexico, chronic exposure of Mayan population to pesticides is
expected as about 30 per cent are drinking polluted water. Residues of
organochlorine pesticides (OCP) were monitored in 18 municipalities of Yucatan
with high mortality rates due to uterine cervix cancer. 70 blood samples
collected from Mayan women living in livestock, agricultural and metropolitan
area were analyzed for OCP. Solid Phase Extraction was performed on C18
cartridges and analyzed by Gas Chromatography with Electron Capture Detector. The
results showed that the highest OCP levels were detected in blood of women living
in the livestock area. OCP detected were endosulfan I (7.35 MUg/mL), aldrin (3.69
MUg/mL), 4,4' DDD (2.33 MUg/mL), 1.39 and 1.46 MUg/mL of delta-HCH. Women from
the agricultural area had high concentrations of OCP in their blood, particularly
dieldrin (1.19 MUg/mL), and 1.26 MUg/mL of 4,4' DDE. In the metropolitan area,
0.080 MUg/mL of gamma-HCH and 0.064 MUg/mL of heptachlore were detected. This
monitoring study was also based on epidemiological data of uterine cervical
cancer. It was found that environmental factors may have facilitated the
infiltration of OCP to the aquifer used for potable water supply. These factors
in addition to poverty can have impacts on public health. This first exploratory
study suggests that monitoring of OCP in human is important for the establishment
of health promotion programs. The integrative analysis of both, environmental and
social factors would be helpful to characterize the bioaccumulation of pesticides
in humans.
PMID- 27876222
TI - Environmental exposure to TiO2 nanomaterials incorporated in building material.
AB - Nanomaterials are increasingly being used to improve the properties and functions
of common building materials. A new type of self-cleaning cement incorporating
TiO2 nanomaterials (TiO2-NMs) with photocatalytic properties is now marketed.
This promising cement might provide air pollution-reducing properties but its
environmental impact must be validated. During cement use and aging, an altered
surface layer is formed that exhibits increased porosity. The surface layer
thickness alteration and porosity increase with the cement degradation rate. The
hardened cement paste leaching behavior has been fully documented, but the fate
of incorporated TiO2-NMs and their state during/after potential release is
currently unknown. In this study, photocatalytic cement pastes with increasing
initial porosity were leached at a lab-scale to produce a range of degradation
rates concerning the altered layer porosity and thickness. No dissolved Ti was
released during leaching, only particulate TiO2-NM release was detected. The
extent of release from this batch test simulating accelerated worst-case scenario
was limited and ranged from 18.7 +/- 2.1 to 33.5 +/- 5.1 mg of Ti/m2 of cement
after 168 h of leaching. TiO2-NMs released into neutral aquatic media (simulate
pH of surface water) were not associated or coated by cement minerals. The TiO2
NM release mechanism is suspected to start from freeing of TiO2-NMs in the
altered layer pore network due to partial cement paste dissolution followed by
diffusion into the bulk pore solution to the surface. The extent of TiO2-NM
release was not solely related to the cement degradation rate.
PMID- 27876224
TI - Microenvironmental air quality impact of a commercial-scale biomass heating
system.
AB - Initiatives to displace petroleum and climate change mitigation have driven a
recent increase in space heating with biomass combustion. However, there is ample
evidence that biomass combustion emits significant quantities of health damaging
pollutants. We investigated the near-source micro-environmental air quality
impact of a biomass-fueled combined heat and power system equipped with an
electrostatic precipitator (ESP) in Syracuse, NY. Two rooftop sampling stations
with PM2.5 and CO2 analyzers were established in such that one could capture the
plume while the other one served as the background for comparison depending on
the wind direction. Four sonic anemometers were deployed around the stack to
quantify spatially and temporally resolved local wind patterns. Fuel-based
emission factors were derived based on near-source measurement. The Comprehensive
Turbulent Aerosol Dynamics and Gas Chemistry (CTAG) model was then applied to
simulate the spatial variations of primary PM2.5 without ESP. Our analysis shows
that the absence of ESP could lead to an almost 7 times increase in near-source
primary PM2.5 concentrations with a maximum concentration above 100 MUg m-3 at
the building rooftop. The above-ground "hotspots" would pose potential health
risks to building occupants since particles could penetrate indoors via
infiltration, natural ventilation, and fresh air intakes on the rooftop of
multiple buildings. Our results demonstrated the importance of emission control
for biomass combustion systems in urban area, and the need to take above-ground
pollutant "hotspots" into account when permitting distributed generation. The
effects of ambient wind speed and stack temperature, the suitability of airport
meteorological data on micro-environmental air quality were explored, and the
implications on mitigating near-source air pollution were discussed.
PMID- 27876225
TI - Glyphosate and AMPA distribution in wind-eroded sediment derived from loess soil.
AB - Glyphosate is one of the most used herbicides in agricultural lands worldwide.
Wind-eroded sediment and dust, as an environmental transport pathway of
glyphosate and of its main metabolite aminomethylphosphonic acid (AMPA), can
result in environmental- and human exposure far beyond the agricultural areas
where it has been applied. Therefore, special attention is required to the
airborne transport of glyphosate and AMPA. In this study, we investigated the
behavior of glyphosate and AMPA in wind-eroded sediment by measuring their
content in different size fractions (median diameters between 715 and 8 MUm) of a
loess soil, during a period of 28 days after glyphosate application.
Granulometrical extraction was done using a wind tunnel and a Soil Fine Particle
Extractor. Extractions were conducted on days 0, 3, 7, 14, 21 and 28 after
glyphosate application. Results indicated that glyphosate and AMPA contents were
significantly higher in the finest particle fractions (median diameters between 8
and 18 MUm), and lowered significantly with the increase in particle size.
However, their content remained constant when aggregates were present in the
sample. Glyphosate and AMPA contents correlated positively with clay, organic
matter, and silt content. The dissipation of glyphosate over time was very low,
which was most probably due to the low soil moisture content of the sediment.
Consequently, the formation of AMPA was also very low. The low dissipation of
glyphosate in our study indicates that the risk of glyphosate transport in dry
sediment to off-target areas by wind can be very high. The highest glyphosate and
AMPA contents were found in the smallest soil fractions (PM10 and less), which
are easily inhaled and, therefore, contribute to human exposure.
PMID- 27876226
TI - Effect of the selective pressure of sub-lethal level of heavy metals on the fate
and distribution of ARGs in the catchment scale.
AB - Our previous study demonstrated that high levels of antibiotic resistance genes
(ARGs) in the Haihe River were directly attributed to the excessive use of
antibiotics in animal agriculture. The antibiotic residues of the Xiangjiang
River determined in this study were much lower than those of the Haihe River, but
the relative abundance of 16 detected ARGs (sul1, sul2 and sul3, qepA, qnrA,
qnrB, qnrD and qnrS, tetA, tetB, tetW, tetM, tetQ and tetO, ermB and ermC), were
as high as the Haihe River particularly in the downstream of the Xiangjiang River
which is close to the extensive metal mining. The ARGs discharged from the
pharmaceutical wastewater treatment plant (PWWTP) are a major source of ARGs in
the upstream of the Xiangjiang River. In the downstream, selective stress of
heavy metals rather than source release had a significant influence on the
distinct distribution pattern of ARGs. Some heavy metals showed a positive
correlation with certain ARG subtypes. Additionally, there is a positive
correlation between individual ARG subtypes and heavy metal resistance genes,
suggesting that heavy metals may co select the ARGs on the same plasmid of
antibiotic resistant bacteria. The co-selection mechanism between specific metal
and antibiotic resistance was further confirmed by these isolations encoding the
resistance genotypes to antibiotics and metals. To our knowledge, this is the
first study on the fate and distribution of ARGs under the selective pressure
exerted by heavy metals in the catchment scale. These results are beneficial to
understand the fate, and to discern the contributors of ARGs from either the
source release or the selective pressure by sub-lethal levels of environmental
stressors during their transport on a river catchment scale.
PMID- 27876228
TI - Toxic effects of microplastic on marine microalgae Skeletonema costatum:
Interactions between microplastic and algae.
AB - To investigate toxic effects of microplastic on marine microalgae Skeletonema
costatum, both algal growth inhibition test and non-contact shading test were
carried out, and algal photosynthesis parameters were also determined. The SEM
images were used to observe interactions between microplastic and algae. It was
found that microplastic (mPVC, average diameter 1 MUm) had obvious inhibition on
growth of microalgae and the maximum growth inhibition ratio (IR) reached up to
39.7% after 96 h exposure. However, plastic debris (bPVC, average diameter 1 mm)
had no effects on growth of microalgae. High concentration (50 mg/L) mPVC also
had negative effects on algal photosynthesis since both chlorophyll content and
photosynthetic efficiency (PhiPSII) decreased under mPVC treatments. Shading
effect was not one reason for toxicity of microplastic on algae in this study.
Compared with non-contact shading effect, interactions between microplastic and
microalage such as adsorption and aggregation were more reasonable explanations
for toxic effects of microplastic on marine microalgae. The SEM images provided a
more direct and reasonable method to observe the behaviors of microplastic.
PMID- 27876227
TI - Phthalate levels and related factors in children aged 6-12 years.
AB - Although previous studies showed that children are widely exposed to phthalates,
the sources of phthalate exposure for school-aged children in China are not well
understood. This study aimed to assess phthalate metabolite levels and explore
the factors influencing exposure in children. We collected demographic data and
biological samples from 336 children aged 6-12 years. We calculated urinary
concentrations of 14 mono-phthalate metabolites and conducted chi-square (chi2)
tests and logistic regression analysis to determine the variables associated with
phthalate levels. Mono-n-butyl phthalate (MnBP) and mono-(2-ethyl-5-hydroxyhexyl)
phthalate (MEHHP) were the most abundant urinary phthalate metabolites. In
addition, housing type, decorating materials in the home, and frequency of canned
food consumption were associated with exposure to low molecular weight
phthalates. Water source, duration of time spent playing with toys, residential
area, and frequency of canned food consumption were associated with exposure to
high molecular weight phthalates. Based on these results, potential strategies to
reduce exposure to phthalates include avoiding plastic food containers and
chemical fragrances as well as eating fewer processed foods, especially canned
foods, and foods in plastic packaging.
PMID- 27876229
TI - Temporal trends of chlorinated paraffins and polychlorinated biphenyls in Swiss
soils.
AB - Persistent organic pollutants (POPs), such as polychlorinated biphenyls (PCBs),
are ubiquitous environmental contaminants that have been targeted by national
regulations since the 1970-1980s, followed in 2004 by the worldwide regulation
under the Stockholm Convention on POPs. However, concerns are growing regarding
the emergence of additional POP-like substances, such as chlorinated paraffins
(CPs), which have particularly large production volumes. Whereas short-chain CPs
(SCCPs) have recently been restricted in Europe and are currently under
evaluation for inclusion into the Stockholm Convention, medium-chain CPs (MCCPs)
have received little attention. On the one hand, temporal trends of CPs in the
environment have hardly been investigated. On the other hand, the effectiveness
of the Stockholm Convention on environmental levels of PCBs is still a matter of
debate. Here, we reconstructed temporal trends of SCCPs, MCCPs, and PCBs in
archived soil samples from six sampling sites in Switzerland, covering the period
1989-2014 (respectively 1988-2013 for one site). Concentrations of SCCPs have
decreased in soil since 1994, which indicates positive effects of the reduction
of production of SCCPs in Europe and the increasingly stringent regulation.
However, the decline in soil is slow with a halving time of 18 years.
Concentrations of MCCPs have continuously increased in soil over the entire
period 1989-2014, with a doubling between 2009 and 2014. The concentrations of
MCCPs have surpassed those of SCCPs, showing their relevance today, partly as
replacements for SCCPs. Soil concentrations of PCBs peaked in 1999, i.e. three
decades later than worldwide production and use of PCBs, but earlier than the
entry into force of the Stockholm Convention. PCBs follow a decline in soil with
a halving time of approx. 8 years. This study shows the usefulness of sample
archives for the reconstruction and interpretation of time trends of persistent
environmental contaminants.
PMID- 27876230
TI - Intercalated Chemotherapy and Epidermal Growth Factor Receptor Inhibitors for
Patients With Advanced Non-Small-cell Lung Cancer: A Systematic Review and Meta
analysis.
AB - Randomized clinical trials (RCTs) of concurrent epidermal growth factor receptor
tyrosine kinase inhibitors (EGFR-TKIs) plus chemotherapy for unselected patients
with advanced non-small-cell lung cancer (NSCLC) produced negative results.
Intercalated administration could avoid the reduction of chemotherapy activity
due to G1 cell-cycle arrest from EGFR-TKIs. A PubMed search was performed in
December 2015 and updated in February 2016. The references from the selected
studies were also checked to identify additional eligible trials. Furthermore,
the proceedings of the main international meetings were searched from 2010
onward. We included RCTs comparing chemotherapy intercalated with an EGFR-TKI
versus chemotherapy alone for patients with advanced NSCLC. Ten RCTs were
eligible (6 with erlotinib, 4 with gefitinib): 39% of patients had a known EGFR
mutational status, 43% of whom EGFR mutation positive. The intercalated
combination was associated with a significant improvement in overall survival
(OS; hazard ratio [HR], 0.82; 95% confidence interval [CI], 0.71-0.95; P = .01),
progression-free survival (PFS; HR, 0.60; 95% CI, 0.53-0.68; P < .00001), and
objective response rate (ORR; odds ratio [OR], 2.70; 95% CI, 2.08-3.49; P <
.00001). Considering only first-line trials, similar differences were found in OS
(HR, 0.85; 95% CI, 0.72-1.00; P = .05), PFS (HR, 0.63; 95% CI, 0.55-0.73; P <
.00001), and ORR (OR, 2.21; 95% CI, 1.65-2.95; P < .00001). In EGFR mutation
positive patients, the addition of an intercalated EGFR-TKI produced a
significant benefit in PFS (129 patients; HR, 0.24; 95% CI, 0.16-0.37; P <
.00001) and ORR (168 patients; OR, 11.59; 95% CI, 5.54-24.25; P < .00001). In
patients with advanced NSCLC, chemotherapy plus intercalated EGFR-TKIs was
superior to chemotherapy alone, although a definitive interpretation was
jeopardized by the variable proportion of patients with EGFR mutation-positive
tumors included.
PMID- 27876232
TI - Hypercoagulation and complement: Connected players in tumor development and
metastases.
AB - Hypercoagulation is a common feature of several tumors to the extent that
individuals with coagulation defects often present with occult visceral cancers.
Recent evidence has shown that hypercoagulation is not just a mere secondary
effect due to the presence of the tumor, rather it actively contributes to tumor
development and dissemination. Among the numerous mechanisms that can contribute
to cancer-associated hypercoagulation, the ones involving immune-mediated
processes are gaining increasing attention. In particular, complement cascade and
hypercoagulation are one inducing the other in a vicious circle that involves
neutrophil extracellular traps (NETs) formation. Together, in this feedback loop,
they can promote the protumorigenic phenotype of immune cells and the protection
of tumor cells from immune attack, ultimately favouring tumor development,
progression and metastases formation. In this review, we summarize the role of
these processes in cancer development and highlight new possible intervention
strategies based on anticoagulants that can arrest this vicious circle.
PMID- 27876231
TI - Paternal preconception alcohol exposure imparts intergenerational alcohol-related
behaviors to male offspring on a pure C57BL/6J background.
AB - While alcohol use disorder (AUD) is a highly heritable condition, the basis of
AUD in families with a history of alcoholism is difficult to explain by genetic
variation alone. Emerging evidence suggests that parental experience prior to
conception can affect inheritance of complex behaviors in offspring via non
genomic (epigenetic) mechanisms. For instance, male C57BL/6J (B6) mice exposed to
chronic intermittent vapor ethanol (CIE) prior to mating with Strain 129S1/SvImJ
ethanol-naive females produce male offspring with reduced ethanol-drinking
preference, increased ethanol sensitivity, and increased brain-derived
neurotrophic factor (BDNF) expression in the ventral tegmental area (VTA). In the
present study, we tested the hypothesis that these intergenerational effects of
paternal CIE are reproducible in male offspring on an inbred B6 background. To
this end, B6 males were exposed to 6 weeks of CIE (or room air as a control)
before mating with ethanol-naive B6 females to produce ethanol (E)-sired and
control (C)-sired male and female offspring. We observed a sex-specific effect,
as E-sired males exhibited decreased two-bottle free-choice ethanol-drinking
preference, increased sensitivity to the anxiolytic effects of ethanol, and
increased VTA BDNF expression; no differences were observed in female offspring.
These findings confirm and extend our previous results by demonstrating that the
effects of paternal preconception ethanol are reproducible using genetically
identical, inbred B6 animals.
PMID- 27876233
TI - Role of platelets in neutrophil extracellular trap (NET) production and tissue
injury.
AB - In addition to their well-known role as the cellular mediator of thrombosis,
numerous studies have identified key roles for platelets during various disease
processes. Importantly, platelets play a critical role in the host immune
response, directly interacting with, and eliminating pathogens, from the blood
stream. In addition to pathogen clearance, platelets also contribute to leukocyte
recruitment at sites of infection and inflammation, and modulate leukocyte
activity. Platelet interaction with activated neutrophils is a potent inducer of
neutrophil extracellular trap (NET). NETs consist of a diffuse, sticky web of
extracellular DNA, nuclear and granular proteins, and serve to ensnare and kill
pathogens. In addition to catching pathogens, the cytotoxic molecules and
proteases on NETs have the potential to inflict significant tissue damage.
Additionally, NET components have been suggested to be key activators of
infection-induced coagulopathy. These critical roles, at the interface between
hemostasis and immunity, highlight the need for balance in the platelet response;
too little platelet activity results in bleeding and immune deficit, too much
leads to tissue pathogenesis. In this review, we highlight recent advances in our
understanding of the role platelets play in inflammation, the link between
platelets and NETs and the role platelets play in disease pathogenesis.
PMID- 27876234
TI - Identification of a Remodeled Neo-tendon After Arthroscopic Latarjet Procedure.
AB - PURPOSE: To macroscopically, histologically, and radiologically describe a time
dependent remodeling process of a neo-tendon or -ligament in the shoulder after
the arthroscopic Latarjet procedure. METHODS: During follow-up surgery after the
arthroscopic Latarjet procedure, 17 shoulders in 16 patients were evaluated for a
remodeled tendon-like structure. The mean overall follow-up period was 27.4
months. The mean time between the arthroscopic Latarjet procedure and revision
was 11.6 months. All shoulders were evaluated with magnetic resonance imaging,
and seven histologic specimens were obtained during revision surgery. RESULTS: A
distinct, oriented strand of tissue was found in 16 of 17 shoulders on revision
surgery. Postoperative magnetic resonance imaging analyses showed a signal-free,
longitudinal tendon-like structure originating at the tip of the acromion,
traversing the space of the former subcoracoid bursa to attach in the course of
the transposed conjoint tendon or the proximal short head of the biceps.
Histologic analysis of seven specimens showed a characteristic timeline of
remodeling. CONCLUSIONS: A tendon- or ligament-like structure is remodeled
between the anterior bottom tip of the acromion and the transposed coracoid
process in a time-dependent manner after the arthroscopic Latarjet procedure.
LEVEL OF EVIDENCE: Level IV, therapeutic case series.
PMID- 27876235
TI - High Altitude Is an Independent Risk Factor for Postoperative Symptomatic Venous
Thromboembolism After Knee Arthroscopy: A Matched Case-Control Study of Medicare
Patients.
AB - PURPOSE: To use a national database of Medicare patients to evaluate the
association of uncomplicated knee arthroscopy performed at high altitude with the
incidence of postoperative venous thromboembolism (VTE). METHODS: The 100%
Medicare Standard Analytical File database was queried for all patients
undergoing isolated arthroscopic partial meniscectomy and/or chondroplasty from
2005-2012. Patients with more complex open or additional arthroscopic knee
procedures, a personal history of VTE, or any hypercoagulable state were
excluded. The result of this query was then stratified by the altitude of the
hospital ZIP code in which the procedure was performed. The appropriate patients
were placed into a high-altitude group (>=4,000 ft) and matched to patients who
underwent the same procedures at an altitude less than or equal to 100 ft on the
basis of age, sex, and medical comorbidities. The rate of VTE was then assessed
for both the high-altitude and matched low-altitude patients within 30 days and
90 days postoperatively. RESULTS: The rate of combined VTE (deep venous
thrombosis [DVT] and/or pulmonary embolism [PE]) (odds ratio [OR], 2.0; P =
.0003), the rate of PE (OR, 2.5; P = .0099), and the rate of DVT (OR, 1.7; P =
.0066) within 30 days were all significantly higher in patients with procedures
performed at high altitude compared with matched patients with the same
procedures performed at low altitude. At 90 days postoperatively, similarly
elevated risks of VTE, PE, and DVT were found in patients with procedures
performed at high altitude. CONCLUSIONS: In this study of knee arthroscopy in
Medicare patients, a procedure performed at an altitude >=4,000 ft was a
significant risk factor for the development of postoperative VTE compared with
matched patients undergoing the same procedure at an altitude less than or equal
to 100 ft. LEVEL OF EVIDENCE: Level III, retrospective case-control study.
PMID- 27876237
TI - A Real Fifth Dimension?
PMID- 27876236
TI - Immunohistochemical comparative analysis of lymphatic vessel density and VEGF-C
expression in squamous cell carcinomas of the tongue between young and old
patients.
AB - BACKGROUND: Squamous cell carcinoma (SCC) of the oral cavity is a malignant
epithelial tumor that most commonly involves the tongue, and mainly affects men
between the fifth and seventh decades of life. This study compared the lymphatic
vessel density and VEGF-C expression in SCCs of the tongue between young and old
patients. METHODS: Thirty-four SCCs of the tongue, 17 diagnosed in young patients
(<=40y) and 17 diagnosed in old patients (>50y), were evaluated by
immunohistochemistry. Lymphatic microdensity was determined based on the number
of vessels immunoreactive to anti-D2-40 antibody. The percentage of neoplastic
cells exhibiting cytoplasmic staining for VEGF-C was established for each case.
RESULTS: Assessment of intratumoral lymphatic microdensity (ILMD) disclosed a
median number of 6.20 lymphatic vessels in young patients and of 6.60 in old
patients (p=0.809). Analysis of peritumoral lymphatic microdensity (PLMD)
revealed a median number of 3.60 lymphatic vessels in young patients and of 3.40
in old patients (p=0.769). In the tumor core, analysis of the percentage of
immunopositive cells for VEGF-C revealed a median number of 95.95% in young
patients and of 55.48% in old patients (p<0.001). In the deep invasive front, the
median number of immunopositive cells for VEGF-C was 97.93% in young patients and
66.09% in old patients (p<0.001). CONCLUSIONS: The results of this study suggest
that the more aggressive biological behavior of SCC of the tongue in young
patients may be related to a higher expression of VEGF-C.
PMID- 27876238
TI - Thermography Examination of Abdominal Area Skin Temperatures in Individuals With
and Without Focal-Onset Epilepsy.
AB - : Early osteopathic theory and practice, and the work of the medical intuitive
Edgar Cayce suggested that the abdominal areas of individuals with epilepsy would
manifest "cold spots." The etiology for this phenomenon was thought to be
abdominal adhesions caused by inflammation and viscero-somatic reflexes caused by
adhesions or injury to visceral or musculoskeletal system structures. Indeed,
until that advent of electroencephalography in the 1930s, medical practice
regarding epilepsy focused on abdominal neural and visceral structures. Following
two hypotheses were formulated to evaluate any abdominal temperature phenomena:
(1) an abdominal quadrant division analysis would find one or more quadrants
"colder" in the focal-onset epilepsy group (ICD9-CM 345.4 and 345.5) compared to
controls. (2) Total abdominal areas of individuals with focal-onset epilepsy
wound be colder than a control group. METHODS: Overall, 50 patients with the
diagnosis of focal-onset epilepsy were recruited from the office of the Epilepsy
Foundation of Florida and 50 control subjects with no history of epilepsy were
recruited through advertising to the public. Under controlled room conditions all
subjects had infrared thermographic images made and recorded by Med-Hot Model MH
731 FLIR equipment. RESULTS: There were no significant demographic difference
between experimental patients and control subjects, though the control group
tended to be younger and more often male; however, these were controlled for in
all analyses. In the quadrant analysis, there were significant differences in
that more epileptic patients had colder left upper abdominal quadrant
temperatures than the control group (66.8% versus 44.9%; P = .030). In the total
abdominal analysis, however, there were no significant differences. DISCUSSION:
The results support the hypothesis that individuals with focal-onset epilepsy
have colder abdominal areas. If substantiated in further research, present study
results will require further examination of the mechanisms of action for
epilepsy, and suggest the need for re-examination of older formulations of
abdominal epilepsy, including the place of abdominal injury, inflammation, and
adhesions in epileptic pathology. The concept of somato-visceral and viscero
somatic neurological interactions is one of the possible mechanisms underlying
the "cold spot" findings and warrants further consideration.
PMID- 27876239
TI - Case Reports of Idiopathic Thrombocytopenia Unresponsive to First-Line Therapies
Treated With Traditional Herbal Medicines Based on Syndrome Differentiation.
AB - The objective of our study is to present two cases showing the effects of
traditional Korean herbal medicines based on traditional Korean medicine (TKM)
for the treatment of immune thrombocytopenic purpura (ITP). One patient showed no
response to treatment with steroids and an immunosuppressive agent. Moreover,
liver toxicity and side effects of steroids were evident. However, after he
ceased conventional treatment and started to take an herbal medicine, his liver
function normalized and the steroid side effects resolved. Ultimately, he
achieved complete remission. Another patient with ITP had sustained remission
after steroid therapy in childhood, but extensive uterine bleeding and
thrombocytopenia recurred when she was 16 years old. She was managed with
steroids again for 2 years, but severe side effects occurred, and eventually she
ceased taking steroids. She refused a splenectomy, and was then treated with a
herbal medicine for 7 months, ultimately leading to sustained remission again.
Many patients with resistance to first-line treatments tend to be reluctant to
undergo a splenectomy, considered a standard second-line treatment. In
conclusion, herbal medicines, based on TKM, may offer alternative treatments for
persistent or chronic ITP that is resistant to existing first-line treatments.
PMID- 27876240
TI - Variable neighborhood search to solve the vehicle routing problem for hazardous
materials transportation.
AB - This work focuses on the Heterogeneous Fleet Vehicle Routing problem (HFVRP) in
the context of hazardous materials (HazMat) transportation. The objective is to
determine a set of routes that minimizes the total expected routing risk. This is
a nonlinear function, and it depends on the vehicle load and the population
exposed when an incident occurs. Thus, a piecewise linear approximation is used
to estimate it. For solving the problem, a variant of the Variable Neighborhood
Search (VNS) algorithm is employed. To improve its performance, a post
optimization procedure is implemented via a Set Partitioning (SP) problem. The SP
is solved on a pool of routes obtained from executions of the local search
procedure embedded on the VNS. The algorithm is tested on two sets of HFVRP
instances based on literature with up to 100 nodes, these instances are modified
to include vehicle and arc risk parameters. The results are competitive in terms
of computational efficiency and quality attested by a comparison with Mixed
Integer Linear Programming (MILP) previously proposed.
PMID- 27876241
TI - Recycling of typical difficult-to-treat e-waste: Synthesize zeolites from waste
cathode-ray-tube funnel glass.
AB - The disposal of waste cathode ray-tubes (CRTs) from old televisions and discarded
computer monitors has become a major environmental concern worldwide. In this
work, an open-loop recycling method was developed to synthesize zeolites using
CRT funnel glass as the raw material. The effects of hydrothermal temperatures
and pressure, n(SiO2/Al2O3) molar ratios and hydrothermal time on the resulting
products were investigated. The results indicated that hydrothermal temperatures
and pressure played critical roles in zeolite synthesis. Amorphous phases were
detected at lower temperatures (80-100 degrees C) and pressure (0.47-1.01bar)
with n(SiO2/Al2O3)=2.0. At the temperature of 110 degrees C (pressure 1.43bar),
NaA formed with a mixture of NaP1 and Faujasite. With further increase in the
temperature and pressure, the unstable NaA and Faujasite disappeared, and
Hydroxysodalite developed. The influence of n(SiO2/Al2O3) ratios on resulting
products revealed a single phase of NaA was formed at the ratio of 1.5 and a
mixture of NaA and Faujasite at the ratio of 2.0. Prolonging hydrothermal time,
however, could promote zeolite crystallization, and NaA gradually developed with
an increase in the time from 2 to 6h at n(SiO2/Al2O3)=1.5. By comparison,
crystallization phases were observed only when the time was longer than 8h at
n(SiO2/Al2O3)=2.0.
PMID- 27876242
TI - Corrigendum to "Pilot study on arsenic removal from groundwater using a small
scale reverse osmosis system-Towards sustainable drinking water production'' [J.
Hazard. Mater. 318 (2016) 671-678].
PMID- 27876243
TI - Quinone-modified NH2-MIL-101(Fe) composite as a redox mediator for improved
degradation of bisphenol A.
AB - A novel quinone-modified metal-organic frameworks NH2-MIL-101(Fe) was synthesized
using a simple chemical method under mild condition. The introduced 2
anthraquinone sulfonate (AQS) can be covalently modified with NH2-MIL-101(Fe) and
acts as a redox mediator to enhance the degradation of bisphenol A (BPA) via
persulfate activation. The obtained AQS-NH-MIL-101(Fe) was characterized by
Fourier transform infrared spectroscopy, X-ray diffraction, scanning electron
microscopy, X-ray photoelectron spectra, cyclic voltammetry and electrochemical
impedance spectroscopy. AQS-NH-MIL-101(Fe) exhibited better catalytic performance
compared with NH2-MIL-101(Fe) and NH2-MIL-101(Fe) with free AQS (NH2-MIL
101(Fe)/AQS). That is, AQS-NH-MIL-101(Fe) was proved to be the most effective in
that more than 97.7% of BPA was removed. The degradation rate constants (k) of
AQS-NH-MIL-101(Fe) was 9-fold higher than that of NH2-MIL-101(Fe) and 7-fold
higher than NH2-MIL-101(Fe)/AQS, indicating that AQS is a great electron-transfer
mediator when modified with NH2-MIL-101(Fe). Based on the above results, the
possible mechanism of catalytic reaction has been investigated in view of the
trapping experiments. In addition, the AQS-NH-MIL-101(Fe) catalyst exhibited
excellent stability and can be used several times without significant
deterioration in performance.
PMID- 27876244
TI - Overexpression of OsSPL9 enhances accumulation of Cu in rice grain and improves
its digestibility and metabolism.
PMID- 27876245
TI - Perioperative medicine and Taiwan National Health Insurance Research Database.
AB - "Big data", characterized by 'volume', 'velocity', 'variety', and 'veracity',
being routinely collected in huge amounts of clinical and administrative
healthcare-related data are becoming common and generating promising viewpoints
for a better understanding of the complexity for medical situations. Taiwan
National Health Insurance Research Database (NHIRD), one of large and
comprehensive nationwide population reimbursement databases in the world,
provides the strength of sample size avoiding selection and participation bias.
Abundant with the demographics, clinical diagnoses, and capable of linking
diverse laboratory and imaging information allowing for integrated analysis,
NHIRD studies could inform us of the incidence, prevalence, managements,
correlations and associations of clinical outcomes and diseases, under the
universal coverage of healthcare used. Perioperative medicine has emerged as an
important clinical research field over the past decade, moving the categorization
of the specialty of "Anesthesiology and Perioperative Medicine". Many studies
concerning perioperative medicine based on retrospective cohort analyses have
been published in the top-ranked journal, but studies utilizing Taiwan NHIRD were
still not fully visualized. As the prominent growth curve of NHIRD studies, we
have contributed the studies covering surgical adverse outcomes, trauma, stroke,
diabetes, and healthcare inequality, etc., to this ever growing field for the
past five years. It will definitely become a trend of research using Taiwan NHIRD
and contributing to the progress of perioperative medicine with the recruitment
of devotion from more research groups and become a famous doctrine.
PMID- 27876246
TI - Corrections.
PMID- 27876248
TI - Pirfenidone and mortality in idiopathic pulmonary fibrosis.
PMID- 27876247
TI - Effect of pirfenidone on mortality: pooled analyses and meta-analyses of clinical
trials in idiopathic pulmonary fibrosis.
AB - BACKGROUND: In clinical trials of idiopathic pulmonary fibrosis, rates of all
cause mortality are low. Thus prospective mortality trials are logistically very
challenging, justifying the use of pooled analyses or meta-analyses. We did
pooled analyses and meta-analyses of clinical trials of pirfenidone versus
placebo to determine the effect of pirfenidone on mortality outcomes over 120
weeks. METHODS: We did a pooled analysis of the combined patient populations of
the three global randomised phase 3 trials of pirfenidone versus placebo-Clinical
Studies Assessing Pirfenidone in Idiopathic Pulmonary Fibrosis: Research of
Efficacy and Safety Outcomes (CAPACITY 004 and 006; trial durations 72-120 weeks)
and Assessment of Pirfenidone to Confirm Efficacy and Safety in Idiopathic
Pulmonary Fibrosis (ASCEND 016; 52 weeks)-for all-cause mortality, treatment
emergent all-cause mortality, idiopathic-pulmonary-fibrosis-related mortality,
and treatment-emergent idiopathic-pulmonary-fibrosis-related mortality at weeks
52, 72, and 120. We also did meta-analyses of these data and data from two
Japanese trials of pirfenidone versus placebo-Shionogi Phase 2 (SP2) and Shionogi
Phase 3 (SP3; trial durations 36-52 weeks). FINDINGS: At week 52, the relative
risk of death for all four mortality outcomes was significantly lower in the
pirfenidone group than in the placebo group in the pooled population (all-cause
mortality hazard ratio [HR] 0.52 [95% CI 0.31-0.87; p=0.0107]; treatment-emergent
all-cause mortality 0.45 [0.24-0.83; 0.0094]; idiopathic-pulmonary-fibrosis
related mortality 0.35 [0.17-0.72; 0.0029]; treatment-emergent idiopathic
pulmonary-fibrosis-related mortality 0.32 [0.14-0.76; 0.0061]). Consistent with
the pooled analysis, meta-analyses for all-cause mortality at week 52 also showed
a clinically relevant and significant risk reduction in the pirfenidone group
compared with the placebo group. Over 120 weeks, we noted significant differences
in the pooled analysis favouring pirfenidone therapy compared with placebo for
treatment-emergent all-cause mortality (p=0.0420), idiopathic-pulmonary-fibrosis
related mortality (0.0237), and treatment-emergent idiopathic-pulmonary-fibrosis
related (0.0132) mortality; similar results were shown by meta-analyses.
INTERPRETATION: Several analytic approaches demonstrated that pirfenidone therapy
is associated with a reduction in the relative risk of mortality compared with
placebo over 120 weeks. FUNDING: F Hoffmann-La Roche/Genentech.
PMID- 27876249
TI - Synthesis, anti-proliferative activity, SAR study, and preliminary in vivo
toxicity study of substituted N,N'-bis(arylmethyl)benzimidazolium salts against a
panel of non-small cell lung cancer cell lines.
AB - A series of N,N'-bis(arylmethyl)benzimidazolium salts have been synthesized and
evaluated for their in vitro anti-cancer activity against select non-small cell
lung cancer cell lines to create a structure activity relationship profile. The
results indicate that hydrophobic substituents on the salts increase the overall
anti-proliferative activity. Our data confirms that naphthylmethyl substituents
at the nitrogen atoms (N1(N3)) and highly lipophilic substituents at the carbon
atoms (C2 and C5(C6)) can generate benzimidazolium salts with anti-proliferative
activity that is comparable to that of cisplatin. The National Cancer Institute's
Developmental Therapeutics Program tested 1, 3-5, 10, 11, 13-18, 20-25, and 28-30
in their 60 human tumor cell line screen. Results were supportive of data
observed in our lab. Compounds with hydrophobic substituents have higher anti
cancer activity than compounds with hydrophilic substituents.
PMID- 27876250
TI - Structure-anticonvulsant activity studies in the group of (E)-N-cinnamoyl
aminoalkanols derivatives monosubstituted in phenyl ring with 4-Cl, 4-CH3 or 2
CH3.
AB - A series of twenty two (E)-N-cinnamoyl aminoalkanols derivatives monosubstituted
in phenyl ring with 4-Cl, 4-CH3 or 2-CH3 was designed, synthesized and evaluated
for anticonvulsant activity in rodent models of seizures: maximal electroshock
(MES) test, subcutaneous pentylenetetrazole (scPTZ) test, and 6-Hz test. There
were identified three most active compounds: S-(2E)-N-(1-hydroxypropan-2-yl)-3-(2
methylphenyl)prop-2-enamide (5) (ED50 MES=42.56, ED50 scPTZ=58.38, ED50 6-Hz
44mA=42.27mg/kg tested in mice after intraperitoneal (i.p.) administration); R,S
(2E)-3-(4-chlorophenyl)-N-(1-hydroxybutan-2-yl)prop-2-enamide (6) (ED50
MES=53.76, ED50 scPTZ=90.31, ED50 6-Hz 44mA=92.86mg/kg mice, i.p.); and R,S-(2E)
3-(4-chlorophenyl)-N-(2-hydroxypropyl)prop-2-enamide (11) (ED50 MES=55.58, ED50
scPTZ=102.15, ED50 6-Hz 44mA=51.27mg/kg mice, i.p.). Their structures and
configurations were confirmed by crystal X-ray diffraction method. The structure
activity studies among the tested series showed that chlorine atom in position
para or methyl group in position ortho of phenyl ring were beneficial for
anticonvulsant activity. Methyl group in position para of phenyl ring decreased
anticonvulsant activity in reported series of cinnamamide derivatives.
PMID- 27876251
TI - [Food protein-induced enterocolitis syndrome: A case report of diarrhea with
hypovolemic shock and methemoglobinemia].
AB - We report on the case of a young infant with chronic diarrhea that worsened and
turned into hypovolemic shock with methemoglobinemia. We underline and discuss
the main features of food protein-induced enterocolitis syndrome (FPIES). FPIES
is a non-IgE-mediated food allergy involving tumor necrosis factor-alpha (TNF
alpha). Many triggering foods exist but cow's milk, as in the case reported
herein, is one of the most frequent. It can start early or be delayed and start
around the average age of 5 months. Symptoms are nonspecific with diarrhea and
vomiting, but in the presence of methemoglobinemia, the diagnosis must be
seriously considered. The oral food challenge remains the gold standard to
confirm the diagnosis if there is still a doubt. Treatment of FPIES associates
emergency treatment of acute dehydration with the prevention of relapses by
avoiding the suspected protein.
PMID- 27876252
TI - Clinical characteristics in cholinergic urticaria with palpebral angioedema:
Report of 15 cases.
PMID- 27876253
TI - The Impact of Surgical Technique on Patient Reported Outcome Measures and Early
Complications After Total Hip Arthroplasty.
AB - BACKGROUND: This study examines patient and surgeon reported outcome measures,
complications during index admission, length of stay (LOS), and discharge
disposition in a series of total hip replacements (THR) performed via the direct
anterior (DA) or posterolateral (PL) approach. METHODS: Five surgeons performed
2698 total hip replacements (1457 DA vs 1241 PL) between January 2010 and June
2015. Complications during index admission were recorded using billing and claims
data. Harris Hip Scores (HHS) and Hip disability and Osteoarthritis Outcome
Scores (HOOS) were collected in a subset of patients. RESULTS: Patients in the DA
group had shorter LOS (2.3 DA vs 2.7 PL days, P < .001) and a larger proportion
of patient discharges to home (79.0% DA vs 68.7% PL, P < .001). Surgical (0.75%
DA vs 0.73% PL, P = .961) and medical (8.4% DA vs 8.1% PL, P = .766)
complications during index admission were equivalent between groups. HHS (n =
462) favored the DA group at an early follow-up (P < .001), but did not differ at
1 year (P = .478). Logistic regression revealed that patients in the DA group
were more likely to report no pain, no limp, walk unlimited distances, and climb
stairs without the use of the railing at 3- to 6-month follow-up (P < .001).
HOOSs were equivalent at all follow-ups regardless of approach. CONCLUSION:
Patients in the DA group had shorter LOS and were more likely to be discharged
home. The DA group had better HHS at 3- to 6-month follow-up than patients in the
PL group, with no difference in medical or surgical complications during index
admission.
PMID- 27876254
TI - Is Selectively Not Resurfacing the Patella an Acceptable Practice in Primary
Total Knee Arthroplasty?
AB - BACKGROUND: To resurface or not to resurface the patella remains a controversy in
total knee arthroplasty (TKA). The purpose of this study was to assess the long
term outcomes associated with selectively not resurfacing the patella. METHODS:
This was a historical cohort study of 15,497 patients with 21,371 primary TKA
procedures performed at a single institution between 1985 and 2010. The cohort
included 402 (2%) knees with unresurfaced patellae and 20,969 knees with all
polyethylene patellae designs. Reasons for not resurfacing the patella were
documented. Multivariable Cox regression analyses were used to estimate the risk
of complications and revisions among procedures with unresurfaced patellae.
RESULTS: According to the surgeon, reasons for not resurfacing were normal
cartilage (226, 56%), young patient (30, 8%), thin patella (53, 13%), and
surgeons' choice (93, 23%). In age, sex, and calendar year-adjusted analyses, the
risk of complications (hazard ratio [HR]: 1.25, 95% confidence interval [CI]:
1.06, 1.46) and all-cause revisions (HR: 1.39, 95% CI: 1.02, 1.89) were
significantly higher after TKA with unresurfaced patellae. However, after
adjusting for femoral component types and operative diagnoses, these associations
were no longer significant. The only group with significantly worse outcomes were
those with a thin patellae with increased risk of complications (HR: 2.66, 95%
CI: 1.70, 4.17) and revisions (HR: 5.94, 95% CI: 2.35, 15.02). Yet, the excess
risk in the thin patellae group was mainly due to infections, and not related to
unresurfaced patellae. CONCLUSION: Selectively not resurfacing the patella seemed
to provide similar results compared with routine resurfacing.
PMID- 27876255
TI - Patient and Perioperative Variables Affecting 30-Day Readmission for Surgical
Complications After Hip and Knee Arthroplasties: A Matched Cohort Study.
AB - BACKGROUND: Changes in reimbursement for total hip and knee arthroplasties (THA
and TKA) have placed increased financial burden of early readmission on hospitals
and surgeons. Our purpose was to characterize factors of 30-day readmission for
surgical complications after THA and TKA at a single, high-volume orthopedic
specialty hospital. METHODS: Patients with a diagnosis of osteoarthritis and who
were readmitted within 30 days of their unilateral primary THA or TKA procedure
between 2010 and 2014. Readmitted patients were matched to nonreadmitted patients
1:2. Patient and perioperative variables were collected for both cohorts. A
conditional logistic regression was performed to assess both the patient and
perioperative factors and their predictive value toward 30-day readmission.
RESULTS: Twenty-one thousand eight hundred sixty-four arthroplasties (THA =
11,105; TKA = 10,759) were performed between 2010 and 2014 at our institution, in
which 60 patients (THA = 37, TKA = 23) were readmitted during this 5-year period.
The most common reasons for readmission were fracture (N = 14), infection (N =
14), and dislocation (N = 9). Thirty-day readmission for THA was associated with
increased procedure time (P = .05), length of stay (LOS) shorter than 2 days (P =
.04), discharge to a skilled nursing facility (P = .05), and anticoagulation use
other than aspirin (P = .02). Thirty-day readmission for TKA was associated with
increased tourniquet time (P = .02), LOS <3 days (P < .01), and preoperative
depression (P = .02). In the combined THA/TKA model, a diagnosis of depression
increased 30-day readmission (odds ratio 3.5 [1.4-8.5]; P < .01). CONCLUSION:
Risk factors for 30-day readmission for surgical complications included short
LOS, discharge destination, increased procedure/tourniquet time, potent
anticoagulation use, and preoperative diagnosis of depression. A focus on risk
factor modification and improved risk stratification models are necessary to
optimize patient care using readmission rates as a quality benchmark.
PMID- 27876256
TI - Do Changes in the Production Process Affect the Outcome of Ceramic Liners: A 3
Year Follow-Up Study.
AB - BACKGROUND: In 2011, the current liner was withdrawn from the market because of
the potential risk for liner fracture secondary to increased pressures used to
assemble the metal locking ring. The present study provides a short-term follow
up of patients with this implant. METHODS: We retrospectively evaluated 63
consecutive hips in 53 patients operated by a single surgeon using a recalled
ceramic-on-ceramic bearing. There were 30 women and 23 men with an average age of
50.6 years (range 20.3-63.5 years). The mean follow-up was 36.8 months. RESULTS:
Six hips in 6 patients were revised (9.5%) because of a liner-fracture during the
follow-up period. All liner fractures were identified on computer tomography
imaging. Nine patients had self-reported episodes of squeaking (14.3%). All 6
patients that underwent revision surgery for liner fracture described squeaking
before revision. There were no revisions for other causes. Two of the revised
patients had a subsequent dislocation (33%). CONCLUSION: The recalled ceramic
liner lots have an increased liner fracture rate. Patients with mechanical
symptoms or squeaking should undergo computer tomography to rule out liner
facture.
PMID- 27876257
TI - Tubular aggregate myopathy with features of Stormorken disease due to a new STIM1
mutation.
AB - STIM1 is a reticular Ca2+ sensor composed of a luminal and a cytosolic domain.
Missense mutations in the luminal domain have been associated with tubular
aggregate myopathy (TAM), while cytosolic mutations can cause Stormorken
syndrome, a multisystemic disease associating TAM with asplenia,
thrombocytopenia, miosis, ichthyosis, short stature and dyslexia. Here we present
the case of a 41-year-old female complaining of exercise intolerance. Clinical
examination showed short stature, scoliosis, proximal muscle weakness with lower
limb predominance, and ophthalmoplegia. Laboratory tests revealed hypocalcemia,
mild anemia and elevated creatine kinase (CK) levels. Whole-body muscle magnetic
resonance imaging (MRI) revealed asplenia. Muscle biopsy was consistent with TAM.
STIM1 gene analysis disclosed the novel c.252T>A, p.D84E missense mutation which
was shown to induce constitutive STIM1 clustering in a functional study. This
study reports a novel STIM1 mutation located in the Ca2+-binding EF domain
causing TAM with features of Stormorken syndrome.
PMID- 27876260
TI - Editorial overview: Endocrine and metabolic diseases: Adipocyte dysfunction fuels
signalings for breast cancer progression.
PMID- 27876258
TI - Normal saline instillation before suctioning: A meta-analysis of randomized
controlled trials.
AB - BACKGROUND: For airway management of intensive care unit (ICU) patients who are
intubated, a 5-10-mL bolus of sterile normal saline (NS) solution is commonly
instilled into an endotracheal or tracheostomy tube before suctioning. However,
NS instillation has been associated with adverse events such as dyspnea,
increasing heart rate, decreasing of oxygenation, blood pressure, and other vital
parameters. OBJECTIVE: To conduct a systematic review and meta-analysis of
randomized controlled trials (RCTs) to evaluate the necessity of NS instillation
before suctioning in ICU patients. DATA SOURCES: The PubMed, Embase, Cochrane
Library, and Scopus databases and the ClinicalTrials.gov registry were searched
for studies published before May 2016. REVIEW METHODS: RCTs evaluating the
outcome of NS instillation before suctioning in ICU patients undergoing
endotracheal intubation or tracheostomy were included. Individual effect sizes
were standardised, and a meta-analysis was conducted to calculate the pooled
effect size by using a random-effect model. The primary outcome was the oxygen
saturation immediately and 2 and 5min after suctioning. The secondary outcomes
were the heart rate and blood pressure after suctioning. RESULTS: We reviewed 5
RCTs including 337 patients. Oxygen saturation was significantly higher in the
non-NS group than in the NS group 5min after suctioning. The pooled mean
difference in oxygen saturation was -1.14 (95% confidence interval: -2.25 to
0.03). The heart rate and blood pressure did not differ significantly between the
non-NS and NS groups. CONCLUSION: NS instillation before suctioning does not
benefit patients undergoing endotracheal intubation or tracheostomy. Moreover, it
reduces oxygen saturation 5min after suction. However, our reviewed studies had a
low methodological quality. Thus, additional studies involving large-scale RCTs
are warranted.
PMID- 27876259
TI - A Diagnostic Dilemma for the Pediatrician: Radiolucent Tracheobronchial Foreign
Body.
AB - BACKGROUND: The purpose of this study is to determine the role of clinical
history, physical examinations, and radiological findings in the evaluation of
patients with suspected radiolucent foreign body aspiration. METHODS: The medical
records of 236 children (under the age of 18 years), on whom a rigid bronchoscopy
was performed between 1999 and 2015 because of suspected radiolucent foreign body
aspiration, were analyzed retrospectively. Sensitivity, specificity, positive and
negative predictive values of clinical history, physical examinations, and
radiological findings were evaluated. RESULTS: In 71.1% of all cases, the
children were under the age of 3 years. The bronchoscopy showed the presence of a
foreign body in 52.9% of cases, with the locations of the foreign bodies being as
follows: (1) right main bronchus, 47.2%; (2) left main bronchus, 36.0%; (3)
trachea, 11.2%; (4) both bronchi, 5.6%. Organic foreign bodies were found in 78%
of the patients, whereas inorganic foreign bodies were detected in 22% of the
patients. The sensitivity and specificity of clinical history, physical
examinations, and radiological findings were 98.4% and 54.9%, 47.2% and 74.7%,
and 35.2% and 92.7%, respectively. CONCLUSION: Tracheobronchial foreign body
aspirations usually occur prior to the age of 3 years, with the most frequently
aspirated foreign bodies being food or items of a radiolucent nature. Clinical
history, physical examinations, and radiological findings are not able to detect
the presence of a radiolucent foreign body aspiration in children. Therefore, a
bronchoscopy should be performed on children in whom a choking event has been
witnessed, even in cases of normal radiological and clinical findings.
PMID- 27876262
TI - New 'refined' criteria for the electrocardiographic assessment of athletes.
PMID- 27876263
TI - The Prognosis of Thebesian Veins Can Be Obfuscated by Conflating the Vessels
(Veins) of Thebesius With Coronary Artery-Cameral Connections.
PMID- 27876261
TI - Cartilage repair strategies in the knee: A survey of Turkish surgeons.
AB - OBJECTIVES: The purpose of this study was to analyze the trends in cartilage
repair strategies among Turkish orthopedic surgeons for isolated focal
(osteo)chondral lesions of the knee joint. MATERIALS AND METHODS: A web-based
survey of 21 questions consisting of surgical indications, techniques and time to
return to sports was developed to investigate the preferences of members of the
TOTBID and the TUSYAD. RESULTS: A total of 147 surgeons answered the
questionnaire.70% of the respondents were TUSYAD members. 82% of respondents had
at least five years experience in arthroscopy. Half of the surgeons indicated
that patient age of 50 was the upper limit for cartilage repair. Irrespective of
activity level, microfracture (60-67%) was the most frequently used technique for
lesions smaller 2.5 cm2. In lesions larger than 4 cm2, MACI was the most commonly
advocated procedure (67%). In patients with high activity levels, mosaicplasty
was the first choice (69%) for lesions between 2.5 and 4 cm2 in size, followed by
MACI (27%). CONCLUSION: Patient age, activity level, BMI and lesion size were
important determinants for the choice of treatment of isolated chondral lesions
in the knee. These results reflect the choices of experienced knee surgeons in
the country. Although not widely performed in Turkey and has limited
reimbursement by the health care system, the first choice for defects over 4 cm2
was second generation ACI. Third party payers & health reimbursement authorities
should take into account that large defects require methods which are relatively
expensive and need high technology. Cross-sectional survey, Level II.
PMID- 27876264
TI - Intravascular Ultrasound and Near-Infrared Spectroscopic Characterization of Thin
Cap Fibroatheroma.
AB - Thin-cap fibroatheromas (TCFAs) are considered precursors for plaque rupture and
subsequent acute coronary events. We investigated intravascular ultrasound (IVUS)
and near-infrared spectroscopy (NIRS) characteristics of lesions that were
histopathologic TCFAs. IVUS, NIRS, and histopathology were performed in 271
atherosclerotic lesions from 107 fresh coronary arteries from 54 patients at
necropsy. The plaque burden and remodeling index calculated by IVUS and maximum
lipid core burden index within any 4-mm segment (maxLCBI4mm) calculated by NIRS
were compared among each plaque type based on histopathologic classifications but
focusing on TCFA. Lesions classified as TCFAs had the largest plaque burden, the
highest remodeling index, and the greatest maxLCBI4mm. Plaque burden >=69% (90%
sensitivity, 75% specificity, and area under the curve 0.87); remodeling index
>=1.07 (80% sensitivity, 79% specificity, and area under the curve 0.84); and
maxLCBI4mm >=323 (80% sensitivity, 85% specificity, and area under the curve
0.84) predicted a histopathologic TCFA. In conclusion, a large plaque burden and
a high remodeling index assessed by IVUS and lipid-rich plaque determined by the
NIRS maxLCBI4mm are useful predictive markers of TCFA.
PMID- 27876265
TI - A prospective randomized comparison of two distinct allogenic tissue constructs
for anterior cruciate ligament reconstruction.
AB - BACKGROUND: Conduct a prospective randomized study to compare clinical outcomes
of anterior cruciate ligament (ACL) reconstruction using quadrupled hamstring
tendon (HT) allograft or doubled tibialis anterior (TA) allograft. Limited level
1 data exist comparing outcomes of different soft tissue allograft constructs for
ACL reconstruction. We hypothesized no difference would exist in the patient
reported outcomes (PRO), arthrometric testing, or rate of re-rupture between the
two constructs. METHODS: Ninety eight subjects undergoing primary ACL
reconstruction were randomized to HT (n=47) or TA (n=51) allograft. Subjects
completed validated (PRO) measures pre-operatively, and six months and two years
post-operatively. Arthrometric testing was performed at six months to assess
integrity of the reconstruction. RESULTS: Fifty-eight percent of subjects (57/98)
completed a two-year follow up. Allograft re-tear rates were similar between
groups (6.2% HT vs. 4.0% TA, respectively, p=1.0). The relative risk of re-tear
in the HT group was 1.5 compared to the TA group (p=0.7). The TA group improved
significantly more on the physical portion of the VR-12 (p=0.046) and Lysholm
score (p=0.014) compared to the HT group. There was no difference in the change
from baseline for the other PRO scores at two years. CONCLUSIONS: Our data
indicate no difference in graft failure rate and similar improvement from
baseline in most PRO scores between treatment groups after two years. Based on
these findings, TA allograft appears to provide a reliable and satisfactory
option for patients who elect to undergo allograft ACL reconstruction.
PMID- 27876267
TI - Open wedge high tibial osteotomy using three-dimensional printed models:
Experimental analysis using porcine bone.
AB - BACKGROUND: The purpose of this study was to evaluate the usefulness of three
dimensional (3D) printed models for open wedge high tibial osteotomy (HTO) in
porcine bone. METHODS: Computed tomography (CT) images were obtained from 10
porcine knees and 3D imaging was planned using the 3D-Slicer program. The
osteotomy line was drawn from the three centimeters below the medial tibial
plateau to the proximal end of the fibular head. Then the osteotomy gap was
opened until the mechanical axis line was 62.5% from the medial border along the
width of the tibial plateau, maintaining the posterior tibial slope angle. The
wedge-shaped 3D-printed model was designed with the measured angle and osteotomy
section and was produced by the 3D printer. The open wedge HTO surgery was
reproduced in porcine bone using the 3D-printed model and the osteotomy site was
fixed with a plate. Accuracy of osteotomy and posterior tibial slope was
evaluated after the osteotomy. RESULTS: The mean mechanical axis line on the
tibial plateau was 61.8+/-1.5% from the medial tibia. There was no statistically
significant difference (P=0.160). The planned and post-osteotomy correction wedge
angles were 11.5+/-3.2 degrees and 11.4+/-3.3 degrees , and the posterior tibial
slope angle was 11.2+/-2.2 degrees pre-osteotomy and 11.4+/-2.5 degrees post
osteotomy. There were no significant differences (P=0.854 and P=0.429,
respectively). CONCLUSION: This study showed that good results could be obtained
in high tibial osteotomy by using 3D printed models of porcine legs.
PMID- 27876266
TI - Knee arthrodesis by the Ilizarov method in the treatment of total knee
arthroplasty failure.
AB - BACKGROUND: Currently, the main indication for knee arthrodesis is septic failure
of a total knee arthroplasty (TKA). The purpose of this study was to evaluate the
results of knee arthrodesis by circular external fixation performed in the
treatment of TKA failure in which revision arthroplasty was not indicated.
METHODS: The study involved 19 patients who underwent knee arthrodesis by the
Ilizarov method. Clinical and functional assessments were performed, including
Knee Society Score (KSS). A postoperative clinical and radiographic evaluation
was conducted every three months until the end of the treatment. Postoperative
complications and eventual leg shortening were recorded. RESULTS: KSS results
showed a significant improvement with respect to the preoperative condition. Of
the 16 patients in the final follow-up, 15 patients (93.7%) achieved complete
bone fusion. Major complications occurred in patients treated for septic failure
of TKA and most occurred in patients over 75years of age; the mean final leg
shortening was four centimeters. CONCLUSION: In our experience, the Ilizarov
method is effective for performing a knee arthrodesis in the case of extensive
bone loss. At the same time, it is possible to correct the associated leg
deformities or limb length difference. In addition, only the Ilizarov method
provides a mechanical stimulus for bone formation and improves the quality of the
bone and of the microcirculation, which enhances the host response against
infection. Despite these attributes, knee arthrodesis by the Ilizarov method must
be considered a 'salvage procedure' in cases of severe outcomes from knee surgery
in which revision arthroplasty is not indicated.
PMID- 27876268
TI - Vocal changes accompanying the descent of the larynx during ontogeny from
neonates to adults in male and female goitred gazelles (Gazella subgutturosa).
AB - The pronouncedly enlarged and descended larynx in male goitred gazelles (Gazella
subgutturosa), Mongolian gazelles (Procapra gutturosa) and fallow deer (Dama
dama) represents an interesting parallel to the 'Adam's apple' of human males.
Goitred gazelles, as humans, are not born with a descended larynx. Therefore the
sexual dimorphism of larynx size and position develops during ontogeny. In this
study, the vocal ontogeny of male and female goitred gazelles was investigated
across five age classes from neonates to adults. The acoustic variables of nasal
contact calls were measured in 53 (24 male, 29 female) individuals, body mass and
neck dimensions in 63 (31 male, 32 female) live individuals and nasal vocal tract
and vocal fold lengths in 26 (16 male, 10 female) anatomical specimens. Call
fundamental frequency (f0), the acoustic correlate of the ontogenetically
enlarging larynx, decreased significantly in either sex. Call formants (second,
third and forth), the acoustic correlates of the ontogenetically elongating vocal
tract, did not differ significantly between sexes up to early adulthood, but
clearly diverged in adults. Significant differences between sexes in neck
circumference at the level of the larynx emerged already at 2-3 months of age,
whereas body mass, neck circumference at the neck-body transition and the degree
of larynx descent significantly differed in adults only. We discuss that, in
contrast to humans, the accelerated enlargement of the larynx in male goitred
gazelles starts early in ontogeny. A moderate descent of the larynx develops
equally in both sexes before early adulthood, whereas the additional prominent
descent of the larynx in males is shifted to late ontogeny. This might avoid
selective disadvantages of this sexually dimorphic trait on males during their
period of growth. As has been previously proved for humans, the emergence of the
strong male-specific descent of the larynx in goitred gazelles may go along with
the increasing social status and the males' increasing chances of siring
offspring. Similar to the Adam's apple of human males, this may indicate the
important role of the enlarged and descended larynx for signaling male status via
masculine voice.
PMID- 27876269
TI - A Social Ecology Analysis of Childbirth Among Females Emancipating From Foster
Care.
AB - PURPOSE: No research has examined childbirth from a national perspective among
females emancipating from foster care. The present study fills this gap by: (1)
documenting the rates of initial and repeat births among females ages 17 and 19
in a national prospective study and (2) identifying risk and protective factors
at age 17 that predict childbirth between ages 17 and 19. METHODS: This study
used data from the National Youth in Transition Database and Adoption and Foster
Care Analysis and Reporting System to identify risk and protective factors
associated with childbirth in a national sample of transition-age female youth (N
= 3,474). RESULTS: The cumulative rate of childbirth by age 19 was 21%, with
higher rates reported between ages 17 and 19 (17%; n = 602) compared with age 17
or earlier (9%; n = 313). In logistic regression analysis, black race and
Hispanic ethnicity, placement with relatives, runaway status, trial home visit
placement, early emancipation from foster care, and lifetime incarceration
histories were associated with increased likelihood of childbirth. In contrast,
school enrollment and employment skills were associated with decreased likelihood
of childbirth. The multivariate odds of childbirth between ages 17 and 19
increased 10-fold if youth already had a child by age 17. CONCLUSIONS: Sexual
health and pregnancy prevention programs should specifically target youths who
already have children. Increased attention should be paid to adolescents placed
with biological families and those with histories of criminal involvement.
PMID- 27876270
TI - A new manufacturing process to remove thrombogenic factors (II, VII, IX, X, and
XI) from intravenous immunoglobulin gamma preparations.
AB - Coagulation factors (II, VII, IX, X, and particularly XIa) remaining in high
concentrations in intravenous immunoglobulin (IVIG) preparations can form
thrombi, causing thromboembolic events, and in serious cases, result in death.
Therefore, manufacturers of biological products must investigate the ability of
their production processes to remove procoagulant activities. Previously, we were
able to remove coagulation factors II, VII, IX, and X from our IVIG preparation
through ethanol precipitation, but factor XIa, which plays an important role in
thrombosis, remained in the intermediate products. Here, we used a
chromatographic process using a new resin that binds with high capacity to IgG
and removes procoagulant activities. The procoagulant activities were reduced to
low levels as determined by the thrombin generation assay: <1.56 mIU/mL,
chromogenic FXIa assay: <0.16 mIU/mL, non-activated partial thromboplastin time
(NaPTT): >250 s, FXI/FXIa ELISA: <0.31 ng/mL. Even after spiking with FXIa at a
concentration 32.5 times higher than the concentration in normal specimens, the
procoagulant activities were below the detection limit (<0.31 ng/mL). These
results demonstrate the ability of our manufacturing process to remove
procoagulant activities to below the detection limit (except by NaPTT),
suggesting a reduced risk of thromboembolic events that maybe potentially caused
by our IVIG preparation.
PMID- 27876271
TI - HIV Infection Is Independently Associated with Increased CT Scan Lung Density.
AB - RATIONALE AND OBJECTIVES: Noninfectious pulmonary complications are common among
HIV-infected individuals and may be detected early by quantitative computed
tomography (CT) scanning. The association of HIV disease markers with CT lung
density measurement remains poorly understood. MATERIALS AND METHODS: One hundred
twenty-five participants free of spirometry-defined lung disease were recruited
from a longitudinal cohort study of HIV-infected and HIV-uninfected individuals
to undergo standardized CT scan of the chest. Parenchymal density for the entire
lung volume was calculated using computerized software. Qualitative assessment of
CT scans was conducted by two radiologists masked to HIV status. Linear
regression models were developed to determine the independent association of
markers of HIV infection on inspiratory scan mean lung density (MLD). RESULTS:
HIV-infected participants had a significantly higher MLD (denser lung) compared
to HIV-uninfected participants (-815 Hounsfield unit [HU] vs -837 HU; P = 0.002).
After adjusting for relevant covariates, HIV infection was independently
associated with 19.9 HU higher MLD (95% CI 6.04 to 33.7 HU; P = 0.005). In
qualitative assessment, only ground glass attenuation and cysts were noted more
commonly among HIV-infected individuals compared to HIV-uninfected individuals
(34% vs 17% [P = 0.045] and 27% vs 10% [P = 0.03], respectively). No qualitative
radiographic abnormalities attenuated the association between HIV infection and
increased MLD. CONCLUSIONS: HIV infection is independently associated with
increased lung density. Although qualitative CT abnormalities were common in this
cohort, only ground glass attenuation and cysts were noted more frequently in HIV
infected participants, suggesting that the increased lung density observed among
HIV-infected individuals may be associated with subclinical inflammatory lung
changes.
PMID- 27876272
TI - Evaluation of Rib Fractures on a Single-in-plane Image Reformation of the Rib
Cage in CT Examinations.
AB - RATIONALE AND OBJECTIVES: This study aimed to evaluate the diagnostic performance
of using a reformatted single-in-plane image reformation of the rib cage for the
detection of rib fractures in computed tomography (CT) examinations, employing
different levels of radiological experience. MATERIALS AND METHODS: We
retrospectively evaluated 10 consecutive patients with and 10 patients without
rib fractures, whose CT scans were reformatted to a single-in-plane image
reformation of the rib cage. Eight readers (two radiologists, two residents in
radiology, and four interns) independently evaluated the images for the presence
of rib fractures using a reformatted single-in-plane image and a multi-planar
image reformation. The time limit was 30 seconds for each read. A consensus of
two radiologist readings was considered as the reference standard. Diagnostic
performance (sensitivity, specificity, positive predictive value [PPV], and
negative predictive value [NPV]) was assessed and evaluated per rib and per
location (anterior, lateral, posterior). To determine the time limit, we
prospectively analyzed the average time it took radiologists to assess the rib
cage, in a bone window setting, in 50 routine CT examinations. McNemar test was
used to compare the diagnostic performances. RESULTS: Single image reformation
was successful in all 20 patients. The sensitivity, specificity, PPV, and NPV for
the detection of rib fractures using the conventional multi-planar read were
77.5%, 99.2%, 89.9%, and 98.0% for radiologists; 46.3%, 99.7%, 92.5%, and 95.3%
for residents; and 29.4%, 99.4%, 82.5%, and 93.9% for interns, respectively.
Sensitivity, PPV, and NPV increased across all three groups of experience, using
the reformatted single-in-plane image of the rib cage (radiologists: 85.0%,
98.6%, and 98.7%; residents: 80.0%, 92.8%, and 98.2%; interns: 66.9%, 89.9%, and
97.1%), whereas specificity did not change significantly (99.9%, 99.4%, and
99.3%). The diagnostic performance of the interns and residents was significantly
better when evaluating the single-in-plane image reformations (P < .01). The
diagnostic performance of the radiologists was better when evaluating the single
in-plane image reformations; however, there was no significant difference
(statistical power: 0.32). CONCLUSIONS: The diagnostic performance for the
detection of rib fractures, using CT images that have been reformatted to a
single-in-plane image, improves for readers from different educational levels
when the evaluation time is restricted to 30 seconds or less.
PMID- 27876273
TI - The MacArthur Competence Assessment Tools for assessing decision-making capacity
in schizophrenia: A meta-analysis.
AB - OBJECTIVE: This meta-analysis aimed to examine the decisional capacity measured
by the MacArthur Competence Assessment Tools (MacCAT) in schizophrenia. METHOD:
English (PubMed, PsycINFO, Embase, Cochrane Library databases and the Cochrane
Controlled Trials Register) and Chinese (Wan Fang Database and Chinese National
Knowledge Infrastructure) databases were systematically and independently
searched from 1995 until August 1, 2016. Weighted and standardized mean
differences were calculated. The random effects model was used in all cases.
RESULTS: Altogether 10 studies were identified, with 7 studies using the
MacArthur Competence Assessment Tool for Clinical Research (MacCAT-CR) and 3
studies using the MacArthur Competence Assessment Tool for Treatment (MacCAT-T).
The meta-analysis showed that there was significant impairment in decision-making
capacity in schizophrenia patients compared to the healthy control group in terms
of Understanding (SMD=-0.81, 95% CI: -1.06 to -0.56, P<0.001), Reasoning (SMD=
0.57, 95% CI: -0.80 to -0.34, P<0.001), Appreciation (SMD=-0.87, 95% CI: -1.20 to
-0.53, P<0.001), and Expression a choice (SMD=-0.24, 95% CI: -0.43 to -0.05,
P=0.01). CONCLUSION: Compared to the control group, schizophrenia patients are
more likely to have impaired decision-making capacity in clinical research and
treatment as measured by the MacCAT instruments. Researchers and clinicians need
to consider the impaired decisional capacity in schizophrenia patients providing
informed consent.
PMID- 27876274
TI - Are there any ways around the exposure-limiting nephrotoxicity of the polymyxins?
AB - The polymyxins (colistin and polymyxin B) have emerged over the past 20 years as
essential antibacterial agents that often are the only remaining active class
against troublesome multidrug-resistant Gram-negative bacilli such as carbapenem
resistant Acinetobacter baumannii, Pseudomonas aeruginosa and Enterobacteriaceae.
The utility of this class is limited by its dose-dependent nephrotoxicity, which
can occur in more than one-half of patients receiving therapy with either agent.
Strategies are urgently needed to optimise the use of this class of agents to
ensure optimal activity while minimising the treatment-limiting nephrotoxicity.
This review will focus on risk factors for polymyxin-associated nephrotoxicity,
potential strategies for limiting this exposure-dependent toxicity and, finally,
unknowns and future research directions pertinent to this topic.
PMID- 27876275
TI - Development of Leishmania donovani stably expressing DsRed for flow cytometry
based drug screening using chalcone thiazolyl-hydrazone as a new antileishmanial
target.
AB - Green fluorescent protein produces significant fluorescence and is extremely
stable, however its excitation maximum is close to the ultraviolet range and thus
can damage living cells. Hence, Leishmania donovani stably expressing DsRed were
developed and their suitability for flow cytometry-based antileishmanial
screening was assessed by evaluating the efficacies of standard drugs as well as
newly synthesised chalcone thiazolyl-hydrazone compounds. The DsRed gene was
successfully integrated at the 18S rRNA locus of L. donovani and transfectants
(LdDsRed) were selected using hygromycin B. Enhanced expression of DsRed and a
high level of infectivity to J774A.1 macrophages were achieved, which was
confirmed by fluorescence microscopy and flow cytometry. Furthermore, these
LdDsRed transfectants were utilised for development of an in vitro screening
assay using the standard antileishmanial drugs miltefosine, amphotericin B,
pentamidine and paromomycin. The response of transfectants to standard drugs
correlated well with previous reports. Subsequently, the suitability of this
system was further assessed by screening a series of 18 newly synthesised
chalcone thiazolyl-hydrazone compounds in vitro for their antileishmanial
activity, wherein 8 compounds showed moderate antileishmanial activity. The most
active compound 5g, with ca. 73% splenic parasite reduction, exerted its activity
via generating nitric oxide and reactive oxygen species and inducing apoptosis in
LdDsRed-infected macrophages. Thus, these observations established the
applicability of LdDsRed transfectants for flow cytometry-based antileishmanial
screening. Further efforts aimed at establishing a high-throughput screening
assay and determining the in vivo screening of potential antileishmanial leads
are required.
PMID- 27876276
TI - Piperacillin/tazobactam as an alternative antibiotic therapy to carbapenems in
the treatment of urinary tract infections due to extended-spectrum beta-lactamase
producing Enterobacteriaceae: an in silico pharmacokinetic study.
AB - Piperacillin/tazobactam (TZP) as an alternative treatment to carbapenems for
infections involving extended-spectrum beta-lactamase (ESBL)-producing
Enterobacteriaceae (ESBL-PE) remains debated. In this study, the probabilities of
pharmacodynamic (PD) target attainment with different TZP regimens in ESBL
producing Escherichia coli (ESBL-Ec) and Klebsiella pneumoniae (ESBL-Kp) were
evaluated in the context of pyelonephritis. Minimum inhibitory concentrations
(MICs) of 144 ESBL-Ec and 111 ESBL-Kp from pyelonephritis were measured, and two
previously published population pharmacokinetic models were used to determine by
Monte Carlo simulation the probabilities of reaching two PD targets (50%fT>MIC
and 100%fT>MIC) with TZP doses of 4 g three times daily and 4.5 g four times
daily given as short (1 h) or prolonged (4 h) infusions or as 12-18 g/day
continuous infusions. Only MICs of the 133 ESBL-Ec and 74 ESBL-Kp strains
susceptible to TZP according to inhibition zone diameter were considered for the
simulations. Results were similar with the two models, and only prolonged and
continuous infusions allowed to reach 50%fT>MIC with a probability of >90%
irrespective of bacterial species. Continuous infusion and prolonged infusion
combined with the maximum dosage were the only condition allowing to achieve
100%fT>MIC with a probability of >70% with this population of ESBL-Ec. A
probability of >90% to reach 100%fT>MIC with ESBL-Kp could be obtained only with
the 18 g/day continuous-infusion regimen. TZP may be used for treatment for mild
pyelonephritis involving susceptible ESBL-Ec provided that administration
modalities are optimised. Conversely, for ESBL-Kp the risk of treatment failure
may be higher, supporting the use of continuous infusion.
PMID- 27876277
TI - The Ascent of Man and the Politics of Humanity's Evolutionary Future.
AB - Throughout the twentieth century, contemporary understandings of evolutionary
theory were tightly linked to visions of the future freighted with moral
consequence. This essay traces the origins and legacy of this scientific
commitment to a universal family of man in postwar evolutionary theory, and
elaborates how evolutionary scientists sought to reframe the politics of human
evolution by claiming that the principles governing the physical past of humanity
differed fundamentally from those that would matter in the coming decades,
centuries, or even millennia. Education and public engagement embodied the moral
importance of actively participating in the creation of that better, future
world.
PMID- 27876278
TI - Event-based finite-time state estimation for Markovian jump systems with
quantizations and randomly occurring nonlinear perturbations.
AB - This paper is concerned with finite-time state estimation for Markovian jump
systems with quantizations and randomly occurring nonlinearities under event
triggered scheme. The event triggered scheme and the quantization effects are
used to reduce the data transmission and ease the network bandwidth burden. The
randomly occurring nonlinearities are taken into account, which are governed by a
Bernoulli distributed stochastic sequence. Based on stochastic analysis and
linear matrix inequality techniques, sufficient conditions of stochastic finite
time boundedness and stochastic Hinfinity finite-time boundedness are firstly
derived for the existence of the desired estimator. Then, the explicit expression
of the gain of the desired estimator are developed in terms of a set of linear
matrix inequalities. Finally, a numerical example is employed to demonstrate the
usefulness of the theoretical results.
PMID- 27876279
TI - Non-fragile sampled-data robust synchronization of uncertain delayed chaotic
Lurie systems with randomly occurring controller gain fluctuation.
AB - This paper proposes a new non-fragile stochastic control method to investigate
the robust sampled-data synchronization problem for uncertain chaotic Lurie
systems (CLSs) with time-varying delays. The controller gain fluctuation and time
varying uncertain parameters are supposed to be random and satisfy certain
Bernoulli distributed white noise sequences. Moreover, by choosing an appropriate
Lyapunov-Krasovskii functional (LKF), which takes full advantage of the available
information about the actual sampling pattern and the nonlinear condition, a
novel synchronization criterion is developed for analyzing the corresponding
synchronization error system. Furthermore, based on the most powerful free-matrix
based integral inequality (FMBII), the desired non-fragile sampled-data estimator
controller is obtained in terms of the solution of linear matrix inequalities.
Finally, three numerical simulation examples of Chua's circuit and neural network
are provided to show the effectiveness and superiorities of the proposed
theoretical results.
PMID- 27876280
TI - Validity and intra-rater reliability of MyJump app on iPhone 6s in jump
performance.
AB - OBJECTIVES: Smartphone applications are increasingly used by researchers,
coaches, athletes and clinicians. The aim of this study was to examine the
concurrent validity and intra-rater reliability of the smartphone-based
application, MyJump, against laboratory-based force plate measurements. DESIGN:
Cross sectional study. METHODS: Participants completed counter-movement jumps
(CMJ) (n=29) and 30cm drop jumps (DJ) (n=27) on a force plate which were
simultaneously recorded using MyJump. To assess concurrent validity, jump height,
derived from flight time acquired from each device, was compared for each jump
type. Intra-rater reliability was determined by replicating data analysis of
MyJump recordings on two occasions separated by seven days. RESULTS: CMJ and DJ
heights derived from MyJump showed excellent agreement with the force plate (ICC
values range from 0.991 for CMJ to 0.993) However mean DJ height from the force
plate was significantly higher than MyJump (mean difference: 0.87cm, 95% CI: 0.69
1.04cm). Intra-rater reliability of MyJump for both CMJ and DJ was almost perfect
(ICC values range from 0.997 for CMJ to 0.998 for DJ); however, mean CMJ and DJ
jump height for Day 1 was significantly higher than Day 2 (CMJ: 0.43cm, 95% CI:
0.23-0.62cm); (DJ: 0.38cm, 95% CI: 0.23-0.53cm). CONCLUSION: The present study
finds MyJump to be a valid and highly reliable tool for researchers, coaches,
athletes and clinicians; however, systematic bias should be considered when
comparing MyJump outputs to other testing devices.
PMID- 27876281
TI - Post-transplant surveillance for acute rejection and allograft vasculopathy by
echocardiography: Usefulness of myocardial velocity and deformation imaging.
AB - Diagnosing and monitoring acute rejection (AR) and cardiac allograft vasculopathy
(CAV) is essential for graft and transplant patient survival and, consequently, a
major objective for heart transplant patient surveillance. Because functionally
relevant CAV can arise and progress without clinical symptoms and sub-clinical
ARs can facilitate the development of CAV, standard surveillance of AR and CAV
includes routine endomyocardial biopsy (EMB) and coronary angiography (CA)
screenings at pre-defined time intervals. However, these invasive screenings
(distressing and risky for the patients) cannot solely diagnose all sub-clinical
AR episodes and also not always detect coronary stenoses before a clinical event.
Additional close-meshed, non-invasive AR and CAV surveillance strategies are
therefore mandatory. After the introduction of tissue Doppler imaging (TDI) and
strain imaging for myocardial wall motion and deformation analysis,
echocardiography became particularly promising for that purpose. Allowing
quantification of minor myocardial dysfunction not detectable by standard
echocardiography, TDI and strain imaging can reveal sub-clinical AR. Thus, these
approaches can be a valuable supplement to EMB, enabling more efficient AR
monitoring with fewer EMBs (only diagnostic EMBs) instead of unnecessary and
distressing routine EMB screenings. Their use can also improve therapeutic
decisions and monitoring of myocardial function during anti-rejection therapy.
Myocardial velocity and deformation imaging is also suited to early detection of
myocardial dysfunction induced by CAV and may be useful for prognostic evaluation
and timing of CAs, with an aim of reducing the number of routine CA screenings.
However, further studies are necessary before specific recommendations for the
use of TDI and strain imaging for CAV surveillance become possible.
PMID- 27876282
TI - To use or not to use? Amiodarone before heart transplantation.
AB - BACKGROUND: Amiodarone frequently is used in patients with heart failure.
Concerns still exist about possible complications related to its lingering effect
during and after heart transplantation. METHODS: We selected all consecutive
patients who received a heart transplant at our institution between January 2004
and December 2015 (n = 220) and compared the peri- and postoperative outcomes of
patients who were taking amiodarone for at least 120 days before heart transplant
(n = 127) with patients who did not take amiodarone prior to heart transplant (n
= 93). RESULTS: Compared with patients with no amiodarone use prior to
transplant, those who had used amiodarone were similar in age, body mass index,
sex, cause of cardiomyopathy, prevalence of diabetes, hypertension, presence of
defibrillator, and had similar donor ischemic times during transplant (all P >
.05). Median operative time, aortic cross clamp time, mechanical ventilation and
median hospital duration of stay did not differ between the 2 groups (P > .05).
Patients exposed to amiodarone had fewer cellular rejections (5% vs 20%; P =
.001) but more primary graft dysfunction (4% vs 0%; P = .025) and post-transplant
pneumonia (P = .047) compared with patients not taking amiodarone prior to
transplant. Both groups had similar rate of atrial fibrillation, 30-day
readmission, and 30-day mortality (P > .05). Even though 1-year survival was not
affected by amiodarone use (P = .51), long-term (5-year) survival was
significantly less in patients exposed to amiodarone (P = .03). CONCLUSION:
Amiodarone use did not affect the incidence of atrial fibrillation nor 30-day and
1-year survival post-transplantation. Nevertheless, post-transplant pulmonary
complications were significantly greater and 5-year survival was less among
patients treated with amiodarone prior to transplant.
PMID- 27876283
TI - Importance of trabecular anisotropy in finite element predictions of patellar
strain after Total Knee Arthroplasty.
AB - Patellar fracture and anterior knee pain remain major complications after Total
Knee Arthroplasty (TKA). Patient-specific finite element (FE) models should help
improve understanding of these complications through estimation of joint and bone
mechanics. However, sensitivity of predictions on modeling techniques and
approaches is not fully investigated. In particular, the importance of patellar
bone anisotropy, usually omitted in FE models, on strain prediction is still
unknown. The objective of this study was thus to estimate the influence of
modeling patellar trabecular anisotropy on prediction of patellar strain in TKA
models. We compared FE-derived strain predictions with isotopic and anisotropic
material properties using 17 validated FE models of the patella after TKA. We
considered both non-resurfaced and resurfaced patellae, in a load-bearing TKA
joint. We evaluated and compared the bone volume above a strain threshold and, in
addition, estimated if the difference in isotopic and anisotropic predictions was
consistent between patellae of different average bone volume fraction. Compared
to the anisotropic reference, the isotropic prediction of strained volume was
3.7+/-1.8 times higher for non-resurfaced patellae and 1.5+/-0.4 times for
resurfaced patellae. This difference was higher for patellae with lower average
bone volume fraction. This study indicates that strain predictions acquired via
isotropic patellar FE models should be interpreted with caution, especially when
patellae of different average bone volume fraction are compared.
PMID- 27876284
TI - Calculation of tumour and normal tissue biological effective dose in 90Y liver
radioembolization with different dosimetric methods.
AB - PURPOSE: Radioembolization with 90Y microspheres is an effective treatment for
unresectable liver tumours. Two types of microspheres are available: resin (SIR
Spheres(r)) and glass (Theraspheres(r)). The aim of this study is to compare
biological effective dose (BED) values obtained with three different dosimetric
methods. METHODS: 29 HCC patients were included in this study: 15 were treated
with resin(mean injected activity 1.5GBq, range 0.8-2.7GBq) and 14 with glass
microspheres (2.6GBq, range 1.3-4.1GBq). Average doses to tumours and normal
liver tissues were calculated with AAPM, multi-compartmental MIRD and Voxel-based
methods and consequently the BED values were obtained. Planar images were used
for the AAPM method: 99mTc-MAA SPECT-CT attenuation and scatter corrected images
(resin) and 99m Tc-MAA SPECT attenuation corrected (glass) were employed for the
other two methods. RESULTS: Regardless of type of microspheres, both for tumours
and normal liver tissues, no significant statistical differences were found
between MIRD and Voxel for both doses and BED values. Conversely AAPM gave
discordant results with respect to the other two methods (Mann-Whitney p
values?0.01). For resin spheres the calculated tumour-to-normal tissue ratios on
planar images were on average 14 times greater than those obtained on SPECT-CT
images, while they were 4 times greater on glass. A linear correlation was
observed between MIRD and Voxel BEDs. CONCLUSIONS: The AAPM method appears to be
less precise for absorbed dose and BED estimation, while MIRD and voxel based
dosimetry are more confident each other.
PMID- 27876285
TI - Zero field PDD and TMR data for unflattened beams in conventional linacs: A tool
for independent dose calculations.
AB - PURPOSE: To investigate the applicability of the formalism described in BJR
supplement n.25 for Flattening Filter Free (FFF) beams in determining the zero
field tissue maximum ratio (TMR) for an independent calculation method of
Percentage Depth Doses (PDDs) and relative dose factors (RDFs) at different
experimental setups. METHODS: Experimental PDDs for field size from 40*40cm2 to
2*2cm2 with Source Surface Distance (SSD) 100cm were acquired. The normalized
peak scatter factor for each square field was obtained by fitting experimental
RDFs in water and collimator factors (CFs) in air. Maximum log-likelihood methods
were used to extract fit parameters in competing models and the Bayesian
Information Criterion was used to select the best one. In different experimental
setups additional RDFs and TPR1020s for field sizes other than reference field
were measured and Monte Carlo simulations of PDDs at SSD 80cm were carried out to
validate the results. PDD agreements were evaluated by gamma analysis. RESULTS:
The BJR formalism allowed to predict the PDDs obtained with MC within 2%/2mm at
SSD 80cm from 100% down to 50% of the maximum dose. The agreement between
experimental TPR1020s and RDFs values at SSD=90cm and BJR calculations were
within 1% for field sizes greater than 5*5cm2 while it was within 3% for fields
down to 2*2cm2. CONCLUSIONS: BJR formalism can be used for FFF beams to predict
PDD and RDF at different SSDs and can be used for independent MU calculations.
PMID- 27876286
TI - Clinical Trials in a Dish.
AB - Clinical trials 'in a dish' involve testing medical therapies for safety or
effectiveness in the laboratory with human tissue. This has become possible owing
to recent biotechnology advances including induced pluripotent stem cells, organs
on-a-chip, and whole-genome sequencing. We provide here an overview of the
landscape and highlight steps the FDA is taking to advance the science of
clinical trials in a dish and to support the development and validation of new
regulatory paradigms to assess drug safety using these new technologies.
PMID- 27876288
TI - Trends, prospects and deprivation index of disability in India: Evidences from
census 2001 and 2011.
AB - BACKGROUND: Since the dawn of civilization, disabilities have existed in various
dimensions of human life. World Health Organization (WHO) defines disability as
an umbrella term, covering impairments, activity limitations, and participation
restrictions. Globally, approximately 1 billion people have some form of
disability, and approximately 20% have significant functioning impairments.
OBJECTIVE: This study aims to estimate the level, trends and prospects of
disability in 640 districts of India. Data for the present study has been taken
from Census of India, 2001 and 2011. METHODS: A Disability Index was calculated
at the district level, and state level indexing was done using the Disability
Deprivation Index. The population for the year 2021 was projected using the
exponential growth rate method. The Disability Deprivation Index was calculated
using child labor, adult unemployment, illiteracy, and the ratio of beggars in
the disabled population. RESULTS: The study reveals that the proportion of the
disabled population in India was 2.10% in 2001, which increased to 2.21% in 2011.
According to the Disability Deprivation Index, Maharashtra was the best
performing state in 2011. There were 4.90 million new cases of disability in
India during 2001-11, out of which 1.52 million cases belonged to non-congenital
disability. CONCLUSIONS: There is a rise in the disabled population in India,
which needs special attention. The working status of the disabled is gloomy. The
majority of the disabled people are non-working and need adequate rehabilitation
measures that would facilitate employment.
PMID- 27876287
TI - Mid-term Outcomes of Common Congenital Heart Defects Corrected Through a Right
Subaxillary Thoracotomy.
AB - OBJECTIVE: To evaluate the mid-term outcomes of the correction of various
congenital heart defects through a right subaxillary thoracotomy. METHODS:
Between June 2004 and April 2014, all eligible patients were those with a common
congenital heart defect corrected via an approach through a right subaxillary
thoracotomy. There were 836 patients (male 417, female 419; median age, 3.5
years; median weight 13.6kg) with ventricular septal defect (VSD) closure (523
patients), atrial septal defect (ASD) closure (235 patients), partial
atrioventricular canal (PAVC) correction (55 patients), mild tetralogy of Fallot
(TOF) (23 patients). Additional procedures involved tricuspid valvuloplasty (TVP)
(68 patients), repair of partial anomalous pulmonary venous connection (PAPVC)
(54 patients), mitral annuloplasty (42 patients), closure of patent ductus
arteriosus (PDA) (35 patients), correction of cor triatriatum (eight patients).
The median cardiopulmonary bypass (CPB) and aortic cross-clamp times were 67
(28~217) and 23 (3~116) min, respectively. RESULTS: The procedures were performed
successfully in all patients, and no in-hospital mortality occurred. There was no
need for conversion to another approach in all procedures. The main complications
involved arrhythmia in 21 patients, atelectasis in 18, pneumothorax in 17,
subcutaneous emphysema in 15, pulmonary infection in 14, low cardiac output
syndrome in eight, transient cerebral dysfunction in two. The patients were all
in excellent condition after a mean follow-up of 34 months. The cardiac defect
was repaired with no residual defect in 820 patients and with trivial to mild
residual defect in 16 patients (10 with small VSD residual shunt, four with mild
mitral regurgitation, two with mild residual right ventricular outflow tract
obstruction). The incision healed properly in all, and the thorax showed no
deformity. CONCLUSIONS: Right subaxillary thoracotomy, providing an advantageous
alternative, can be performed with favourable cosmetic and satisfactory clinical
results for the correction of a wide range of common congenital heart defects.
PMID- 27876289
TI - Development of an efficient anaerobic co-digestion process for garbage, excreta,
and septic tank sludge to create a resource recycling-oriented society.
AB - In order to develop a resource recycling-oriented society, an efficient anaerobic
co-digestion process for garbage, excreta and septic tank sludge was studied
based on the quantity of each biomass waste type discharged in Ooki machi, Japan.
The anaerobic digestion characteristics of garbage, excreta and 5-fold condensed
septic tank sludge (hereafter called condensed sludge) were determined
separately. In single-stage mesophilic digestion, the excreta with lower C/N
ratios yielded lower biogas volumes and accumulated higher volumes of volatile
fatty acid (VFA). On the other hand, garbage allowed for a significantly larger
volatile total solid (VTS) digestion efficiency as well as biogas yield by
thermophilic digestion. Thus, a two-stage anaerobic co-digestion process
consisting of thermophilic liquefaction and mesophilic digestion phases was
proposed. In the thermophilic liquefaction of mixed condensed sludge and
household garbage (wet mass ratio of 2.2:1), a maximum VTS loading rate of
24g/L/d was achieved. In the mesophilic digestion of mixed liquefied material and
excreta (wet mass ratio of 1:1), biogas yield reached approximately 570ml/g-VTS
fed with a methane content of 55% at a VTS loading rate of 1.0g/L/d. The
performance of the two-stage process was evaluated by comparing it with a single
stage process in which biomass wastes were treated separately. Biogas production
by the two-stage process was found to increase by approximately 22.9%. These
results demonstrate the effectiveness of a two-stage anaerobic co-digestion
process in enhancement of biogas production.
PMID- 27876290
TI - Response of mixed methanotrophic consortia to different methane to oxygen ratios.
AB - Methane (CH4) and oxygen (air) concentrations affect the CH4 oxidation capacity
(MOC) and mixed methanotrophic community structures in compost (fresh) and
landfill (age old) top cover soils. A change in the mixed methanotrophic
community structure in response has implications for landfill CH4 bio-filter
remediation and possible bio-product outcomes (i.e., fatty acid methyl esters
(FAME) content and profiles and polyhydroxybutyrate (PHB) contents). Therefore
the study aimed to evaluate the effect of variable CH4 to oxygen ratios (10-50%
CH4 in air) on mixed methanotrophic community structures enriched from landfill
top cover (LB) and compost soils (CB) and to quantify flow on impacts on MOC,
total FAME contents and profiles, and PHB accumulation. A stable consortium
developed achieving average MOCs of 3.0+/-0.12, 4.1+/-0.26, 6.9+/-0.7, 7.6+/-1.3
and 9.2+/-1.2mgCH4g-1DWbiomassh-1 in LB and 2.9+/-0.04, 5.05+/-0.32, 6.7+/-0.31,
7.9+/-0.61 and 8.6+/-0.48mgCH4g-1DWbiomassh-1 in CB for a 20day cultivation
period at 10, 20, 30, 40 and 50% CH4, respectively. CB at 10% CH4 had a maximal
FAME content of 40.5+/-0.8mgFAMEg-1DWbiomass, while maximal PHB contents (25mgg
1DWbiomass) was observed at 40% CH4 in LB. Despite variable CH4/O2 ratios, the
mixed methanotrophic community structures in both LB and CB were relatively
stable, dominated by Methylosarcina sp., and Chryseobacterium, suggesting that a
resilient consortium had formed which can now be tested in bio-filter operations
for CH4 mitigations in landfills.
PMID- 27876291
TI - Monetising the impacts of waste incinerators sited on brownfield land using the
hedonic pricing method.
AB - In England and Wales planning regulations require local governments to treat
waste near its source. This policy principle alongside regional self-sufficiency
and the logistical advantages of minimising distances for waste treatment mean
that energy from waste incinerators have been built close to, or even within
urban conurbations. There is a clear policy and research need to balance the
benefits of energy production from waste incinerators against the negative
externalities experienced by local residents. However, the monetary costs of
nuisance emissions from incinerators are not immediately apparent. This study
uses the Hedonic Pricing Method to estimate the monetary value of impacts
associated with three incinerators in England. Once operational, the impact of
the incinerators on local house prices ranged from approximately 0.4% to 1.3% of
the mean house price for the respective areas. Each of the incinerators studied
had been sited on previously industrialised land to minimise overall impact. To
an extent this was achieved and results support the effectiveness of spatial
planning strategies to reduce the impact on residents. However, negative impacts
occurred in areas further afield from the incinerator, suggesting that more can
be done to minimise the impacts of incinerators. The results also suggest that in
some case the incinerator increased the value of houses within a specified
distance of incinerators under specific circumstances, which requires further
investigation.
PMID- 27876293
TI - Foreword.
PMID- 27876292
TI - Reprint of: The new approach to classification: Rethinking cognition and behavior
in epilepsy.
AB - There has been considerable debate surrounding the benefits and drawbacks of the
new approach to classifying the epilepsies released by the ILAE Commission on
Classification and Terminology (2005-2009). This new approach has significant
implications for the way we conceptualize and assess cognition and behavior in
epilepsy; however, as yet, there has been limited discussion of these issues in
the field. The purpose of this Targeted Review is to spark this discussion by
encouraging researchers and clinicians to think about the changes that the new
approach may bring. These may include (i) reframing the way we think about the
comorbidities of epilepsy, (ii) more precisely characterizing the cognitive and
behavioral phenotypes of electroclinical syndromes, (iii) more carefully mapping
the longitudinal trajectory of cognitive and behavioral features relative to the
timing of seizures, and (iv) considering the links between cognitive, behavioral,
and neurological phenotypes in the new classification scheme. It is hoped that
such changes will aid translation of the advances in cognitive and behavioral
neuroscience into routine clinical practice by providing purer markers of disease
and more targeted treatments. A Special Issue canvassing such issues will be
forthcoming that will consider current knowledge of the cognitive and behavioral
features of the epilepsies from the view of the new classification scheme.
PMID- 27876294
TI - Reprint of: The new approach to epilepsy classification: Cognition and behavior
in adult epilepsy syndromes.
AB - The revised terminology and concepts for the organization of seizures and
epilepsy proposed by the ILAE Commission on Classification and Terminology in
2010 allows for a number of new opportunities in the study of cognition and
behavior in adults. This review examines the literature that has looked for
behavioral and cognitive correlates of the newly recognized genetic epilepsies in
adults. While some studies report clear cognitive phenotypes associated with
specific genetic mutations in adults with epilepsy, others report remarkable
clinical heterogeneity. In the second part of this review, we discuss some of the
factors that may influence the findings in this literature. Cognitive function is
the product of both genetic and environmental influences. Neuropsychological
phenotypes under direct genetic influence may be wider and more subtle than
specific deficits within discreet cognitive domains and may be reflected in
broader, multidimensional measures of cognitive function than those tapped by
scores on standardized tests of function. Future studies must be carefully
designed to reflect these factors. It is also imperative that studies with
negative findings are assigned as much value as those with positive results and
published accordingly. This article is part of a Special Issue titled "The new
approach to classification: Rethinking cognition and behavior in epilepsy".
PMID- 27876295
TI - [Doctor Pio Baroja y Nessi, an intellectual with a fragility syndrome].
PMID- 27876296
TI - Pathogenic features of clinically significant coagulase-negative staphylococci in
hospital and community infections in Benin.
AB - In West Africa, very little consideration has been given to coagulase negative
Staphylococci (CNS). Herein, we describe the features contributing to the
pathogenicity of 99 clinically-significant independent CNS isolates associated
with infections encountered at the National Teaching Hospital Center of Cotonou
(Benin). The pathogenic potentials of nosocomial strains were compared with
community strains. S. haemolyticus (44%), S. epidermidis (22%) and S. hominis
(7%) were the most frequently isolated while bacteremia (66.7%) and urinary tract
infections (24.2%) were the most commonly encountered infections. Most strains
were resistant to multiple antibiotics, including penicillin (92%), fosfomycin
(81%), methicillin (74%) and trimethoprim-sulfamethoxazole (72%). The most
frequently isolated species were also the most frequently resistant to
methicillin: S. hominis (100%), S. haemolyticus (93%) and S. epidermidis (67%).
Screening of toxic functions or toxin presence revealed hemolytic potential in
25% of strains in over 50% of human erythrocytes in 1h. Twenty-six percent of
strains exhibited protease activity with low (5%), moderate (10%) and high
activity (11%), while 25% of strains displayed esterase activity. Three percent
of strain supernatants were able to lyse 100% of human polymorphonuclear cells
after 30min. Polymerase chain reaction and latex agglutination methods revealed
staphylococcal enterotoxin C gene expression in 9% of S. epidermidis. A majority
of hospital-associated CNS strains (68%) had at least one important virulence
feature, compared with only 32% for community-acquired strains. The present
investigation confirms that these microorganisms can be virulent, at least in
some individual cases, possibly through genetic transfer from S. aureus.
PMID- 27876297
TI - Host transcriptomic responses to pneumonic plague reveal that Yersinia pestis
inhibits both the initial adaptive and innate immune responses in mice.
AB - Pneumonic plague is the most deadly form of infection caused by Yersinia pestis
and can progress extremely fast. However, our understanding on the host
transcriptomic response to pneumonic plague is insufficient. Here, we used RNA
sequencing technology to analyze transcriptomic responses in mice infected with
fully virulent strain 201 or EV76, a live attenuated vaccine strain lacking the
pigmentation locus. Approximately 600 differentially expressed genes (DEGs) were
detected in lungs from both 201- and EV76-infected mice at 12h post-infection
(hpi). DEGs in lungs of 201-infected mice exceeded 2000 at 48hpi, accompanied by
sustained large numbers of DEGs in the liver and spleen; however, limited numbers
of DEGs were detected in those organs of EV-infected mice. Remarkably, DEGs in
lungs were significantly enriched in critical immune responses pathways in EV76
infected but not 201-infected mice, including antigen processing and
presentation, T cell receptor signaling among others. Pathological and bacterial
load analyses confirmed the rapid systemic dissemination of 201-infection and the
confined EV76-infection in lungs. Our results suggest that fully virulent Y.
pestis inhibits both the innate and adaptive immune responses that are
substantially stimulated in a self-limited infection, which update our holistic
views on the transcriptomic response to pneumonic plague.
PMID- 27876298
TI - Commentary to 'Gonadal dysgenesis in disorders of sex development (DSD):
Diagnosis and surgical management'.
PMID- 27876299
TI - [Single nucleotide polymorphisms in genes of endothelin-1 and receptor A
associated to cardiovascular in essential hypertension].
AB - INTRODUCTION: The endothelin system, for its vasoconstrictor action, is related
to the development of essential hypertension (HTAe). The polymorphism analysis of
their genes represents a new approach to the study of this disease. We propose to
analyze the interaction between stages of essential hypertension (HTAe) and risk
factors with polymorphisms 138ex1 ins/del A gene endothelin-1 (ET-1) and H323H
receptor gene A ET-1 (ETRA). PATIENTS AND METHODS: We included 300 patients of
both sexes, unrelated, who consecutively attended the clinic hypertension medical
service. Each one underwent a complete physical examination, electrocardiogram,
echocardiogram, and Rx thorax. The degree of severity of hypertension was
determined in stages. The determination of polymorphisms was performed by
amplification followed by cutting by specific restriction enzyme from DNA
obtained from peripheral blood. RESULTS: The 46% of patients had HTAe controlled,
17.6% had organ damage or cardiovascular, brain or kidney disease. It was
observed that the 4A/4A carriers showed lower frequency of cardiovascular
disease, kidney and brain (P<.032; 95% CI: 11.1-21.4). For H323H polymorphism,
the evaluation by images showed a higher frequency of the dilations of left
auricular (P=.02) and auricular fibrillation (P=.03) between the T/T carrier, a
higher frequency of cardiomegaly was detected in C/C patients (P=.04).
CONCLUSION: The genotypes, 4A/4A of the ET-1 gene and the T/T from ETRA gene
might be involved in worse outcome of cardiovascular damage. Their identification
could help recognize subgroups of the hypertensive patients with different risk.
PMID- 27876300
TI - Functional Voice Disorders: The Importance of the Psychologist in Clinical Voice
Assessment.
AB - OBJECTIVES: The etiopathogenesis of functional voice disorders (FVDs) is
multifactorial. The purpose of this study was to analyze the severity of
depression and anxiety, and the incidence of affective and anxiety disorders, in
patients who presented different types of FVDs and were followed at the
University Clinic of Otolaryngology. DESIGN: This is a cross-sectional study.
METHODS: After ENT observation, 83 women were classified into three groups:
psychogenic voice disorder (PVD = 39), primary muscle tension voice disorder
(MTVD1 = 16), and secondary muscle tension voice disorder (MTVD2 = 28). A
psychologist assessed the severity of depression and anxiety using the Hamilton
rating scales, and screened for affective and anxiety disorders using the Mini
International Neuropsychiatric Interview. RESULTS: Significant differences in the
mean values were found between the groups, with the MTVD1 group having higher
levels of depression and anxiety. In affective disorders (current major
depression and current mood disorder with psychotic symptoms) and in anxiety
disorders (lifetime panic disorder, current generalized anxiety, and current
panic disorder with agoraphobia), significant differences in association were
found between groups. CONCLUSIONS: Groups presented with significant differences
in depression and anxiety levels, and in some psychiatric diagnoses. Patients
with FVDs should be independently assessed regarding their voice disorder
classification. The integration of a psychologist in the clinical voice
assessment team is essential, as findings have corroborated an important
incidence of psychiatric disorders in FVDs patients.
PMID- 27876301
TI - Overdrive and Edge as Refiners of "Belting"?: An Empirical Study Qualifying and
Categorizing "Belting" Based on Audio Perception, Laryngostroboscopic Imaging,
Acoustics, LTAS, and EGG.
AB - OBJECTIVES: We aimed to study the categorizations "Overdrive" and "Edge" from the
pedagogical method Complete Vocal Technique as refiners of the often ill-defined
concept of "belting" by means of audio perception, laryngostroboscopic imaging,
acoustics, long-term average spectrum (LTAS), and electroglottography (EGG).
STUDY DESIGN: This is a case-control study. METHODS: Twenty singers were recorded
singing sustained vowels in a "belting" quality refined by audio perception as
"Overdrive" and "Edge." Two studies were performed: (1) a laryngostroboscopic
examination using a videonasoendoscopic camera system (Olympus) and the
Laryngostrobe program (Laryngograph); (2) a simultaneous recording of the EGG and
acoustic signals using Speech Studio (Laryngograph). The images were analyzed
based on consensus agreement. Statistical analysis of the acoustic, LTAS, and EGG
parameters was undertaken using the Student paired t test. RESULTS: The two modes
of singing determined by audio perception have visibly different laryngeal
gestures: Edge has a more constricted setting than that of Overdrive, where the
ventricular folds seem to cover more of the vocal folds, the aryepiglottic folds
show a sharper edge in Edge, and the cuneiform cartilages are rolled in
anteromedially. LTAS analysis shows a statistical difference, particularly after
the ninth harmonic, with a coinciding first formant. The combined group showed
statistical differences in shimmer, harmonics-to-noise ratio, normalized noise
energy, and mean sound pressure level (P <= 0.05). CONCLUSION: "Belting" sounds
can be categorized using audio perception into two modes of singing: "Overdrive"
and "Edge." This study demonstrates consistent visibly different laryngeal
gestures between these modes and with some correspondingly significant
differences in LTAS, EGG, and acoustic measures.
PMID- 27876302
TI - The risk of melanoma and hematologic cancers in patients with psoriasis.
AB - BACKGROUND: The risk of melanoma and hematologic cancers in patients with
psoriasis is controversial. OBJECTIVE: We sought to assess the risk of melanoma
and hematologic cancers in patients with psoriasis, and the association with
different treatments. METHODS: We used case-control and retrospective cohort
designs to determine melanoma or hematologic cancer risk in patients with
psoriasis. Risk with treatment type was assessed using Fisher exact test.
RESULTS: Patients with psoriasis had 1.53 times greater risk of developing a
malignancy compared with patients without psoriasis (P < .01). There were no
significant differences in malignancy risk among patients treated with topicals,
phototherapy, systemics, or biologic agents. Patients with psoriasis and
malignancy did not have significantly worse survival than patients without
psoriasis. LIMITATIONS: It is possible that patients developed malignancy
subsequent to the follow-up time included in the study. CONCLUSION: Patients with
psoriasis may experience an elevated risk of melanoma and hematologic cancers,
compared with the general population. The risk is not increased by systemic or
biologic psoriasis therapies.
PMID- 27876304
TI - Effective use of 20% subcutaneous immunoglobulin therapy in a man with
preexisting thrombi.
PMID- 27876303
TI - Rapid visualization of nonmelanoma skin cancer.
AB - BACKGROUND: Mohs micrographic surgery examines all margins of the resected sample
and has a 99% cure rate. However, many nonmelanoma skin cancers (NMSCs) are not
readily amenable to Mohs micrographic surgery. This defines an unmet clinical
need to assess the completeness of non-Mohs micrographic surgery resections
during surgery to prevent re-excision/recurrence. OBJECTIVE: We sought to examine
the utility of quenched activity-based probe imaging to discriminate cancerous
versus normal-appearing skin tissue. METHODS: The quenched activity-based probe
GB119 was applied to NMSC excised from 68 patients. We validated activation of
the probe for hematoxylin-eosin-confirmed cancerous tissue versus normal
appearing skin tissue. RESULTS: Topical application of the probe differentiated
basal cell carcinoma and squamous cell carcinoma from normal-appearing skin with
overall estimated sensitivity and specificity of 0.989 (95% confidence interval
0.940-1.00) and 0.894 (95% confidence interval 0.769-0.965), respectively. Probe
activation accurately defined peripheral margins of NMSC as compared with
conventional hematoxylin-eosin-based pathology. LIMITATIONS: This study only
examined NMSC debulking excision specimens. The sensitivity and specificity for
this approach using final NMSC excision margins will be clinically important.
CONCLUSIONS: These findings merit further studies to determine whether quenched
activity-based probe technology may enable cost-effective increased cure rates
for patients with NMSC by reducing re-excision and recurrence rates with a rapid
and easily interpretable technological advance.
PMID- 27876305
TI - New Prostate Cancer Grading System Predicts Long-term Survival Following Surgery
for Gleason Score 8-10 Prostate Cancer.
AB - BACKGROUND: The newly proposed five-tiered prostate cancer grading system (PCGS)
divides Gleason score (GS) 8-10 disease into GS 8 and GS 9-10 on the basis of
biochemical recurrence (BCR) following radical prostatectomy (RP) as an outcome.
However, BCR does not necessarily portend worse survival outcomes. OBJECTIVE: To
assess the significance of distinguishing GS 8 versus 9-10 disease in terms of
long-term survival outcomes for both the preoperative setting using biopsy (Bx)
GS and the postoperative setting with RP GS. DESIGN, SETTING, AND PARTICIPANTS:
Of 23918 men who underwent RP between 1984 and 2014, there were 721 men with
biopsy GS 8-10, and 1047 men with RP GS 8-10. OUTCOME MEASURES AND STATISTICAL
ANALYSIS: Clinicopathologic characteristics were compared between men with GS 8
and those with GS 9-10. We compared all-cause mortality (ACM) and prostate cancer
specific mortality (PCSM) risk between the groups using Cox regression and
competing-risks analyses, adjusting for other perioperative variables and death
from other causes as the competing event. RESULTS AND LIMITATIONS: Compared to
men with GS 8, men with GS 9-10 had later RP year and higher pathologic stage.
Among men with Bx GS 8-10, 115 died (82 due to PC) with median follow-up of 3 yr
(interquartile range [IQR] 1-7) for both overall and cancer-specific survival. Of
men with RP GS 8-10, 221 died (151 due to PC) with median follow-up of 4 yr (IQR
2-8) and 4 yr (IQR 2-9) for overall and cancer-specific survival, respectively.
PC-specific survival rates were significantly lower for men with GS 9-10 compared
to men with GS 8 for both Bx (hazard ratio [HR] 2.13, 95% confidence interval
[CI] 1.37-3.30; p<0.01) and RP GS (HR 2.38, 95% CI 1.74-3.28; p<0.01). This
association persisted in multivariable models after adjusting for perioperative
variables. CONCLUSIONS: Men with GS 9-10 had higher ACM and PCSM rates compared
to those with GS 8. GS 8 and GS 9-10 PC should be considered separately in both
the preoperative and postoperative setting as suggested by the new PCGS. PATIENT
SUMMARY: The prostate cancer grading system can predict mortality risk after
radical prostatectomy (RP) for men with Gleason score 8-10 disease based on both
biopsy and RP Gleason scores. There are significant differences in all-cause
mortality and prostate cancer-specific mortality following surgery between men
with Gleason score 8 and those with Gleason score 9-10 disease.
PMID- 27876307
TI - First line treatment of Candida osteomyelitis of the sternum: Is there really a
need for radical surgical debridement and reconstructive surgery in Candida
osteomyelitis of the sternum after cardiac surgery?
PMID- 27876308
TI - A cost-effective modification in progressive barbed suture closure of the
abdominal donor site in DIEP and MS-TRAM patients: A review of surgical
technique.
PMID- 27876306
TI - Effects of HD-tDCS on memory and metamemory for general knowledge questions that
vary by difficulty.
AB - BACKGROUND: The ability to monitor one's own memory is an important feature of
normal memory and is an aspect of 'metamemory'. Lesion studies have shown
dissociations between memory and metamemory, but only single dissociations have
been shown using transcranial direct current stimulation (tDCS). One potential
reason that only single dissociations have been shown is that tDCS effects may be
moderated by task difficulty. OBJECTIVE/HYPOTHESIS: We used high definition (HD)
tDCS to test for dissociable roles of the dorsolateral prefrontal cortex (DLPFC)
and anterior temporal lobe (ATL) in semantic long-term memory and metamemory
tasks. We also tested whether general knowledge question difficulty moderated the
effects of HD-tDCS. METHODS: Across 3 sessions, participants received active HD
tDCS over the left DLPFC or left ATL, or sham HD-tDCS during general knowledge
recall and recognition tests, and a 'feeling-of-knowing' metamemory task. General
knowledge questions were blocked by difficulty. Repeated measures ANOVAs were
used to examine the effects of HD-tDCS on memory and metamemory tasks by memory
question difficulty. RESULTS: HD-tDCS over the ATL led to improved recall
compared to DLPFC and sham HD-tDCS, and this occurred only for medium difficulty
questions. In contrast, for non-recalled questions, HD-tDCS over the DLPFC led to
improved recognition accuracy and improved feeling-of-knowing accuracy compared
to ATL and sham HD-tDCS, and this was not moderated by memory question
difficulty. CONCLUSION (S): HD-tDCS can be used to dissociate the roles of the
ATL and DLPFC in different memory and 'metamemory' tasks. The effects of HD-tDCS
on task may be moderated by task difficulty, depending on the nature of the task
and site of stimulation.
PMID- 27876309
TI - Vertical breast measurement in East Asian women: A guide for mastopexy and
reduction to form nonptotic breasts in unilateral prosthetic breast
reconstruction.
AB - BACKGROUND: Following unilateral breast cancer surgery, mastopexy and reduction
of the unaffected breast are often performed to obtain symmetrical breasts. The
use of implants in breast reconstruction results in a nonptotic breast. To
achieve symmetry following the procedure, the unaffected side should be nonptotic
too. However, no study has yet reported any indices for the design of mastopexy
and reduction in this direction. We present a new method of preoperative design
that uses vertical breast measurements to form nonptotic breasts according to
individual breast shapes. METHODS: We performed vertical breast measurements of
the unaffected breasts of 193 patients scheduled to undergo surgery for
unilateral breast cancer. The vertical base dimension (VBD) and vertical surface
dimension (VSD) of the ptotic and nonptotic breasts and the height of the nipple
in the nonptotic breast were measured in centimeters. RESULTS: The borderline
between ptotic and nonptotic breasts was expressed using the formula VSD = 1.13 *
VBD + 1.86. The height of the nipple in nonptotic breasts was 0.8 times the
distance between the sternal notch and lowest point of the inframammary fold on
the midline. From these findings, we formulated a new method for forming a
nonptotic breast from a ptotic breast using an inverted T design. CONCLUSION:
These results can be used for the design of mastopexy and reduction when forming
a nonptotic breast on the unaffected side. These procedures can be performed
without significantly lifting the nipple-areolar complex if required during
unilateral prosthetic breast reconstruction.
PMID- 27876312
TI - Erratum to "Direct oral anticoagulant use and stent thrombosis following an acute
coronary syndrome: A potential new pharmacological option?" [Arch. Cardiol. Dis.
109 (5) (2016) 359-369].
PMID- 27876310
TI - Impact of Atrial Natriuretic Peptide Value for Predicting Paroxysmal Atrial
Fibrillation in Ischemic Stroke Patients.
AB - INTRODUCTION: The impact of atrial natriuretic peptide (ANP) value for predicting
paroxysmal atrial fibrillation (pAF) in ischemic stroke patients remains
uncertain. METHODS: The consecutive 222 ischemic stroke patients (median 77 [IQR
68-83] years old, 93 females) within 48 hours after onset were retrospectively
studied. Plasma ANP and brain natriuretic peptide (BNP) levels were
simultaneously measured at admission. Of all, 158 patients had no evidence of
atrial fibrillation (AF) (sinus rhythm [SR] group), 25 patients had pAF (pAF
group), and the other 39 patients had chronic AF (cAF group). We investigated
predicting factors for pAF, with focus on ANP, BNP, and ANP/BNP ratio. RESULTS:
ANP value was significantly higher in the pAF than in the SR group (97 [50-157]
mg/dL versus 42 [26-72] mg/dL, P < .05) and further increased in the cAF group
(228 [120-392], P < .05 versus pAF and SR groups). Similarly, the BNP value was
higher in the pAF than in the SR group (116 [70-238] mg/dL versus 34 [14-72]
mg/dL, P < .05) and further increased in the cAF group (269 [199-423], P < .05
versus pAF and SR groups). ANP/BNP ratio was lower in the pAF and cAF groups than
in the SR group (.6 [.5-1.2] and .7 [.5-1.0] versus 1.3 [.8-2.4], both P < .05].
Multivariate analysis in the SR and pAF groups (n = 183) demonstrated that age,
congestive heart failure, ANP, and BNP, but not ANP/BNP ratio, were independent
predictors for detecting pAF. Receiver operating characteristic curve analysis
further showed that area under the curve was similar between ANP and BNP (.76 and
.80). CONCLUSIONS: ANPmay be clinically useful for detecting pAF in ischemic
stroke patients as well as BNP.
PMID- 27876311
TI - Circulating Biomarkers in Cerebral Autosomal Dominant Arteriopathy with
Subcortical Infarcts and Leukoencephalopathy Patients.
AB - BACKGROUND: Cerebral autosomal dominant arteriopathy with subcortical infarcts
and leukoencephalopathy (CADASIL) is an inherited cerebral microangiopathy
presenting with variable features, including migraine, psychiatric disorders,
stroke, and cognitive decline and variable disability. On neuroimaging, CADASIL
is characterized by leukoencephalopathy, multiple lacunar infarcts, and
microbleeds. Previous studies suggest a possible role of endothelial impairment
in the pathogenesis of the disease. METHODS: We assessed plasma levels of von
Willebrand factor (vWF) and thrombomodulin (TM) and the blood levels of
endothelial progenitor cells (EPCs) and circulating progenitor cells (CPCs) in 49
CADASIL patients and 49 age-matched controls and their association with
clinical/functional and neuroimaging features. RESULTS: In multivariate analysis,
CADASIL patients had significantly higher vWF and lower EPC levels. TM levels
were similar in the 2 groups. CADASIL patients with a more severe clinical
phenotype (history of stroke or dementia) presented lower CPC levels in
comparison with patients with a milder phenotype. On correlation analysis, lower
CPC levels were associated with worse performances on neuropsychological, motor
and functional tests, and with higher lesion load on brain magnetic resonance
imaging (degree of leukoencephalopathy and number of lacunar infarcts).
CONCLUSIONS: This is the first CADASIL series in which multiple circulating
biomarkers have been studied. Our findings support previous studies on the
presence and the possible modulating effect of endothelial impairment in the
disease. Furthermore, our research data suggest that blood CPCs may be markers of
disease severity.
PMID- 27876313
TI - Lysosomal acid lipase deficiency: Expanding differential diagnosis.
AB - The differential diagnoses for metabolic liver diseases may be challenging in
clinical settings, which represents a critical issue for disorders such as
lysosomal acid lipase deficiency (LAL-D). LAL-D is caused by deficient activity
of the LAL enzyme, resulting in the accumulation of cholesteryl esters and
triglycerides throughout the body, predominately in the liver, spleen,
gastrointestinal tract, and blood vessel walls. LAL-D is a progressive, multi
organ disease with early mortality and significant morbidity characterized by a
combination of hepatic dysfunction and dyslipidemia. Evidence suggests LAL-D may
be substantially underdiagnosed or misdiagnosed, which is critical given that
disease progression can be unpredictable, with liver failure and/or accelerated
atherosclerosis potentially contributing to early mortality. However, given the
development of a simple diagnostic test and recently approved treatment, LAL-D
should be incorporated into the differential diagnosis in relevant clinical
settings. LAL-D can be diagnosed using an LAL enzyme-based biochemical test,
thereby allowing for active monitoring of patients to detect potential disease
complications and consider treatment options including diet, lipid-lowering
medication, and treatment with sebelipase alfa, a recombinant enzyme replacement
therapy shown to provide clinical benefit and improve disease-relevant markers in
clinical trials. To illustrate the complexity of diagnosing LAL-D, this
manuscript will describe the path to diagnosing LAL-D in a series of patient
cases in which LAL-D was diagnosed as well as in patients where other diseases,
such as Gaucher disease and Niemann-Pick disease, were initially suspected.
PMID- 27876314
TI - Risk of Jaw Osteonecrosis After Intravenous Bisphosphonates in Cancer Patients
and Patients Without Cancer.
AB - OBJECTIVE: To compare the risk of jaw osteonecrosis after intravenous (IV)
bisphosphonate administered to patients with cancer vs patients without cancer.
PATIENTS AND METHODS: We conducted a retrospective cohort study of a 5% national
sample of Medicare patients administered IV bisphosphonate from January 1, 2008,
through December 31, 2013, for cancer vs noncancer indications. Probable jaw
osteonecrosis was estimated with an algorithm including diagnoses, surgical
procedures, and imaging studies. A non-IV bisphosphonate comparison group
included patients prescribed an oral bisphosphonate for 30 days or less. RESULTS:
During follow-up, 40 (0.42%) out of 9482 patients with cancer developed probable
jaw osteonecrosis compared with 8 (0.05%) out of 16,046 patients without cancer.
In a Cox multivariable survival analysis controlling for patient characteristics
and number of IV zoledronic infusions, patients without cancer had a hazard ratio
of 0.17 (95% CI, 0.06-0.46) for developing jaw osteonecrosis compared with those
with cancer. The lower rate of jaw osteonecrosis in patients without cancer was
also confirmed in a number of sensitivity analyses. CONCLUSION: The low rate of
jaw osteonecrosis in patients with osteoporosis who receive IV bisphosphonate
should be weighed against the benefit of those agents in preventing hip and other
fractures.
PMID- 27876316
TI - Optimism During Hospitalization for First Acute Myocardial Infarction and Long
Term Mortality Risk: A Prospective Cohort Study.
AB - OBJECTIVE: To assess the association between dispositional optimism, defined as
generalized positive expectations about the future, and long-term mortality in
young survivors of myocardial infarction (MI). PATIENTS AND METHODS: A subcohort
of 664 patients 65 years and younger, drawn from the longitudinal Israel Study of
First Acute Myocardial Infarction, completed an adapted Life Orientation Test
(LOT) questionnaire during their index hospitalization between February 15, 1992,
and February 15, 1993. Additional sociodemographic, clinical, and psychosocial
variables were assessed at baseline; mortality follow-up lasted through December
31, 2015. Cox proportional hazards regression models were fit to assess the
hazard ratios for mortality associated with LOT-derived optimism. RESULTS: The
mean age of the participants was 52.4+/-8.6 years; 98 (15%) were women. The
median follow-up period was 22.4 years (25th-75th percentiles, 16.1-22.8 years),
during which 284 patients (43%) had died. The mean LOT score was 16.5+/-4.1.
Incidence density rates for mortality in increasing optimism tertiles were 25.4,
25.8, and 16.0 per 1000 person-years, respectively (P<.01). With sequential
adjustment for sociodemographic, clinical, and psychosocial variables, a
decreased mortality was associated with the upper tertile (adjusted hazard ratio,
0.67; 95% CI, 0.47-0.95). A nonlinear inverse relationship was observed using
spline analysis, with the slope increasing sharply beyond the median LOT score.
CONCLUSION: Higher levels of optimism during hospitalization for MI were
associated with reduced mortality over a 2-decade follow-up period. Optimism
training and positive psychology should be examined as part of psychosocial
interventions and rehabilitation after MI.
PMID- 27876317
TI - Discovery of SOAT2 inhibitors from synthetic small molecules.
AB - Synthesis of new functionalized molecules and identification of biofunctional
molecules can lead to the development of therapeutic leads and molecular tools
for biomedical research. We have recently reported oxa-hetero-Diels-Alder
reactions of enones with isatins to provide functionalized spirooxindole
tetrahydropyran derivatives. Twenty-one compounds from the spirooxindole
tetrahydropyran derivatives and related molecules were screened for inhibition of
sterol O-acyltransferase (SOAT) isozymes SOAT1 and SOAT2. Three racemic
derivatives inhibited the SOAT2 isozyme with three-fold or better selectivity for
SOAT2 than for SOAT1. The enantiomerically enriched forms of the most efficient
racemic inhibitor of SOAT2 were further evaluated; one enantiomer inhibited SOAT2
with an IC50 of 1.5MUM and was 10-fold more selective for SOAT2 than SOAT1.
PMID- 27876315
TI - Cardiorespiratory Fitness and Incidence of Major Adverse Cardiovascular Events in
US Veterans: A Cohort Study.
AB - OBJECTIVE: To assess the association between exercise capacity and the risk of
major adverse cardiovascular events (MACEs). PATIENTS AND METHODS: A symptom
limited exercise tolerance test was performed to assess exercise capacity in
20,590 US veterans (12,975 blacks and 7615 whites; mean +/- SD age, 58.2+/-11.0
years) from the Veterans Affairs medical centers in Washington, District of
Columbia, and Palo Alto, California. None had a history of MACE or evidence of
ischemia at the time of or before their exercise tolerance test. We established
quintiles of cardiorespiratory fitness (CRF) categories based on age-specific
peak metabolic equivalents (METs) achieved. We also defined the age-specific MET
level associated with no risk for MACE (hazard ratio [HR], 1.0) and formed 4
additional CRF categories based on METs achieved below (least fit and low fit)
and above (moderately fit and highly fit) that level. Multivariate Cox models
were used to estimate HR and 95% CIs for mortality across fitness categories.
RESULTS: During follow-up (median, 11.3 years; range, 0.3-33.0 years), 2846
individuals experienced MACEs. The CRF-MACE association was inverse and graded.
The risk for MACE declined precipitously for those with a CRF level of 6.0 METs
or higher. When considering CFR categories based on the age-specific MET
threshold, the risk increased for those in the 2 CFR categories below that
threshold (HR, 1.95; 95% CI, 1.73-2.21 and HR, 1.41; 95% CI, 1.27-1.56 for the
least-fit and low-fit individuals, respectively) and decreased for those above it
(HR, 0.77; 95% CI, 0.68-0.87 and HR, 0.57; 95% CI, 0.48-0.67 for moderately fit
and highly fit, respectively). CONCLUSION: Increased CRF is inversely and
independently associated with the risk for MACE. When an age-specific MET
threshold was defined, the risk for MACE increased significantly for those below
that threshold and decreased for those above it (P<.001).
PMID- 27876318
TI - Selectivity switch between FAK and Pyk2: Macrocyclization of FAK inhibitors
improves Pyk2 potency.
AB - Herein, we describe the synthesis of Pyk2 inhibitors via macrocyclization of FAK
and dual Pyk2-FAK inhibitors. We identified macrocycle 25a as a highly potent
Pyk2 inhibitor (IC50=0.7nM), with ~175-fold improvement in Pyk2 potency as
compared to its acyclic counterpart. In many cases, macrocyclization improved
Pyk2 potency while weakening FAK potency, thereby improving the Pyk2/FAK
selectivity ratio for this structural class of inhibitors. Various macrocyclic
linkers were studied in an attempt to optimize Pyk2 selectivity. We observed
macrocyclic atropisomerism during the synthesis of 19-membered macrocycles 10a-d,
and successfully obtained crystallographic evidence of one atropisomer (10a
AtropB) preferentially bound to Pyk2.
PMID- 27876319
TI - Development of a triazole class of highly potent Porcn inhibitors.
AB - The acyltransferase Porcupine (Porcn) is essential for the secretion of Wnt
proteins which contribute to embryonic development, tissue regeneration, and
tumorigenesis. We have previously discovered four molecular scaffolds harboring
Porcn-inhibitory activity. Comparison of their structures led to the
identification of a general scaffold that can be readily assembled by modular
synthesis. We report herein the development of a triazole version of this new
class of Porcn inhibitors. This study yielded IWP-O1, a Porcn inhibitor with an
EC50 value of 80pM in a cultured cell reporter assay of Wnt signaling.
Additionally, IWP-O1 has significantly improved metabolic stability over our
previously reported Porcn inhibitors.
PMID- 27876322
TI - Teen Cuisine: Impacting Dietary Habits and Food Preparation Skills in
Adolescents.
PMID- 27876321
TI - An Exploration of How Mexican American WIC Mothers Obtain Information About
Behaviors Associated With Childhood Obesity Risk.
AB - OBJECTIVE: To explore how a sample of Mexican American mothers with preschool
aged children recruited from a Midwestern Special Supplemental Nutrition Program
for Women, Infants, and Children (WIC) clinic obtained information about 4
behaviors associated with childhood obesity risk: eating, physical activity,
screen time, and sleep. DESIGN: One-on-one structured interviews in which
participants were asked how they communicated with family, learned to take care
of their first infant, and obtained information about the 4 targeted behaviors
for their preschool-aged child. SETTING: An urban WIC clinic in the Midwest.
PARTICIPANTS: Forty Mexican-descent mothers enrolled in WIC with children aged 3
4 years. PHENOMENON OF INTEREST: Exposure to information about the 4 targeted
behaviors among Mexican-descent mothers participating in WIC. ANALYSIS:
Quantitative and qualitative data were used to characterize and compare across
participants. RESULTS: Participants primarily obtained information from their
child's maternal grandmother during their first child's infancy and from health
professionals for their preschool-aged child. Participants typically obtained
information through interpersonal communication, television, and magazines.
Participants were most interested in healthy eating information and least
interested in screen time information. Some participants did not seek
information. CONCLUSIONS AND IMPLICATIONS: Participants engaged in different
patterns of information seeking across their child's development and the 4
behaviors, which suggests that future research should be behaviorally specific.
Findings from this study suggest several hypotheses to test in future research.
PMID- 27876323
TI - Collection Methods for the 24-Hour Dietary Recall as Used in the Expanded Food
and Nutrition Education Program.
AB - OBJECTIVE: Identify practices for the collection of the 24-hour dietary recall
(24HR) as used by the Expanded Food and Nutrition Education Program (EFNEP) to
assess the fidelity with which dietary data are collected. METHODS: An electronic
survey sent nationally to all 75 EFNEP coordinators to assess methodology for
collection, staff training, and coding of 24HR. RESULTS: A total of 67 surveys
were returned, 53 of which were usable: 57% of programs used multiple collection
periods (previous day vs previous 24 hours), 36% did not use a consistent number
of passes in recall collection; only 17% exclusively used the validated 5-pass
method; 88% trained paraprofessionals for <=8 hours on recall collection and >6
different training programs were used; and 86% of programs used multiple coders.
CONCLUSIONS AND IMPLICATIONS: The wide variation in reported collection,
training, and coding practices raises concerns about the validity of the recall
data and the appropriateness of combining data from multiple programs. To improve
consistency, EFNEP could establish standardized methods for training, data
collection, and data entry for the 24HR. These results may encourage the national
program office to establish programmatic change that will support the fidelity of
collection methods across all programs.
PMID- 27876320
TI - Marine sponge alkaloids as a source of anti-bacterial adjuvants.
AB - Novel approaches that do not rely upon developing microbicidal compounds are
sorely needed to combat multidrug resistant (MDR) bacteria. The potential of
marine secondary metabolites to serve as a source of non-traditional anti
bacterial agents is demonstrated by showing that pyrrole-imidazole alkaloids
inhibit biofilm formation and suppress antibiotic resistance.
PMID- 27876324
TI - Developing a Questionnaire to Evaluate College Students' Knowledge, Attitude,
Behavior, Self-efficacy, and Environmental Factors Related to Canned Foods.
AB - OBJECTIVE: Develop a questionnaire to measure students' knowledge, attitude,
behavior, self-efficacy, and environmental factors related to the use of canned
foods. METHODS: The Knowledge-Attitude-Behavior Model, Social Cognitive Theory,
and Canned Foods Alliance survey were used as frameworks for questionnaire
development. Cognitive interviews were conducted with college students (n = 8).
Nutrition and survey experts assessed content validity. Reliability was measured
via Cronbach alpha and 2 rounds (1, n = 81; 2, n = 65) of test-retest statistics.
Means and frequencies were used. RESULTS: The 65-item questionnaire had a test
retest reliability of .69. Cronbach alpha scores were .87 for knowledge (9
items), .86 for attitude (30 items), .80 for self-efficacy (12 items), .68 for
canned foods use (8 items), and .30 for environment (6 items). CONCLUSIONS AND
IMPLICATIONS: A reliable questionnaire was developed to measure perceptions and
use of canned foods. Nutrition educators may find this questionnaire useful to
evaluate pretest-posttest changes from canned foods-based interventions among
college students.
PMID- 27876325
TI - Does Early Goal-Directed Therapy Decrease Mortality Compared with Standard Care
in Patients with Septic Shock?
AB - BACKGROUND: Current international guidelines for the treatment of patients with
severe sepsis and septic shock recommend that patients receive targeted care to
various physiologic endpoints, thereby optimizing tissue perfusion and
oxygenation. These recommendations are primarily derived from a protocol
published >15 years ago, which was viewed by many as complex and was therefore
not widely adopted. Instead, many emergency physicians focused on the
administration of early antibiotics, source control, aggressive fluid
resuscitation, vasoactive medications as needed to maintain mean arterial blood
pressure, and careful monitoring of these patients. The primary goal of this
literature search was to determine if there is a mortality benefit to the early
goal-directed protocol recommended by current international sepsis guidelines
compared to current usual care. METHODS: A MEDLINE literature search was
performed for studies published between January 1, 2010 and December 31, 2015.
Studies were limited to the English language, human randomized controlled trials,
meta-analyses, prospective trials, and retrospective cohort trials that met
specific keyword search criteria. Case reports, case series, and review articles
were excluded. All selected articles then underwent a structured review by the
authors. RESULTS: Seven thousand four hundred twenty studies were initially
screened; after the final application of inclusion and exclusion criteria, 10
studies were formally analyzed. Each study then underwent a rigorous review and
evaluation from which a formal recommendation was made. CONCLUSION: There is no
difference in mortality between current usual care and the goal-directed approach
recommended by current international guidelines for patients with severe sepsis
and septic shock.
PMID- 27876326
TI - Hypertriglyceridemia-induced Acute Pancreatitis.
PMID- 27876327
TI - Endotracheal Intubation with the King Laryngeal TubeTM In Situ Using Video
Laryngoscopy and a Bougie: A Retrospective Case Series and Cadaveric Crossover
Study.
AB - BACKGROUND: Removal of a functioning King laryngeal tube (LT) prior to
establishing a definitive airway increases the risk of a "can't intubate, can't
oxygenate" scenario. We previously described a technique utilizing video
laryngoscopy (VL) and a bougie to intubate around a well-seated King LT with the
balloons deflated; if necessary, the balloons can be rapidly re-inflated and
ventilation resumed. OBJECTIVE: Our objective is to provide preliminary
validation of this technique. METHODS: Emergency physicians performed all
orotracheal intubations in this two-part study. Part 1 consisted of a historical
analysis of VL recordings from emergency department (ED) patients intubated with
the King LT in place over a two-year period at our institution. In Part 2, we
analyzed VL recordings from paired attempts at intubating a cadaver, first with a
King LT in place and then with the device removed, with each physician serving as
his or her own control. The primary outcome for all analyses was first-pass
success. RESULTS: There were 11 VL recordings of ED patients intubated with the
King LT in place (Part 1) and 11 pairs of cadaveric VL recordings (Part 2). The
first-pass success rate was 100% in both parts. In Part 1, the median time to
intubation was 43 s (interquartile range [IQR] 36-60 s). In Part 2, the median
time to intubation was 23 s (IQR 18-35 s) with the King LT in place and 17 s (IQR
14-18 s) with the King LT removed. CONCLUSIONS: Emergency physicians successfully
intubated on the first attempt with the King LT in situ. The technique described
in this proof-of-concept study seems promising and merits further validation.
PMID- 27876328
TI - Best Clinical Practice: Controversies in Transient Ischemic Attack Evaluation and
Disposition in the Emergency Department.
AB - BACKGROUND: Transient ischemic attack (TIA) affects over 200,000 patients
annually in the United States, and it precedes approximately 14% to 23% of
strokes. Patients are typically admitted for evaluation and management.
OBJECTIVE: This review investigates the controversies of emergency department
(ED) evaluation of TIA, including imaging, clinical risk scores, rapid diagnostic
protocols, and disposition. DISCUSSION: TIA is a common condition, with over
200,000 patients affected annually, and is associated with risk of stroke. TIA is
defined as a brief episode of neurologic dysfunction with no permanent
infarction. A great deal of literature has evaluated the use of imaging, clinical
risk scores, and diagnostic protocols in the evaluation of TIA. Head computed
tomography noncontrast is not reliable to diagnose acute infarction. Magnetic
resonance imaging with diffusion-weighted imaging displays greater diagnostic
ability. Carotid imaging includes magnetic resonance angiography, computed
tomography angiography, and Doppler with ultrasound. Risk scores that predict
future stroke are not reliable when utilized alone. With imaging, including
magnetic resonance imaging, patients with low-risk scores can be discharged. The
use of ED diagnostic protocols and observation units can reduce length of stay
while improving patient treatment and reducing stroke rate. An algorithm is
provided for evaluation and disposition in the ED. CONCLUSIONS: TIA is a
condition with high risk for stroke. Imaging is often not reliable, nor is the
use of risk scores alone. The American College of Emergency Physicians provides a
Level B Recommendation for the use of rapid diagnostic protocols to determine
patient short-term risk for stroke while avoiding the reliance on stratification
instruments to discharge patients from the ED.
PMID- 27876329
TI - Four-Factor Versus Three-Factor Prothrombin Complex Concentrate: Is More Always
Better?
PMID- 27876330
TI - Pneumomediastinum, Pneumothorax, and Subcutaneous Emphysema Caused by
Colonoscopic Perforation: A Report of Two Cases.
AB - BACKGROUND: Although colonoscopy is generally a safe procedure, lethal
complications can occur. Colonoscopic perforation is one of the most serious
complications, and it can present with various clinical symptoms and signs.
Aggravating abdominal pain and free air on simple radiography are representative
clinical manifestations of colonoscopic perforation. However, unusual symptoms
and signs, such as dyspnea and subcutaneous emphysema, which are less likely to
be related with complicating colonoscopy, may obscure correct clinical diagnosis.
We present two cases of pneumomediastinum, pneumothorax, and subcutaneous
emphysema caused by colonoscopic perforation. CASE REPORT: A 75-year-old woman
and a 65-year-old man presented with dyspnea, and facial swelling and abdominal
pain, respectively. In the first case, symptoms occurred during polypectomy,
whereas they occurred after polypectomy in the second case. Chest radiograph and
computed tomography scans revealed pneumomediastinum, pneumothorax, and
subcutaneous emphysema in the neck. During both operations, an ascending colonic
subserosa filled with air bubbles was observed, and laparoscopic right
hemicolectomy was performed in the first case. In the second case, after
mobilization of the right colon, retroperitoneal colonic perforation was
identified and primary repair was performed. The postoperative course was
uneventful. WHY SHOULD AN EMERGENCY PHYSICIAN BE AWARE OF THIS?: These cases show
the unusual clinical manifestations of colonoscopic perforation, which depend on
the mechanism of perforation. Awareness of these less typical manifestations is
crucial for prompt diagnosis and management for an emergency physician.
PMID- 27876331
TI - Inferior Phrenic Artery-Related Hemoptysis.
PMID- 27876332
TI - Long-term results after sleeve gastrectomy: A systematic review.
AB - Sleeve gastrectomy (SG) has become one of the most commonly used bariatric
procedures worldwide. However, data regarding long-term results remain
insufficient. The aim of this study was to review the long-term results after SG.
We conducted a comprehensive literature search of Medline and the Cochrane
Library for articles published until May 2016 on the long-term results (>5 yr)
after SG. Studies representing outcomes of SG were included if they reported>=5
year results that contained at least one outcome of interest-weight loss, co
morbidities, long-term complications, or quality of life-and SG was performed as
a primary procedure. Of the 297 initially identified articles, 277 studies met
the exclusion criteria, and 20 met the inclusion criteria. SG was performed on
2713 patients and 1626 patients reached the>=5-year follow-up point. Among the
patients, 71.3% were women and 28.7% were men. The mean preoperative body mass
index was 46.9 kg/m2. The duration of follow-up ranged 5 to 11 years. The mean 5
year follow-up rate was 66% (range, 57%-100%). The mean percentage excess weight
loss was 58.4%, 59.5%, 56.6%, 56.4%, and 62.5% at 5, 6, 7, 8, and 11 years,
respectively. Five years after SG, the resolution or improvement of type 2
diabetes was observed in 77.8% of patients, and arterial hypertension,
dyslipidemia, obstructive sleep apnea, gastroesophageal reflux disease, and
degenerative joint diseases had improved or resolved in 68.0%, 65.9%, 75.8%,
30.6%, and 55.7% of patients, respectively. This systematic review suggests that
SG can lead to substantial and lasting excess weight loss and significant
improvement in obesity-related co-morbidities. However, the lack of randomized
clinical trials, low follow-up rates, and poorly reported data regarding co
morbidities and quality of life in many of the studies indicate that these
findings should be interpreted with caution.
PMID- 27876333
TI - Unexpected Ectopic Pancreatic tissue during laparoscopic bariatric surgery. Case
report and literature review.
PMID- 27876334
TI - Overall and cause-specific mortality after Roux-en-Y gastric bypass surgery: A
nationwide cohort study.
AB - BACKGROUND: Few population-based studies provide data on mortality after
bariatric surgery. We hypothesized that hypoglycemia could be an underdiagnosed
cause of death. OBJECTIVES: To examine perioperative, all-cause, and cause
specific long-term mortality in Roux-en-Y gastric bypass (RYGB) patients versus
population comparisons. SETTING: Danish nationwide population-based cohort study.
METHODS: We included all 9895 patients who underwent RYGB during 2006-2010, and a
1:25 age- and gender-matched comparison cohort (n = 247,366) (0.3% lost to follow
up). We compared mortality rates and computed mortality rate ratios (MRR) for all
cause and cause-specific mortality using Cox regression analysis. For deceased
RYGB patients (n = 91), we conducted a detailed medical record audit. RESULTS:
The perioperative (30-days) mortality after RYGB was .04% (4/9895). After 4.2
years, RYGB-related mortality (deaths due to intestinal obstruction/intra
abdominal leakage) was .15% (16/9895). All-cause mortality was very similar in
the 2 cohorts (median age, 40.2 years; 21.7% men): RYGB cohort, .89% (n = 91);
comparison cohort, .92% (n = 2204); MRR = 1.03 (95% confidence interval [CI], .84
1.27). Mortality due to suicide (2.78; 95% CI, 1.44-5.33), accidents (2.29; 95%
CI, 1.16-4.54), gastrointestinal diseases (2.01; 95% CI, 1.06-3.84), and
infectious diseases (1.75; 95% CI, .98-3.17) was higher in the RYGB cohort versus
comparison groups, but mortality from cancer was lower (0.43; 95% CI, .27-.70).
Our medical record audit indicated that 8% of deaths after RYGB (n = 7) were
possibly hypoglycemia related. CONCLUSION: Perioperative mortality after RYGB is
low in Denmark, and subsequent all-cause mortality is similar to that of matched
comparisons. After RYGB, patients have substantially increased mortality due to
external causes such as suicide, accidents, and possibly hypoglycemia.
PMID- 27876335
TI - Globularia alypum methanolic extract improves burn wound healing process and
inflammation in rats and possesses antibacterial and antioxidant activities.
AB - Burns are known as one of the most common and destructive forms of injury with a
vast spectrum of consequences. Despite the discovery of various antibacterial and
antiseptic agents, burn wound healing still has remained a challenge to modern
medicine. Plants have been considered as potential agents for prevention and
treatment of disorders in recent years. Globularia alypum L. (GA) is widely used
in folk medicine against skin diseases and abscesses, however there is no
scientific evidence justifying its use. This study aimed to evaluate the wound
healing and anti-inflammatory effect, the antibacterial and antioxidant
activities, as well as the chemical compositions of GA methanolic extract (GAME).
Chemical compounds of GAME were examined by GC-MS. Wound healing effect was
assessed by second-degree burn wounds in rats, anti-inflammatory activity was
studied by carrageenan-induced rat paw edema, antioxidant activity was estimated
by the DPPH, reducing power and beta-carotene tests and antimicrobial activity
was tested against 6 bacteria. A total of 17 compounds were identified. GAME
treated rats showed an improvement in healing process and carrageenan-induced
hind paws edema as assessed by histological and biochemical investigations,
compared to the control. A significant antioxidant and antibacterial activities
were also observed in GAME-treated rats. GAME revealed a burn wound healing
activity probably due to the anti-inflammatory, antimicrobial and antioxidant
activities of its phytochemical contents. Thus, this study confirms its
traditional use, however further more precise studies are needed for future
clinical application.
PMID- 27876336
TI - Inhibition of protein glycation, antioxidant and antiproliferative activities of
Carpobrotus edulis extracts.
AB - Carpobrotus edulis is an important South African medicinal plants used as a food
and therapeutic agent in traditional medicine. The aim of this study was to
determine the phytochemical content, antioxidant, antiglycation and cytotoxic
effect against Human Colon Cancer Cell Line (HCT-116) of aqueous and ethanol
water (1:1v/v) extracts of Carpobrotus edulis.The content of total phenolics and
flavonoids in aqueous and ethanol-water extract were 151.99MUg and 66.35MUg
gallic acid equivalents/mg of dry extract, and 38.84MUg and 21.96MUg quercetin/mg
of dry extract, respectively. Furthermore, phenolic compositions analysis
indicated the presence of seven majority compounds including sinapic acid,
ferulic acid, luteolin7-o-glucoside, hyperoside, isoquercitrin, ellagic acid and
isorhamnetin 3-O-rutinoside. The ethanol-water extract (100-1000MUg/mL) showed
better antioxidant activity than aqueous extract. Furthermore, Carpobrotus edulis
extracts, especially ethanol-water extract significantly inhibited the formation
of fluorescent advanced glycation end products, prevented oxidation-induced
protein damage and exhibited a cytotoxic effect against HCT116 cells, with a
significant decrease in cell viability after 24h of incubation. The results
obtained suggest that the Carpobrotus edulis extracts could be used as an easily
accessible source of natural antioxidants and as potential phytochemicals against
protein glycation and colon cancer.
PMID- 27876337
TI - Beneficial effect of carvone, a dietary monoterpene ameliorates hyperglycemia by
regulating the key enzymes activities of carbohydrate metabolism in
streptozotocin-induced diabetic rats.
AB - Diabetes mellitus is a common metabolic/endocrine disorder characterized by
inadequate control of carbohydrate metabolism and causes serious health issues.
This study evaluates the effect of carvone, a novel monoterpene ketone, on
carbohydrate metabolic enzymes in the liver of normal and streptozotocin (STZ)
induced diabetic rats. Diabetes was induced by a single intraperitoneal injection
of STZ (40mg/kg b.w). STZ intoxication led to a significant increase in the
levels of plasma glucose, glycosylated hemoglobin (HbA1c) and decrease in the
levels of insulin and hemoglobin (Hb). The activities of carbohydrate metabolic
enzymes, glycogen, enzymatic antioxidants in pancreas and hepatic markers content
were also altered. The daily oral administration of carvone (50mg/kg b.w) to
diabetic rats for 30days resulted a significant decline in the levels of plasma
glucose, HbA1c and significant improve in the levels of Hb and insulin. The
reversed activities of carbohydrate metabolic enzymes, enzymic antioxidants and
hepatic marker enzymes in diabetic rats were renovated to near normal level by
the administration of carvone. The obtained results were compared with
glyclazide, a standard oral hypoglycemia drug. Histopathological analysis of
liver and pancreas and immunohistochemistry of pancreas revealed that treatment
with carvone reduced the STZ-induced damage to hepatic and beta-cells of the
pancreas. From our results, carvone regulates carbohydrate metabolism by
ameliorating the key enzymes in the hepatic tissues of STZ-induced diabetic rats
however further studies and safety studies are needed to validate the effects of
carvone.
PMID- 27876338
TI - Should you screen nursing home residents for cancer?
AB - When considering screening for early cancer detection physicians should
anticipate how they plan to follow up a screen detected cancer. Geriatric
oncology research has developed validated functional assessments to estimate the
balance of risk and benefit for treating cancers in the elderly. Robust elderly
can benefit from treatment and therefore might benefit from screening. However
the majority of elderly in long term residential care (LTC, or "the nursing
home") would not benefit from cancer screening. The 1.4 million elderly people
who reside in U.S. nursing homes represent the oldest and frailest segment of the
aged population. On average, LTC residents have less than 5years estimated
remaining life expectancy (RLE.) E.U. figures are similar. The majority have
multiple functional deficits that would result in geriatric oncology screening
scores in the frail range, at very high risk for severe toxicity from standard
chemotherapy or extensive surgery. Therefore screening for asymptomatic cancer is
not likely to benefit and has the potential to harm elderly nursing home
residents.
PMID- 27876339
TI - Diagnosis and treatment of Clostridium difficile (C. diff) colitis: Review of the
literature and a perspective in gynecologic oncology.
AB - Clostridium difficile infection (CDI) is a major cause of nosocomial diarrhea
with the potential for significant morbidity and mortality. Colonization in a
susceptible individual, with risk factors such as prior antibiotic use, advanced
age, or medical comorbidities, may result in symptomatic infection. Although
patients with a gynecologic malignancy may be at a higher risk of developing CDI
due to an increased likelihood of having one or more risk factors, data do not
consistently support the idea that chemotherapy or cancer itself are
independently associated with CDI. For diagnosis of CDI, we recommended using a
multi-step approach, with a highly sensitive initial rapid test such as the
enzyme immunoassay (EIA) for glutamate dehydrogenase (GDH) or nucleic acid
amplification testing (NAAT), followed by confirmatory testing with of the above
two tests or EIA toxin A/B, which has high specificity. Treatment varies based on
the severity of disease. We recommend vancomycin as first-line therapy for an
initial episode of mild/moderate or severe CDI, with consideration of fidaxomicin
for patients at particularly high risk for recurrence. Rectal vancomycin may play
an adjunctive role for some severe cases, while surgical intervention is
indicated for fulminant CDI if no improvement six or more days after initiating
medical therapy. For non-severe recurrent disease, the initial treatment regimen
should be repeated, while subsequent episodes are more appropriately treated with
a tapered and pulsed dose of vancomycin, fidaxomicin, or fecal microbiota
transplantation.
PMID- 27876340
TI - [Repply to the letter of Cherro-Farro et al.]
PMID- 27876341
TI - Imaging of neuroendocrine tumors of the pancreas.
AB - Pancreatic neuroendocrine tumors (PNETs) are rare and represent a heterogeneous
disease. PNET can be functioning or non-functioning with different clinical
presentations and different prognosis based on WHO and pTNM classifications. The
role of imaging includes the localization of small functioning tumor,
differentiation of these tumors from adenocarcinoma, identification of signs of
malignancy and evaluation of extent. PNETs have a broad spectrum of appearance.
On CT and MRI, most of functioning PNETs are well defined small tumors with
intense and homogeneous enhancement on arterial and portal phases. However, some
PNETs with a more fibrous content may have a more delayed enhancement that is
best depicted on the delayed phase. Other PNETs can present as purely cystic,
complex cystic and solid tumors and calcified tumors. Non-functioning PNETs are
larger with less intense and more heterogeneous enhancement. Functional imaging
is useful for disease staging, to detect disease recurrence or the primary but
also to select patient candidate for peptide receptor radiometabolic treatment.
Somatostatin receptor scintigraphy (SRS) (Octreoscan(r)) is still the most
available technique. Gallium 68-SST analogue PET have been demonstrated to be
more sensitive than SRS-SPEC and it will be the future of functional imaging for
NET. Finally, 18FDG PET/CT is indicated for more aggressive PNET as defined
either by negative SRS and huge tumor burden or ki67 above 10% or poorly
differentiated PNEC tumors.
PMID- 27876342
TI - Omega-3 fatty acids supplementation improves endothelial function and arterial
stiffness in hypertensive patients with hypertriglyceridemia and high
cardiovascular risk.
AB - Association between hypertriglyceridemia and cardiovascular (CV) disease is still
controversial. The purpose of this study was to compare omega-3 and ciprofibrate
effects on the vascular structure and function in low and high CV risk
hypertensive patients with hypertriglyceridemia. Twenty-nine adults with
triglycerides 150-499 mg/dL were divided into low (<7.5%) and high (>=7.5%) CV
risk, randomized to receive omega-3 fatty acids 1800 mg/d or ciprofibrate 100
mg/d for 12 weeks. Treatment was switched after 8-week washout. Clinical
evaluation and vascular tests were assessed at baseline and after intervention.
Peripheral (131 +/- 3 to 125 +/- 3 mm Hg, P < .05) and aortic (124 +/- 3 to 118
+/- 2 mg/dL, P < .05) systolic blood pressure were decreased by ciprofibrate in
low-risk patients. In high-risk patients, pulse wave velocity was reduced (10.4
+/- 0.4 to 9.4 +/- 0.3 m/s, P < .05) and flow-mediated dilation was increased
(11.1 +/- 1.6 to 13.5 +/- 1.2%, P < .05) by omega-3. In conclusion, omega-3
improved arterial stiffness and endothelial function, pointing out the beneficial
effect of this therapy on vascular aging, in high-risk patients.
PMID- 27876343
TI - Implications of the idea of neurodiversity for understanding the origins of
developmental disorders.
AB - Neurodiversity, a term initially used mostly by civil and human rights movements
since the 1990s, refers to the notion that cognitive as well as emotional
properties characteristic of developmental disorders such as autism spectrum
disorders (ASD) are not necessarily deficits, but fall within normal behavioural
variations exhibited by humans. The purpose of the present article is to examine
the relevance of this notion to scientific research on ASD. On the assumption
that one crucial survival advantage of intelligent activity is vigilance toward
dangers in the external world, and such vigilance must work in the social domain
as well as in the non-social domain, the author argues that the pattern of
operation of an individual person's mind can be categorized according to the
domain toward which that individual is more oriented. Individuals with ASD,
overall, do not rely upon their social relationships but rather are predisposed
to process perceived non-social objects in more depth, which manifests itself as
hyper-sensation and hyper-attention to detail. It can be assumed that
underconnectivity among cortical areas and subcortical areas underlies such
mental operation neurologically. One of the main predictions based on this
assumption is that all facets of psychological function are susceptible to
disruption in ASD. Indeed, it has traditionally been thought that there are such
general deficits in this disorder. However, contrary to the prevalent belief that
people with ASD lack empathy, in fact people with ASD are capable of empathizing
with the minds of others if those others are people with ASD. Thus, the
neurological underconnectivity in ASD certainly leads some processing of
information in the mind to work with less coordination, but has in fact
contributed to providing Homo sapiens with behavioural variants. Finally, the
clinical implications of the advantages of viewing ASD as a variation in
neurodiversity are discussed.
PMID- 27876344
TI - Bridging physics and biology: Reply to comments on "Phase separation driven by
density-dependent movement: A novel mechanism for ecological patterns".
PMID- 27876346
TI - WITHDRAWN: 45th Annual meeting of the European Teratology Society.
AB - This article has been withdrawn at the request of the editor. The Publisher
apologizes for any inconvenience this may cause. The full Elsevier Policy on
Article Withdrawal can be found at
http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 27876345
TI - The reasonable effectiveness of agent-based simulations in evolutionary game
theory: Reply to comments on "Evolutionary game theory using agent-based
methods".
PMID- 27876347
TI - Improved conventional and microwave-assisted silylation protocols for
simultaneous gas chromatographic determination of tocopherols and sterols: Method
development and multi-response optimization.
AB - This paper reports on improved conventional thermal silylation (CTS) and
microwave-assisted silylation (MAS) methods for simultaneous determination of
tocopherols and sterols by gas chromatography. Reaction parameters in each of the
methods developed were systematically optimized using a full factorial design
followed by a central composite design. Initially, experimental conditions for
CTS were optimized using a block heater. Further, a rapid MAS was developed and
optimized. To understand microwave heating mechanisms, MAS was optimized by two
distinct modes of microwave heating: temperature-controlled MAS and power
controlled MAS, using dedicated instruments where reaction temperature and
microwave power level were controlled and monitored online. Developed methods:
were compared with routine overnight derivatization. On a comprehensive level,
while both CTS and MAS were found to be efficient derivatization techniques, MAS
significantly reduced the reaction time. The optimal derivatization temperature
and time for CTS found to be 55 degrees C and 54min, while it was 87 degrees C
and 1.2min for temperature-controlled MAS. Further, a microwave power of 300W and
a derivatization time 0.5min found to be optimal for power-controlled MAS. The
use of an appropriate derivatization solvent, such as pyridine, was found to be
critical for the successful determination. Catalysts, like potassium acetate and
4-dimethylaminopyridine, enhanced the efficiency slightly. The developed methods
showed excellent analytical performance in terms of linearity, accuracy and
precision.
PMID- 27876348
TI - Characterization of an immobilized enzyme reactor for on-line protein digestion.
AB - Despite the developments for faster liquid chromatographic and mass spectral
detection techniques, the standard in-solution protein digestion for proteomic
analyses has remained relatively unchanged. The typical in-solution trypsin
protein digestion is usually the slowest part of the workflow, albeit one of the
most important. The development of a highly efficient immobilized enzyme reactor
(IMER) with rapid performance for on-line protein digestion would greatly
decrease the analysis time involved in a proteomic workflow. Presented here is
the development of a silica based IMER for on-line protein digestion, which
produced rapid digestions in the presence of organic mobile phase for both model
proteins and a complex sample consisting of the insoluble portion of a yeast cell
lysate. Protein sequence coverage and identifications evaluated between the IMER
and in-solution digestions were comparable. Overall, for a yeast cell lysate with
only a 10s volumetric residence time on-column, the IMER identified 507 proteins
while the in-solution digestion identified 490. There were no significant
differences observed based on identified protein's molecular weight or
isoelectric point between the two digestion methods. Implementation of the IMER
into the proteomic workflow provided similar protein identification results,
automation for sample analysis, and reduced the analysis time by 15h.
PMID- 27876350
TI - A solution to the vessel shortage during free vascularized fibular grafting for
reconstructing infected bone defects of the femur: Bridging with vein
transplantation.
AB - PURPOSE: The present study aimed to evaluate the feasibility and clinical
efficacy of bridging vein transplantation to deal with the vessel shortage during
free vascularized fibular grafting for reconstructing infected bone defects of
the femur. METHODS: Twelve patients (aged 15-58 years) with infected bone defects
of the femur (between 6.0 and 18.0cm) were recruited in this study. Vacuum
sealing drainage were applied after extensive debridement of the infected bone
defects and irrigated with 0.9% sodium chloride solution for 1-2 weeks. After the
drainage was clear and the focal infections were controlled, the free
vascularized fibula was harvested for reconstructing the femoral bone defects.
The vascularized fibula was grafted and fixated appropriately at the recipient
site. The autogenous great saphenous vein was harvested, one end was anastomosed
and bridged the vascular pedicles of the fibular grafts, and the other end
anastomosed the artery and/or the vein in the recipient healthy site. RESULTS:
Mean length of vein transplantation with vascularized fibular graft was 10.2 cm
(range 7-15cm). All patients had good radiological healing without recorded
nonunion or malunion. No patient developed deep infection or implant failure.
Primary bone healing was achieved in 10 patients; however, 2 grafted fibular
formed pseudarthrosis with the recipient femoral and then healed after a
secondary surgery. One patient suffered from graft stress fracture after bone
healing and healed after external fixation. After the mean follow-up of 30 months
(9-72 months), according to the Enneking scoring system, clinical outcomes were
excellent in 7 patients, good in 4 and fair in one. The functional recovery rate
of the lesion limb was 89.4%. CONCLUSIONS: Free vascularized fibular graft with
vein transplantation bridged vascular pedicle can effectively repair the infected
bone, improve blood supply to the bone defect site, and help control infection.
It is a feasible and effective treatment for infected bone defects of the femur
with poor soft tissue conditions, or blood supply vessel shortage.
PMID- 27876349
TI - Morphological study on the pressure ulcer-like dermal lesions formed in the rat
heel skin after transection of the sciatic nerves.
AB - Due to transection of bilateral sciatic nerves, pressure ulcer-like dermal lesion
occurred in the hairy skin covering of the heel skin in almost all rats. In the
present study, chronological changes of the rat heel skin after the transection
were morphologically and immunohistochemically examined. In the heel skin,
redness and swelling began by 3days after the operation, and open wound formed by
17days. At the redness and swelling stage, edema extensively occurred in the
dermis. At the thickening stage, the epidermis at the pressed site became
transiently thicker, and at the whitening stage, rapidly thinner. At these
stages, the epidermis in the skin surrounding the pressed site became gradually
thicker. At the yellow scar stage, the skin was covered only by necrotic tissues
and horny layer. These layers were scratched during walking and turning, and the
yellow scar stage became the open wound stage. Inflammatory reaction began at the
thickening stage, and at the yellow scar and open wound stages, necrosis,
infiltration of inflammatory cells and dilation of small blood vessels were
observed. These morphological features are quite similar to those in the human
pressure ulcer. These findings suggest that these dermal injuries could compare
the human pressure ulcer for medical treatment and depressurization in future
study.
PMID- 27876351
TI - Inflammation in Schizophrenia: What It Means and How to Treat It.
PMID- 27876352
TI - Quantitative analysis of pharmacokinetic profiles of verapamil and drug-drug
interactions induced by a CYP inhibitor using a stable isotope-labeled compound.
AB - The purpose of the present study is to demonstrate a useful approach (isotope-IV
method) for analyzing drug-drug interactions (DDIs) following the oral
administration of drugs using stable isotope-labeled compounds. Verapamil
hydrochloride (VER) was used as a drug model. Deuterium-labeled VER (VER-d6,
0.005 mg/kg) was intravenously administered to rats with or without a pre
treatment with 1-aminobenzotriazole (ABT, 100 mg/kg), a potent CYP inhibitor, 1.5
h after the oral administration of VER (1 mg/kg). PK parameters such as AUCpo,
AUCiv, and CLtot were evaluated after the oral and intravenous administration of
VER from the plasma concentration-time profiles of VER and VER-d6 in each rat.
The oral bioavailability (F) of VER in rats was calculated as 0.02 +/- 0.01 and
was significantly increased to 0.45 +/- 0.24 by the pre-treatment with ABT.
Further PK analyses revealed that CYP-mediated metabolism was more strongly
inhibited by ABT in the intestine (Fg) than in the liver (Fh). These results were
consistent with those obtained using the conventional method in which oral and
intravenous administration studies were performed using different rat groups.
Therefore, the isotope-IV method is effective for performing PK analyses
including DDIs after the oral administration of drugs.
PMID- 27876354
TI - Update in the genetics of thalassemia: What clinicians need to know.
AB - Thalassemia is a significant health problem worldwide. Prenatal diagnosis is the
only effective way to prevent the birth of a fetus with severe thalassemias,
which include hemoglobin Bart's hydrops fetalis and thalassemia major. However,
accurate prenatal diagnosis depends on the comprehensive consideration of the
molecular basis of thalassemias. To make a correct decision, the obstetrician
should have a certain understanding of the genetics of thalassemias. Here we
present a brief introduction of some fundamental genetic knowledge of
thalassemias, including the production of hemoglobin, structure and location of
globin genes, hemoglobin switch, epidemiology, clinical classification, molecular
and cellular pathology, genotype-phenotype correlation, and genetic modifiers.
Furthermore, some unusual clinical cases that cannot be explained by Mendel's
laws are described. On the basis of a thorough understanding of the above
information, clinicians should have the ability to precisely diagnose thalassemia
patients and provide applicable genetic counselling to the affected families.
PMID- 27876353
TI - Autologous Stem Cell Transplantation Promotes Mechanical Stretch Induced Skin
Regeneration: A Randomized Phase I/II Clinical Trial.
AB - BACKGROUND: Mechanical stretch, in term of skin expansion, can induce effective
but limited in vivo skin regeneration for complex skin defect reconstruction. We
propose a strategy to obtain regenerated skin by combining autologous stem cell
transplantation with mechanical stretch. METHODS: This randomized, blinded
placebo-controlled trial enrolled 38 adult patients undergoing skin expansion
presenting with signs of exhausted regenerative capacity. Patients randomly
received autologous bone marrow mononuclear cell (MNC) or placebo injections
intradermally. Follow-up examinations were at 4, 8weeks and 2years. The primary
endpoint was the volume achieved in relation to the designed size of the expander
(expansion index, EI). Secondary endpoints were surface area, thickness and
texture of expanded skin. This trial is registered with ClinicalTrial.gov,
NCT01209611. FINDINGS: The MNC group had a significantly higher EI at 4weeks
(mean difference 0.59 [95% CI, 0.03-1.16]; p=0.039) and 8weeks (1.05 [95% CI,
0.45-1.66]; p=0.001) versus controls. At 8weeks, the MNC group had significantly
thicker skin (epidermis: p<0.001, dermis: p<0.001) and higher subjective scores
for skin quality/texture (24.8 [95% CI, 17.6-32.1]; p<0.001). The MNC group had
more skin surface area (70.34cm2 [95% CI, 39.75-100.92]; p<0.001). Patients in
the MNC group gained up to the quadrupled surface area of expanded skin compared
to pre-expansion at the end of expansion. No severe adverse events occurred.
INTERPRETATION: Intradermal transplantation of autologous stem cells represents a
safe and effective strategy to promote in vivo mechanical stretch induced skin
regeneration, which can provide complex skin defect reconstruction with plentiful
of tissue.
PMID- 27876355
TI - Lung-protective ventilatory strategies in intubated preterm neonates with RDS.
AB - This article provides a narrative review of lung-protective ventilatory
strategies (LPVS) in intubated preterm infants with RDS. A description of
strategies is followed by results on short-and long-term respiratory and
neurodevelopmental outcomes. Strategies will include patient-triggered or
synchronized ventilation, volume targeted ventilation, the technique of
intubation, surfactant administration and rapid extubation to NCPAP (INSURE), the
open lung concept, strategies of high-frequency ventilation, and permissive
hypercapnia. Based on this review single recommendations on optimal LPVS cannot
be made. Combinations of several strategies, individually applied, most probably
minimize or avoid potential serious respiratory and cerebral complications like
bronchopulmonary dysplasia and cerebral palsy.
PMID- 27876357
TI - Building a New Field of Computational Psychiatry.
PMID- 27876356
TI - [Low flux dialysate daily home hemodialysis: A result for the 62 first French and
Belgian patients].
AB - Since 2011, a new device is available for low flux dialysate quotidian home
hemodialysis in France and Belgium. This study aims to evaluate the
characteristics and dialysis prescriptions for Nx Stage System OneTM users. We
retrospectively included patients trained between 2011 and 2013 in France and
Belgium. We collected data concerning their clinical features, their dialysis
prescriptions, their laboratory parameters until 6 months of dialysis and, reason
for dropping in case of cessation. Sixty-two patients from 31 centers, aged 48+/
18 years old, with a sex ratio 46/16 (M/F) are included with a median Charlson
comorbidity index of 1 [0-3]. Of these patients, 71% are anuric and have been on
dialysis for a mean time of 136.6+/-125 months. Previously, most of them had been
taken care of in satellite units of dialysis (45%) and 14% are incident patients.
In total, A total of 60% have an arterio-veinous fistula (AVF), with 18 patients
using the Buttonhole system and 2 patients have a tunneled catheter. Median time
for training was 26.5 days (17-45). Among the patients, 69% are dialyzed 6 days a
week, during a mean time of 142.5+/-20 minutes with a volume of 20.9+/-3 liters
of dialysate and without anticoagulant (63%). Predialytic levels of hemoglobin,
creatinin, urea, phosphorus and beta2microglobulin remain stable. On the
contrary, there is a significant improvement of albumin and bicarbonate levels.
Technique survival was 75% at 1 year, and major reason for cessation was kidney
transplant. It seems that this device fits for young patients, with few
comorbidities and a long past in renal chronic failure. These results suggest
that dialysis adequacy is acceptable despite low dialysate volumes but need
confirmation with a longer follow up and a larger cohort.
PMID- 27876359
TI - Somatoautonomic reflexes in acupuncture therapy: A review.
AB - Oriental therapies such as acupuncture, moxibustion, or Anma, have been used to
treat visceral disorders since ancient times. In each of these therapies,
stimulation of the skin or underlying muscles leads to excitation of afferent
nerves. The sensory information is carried to the central nervous system, where
it is transferred to autonomic efferents, thus affecting visceral functions. This
neuronal pathway, known as the "somatoautonomic reflex", has been systematically
studied by Sato and his colleagues for over a half century. Nearly all their
studies were conducted in anesthetized animals, whereas human patients are
conscious. Responses in patients or the events following therapeutic somatic
stimulation may differ from those observed in anesthetized animals. In fact, it
is increasingly apparent that the responses in patients and animals are not
always coincident, and the differences have been difficult for clinicians to
reconcile. We review the mechanism of the "somatoautonomic reflex" as described
in anesthetized animals and then discuss how it can be applied clinically.
PMID- 27876358
TI - A randomized double-blind trial of intravenous immunoglobulin for bullous
pemphigoid.
AB - BACKGROUND: Patients with steroid-resistant bullous pemphigoid (BP) require an
appropriate treatment option. OBJECTIVE: A multicenter, randomized, placebo
controlled, double-blind trial was conducted to investigate the therapeutic
effect of high-dose intravenous immunoglobulin (IVIG; 400mg/kg/day for 5days) in
BP patients who showed no symptomatic improvement with prednisolone
(>=0.4mg/kg/day) administered. METHODS: We evaluated the efficacy using the
disease activity score on day15 (DAS15) as a primary endpoint, and changes in the
DAS over time, the anti-BP180 antibody titer, and safety for a period of 57days
as secondary endpoints. RESULTS: We enrolled 56 patients in this study. The DAS15
was 12.5 points lower in the IVIG group than in the placebo group (p=0.089). The
mean DAS of the IVIG group was constantly lower than that of the placebo group
throughout the course of observation, and a post hoc analysis of covariance
revealed a significant difference (p=0.041). Furthermore, when analyzed only in
severe cases (DAS>=40), the DAS15 differed significantly (p=0.046). The anti
BP180 antibody titers showed no difference between the two groups. CONCLUSION:
IVIG provides a beneficial therapeutic outcome for patients with BP who are
resistant to steroid therapy.
PMID- 27876360
TI - The role of high density lipoprotein in Type 1 Gaucher disease.
AB - Type I Gaucher Disease (GD1) is known to be associated with hypocholesterolemia
and reduced levels of low density lipoprotein (LDL) and high density lipoprotein
(HDL). In this study we aimed to correlate disease severity with HDL levels and
to evaluate the effect of enzyme replacement therapy (ERT) on HDL levels as well
as estimating the frequency of cardiovascular events in GD. Two groups of GD1
patients were evaluated: 30 untreated and 36 patients on ERT. Disease severity,
biomarkers of GD and lipid levels were evaluated in the two groups. The Zimran
Severity Score Index (SSI) was used to estimate disease severity and the effect
of ERT on HDL levels was evaluated, as well as the frequency of cardiovascular
disease. GD1 patients with more severe disease (SSI median 11) had significantly
lower levels of HDL (median 23mg/dL), compared to patients with milder (SSI
median 4.5) disease (median 37mg/dL p=0.001). HDL levels increased after ERT.
Despite lower HDL levels in patients with more severe disease, a low frequency of
cardiovascular events was detected. HDL level should be used in GD as a biomarker
for diagnosis, monitoring and estimation of ERT effect.
PMID- 27876361
TI - Beneficial effects of Galectin-9 on allergen-specific sublingual immunotherapy in
a Dermatophagoides farinae-induced mouse model of chronic asthma.
AB - BACKGROUND: Allergen-specific sublingual immunotherapy is a potential disease
modifying treatment for allergic asthma. Galectin-9 (Gal-9), a beta-galactoside
binding protein with various biologic effects, acts as an immunomodulator in
excessive immunologic reactions by expanding regulatory T cells (Treg) and
enhancing transforming growth factor (TGF)-beta signaling. We investigated the
efficacy of sublingually administered Gal-9 as an adjuvant to a specific allergen
in a Dermatophagoides farinae (Df)-induced mouse model of chronic asthma.
METHODS: BALB/c mice were intranasally sensitized with Df extract 5 days/week for
5 weeks, and then sublingual Df-allergen extract for 2 weeks (5 days/week). Three
days after the final sublingual treatment, mice were intranasally challenged with
Df extract. The early asthmatic response (EAR) was evaluated 5 min after the last
Df challenge. Airway hyperresponsiveness (AHR) was assayed and bronchoalveolar
lavage (BAL) was performed 24 h after the last allergen challenge. Serum IgE and
cytokine levels, and number of inflammatory cells in the BAL fluid (BALF) were
analyzed. RESULTS: Sublingual Df treatment in the presence of Gal-9, but not
alone, significantly reduced AHR; EAR; number of eosinophils and interleukin-13
in the BALF; and serum IgE levels. BALF TGF-beta1 levels were significantly
increased in the presence of Gal-9 compared with Df alone. Treg depletion blocked
the inhibitory effects of Gal-9 on the EAR, AHR, eosinophilic airway
inflammation, and Df-specific serum IgE levels, and suppressed BALF TGF-beta1
levels. CONCLUSIONS: Gal-9 exhibited beneficial effects of sublingual Df allergen
specific immunotherapy in a Df-induced mouse model of chronic asthma, possibly by
Gal-9-induced TGF-beta1 production in the lung.
PMID- 27876362
TI - Boxer's elbow: internal impingement of the coronoid and olecranon process. A
report of seven cases.
AB - BACKGROUND: Boxer's elbow has been described in the literature as an extension
and hyperextension injury. However, in our experience, there is a coexisting
impingement lesion in the anterior compartment of the elbow that has not
previously been described. We report a series of professional boxers with elbow
disease treated arthroscopically. The aim of the paper was to accurately describe
the pathoanatomy of the condition, the key points in its diagnosis, and the
outcomes of surgical treatment. METHODS: Seven professional boxers were treated
for symptomatic elbow disease. Clinical evaluation included range of motion and
Disabilities of the Arm, Shoulder, and Hand score. The arthroscopic findings and
procedures were documented. RESULTS: Symptoms were mainly those of anterior and
posterior impingement; 6 elbows had an anterior impingement lesion and 6 had a
posterior impingement lesion. Postoperatively, the mean Disabilities of the Arm,
Shoulder, and Hand score was 2.7 (range, 0-13.3) at a median of 15 (range, 6-36)
months postoperatively. All boxers returned to their previous level of
competition and 5 won their next bout. All of the boxers used an orthodox stance,
and in all but 1 case the left elbow was the pathologic elbow. CONCLUSION: Boxers
are prone to development of anterior and posterior elbow impingement. The side of
the pathologic process is related to the boxer's stance, with the lead arm being
more vulnerable. Arthroscopic debridement is an effective treatment, enabling
return to a high competitive level. Surgeons, sports medicine physicians, and
physiotherapists should be aware of the condition.
PMID- 27876364
TI - Editorial.
PMID- 27876363
TI - Understanding the effects of past flood events and perceived and estimated flood
risks on individuals' voluntary flood insurance purchase behavior.
AB - Over the past several decades, the economic damage from flooding in the coastal
areas has greatly increased due to rapid coastal development coupled with
possible climate change impacts. One effective way to mitigate excessive economic
losses from flooding is to purchase flood insurance. Only a minority of coastal
residents however have taken this preventive measure. Using original survey data
for all coastal counties of the United States Gulf Coast merged with contextual
data, this study examines the effects of external influences and perceptions of
flood-related risks on individuals' voluntary behaviors to purchase flood
insurance. It is found that the estimated flood hazard conveyed through the U.S.
Federal Emergency Management Agency's (FEMA's) flood maps, the intensities and
consequences of past storms and flooding events, and perceived flood-related
risks significantly affect individual's voluntary purchase of flood insurance.
This behavior is also influenced by home ownership, trust in local government,
education, and income. These findings have several important policy implications.
First, FEMA's flood maps have been effective in conveying local flood risks to
coastal residents, and correspondingly influencing their decisions to voluntarily
seek flood insurance in the U.S. Gulf Coast. Flood maps therefore should be
updated frequently to reflect timely and accurate information about flood
hazards. Second, policy makers should design strategies to increase homeowners'
trust in the local government, to better communicate flood risks with residents,
to address the affordability issue for the low-income, and better inform less
educated homeowners through various educational programs. Future studies should
examine the voluntary flood insurance behavior across countries that are
vulnerable to flooding.
PMID- 27876365
TI - Midyear Commentary on Trends in Drug Delivery and Clinical Translational
Medicine: Growth in Biosimilar (Complex Injectable Drug Formulation) Products
Within Evolving Collaborative Regulatory Interagency (FDA, FTC, and DOJ)
Practices and Enforcement.
AB - Before the 2009 Biologics Price Competition and Innovation Act that enabled the
U.S. Federal Drug Administration (FDA) to create the 351(k) Biologic License
Application-an abbreviated biosimilar approval process, FDA approved follow-on
biomolecule products such as beta-interferon, glucagon, hyaluronidase, and
somatropin (human growth hormone) under varying and evolving rules. With the
351(k) Biologic License Application biosimilar approval process in place,
currently, there are 4 (licensed in 2015-2016) biosimilars available, namely
Neupogen (filgrastim; $1 B/y), Humira (adalumumab; $14.2 B/y), Enbrel
(etanercept; $8.7 B/y), and Remicade (infliximab; $6.5 B/y). With well
established product market capitalization of these and other top income producers
such as Rituxan (rituximab; $6.8 B/y), Herceptin (trastuzumab; $6.5 B/y), and
Avastin (bevacizumab; $5.8 B/y), and a price differential of 15%-30% compared to
branded products, there is an intense interest in development of biosimilars by
established pharmaceutical companies. Currently, there are 160 biosimilar
candidates in clinical studies, many of which are sponsored by large
pharmaceutical companies known for product innovation. This trend will likely
continue. Additional information on a biomolecule platform is presented in the
Journal of Pharmaceutical Sciences Drug Delivery Clinical Trials Database
(jpharmscidatabase.org). There are 44,789, 18,456, and 12,897 clinical trials
registered to evaluate (1) drug delivery technology, (2) biomolecule platform,
and (3) drug metabolism and pharmacokinetic-pharmacodynamic interactions;
representing 19%-60% increase over the last 3 years.
PMID- 27876366
TI - Leishmania amazonensis infection induces behavioral alterations and modulates
cytokine and neurotrophin production in the murine cerebral cortex.
AB - Neurological symptoms have been associated with Leishmania infection, however
little is known about how the nervous system is affected in leishmaniasis. This
work aimed to analyze parasitic load, production of cytokines/neurotrophins in
the prefrontal cortex and behavioral changes in BALB/c mice infected with
Leishmania amazonensis. At 2 and 4months post-infection, infected mice showed a
decrease in IFN-gamma, IL-1, IL-6, IL-4, IL-10 cytokines and BDNF and NGF
neurotrophins in prefrontal cortex associated with increased anxiety behavior.
Parasite DNA was found in brain of all animals at 4months post-infection, when
the levels of IBA-1 (activated macrophage/microglia marker) and TNF-alpha was
increased in the prefrontal cortex. However TNF-alpha returned to normal levels
at 6months post-infection suggesting a neuroprotective mechanism.
PMID- 27876367
TI - Factors contributing to postpartum blood-loss in low-risk mothers through
expectant management in Japanese birth centres.
AB - OBJECTIVE: To describe aspects of expectant midwifery care for low-risk women
conducted in midwifery-managed birth centres during the first two critical hours
after delivery and to compare differences between midwifery care, client factors
and postpartum blood loss volume. METHOD: As a secondary analysis from a larger
study, this descriptive retrospective study examined data from birth records of
4051 women who birthed from 2001 to 2006 at nine (21%) of the 43 midwifery
centres in Tokyo. Nonparametric and parametric analyses identified factors
related to increased blood loss. Interviews to establish sequence of midwifery
care were conducted. FINDINGS: The midwifery centres provided care based on
expectant management principles from birth to after expulsion of the placenta.
Approximately 63.3% of women were within the normal limits of blood loss volume
under 500g. A minority of women (12.9%) experienced blood loss between 500 and
800g and 4% had blood loss exceeding 1000g. Blood loss volume tended to increase
with infant birth weight and duration of delivery. The total blood loss volume
was significantly higher for primiparas than for multiparas during the critical
two hours after delivery and for immediately after delivery, yet blood loss
volume was significantly higher for multiparas than for primiparas during the
first hour after delivery. Preventive uterine massage and umbilical cord clamping
after placenta expulsion resulted in statistically significant less blood loss.
Identified were two patterns of midwifery care based on expectant management
principles from birth to after expulsion of the placenta. The practice of
expectant management was not a significant factor for increased postpartum blood
loss. CONCLUSION: These results detail specific midwifery practices and highlight
the clinical significance of expectant management with low risk pregnant women
experiencing a normal delivery.
PMID- 27876370
TI - Non-linear rotation-free shell finite-element models for aortic heart valves.
AB - Hyperelastic material models have been incorporated in the rotation-free, large
deformation, shell finite element (FE) formulation of (Stolarski et al., 2013)
and applied to dynamic simulations of aortic heart valve. Two models used in the
past in analysis of such problem i.e. the Saint-Venant and May-Newmann-Yin (MNY)
material models have been considered and compared. Uniaxial tests for those
constitutive equations were performed to verify the formulation and
implementation of the models. The issue of leaflets interactions during the
closing of the heart valve at the end of systole is considered. The critical role
of using non-linear anisotropic model for proper dynamic response of the heart
valve especially during the closing phase is demonstrated quantitatively. This
work contributes an efficient FE framework for simulating biological tissues and
paves the way for high-fidelity flow structure interaction simulations of native
and bioprosthetic aortic heart valves.
PMID- 27876368
TI - Biomechanics and biorheology of red blood cells in sickle cell anemia.
AB - Sickle cell anemia (SCA) is an inherited blood disorder that causes painful
crises due to vaso-occlusion of small blood vessels. The primary cause of the
clinical phenotype of SCA is the intracellular polymerization of sickle
hemoglobin resulting in sickling of red blood cells (RBCs) in deoxygenated
conditions. In this review, we discuss the biomechanical and biorheological
characteristics of sickle RBCs and sickle blood as well as their implications
toward a better understanding of the pathophysiology and pathogenesis of SCA.
Additionally, we highlight the adhesive heterogeneity of RBCs in SCA and their
specific contribution to vaso-occlusive crisis.
PMID- 27876369
TI - Multi-component model of intramural hematoma.
AB - A novel multi-component model is introduced for studying interaction between
blood flow and deforming aortic wall with intramural hematoma (IMH). The aortic
wall is simulated by a composite structure submodel representing material
properties of the three main wall layers. The IMH is described by a
poroelasticity submodel which takes into account both the pressure inside
hematoma and its deformation. The submodel of the hematoma is fully coupled with
the aortic submodel as well as with the submodel of the pulsatile blood flow.
Model simulations are used to investigate the relation between the peak wall
stress, hematoma thickness and permeability in patients of different age. The
results indicate that an increase in hematoma thickness leads to larger wall
stress, which is in agreement with clinical data. Further simulations demonstrate
that a hematoma with smaller permeability results in larger wall stress,
suggesting that blood coagulation in hematoma might increase its mechanical
stability. This is in agreement with previous experimental observations of
coagulation having a beneficial effect on the condition of a patient with the
IMH.
PMID- 27876371
TI - Approaches for treatment of aortic arch aneurysm, a numerical study.
AB - Aortic arch aneurysm is a complex pathology which requires coverage of one or
more aortic arch vessels. In this study we explore the hemodynamic behavior of
the aortic arch in aneurysmatic and treated cases with three currently available
treatment approaches: Surgery Graft, hybrid Stent-Graft and chimney Stent Graft.
The analysis included four models of the time-dependent fluid domains of
aneurysmatic arch and of the surgery, hybrid and chimney endovascular techniques.
Dimensions of the models are based on typical anatomy, and boundary conditions
are based on typical physiological flow. The simulations used computational fluid
dynamics (CFD) methods to delineate the time-dependent flow dynamics in the four
geometric models. Results of velocity vectors, flow patterns, blood pressure and
wall shear stress distributions are presented. The results delineate disturbed
and recirculating flow in the aortic arch aneurysm accompanied with low wall
shear stress and velocities, compared to a uniformly directed flow and nominal
wall shear stress (WSS) in the model of Surgery graft. Out of the two endograft
procedures, the hybrid procedure clearly exhibits better hemodynamic performances
over the chimney model, with lower WSS, lower pressure drop and less disturbed
and vortical flow regions. Although the chimney procedure requires less
manufacturing time and cost, it is associated with higher risk rates, and
therefore, it is recommended only for emergency cases. This study may shed light
on the hemodynamic factors for these complications and provide insight into ways
to improve the procedure.
PMID- 27876372
TI - Interannual variability of soft-bottom macrobenthic communities of the NW Gulf of
Mexico in relationship to the Deepwater Horizon oil spill.
AB - A 3-year research program was undertaken to assess potential environmental
disturbance caused by the Deepwater Horizon oil spill to the soft-bottom
macrobenthic communities within Mexican waters of the northwestern Gulf of
Mexico. Community properties and temporal/spatial variability were analyzed
besides toxicant parameters such as hydrocarbons and trace-metals. Overall
infaunal density increased, taxa proportion changed, and small-size opportunistic
organisms prevailed throughout the study. Annual abundance-biomass comparison
(ABC) curves revealed progressive stress scenarios from moderate to severe.
Concentrations of vanadium, nickel, cobalt, PAHs and AHs increased gradually over
time. However, low correlations between benthic density and biogeochemical
variables were determined. Initially, sedimentary properties were the main
drivers of benthic community structure; subsequently, nickel, vanadium and PAHs,
indicative of anthropogenic effect, were highlighted. Interannual variability in
the macroinfauna was attributed to the synergy of several environmental factors.
Undoubtedly, compounds derived from fossil fuels had a significant disturbance
role, but their source remains uncertain.
PMID- 27876373
TI - Bioaccumulation of heavy metals by shrimp (Litopenaeus schmitti): A dose-response
approach for coastal resources management.
AB - We reveal a dose-response relationship for bioaccumulation of Zn, Cu and Cr in
shrimp Litopenaeus schmitti from Sepetiba Bay, Rio de Janeiro, Brazil. Our model
estimates the current risk (AD50 was 70% of the legal limit) and the daily metal
uptake rate for each metal. It can also evaluate the relative reliability of
predictions for tissue concentrations reaching the legal limits for human
consumption (approximately 1year) and predictions related to asymptotic length,
arising from (i) direct regression of the metal concentration (MeC) versus total
length (TL) and age (duration of exposure), and (ii) correlation of the
incorporation rate (IR=MeC/TL) with age. Metal incorporation rates (IR), i.e. a
kinetic proxy for absorption during growth up to attainment of asymptotic length,
decrease with age, reflecting a slow-down in metal absorption. This pattern
mitigates the high initial concentrations observed for juveniles.
PMID- 27876374
TI - Characterizing light attenuation within Northwest Florida Estuaries: Implications
for RESTORE Act water quality monitoring.
AB - Water Quality (WQ) condition is based on ecosystem stressor indicators (e.g.
water clarity) which are biogeochemically important and critical when considering
the Deepwater Horizon oil spill restoration efforts under the 2012 RESTORE Act.
Nearly all of the proposed RESTORE projects list restoring WC as a goal, but 90%
neglect water clarity. Here, dynamics of optical constituents impacting clarity
are presented from a 2009-2011 study within Pensacola, Choctawhatchee, St. Andrew
and St. Joseph estuaries (targeted RESTORE sites) in Northwest Florida.
Phytoplankton were the smallest contribution to total absorption (at-wPAR) at
412nm (5-11%), whereas colored dissolved organic matter was the largest (61-79%).
Estuarine at-wPAR was significantly related to light attenuation (KdPAR), where
individual contributors to clarity and the influence of climatic events were
discerned. Provided are conversion equations demonstrating interoperability of
clarity indicators between traditional State-measured WQ measures (e.g. secchi
disc), optical constituents, and even satellite remote sensing for obtaining
baseline assessments.
PMID- 27876375
TI - [Are there valid instruments? A necessary debate].
PMID- 27876376
TI - Non-surgical and surgical interventions for airway obstruction in children with
Robin Sequence.
AB - There is widespread lack of consensus regarding treatment of airway obstruction
in children with Robin Sequence. This study aimed to systematically summarize
outcomes of non-surgical and surgical options to treat airway obstruction in
children with Robin Sequence. The authors searched the Medline, EMBASE and
CENTRAL databases. Studies primarily on mandibular distraction were excluded.
Study quality was appraised with the Methodological Index for Non-Randomized
Studies (MINORS) score. Forty-eight studies were included, of which 45 studies
had a retrospective non-comparative set up, two studies had a prospective design
and one study was a clinical trial. The mean MINORS score was 7.3 (range 3-10).
The rates of successful relief of the airway obstruction (SRoAO) were: not
available for orthodontic appliance (2 studies, n = 24), 67-100% for
nasopharyngeal airway (6 studies, n = 126); 100 % for non-invasive respiratory
support (2 studies, n = 12); 70-96% for tongue-lip adhesion (11 studies, n =
277); 50-84% for subperiosteal release of the floor of the mouth (2 studies, n =
47); 100% for mandibular traction (3 studies, n = 133); 100% for tracheostomy (1
study, n = 25). The complication rate ranged from zero to 55%. Although SRoAO
rates seemed comparable, high-level evidence remains scarce. Future research
should include description of the definition, treatment indication, and objective
outcomes.
PMID- 27876377
TI - Quality of life in long-term oral cancer survivors: an 8-year prospective study
in China.
AB - OBJECTIVES: The purpose of the prospective study was to evaluate the long-term
changes in quality of life (QOL) in patients with oral cancer and to examine the
potential factors that predicted QOL at 8 years after treatment. STUDY DESIGN:
Seventy-one patients were evaluated by the University of Washington quality of
life scale. QOL in 30 long-term survivors was assessed at diagnosis and at the 3
month, 1-year, and 8-year follow-up. RESULTS: QOL was found to be better among
survivors compared with nonsurvivors at the 1-year follow-up. Levels of pain,
mood, and anxiety showed clinically and statistically significant improvements
between diagnosis and at 8 years following treatment, whereas problems with
chewing, speech, shoulder mobility, and taste worsened during this interval (P <
.05). From 1 to 8 years, patients reported clinically significant improvements
with regard to appearance, recreation, speech, saliva, and anxiety. Among the 11
sociodemographic, disease-, or treatment-related factors, age and tumor site were
associated with long-term QOL. CONCLUSIONS: Although the QOL among patients with
oral cancer was generally favorable in the long term, the changed patterns in
different domains over time should be noted. Improved QOL between the 1-year and
the 8-year follow-up demonstrated the dynamics of QOL after 1 year and justified
ongoing follow-up beyond the 1-year observation point.
PMID- 27876379
TI - MicroRNA profiling of human intermediate monocytes.
AB - Among the three human monocyte subsets, intermediate CD14++CD16+ monocytes have
been characterized as particularly proinflammatory cells in experimental studies
and as potential biomarkers of cardiovascular risk in clinical cohorts. To
further substantiate the distinct role of intermediate monocytes within human
monocyte heterogeneity, we assessed subset-specific expression of miRNAs as
central epigenetic regulators of gene expression. We hypothesized that
intermediate monocytes have a distinct miRNA profile compared to classical and
non-classical monocytes. By using small RNA-seq we analyzed 662 miRNAs in the
three monocyte subsets. We identified 38 miRNAs that are differentially expressed
in intermediate monocytes compared to both classical and non-classical monocytes
with a p value of <10-10, of which two miRNAs - miR-6087 (upregulated) and miR
150-5p (downregulated) - differed in their expression more than ten-fold. Pathway
analysis of the 38 differentially expressed miRNAs linked intermediate monocytes
to distinct biological processes such as gene regulation, cell differentiation,
toll-like receptor signaling as well as antigen processing and presentation.
Moreover, differentially expressed miRNAs were connected to those genes that we
previously identified as markers of intermediate monocytes. In aggregation, we
provide first genome-wide miRNA data in the context of monocyte heterogeneity,
which substantiate the concept of monocyte trichotomy in human immunity. The
identification of miRNAs that are specific for intermediate monocytes may allow
to develop strategies, which particularly target this cell population while
sparing the other two subsets.
PMID- 27876380
TI - Laparoscopic complete mesocolic excision with central vascular ligation in 600
right total mesocolectomies: Safety, prognostic factors and oncologic outcome.
AB - BACKGROUND: To analyze our experience with laparoscopic right Mesocolectomy in
right colonic cancers. METHODS: 600 consecutive patients were studied. RESULTS:
Mortality was 0.5%; morbidity was 35.5%. Mean mesocolic area was 15339 +/- 1639
mm3, specimen length 24.3 +/- 3.3 cm, distance from the tumor to high tie was 103
+/- 6 mm and mean lymph nodes harvested was 27 +/- 3; mesocolic plane was
achieved in 81% of cases. Survival was 83%; stratified survival in patients with
stage II, IIIA/B and in the subgroup of stage IIIC patients with negative apical
nodes was 88.7%, 72.4%, 71.4% respectively; stage IIIC patients with positive
apical nodes showed poor survival (27.7%). Recurrence occurred in 177 patients
(29.5%) and was mainly systemic (22.7%). At the multivariate analysis, "non
mesocolic" plane of resection, positive N3 apical nodes and CEA levels >5 ng/dL
were found to be independent prognostic factors. CONCLUSIONS: Laparoscopic right
Mesocolectomy showed to be safe and yielded surgical specimens of high quality,
with impact on survival; positive N3 apical nodes and "non mesocolic" planes were
independently associated to poor outcome.
PMID- 27876378
TI - Toxicities and dose-volume histogram parameters of MRI-based brachytherapy for
cervical cancer.
AB - PURPOSE: To analyze the toxicities and dose-volume histogram parameters of
external-beam and magnetic resonance imaging-based intracavitary brachytherapy in
cervical cancer patients. METHODS AND MATERIALS: Acute and late toxicities were
assessed in 135 patients divided into four groups: group 1, grade 0; group 2,
grades 1-4; group 3, grades 0-1; and group 4, grades 2-4. The doses at the
International Commission on Radiation Units and Measurements (DICRU) and minimum
doses to the most exposed 0.1, 1, 2, and 5 cc (D0.1cc, D1cc, D2cc, and D5cc) of
normal organs were calculated as equivalent doses in 2 Gy (alpha/beta = 3).
RESULTS: The median follow-up was 35.2 months. For rectum, DICRU, D0.1cc, D1cc,
and D2cc were significantly different between groups 1 and 2 and DICRU, D0.1cc,
and D1cc between groups 3 and 4. For bladder, D0.1cc, D1cc, and D2cc were
significantly different between groups 1 and 2 and DICRU, D1cc, D2cc, and D5cc
between groups 3 and 4. Grade 2-4 bladder toxicity occurred in fewer patients
with D2cc <= 95 Gy than those with D2cc > 95 Gy (7% vs. 22%, p = 0.014).
CONCLUSIONS: DICRU, D0.1cc, D1cc, and D2cc are relevant for predicting late
rectal toxicities. The patients with bladder D2cc > 95 Gy are required to be in
close observation for severe late toxicities.
PMID- 27876381
TI - Re: Disorders of the lymphatic system of the abdomen. A reply.
PMID- 27876382
TI - Amperometric triglyceride bionanosensor based on nanoparticles of lipase,
glycerol kinase, glycerol-3-phosphate oxidase.
AB - The nanoparticles (NPs) aggregates of lipase from porcine pancreas, glycerol
kinase (GK) from Cellulomonas sp. and glycerol-3-phosphate oxidase (GPO) from
Aerococcus viridanss were prepared by desolvation and glutaraldehyde crosslinking
and functionalized by cysteamine. These enzyme nanoparticles (ENPs) were
characterized by transmission electron microscopy (TEM) and Fourier transform
infra red (FTIR) spectroscopy. The functionalzed ENPs aggregates were co
immobilized covalently onto polycrystalline Au electrode through thiolated bond.
An improved amperometric triglyceride (TG) bionanosensor was constructed using
this ENPs modified Au electrode as working electrode. Biosensor showed optimum
current at 1.2 V within 5s, at pH 6.5 and 35 degrees C.A linear relationship was
obtained between current (mA) and triolein concentration in lower concentration
range,10-100 mg/dL and higher concentration range, 100-500 mg/dL. Limit of
detection (LOD) of bionanosensor was 1.0 MUg/ml. Percent analytical recovery of
added trolein (50 and 100 mg/dL) in serum was 95.2 +/- 0.5 and 96.0 +/- 0.17.
Within and between batch coefficients of variation (CV) were 2.33% and 2.15%
respectively. A good correlation (R2 = 0.99) was obtained between TG values in
sera measured by present biosensor and standard enzymic colorimetric method with
the regression equation: y= (0.993x + 0.967). ENPs/Au electrode was used 180
times over a period of 3 months with 50% loss in its initial activity, when
stored dry at 4 degrees C.
PMID- 27876383
TI - Helmet use and injury severity among pediatric skiers and snowboarders in
Colorado.
AB - INTRODUCTION: Skiing and snowboarding are popular winter recreational activities
that are commonly associated with orthopedic type injuries. Unbeknownst to most
parents, however, are the significant but poorly described risks for head,
cervical spine and solid organ injuries. Although helmet use is not mandated for
skiers and snowboarders outside of resort sponsored activities, we hypothesized
that helmet use is associated with a lower risk of severe head injury, shorter
ICU stay and shorter hospital length of stay. METHODS: The trauma registry at a
level I pediatric trauma center in the state of Colorado was queried for children
ages 3-17years, who sustained an injury while skiing or snowboarding from
1/1/1999 to 12/31/2014. Injury severity was assessed by Abbreviated Injury
Severity (AIS) score, injury severity score (ISS) and admission location. Head
injury was broadly defined as any trauma to the body above the lower border of
the mandible. Regression analysis was used to test associations of variables with
injury severity. RESULTS: 549 children sustained snow sport related injuries
during the 16year study period. The mean patient age was11+/-3years, most were
male (74%) and the majority were Colorado residents (54%). The overall median ISS
was 9 (IQR 4-9) and 78 children (14%) were admitted to the ICU. Colorado
residents were nearly twice as likely to be wearing a helmet at the time of
injury, compared to visitors from out-of-state (adjusted OR 1.86, 95% CI 1.24
2.76, p=0.002). In a multivariate analysis injury severity was significantly
associated with injury while skiing (p=0.026), helmet use (p=0.0416), and
sustaining a head injury (p<0.0001). In a separate multivariate analysis ICU
admission was associated with head injury (p<0.0001) and wearing a helmet
(p=0.0257); however, those wearing a helmet and admitted to the ICU had
significantly lower ISS (p=0.007) and head AIS (p=0.011) scores than those who
were not wearing a helmet at the time of injury. CONCLUSION: Visitors from out of
state were less likely to be wearing a helmet when injured and more likely to be
severely injured, suggesting Colorado residents have a better understanding of
the benefits of helmet usage. Helmeted skiers and snowboarders who were admitted
to the ICU had significantly lower ISS and head AIS scores than those who were
not helmeted. Pediatric skiers, snowboarders and their parents should be educated
on the significant risks associated with these activities and the benefits of
helmet usage. LEVEL OF EVIDENCE: III.
PMID- 27876384
TI - Corrigendum to "Genetic and protein biomarkers in blood for the improved
detection of GH abuse" [J. Pharm. Biomed. Anal. 128 (2016) 111-118, doi:
10.1016/j.jpba.2016.05.022.].
PMID- 27876386
TI - Future perspectives in the medical treatment of benign prostatic hyperplasia.
PMID- 27876387
TI - Hydropeaking in regulated rivers - From process understanding to design of
mitigation measures.
PMID- 27876388
TI - Depth-related response of macroinvertebrates to the reversal of eutrophication in
a Mediterranean lake: Implications for ecological assessment.
AB - A better management of nutrient inflows into lakes has led to an improvement in
their conditions (i.e. reversal of eutrophication) and the effects of this on
macroinvertebrate communities that inhabit different lake-depth zones is largely
unknown. This paper reports a comparison of macroinvertebrate communities living
in the eulittoral, infralittoral and sublittoral/profundal zones of Lake Nemi
(Central Italy) before and after its natural recovery from eutrophication
following the deviation of domestic wastewater. The infralittoral zone responded
more rapidly than the other two depth-zones to the improved ecological
conditions, as shown by larger differences in community composition between the
two periods. In the eulittoral sand, the combined effects of hydromorphological
pressures and reversal of eutrophication hindered the biotic response. In the
eulittoral and infralittoral zones, typical taxa of mesotrophic waters appeared
or increased their abundances after the eutrophication reversal. Benthic
invertebrate response was slower in the sublittoral/profundal zone due to
deoxygenation that continued to prevail in the deepest area of the lake during
summer. However, both tolerant and more sensitive taxa were collected there for
the first time. After the reversal of eutrophication, the percentage of
molluscan+large crustaceans increased in the infralittoral zone, whereas the
oligochaete/chironomid ratio decreased in both sublittoral/profundal and
infralittoral zones. Functional feeding metrics (percentages of filter-feeders,
collector-gatherers, miners and scrapers/grazers) differently tracked the
reversal of eutrophication in the three depth-zones probably according to the
effects of the reduction of nutrients on food-web structure influencing
macroinvertebrates. Biological Monitoring Working Party (BMWP) and the Average
Score Per Taxon (ASPT) seemed to respond to eutrophication reversal only in the
sublittoral/profundal zone, where deoxygenation plays a major role as a
structuring agent of the community. Our results suggest that the effects of
reversal of eutrophication can be better assessed by examining the response of
the communities belonging to each zone individually.
PMID- 27876389
TI - Dynamics in cyanobacterial communities from a relatively stable environment in an
urbanised area (ambient springs in Central Poland).
AB - Ambient springs are often cited as an example of an ecosystem with stable
environmental conditions. A static biotope fosters the development of constant
communities with a stable qualitative and relatively stable quantitative
structure. Two years of studying cyanobacteria in different microhabitats of the
rheocrenic and limnocrenic ambient springs located in urban areas showed that
there is a high degree of cyanobacterial diversity and spatial and seasonal
dynamics in communities. Spatial heterogeneity in relation to the type of spring
and the type of microhabitat is reflected not only by a change in the
quantitative structure (the number of species and their biomass), but also by a
change in the composition of species. Seasonal changes depended on the type of
spring and the type of microhabitat, where weather conditions influenced the
communities by different degrees. Cyanobacterial communities of limnocrenes were
more diverse in terms of composition and biomass, but they revealed a low
seasonal dynamic in contrast to the communities of rheocrenes. The classification
of springs based on their environmental conditions revealed that some springs
were similar. The resemblance stemmed from the origin of human impact, which was
reflected to a high degree in changes in the natural hydrochemical conditions of
the springs. For the purpose of understanding which environmental factors had the
greatest influence on cyanobacterial communities, a BIO-ENV procedure was
performed. The procedure revealed that of most importance was a group of ions not
related to the nature of the spring environment - NH4+, NO2-, NO3-, and PO43-.
The presence of these ions in groundwater was a result of direct and indirect
human activity in the area of aquifers. The dynamics in communities in the
studied springs were accelerated by human impact and weather conditions.
PMID- 27876385
TI - In old BALB/c mice, bone marrow pre-B cell and surrogate light chain reduction is
associated with increased B cell reactivity to phosphorylcholine, but reduced T15
idiotype dominance.
AB - In young adult BALB/c mice, antibodies to phosphorylcholine (PC) bearing the T15
(TEPC 15) idiotype confer protection against pneumococcal infections. In old age,
even though PC reactive B cells are often increased, the proportion of T15+
antibodies declines. We hypothesize that limited surrogate light chain (SLC) and
compromise of the pre-B cell receptor checkpoint in old mice contribute to both
reduced new B cell generation and changes in the anti-PC antibodies seen in old
age. In old mice: 1) early pre-B cell loss is most pronounced at the preBCR
checkpoint; however, the reduced pool of early pre-B cells continues to
proliferate consistent with preBCR signaling; 2) increased PC reactivity is seen
in bone marrow immature B cells; 3) deficient SLC promotes increased B cell PC
reactivity and diminished T15 idiotype expression; and 4) as pre-B cell losses
and reduced SLC become progressively more severe, increased T15 negative PC
reactive B cells occur. These results associate a reduction in pre-B cells,
imposed at the preBCR checkpoint, with increased reactivity to PC, but more
limited expression of the protective T15 idiotype among PC reactive antibodies in
old age.
PMID- 27876391
TI - Critical evaluation of monitoring strategy for the multi-residue determination of
90 chiral and achiral micropollutants in effluent wastewater.
AB - It is essential to monitor the release of organic micropollutants from wastewater
treatment plants (WWTPs) for developing environmental risk assessment and
assessing compliance with legislative regulation. In this study the impact of
sampling strategy on the quantitative determination of micropollutants in
effluent wastewater was investigated. An extended list of 90 chiral and achiral
micropollutants representing a broad range of biological and physico-chemical
properties were studied simultaneously for the first time. During composite
sample collection micropollutants can degrade resulting in the under-estimation
of concentration. Cooling collected sub-samples to 4 degrees C stabilised >=81 of
90 micropollutants to acceptable levels (+/-20% of the initial concentration) in
the studied effluents. However, achieving stability for all micropollutants will
require an integrated approach to sample collection (i.e., multi-bottle sampling
with more than one stabilisation method applied). Full-scale monitoring of
effluent revealed time-paced composites attained similar information to volume
paced composites (influent wastewater requires a sampling mode responsive to flow
variation). The option of monitoring effluent using time-paced composite samplers
is advantageous as not all WWTPs have flow controlled samplers or suitable sites
for deploying portable flow meters. There has been little research to date on the
impact of monitoring strategy on the determination of chiral micropollutants at
the enantiomeric level. Variability in wastewater flow results in a dynamic
hydraulic retention time within the WWTP (and upstream sewerage system). Despite
chiral micropollutants being susceptible to stereo-selective degradation, no
diurnal variability in their enantiomeric distribution was observed. However,
unused medication can be directly disposed into the sewer network creating short
term (e.g., daily) changes to their enantiomeric distribution. As enantio
specific toxicity is observed in the environment, similar resolution of enantio
selective analysis to more routinely applied achiral methods is needed throughout
the monitoring period for accurate risk assessment.
PMID- 27876390
TI - Landscape changes, traditional ecological knowledge and future scenarios in the
Alps: A holistic ecological approach.
AB - In recent decades, a dramatic landscape change has occurred in the European
alpine region: open areas have been naturally recolonized by forests as
traditional agricultural and forest activities were reduced and reorganized. Land
use changes (LUC) are generally measured through GIS and photo interpretation
techniques, but despite many studies focused on this phenomenon and its effects
on biodiversity and on the environment in general, there is a lack of information
about the transformation of the human-environment connection. The study of
Traditional Ecological Knowledge (TEK), such as the ability to recognize wild
plants used as medicine or food, can suggest how this connection evolved through
time and generations. This work investigates the relationship between the natural
forest cover expansion that influences the loss of open areas and the loss of
TEK. Different data sources and approaches were used to address the topic in all
its complexity: a mix of questionnaire investigations, historical maps, GIS
techniques and modelling were used to analyse past land use changes and predict
future scenarios. The study area, Trentino, Italy, is paradigmatic of the alpine
situation, and the land use change in the region is well documented by different
studies, which were reviewed and compared in this paper. Our findings suggest
that open area loss can be used as a good proxy to highlight the present state
and to produce future scenarios of Traditional Ecological Knowledge. This could
increase awareness of the loss of TEK in other Alpine regions, where data on TEK
are lacking, but where environmental trends are comparable.
PMID- 27876392
TI - Metallothionein assisted periplasmic lead sequestration as lead sulfite by
Providencia vermicola strain SJ2A.
AB - Lead resistant Providencia vermicola strain SJ2A was isolated from the waste of a
battery manufacturing industry which could tolerate upto 3.0mM lead nitrate in
the minimal medium. Interestingly, this isolate showed presence of a plasmid
borne metallothionein gene, bmtA that matched significantly (96%) with that of
Pseudomonas aeruginosa. Scanning electron micrographs of bacterial cells exposed
to lead revealed a unique alteration in the cell morphology from rods to long
inter-connected filaments. On the other hand, electron dispersive X-ray
spectroscopy (EDX) clearly indicated no significant lead adsorption therefore, we
speculated intracellular sequestration in this bacterial strain. Transmission
electron micrographs of the bacterial cells exposed to lead evidently
demonstrated periplasmic sequestration of lead which was also supported by
Fourier transformed infrared spectroscopic (FTIR) analysis. The bacterium
internalised 155.12mg Pb2+/g biomass as determined by atomic absorption
spectroscopy. Subsequently, the accumulated lead was identified as lead sulfite
by X-ray diffraction studies. Therefore P. vermicola strain SJ2A has potential to
bioremediate lead contaminated environmental sites.
PMID- 27876393
TI - Bilateral vallecular cysts.
PMID- 27876394
TI - Postnatal irradiation-induced hippocampal neuropathology, cognitive impairment
and aging.
AB - Irradiation of the brain in early human life may set abnormal developmental
events into motion that last a lifetime, leading to a poor quality of life for
affected individuals. While the effect of irradiation at different early
developmental stages on the late human life has not been investigated
systematically, animal experimental studies suggest that acute postnatal
irradiation with ?0.1Gy may significantly reduce neurogenesis in the dentate
gyrus and endotheliogenesis in cerebral vessels and induce cognitive impairment
and aging. Fractionated irradiation also reduces neurogenesis. Furthermore,
irradiation induces hippocampal neuronal loss in CA1 and CA3 areas,
neuroinflammation and reduces gliogenesis. The hippocampal neurovascular niche
and the total number of microvessels are also changed after radiation exposures.
Each or combination of these pathological changes may cause cognitive impairment
and aging. Interestingly, acute irradiation of aged brain with a certain amount
of radiation has also been reported to induce brain hormesis or neurogenesis. At
molecular levels, inflammatory cytokines, chemokines, neural growth factors,
neurotransmitters, their receptors and signal transduction systems, reactive
oxygen species are involved in radiation-induced adverse effect on brain
development and functions. Further study at different omics levels after low
dose/dose rate irradiation may not only unravel the mechanisms of radiation
induced adverse brain effect or hormesis, but also provide clues for detection or
diagnosis of radiation exposure and for therapeutic approaches to effectively
prevent radiation-induced cognitive impairment and aging. Investigation focusing
on radiation-induced changes of critical brain development events may reveal many
previously unknown adverse effects.
PMID- 27876395
TI - Massive lamotrigine poisoning. A case report.
AB - Lamotrigine (LTG) represents the most commonly prescribed of the so-called new
generation antiepileptic drugs. We describe a child who was admitted to the
emergency room because of generalized tonic-clonic status epilepticus followed by
a complex neurological picture with hyperkinesia and acute ataxia as a result of
a LTG intoxication. The experience on acute LTG intoxication is very limited in
pediatrics. The present case provides information on the clinical picture related
to LTG overdose and confirms that drug intoxications should be considered in the
differential diagnosis strategy when severe and polymorphic neurological symptoms
occur acutely.
PMID- 27876396
TI - Anatomical, animal, and cellular evidence for Zika-induced pathogenesis of fetal
microcephaly.
AB - Several recent articles published by Brain and Development in 2016 demonstrated
some rare, but innovative, genetic mechanisms for microcephaly. This concise mini
review presented another novel pathogenic mechanism for microcephaly, which has
actually been a worldwide medical challenge since the World Health Organization
(WHO) defined the outbreak of the Zika virus (ZIKV) as an International Public
Health Emergency on 1 Feb, 2016. As a recent noteworthy clinical phenomenon, the
ZIKV outbreak was accompanied by a dramatically increased number of microcephalus
fetuses. However, no direct evidence supporting the suspected pathogenic effects
of ZIKV on fetal microcephaly was shown previously before 2016. Herein, we
evaluated the most important human pathological, animal developmental, and neuro
cytotoxic findings released in 2016, and highlighted the original experimental
evidence that strengthens the potential link between ZIKV and the high incidence
of microcephaly in new-born babies. Because killing mosquitoes via insecticides
is currently the only effective way to suppress ZIKV-induced disorders, the
animal and cellular models described in this mini-review are very beneficial to
anti-ZIKV drug development and vaccine assessment.
PMID- 27876397
TI - Efficacy of sodium channel blockers in SCN2A early infantile epileptic
encephalopathy.
AB - BACKGROUND: Recent clinical evidence supports a targeted therapeutic approach for
genetic epileptic encephalopathies based on the molecular dysfunction. PATIENT
DESCRIPTION: A 2-day-old male infant presented with epileptic encephalopathy
characterized by burst-suppression EEG background and tonic-clonic migrating
partial seizures. The condition was refractory to phenobarbital, pyridoxine,
pyridoxal phosphate and levetiracetam, but a dramatic response to an intravenous
loading dose of phenytoin was documented by video-EEG monitoring. Over weeks
phenytoin was successfully switched to carbamazepine to prevent seizure relapses
associated with difficulty in maintaining proper blood levels of phenytoin.
Genetic analysis identified a novel de novo heterozygous mutation
(c.[4633A>G]p.[Met1545Val]) in SCN2A. At two years and three months of age the
patient is still seizure-free on carbamazepine, although a developmental delay is
evident. CONCLUSIONS: Sodium channel blockers represent the first-line treatment
for confirmed or suspected SCN2A-related epileptic encephalopathies. In severe
cases with compatible electro-clinical features we propose a treatment algorithm
based on a test trial with high dose intravenous phenytoin followed in case of a
positive response by carbamazepine, more suitable for long-term maintenance
treatment. Because of their rarity, collaborative studies are needed to delineate
shared therapeutic protocols for EIEE based on the electro-clinical features and
the presumed underlying genetic substrate.
PMID- 27876398
TI - Dropped head congenital muscular dystrophy caused by de novo mutations in LMNA.
AB - BACKGROUND: Dropped head syndrome is an easily recognizable clinical presentation
of Lamin A/C-related congenital muscular dystrophy. Patients usually present in
the first year of life with profound neck muscle weakness, dropped head, and
elevated serum creatine kinase. CASE DESCRIPTION: Two patients exhibited head
drop during infancy although they were able to sit independently. Later they
developed progressive axial and limb-girdle weakness. Creatine kinase levels were
elevated and muscle biopsies of both patients showed severe dystrophic changes.
The distinctive clinical hallmark of the dropped head led us to the diagnosis of
Lamin A/C-related congenital muscular dystrophy, with a pathogenic de novo
mutation p.Glu31del in the head domain of the Lamin A/C gene in both patients.
Remarkably, one patient also had a central involvement with white matter changes
on brain magnetic resonance imaging. CONCLUSION: Lamin A/C-related dropped-head
syndrome is a rapidly progressive congenital muscular dystrophy and may lead to
loss of ambulation, respiratory insufficiency, and cardiac complications. Thus,
the genetic diagnosis of dropped-head syndrome as L-CMD and the implicated
clinical care protocols are of vital importance for these patients. This disease
may be underdiagnosed, as only a few genetically confirmed cases have been
reported.
PMID- 27876399
TI - National survey of practice of faecal microbiota transplantation for Clostridium
difficile infection in the UK.
PMID- 27876400
TI - A dynamic regression analysis tool for quantitative assessment of bacterial
growth written in Python.
AB - Herein, an open-source method to generate quantitative bacterial growth data from
high-throughput microplate assays is described. The bacterial lag time, maximum
specific growth rate, doubling time and delta OD are reported. Our method was
validated by carbohydrate utilization of lactobacilli, and visual inspection
revealed 94% of regressions were deemed excellent.
PMID- 27876402
TI - Corrigendum to "Characterization of the cell growth analysis for detection of
immortal cellular impurities in human mesenchymal stem cells" [Biologicals 43 (2)
(March 2015) 146-149].
PMID- 27876401
TI - Developmental coordination disorder and its cause: The road less travelled.
AB - We critically review the research literature that seeks to focus on the possible
cause of children diagnosed with developmental coordination disorder (DCD). In so
doing we contrast the traditional information processing (IP) approach as a model
to explain the causal factors that account for the motor deficits present in
children with DCD, with a dynamical systems (DS) account which argues that
coordination deficits in children with DCD is less to do with problems of poor
internal models (a cornerstone of IP theory) and more with a degrading of
perception-action coupling. We review and comment on the extant empirical data
and conclusions of both approaches. We conclude that the data for an IP
explanation is weak and a reconsideration of DCD is in order with respect to the
underlying cause of this issue.
PMID- 27876404
TI - Very early discharge compared with early or non-early discharge in children with
cancer and febrile neutropenia: A Cochrane review summary.
PMID- 27876403
TI - Characterizing the high-risk homebound patients in need of nurse practitioner co
management.
AB - By providing more frequent provider visits, prompt responses to acute issues, and
care coordination, nurse practitioner (NP) co-management has been beneficial for
the care of chronically ill older adults. This paper describes the homebound
patients with high symptom burden and healthcare utilization who were referred to
an NP co-management intervention and outlines key features of the intervention.
We compared demographic, clinical, and healthcare utilization data of patients
referred for NP co-management within a large home-based primary care (HBPC)
program (n = 87) to patients in the HBPC program not referred for co-management
(n = 1027). A physician survey found recurrent hospitalizations to be the top
reason for co-management referral and a focus group with nurses and social
workers noted that co-management patients are typically those with active medical
issues more so than psychosocial needs. Co-management patients are younger than
non-co-management patients (72.31 vs. 80.30 years old, P < 0.001), with a higher
mean Charlson comorbidity score (3.53 vs. 2.47, P = 0.0001). They have higher
baseline annual hospitalization rates (2.27 vs. 0.61, P = 0.0005) and total
annual home visit rates (13.1 vs. 6.60, P = 0.0001). NP co-management can be
utilized in HBPC to provide intensive medical management to high-risk homebound
patients.
PMID- 27876405
TI - Lower urinary tract symptoms in children and adolescents with Williams-Beuren
syndrome.
AB - INTRODUCTION: Williams-Beuren syndrome (WBS) is a genetic condition caused by a
microscopic deletion in the chromosome band 7q11.23. Individuals with WBS may
present with congenital cardiovascular defects, neurodevelopmental disturbances
and structural abnormalities of the urinary tract. Lower urinary tract symptoms
(LUTS) seem to be frequent in this population, but studies on this topic are
scarce and based on small case series. OBJECTIVE: To systematically evaluate the
prevalence of lower urinary tract symptoms (LUTS) and the acquisition of bladder
control in a large population with WBS. STUDY DESIGN: A cross-sectional study
evaluating 87 consecutive patients with WBS; there were 41 girls and 46 boys.
Genetic studies confirmed WBS in all patients. Subjects were clinically evaluated
with: a history of LUTS obtained from the parents and child, a structured
questionnaire of LUTS, a 3-day urinary frequency-volume chart, a quality of life
question regarding LUTS, and physical examination. A history regarding the
acquisition of bladder control was directly evaluated from the parents. RESULTS:
Mean age of patients was 9.0 +/- 4.2 years, ranging from 3 to 19 years. Based on
the symptoms questionnaire and the frequency-volume chart, 70 patients (80.5%)
were symptomatic. The most common symptom was urgency, affecting 61 (70.1%)
patients, followed by increased urinary frequency in 60 (68.9%) patients, and
urge-incontinence in 53 (60.9%), as shown in Summary Fig. More than half of the
children reported nocturnal enuresis, including 61% of the girls and 52% of the
boys. Twenty-three patients (25.6%) had a history of urinary tract infections.
The mean age for acquisition of dryness during the day was 4.4 +/- 1.9 years.
Parents of 61 patients (70.1%) acknowledged that LUTS had a significant impact on
the quality of life of their children. DISCUSSION: A high prevalence of LUTS was
confirmed with a significant negative impact on quality of life in a large
population of children and adolescents with WBS. It was shown for the first time
that the achievement of daytime bladder control is delayed in children with WBS.
Although LUTS are not recognized as one of the leading features of the syndrome,
it is believed that it should be considered as a significant characteristic of
the clinical diagnosis of WBS. CONCLUSIONS: LUTS are highly prevalent in children
and adolescents with WBS and have a significant negative impact on patient's
quality of life.
PMID- 27876406
TI - Adenotonsillectomy can decrease enuresis and sympathetic nervous activity in
children with obstructive sleep apnea syndrome.
AB - BACKGROUND: The nocturnal intermittent hypoxia caused by obstructive sleep apnea
syndrome (OSAS) can provoke the sympathetic nervous activity (SNA). Salivary
alpha-amylase (sAA) is a sensitive, non-invasive biomarker for reflecting the
SNA, and a useful marker for pediatric OSAS subjects. Adenotonsillar hypertrophy
(ATH) is the most commonly identified risk factor in OSAS childhood, therefore,
several studies showed that the adenotonsillectomy (T&A) may alleviate nocturnal
enuresis (NE) in children with OSAS. OBJECTIVE: The present study was to
investigate the effect of T&A on NE, the change of sAA value in ATH and OSAS
children, with/without NE, and with/without the operation. STUDY DESIGN: 37
children (Group A) were admitted for ATH and NE. The saliva samples were taken
before and after polysomnography for the measure of sAA. After the T&A, the
children were followed-up for 1 year. 35 OSAS children with NE but no T&A were as
a NE watchful-waiting group (Group B), 32 subjects without OSAS or NE were as non
OSAS control (Group C), 42 cases who underwent T&A but did not have NE were
admitted to evaluate the SNA (Group D). Follow-up included evaluations for NE,
sAA and urinary catecholamine after the T&A or at the equivalent time points.
RESULTS: The observational results in the present study showed a significant rate
of the disappearance of NE 1 month after the T&A and had an almost complete
resolution 1 year later. OSAS may irritate oxidative stress and increase SNA in
pediatric subjects, which reflected by increased levels of sAA and urinary
catecholamine, while the T&A can decrease enuresis and the SNA in children with
OSAS (Figure). DISCUSSION: Little research has previously focused on the
relationship between childhood OSAS and the SNA. No data are currently available
regarding comparisons of sAA levels before and after the T&A in children with
OSAS and enuresis. Our findings in this present study showed that there was a
resolution or decrease in enuresis events and drops in sAA levels following T&A,
which were consistent with earlier study. However, there was no significant
difference in the urinary catecholamine levels was found between OSAS groups with
or without NE. Furthermore, there was no correlation between the urinary
catecholamine and polysomnography parameters. CONCLUSIONS: T&A has a favorable
therapeutic effect on NE and may decrease SNA in children with OSAS. sAA might be
associated with instability of ANS by OSAS and have a consistent relationship
with the apnea-hypopnea index. Our studying aims had been met.
PMID- 27876407
TI - Complement C3 Exacerbates Imiquimod-Induced Skin Inflammation and Psoriasiform
Dermatitis.
PMID- 27876408
TI - Brain stimulation as a neuromodulatory epilepsy therapy.
AB - Brain stimulation is increasingly used in epilepsy patients with insufficient
therapeutic response to pharmacological treatment. Whereas vagus nerve
stimulation with implanted devices has been used in large and heterogeneous
patient groups, new devices also enable targeted brain stimulation at the site of
seizure generation (responsive neurostimulation) or at network hubs (thalamic
stimulation). Both responsive neurostimulation systems targeting the epileptic
focus and the latest vagus nerve stimulators are intended to stimulate during the
ictal phase to disrupt clinical seizure manifestation of reduce seizure severity.
Furthermore, transcutaneous stimulation approaches are now available, although
their efficacy remains uncertain. This review explains the concepts underlying
brain stimulation, provides an overview of efficacy and tolerability data and
discusses the rational use of the growing spectrum of neuromodulatory strategies
available.
PMID- 27876409
TI - Knowledge and practice of intensive care nurses for endotracheal suctioning in a
teaching hospital in western Turkey.
AB - OBJECTIVES: This study was conducted to determine intensive care nurses'
knowledge and practice levels regarding open system endotracheal suctioning and
to investigate if there is a relationship between nurses' demographic
characteristics and their knowledge and practice. RESEARCH METHODOLOGY/DESIGN:
The study was conducted as a cross-sectional and non-participant structured
observational design. Data were collected using a 45-item structured and self
administered questionnaire and a 31-item observational checklist. The study
sample included 72 nurses. SETTING: Three adult intensive care units in a
teaching hospital. RESULTS: The nurses' mean scores of knowledge and practice
were 23.79+/-3.83 and 12.88+/-2.53. Their level of knowledge was very good in
59.7%, good in 34.7%, and the level of practice was fair in 79.2% and good in
18.1%. The relationship between the type of unit and the nurses' knowledge scores
was statistically significant (p=0.013). The correlation between the nurses'
scores of knowledge and practice was not statistically significant (r=0.220;
p=0.063). CONCLUSION: This study suggests that the knowledge level of most of the
nurses was good and their practice level was fair. Intensive care nurses must
perform suctioning procedures safely and effectively to ensure delivery of
quality of care and eliminate complications.
PMID- 27876410
TI - Clinical outcome of high-dose-rate interstitial brachytherapy in vulvar cancer: A
single institutional experience.
AB - PURPOSE: With an aim to evaluate and report high dose date interstitial
brachytherapy (HDR-ISBT) in vulvar cancers, we undertook this retrospective
analysis. METHODS AND MATERIALS: Histologically proven vulvar cancers treated
with HDR-ISBT between 2001 and 2016 were analyzed. Radiotherapy details, clinical
outcome in terms of local control rates, survivals, and toxicities were
evaluated. RESULTS: A total of 38 patients received HDR-ISBT, with definitive
radiation in 29 (76.3%), adjuvant postoperative in six (15.8%) and salvage
radiation in three (7.9%) patients. Of them, 29 patients received brachytherapy
boost and nine patients ISBT alone. BT procedure included freehand plastic tube
technique in 23 (single [n = 5] or multiple plane [n = 18]), 13 patients with
template based and two patients combined approach. Patients with brachytherapy
alone received median EQD2 of 38.4 Gy10 (35.5-46.7 Gy10), as boost received
median 23.3 Gy10 (13-37.3 Gy10). At 3-month post-treatment response evaluation,
30 patients achieved clinically complete response, two patients partial response
and six maintained postoperative status. With a median follow-up of 30 months, 29
(76.3%) were disease free, and 9 (23.7%) patients had relapsed disease with four
patients expired due to disease and two died of other causes. The 5-year overall
survival, disease free survival, and local control rates were 82%, 51%, and 77%,
respectively. CONCLUSIONS: HDR-ISBT in vulvar cancer is a feasible and a viable
option with acceptable and comparable outcomes.
PMID- 27876413
TI - Association between plasma Torque teno virus level and chronic lung allograft
dysfunction after lung transplantation.
PMID- 27876412
TI - Advanced symptoms are associated with myocardial damage in patients with severe
aortic stenosis.
AB - BACKGROUND: Once aortic stenosis (AS) is severe, patients develop symptoms at
different stages. Indeed, symptom status may correlate poorly with the grade of
valve narrowing. Multiple pathophysiological mechanisms, other than valvular
load, may explain the link between AS and symptom severity. We aimed to describe
the relationship between the severity of symptoms and the characteristics of a
cohort of patients with severe AS already referred for aortic valve replacement
(AVR). METHODS: We analyzed 118 consecutive patients (70+/-9 years, 55% men) with
severe AS referred for AVR. We identified 84 patients with New York Heart
Association (NYHA) I-II, and 34 with NYHA III-IV symptoms. Clinical and
echocardiographic parameters were compared between these two groups. Left
ventricular ejection fraction (LVEF), global longitudinal peak systolic strain
(GLPS), NT-pro-B-type natriuretic peptide (BNP), and high-sensitive troponin T
(hs-TNT) were determined at the time of admission. RESULTS: AS severity was
similar between groups. Compared with the NYHA I-II group, patients in NYHA III
IV group were older and more likely to have comorbidities, worse intracardiac
hemodynamics and more LV damage. Variables independently associated with NYHA III
IV symptomatology were the absence of sinus rhythm, higher E/e' ratio, and
increased hs-TNT. GLPS showed a good correlation not only with hs-TNT as a marker
of myocardial damage, but also with markers of increased afterload imposed on LV,
being not directly related with advanced symptoms. CONCLUSIONS: Advanced symptoms
in patients with severe AS referred for AVR are associated with worse
intracardiac hemodynamics, absence of sinus rhythm, and more myocardial damage.
It supports the concept of transition from adaptive LV remodeling to myocyte
death as an important determinant of symptoms of heart failure.
PMID- 27876414
TI - Looking on the BRIGHT side of health literacy in patients with cardiac
transplantation: Where are we and where do we need to go?
PMID- 27876415
TI - The relationship between historical development and potentially toxic element
concentrations in urban soils.
AB - Increasing urbanisation has a direct impact on soil quality, resulting in
elevated concentrations of potentially toxic elements (PTEs) in soils. This
research aims to assess if soil PTE concentrations can be used as an
'urbanisation tracer' by investigating geogenic and anthropogenic source
contributions and controls, and considering PTE enrichment across historical
urban development zones. The UK cities of Belfast and Sheffield are chosen as
study areas, where available shallow and deep concentrations of PTEs in soil are
compared to identify geogenic and anthropogenic contributions to PTEs. Cluster
analysis and principal component analysis are used to elucidate the main controls
over PTE concentrations. Pollution indices indicate that different periods of
historical development are linked to enrichment of different PTEs. Urban
subdomains are identified and background values calculated using various
methodologies and compared to generic site assessment criteria. Exceedances for a
number of the PTEs considered suggest a potential human health risk could be
posed across subdomains of both Belfast and Sheffield. This research suggests
that airborne diffuse contamination from often historical sources such as
traffic, domestic combustion and industrial processes contribute greatly to soil
contamination within urban environments. The relationship between historical
development and differing PTEs is a novel finding, suggesting that PTEs have the
potential for use as 'urbanisation tracers'. The investigative methodology
employed has potential applications for decision makers, urban planners,
regulators and developers of urban areas.
PMID- 27876411
TI - Disruption of mitochondrial quality control in peripheral artery disease: New
therapeutic opportunities.
AB - Peripheral artery disease (PAD) is a multifactorial disease initially triggered
by reduced blood supply to the lower extremities due to atherosclerotic
obstructions. It is considered a major public health problem worldwide, affecting
over 200 million people. Management of PAD includes smoking cessation, exercise,
statin therapy, antiplatelet therapy, antihypertensive therapy and surgical
intervention. Although these pharmacological and non-pharmacological
interventions usually increases blood flow to the ischemic limb, morbidity and
mortality associated with PAD continue to increase. This scenario raises new
fundamental questions regarding the contribution of intrinsic metabolic changes
in the distal affected skeletal muscle to the progression of PAD. Recent evidence
suggests that disruption of skeletal muscle mitochondrial quality control
triggered by intermittent ischemia-reperfusion injury is associated with
increased morbidity in individuals with PAD. The mitochondrial quality control
machinery relies on surveillance systems that help maintaining mitochondrial
homeostasis upon stress. In this review, we describe some of the most critical
mechanisms responsible for the impaired skeletal muscle mitochondrial quality
control in PAD. We also discuss recent findings on the central role of
mitochondrial bioenergetics and quality control mechanisms including
mitochondrial fusion-fission balance, turnover, oxidative stress and aldehyde
metabolism in the pathophysiology of PAD, and highlight their potential as
therapeutic targets.
PMID- 27876416
TI - Influence of bacterial extracellular polymeric substances on the sorption of Zn
on gamma-alumina: A combination of FTIR and EXAFS studies.
AB - Extracellular polymeric substances (EPS) isolated from bacteria, are abound of
functional groups which can react with metals and consequently influence the
immobilization of metals. In this study, we combined with Zn K-edge Extended X
ray Absorption Fine Structure (EXAFS), Fourier Transform Infrared (FTIR)
spectroscopy, and High-Resolution Transmission Electron Microscopy (HRTEM)
techniques to study the effects of EPS isolated from Bacillus subtilis and
Pseudomonas putida on Zn sorption on gamma-alumina. The results revealed that Zn
sorption on aluminum oxide was pH-dependent and significantly influenced by
bacterial EPS. At pH 7.5, Zn sorbed on gamma-alumina was in the form of Zn-Al
layered doubled hydroxide (LDH) precipitates, whereas at pH 5.5, Zn sorbed on
gamma-alumina was as a Zn-Al bidentate mononuclear surface complex. The amount of
sorbed Zn at pH 7.5 was 1.3-3.7 times higher than that at pH 5.5. However, in the
presence of 2 g L-1 EPS, regardless of pH conditions and EPS source, Zn + EPS +
gamma-alumina ternary complex was formed on the surface of gamma-alumina, which
resulted in decreased Zn sorption (reduced by 8.4-67.8%) at pH 7.5 and enhanced
Zn sorption (increased by 10.0-124.7%) at pH 5.5. The FTIR and EXAFS spectra
demonstrated that both the carboxyl and phosphoryl moieties of EPS were crucial
in this process. These findings highlight EPS effects on Zn interacts with gamma
alumina.
PMID- 27876417
TI - Does long term low impact stress cause population extinction?
AB - This study assessed and monitored 40 consecutive reproduction tests -
multigenerational (MG) - of continuous exposure to Cd (at 2 reproduction Effect
Concentrations (EC): EC10 and EC50) using the standard soil invertebrate Folsomia
candida, in total 3.5 years of data were collected. Endpoints included survival,
reproduction, size and metallothionein (MTc) gene expression. Further, to
investigate adaptation to the toxicant, additional standard toxicity experiments
were performed with the MG organisms of F6, F10, F26, F34 and F40 generations of
exposure. Exposure to Cd EC10 caused population extinction after one year,
whereas populations survived exposure to Cd EC50. Cd induced the up-regulation of
the MTc gene, this being higher for the higher Cd concentration, which may have
promoted the increased tolerance at the EC50. Moreover, EC10 induced a shift
towards organisms of smaller size (positive skew), whereas EC50 induced a shift
towards larger size (negative skew). Size distribution shifts could be an effect
predictor. Sensitivity increased up to F10, but this was reverted to values
similar to F0 in the next generations. The maximum Cd tolerance limits of F.
candida increased for Cd EC50 MG. The consequences for risk assessment are
discussed.
PMID- 27876418
TI - Polycyclic aromatic hydrocarbons (PAHs) enriching antibiotic resistance genes
(ARGs) in the soils.
AB - The prevalence of antibiotic resistance genes (ARGs) in modern environment raises
an emerging global health concern. In this study, soil samples were collected
from three sites in petrochemical plant that represented different pollution
levels of polycyclic aromatic hydrocarbons (PAHs). Metagenomic profiling of these
soils demonstrated that ARGs in the PAHs-contaminated soils were approximately 15
times more abundant than those in the less-contaminated ones, with
Proteobacterial being the preponderant phylum. Resistance profile of ARGs in the
PAHs-polluted soils was characterized by the dominance of efflux pump-encoding
ARGs associated with aromatic antibiotics (e.g., fluoroquinolones and
acriflavine) that accounted for more than 70% of the total ARGs, which was
significantly different from representative sources of ARG pollution due to wide
use of antibiotics. Most of ARGs enriched in the PAHs-contaminated soils were not
carried by plasmids, indicating the low possibilities of them being transferred
between bacteria. Significant correlation was observed between the total
abundance of ARGs and that of Proteobacteria in the soils. Proteobacteria
selected by PAHs led to simultaneously enriching of ARGs carried by them in the
soils. Our results suggested that PAHs could serve as one of selective stresses
for greatly enriching of ARGs in the human-impacted environment.
PMID- 27876420
TI - Hereditary bilateral genu recurvatum: Case report of a family.
AB - BACKGROUND: Genu recurvatum is a rare condition in children and adolescents. The
origin can be osseous, ligamentous and mixed. METHODS: We describe for the first
time a family inclusive two brothers and their mother with hereditary bilateral
genu recurvatum of unknown etiology. The possible underlying pathology and
treatment are discussed. RESULTS: The underlying pathology of the early closure
of the apophysis of the tibial tuberosity remained unclear. The mother was never
treated, one of the brothers received a tibial osteotomy as a young adult. Both
patients developed end-stage osteoarthritis of both knees which was successfully
treated by a bilateral computer-navigated TKA. At seven to eight years after
implantation in the older brother (left and right knee, respectively) and three
to four years after implantation in the younger brother (right and left knee,
respectively), the Visual Analogue Scale (VAS) pain score on a 0 to 100 scale was
0 of both brothers, the EQ-5D health status was 80 (scale of 0 to 100), the
satisfaction was 10 (scale of 10) of both brothers. The Knee Injury and
Osteoarthritis Outcome Score (KOOS) function of the younger brother of 75 (scale
0 to 100) and of the older brother 100. The KOOS sport of the younger brother was
10 (scale 0 to 100), whereas that of the older brother 85. CONCLUSIONS:
Hereditary bilateral genu recurvatum with end-stage osteoarthritis can be
successfully treated with computer-navigated TKAs; however, impingement of the
patella on the proximal tibia and the position of the tibial keel are of concern.
PMID- 27876419
TI - Assessing the potential of inorganic anions (Cl-, NO3-, SO42- and PO43-) to
increase the bioaccessibility of emitted palladium in the environment:
Experimental studies with soils and a Pd model substance.
AB - Palladium (Pd) emitted from vehicles equipped with exhaust catalytic converters
has been accumulating at a greater rate relative to other platinum group elements
(PGE) in the last 10-20 years. Little is known, however, regarding the various
environmental factors and conditions which are likely to modulate the chemical
behavior and bioaccessibility of this element post-emission. To meet data needs,
soils and a Pd model substance were treated with solutions containing common
anions (Cl-, NO3-, SO42- und PO43-) to shed light on the geochemical behavior of
emitted Pd under ambient conditions. As part of this, the particle surface
chemistry of treated residues (insoluble phase) and solutions (soluble phase) was
examined using XPS to assess the chemical transformation of Pd in the presence of
inorganic anions. The results show that Pd is the most soluble in the presence of
anionic species, followed by rhodium (Rh) and platinum (Pt). Pd in field
collected samples was found to be considerably more soluble than the metallic Pd
in the model substance, Pd black, when treated with anionic species. The results
also demonstrate that the solubility of Pd black is strongly dependent on
solution pH, concentration and the duration of reaction. The outer 3-4 atomic
layers of metallic Pd was determined via XPS to be partially oxidized when
treated with anion solutions, with the degree being dependent on anion type. The
concentration of dissolved O2 in solution was found to have little impact on the
transformation of metallic Pd. Given the ubiquitous nature of the anions
examined, we can expect that Pd will become more bioaccessible post-emission.
PMID- 27876421
TI - Prognostic factors for patients undergoing vitrified-warmed human embryo transfer
cycles: a retrospective cohort study.
AB - We examined the prognostic factors for pregnancy in 210 vitrified-warmed embryo
transfer (ET) cycles in 121 patients. The univariate analysis showed that age,
gravida, the number of cycles associated with infertility caused by
endometriosis, the number of previous assisted reproductive technology (ART)
treatment cycles, and the number of ICSI procedures were significantly lower in
pregnant cycles compared with non-pregnant cycles. The percentages of ET using at
least one intact embryo and of ET using at least one embryo that had developed
further after warming were significantly higher in pregnant cycles compared with
non-pregnant cycles. Multivariate logistic regression analysis showed that
previous ART treatment cycles, ET with at least one intact embryo, and ET using
at least one embryo that had developed further were independent prognostic
factors for pregnancy in vitrified-warmed ET cycles. We conclude that fewer
previous ART treatment cycles, ET using at least one intact embryo, and ET with
embryos that have developed further after warming might be favourable prognostic
factors for pregnancy in vitrified-warmed ET cycles.
PMID- 27876422
TI - Validation of the Internet Gaming Disorder Scale - Short-Form (IGDS9-SF) in an
Italian-speaking sample.
AB - Background and aims The inclusion of Internet Gaming Disorder (IGD) in Section
III of the fifth edition of the Diagnostic and Statistical Manual of Mental
Disorders has increased the interest of researchers in the development of new
standardized psychometric tools for the assessment of such a disorder. To date,
the nine-item Internet Gaming Disorder Scale - Short-Form (IGDS9-SF) has only
been validated in English, Portuguese, and Slovenian languages. Therefore, the
aim of this investigation was to examine the psychometric properties of the IGDS9
SF in an Italian-speaking sample. Methods A total of 757 participants were
recruited to the present study. Confirmatory factor analysis and multi-group
analyses were applied to assess the construct validity. Reliability analyses
comprised the average variance extracted, the standard error of measurement, and
the factor determinacy coefficient. Convergent and criterion validities were
established through the associations with other related constructs. The receiver
operating characteristic curve analysis was used to determine an empirical cut
off point. Results Findings confirmed the single-factor structure of the
instrument, its measurement invariance at the configural level, and the
convergent and criterion validities. Satisfactory levels of reliability and a cut
off point of 21 were obtained. Discussion and conclusions The present study
provides validity evidence for the use of the Italian version of the IGDS9-SF and
may foster research into gaming addiction in the Italian context.
PMID- 27876423
TI - Environmental indicators for human norovirus outbreaks.
AB - Norovirus is the most common cause of outbreaks of non-bacterial gastroenteritis
in human. While the winter seasonality of norovirus outbreaks has been widely
reported, the association between norovirus outbreak epidemics and environmental
factors remains not fully understood. This literature review is intended to
improve understanding of environmental factors governing norovirus outbreaks and
how the factors affect norovirus transmission. To that end, a large number of
studies (67) from countries around the world were critically reviewed and
discussed. Results of the literature review show that temperature, humidity, and
rainfall are the most important environmental variables governing the norovirus
epidemic cycle. It was found that low temperature between -6.6 and 20 degrees C,
relative humidity between 10 and 66 %, and rainfall from 1 day to 3 months before
an outbreak are effective ranges of the environmental factors, which favor the
prevalence of norovirus. Some other environmental factors might have an
association with the cycle of norovirus epidemics. However, further
investigations are needed to understand effects of the other factors on norovirus
incidence. The findings of this literature review improve our understanding of
the relationship between norovirus outbreaks and environmental factors and
provide the direction for future research on norovirus outbreaks.
PMID- 27876424
TI - Surface and volume non-invasive methods for the structural monitoring of the bass
relief 'Madonna con Bambino' (Gorizia, Northern Italy).
AB - Structural analysis of marble statues, carried out by non-invasive and in situ
methods, is crucial to define the state of conservation of the artworks and to
identify the deterioration phenomena that can affect them. In this work, we
combined in situ non-destructive techniques, ultrasonic tomography (US),
ultraviolet-induced visible fluorescence (UV-IF) and X-ray fluorescence (XRF) to
study the bass-relief 'Madonna con Bambino' (Gorizia, Italy). The US revealed the
presence of some metallic pivots, associated with areas of high sound velocity;
moreover, a more degraded area has been identified in the lower part of the bass
relief. The acquired UV-IF image confirmed the presence of surface degradation,
allowing a preliminary evaluation of the extension of a fracture, from surface to
bulk. In addition, the different materials (both original and/or integrations)
that compose the studied surface have been identified. The XRF has contributed to
define the nature of the inorganic materials applied during undocumented previous
restoration works on the surface as filler for lacunae.
PMID- 27876425
TI - How can lipid nanocarriers improve transdermal delivery of olanzapine?
AB - The development of a transdermal nanocarrier drug delivery system with potential
for the treatment of psychiatric disorders, such as schizophrenia and bipolar
disorder, is described. Lipid nanocarriers (LN), encompassing various
solid:liquid lipid compositions were formulated and assessed as potential
nanosystems for transdermal delivery of olanzapine. A previously optimized method
of hot high pressure homogenization (HPH) was adopted for the production of the
LN, which comprised solid lipid nanoparticles (SLN), nanostructured lipid
carriers (NLC) and nanoemulsions (NE). Precirol (r) was selected as the solid
lipid for progression of studies. SLN exhibited the best performance for
transdermal delivery of olanzapine, based on in vitro release and permeation
studies, coupled with results from physicochemical characterization of several
solid:liquid lipid formulations. Stability tests, performed to give an indication
of long-term storage behavior of the formulations, were in good agreement with
previous studies for the best choice of solid:liquid lipid ratio. Overall, these
findings highlight the SLN-based formulation as promising for the further
inclusion in and production of transdermal patches, representing an innovative
therapeutic approach.
PMID- 27876426
TI - Pin1 and secondary hyperparathyroidism of chronic kidney disease: gene
polymorphisms and protein levels.
AB - BACKGROUND: Peptidyl-prolyl cis/trans isomerase NIMA-interacting 1 (Pin1) is a
key regulator of PTH mRNA stability. Secondary hyperparathyroidism (SHPT), which
is characterized by elevated serum PTH levels, is a common complication of CKD.
We investigated the possible associations between CKD with SHPT (CKD SHPT) and
single-nucleotide polymorphisms of the Pin1 gene and compared the levels of the
Pin1 protein in the CKD SHPT patients with those of the controls. METHODS: The
study group included 251 CKD SHPT patients and 61 controls. One putative
functional SNP (single nucleotide polymorphism) in the Pin1 promoter (rs2233679C
> T: c.-667C > T) is the main object. Genotyping was performed on purified DNA
using polymerase chain reaction-restriction (PCR) and restriction fragment length
polymorphisms (RFLP). The levels of Pin1 were measured in serum using an enzyme
linked immunosorbent assay. RESULTS: Genotyping showed that CT + TT in the Pin1
promoter was significantly more common in the CKD SHPT group than in the control
group (p<.05). The correlation analysis demonstrated that a significant
difference in the C to T transition in the Pin1 promoter contributed to CKD SHPT
(chi2=12.47, p<.05; Odds ratios (OR) = 1.26, 95% confidence (CI) intervals =1.06
1.49). The multivariate logistic regression analysis reported that the OR and
95%CI were 12.693 and 2.029-75.819 (p<.05), respectively, in the Pin1 gene
promoter -667T variant genotypes (CT + TT) after adjusting for other factors, and
those values in Pin1 were 0.310 and 0.122-0.792 (p<.05). CONCLUSION: The -667T
genetic variants in the Pin1 promoter contribute to an increased risk of CKD SHPT
and may be biomarkers of susceptibility to CKD SHPT.
PMID- 27876427
TI - FMR1 premutation: not only important in premature ovarian failure but also in
diminished ovarian reserve.
AB - It is recognized that FMR1 premutation expansions are associated with premature
ovarian failure (POF), but their role in diminished ovarian reserve (DOR) is not
clearly established. Moreover, the impact of smaller repeats at the boundary of
premutation and normal is less clear. Therefore, we have compared the frequency
of these intermediate (45-54 repeats) and premutation (>55) sized FMR1 CGG
repeats among a patients group including 188 DOR and 173 POF women and 200
controls. FSH and LH concentrations were also compared between intermediate and
premutation ranges in patients. The 5' UTR of FMR1 gene was amplified using PCR.
The numbers of trinucleotide repeats were confirmed by the Sanger sequencing
method. The frequency of premutation was higher in POF and DOR patients in
comparison with controls, but the difference in the incidence of intermediate
alleles was not statistically significant among these groups. The mean level of
serum FSH was higher in patients with premutation than patients with intermediate
alleles. Based on the current evidence, we concluded that intermediate-sized FMR1
CGG repeat alleles should not be considered as a high-risk factor for POF and
DOR.
PMID- 27876429
TI - Can polyacrylic acid treat sexual dysfunction in women with breast cancer
receiving tamoxifen?
AB - OBJECTIVE: There is a lack of safety data supporting the use of hormone therapy
in women who have had breast cancer and who have complained of genitourinary
syndrome of menopause (GSM). The objective was to test the efficacy of two non
hormonal therapies for vaginal dryness. METHODS: This was a randomized trial with
52 women with breast cancer who were being treated with tamoxifen and who
complained of vaginal dryness. The volunteers answered two questionnaires to
evaluate sexual function (Female Sexual Function Index, FSFI) and a customized
GSM questionnaire. The women were randomized into two groups: 25 (48.1%) in the
polyacrylic acid group and 27 (51.9%) in the lubricant group, using either one of
the treatments for 30 days, and after they were invited to answer the
questionnaires again. RESULTS: There was improvement in the FSFI after both
treatments. The polyacrylic acid group showed a decrease in sexual dysfunction
from 96% to 24% (p < 0.0001) and the lubricant group showed a decrease from 88.9%
to 55.6% (p = 0.0027). CONCLUSIONS: The results of this study showed that both
treatments improved sexual function; however, polyacrylic acid was superior to
the lubricant in treating sexual dysfunction.
PMID- 27876430
TI - The social image of drinking - mass media campaigns may inadvertently increase
binge drinking.
AB - Mass media campaigns that promote responsible drinking are rarely tested for
their usefulness in reducing heavy alcohol consumption. Existing campaigns that
appeal to responsible drinking while simultaneously displaying young people in
social drinking situations may even have paradoxical effects. To examine such
possible effects, we drew on a real-world media campaign, which we systematically
modified on the basis of recent prototype research. We pilot tested
questionnaires (using n = 41 participants), developed two different sets of
posters in the style of an existing campaign (n = 39) and investigated their
effectiveness (n = 102). In the main study, young men were randomly assigned to
one of three conditions: sociable or unsociable binge drinker prototype condition
or a control group. Outcome variables were intention, behavioural willingness,
attitude, subjective norm, self-efficacy, prototype evaluation and prototype
similarity with respect to binge drinking. Binge drinking as a habit was included
to control for the fact that habitual drinking in social situations is hard to
overcome and poses a particular challenge to interventions. The manipulation
check showed that the experimental variation (sociable vs. unsociable drinker
prototype condition) was successful. Results of the main study showed that the
sociable drinker prototype condition resulted in a higher willingness and - for
those with less of a habit - a higher intention to binge drink the next weekend.
The unsociable drinker prototype condition had no effects. The results imply that
the social components of mass media campaigns might inadvertently exacerbate
binge drinking in young men. We therefore advocate against campaigns including
aspects of alcohol consumption that might be positively associated with drinker
prototype perception. Finally, we provide suggestions for future research.
PMID- 27876428
TI - DMP-1 attenuates oxidative stress and inhibits TGF-beta activation in rats with
diabetic kidney disease.
AB - INTRODUCTION: DMP-1 supplement has a satisfactory effect on diabetic kidney
disease in patients with whether T1DM or T2DM. Oxidative stress and TGF-beta
signal pathway activation are essential in the pathogenesis of DKD. We aim to
investigate the effect of DMP-1 on oxidative stress and TGF-beta activation in
rats with DKD. MATERIALS AND METHODS: Male Wistar rats were enrolled and randomly
allocated into five groups: Control group, STZ group (60 mg/kg, ip), DMP-1 low
dose group (0.5 g/kg/day, ig), DMP-1 medium dose group (1.0 g/kg/day, ig) and DMP
1 high dose group (2.0 g/kg/day, ig). The levels of UREA, BUN, UCr, beta2-MG,
mALB, NOS, CAT, MDA and T-AOC were measured after 8 weeks treatment. And rats'
left kidneys were harvested to detect the expression of TGF-beta, Smad2/3 and
Smad7 by immunohistochemical analysis. RESULTS: DMP-1 treatment has protective
effects on kidney injury induced by STZ, which is demonstrated as following
criteria: (1) a significant reduction in levels of UREA (p < 0.05), BUN (p <
0.05), UCr (p < 0.05), beta2-MG (p < 0.05) and mALB (p < 0.05) in rats treated by
DMP-1 compared with the ones injected with STZ only; (2) an apparent increment
levels of NOS (p < 0.05), CAT (p < 0.05) and T-AOC (p < 0.05), while reduction in
level of MDA (p < 0.05) in DMP-1 groups compared with STZ group; (3) a
significant inhibition of TGF-beta and Smad2/3 overexpression induced by STZ in
kidney tissue. What's more, DMP-1 can increase Smad7 expression. CONCLUSION: DMP
1 could slow pathological process and protect kidney from DKD injury by
decreasing oxidative stress and inhibiting TGF-beta signal pathway activation in
rats.
PMID- 27876431
TI - Cystatin C, vascular biomarkers and measured glomerular filtration rate in
patients with unresponsive hypertensive phenotype: a pilot study.
AB - BACKGROUND: Biomarkers are commonly used to estimate the presence of subclinical
cardiovascular disease (CVD) in patients with essential arterial hypertension
(HT). In addition to known association between cystatin C and glomerular
filtration rate (GFR), elucidating the association between cystatin C and
vascular biomarkers (intima-media thickness of common carotid arteries (CCIMT),
carotid plaque and renal artery resistance index (RRI)) in patients with
unresponsive hypertensive phenotype could be of significant clinical interest.
METHODS: Participants (n = 200, median age 58 (52-64) years, 49% female) under
treatment with antihypertensive drugs were stratified into two subgroups based on
their blood pressure level as having responsive hypertension (RHT - compliant and
responsive to treatment, n = 100), or nonresponsive (URHT - compliant but
nonresponsive to treatment, n = 100). GFR was measured by isotopic (slope
intercept) method (99m Tc diethylene triamine penta-acetic acid - mGFR). RESULTS:
The URHT group had significantly higher median cystatin C serum concentration (p
= 0.02) and CCIMT (p = 0.00) compared to the RHT group, with no significant
difference in RRI (p = 0.51) and mGFR among subgroups [69.9 +/- 28.2 vs 76.74 +/-
23.61 ml/min/1.73m2, p = 0.27]. In the URHT group, cystatin C was found to be
associated with CCIMT (p = 0.02), hsCRP (p = 0.01) and duration of HT (p = 0.02),
independently of mGFR and age. Independent predictors of URHT phenotype were
CCIMT (p= 0.02) and hsCRP (p= 0.04). CONCLUSION: In addition to GFR, cystatin C
serum concentration is positively and independently associated with CCIMT in
patient with URHT phenotype and subclinical CVD. Prospective larger studies
should further investigate the clinical importance of this relationship.
PMID- 27876433
TI - Corrigendum.
PMID- 27876432
TI - Routine deferred computed tomography for patients with suspected urolithiasis is
low-value healthcare.
AB - OBJECTIVE: The aim of this study was to investigate the benefits of deferred
routine computed tomography of the kidneys, ureters and bladder (CT KUB) for
patients with a self-limiting episode of suspected urolithiasis. MATERIALS AND
METHODS: The study comprised a case series of consecutive patients examined with
deferred routine CT KUB for control of suspected urolithiasis. Patients examined
with CT KUB at the University Hospital of North Norway, between 1 January 2010
and 31 December 2013, were included. The final analysis included 189 CT KUBs
(response rate 48%). All data were extracted from the patient case files. The
primary endpoint was the proportion of asymptomatic patients with a confirmed
diagnosis of urolithiasis on CT KUB that led to surgical intervention within 1
year from the initial CT scan. RESULTS: At the time of CT KUB, 171 patients (90%)
were asymptomatic, of whom three (1.8%) were treated. Urolithiasis was confirmed
on CT KUB in 23% of asymptomatic patients. CONCLUSION: Deferred CT KUB did not
alter the clinical outcome for the great majority of asymptomatic patients. The
majority of patients who received adequate pain relief in primary care remained
asymptomatic, and did not need specialized healthcare. Refraining from CT KUB
involves little risk. Deferred CT KUB for patients with suspected urolithiasis is
a low-value healthcare service.
PMID- 27876434
TI - Testosterone/estradiol ratio, is it useful in the diagnosis of erectile
dysfunction and low sexual desire?
AB - Erectile dysfunction and low sexual desire are multifactorial diseases. The
decrease in testosterone levels is one of the causes, but the effect of estradiol
is not well known. Moreover, study has shown that the testosterone/estradiol
ratio has more influence over sexuality than does estradiol alone. The aim of the
study was to determine whether the balance between testosterone and estradiol has
any relation to some aspects of sexual function. It was an ambispective study of
230 patients with urological problems unrelated to sexuality. They underwent a
detailed history and hormone study including total, free, bioavailable
testosterone and estradiol. They completed the Sexual Health Inventory for Men
and questions 11 and 12 of the IIEF15 were used to assess impairment in sexual
desire. The T/E ratio was calculated, and the relationship between the different
parameters and erectile function and sexual desire were studied by univariate and
multivariate analysis. The mean age was 66.32 +/- 8.17 years. The percentage of
patients with erectile dysfunction was 60.9% (7% severe, 14.3% moderate, 12.6%
mild to moderate and 27% mild) and decreased sexual desire was 46.5%. Age, free
and biodisponible testosteron were the only variables with a positive linear
association with erectile dysfunction and decreased sexual desire. Age was the
only independent variable for both, erectile dysfunction and sexual desire, in
the multiple linear regression. There was no association between a
testosterone/estradiol imbalance and an alteration in erectile function and
sexual desire. Consequently, in the clinical study of these patients, it is not
necessary to request estradiol in the laboratory analyses.
PMID- 27876435
TI - Bioinspired approaches for cancer nanotheranostics.
PMID- 27876436
TI - Targeted brain delivery nanoparticles for malignant gliomas.
AB - Brain tumors display the highest mortality rates of all childhood cancers, and
over the last decade its prevalence has steadily increased in elderly. To date,
effective treatments for brain tumors and particularly for malignant gliomas
remain a challenge mainly due to the low permeability and high selectivity of the
blood-brain barrier (BBB) to conventional anticancer drugs. In recent years, the
elucidation of the cellular mechanisms involved in the transport of substances
into the brain has boosted the development of therapeutic-targeted nanoparticles
(NPs) with the ability to cross the BBB. Here, we present a comprehensive
overview of the available therapeutic strategies developed against malignant
gliomas based on 'actively targeted' NPs, the challenges of crossing the BBB and
blood-brain tumor barrier as well as its mechanisms and a critical assessment of
clinical studies that have used targeted NPs for the treatment of malignant
gliomas. Finally, we discuss the potential of actively targeted NP-based
strategies in clinical settings, its possible side effects and future directions
for therapeutic applications. First draft submitted: 4 October 2016; Accepted for
publication: 14 October 2016; Published online: 23 November 2016.
PMID- 27876437
TI - The content and distribution of steryl ferulates in wheat produced in Japan.
AB - Oryzanol contained in rice bran is a complex mixture of steryl ferulates (SFs)
with many identified health benefits. Recently, SF has been shown to exist in
other cereals such as wheat, rye, and corn. In this study, SFs in several wheats
produced in Japan were analyzed. For instance, SF content of whole wheat grain,
Yumekaori (Japan) was 15.2 +/- 1.4 mg-oryzanol-equivalent/100 g grain, while that
of the imported one, 1CW (Canada) was 11.4 +/- 1.3 mg-oryzanol-equivalent/100 g
grain. The main SF components in the examined wheats were campesteryl ferulate,
campestanyl ferulate, and sitostanyl ferulate. SF distribution in whole wheat
grain was investigated using 14 fractions produced by a conventional test milling
machine. SF was intensively accumulated in the four bran fractions (24 - 95 mg
oryzanol-equivalent/100 g bran fraction). These results suggest that the wheat
bran would be an important source of SF.
PMID- 27876438
TI - Physicochemical characteristics of liposomes are decisive for their
antirestenosis efficacy following local delivery.
AB - AIM: To develop an ameliorated sirolimus (SIR) liposome for intramural delivery,
the effects of various carrier physicochemical parameters on the antirestenosis
efficacy were evaluated. MATERIALS & METHODS: Different liposomes were prepared,
characterized and administered to balloon injured rats (12 animal groups). Their
efficacies were investigated using morphometric, immunohistochemical and in vivo
computed tomography imaging analyses. RESULTS: The antirestenosis efficacy of SIR
liposomes decreased in the following order: cationic 100 nm vesicles >= cationic
60 nm vesicles > neutral 100 nm vesicles >= stealth 100 nm vesicles > anionic 100
nm vesicles. The 100 ug SIR loaded in cationic liposomes showed almost no artery
stenosis. CONCLUSION: Appropriate modulation of physicochemical characteristics
makes it possible to optimize the liposomes for local delivery.
PMID- 27876440
TI - Cannabis, alcohol use, psychological distress, and decision-making style.
AB - INTRODUCTION: There have been suggestions of hypofrontality in cannabis users. To
understand cannabis-related differences in decisional processes, Janis and Mann's
conflict model of decision making was applied to recreational cannabis smokers
who varied in their alcohol use and level of psychological distress. METHOD: An
online sample of recreational substance users (114 male, 119 female) completed
the Melbourne Decision Making Questionnaire, the Alcohol Use Disorders
Identification Test (AUDIT), Kessler's Psychological Distress Scale (K10), and
the Severity of Dependence Scale (SDS) for cannabis. RESULTS: Multivariate
analysis of variance examined self-reported decision-making styles as a function
of gender, recent cannabis use, risky alcohol consumption, and levels of
psychological distress. Psychological distress was associated with lower
decisional self-esteem and higher levels of procrastination and buck-passing.
There were gender differences associated with cannabis use. Female cannabis users
reported higher levels of hypervigilance, while male cannabis users reported
lower levels of buck-passing. CONCLUSIONS: Although there was little indication
of an avoidant decisional style in cannabis users, the results suggest that
cannabis affects decisional processes, contributing to panic in females and
impulsivity in males.
PMID- 27876441
TI - Imaging-guided revival of nanomedicine?
PMID- 27876442
TI - Using magnetoferritin nanoprobes for both nuclear and magnetic-resonance imaging.
PMID- 27876443
TI - Are we certain about which measure of intolerance of uncertainty to use yet?
AB - Intolerance of Uncertainty (IU) has been understood as a dispositional tendency
to view the presence of negative events as unacceptable and threatening,
regardless of the likelihood of those events occurring. The preference over the
12-item vs. 27-item of the IUS has been central to debate. The goals of the
present study were to evaluate two competing models of measuring IU with model
fitting analyses and explore model invariance of gender (e.g. men vs. women). A
sample of 980 individuals completed an online IUS survey. Results indicated that
the two-factor short-form model provided better fit to the data compared to the
full-length two-factor model proposed by. Results also indicated that the short
form IUS is gender invariant, suggesting acceptable use among men and women.
These findings provide further support of a two-factor structure and suggest that
the IUS is appropriate for men and women.
PMID- 27876444
TI - The functional neuroanatomy of verbal memory in Alzheimer's disease: [18F]-Fluoro
2-deoxy-D-glucose positron emission tomography (FDG-PET) correlates of recency
and recognition memory.
AB - INTRODUCTION: The objective of this study was to distinguish the functional
neuroanatomy of verbal learning and recognition in Alzheimer's disease (AD) using
the Consortium to Establish a Registry for Alzheimer's Disease (CERAD) Word
Learning task. METHOD: In 81 Veterans diagnosed with dementia due to AD, we
conducted a cluster-based correlation analysis to assess the relationships
between recency and recognition memory scores from the CERAD Word Learning Task
and cortical metabolic activity measured using [18F]-fluoro-2-deoxy-D-glucose
positron emission tomography (FDG-PET). RESULTS: AD patients (Mini-Mental State
Examination, MMSE mean = 20.2) performed significantly better on the recall of
recency items during learning trials than of primacy and middle items. Recency
memory was associated with cerebral metabolism in the left middle and inferior
temporal gyri and left fusiform gyrus (p < .05 at the corrected cluster level).
In contrast, recognition memory was correlated with metabolic activity in two
clusters: (a) a large cluster that included the left hippocampus, parahippocampal
gyrus, entorhinal cortex, anterior temporal lobe, and inferior and middle
temporal gyri; (b) the bilateral orbitofrontal cortices (OFC). CONCLUSIONS: The
present study further informs our understanding of the disparate functional
neuroanatomy of recency memory and recognition memory in AD. We anticipated that
the recency effect would be relatively preserved and associated with
temporoparietal brain regions implicated in short-term verbal memory, while
recognition memory would be associated with the medial temporal lobe and possibly
the OFC. Consistent with our a priori hypotheses, list learning in our AD sample
was characterized by a reduced primacy effect and a relatively spared recency
effect; however, recency memory was associated with cerebral metabolism in
inferior and lateral temporal regions associated with the semantic memory
network, rather than regions associated with short-term verbal memory. The
correlates of recognition memory included the medial temporal lobe and OFC,
replicating prior studies.
PMID- 27876445
TI - A review of the use of a systematic observation method in coaching research
between 1997 and 2016.
AB - A systematic observation method has been one of the most popularly employed
methods in coaching research. Kahan's review of this method conducted between
1975 and 1997 highlighted the key trends in this research, and offered
methodological guidance for researchers wishing to use this method in their
research. The purpose of this review was to provide an update of the use of a
systematic observation method in coaching research and assess the extent to which
the calls made by Kahan have been addressed. While in some respect this field of
study has progressed (i.e., the introduction of qualitative methods), researchers
adopting this method have failed to attend to many of the issues Kahan raised.
For this method to continue to make a positive contribution towards the coaching
research literature, researchers need to more critically reflect on how and why
they are employing this method. At present, some of the decisions made by
researchers who have conducted work in this area are not justified with a
rationale. It is our intention that this review will serve as guidance for
researchers and practitioners, and editors and reviewers of journals when
attempting to assess the quality of this type of work.
PMID- 27876446
TI - Gastrostomy tube placement is safe in advanced amyotrophic lateral sclerosis.
AB - OBJECTIVES: To evaluate the safety and effect on survival of insertion of a
gastrostomy tube (G-tube) in patients with amyotrophic lateral sclerosis (ALS)
who have upright forced vital capacity (uFVC) <= 50% predicted. Current
guidelines, which are based on higher rates of post-procedure complications in
ALS patients with advanced respiratory dysfunction, have led to a recommendation
to perform G-tube insertion before the FVC drops to <50% predicted, even when the
patient has no significant dysphagia. METHODS: We assessed 41 ALS patients who
received a G-tube, mostly by insertion of a percutaneous endoscopic gastrostomy
(PEG) tube by a dedicated team that included a gastroenterologist and one of two
anesthesiologists using Monitored Anesthesia Care with deep sedation, and 61
patients who did not receive a G-tube. uFVC was <=50% predicted in 12 of 41
patients who received a G-tube and in 18 of 61 who did not. RESULTS: The
procedure was safe regardless of FVC status, with low rates of post-operative
complications in both low and high FVC groups. There was no survival benefit for
patients who received a G-tube when compared with those who did not. DISCUSSION:
PEG insertion is safe in ALS patients with significant respiratory muscle
weakness when performed by a dedicated team, which suggests that the
recommendation for G-tube placement should not be based on the patient's
respiratory status.
PMID- 27876447
TI - Coating microbubbles with nanoparticles for medical imaging and drug delivery.
PMID- 27876449
TI - Reduction in spasticity in stroke patient with paraffin therapy.
AB - PURPOSE: The aim of the study was to confirm whether paraffin therapy offer
clinical value in the treatment of spasticity due to stroke. METHODS: Fifty-two
patients with spasticity in the upper limb were included. The patients were
randomized into the experimental group with paraffin therapy (n = 27) and the
control group with placebo therapy (n = 25). The outcome measures besides
temperature examination were undertaken at time points of 0 (T0), 2 (T1) and 4
weeks (T2) following therapy treatment. The extent of spasticity was measured
using Modified Ashworth Score (MAS) during passive movement at the shoulder,
elbow, wrist and finger joints. Visual analogue scale (VAS) was used to evaluate
the hemiplegic upper limb pain and functional activity of the upper limb motor
function was evaluated by Brunnstrom recovery stage. All adverse events were
recorded. RESULTS: MAS decreased significantly in Exp group compared with Con
group, at the time points of T1 and T2, both before and immediately after
paraffin therapy. Paraffin treatment failed to show remarkable improvement in
pain compared with placebo-treated patient at movement at any time point. But VAS
in Exp exhibited a tendency to decrease over time in shoulder, elbow, wrist and
hand. With regard to the Brunnstrom score, patients in Exp showed significant
improvement at the end of trial compared to the beginning. The values of
temperature showed significant increment immediately after paraffin therapy at
each time point in Exp group. CONCLUSION: Paraffin therapy may be a kind of
noninvasive, promising method to reduce spasticity of stroke patients.
PMID- 27876450
TI - Intraductal papillary neoplasm of the bile duct: a case report.
AB - Intraductal papillary neoplasm of the bile duct (IPNB) is a rare variant of bile
duct tumors, characterized by papillary growth within the bile duct lumen and is
regarded as a biliary counterpart of intraductal papillary mucinous neoplasm
(IPMN) of the pancreas. IPNBs are mainly found in patients from Far Eastern
areas, where hepatolithiasis and clonorchiasis are endemic. The Western
experience, however, remains limited. In this article, we report a 56-year-old
man, referred to our hospital because of deranged liver function tests. Further
imaging modalities showed a cystic lesion of 9 cm diameter, arising from the left
hepatic duct. Inlying was a heterogeneous, lobulated mass. The patient underwent
a left hemihepatectomy and adjuvant chemotherapy. Despite recent advanced
technologies, diagnosis of IPNB is still challenging, especially in western
countries due to its rarity. Early identification and resection of lesions, even
in asymptomatic or minimally symptomatic patients, are however important
prognostic factors.
PMID- 27876451
TI - Leukocytosis and neutrophilia after laparoscopic gastric plication.
AB - BACKGROUND: Laparoscopic gastric plication (LGP) is a relatively novel bariatric
surgery technique. We have encountered a noticeable proportion of our LGP
patients with findings such as leukocytosis and neutrophilia and hypothesized
that they are part of normal body response to the operation. OBJECTIVE: To
evaluate the prevalence and clinical importance of leukocytosis, neutrophilia and
abnormal vital signs in patients undergoing LGP during postoperative period.
METHODS: Forty-four consecutive LGP patients were prospectively followed for 3
months. Records of 44 laparoscopic cholecystectomy patients were also reviewed
for comparison. Preoperative and postoperative laboratory test were performed.
Minor and major complications were recorded during the study period. RESULTS:
Mean body mass index (BMI) and age were 37and 42.5, respectively. Mean hospital
stay was 3.6 days (range: 3-8 days). Leukocytosis and neutrophilia were detected
in 63% and 72% of the LGP patients, respectively, 48 h after the procedure.
Whereas, after cholecystectomy only 38.5% and 18% of patients had leukocytosis
and neutrophilia, respectively. 25% of the patients suffered from at least one
minor complication after LGP. There was no mortality. CONCLUSIONS: Leukocytosis
and neutrophilia are very common after LGP in both the complicated and
uncomplicated cases, and may be a part of normal response to surgery.
PMID- 27876452
TI - Comparison of excursive occlusal force parameters in post-orthodontic and non
orthodontic subjects using T-Scan(r) III.
AB - OBJECTIVE: Published studies indicate that orthodontically treated patients
demonstrate increased posterior occlusal friction contributing to
temporomandibular disorder (TMD) symptoms. This study investigated measured
excursive movement occlusal contact parameters and their association with TMD
symptoms between non- and post-orthodontic subjects. METHODS: Twenty-five post
orthodontic and 25 non-orthodontic subjects underwent T-Scan(r) computerized
occlusal analysis to determine their disclusion time (DT), the excursive
frictional contacts, and occlusal scheme. Each subject answered a TMD
questionnaire to determine the presence or absence of TMD symptoms. Statistical
analysis compared the within group and between group differences (p < 0.05).
RESULTS: Statistically significant differences were observed in the disclusion
time: DT = 2.69 s in the post-orthodontic and 1.36 s in the non-orthodontic
group. In the non-orthodontic group, 72.7% working and 27.3% non-working side
contacts were seen, while in the post-orthodontic group, (near equal) 54.7%
working and 45.3% non-working side contacts were seen. Presence of canine
guidance was seen in 60% of the non-orthodontic group and 24% in the post
orthodontic group. Seventy-two percent of the post orthodontics subjects
presented with one or more TMD symptoms. CONCLUSION: Significantly longer
disclusion time, higher posterior frictional contacts, and more TMD symptoms were
observed in the post-orthodontic group, suggesting that orthodontic treatment
increases posterior tooth friction. Computerized occlusal analysis is an
objective diagnostic tool determining the quality of excursive movements
following orthodontic treatment.
PMID- 27876448
TI - Magnetic nanoparticles for precision oncology: theranostic magnetic iron oxide
nanoparticles for image-guided and targeted cancer therapy.
AB - Recent advances in the development of magnetic nanoparticles (MNPs) have shown
promise in the development of new personalized therapeutic approaches for
clinical management of cancer patients. The unique physicochemical properties of
MNPs endow them with novel multifunctional capabilities for imaging, drug
delivery and therapy, which are referred to as theranostics. To facilitate the
translation of those theranostic MNPs into clinical applications, extensive
efforts have been made on designing and improving biocompatibility, stability,
safety, drug-loading ability, targeted delivery, imaging signal and thermal- or
photodynamic response. In this review, we provide an overview of the
physicochemical properties, toxicity and theranostic applications of MNPs with a
focus on magnetic iron oxide nanoparticles.
PMID- 27876453
TI - "I can't tell whether it's my hand": a pilot study of the neurophenomenology of
body representation during the rubber hand illusion in trauma-related disorders.
AB - BACKGROUND: Early traumatic experiences are thought to be causal factors in the
development of trauma-related dissociative experiences, including
depersonalization and derealization. The rubber hand illusion (RHI), a well-known
paradigm that measures multi-sensorial integration of a rubber hand into one's
own body representation, has been used to investigate alterations in the
experience of body ownership and of body representation. Critically, however, it
has never been studied in individuals with trauma-related disorders. OBJECTIVE:
To investigate body representation distortions occurring in trauma-related
disorders in response to the RHI. METHOD: The RHI was administered to three
individuals with the dissociative subtype of posttraumatic stress disorder
(PTSD), and subjective, behavioral, cardiovascular and skin conductance responses
were recorded. RESULTS: Participants' subjective experiences of the RHI were
differentiated and complex. The illusion was induced following both synchronous
and asynchronous brushing and variably evoked subjective distress,
depersonalization and derealization experiences, tonic immobility, increased
physiological arousal and flashbacks. CONCLUSIONS: The present findings point
towards the RHI as a strong provocation stimulus that elicits individual patterns
of symptom presentation, including experiences of distress and dissociation, in
individuals with trauma-related disorders, including the dissociative subtype of
PTSD. HIGHLIGHTS OF THE ARTICLE: The rubber hand illusion (RHI) elicits distress,
tonic immobility, depersonalization and derealization, and autonomic responses in
individuals with trauma-related disorders, including the dissociative subtype of
posttraumatic stress disorder (PTSD). RHI effects related to body
misrepresentation may trigger altered experiences related to body ownership. The
RHI represents a promising paradigm for studying the neurophenomenology of body
distortion in individuals experiencing trauma-related altered states of
consciousness (TRASC).
PMID- 27876454
TI - Will the global HIV response fail gay and bisexual men and other men who have sex
with men?
AB - INTRODUCTION: Gay and bisexual men and other men who have sex with men are among
the small number of groups for whom HIV remains uncontrolled worldwide. Although
there have been recent and notable decreases in HIV incidence across several
countries, prevalence and incidence is consistently higher or rising among men
who have sex with men when compared with other groups. METHODS: In 2014, MSMGF
(the Global Forum on MSM & HIV) conducted its third biennial Global Men's Health
and Rights Study, an international, multilingual, web-based cross-sectional
survey of men who have sex with men recruited through online convenience
sampling. We tested hypothesized correlates (selected a priori) of successfully
achieving each step along the HIV prevention and treatment continuum by fitting
separate generalized estimating equation models adjusted for clustering by
country in multivariate analyses. All models controlled for ability to meet basic
financial needs, age, healthcare coverage, having a regular provider, region and
country-level income. RESULTS: Higher provider discrimination and sexual stigma
were associated with lower odds of perceived access to services, service
utilization and virologic suppression. Conversely, accessing services from
community-based organizations focused on lesbian, gay, bisexual and transgender
people; greater engagement in gay community; and comfort with healthcare
providers were associated with higher odds of achieving steps along the
prevention and treatment continuum. CONCLUSIONS: To meet accelerated global HIV
targets, global leaders must adopt a differentiated and bolder response, in
keeping with current epidemiologic trends and community-based research. The HIV
related needs of gay and bisexual men and other men who have sex with men must be
addressed openly, quickly and with sufficient resources to support evidence
based, community-led and human rights-affirming interventions at scale.
PMID- 27876455
TI - Multiple morbidities in companion dogs: a novel model for investigating age
related disease.
AB - The proportion of men and women surviving over 65 years has been steadily
increasing over the last century. In their later years, many of these individuals
are afflicted with multiple chronic conditions, placing increasing pressure on
healthcare systems. The accumulation of multiple health problems with advanced
age is well documented, yet the causes are poorly understood. Animal models have
long been employed in attempts to elucidate these complex mechanisms with limited
success. Recently, the domestic dog has been proposed as a promising model of
human aging for several reasons. Mean lifespan shows twofold variation across dog
breeds. In addition, dogs closely share the environments of their owners, and
substantial veterinary resources are dedicated to comprehensive diagnosis of
conditions in dogs. However, while dogs are therefore useful for studying
multimorbidity, little is known about how aging influences the accumulation of
multiple concurrent disease conditions across dog breeds. The current study
examines how age, body weight, and breed contribute to variation in
multimorbidity in over 2,000 companion dogs visiting private veterinary clinics
in England. In common with humans, we find that the number of diagnoses increases
significantly with age in dogs. However, we find no significant weight or breed
effects on morbidity number. This surprising result reveals that while breeds may
vary in their average longevity and causes of death, their age-related
trajectories of morbidities differ little, suggesting that age of onset of
disease may be the source of variation in lifespan across breeds. Future studies
with increased sample sizes and longitudinal monitoring may help us discern more
breed-specific patterns in morbidity. Overall, the large increase in
multimorbidity seen with age in dogs mirrors that seen in humans and lends even
more credence to the value of companion dogs as models for human morbidity and
mortality.
PMID- 27876456
TI - A qualitative study of the current situation of elderly care in Iran: what can we
do for the future?
AB - BACKGROUND: With the successful improvement of global health systems and social
security in societies, the world is now advancing toward aging. All countries
have to face the phenomenon of population aging sooner or later depending on
their degree of development; however, elderly care is predicted to soon become a
major concern for developing countries such as Iran. OBJECTIVES: This study was
conducted to identify the challenges of elderly care in Iran and to help
policymakers develop roadmaps for the future through providing a clearer image of
the current state of affairs in this area of healthcare. DESIGN: This study has
adopted a framework approach to qualitative data analysis. For this purpose, 37
semi-structured interviews were conducted in 2015 with a number of key informants
in elderly care who were familiar with the process at macro-, meso-, and micro
levels. Maximum variation purposive sampling was performed to select the study
samples. A conceptual framework was designed using a review of the literature,
and key issues were then identified for data analysis. RESULTS: The elderly care
process yielded five major challenges, including policymaking, access, technical
infrastructure, integrity and coordination, and health-based care services.
DISCUSSION: According to the stakeholders of elderly care in Iran, the current
care system is not well-suited for meeting the needs of the elderly, as the
elderly tend to receive the services they need sporadically and in a non-coherent
manner. Given the rapid growth of the elderly population in the coming decades,
it is the authorities' job to concentrate on the challenges faced by the health
system and to use foresight methods for the comprehensive and systematical
management of the issue.
PMID- 27876457
TI - Providers' perspectives on inbound medical tourism in Central America and the
Caribbean: factors driving and inhibiting sector development and their health
equity implications.
AB - BACKGROUND: Many governments and health care providers worldwide are enthusiastic
to develop medical tourism as a service export. Despite the popularity of this
policy uptake, there is relatively little known about the specific local factors
prospectively motivating and informing development of this sector. OBJECTIVE: To
identify common social, economic, and health system factors shaping the
development of medical tourism in three Central American and Caribbean countries
and their health equity implications. DESIGN: In-depth, semi-structured
interviews were conducted in Mexico, Guatemala, and Barbados with 150 health
system stakeholders. Participants were recruited from private and public sectors
working in various fields: trade and economic development, health services
delivery, training and administration, and civil society. Transcribed interviews
were coded using qualitative data management software, and thematic analysis was
used to identify cross-cutting issues regarding the drivers and inhibitors of
medical tourism development. RESULTS: Four common drivers of medical tourism
development were identified: 1) unused capacity in existing private hospitals, 2)
international portability of health insurance, vis-a-vis international hospital
accreditation, 3) internationally trained physicians as both marketable assets
and industry entrepreneurs, and 4) promotion of medical tourism by public export
development corporations. Three common inhibitors for the development of the
sector were also identified: 1) the high expense of market entry, 2) poor sector
wide planning, and 3) structural socio-economic issues such as insecurity or
relatively high business costs and financial risks. CONCLUSION: There are shared
factors shaping the development of medical tourism in Central America and the
Caribbean that help explain why it is being pursued by many hospitals and
governments in the region. Development of the sector is primarily being driven by
public investment promotion agencies and the private health sector seeking
economic benefits with limited consideration and planning for the health equity
concerns medical tourism raises.
PMID- 27876458
TI - Relative abundance of G protein-coupled receptor 30 and localization in testis
and epididymis of sheep at different developmental stages.
AB - The G protein-coupled receptor 30 (GPR30) is a transmembrane estrogen receptor
that binds to estrogen, and has been confirmed to have an important role in
testicular cell proliferation and development. The main objective of this study
was to examine GPR30 gene expression and localization in the testis and
epididymis of sheep at different developmental stages. Testes, including the
epididymis, were collected from Polled Dorset x Mongolian cross rams at one (n=4;
wt), three (n=4; wt), six (n=4; wt), nine (n=4; wt) and 12 (n=4; wt) months of
age. The 12-month-old hybrid crossbred sheep were exsanguinated via puncture of
the jugular vein. Relative abundance of GPR30 mRNA was detected by quantitative
PCR, and localization of the protein was examined by immunohistochemistry. Semi
quantitative analysis of GPR30 protein was performed by western blotting. The
relative abundance of GPR30 mRNA was similar in the epididymis tail for rams at
6, 9, and 12mo of age. Further, relative abundance of GPR30 mRNA in the testes
and caput epididymis of 1-, 3-, 6-, 9-, and 12-month-old crossbred rams did not
increase with age. The GPR30 mRNA was detected in epididymal interstitial and
principal cells, and in the epididymal cavity, spermatocytes, spermatogonial stem
cells, Sertoli and Leydig cells, and spermatozoon of ram testes. Western blotting
indicated the GPR30 protein was present in 9- and 12-month-old crossbred sheep
corpus, cauda epididymis (P<0.05). The results suggest that relative abundance of
GPR30 mRNA is time-dependent and localization-specific.
PMID- 27876459
TI - Local and widespread hyperalgesia in female runners with patellofemoral pain are
influenced by running volume.
AB - OBJECTIVES: To compare pressure pain threshold (PPT) around the knee (local
hyperalgesia) and at a site remote to the knee (widespread hyperalgesia) between
female runners with and without patellofemoral pain (PFP); and to evaluate the
relationship between running volume, self-reported knee function and PPT
measures. DESIGN: Cross-sectional study. METHODS: Twenty female runners with PFP
and twenty pain-free female runners participated in the study. PPTs were measured
using a handheld pressure algometer at four sites in the patellar region:
quadriceps tendon, medial patella, lateral patella and patellar tendon; and on
the contralateral upper limb. Additionally, all participants were asked to report
their average weekly running volume in a typical month and answer the anterior
knee pain scale (AKPS) questionnaire. RESULTS: For all sites, female runners with
PFP presented lower PPT measures in comparison with control group (P<0.017).
There were negative correlations between AKPS and running volume (rho=-0.88;
P<0.001) and between all PPTs and the running volume in the PFP group with
correlation (rho) values ranging between -0.46 and -0.70 (P<0.022). There were
positive correlations between all PPTs and AKPS with correlation (rho) value from
0.50 to 0.69 (P<0.030). CONCLUSION: Lower PPTs locally and remote to the knee in
female runners with PFP indicate the presence of local and widespread
hyperalgesia. Additionally, this hyperalgesia, which is related to self-reported
knee function, appears to be increased by greater running volumes. Development
and evaluation of non-mechanical interventions for the management of running
related PFP in females may be needed to address this apparent hyperalgesia.
PMID- 27876460
TI - IMO-8400, a toll-like receptor 7, 8, and 9 antagonist, demonstrates clinical
activity in a phase 2a, randomized, placebo-controlled trial in patients with
moderate-to-severe plaque psoriasis.
AB - BACKGROUND: Aberrant toll-like receptors (TLRs) 7, 8, and 9 activation by self
nucleic acids is implicated in immune-mediated inflammatory diseases (IMIDs) such
as psoriasis. In preclinical IMID models, blocking TLR-activation reduced disease
severity. IMO-8400 is a first-in-class, oligonucleotide-based antagonist of TLRs
7, 8, and 9. We evaluated the short-term safety and proof-of-concept for efficacy
of IMO-8400 in a first-in-patient phase 2 trial. METHODS: Forty-six psoriasis
patients were randomly assigned to IMO-8400 in four dose levels or placebo for
12weeks. Post-treatment follow-up was seven weeks. Primary outcome was incidence
of adverse events. Secondary, exploratory outcomes included changes in psoriasis
area and severity index (PASI). RESULTS: IMO-8400 across all dose levels did not
cause any serious or severe adverse events. The most common treatment-related
adverse events were dose-dependent injection-site reactions. All IMO-8400 groups
showed clinical improvement, but a clear dose-response relationship and
statistically significant differences with placebo were not observed (P=0.26).
Eleven (38%) of 29 subjects on IMO-8400 achieved >=50% PASI-reduction, compared
to 1 (11%) of 9 subjects on placebo. Five (17%) and 2 (7%) IMO-8400-treated
subjects achieved PASI-75 and PASI-90, respectively, compared to none on placebo.
CONCLUSIONS: Short-term IMO-8400-treatment was well tolerated and reduced
psoriasis severity. These findings warrant further investigation of endosomal TLR
antagonism as a therapeutic approach in psoriasis and other TLR-mediated IMIDs.
TRIAL REGISTRATION: EudraCT 2013-000164-28 and Clinicaltrials.govNCT01899729.
PMID- 27876461
TI - Neutrophil infiltration mediated by CXCL5 accumulation in the laryngeal squamous
cell carcinoma microenvironment: A mechanism by which tumour cells escape immune
surveillance.
AB - The CXCL5 chemokine is important for neutrophil accumulation in tumour tissues.
In this report, we attempted to clarify whether and how infiltrating tumour
associated neutrophils (TANs) in laryngeal squamous cell carcinoma (LSCC) affect
the proliferation and activation of T cells. We examined chemokine expression by
real-time PCR (RT-PCR) and enzyme-linked immunosorbent assay (ELISA) and
performed an immunohistochemical analysis of LSCC microarrays. The relationship
between CXCL5 and CD66b (a neutrophil marker) was investigated by
immunofluorescence staining. We found that CXCL5 was upregulated in LSCC tissues,
whereas CXCL5 levels were decreased in LSCC patient serum. Furthermore, high
levels of CXCL5 were significantly correlated with intratumoural neutrophil
infiltration. Compared with peripheral blood neutrophils (PBNs), TANs
significantly inhibited T cell proliferation and decreased IFN-gamma and TNF
alpha secretion. These data suggest that excessive neutrophil infiltration is
associated with advanced clinical stages of LSCC (T3 or T4, III or IV, and N1 or
N2).
PMID- 27876462
TI - Expression and clinicopathological significance of S100 calcium binding protein
A2 in lung cancer patients of Chinese Han ethnicity.
AB - BACKGROUND: S100 family of calcium-binding proteins plays a significant role in
the process of many kinds of tumors, including lung cancer. As an important
member of this family, S100 calcium binding protein A2 (S100A2) has been
confirmed to be associated with many biological processes, and has an abnormal
expression in non-small cell lung cancer (NSCLC). However, the S100A2 status in
lung cancer is still controversial and undefined. METHODS: We evaluated the
pattern and distribution of S100A2 in 109 cases of lung cancer, including five
histological types (47 adenocarcinoma, 46 squamous cell carcinoma, 7 small cell
carcinoma, 3 large cell carcinoma, and 6 atypical carcinoid), and 30 cases of
paired adjacent normal lung tissues by means of immunohistochemistry. RESULTS:
Compared with the normal tissues (0/30), S100A2 experienced a dramatically upward
trend of positive expression in lung cancer, with a positive rate of 68/109
(P<0.001). Specifically, squamous cell carcinoma, with 34/12, had the highest
expression ratio, followed by large cell carcinoma (2/1), adenocarcinoma (31/16),
and atypical carcinoid (1/5) respectively, while no S100A2 protein was detected
in small cell carcinoma. Meanwhile, we firstly demonstrated that the high
expression of S100A2 was significantly associated with the incidence of lymph
node metastasis in adenocarcinoma (P=0.013). CONCLUSIONS: The association between
high S100A2 expression and NSCLC at the level of tissue, and S100A2 may serve as
an effective biomarker for the diagnosis and prognosis of NSCLC in future.
PMID- 27876464
TI - Muller stem cell dependent retinal regeneration.
AB - Muller Stem cells to treat ocular diseases has triggered enthusiasm across all
medical and scientific communities. Recent development in the field of stem cells
has widened the prospects of applying cell based therapies to regenerate ocular
tissues that have been irreversibly damaged by disease or injury. Ocular tissues
such as the lens and the retina are now known to possess cell having remarkable
regenerative abilities. Recent studies have shown that the Muller glia, a cell
found in all vertebrate retinas, is the primary source of new neurons, and
therefore are considered as the cellular basis for retinal regeneration in
mammalian retinas. Here, we review the current status of retinal regeneration of
the human eye by Muller stem cells. This review elucidates the current status of
retinal regeneration by Muller stem cells, along with major retinal degenerative
diseases where these stem cells play regenerative role in retinal repair and
replacement.
PMID- 27876463
TI - Re-evaluating the sensitivity of the rabbit infectivity test for Treponema
pallidum in modern era.
AB - BACKGROUND: The rabbit infectivity test (RIT) was previously described as a
highly-sensitive method for clinically detecting Treponema pallidum. But our
primary study indicated this result may have changed in current antibiotics era.
METHODS: By inoculating rabbits testis with cerebrospinal fluid (CSF) (n=63) and
exudate from hard chancre lesions (n=13), we re-evaluated the sensitivity of RIT
in modern era. All isolated T. pallidum strains from the RIT were performed for
the strain type based on "CDC subtype/tp0548" method. Chi-square and Fisher's
exact tests were used to determine the statistical significance of differences
across data sets. RESULTS: Result indicated that 2 of 63 CSF (2/63, 3.17%) and 5
of 13 lesion exudate samples (5/13, 38.47%) were positive in the RIT, with a much
longer time to detection for CSF samples. Only 1 of 28 samples from patients who
admitted treatment with antibiotics prior to clinical exam was positive in the
RIT; while 6 of 48 patients, who admitted no recent exposure to antibiotics or
was unclear about the medical history, were positive in RIT. DNA sequence
analysis revealed 6 strains of 14d/f subtype and one strain of 14a/f subtype.
CONCLUSIONS: In conclusions, RIT is no longer a highly sensitive method for
detecting T. pallidum in clinical samples as before, and is not inadequately
considered to be a reference method for measuring the sensitivity of other new
methods, such as the PCR. These data represent the first reexamination of the
sensitivity of RIT in the post-antibiotic era with a large clinical sample.
PMID- 27876465
TI - Is There Still a Role for Irrigation and Debridement With Liner Exchange in Acute
Periprosthetic Total Knee Infection?
AB - BACKGROUND: Periprosthetic joint infection (PJI) is an important cause of failure
in total knee arthroplasty. Irrigation and debridement including liner exchange
(I&D/L) success rates have varied for acute PJI. The purpose of this study is to
present results of a specific protocol for I&D/L with retention of total knee
arthroplasty components. METHODS: Sixty-seven consecutive I&D/L patients were
retrospectively evaluated. Inclusion criteria for I&D/L were as follows: fewer
than 3 weeks of symptoms, no immunologic compromise, intact soft tissue sleeve,
and well-fixed components. I&D/L consisted of extensive synovectomy; irrigation
with 3 L each of betadine, Dakin's, bacitracin, and normal saline solutions; and
exchange of the polyethylene component. Postoperatively, all patients were
treated with intravenous antibiotics. Infection was considered eradicated if the
wound healed without persistent drainage, there was no residual pain or evidence
of infection. RESULTS: Forty-six patients (68.66%) had successful infection
eradication regardless of bacterial strain. Those with methicillin-resistant
Staphylococcus aureus (MRSA) had an 80% failure rate and those with Pseudomonas
aeruginosa had a 66.67% failure rate. The success rate for bacteria other than
MRSA and Pseudomonas was 85.25%. CONCLUSION: Our protocol for I&D/L was
successful in the majority of patients who met strict criteria. We recommend that
PJI patients with MRSA or P aeruginosa not undergo I&D/L and be treated with 2
stage revision. For nearly all other patients, our protocol avoids the cost and
patient morbidity of a 2-stage revision.
PMID- 27876466
TI - Primary Angioplasty in a Single Coronary Artery.
PMID- 27876468
TI - Erratum.
PMID- 27876467
TI - A review on tacrine-based scaffolds as multi-target drugs (MTDLs) for Alzheimer's
disease.
AB - Alzheimer's disease (AD) is a multifactorial neurological disorder among elderly
people and combinatorial factors such as genetic, lifestyle, and environmental
are involved in onset and disease progression. It has been demonstrated that loss
of cholinergic transmission is one of the most significant causes of AD. One
strategy currently being investigated for the development of new therapeutics
relates to the enhancement of cholinergic system through several ways. At this
juncture, anticholinesterase inhibitors have absorbed lots of attention and
different marketed drugs such as donepezil, rivastigmine, tacrine, and
galantamine have been developed. 9-Amino-1,2,3,4-tetrahydroacridine known as
tacrine was introduced in 1945 as an efficient anticholinesterase agent. The
mechanism of action of tacrine was proved to inhibit the metabolism of
acetylcholine and therefore extending its activity and raising levels in the
cerebral cortex. However, extensive use of tacrine was limited since it showed
various side effects and toxicity. Thus, lots of efforts were carried out to
prepare tacrine analogues to overcome the related adverse effects. This review
describes differently synthesized tacrine-based scaffolds as cholinesterase
inhibitors to manage Alzheimer's disease (AD).
PMID- 27876470
TI - Mechanotransduction via the nuclear envelope: a distant reflection of the cell
surface.
AB - As the largest and stiffest organelle in the cell, the nucleus can be subjected
to significant forces generated by the cytoskeleton to adjust its shape and
position, and accommodate the cellular machinery during cell migration,
differentiation or division. As it was anticipated, recent work showed that
mechanosensitive mechanisms exist in the nucleus and regulate its structure and
function in response to mechanical force. While the molecular mechanisms that
mediate this response are only beginning to be elucidated, the nuclear envelope
seems to play a central role in this process. Here, we review these nuclear
mechanosensitive mechanisms and highlight their functional homology with those
located at the cell surface. Additionally, we discuss how these nuclear envelope
mechanisms function during adhesion and migration, and how they participate in
cytoskeletal organization, via direct physical contact or signaling event
regulation.
PMID- 27876469
TI - Sarcopenic Obesity Definitions by Body Composition and Mortality in the
Hemodialysis Patients.
AB - OBJECTIVE: Sarcopenic obesity (SO), a combination of low muscle mass and high fat
mass, is considered as risk factor for mortality in general population. It is
unclear if SO affects mortality in maintenance hemodialysis (MHD) patients. In
this study, we aimed to determine whether body composition as assessed by
currently available SO definitions is related to all-cause mortality in MHD
subjects. We also examined the impact of applying different definitions on the
prevalence of SO in our MHD database. DESIGN: Retrospective analysis. SUBJECTS:
Adult patients on MHD for at least 3 months with no acute illness studied in the
clinical research center between 2003 and 2011. INTERVENTION: Assessment of body
composition was performed using dual energy x-ray absorptiometry. SO
(appendicular skeletal mass: arm lean mass + leg lean mass and fat mass) was
defined according to Baumgartner definition, Janssen criteria 1, and Janssen
criteria 2. MAIN OUTCOME MEASURE: All-cause mortality and prevalence of SO.
Patient deaths were ascertained from medical records and United States social
security death index. RESULTS: Of 122 participants, 62% were male; mean age was
46 years (interquartile range: 40, 54) in men and 50 years (44, 61) in women.
Prevalence of SO ranged from 12% to 62% in men and 2% to 74% in female according
to different definitions. SO prevalence was lowest using the Baumgartner criteria
(all: 8%, men 12%, women: 2%) and highest according to the Janssen criteria 2
(all: 57%, men 46%, women 74%). There were 45 deaths during a median follow-up
period of 44 (20, 76) months. SO by any definition was not statistically
significantly associated with mortality during follow-up. CONCLUSIONS: The
current SO definitions are not applicable to predict increased risk of death in
MHD patients. We found high degree of variation in the rates of SO when using
different definitions. Future studies should focus on establishing MHD population
specific thresholds of muscle mass and adiposity for accurate prognostication.
PMID- 27876471
TI - Cobalamin and folate protect mitochondrial and contractile functions in a murine
model of cardiac pressure overload.
AB - PGC-1alpha, a key regulator of energy metabolism, seems to be a relevant
therapeutic target to rectify the energy deficit observed in heart failure (HF).
Since our previous work has shown positive effects of cobalamin (Cb) on PGC
1alpha cascade, we investigate the protective role of Cb in pressure overload
induced myocardial dysfunction. Mice were fed with normal diet (ND) or with Cb
and folate supplemented diet (SD) 3weeks before and 4weeks after transverse
aortic constriction (TAC). At the end, left ventricle hypertrophy and drop of
ejection fraction were significantly lower in SD mice than in ND mice.
Alterations in mitochondrial oxidative capacity, fatty acid oxidation and
mitochondrial biogenesis transcription cascade were markedly improved by SD. In
SD-TAC mice, lower expression level of the acetyltransferase GCN5 and
upregulation of the methyltransferase PRMT1 were associated with a lower protein
acetylation and a higher protein methylation levels. This was accompanied by a
sustained expression of genes involved in mitochondrial biogenesis transcription
cascade (Tfam, Nrf2, Cox1 and Cox4) after TAC in SD mice, suggesting a preserved
activation of PGC-1alpha; this could be at least partly due to corrected
acetylation/methylation status of this co-activator. The beneficial effect of the
treatment would not be due to an effect of Cb and folate on oxidative stress or
on homocysteinemia, which were unchanged by SD. These results showed that Cb and
folate could protect the failing heart by preserving energy status through
maintenance of mitochondrial biogenesis. It reinforces the concept of a metabolic
therapy of HF.
PMID- 27876472
TI - Evaluation of recombinant antigens in combination and single formula for
diagnosis of feline toxoplasmosis.
AB - Cats are the only definitive hosts of Toxoplasma gondii and constitute an
essential source of infection to all warm blooded animals and humans. Diagnosis
of T. gondii infection in cats is fundamental for proper management and control
of infection in humans and animals. In the current study, we have evaluated the
diagnostic performance of tachyzoite lysate antigen (TLA) and different T. gondii
recombinant antigens including surface antigen 2 (SAG2), dense granule proteins
2, 6, 7, 15 (GRA2, GRA6, GRA7, GRA15) and microneme 10 protein (MIC10) in
immunoglobulin G enzyme linked-immunosorbent assay (IgG ELISA) using cat serum
samples, with reference to latex agglutination test (LAT). Remarkably, TLA showed
better performance than other recombinant antigens in IgG ELISAs as compared to
LAT, with concordance and Kappa values of 94.27% and 0.93, respectively.
Furthermore, to improve the reactivity of the recombinant antigens, we have
developed IgG ELISAs using different combinations with these recombinant
antigens. Strikingly, a combination of SAG2 and GRAs has relatively similar
performance as TLA evidenced by concordance and Kappa values of 94.27% and 0.81,
respectively. The developed ELISA with a combination of recombinant antigens can
be used as a promising diagnostic tool for routine testing of T. gondii infection
and mass screening in cats. The major advantages of this assay are the high
sensitivity and specificity, lower cost, safer production and easiness of
standardization in various laboratories worldwide.
PMID- 27876474
TI - From the maximum tolerable to the minimum effective treatment: The Umberto
Veronesi's life commitment to breast cancer care.
PMID- 27876473
TI - Expression of truncated Babesia microti apical membrane protein 1 and rhoptry
neck protein 2 and evaluation of their protective efficacy.
AB - In this study, we evaluated the protective effect of recombinant Babesia microti
apical membrane protein 1 (rBmAMA1) and rhoptry neck protein 2 (rBmRON2) against
B. microti infection using a hamster model. The genes encoding the predicted
domains I and II of BmAMA1 and the gene encoding the predicted transmembrane
regions 2 and 3 of BmRON2 were expressed as His fusion recombinant proteins in
Escherichia coli. Three groups with 5 hamsters in each group were immunized with
rBmAMA1, rBmRON2 and rBmAMA1+rBmRON2, then challenged with B. microti. The result
showed that only the group immunized with rBmAMA1+rBmRON2 exhibited limited
protection against B. microti challenge infection, characterized by significant
decreased of parasitemia and higher hematocrit values from day 6-10 post
challenge infection. However, there was no significant difference in the groups
immunized with rBmAMA1 or rBmRON2 alone. The absence of a significant difference
in the total amount of antibodies against rBmAMA1 and rBmRON2 between the group
immunized with single and combined proteins. This result suggests that the
protection cannot be solely attributed to the quantity of antibodies produced,
but also to their ability to target important epitopes from both antigens. These
results suggest that combined immunization with rBmAMA1 and rBmRON2 is a
promising strategy against B. microti.
PMID- 27876475
TI - Microwave assisted synthesis of novel tetrazole/sulfonamide derivatives based on
octahydroacridine, xanthene and chromene skeletons as inhibitors of the carbonic
anhydrases isoforms I, II, IV and VII.
AB - The synthesis of novel tetrazole/sulfonamide derivatives based on
octahydroacridine, xanthene and chromene scaffold by using microwave (MW)
assisted techniques is reported in this study. These synthesized hybrid compounds
were assayed for the inhibition of carbonic anhydrase (CA, EC 4.2.1.1). The
inhibitory activities were determined against three cytosolic human isoforms (hCA
I, II and VII) and one membrane-associated (hCA IV) isoform. Some of the newly
synthesized sulfonamides showed micromolar to nanomolar inhibitory activity
against these enzymes.
PMID- 27876476
TI - Studies relating to the synthesis, enzymatic reduction and cytotoxicity of a
series of nitroaromatic prodrugs.
AB - A series of N-nitroarylated-3-chloromethyl-1,2,3,4-tetrahydroisoquinoline
derivatives, several of which also possessed a trifluoromethyl substituent, were
prepared and assessed as potential nitroaromatic prodrugs. The enzymatic
reduction of these compounds and their cytotoxicities were studied. The compounds
were cytotoxic, but this is probably not related to their enzymatic reduction.
PMID- 27876477
TI - Current Prescribing Practices for Skin and Soft Tissue Infections in Nursing
Homes.
AB - OBJECTIVES: Antibiotic stewardship has been called for across all sites of health
care, including nursing homes (NHs). Skin and soft tissue infections (SSTIs) are
the third most common indication for antibiotics in the NH, and so should be a
focus of stewardship. This study audited medical records to identify signs and
symptoms of SSTIs treated with antibiotics in relation to the McGeer criteria for
surveillance, the Loeb minimum criteria for antibiotic initiation, and
prescribing recommendations of the Infectious Disease Society of America. DESIGN:
Cross-sectional study. SETTING: Thirty-one NHs in Southeastern United States.
MEASUREMENTS: Chart data from a random sample of 161 antibiotic prescriptions for
SSTIs were abstracted. To meet the McGeer criteria, pus was present at a
suspected SSTI site, or at least four of the following findings were documented
as present at the site: new or worsening warmth, redness, swelling, tenderness,
serous drainage, or a constitutional finding. The Loeb minimum criteria for
initiating antibiotics included findings of new or increasing purulent drainage
at a suspected SSTI site or at least two of the following findings: fever or new
or worsening redness, tenderness, warmth, or swelling at the suspected site.
Audits also collected the name, route, and duration of the associated antibiotic.
Analyses calculated the types of diagnoses and evaluated associations between
published criteria and prescribing. RESULTS: Cellulitis, skin/soft tissue injury
with infection, and abscess were diagnosed in 37% (N = 59), 18% (N = 29), and 16%
(N = 26) of cases, respectively; 27% (N = 43) had less specific diagnoses. The
McGeer criteria were met in 25% (N = 40), and the Loeb minimum criteria were met
in 48% (N = 77) of cases. Doxycycline was the most frequently prescribed
antibiotic. The mean treatment length was 9.6 days (standard deviation, 5.6), and
the median length of treatment was 8.5 days (range, 3-45). CONCLUSION: SSTIs are
not routinely diagnosed or treated according to recommended standards of care,
and prescriptions for systemic antibiotics appear to be frequently initiated
without regard to recommended definitions of infection or therapies for the
associated diagnoses. These findings indicate that SSTIs present various
opportunities to improve antibiotic stewardship.
PMID- 27876478
TI - Physical Exercise Helped to Maintain and Restore Functioning in Chinese Older
Adults With Mild Cognitive Impairment: A 5-Year Prospective Study of the Hong
Kong Memory and Ageing Prospective Study (HK-MAPS).
AB - OBJECTIVE: This study investigated the potential of physical exercise habit as a
lifestyle modification against cognitive and functional decline at the community
level. METHODS: A total of 454 community-dwelling Chinese older adults without
dementia participated in the Hong Kong Memory and Ageing Prospective Study at
baseline and follow-up at 5 years. Their cognitive and functional performances
were assessed by the Cantonese version of the Mini-Mental State Examination
(CMMSE) and the Chinese version of Disability Assessment in Dementia (DAD).
Hierarchical multiple regression analyses were performed to examine whether
physical exercise was a significant predictor of the follow-up CMMSE and DAD
scores after controlling for the covariates. Subgroup analyses were performed
with a group of 127 participants with mild cognitive impairment at baseline.
RESULTS: Physical exercise habit was a significant predictor for both the follow
up CMMSE scores and DAD scores. Participants with exercise habits of 5 years or
more showed better cognitive and functional performances at follow-up.
Participants who picked up exercise habits only after the baseline assessment
also demonstrated better functioning at follow-up. The same patterns were
observed in the subgroup analyses with the mild cognitive impairment group.
CONCLUSION: Results suggested that prolonged exercise habit is required for
positive effects on cognition to emerge, but benefits on functioning can be
observed when individuals take up an exercise habit later in life or even after
the beginning of cognitive decline. These findings are encouraging in promoting
an exercise habit among older adults living in the community.
PMID- 27876479
TI - Implications of Orthostatic Hypotension in Older Persons With and Without
Diabetes.
PMID- 27876480
TI - Noise dosimetry for tactical environments.
AB - Noise exposure and the subsequent hearing loss are well documented aspects of
military life. Numerous studies have indicated high rates of noise-induced
hearing injury (NIHI) in active-duty service men and women, and recent statistics
from the U.S. Department of Veterans Affairs indicate a population of veterans
with hearing loss that is growing at an increasing rate. In an effort to minimize
hearing loss, the U.S. Department of Defense (DoD) updated its Hearing
Conservation Program in 2010, and also has recently revised the DoD Design
Criteria Standard Noise Limits (MIL-STD-1474E) which defines allowable noise
levels in the design of all military acquisitions including weapons and vehicles.
Even with such mandates, it remains a challenge to accurately quantify the noise
exposure experienced by a Warfighter over the course of a mission or training
exercise, or even in a standard work day. Noise dosimeters are intended for
exactly this purpose, but variations in device placement (e.g., free-field, on
body, in/near-ear), hardware (e.g., microphone, analog-to-digital converter),
measurement time (e.g., work day, 24-h), and dose metric calculations (e.g., time
weighted energy, peak levels, Auditory Risk Units), as well as noise types (e.g.,
continuous, intermittent, impulsive) can cause exposure measurements to be
incomplete, inaccurate, or inappropriate for a given situation. This paper
describes the design of a noise dosimeter capable of acquiring exposure data
across tactical environments. Two generations of prototypes have been built at
MIT Lincoln Laboratory with funding from the U.S. Army, Navy, and Marine Corps.
Details related to hardware, signal processing, and testing efforts are provided,
along with example tactical military noise data and lessons learned from early
fieldings. Finally, we discuss the continued need to prioritize personalized
dosimetry in order to improve models that predict or characterize the risk of
auditory damage, to integrate dosimeters with hearing-protection devices, and to
inform strategies and metrics for reducing NIHI.
PMID- 27876481
TI - Physical Effects of Unilateral Mastectomy on Spine Deformity.
AB - OBJECTIVE: Mastectomy is known to effect body posture after a change in the
center of gravity of women due to a missing breast. Although previous studies on
short-term postural changes in mastectomy patients using photogrammetry or Moire
topography suggested ipsilateral inclination of the trunk, our clinical
observations during breast reconstruction surgeries indicated a contralateral
shoulder elevation in women with unilateral mastectomy. Because the change in
body posture can affect spinal alignment, we aimed to evaluate the long-term
physical effects of unilateral mastectomy on spine deformity by radiographic
examination. METHODS: Posteroanterior chest radiographs of 60 women (mean age
56.3 +/- 8.5 years) taken before and 12 months after the mastectomy were
evaluated for Cobb angle and the presence or absence of a tilt from the midline
in the coronal plane of vertebral body alignment. RESULTS: Cobb angle decreased
in 14 and increased in 38 of 60 patients after unilateral mastectomy, and the
angular change was found to be independent of the mastectomy side (P < .001). A
shift in Cobb angle to the mastectomy side was observed in 11 of 53 patients (P >
.05), whereas a statistically significant shift in Cobb angle to the opposite of
the mastectomy side was observed in 33 of 53 patients (P < .001). The results of
this observational retrospective study indicated long-term spinal deformation in
women with unilateral mastectomy. Two patients with idiopathic scoliosis before
mastectomy even developed scoliosis. CONCLUSION: We recommend informing the
patients of the possible change in body posture in the long term, which should be
supported or limited with physical therapy.
PMID- 27876482
TI - Virtual collaboration, satisfaction, and trust between nurses in the tele-ICU and
ICUs: Results of a multilevel analysis.
AB - PURPOSE: The purpose of the study was to examine how tele-intensive care unit
(tele-ICU) nurse characteristics and organizational characteristics influence
tele-ICU nurses' trust and satisfaction of monitored bedside ICU nurses, and
whether these influences are mediated by communication. MATERIALS AND METHODS:
Data of tele-ICU characteristics and characteristics of the ICUs they monitored
were collected at 5 tele-ICUs located throughout the country. One hundred ten
tele-ICU nurses at those tele-ICUs completed a questionnaire containing items
related to their characteristics and their trust, satisfaction, and perceived
communication with monitored bedside nurses. We analyzed the data using a
hierarchical path model, with communication variables entered as mediators.
RESULTS: Many of the tele-ICU nurse characteristics (age, currently or previously
worked at the monitored ICU, hours worked per week, and years as a ICU nurse) had
statistically significant direct effects on perception of communication
timeliness, accuracy, and openness, as well as trust and satisfaction with
monitored bedside ICU nurses. Communication openness mediated the relationships
of both working at a monitored ICU and being older (>=55) on satisfaction.
Communication accuracy mediated the relationships of both a specialized monitored
ICU and working at a monitored ICU on trust. CONCLUSIONS: Tele-ICUs and monitored
ICUs should work to optimize communication so that trust can be established among
the nurses.
PMID- 27876483
TI - Opioid overdose leading to intensive care unit admission: Epidemiology and
outcomes.
PMID- 27876484
TI - Zika: A Missed Opportunity to Protect Women's Health and Prevent Unwanted
Pregnancies.
PMID- 27876486
TI - The role of food in the Polish migrant adjustment journey.
AB - In 2015, there were 916,000 Poles living in the UK, making them the largest group
of non-UK nationals at 16.5 percent of the population. Though increasingly
research has focused on the consequences of this migration for both migrants
themselves and the receiving country, little research has looked at food habits.
This paper will explore the role of food in the Polish migrant adjustment
journey. A qualitative approach was adopted, involving semi-structured interviews
with nine Polish migrants. In this study, Polish migrants described the move to a
new culture as a time of stress and loneliness. Due to a lack of money, they were
forced to eat local food, which exacerbated their unease, as they found it to be
tasteless and unhealthy. As soon as their financial situation improved, they
reverted to a Polish diet, relying on ingredients brought from home, from London,
or more recently, purchased from local Polish shops. This gave them comfort, and
all participants acknowledged the vital role of food in their adjustment to life
in a new culture.
PMID- 27876487
TI - Fungal Mating in the Most Widespread Plant Symbionts?
AB - Arbuscular mycorrhizal fungi (AMF) are relevant plant symbionts whose hyphae and
spores carry hundreds of coexisting nuclei with supposedly divergent genomes but
no sign of sexual reproduction. This unusual biology suggested that conventional
fungal mating is not amendable to optimize strains for plant growth, but recent
evidence of sexual-related nuclear inheritance in these organisms is now
challenging this widespread notion. Here, we outline our knowledge of AMF
genetics within a historical context, and discuss how past and new information in
this area changed our understanding of AMF biology. We also highlight the mating
related processes in AMF, and propose new research avenues and approaches that
could lead to a better application of these organisms for agricultural and
environmental practices.
PMID- 27876485
TI - Cannabinoid receptors and TRPA1 on neuroprotection in a model of retinal
ischemia.
AB - Retinal ischemia is a pathological event present in several retinopathies such as
diabetic retinopathy and glaucoma, leading to partial or full blindness with no
effective treatment available. Since synthetic and endogenous cannabinoids have
been studied as modulators of ischemic events in the central nervous system
(CNS), the present study aimed to investigate the involvement of cannabinoid
system in the cell death induced by ischemia in an avascular (chick) retina. We
observed that chick retinal treatment with a combination of WIN 55212-2 and
cannabinoid receptor antagonists (either AM251/O-2050 or AM630) decreased the
release of lactate dehydrogenase (LDH) induced by retinal ischemia in an oxygen
and glucose deprivation (OGD) model. Further, the increased availability of
endocannabinoids together with cannabinoid receptor antagonists also had a
neuroprotective effect. Surprisingly, retinal exposure to any of these drugs
alone did not prevent the release of LDH stimulated by OGD. Since cannabinoids
may also activate transient receptor potential (TRP) channels, we investigated
the involvement of TRPA1 receptors (TRPA1) in retinal cell death induced by
ischemic events. We demonstrated the presence of TRPA1 in the chick retina, and
observed an increase in TRPA1 content after OGD, both by western blot and
immunohistochemistry. In addition, the selective activation of TRPA1 by mustard
oil (MO) did not worsen retinal LDH release induced by OGD, whereas the blockage
of TRPA1 completely prevented the extravasation of cellular LDH in ischemic
condition. Hence, these results show that during the ischemic event there is an
augment of TRPA1, and activation of this receptor is important in cell death
induction. The data also indicate that metabotropic cannabinoid receptors, both
type 1 and 2, are not involved with the cell death found in the early stages of
ischemia. Therefore, the study points to a potential role of TRPA1 as a target
for neuroprotective approaches in retinal ischemia.
PMID- 27876488
TI - Arthroscopic Subscapularis Augmentation of Bankart Repair in Chronic Anterior
Shoulder Instability With Bone Loss Less Than 25% and Capsular Deficiency:
Clinical Multicenter Study.
AB - PURPOSE: To assess the short-term outcomes of the arthroscopic subscapularis
augmentation (ASA) technique, consisting of a tenodesis of the upper third of the
subscapularis tendon and a Bankart repair, and its effect on shoulder external
rotation. METHODS: Patients selected for this study were involved in contact
sports, with a history of traumatic recurrent shoulder dislocations and a minimum
of 2-year follow-up. Inclusion criteria were patients with glenoid bone loss
(GBL) ranging from 5% to 25%, anterior capsular deficiency, and Hill-Sachs lesion
who underwent ASA technique. Exclusion criteria were GBL >25%, multidirectional
instability, preexisting osteoarthritis, and overhead sports activities. Visual
analog scale (VAS) scale for pain, Rowe score, and American Shoulder and Elbow
Surgeons (ASES) scores were used to assess results. Loss of shoulder external
rotation was measured with the arm at the side (ER1 position) or 90 degrees in
abduction (ER2 position). Analysis of variance and Fisher tests were used for
data evaluation. Significance was established at P <= .05. RESULTS: One hundred
ten patients (84 men and 26 women, mean age 27 years) were evaluated with a mean
follow-up of 40.5 months (range: 24 to 65 months). In 98 patients, a Hill-Sachs
lesion was observed and in 57 patients a capsular deficiency was present. Three
patients (2.7%) had a traumatic redislocation. At final follow-up, the mean
scores were as follows: VAS scale decreased from a mean of 3.5 to 0.5 (P = .015),
Rowe score increased from 57.4 to 95.3 (P = .035), and ASES score increased from
66.5 to 96.5 (P = .021). The mean deficit of external rotation was 8 degrees +/-
2.5 degrees in the ER1 position and 4 degrees +/- 1.5 degrees in the ER2
position. CONCLUSIONS: The ASA procedure has been shown to be effective in
restoring joint stability in patients practicing sports, affected by chronic
anterior shoulder instability associated with anterior GBL (<25%), capsular
deficiency, and Hill-Sachs lesions, with mild restriction of external rotation.
LEVEL OF EVIDENCE: Level IV, therapeutic case series.
PMID- 27876489
TI - Morphologic Changes and Outcomes After Arthroscopic Acetabular Labral Repair
Evaluated Using Postoperative Computed Tomography Arthrography.
AB - PURPOSE: To investigate smaller sized labra after acetabular labral repair
comparing preoperative and postoperative computed tomography arthrography (CTA)
and to assess the correlation between the anatomic changes and clinical outcomes.
METHODS: The design and protocol of this retrospective study were approved by the
institutional review board of our hospital. The inclusion criteria included age
older than 18 years and hip pain associated with mechanical symptoms. Patients
with previous hip surgery, avascular necrosis, rheumatologic disorders, or
advanced arthritis were excluded. All tears with femoroacetabular impingement
were treated with bumpectomy or acetabuloplasty and repaired using arthroscopic
suture anchors. We evaluated clinical outcomes using the modified Harris Hip
Score (mHHS) and the morphologic changes and radiologic outcomes (labral retear
and leakage of dye) using CTA at a minimum 2-year follow-up. The paired t test
was performed to detect changes in labral height, labral width, and mHHS.
RESULTS: Forty labral tears in 40 patients (mean age, 32.1 +/- 9.2 years)
underwent labral repair, with femoroplasty in 20 hips and acetabuloplasty in 17
hips, and no patients required capsular repair after capsulotomy. No leakage of
contrast dye was detected during the follow-up CTA procedure. No labral retears
were observed after labral refixation at the postoperative CTA evaluation.
However, the mean width and height of the labrum changed from 8.1 mm and 4.9 mm,
respectively, preoperatively to 6.7 mm and 4.4 mm, respectively, at the
postoperative follow-up (decreasing by 19% and 11%, respectively; both P < .001).
In addition, the mean mHHS for the 36 patients in the complete repair group
improved from 61 +/- 16.0 to 90 +/- 9.6 (P = .01). Four hips showed incomplete
repairs. CONCLUSIONS: Repaired labra are well maintained after capsulotomy based
on follow-up CTA after arthroscopic labral repair. In addition, decreased height
and width of the labra do not affect the clinical outcomes. LEVEL OF EVIDENCE:
Level IV, therapeutic study (case series [no, or historical, control group]).
PMID- 27876491
TI - Through rose-colored glasses: Bringing focus back to revascularization.
PMID- 27876492
TI - Sutureless valve implantation: Every detail counts.
PMID- 27876490
TI - Medial Open Wedge High Tibial Osteotomy for Varus Malunited Tibial Plateau
Fractures.
AB - PURPOSE: To analyze radiologic and functional outcomes of varus malunited tibial
plateau fractures managed with medial open wedge high tibial osteotomy (MOHTO).
METHODS: Eighteen patients with symptomatic varus malunited tibial plateau
fractures with less than stage II arthritic changes managed from July 2009 to
October 2013 were included. Patients with complex intra-articular step malunions
and severe arthritic changes (stage III and IV) were excluded. Initially,
diagnostic arthroscopy was performed followed by MOHTO stabilized with locking
plate and tricortical autograft (n = 11, 61%) or a Puddu plate and allograft (n =
7, 39%). Patients were evaluated radiologically for union, medial proximal tibial
angle, and tibial slope angle, and functional assessment was performed with a
knee outcome survey based on activities of daily living. RESULTS: The mean follow
up duration was 41.7 +/- 12.1 months (range 25-61); all patients achieved
radiologic union by a mean duration of 4.3 +/- 1.2 months (range 3-8). The mean
medial proximal tibial angle improved from 75.3 degrees +/- 3.7 degrees (range
70.5 degrees -85.2 degrees ) to a postoperative angle of 83.8 degrees +/- 3.6
degrees (range 77.5 degrees -90.4 degrees ) (P < .001). In 12 patients, an
abnormal mean anterior slope of -5.5 degrees +/- 3.0 degrees (range -1.1
degrees to -13 degrees ) was corrected to a postoperative posterior slope of 5.8
degrees +/- 4.4 degrees (range -1.1 degrees to 14.1 degrees ) (P < .001). In 6
patients, a mean posterior slope of 17.4 degrees +/- 10.5 degrees (range 1.4
degrees -33 degrees ) was corrected to a postoperative posterior slope of 14.08
degrees +/- 5.6 degrees (range 7.4-21.3) (P = .214). The mean knee outcome
survey scores preoperatively were 25% +/- 9.68% (range 8%-48%) and
postoperatively were 85% +/- 11.18% (range -52% to 98%, P < .001). CONCLUSIONS:
MOTHO for varus malunited tibial plateau fractures is safe and effective
procedure that provides excellent functional outcomes, acceptable radiologic
outcomes, and carries minimal complications. LEVEL OF EVIDENCE: Level IV,
therapeutic case series.
PMID- 27876493
TI - Not all that hibernates necessarily wakes up.
PMID- 27876494
TI - Prevention of postoperative pulmonary complications and aggregation of marginal
gains.
PMID- 27876495
TI - Tough problem, creative solution.
PMID- 27876496
TI - Peter Piper's pursuit of a perfect, parsimonious predictive model for prolonged
air leaks.
PMID- 27876497
TI - Hepatitis C virus inhibits CD4 T cell function via binding to Toll-like receptor
7.
AB - Toll-like receptor 7 (TLR7) is a ssRNA receptor that activates dendritic cells
and macrophages upon ssRNA binding; however, little is known of its role in CD4+
T cells. We show here that hepatitis C virus (HCV) induces a dose dependent
inhibition of cytokine production and expression of activation markers in CD4 T
cells, which were restored by a TLR7-specific antagonist. These findings indicate
that HCV induces CD4 T cell impairment via TLR7 which may contribute to failure
of virus eradication, casting doubts on the use of TLR7 agonists to boost innate
immunity in chronic RNA virus infections.
PMID- 27876498
TI - Associated lateral/medial knee instability and its relevant factors in anterior
cruciate ligament-injured knees.
AB - BACKGROUND: Associations of lateral/medial knee instability with anterior
cruciate ligament (ACL) injury have not been thoroughly investigated. The
purposes of this study were to investigate whether lateral/medial knee
instability is associated with ACL injury, and to clarify relevant factors for
lateral/medial knee instability in ACL-injured knees. METHODS: One hundred and
nineteen patients with unilateral ACL-injured knees were included. Lateral/medial
knee instability was assessed with varus/valgus stress X-ray examination for both
injured and uninjured knees by measuring varus/valgus angle, lateral/medial joint
opening, and lateral/medial joint opening index. Manual knee instability tests
for ACL were evaluated to investigate associations between lateral/medial knee
instability and anterior and/or rotational instabilities. Patients' backgrounds
were evaluated to identify relevant factors for lateral/medial knee instability.
Damage on the lateral collateral ligament (LCL) on MRI was also evaluated.
RESULTS: All parameters regarding lateral knee instability in injured knees were
significantly greater than in uninjured knees. There were significant
correlations between lateral knee instability and the Lachman test as well as the
pivot shift test. Patients with LCL damage had significantly greater lateral
joint opening than those without LCL damage on MRI. Sensitivity of LCL damage on
MRI to lateral joint opening was 100%, while its specificity was 36%. No other
relevant factors were identified. In medial knee instability, there were also
correlations between medial knee instability and the Lachman test/pivot shift
test. However, the correlations were weak and other parameters were not
significant. CONCLUSIONS: Lateral knee instability was greater in ACL-deficient
knees than in uninjured knees. Lateral knee instability was associated with ACL
related instabilities as well as LCL damage on MRI, whereas MRI had low
specificity to lateral knee instability. On the other hand, the association of
medial knee instability on ACL-related instability was less than that of lateral
knee instability. LEVELS OF EVIDENCE: Level IV, case series with no comparison
group.
PMID- 27876499
TI - Prolonged hydrocephalus induced by intraventricular hemorrhage in rats is reduced
by curcumin therapy.
AB - Prolonged hydrocephalus is a major cause of severe disability and death of
intraventricular hemorrhage (IVH) patients. However, the therapeutic options to
minimize the detrimental effects of post-hemorrhagic hydrocephalus are limited.
Curcumin has been reported to confer neuroprotective effects in numerous
neurological diseases and injuries, but its role in IVH-induced hydrocephalus has
not been determined. The aim of present study was to determine whether curcumin
treatment ameliorates blood brain barrier (BBB) damage and reduces the incidence
of post-hemorrhagic hydrocephalus in IVH rat model. Autologous blood
intraventricular injection was used to establish the IVH model. Our results
revealed that repeated intraperitoneal injection of curcumin ameliorated IVH
induced learning and memory deficits as determined by Morris water maze and
reduced the incidence of post-hemorrhagic hydrocephalus in a dose-dependent
manner at 28 d post-IVH induction. Further, the increased BBB permeability and
brain edema induced by IVH were significantly reduced by curcumin administration.
In summary, these findings highlighted the important role of curcumin in
improving neurological function deficits and protecting against BBB disruption
via promoting the neurovascular unit restoration, and thus it reduced the
severity of post-hemorrhagic hydrocephalus in the long term. It is believed that
curcumin might prove to be an effective therapeutic component in prevent the post
IVH hydrocephalus in the near future.
PMID- 27876501
TI - Serum and urinary biomarkers that predict hepatorenal syndrome in patients with
advanced cirrhosis.
AB - BACKGROUND: Prediction of hepatorenal syndrome (HRS) remains difficult in
advanced cirrhotic patients. AIMS: To evaluate use of serum and urine biomarkers
to predict HRS. METHODS: We prospectively recruited Child's B or C cirrhotic
patients with normal serum creatinine, and followed them for 12 weeks for the
development of HRS. Serum Cystatin C (CysC), serum and urine Neutrophil
Gelatinase-Associated Lipocalin (NGAL), serum and urine IL-18, serum N-acetyl
beta-d glucosaminidase (NAG), urine kidney injury molecule-1 (KIM-1) and urine
liver-type fatty acid binding protein (LFABP) were measured at recruitment
(baseline), and their relationship with subsequent HRS investigated. RESULTS: 43
patients were included. 12 (27.9%) developed HRS at 7.3+/-5.1 weeks from
baseline. Logistic regression analysis showed that baseline urinary NGAL and
urinary KIM-1 were significantly associated with the development of HRS (RR
1.007, 95% CI 1.001-1.012, p=0.014; RR 1.973, 95% CI 1.002-3.886, p=0.049). The
cut-off values for NGAL and KIM-1 to predict HRS were 18.72ng/mL and 1.499ng/mL
respectively (AUCs 0.84, p=0.005; and 0.78, p=0.008). CONCLUSION: Urinary NGAL
and KIM-1 could serve as biomarkers to predict HRS in advanced cirrhotic
patients.
PMID- 27876500
TI - Strong correlation between ASPM gene expression and HCV cirrhosis progression
identified by co-expression analysis.
AB - Hepatitis C virus (HCV) cirrhosis is at a high risk of hepatocellular carcinoma
(HCC), and its progression is influenced by a complex network of gene
interactions. A weighted gene co-expression network was constructed to identify
gene modules associated with the seven-stage disease progression from HCV
cirrhosis to HCV-related HCC (n=65). In the significant module (R2=0.86), a total
of 25 network hub genes were identified, half of which were also hub nodes in the
protein-protein interaction network of the module genes. In validation, most hub
genes showed a moderate correlation with the disease progression, and only ASPM
was highly correlated (R2=0.801). In the test set (n=63), ASPM was also more
highly expressed in HCV cirrhosis with concomitant HCC than in those without HCC
(P=0.0054). Gene set enrichment analysis (GSEA) demonstrated that the gene set of
"regulation of protein amino acid phosphorylation" (n=20) was enriched in HCV
cirrhosis samples with ASPM highly expressed (false discovery rate (FDR)=0.049).
In gene ontology (GO) analysis, genes in the enriched set were associated with
liver neoplasms and other neoplastic diseases. In conclusion, through co
expression analysis, ASPM was identified and validated in association with the
progression of HCV cirrhosis probably by regulating tumor-related
phosphorylation.
PMID- 27876503
TI - Cranio-caudal asymmetries in trabecular architecture reflect vertebral fracture
patterns.
AB - Clinically, vertebral fractures often occur in the upper lumbar spine and involve
the superior endplate of a vertebra (which is immediately caudal to a disc).
Knowledge that the cranial endplate of a disc is thicker and has greater bone
mineral density (BMD) than the corresponding caudal endplate helps to explain
this phenomenon. In this study, we investigated structural differences in
vertebral trabeculae on either side of a lumbar disc to provide further insight
into vertebral fracture risk. As the focus is trabecular difference within a
spinal motion segment, we define cranial and caudal vertebral trabeculae relative
to the disc. Ninety-two spinal motion segments from 46 cadaveric lumbar spines
(males, mean age 50years, range 21-63years) were studied. Disc narrowing on
radiography and spread of barium sulfate (BaSO4) on discography were measured to
indicate disc degeneration. Micro-computed tomography (MUCT) images were obtained
at a resolution of 82MUm for each vertebra and processed to include only
vertebral trabeculae. Using image processing, the vertebral trabeculae were
divided into superior and inferior halves, and then into central and peripheral
regions which were approximately opposite to the disc pulposus and annulus, and
further into anterior and posterior sub-regions. Microarchitecture measurements
for each vertebral region were obtained to determine the differences between the
cranial and caudal trabeculae (relative to disc) and their associations with age
and disc degeneration within each spinal motion segment. Data from the upper
(L1/2-L3/4) and lower (L4/5) lumbar segments were analyzed separately. In the
upper lumbar region, the trabeculae cranial to a disc on average had 5.3% greater
BMD and trabecular bone volume, 3.6% greater trabecular number, 9.7% greater
connectivity density, and 3.7% less trabecular separation than the corresponding
caudal trabeculae (P<0.05 for all). Similar trends were observed in peripheral,
anterior and posterior regions, but not in central region. No structural
difference was observed in the trabeculae of L4/5 segment. Structural asymmetries
of vertebral trabeculae were not associated with age, disc degeneration, or disc
narrowing. Vertebral trabecular parameters cranial to the disc were greater than
caudally in the upper but not in the lower lumbar region. Findings further
explain why vertebral fractures are more common in the upper lumbar region and
more frequently involve the endplate caudal to a disc.
PMID- 27876502
TI - Oldenlandia diffusa suppresses metastatic potential through inhibiting matrix
metalloproteinase-9 and intercellular adhesion molecule-1 expression via p38 and
ERK1/2 MAPK pathways and induces apoptosis in human breast cancer MCF-7 cells.
AB - ETHNOPHARMACOLOGY RELEVANCE: Oldenlandia diffusa (OD) has long been known as an
apoptotic inducer in breast tumors in ethnomedicine. AIM OF THE STUDY: To
scientifically confirm the anti-breast cancer effects of water, methanol (MeOH)
and butanol (BuOH) extracts of O. diffusa on cell apoptosis, matrix
metalloproteinases (MMPs), intercellular adhesion molecule (ICAM)-1 and
intracellular signaling in MCF-7 breast cancer cells. MATERIALS AND METHODS: MeOH
extracts (MOD) and BuOH extracts (BOD) were prepared and examined for their
ability to inhibit phorbol myristate acetate (PMA)-induced matrix
metalloproteinase (MMP)-9 and intercellular adhesion molecule (ICAM)-1
expressions in MCF-7 human breast cancer cells. Additionally, transwell
migration, invasion and transcriptional activity were assessed. Results of
immunofluorescence confocal microscopy for translocation of NF-kappaB and p-ERK
and p-p38 were also checked. Finally, apoptotic signals including processed
caspase-8, caspase-7, poly ADP-ribose polymerase, Bax and Bcl-2 were examined.
RESULTS: MOD and BOD specifically inhibited PMA-induced MMP-9 expression as well
as invasive and migration potential via ICAM-1. The inhibitory activity was also
based on the suppressed transcriptional activity in MCF-7 breast cancer cells.
Results of immunofluorescence confocal microscopy showed that translocation of NF
kappaB decreased upon BOD and MOD treatments, with a decreased level of p-ERK and
p-p38 phosphorylation. In addition, treatment of MCF-7 cells with MOD and BOD
activated apoptosis-linked proteins including enzymatically active forms of
processed caspase-8, caspase-7 and poly ADP-ribose polymerase, together with
increased expression of mitochondrial apoptotic protein, Bax and decreased
expression of Bcl-2. CONCLUSION: The results indicate that OD as an anti
metastatic agent suppresses the metastatic response by targeting p-ERK, p-38 and
NF-kappaB, thus reducing the invasion capacity of MCF-7 breast cancer cells
through inhibition of MMP-9 and ICAM-1 expression and plays an important role in
the regulation of breast cancer cell apoptosis.
PMID- 27876504
TI - Disease and Treatment Characteristics of Men Diagnosed With Metastatic Hormone
Sensitive Prostate Cancer in Real Life: Analysis From a Commercial Claims
Database.
AB - BACKGROUND: Our understanding of the clinical characteristics and treatment
patterns of men who present with newly diagnosed metastatic (M1) hormone
sensitive prostate cancer is based mainly on clinical trial data. We sought to
characterize the M1 population seen in routine clinical practice using a
commercial claims database. PATIENTS AND METHODS: A US claims (2000-2013)
database was used to identify patients with an index diagnosis of prostate
cancer. M1 patients were identified by "International Classification of Diseases,
9th revision, Clinical Modification" diagnosis codes of metastasis to bone,
viscera, distant lymph node, and unspecified sites within 90 days of the prostate
cancer diagnosis. Progression to castration-resistant prostate cancer was
identified by exposure to >= 1 drugs approved for castration-resistant prostate
cancer, including docetaxel, abiraterone acetate, cabazitaxel, enzalutamide,
sipuleucel-T, mitoxantrone, estramustine, and radium-223. RESULTS: Among 326,907
patients with an index prostate cancer diagnosis, 9199 (2.8%) had M1 disease,
including 6955 with specified metastatic disease involving the bone (77%),
viscera (38%), or lymph nodes (21%). The initial treatment of M1 disease was
castration in 51%, localized therapy in 16%, prostate cancer drug only in 18%,
and no treatment in 15%. The median time to first castration was 33 days.
CONCLUSION: The proportion of men with prostate cancer who presented with M1
disease was consistent with other observations. Only 51% of the patients were
treated according to national guidelines recommending medical or surgical
castration. The proportion with visceral involvement at presentation was greater
than expected from the clinical trials data in the same population. Just as seen
in other medical conditions, clinical trial data are not representative of real
life patients seen in routine clinical practice.
PMID- 27876505
TI - Squamous Cell Carcinoma of the Bladder: A SEER Database Analysis.
AB - BACKGROUND: Scarce evidence exists regarding the management of squamous cell
carcinoma (SCC) of the bladder. This study assessed the epidemiologic and
treatment trends of SCC of the bladder. MATERIALS AND METHODS: Cases of SCC of
the bladder, diagnosed from 1973 to 2013, were identified from the Surveillance,
Epidemiology, and End Results (SEER) database. The incidence of SCC of the
bladder with respect to time was assessed using the SEER United States population
dataset. Relevant baseline characteristics were reported whenever available.
Propensity-score matching for nonmetastatic patients receiving or not receiving
radical surgery was then performed considering baseline characteristics. Survival
analysis in the post-matching cohort was then evaluated using Kaplan-Meier
analyses. RESULTS: A total of 5018 patients were identified. The median age group
was 70 to 75 years. The incidence of bladder SCC has decreased during the period
from 1973 to 2013 (P < .05). For the post-matching cohort, there was a
statistically significant difference in cancer-specific and overall survival
favoring the radical surgery group compared with radiation therapy or no
treatment group (P < .0001 for both endpoints). The overall survival benefit was
consistent regardless of the SEER stage (localized or regional). In multivariate
analysis of the matched population, radical surgery, less advanced SEER summary
stage, and age less than 70 years were associated with a better overall survival.
CONCLUSION: This analysis suggests that for nonmetastatic SCC of the bladder,
radical surgery achieves better outcomes compared with radiation therapy.
Prospective trials to evaluate formal multimodality bladder-preserving protocols
in this histologic subtype are needed.
PMID- 27876506
TI - Fungal peptides from pneumonitis hypersensitivity etiologic agents are able to
induce specific cellular immune response.
AB - PURPOSE: Hypersensitivity pneumonitis (HP) is an immunoallergic disease due to
chronic exposure to high quantities of different microorganisms such as
Mycobacterium immunogenum (Mi), a mycobacterium, and Lichtheimia corymbifera
(Lc), a filamentous fungus. It has recently been demonstrated that the protein
DLDH (dihydrolipoyl dehydrogenase), is common to these microorganisms. This study
aimed to investigate the immune potential of overlapping peptide pools covering
the MiDLDH and LcDLDH. EXPERIMENTAL DESIGN: A selection of 34 peptides, from the
MiDLDH and LcDLDH, able to interact with Major Histocompatibility Complex (MHC) 1
and MHC 2, was obtained using three different epitope prediction websites. By
means of ELISPOT assays, we compared the frequency of Interferon gamma (IFNgamma)
secreting peripheral blood mononuclear cells (PBMC) after stimulation with
overlapping peptide pools. Tests were performed using cells from 35 healthy blood
donors. RESULTS: One peptide pool containing five peptides from MiDLDH and able
to interact with MHC 2 induced a marked IFNgamma specific immune response (Pool
F, p<0.001, Wilcoxon signed-rank test). CONCLUSION: This study demonstrated that
peptides from microorganisms involved in HP were able to induce a high IFNgamma
specific immune response after stimulation of PBMCs from healthy blood donors
which could be useful to develop an effective prevention strategy.
PMID- 27876507
TI - Exercise-induced cytokine changes in antigen stimulated whole-blood cultures
compared to serum.
AB - Strenuous exercise is followed by an elevation of many cytokines with
inflammation regulating properties. Since most cytokines act at pico- or
nanomolar concentrations many investigations failed to detect their
concentrations in vivo. Hence, the aim of this study was to evaluate the
significance of cytokine measurements (IL-1beta, TNF-alpha, IL-1ra, IL-6, CCL2
and CXCL8) in a stimulated whole-blood culture (sWBC) compared to serum with
respect to their exercise-induced kinetics and detection rates. 40 male
volunteers (age: 25,5+/-4,3years, BMI: 24,00+/-2,24, VO2peak: 46,9+/
4,1mL/kg*min) performed 60min of intensive bicycle exercise (80% VO2peak). Blood
samples were taken before and for up to 24h after exercise. All cytokines were
determined by a multiplex ELISA. There were weak to moderate correlations between
cytokines in sWBC and serum. While exercise did not affect pro-inflammatory
cytokines in serum, in sWBC only IL-1beta was increased 1.2-fold at 3h (p<0,05).
All other cytokines increased both in sWBC and serum. The detection rate was
superior in sWBC vs serum for most cytokines. Exercise-induced cytokine kinetics
in sWBC do not reflect systemic changes. Both approaches provide a synergistic
insight into inflammatory processes on the cytokine level.
PMID- 27876508
TI - A behavioural and electrophysiological investigation of the effect of
bilingualism on aging and cognitive control.
AB - Given previous, but inconsistent, findings of language group differences on
cognitive control tasks the current investigation examined whether such
differences could be demonstrated in a sample of older bilingual adults.
Monolingual and bilingual older adults performed three cognitive control tasks
that have previously been used in the literature (i.e., Stroop, Simon and flanker
tasks) while brain electrophysiological recordings took place. Both behavioural
(response time and accuracy) and event-related brain potentials (ERPs; N2 and P3
amplitude and latency) were compared across the two language groups. Processing
differences between monolinguals and bilinguals were identified for each task,
although the locus differed across the tasks. Language group differences were
most clear in the Stroop task, with bilinguals showing superior performance both
behaviourally and electrophysiologically. In contrast, for the Simon and flanker
tasks there were electrophysiological differences indicating language group
processing differences at the level of conflict monitoring (Simon task only) and
stimulus categorization (Simon and flanker tasks), but no behavioural
differences. These findings support suggestions that these three tasks that are
often used to examine executive control processes show little convergent
validity; however, there are clear language group differences for each task that
are suggestive of superior performance for bilinguals, with behavioural
differences emerging only in the linguistic Stroop task. Furthermore, it is clear
that behavioural measures alone do not capture the language group effects in
their entirety, and perhaps processing differences between language groups are
more marked in a sample of older adults who are experiencing age-related
cognitive changes than in younger adults who are at the peak of their cognitive
capacity.
PMID- 27876510
TI - Scene perception in age-related macular degeneration: Effect of spatial
frequencies and contrast in residual vision.
AB - Age-related macular degeneration (AMD) is characterized by a central vision loss.
Here, we investigated the ability of AMD patients to process the spatial
frequency content of scenes in their residual vision, depending of the luminance
contrast level. AMD patients and normally-sighted elderly participants (controls)
performed a categorization task involving large scenes (outdoors vs. indoors)
filtered in low spatial frequencies (LSF), high spatial frequencies (HSF), and
non-filtered scenes (NF). Luminance contrast of scenes was equalized between
stimuli using a root-mean square (RMS) contrast normalization. In Experiment 1,
we applied an RMS contrast of 0.1 (for luminance values between 0 and 1), a value
situated between the mean contrast of LSF and HSF scenes in natural conditions.
In Experiment 2, we applied an RMS contrast of 0.3, corresponding to the mean
contrast of HSF scenes in natural conditions. In Experiment 3, we manipulated
four levels of linearly-increasing RMS contrasts (0.05, 0.10, 0.15, and 0.20) for
HSF scenes only. Compared to controls, AMD patients gave more non-responses in
the categorization of HSF than NF or LSF scenes, irrespective of the contrast
level of scenes. Performances improved as contrast increased in HSF scenes.
Controls were not differentially affected by the spatial frequency content of
scenes. Overall, results suggest that LSF processing is well preserved in AMD
patients and allows efficient scene categorization in their parafoveal residual
vision. The HSF processing deficit could be partially restored by enhancing
luminance contrast.
PMID- 27876509
TI - Connectivity-based constraints on category-specificity in the ventral object
processing pathway.
AB - Recent efforts to characterize visual object representations in the ventral
object processing pathway in the human brain have led to contrasting proposals
about the causes of neural specificity for different categories. Here we use
multivariate techniques in a novel way to relate patterns of functional
connectivity to patterns of stimulus preferences. Stimulus preferences were
measured throughout the ventral stream to tools, animals, faces and places;
separately, we measured the strength of functional connectivity of each voxel in
the ventral stream to category-preferring regions outside the ventral stream.
Multivariate analyses were then performed over ventral stream voxels, relating
'category-preferences' to 'functional connectivity preferences'. We show that the
relation of those two measures doubly dissociates 'tools' and 'places', within
what is ostensibly 'place' selective cortex (parahippocampal gyrus).
Specifically, in the parahippocampal gyrus, functional connectivity to the left
inferior parietal lobule is selectively related to stimulus preferences for tools
(and not places), while functional connectivity to retrosplenial cortex is
selectively related to place preferences (and not tools preferences). These
findings indicate that functional connectivity can be used to index
representational content rather than just provide an understanding of 'which
regions are talking to which regions'. We suggest that the connectivity of the
brain is what drives category-specificity in the ventral stream, and that if this
is correct, then understanding the connectivity of the ventral stream will be key
to understanding the causes and function of category-specific neural
organization.
PMID- 27876511
TI - Modulation of microsaccades by spatial frequency during object categorization.
AB - The organization of visual processing into a coarse-to-fine information
processing based on the spatial frequency properties of the input forms an
important facet of the object recognition process. During visual object
categorization tasks, microsaccades occur frequently. One potential functional
role of these eye movements is to resolve high spatial frequency information. To
assess this hypothesis, we examined the rate, amplitude and speed of
microsaccades in an object categorization task in which participants viewed
object and non-object images and classified them as showing either natural
objects, man-made objects or non-objects. Images were presented unfiltered
(broadband; BB) or filtered to contain only low (LSF) or high spatial frequency
(HSF) information. This allowed us to examine whether microsaccades were
modulated independently by the presence of a high-level feature - the presence of
an object - and by low-level stimulus characteristics - spatial frequency. We
found a bimodal distribution of saccades based on their amplitude, with a split
between smaller and larger microsaccades at 0.4 degrees of visual angle. The
rate of larger saccades (?0.4 degrees ) was higher for objects than non-objects,
and higher for objects with high spatial frequency content (HSF and BB objects)
than for LSF objects. No effects were observed for smaller microsaccades (<0.4
degrees ). This is consistent with a role for larger microsaccades in resolving
HSF information for object identification, and previous evidence that more
microsaccades are directed towards informative image regions.
PMID- 27876512
TI - Biomechanical constraints do not influence pantomime-grasping adherence to
Weber's law: A reply to Utz et al. (2015).
AB - Work by our group and others employed the within-participants variability in peak
grip aperture as a 'just-noticeable-difference' (JND) in grasping. Notably, our
group reported that grasping responses with decoupled spatial relations between
stimulus and response (i.e., pantomime-grasping) produced JNDs that increased
linearly with increasing target object size (i.e., adherence to Weber's law) and
interpreted that result as law-based evidence of aperture shaping via relative
visual information. In contrast, Utz et al. (2015) reported that pantomime
grasping elicits an inverse JND/object size relationship and proposed that JNDs
in grasping do not reflect the sensory properties of a target object but rather
reflect range effects in the biomechanical limits of aperture opening (i.e., the
biomechanical hypothesis). Thus, the biomechanical hypothesis asserts that small
objects have a larger range of possible aperture values than larger objects due
to reduced biomechanical freedom associated with the hand's effective range of
motion. To test the biomechanical hypothesis we measured participants' maximal
thumb and forefinger separation and custom-built target objects with widths that
matched decile increments (i.e., 10 through 80%) of each participant's effective
range of motion. Results showed that JNDs increased linearly with increasing
target object size - a result incompatible with the biomechanical hypothesis.
Instead, the JND/object size relationship observed here supports convergent
evidence that pantomime-grasping is a perception-based task mediated via relative
visual information.
PMID- 27876513
TI - Are high lags of accommodation in myopic children due to motor deficits?
AB - Children with a progressing myopia exhibit an abnormal pattern of high
accommodative lags coupled with high accommodative convergence (AC/A) and high
accommodative adaptation. This is not predicted by the current models of
accommodation and vergence. Reduced accommodative plant gain and reduced
sensitivity to blur have been suggested as potential causes for this abnormal
behavior. These etiologies were tested by altering parameters (sensory,
controller and plant gains) in the Simulink model of accommodation. Predictions
were then compared to the static and dynamic blur accommodation (BA) measures
taken using a Badal optical system on 12 children (6 emmetropes and 6 myopes, 8
13years) and 6 adults (20-35years). Other critical parameters such as CA/C, AC/A,
and accommodative adaptation were also measured. Usable BA responses were
classified as either typical or atypical. Typical accommodation data confirmed
the abnormal pattern of myopia along with an unchanged CA/C. Main sequence
relationship remained invariant between myopic and nonmyopic children. An overall
reduction was noted in the response dynamics such as peak velocity and
acceleration with age. Neither a reduced plant gain nor reduced blur sensitivity
could predict the abnormal accommodative behavior. A model adjustment reflecting
a reduced accommodative sensory gain (ASG) coupled with an increased AC cross
link gain and reduced vergence adaptive gain does predict the empirical findings.
Empirical measures also showed a greater frequency of errors in accommodative
response generation (atypical responses) in both myopic and control children
compared to adults.
PMID- 27876514
TI - An investigation of the spatial selectivity of the duration after-effect.
AB - Adaptation to the duration of a visual stimulus causes the perceived duration of
a subsequently presented stimulus with a slightly different duration to be skewed
away from the adapted duration. This pattern of repulsion following adaptation is
similar to that observed for other visual properties, such as orientation, and is
considered evidence for the involvement of duration-selective mechanisms in
duration encoding. Here, we investigated whether the encoding of duration - by
duration-selective mechanisms - occurs early on in the visual processing
hierarchy. To this end, we investigated the spatial specificity of the duration
after-effect in two experiments. We measured the duration after-effect at adapter
test distances ranging between 0 and 15 degrees of visual angle and for within-
and between-hemifield presentations. We replicated the duration after-effect: the
test stimulus was perceived to have a longer duration following adaptation to a
shorter duration, and a shorter duration following adaptation to a longer
duration. Importantly, this duration after-effect occurred at all measured
distances, with no evidence for a decrease in the magnitude of the after-effect
at larger distances or across hemifields. This shows that adaptation to duration
does not result from adaptation occurring early on in the visual processing
hierarchy. Instead, it seems likely that duration information is a high-level
stimulus property that is encoded later on in the visual processing hierarchy.
PMID- 27876515
TI - Regenerative therapy for hypothyroidism: Mechanisms and possibilities.
AB - The ability to derive functional thyroid follicular cells from embryonic stem
cells (ESCs) or induced pluripotent stem cells (iPSCs) would provide potential
therapeutic benefit for patients with congenital or post-surgical hypothyroidism.
Furthermore, understanding the process by which thyroid follicular cells develop
will also provide great insight into the key steps that regulate the development
of other tissues derived from endoderm. Here we review the advances in our
understanding of the process of thyroid follicular cell development including the
creation of two models that have allowed for the rescue of hypothyroid mouse
recipients through the transplantation of thyroid follicular cells derived from
mouse ESCs. Rapid progress in the field suggests that the same success should be
achievable with human ESCs or iPSCs in the near future. Additionally, the
availability of ESC or iPSC-derived thyroid follicular cell models will provide
ideal systems to explore how genetic mutations, drugs or illness impact thyroid
function in a cell-autonomous fashion.
PMID- 27876518
TI - An update on the role of proximal occlusion devices in carotid artery stenting.
AB - Carotid artery stenting performed with distal embolic protection devices
continues to show elevated rates of periprocedural stroke, in particular with
high-risk groups. This article discusses the factors associated with protection
devices that may contribute to this complication, performs a literature review to
assess outcomes of carotid stenting with proximal occlusion devices, and assesses
the role of proximal occlusion devices in the management of patients with carotid
artery stenosis.
PMID- 27876516
TI - Predictors of aortic growth in uncomplicated type B aortic dissection from the
Acute Dissection Stent Grafting or Best Medical Treatment (ADSORB) database.
AB - BACKGROUND: The high-risk patient cohort of uncomplicated type B aortic
dissections (uTBADs) needs to be clarified. We compared uTBAD patients treated
with best medical treatment (BMT), with and without aortic growth, from the Acute
Dissection Stent Grafting or Best Medical Treatment (ADSORB) trial database.
Furthermore, we looked for trends in outcome for aortic growth and remodeling
after BMT and thoracic endovascular aortic repair (TEVAR) and BMT (TEVAR+BMT).
METHODS: BMT patients with available baseline and a 1-year follow-up arterial
computed tomography scan were identified. True lumen and false lumen diameter was
assessed at baseline and at follow-up. Patients with false lumen growth (group I)
and without false lumen growth (group II) were compared. Predictors of false
lumen and total lumen (aortic) growth were identified. Lastly, BMT outcomes were
compared with BMT+TEVAR for false lumen thrombosis and change in false lumen and
total aortic diameter in four sections: 0 to 10 cm (A), 10 to 20 cm (B), 20 to 30
cm (C), and 30 to 40 cm (D) from the left subclavian artery. RESULTS: The
dissection was significantly longer in group I than in group II (43.2 +/- 4.9 cm
vs 30.4 +/- 8.8 cm; P = .002). The number of vessels originating from the false
lumen at baseline was identified as an independent predictor of false lumen
growth (odds ratio, 22.1; 95% confidence interval, 1.01-481.5; P = .049).
Increasing age was a negative predictor of total aortic diameter growth (odds
ratio, 0.902; 95% confidence interval, 0.813-1.00; P = .0502). The proximal
sections A and B showed complete thrombosis in 80.6% in the BMT+TEVAR group
compared with 9.5% in the BMT group. In these sections, changes from patent to
partial or partial to complete thrombosis were observed in 90.3% of the TEVAR+BMT
group vs 31.0% in the BMT group. In sections C and D, the change in thrombosis
was 74.1% for the TEVAR+BMT group vs 20.6% for the BMT group. The false lumen
diameter increase at section C was larger in the BMT group. Total lumen diameter
decreased in sections A and B in the TEVAR+BMT group compared with an increase in
the BMT group (-4.8 mm vs +2.9 mm, and -1.5 mm vs +3.8 mm, respectively).
Sections C and D showed minimal and comparable expansion in both treatment
groups. CONCLUSIONS: The new imaging analysis of the ADSORB trial patients
identified the number of vessels originating from the false lumen as an
independent predictor of false lumen growth in uTBAD patients. Increasing age was
a negative predictor of aortic growth. Our analysis may help to identify which
uTBAD patients are at higher risk and should receive TEVAR or be monitored
closely during follow-up.
PMID- 27876517
TI - Clinical effects of single or double tibial artery revascularization in critical
limb ischemia patients with tissue loss.
AB - OBJECTIVE: The achievement of single vessel inflow to the wound is an acceptable
end point of peripheral vascular intervention for patients with critical limb
ischemia (CLI) with tissue loss. However, CLI patients often have multitibial
artery lesions. We evaluated the clinical effects of single or double tibial
artery revascularization for CLI patients. METHODS: This study was conducted
retrospectively in a single center. Between April 2007 and January 2015, we
treated 123 CLI patients (137 limbs) who had lesions in both the anterior tibial
artery and the posterior tibial artery. Of these, single tibial artery (anterior
or posterior tibial artery) revascularization was performed in 84 limbs (group S)
and double tibial artery (both anterior and posterior tibial arteries)
revascularization was performed in 53 limbs (group D). RESULTS: The wound healing
rate was significantly higher (87% vs 79%; P = .003), the time to wound healing
was shorter (median, 83 vs 142 days; P = .01), and the repeat peripheral vascular
intervention rate was lower (15% vs 35%; P = .03) in group D than in group S. The
wound healing rate was nearly similar between the 2 groups in patients with a low
clinical stage as assessed by Society for Vascular Surgery Wound, Ischemia, and
foot Infection (90% in group D vs 93% in group S; P = .20); however, the wound
healing rate was significantly higher in group D in patients with a high clinical
stage (85% vs 72%; P = .007). CONCLUSIONS: The achievement of double vessel
inflows to the wound by double tibial artery revascularization positively affects
wound healing, particularly in severe CLI patients.
PMID- 27876519
TI - The effect of body mass index on major outcomes after vascular surgery.
AB - OBJECTIVE: Obesity has been associated with an increased risk for cardiovascular
morbidity and mortality, although pooled evidence in patients undergoing vascular
surgery are lacking. The aim of this systematic review was to evaluate the effect
of body mass index (BMI) on major postoperative outcomes in patients undergoing
vascular surgery. METHODS: A systematic literature review conforming to
established criteria to identify eligible articles published before May 2016 was
conducted. Eligible studies evaluated major postoperative outcomes in vascular
surgery patients of different BMI groups according to the weight classification
of the National Institutes of Health criteria: underweight (UW), BMI <=18.5
kg/m2; normal weight (NW), BMI of 18.6 to 24.9 kg/m2; overweight (OW), BMI of 25
to 29.9 kg/m2; and obese (OB), BMI >=30 kg/m2. Major outcomes included 30-day
mortality, cardiac complications, and respiratory complications. Secondary
outcomes included wound and cerebrovascular complications, renal complications,
deep venous thrombosis/pulmonary embolism, and other complications. RESULTS:
Overall, eight retrospective studies were eligible including a total of 92,525
vascular surgery patients (2223 UW patients, 29,727 NW patients, 34,517 OW
patients, and 26,058 OB patients). Pooled data were as follows: mortality rate,
2.5%; cardiac events, 2.1%; respiratory events, 8.6%; wound complications, 6.4%;
cerebrovascular events, 6.4%; renal complications, 3.9%; other infections, 5.3%;
deep venous thrombosis/pulmonary embolism, 1.2%; and other complications, 3.7%.
Meta-analysis showed that OB patients were associated with lower mortality (odds
ratio [OR], 0.64; 95% confidence interval [CI], 0.541-0.757; P < .0001), cardiac
morbidity (OR, 0.81; 95% CI, 0.708-0.938; P = .004), and respiratory morbidity
(OR, 0.87; 95% CI, 0.802-0.941; P = .0006) after vascular surgery compared with
NW patients. However, OB patients were associated with a higher wound
complication rate (OR, 2.39; 95% CI, 1.777-3.211; P < .0001) compared with NW
patients. In contrast, UW patients were associated with a higher mortality (OR,
1.71; 95% CI, 1.177-2.505; P = .005) and respiratory morbidity (OR, 1.84; 95% CI,
1.554-2.166; P < .0001) compared with NW patients. CONCLUSIONS: The "obesity
paradox" does exist in patients undergoing vascular surgery. This paradox refers
not only to 30-day overall mortality but also to 30-day cardiac and respiratory
complications. However, obesity seems to be associated with more wound
complications. Surprisingly, UW patients are associated with higher mortality as
well as respiratory events postoperatively.
PMID- 27876520
TI - Explaining endograft shortening during endovascular repair of abdominal aortic
aneurysms in severe aortoiliac tortuosity.
AB - OBJECTIVE: During endovascular aneurysm repair (EVAR), severely tortuous
aortoiliac anatomy can alter the deployment and conformability of the endograft.
The accuracy of treatment length measurements is commonly recognized to be
affected by severe tortuosity. However, the exact mechanism of the
postintervention length discrepancy is poorly understood. The objective of this
study was to determine the mechanism of how severe aortoiliac tortuosity
influences the endograft and native aorta during EVAR and its impact on the
distal sealing zone. METHODS: A prospectively collected vascular surgery database
was retrospectively reviewed at a university-affiliated medical center to
identify the study patients. Patients who underwent EVAR with the main body
device deployed on the side of the severely tortuous iliac artery were selected.
Severe aortoiliac tortuosity was defined as having either aortoiliac or common
iliac angulation <90 degrees. RESULTS: A total of 469 patients between 2008 and
2014 underwent EVAR using the Endurant endograft (Medtronic Cardiovascular, Santa
Rosa, Calif). Severe aortoiliac tortuosity was observed in 36% of patients; 17
patients were found to have the main body placed on the side of severe tortuosity
without an extension limb. There was a significant shortening of the main body
endograft length from 169 mm before EVAR to 147 mm after EVAR (P < .001). The
treatment length of the main body, measured from the lowest renal artery to
hypogastric artery, also significantly shortened from 179 mm to 170 mm (P <
.001). There was a decrease in tortuosity at the most angulated portion of the
aneurysm after EVAR, in which angulation changed from 86 degrees to 114 degrees
(P < .001). There was no significant change in treatment length (P = .859) and
angulation (P = .195) on the nontortuous side of the aneurysm. CONCLUSIONS: The
study observed significant shortening of endografts and native aorta and iliac
arteries in patients with severe aortoiliac tortuosity during EVAR. This
shortening effect can have a negative impact on the distal sealing zone during
EVAR. A longer main body or an extension limb should be considered when one is
faced with severely tortuous aneurysms.
PMID- 27876522
TI - Relative value unit-based compensation incentivization in an academic vascular
practice improves productivity with no early adverse impact on quality.
AB - OBJECTIVE: Given the increased pressure from governmental programs to restructure
reimbursements to reflect quality metrics achieved by physicians, review of
current reimbursement schemes is necessary to ensure sustainability of the
physician's performance while maintaining and ultimately improving patient
outcomes. This study reviewed the impact of reimbursement incentives on evidence
based care outcomes within a vascular surgical program at an academic tertiary
care center. METHODS: Data for patients with a confirmed 30-day follow-up for the
vascular surgery subset of our institution's National Surgical Quality
Improvement Program submission for the years 2013 and 2014 were reviewed. The
outcomes reviewed included 30-day mortality, readmission, unplanned returns to
the operating room, and all major morbidities. A comparison of both total charges
and work relative value units (RVUs) generated was performed before and after
changes were made from a salary-based to a productivity-based compensation model.
P value analysis was used to determine if there were any statistically
significant differences in patient outcomes between the two study years. RESULTS:
No statistically significant difference in outcomes of the core measures studied
was identified between the two periods. There was a trend toward a lower
incidence of respiratory complications, largely driven by a lower incidence in
pneumonia between 2013 and 2014. The vascular division had a net increase of 8.2%
in total charges and 5.7% in work RVUs after the RVU-based incentivization
program was instituted. CONCLUSIONS: Revenue-improving measures can improve
sustainability of a vascular program without negatively affecting patient care as
evidenced by the lack of difference in evidence-based core outcome measures in
our study period. Further studies are needed to elucidate the long-term effects
of incentivization programs on both patient care and program viability.
PMID- 27876521
TI - Increased risk of renal dysfunction with percutaneous mechanical thrombectomy
compared with catheter-directed thrombolysis.
AB - OBJECTIVE: Percutaneous mechanical thrombectomy (PMT) is regularly used in the
treatment of both venous and arterial thrombosis. Although there has been no
formal report, PMT has been linked to cases of reversible postoperative acute
kidney injury (AKI). The purpose of this study is to evaluate the risk of renal
dysfunction in patients undergoing PMT vs catheter-directed thrombolysis (CDT)
for treatment of an acute thrombus. METHODS: This study is a retrospective review
of all patients in a single institution with a Current Procedural Terminology
code for PMT or CDT from January 2009 through December 2014. Each patient was
grouped into one of the four following procedural categories: PMT only, PMT with
tissue plasminogen activator (tPA) pulse-spray, PMT with CDT, or CDT only.
Preoperative and postoperative creatinine and glomerular filtration rate (GFR)
values were obtained for each patient. The RIFLE (Risk, Injury, Failure, Loss,
and End-stage renal disease) criteria were used to categorize the extent of renal
dysfunction. chi2 analysis, one-way analysis of variance, and unpaired t-test
were used to assess significance. RESULTS: A total of 227 patients were reviewed,
of which 82 were excluded due to either existence of preoperative AKI, history of
end-stage renal disease, or lack of clinical data. Of the remaining 145 patients,
53 (37%) presented with arterial thrombosis (mean age, 62 years; 43% male) and 92
(63%) presented with venous thrombosis (mean age, 48 years; 45% male). The
incidence of renal dysfunction was highest in the PMT/tPA pulse group (21%),
followed by the PMT group (20%) and the PMT/CDT group (14%). CDT was not
associated with renal dysfunction. PMT (P = .046), and PMT/tPA pulse (P = .033)
were associated with higher rates of renal dysfunction than the CDT controls. The
average preoperative GFR for the 22 patients who developed AKI was 53.7 +/- 9.4
mL/min/1.73 m2. The minimum postoperative GFR within 48 hours was an average of
35 +/- 16 mL/min/1.73 m2. Stratified by the RIFLE criteria, 13 (9%) patients
progressed to the risk category, 6 (4%) progressed to the injury category, and 3
(2%) progressed to the failure category. None of the patients who developed renal
dysfunction from PMT progressed to dialysis within the same admission period.
CONCLUSIONS: The use of PMT as a treatment for vascular thrombosis is associated
with renal dysfunction. Patients treated with PMT require postoperative vigilance
and renal protective measures.
PMID- 27876523
TI - Quality-of-life assessment as an outcomes measure in critical limb ischemia.
AB - Critical limb ischemia (CLI) is a diagnosis plagued by significant comorbidity
and high mortality rates. Overall survival remains poor in this population
regardless of the procedure-related success as demonstrated by freedom from
amputation, intervention, and patency. The literature has traditionally focused
on physician-centered and lesion-centered outcomes with regards to limb salvage
procedures, but there remains a relative paucity of studies of CLI patients
describing patient-centered outcomes such as quality of life (QoL), independent
living, and ambulation status. Review of the available literature indicates
patients do not always experience significant gains in their QoL after limb
salvage interventions, despite reasonable graft patency, amputation-free
survival, and limb salvage rates. Further research is required using QoL tools in
a measurable and clinically relevant fashion to guide optimal quality care that
maximizes patient-centered outcomes.
PMID- 27876524
TI - Lower extremity weakness is associated with elevated blood and cerebrospinal
fluid glucose levels following multibranched endovascular aortic aneurysm repair.
AB - OBJECTIVE: Hyperglycemia is associated with worsened clinical outcomes after
central nervous system injury. The purpose of this study was to examine the
association between lower extremity weakness (LEW) and the glucose levels of
blood and cerebrospinal fluid (CSF) in patients undergoing multibranched
endovascular aneurysm repair (MBEVAR) of thoracoabdominal and pararenal aortic
aneurysms. METHODS: Blood and CSF samples were collected preoperatively,
immediately after aneurysm repair, and on postoperative day 1 in 21 patients
undergoing MBEVAR. Data on demographics, operative repair, complications, and
outcomes were collected prospectively. RESULTS: There were 21 patients who
underwent successful MBEVAR. Two patients had pre-existing paraplegia from prior
open aortic surgery and were excluded from the current analysis. The mean age was
73 +/- 8 years, and 15 of 19 (79%) were men. In the postoperative period, 7 of 19
(37%) patients developed LEW. This was temporary in 5 of 19 (26%) patients and
permanent in 2 of 19 (11%) patients. The LEW group was older than the non-LEW
group (77 +/- 6 vs 70 +/- 9 years, respectively; P = .10), had a lower
preoperative glomerular filtration rate (58.6 +/- 18.5 vs 71.4 +/- 23.5 mL/min
per 1.73 m2; P = .24), and was more likely to be taking a statin (100% vs 67%,
respectively; P = .13), but these did not reach statistical significance. There
was no significant difference in the prevalence of diabetes mellitus,
hypertension, coronary artery disease, lung disease, or peripheral artery disease
between the LEW and non-LEW groups. There was also no difference in operative
time, blood loss, contrast material volume, or fluoroscopy times between the two
groups. Preoperative blood and CSF glucose levels were similar in those with and
without LEW. During the postoperative period, glucose values in the blood and CSF
were significantly higher in those patients who developed LEW compared with those
who did not develop LEW. In all patients with LEW, the elevation in the blood or
CSF glucose level preceded the development of LEW. In a multivariable logistic
regression model, CSF glucose concentration on postoperative day 1 was
significantly and independently associated with the development of LEW (odds
ratio, 2.30 [1.03-5.14] per 10 mg/dL increase in CSF glucose; P = .04).
CONCLUSIONS: Elevated blood glucose and CSF glucose levels are associated with
postoperative LEW in patients undergoing MBEVAR. The protective effect of
euglycemia deserves further study in patients at risk for spinal cord ischemia.
PMID- 27876525
TI - IL-6 roles - Molecular pathway and clinical implication in pancreatic cancer - A
systemic review.
AB - Pancreatic cancer has attracted a great deal of attention owing to the poor
outcome, increasing prevalence in the last years and delay diagnosis. Known as a
complex disease, it involves genetic mutations, changes in tumour
microenvironment and inflammatory component dominated by interleukin-6 and its
activated pathways, like Janus Kinase-Signal Transducer and Activator of
Translation3, Mitogen Activated Protein Kinase and Androgen receptor. The pro
inflammatory cytokine, plays a central role in oncogenesis, cancer progression,
invasiveness, microenvironment changes, treatment resistance, prognosis and
associated co morbidities like cachexia and depression. Fulfilling these roles IL
6 requires special attention to understand its complexity in PC development.
PMID- 27876527
TI - Imagine.
PMID- 27876526
TI - 1926-2016: 90 Years of listeriology.
AB - ISOPOL - for "International Symposium on Problems of Listeria and Listeriosis" -
meetings gather every three years since 1957 participants from all over the world
and allow exchange and update on a wide array of topics concerning Listeria and
listeriosis, ranging from epidemiology, diagnostic and typing methods, to
genomics, post-genomics, fundamental microbiology, cell biology and pathogenesis.
The XIXth ISOPOL meeting took place in Paris from June 14th to 17th, 2016 at
Institut Pasteur. We provide here a report of the talks that were given during
the meeting, which represents an up-to-date overview of ongoing research on this
important pathogen and biological model.
PMID- 27876528
TI - The impact of lens care solutions on corneal epithelial changes during daily
silicone hydrogel contact lens wear as measured by in vivo confocal microscopy.
AB - PURPOSE: To assess corneal epithelial microstructure via confocal microscopy and
determine if cellular changes are associated with lens care solutions during
daily wear of silicone hydrogel contact lenses. METHODS: Corneal in vivo confocal
microscopy with the Nidek ConfoScan4 was performed at baseline and after 5 months
of lotrafilcon A daily contact lens wear. Enrolled participants were randomized
to use either a polyhexamethylene biguanide (PHMB) preserved multipurpose care
solution (MPS) or a peroxide based solution system. Lens and storage case
bioburden were assessed with aerobic culture methods. Univariate and
multivariable analyses were done to evaluate the association between solution
use, or solution-related clinical covariates, and morphologic differences (hyper
reflectivity) in the superficial epithelial cells and epithelial basal cell
density. RESULTS: Data on 139 participants were available for analysis of
superficial epithelial cells while data on 92 participants were available for
epithelial basal cell density. Five months after randomization to the solution
groups, 33% of participants had visible hyper-reflective cells. More participants
using MPS had >=1 hyper-reflective cells compared to peroxide users at 5 months
(44% vs. 22%; p=0.006). Similarly at 5 months, more participants with solution
induced corneal staining (SICS) had >=1 hyper-reflective cells compared to non
SICS participants (57% vs. 29%; p=0.010). The adjusted odds ratios (ORs) for risk
of presenting with hyper-reflective cells in MPS users or SICS participants was
2.7 (95% CI; 1.27-5.65) and 3.4 (95% CI; 1.29-8.97), respectively. Basal cell
density decreased by over 350 cells/mm2 over time (about 6%) in participants who
had substantial bioburden on their lenses or in their storage case. CONCLUSION:
The confocal microscope can detect epithelial cellular changes in vivo during
contact lens wear. Hyper-reflective superficial epithelial cells are associated
with a PHMB preserved solution and decreases in basal epithelial cell density may
be associated with bacterial bioburden.
PMID- 27876529
TI - Comparison of community and hospital pharmacists' attitudes and behaviors on
medication error disclosure to the patient: A pilot study.
AB - OBJECTIVES: To determine pharmacists' attitudes and behaviors on medication
errors and their disclosure and to compare community and hospital pharmacists on
such views. METHODS: An online questionnaire was developed from previous studies
on physicians' disclosure of errors. Questionnaire items included demographics,
environment, personal experiences, and attitudes on medication errors and the
disclosure process. An invitation to participate along with the link to the
questionnaire was electronically distributed to members of two Illinois pharmacy
associations. A follow-up reminder was sent 4 weeks after the original message.
Data were collected for 3 months, and statistical analyses were performed with
the use of IBM SPSS version 22.0. RESULTS: The overall response rate was 23.3% (n
= 422). The average employed respondent was a 51-year-old white woman with a BS
Pharmacy degree working in a hospital pharmacy as a clinical staff member.
Regardless of practice settings, pharmacist respondents agreed that medication
errors were inevitable and that a disclosure process is necessary. Respondents
from community and hospital settings were further analyzed to assess any
differences. Community pharmacist respondents were more likely to agree that
medication errors were inevitable and that pharmacists should address the
patient's emotions when disclosing an error. Community pharmacist respondents
were also more likely to agree that the health care professional most closely
involved with the error should disclose the error to the patient and thought that
it was the pharmacists' responsibility to disclose the error. Hospital pharmacist
respondents were more likely to agree that it was important to include all
details in a disclosure process and more likely to disagree on putting a
"positive spin" on the event. CONCLUSION: Regardless of practice setting,
responding pharmacists generally agreed that errors should be disclosed to
patients. There were, however, significant differences in their attitudes and
behaviors depending on their particular practice setting.
PMID- 27876531
TI - Patient-centered quality of life measures after alloplastic temporomandibular
joint replacement surgery.
AB - The purpose of this study was to evaluate patient-reported outcome measures of
quality of life (QoL) for patients with end-stage temporomandibular joint (TMJ)
disease who have undergone TMJ prosthetic replacement. The records of 36 patients
who had undergone alloplastic total joint replacement procedures were analyzed.
Patients were treated using either TMJ Concepts or Biomet/Lorenz prosthetics.
Patients were asked to complete a 12-item TMJ-S-QoL survey, which encompassed
questions pertaining to pain, speech, chewing function, and various aspects of
social life and mental health. The questions were answered on a 5-point scale.
Data were analyzed using the Wilcoxon signed-rank test. Among the 36 patients
(six male and 30 female), 18 responded to the survey. Markers of QoL after
surgery were compared to the preoperative period. Significant improvements were
reported for pain (94.4% of patients), chewing (83.3% of patients), speech (55.6%
of patients), anxiety (72.2% of patients), activity (66.7% of patients),
recreation (61.1% of patients), and mood (66.7% of patients) (all P<0.05). TMJ
prosthetic replacement significantly enhanced QoL among patients suffering from
chronic pain, limited range of motion, anxiety, impaired speech, and chewing due
to end-stage TMJ disease in this sample of surgical patients.
PMID- 27876532
TI - Efficacy of the C-terminal telopeptide test in predicting the development of
bisphosphonate-related osteonecrosis of the jaw: a systematic review.
AB - This systematic review evaluated the efficacy of the morning fasting serum C
terminal telopeptide (CTX) test in predicting the development of bisphosphonate
related osteonecrosis of the jaw (BRONJ). A comprehensive search of studies
published up to March 2016, and listed in the PubMed/MEDLINE, Web of Science, and
Cochrane Library databases, was performed in accordance with the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA) guidelines.
This review has been registered in the PROSPERO international prospective
register of systematic reviews (CRD42016036717). The search identified 542
publications; eight studies were finally deemed eligible for inclusion according
to the study criteria. These studies included a total 1442 patients (mean age
66.7 years). The most prescribed drug was alendronate, with osteoporosis being
the most frequent indication for the prescription of bisphosphonates. Tooth
extraction was the most common trigger for BRONJ. Of all patients evaluated after
bisphosphonate treatment, only 24 (1.7%) developed BRONJ. All eight of the
selected studies found that CTX levels were not predictive of the development of
BRONJ. In conclusion, this systematic review indicates that the CTX test has no
predictive value in determining the risk of osteonecrosis in patients taking
bisphosphonates.
PMID- 27876530
TI - Two adjacent phenylalanines in the NMDA receptor GluN2A subunit M3 domain
interactively regulate alcohol sensitivity and ion channel gating.
AB - The N-methyl-d-aspartate (NMDA) receptor is a key target of ethanol action in the
central nervous system. Alcohol inhibition of NMDA receptor function involves
small clusters of residues in the third and fourth membrane-associated (M)
domains. Previous results from this laboratory have shown that two adjacent
positions in the M3 domain, F636 and F637, can powerfully regulate alcohol
sensitivity and ion channel gating. In this study, we report that these positions
interact with one another in the regulation of both NMDA receptor gating and
alcohol action. Using dual mutant cycle analysis, we detected interactions among
various substitution mutants at these positions with respect to regulation of
glutamate EC50, steady-state to peak current ratios (Iss:Ip), mean open time, and
ethanol IC50. This interaction apparently involves a balancing of forces on the
M3 helix, such that the disruption of function due to a substitution at one
position can be reversed by a similar substitution at the other position. For
example, tryptophan substitution at F636 or F637 increased or decreased channel
mean open time, respectively, but tryptophan substitution at both positions did
not alter open time. Interestingly, the effects of a number of mutations on
receptor kinetics and ethanol sensitivity appeared to depend upon subtle
structural differences, such as those between the isomeric amino acids leucine
and isoleucine, as they could not be explained on the basis of sidechain
molecular volume or hydrophilicity.
PMID- 27876533
TI - Multiple single nucleotide polymorphisms in the first intron of the IL2RA gene
affect transcription factor binding and enhancer activity.
AB - IL2RA gene encodes the alpha subunit of a high-affinity receptor for interleukin
2 which is expressed by several distinct populations of lymphocytes involved in
autoimmune processes. A large number of polymorphic alleles of the IL2RA locus
are associated with the development of various autoimmune diseases. With
bioinformatics analysis we the dissected the first intron of the IL2RA gene and
selected several single nucleotide polymorphisms (SNPs) that may influence the
regulation of the IL2RA gene in cell types relevant to autoimmune pathology. We
described five enhancers containing the selected SNPs that stimulated activity of
the IL2RA promoter in a cell-type specific manner, and tested the effect of
specific SNP alleles on activity of the respective enhancers (E1 to E5, labeled
according to the distance to the promoter). The E4 enhancer with minor T variant
of rs61839660 SNP demonstrated reduced activity due to disrupted binding of
MEF2A/C transcription factors (TFs). Neither rs706778 nor rs706779 SNPs, both
associated with a number of autoimmune diseases, had any effect on the activity
of the enhancer E2. However, rare variants of several SNPs (rs139767239,
rs115133228, rs12722502, rs12722635) genetically linked to either rs706778 and/or
rs706779 significantly influenced the activity of E1, E3 and E5 enhancers,
presumably by disrupting EBF1, GABPA and ELF1 binding sites.
PMID- 27876534
TI - Transcranial magnetic stimulation modifies astrocytosis, cell density and
lipopolysaccharide levels in experimental autoimmune encephalomyelitis.
AB - AIMS: Experimental autoimmune encephalomyelitis (EAE) is considered a valid
experimental model for multiple sclerosis, a chronic neuroinflammatory condition
of the central nervous system. Additionally, some evidence has shown that some
microbial products such as the bacterial lipopolysaccharide could lead to the
activation of reactive immune cells, triggering neuroinflammation. Several
studies have found that transcranial magnetic stimulation (TMS) may exert a
neuroprotective effect. Therefore, we aimed to assess the effect of TMS on the
neuroinflammation occurring in EAE. MATERIALS AND METHODS: A total of 44 male
Dark Agouti rats were used. EAE induction was performed administering
subcutaneously at the dorsal base of the tail a single dose of myelin
oligodendrocyte glycoprotein. Clinical evaluation of motor symptoms was
performed. Brain and spinal cord were collected and analyzed for nitric oxide,
bacterial lipopolysaccharide and lipopolysaccharide-binding protein. We also
carried out a histologic exam, which included an astrocyte immunostaining and
Nissl staining for the assessment of brain cell density and pyknotic nuclei. KEY
FINDINGS: TMS effectively ameliorated motor impairment secondary to EAE. This
form of magnetic field was capable of decreasing the proliferation of astrocytes
as a response to the autoimmune attack, reducing the content of nitric oxide,
bacterial lipopolysaccharide and lipopolysaccharide-binding protein in central
nervous system. Moreover, in treated animals, brain cell density was improved and
the number of pyknotic nuclei was decreased. SIGNIFICANCE: Transcranial magnetic
stimulation modifies astrocytosis, cell density and lipopolysaccharide levels in
EAE. These results suggest that TMS could be a promising treatment for
neuroinflammatory conditions such as multiple sclerosis.
PMID- 27876537
TI - Artificial Neural Networks approach to pharmacokinetic model selection in DCE-MRI
studies.
AB - PURPOSE: In pharmacokinetic analysis of Dynamic Contrast Enhanced MRI data, a
descriptive physiological model should be selected properly out of a set of
candidate models. Classical techniques suggested for this purpose suffer from
issues like computation time and general fitting problems. This article proposes
an approach based on Artificial Neural Networks (ANNs) for solving these
problems. METHODS: A set of three physiologically and mathematically nested
models generated from the Tofts model were assumed: Model I, II and III. These
models cover three possible tissue types from normal to malignant. Using 21
experimental arterial input functions and 12 levels of noise, a set of 27,216
time traces were generated. ANN was validated and optimized by the k-fold cross
validation technique. An experimental dataset of 20 patients with glioblastoma
was applied to ANN and the results were compared to outputs of F-test using Dice
index. RESULTS: Optimum neuronal architecture ([6:7:1]) and number of training
epochs (50) of the ANN were determined. ANN correctly classified more than 99% of
the dataset. Confusion matrices for both ANN and F-test results showed the
superior performance of the ANN classifier. The average Dice index (over 20
patients) indicated a 75% similarity between model selection maps of ANN and F
test. CONCLUSIONS: ANN improves the model selection process by removing the need
for time-consuming, problematic fitting algorithms; as well as the need for
hypothesis testing.
PMID- 27876536
TI - The protective effect of 1alpha, 25-dihydroxyvitamin d3 and metformin on liver in
type 2 diabetic rats.
AB - There is an accumulating evidence suggesting an immunomodulatory role of
1alpha,25(OH)2D3. Altered 1alpha,25(OH)2D3 level may play a role in the
development of T2DM and contribute to the pathogenesis of liver diseases. Our
study was designed to study and compare the effect of metformin and
1alpha,25(OH)2D3 supplementation on liver injury in type 2 diabetic rat. Sixty
male Albino rats were divided into 5 groups; group 1: control rats. the remaining
rats were fed high fat diet for 2 weeks and injected with streptozotocin (35mg/kg
BW, i.p.) to induce T2DM and were divided into: group 2: untreated diabetic rats,
group 3: diabetic rats treated by metformin (100mg/kgBW/d, orally), group 4:
diabetic rats supplemented by 1alpha,25(OH)2D3 (0.5MUg/kg BW, i.p.) 3 times
weekly and group 5: supplemented by both 1alpha,25(OH)2D3 and metformin. Eight
weeks later, serum glucose and insulin levels were measured, HOMA IR was
calculated, lipid profile, Ca2+, ALT and AST were estimated. Liver specimens were
taken to investigate PPAR-alpha (regulator of lipid metabolism), NF-kappaB p65,
caspase 3 and PCNA (proliferating cell nuclear antigen) and for histological
examination. The liver enzymes were elevated in the diabetic rats and the
histological results revealed an injurious effect of diabetes on the liver.
1alpha,25(OH)2D3, metformin and both drugs treatment significantly improved liver
enzymes as compared to the untreated rats. The improvement was associated with a
significant improvement in the glycemic control, lipid profile and serum Ca2+
with a significant reduction in NF-kappaB p65 and caspase 3 and increased PPAR
alpha, and PCNA expression as compared to the untreated group. 1alpha,25(OH)2D3
induced a slightly better effect as compared to metformin. Both agents together
had a synergistic action and almost completely protected the liver. Histological
results confirmed the biochemical findings. Our results showed a protective
effect of 1alpha,25(OH)2D3 and metformin on liver in diabetic rats as indicated
by an improvement of the level of the liver enzymes, decreased apoptosis and
increased proliferation and this was confirmed histologically, with modulating
NFkB and PPAR-alpha. Both agents together had a synergistic effect.
PMID- 27876538
TI - Neutrophil to lymphocyte ratio and the hematoma volume and stroke severity in
acute intracerebral hemorrhage patients.
AB - BACKGROUND: Neutrophil to lymphocyte ratio (NLR) serves as a powerful
inflammatory marker for predicting cardiovascular events. Here, we investigate
whether admission NLR is associated with hematoma volume, stroke severity, and 3
month outcomes in patients with acute intracerebral hemorrhage (ICH). METHODS:
352 patients with acute ICH were prospectively identified in this study.
Demographic characteristics, lifestyle risk factors, NIHSS score, hematoma
volumes, and other clinical features were recorded for all participants. Patients
was divided into quartiles based on the admission NLR levels (Q1: <2.78; Q2: 2.78
4.08; Q3: 4.08-7.85; Q4: >=7.85). Multivariable linear regression models and
logistic regression models were used to evaluate the association between NLR and
hematoma volume, admission severity, or the outcomes after ICH. RESULTS: Median
NIHSS scores for each quartile (Q1 to Q4) were 6.0, 6.0, 6.0, and 11.0 (P=.001),
and median hematoma volumes were 9.5, 9.3, 9.1, and 15.0ml (P=.005),
respectively. After adjusting the age, sex, and other potential risk factors, the
patients in Q4 had higher NIHSS scores (P=.042) and larger hematoma volume
(P=.014). After 3-month follow-up, 148 poor outcomes (mRS, 3-6) and 47 all-cause
deaths were documented. There were more patients with poor outcomes in Q4 than
Q1. However, compared with the patients in Q1, those in Q4 were not associated
with poor outcomes (P-trend=0.379), and all-cause mortality (P-trend=0.843) after
adjust for other risk factors. CONCLUSIONS: Higher admission NLR are associated
with larger hematoma volume and more serious stroke, but not 3-month outcomes in
patients with acute ICH.
PMID- 27876539
TI - Antimicrobial resistance in urinary tract infections at a large urban ED: Factors
contributing to empiric treatment failure.
AB - OBJECTIVE: To calculate the emergency department (ED)-level Escherichia coli
percentage of isolates susceptible to commonly used antibiotics and to determine
the risk factors associated with inadequate empiric antibiotic therapy among
patients treated for urinary tract infections (UTIs) in our ED. METHODS:
Retrospective cohort study conducted at a large tertiary teaching hospital.
Participants included patients older than 18years of age who had a urine culture
with growth of >100,000 colonies of E. coli. Demographic and therapeutic choices
associated with inadequate empiric antibiotic therapy were explored.
Antimicrobial susceptibility pattern of E. coli isolates recovered from ED
patients were calculated, and stratified by gender and age. RESULTS: A total of
300 unique patients had E. coli bacteriuria during the study period. Among
patients who received at least one dose of antibiotic in the ED, variables
independently associated with an increased risk of inadequate empiric therapy
were age (relative risk [RR] 1.016; 95% confidence interval [CI] 1.001-1.031;
P=0.032), male gender (RR 2.507; 95% CI 1.470-4.486; P=0.001), and use of
fluoroquinolones (RR 2.128; 95% CI 1.249-3.624 P=0.005). Sub-group analysis of
patients discharged from the ED showed that definitive therapy with
nitrofurantoin decreased the risk of inadequate empiric antibiotic therapy by 80%
(RR 0.202; CI 0.065-0.638; P=0.006). ED-level antibiograms showed differences in
antimicrobial susceptibility of E. coli by age and gender. CONCLUSIONS:
Development of ED-level antimicrobial susceptibility data and consideration of
patients' clinical characteristics can help better guide selection of empiric
antibiotic therapy for the treatment of UTIs.
PMID- 27876535
TI - 4-hydroxynonenal-mediated signaling and aging.
AB - 4-Hydroxy-2-nonenal (HNE), one of the major alpha, beta-unsaturated aldehydes
produced during lipid peroxidation, is a potent messenger in mediating signaling
pathways. Lipid peroxidation and HNE production appear to increase with aging.
Although the cause and effect relation remains arguable, aging is associated with
significant changes in diverse signaling events, characterized by enhanced or
diminished responses of specific signaling pathways. In this review we will
discuss how HNE may contribute to aging-related alterations of signaling
pathways.
PMID- 27876540
TI - Laryngotracheal separation following blunt neck trauma.
PMID- 27876541
TI - Diagnostic performance of a biomarker panel as a negative predictor for acute
appendicitis in adult ED patients with abdominal pain.
AB - OBJECTIVES: Evaluate the diagnostic accuracy of the APPY1TM biomarker panel,
previously described for use in pediatric patients, for identifying adult ED
patients with abdominal pain who are at low risk of acute appendicitis. METHODS:
This study prospectively enrolled subjects >18years of age presenting to seven
U.S. emergency departments with <72hours of abdominal pain suggesting possible
acute appendicitis. The APPY1 panel was performed on blood samples drawn from
each patient at the time of initial evaluation and results were correlated with
the final diagnosis either positive or negative for acute appendicitis. RESULTS:
431 patients were enrolled with 422 completing all aspects of the study. The
APPY1 biomarker panel exhibited a sensitivity of 97.5% (95% CI, 91.3-99.3%), a
negative predictive value of 98.4% (95% CI, 94.4-99.6%), a negative likelihood
ratio of 0.07 (95% CI, 0.02-0.27), with a specificity of 36.5% (95% CI, 31.6
41.8%) for acute appendicitis. The panel correctly identified 125 of 342 (36.6%)
patients who did not have appendicitis with 2 (2.5%) false negatives. The CT
utilization rate in this population was 72.7% (307/422). Of 307 CT scans, 232
were done for patients who did not have appendicitis and 79 (34%) of these
patients were correctly identified as negative with "low risk" biomarker panel
results, representing 26% (79/307) of all CT scans performed. CONCLUSION: This
biomarker panel exhibited high sensitivity and negative predictive value for
acute appendicitis in this prospective adult cohort, thereby potentially reducing
the dependence on CT for the evaluation of possible acute appendicitis.
PMID- 27876542
TI - Successful percutaneous coronary intervention of total chronic occlusion of the
left main coronary artery: A feasible option?
PMID- 27876544
TI - Follow up of surgically-assisted rapid maxillary expansion after 6.5 years:
skeletal and dental effects.
AB - Surgically-assisted rapid maxillary expansion (SARME) is a technique used to
widen the maxilla, and we present the results of our long-term follow up (6.5
years). Seventeen patients who had been treated with SARME and prospectively
followed were invited for long-term follow up using dental casts and
posteroanterior cephalograms. The following measurements were made on the dental
casts: transverse distances at canine, premolar, and molar level, length of the
arch, and width and depth of the palate at premolar and molar level. The distance
between the left and right nasal bases and the widening of the inferior maxilla
were measured on the posteroanterior cephalograms. Boneborne and toothborne
distractors were used in 8 and 9 patients, respectively. In the study of dental
casts, there was a significant increase in transverse width in the canine
(P<0.001), premolar (P<0.001) and molar (P=0,001) and these remained stable in
the long term. The arch length did not increase significantly, but the palatal
width increased significantly in the premolar (P<0.001) and molar (P=0.001)
regions. No effect was seen in palatal depth. On the posteroanterior cephalograms
the width of the inferior part of the maxilla was increased, but not
significantly so. There were no significant changes at the nasal base. We
conclude that SARME is a predictable technique to widen the maxilla in the long
term.
PMID- 27876545
TI - Submental island flap reconstruction in oral cavity cancer patients with level I
lymph node metastasis.
AB - The submental island flap is useful as an alternative to microvascular free
tissue transfer for the reconstruction of defects after resection of oral cancer
because it is simple to harvest, reliable, and is associated with good oral
function and low morbidity. However, because cancer of the oral cavity carries a
risk of level I nodal metastases, the oncological safety of the flap remains
controversial. Between April 2012 and September 2016, we studied patients with
squamous cell carcinoma of the oral cavity who had surgical resection with
submental island flap reconstruction for viability of the flap, signs of
recurrence, and complications. Thirty-five patients (22 men and 13 women) were
enrolled in the study and the mean (range) duration of follow-up was 23 (11-48)
months. Six patients had local recurrences of their tumours, none of which was
considered to be related to the flap. No flap was lost completely, but there were
10 cases of partial skin loss that healed with conservative management. There
were no orocutaneous fistulas, haematomas, or marginal mandibular nerve palsies.
We conclude that the submental island flap can be used safely in selected
patients with level I lymph node metastases when the flap has been harvested
meticulously.
PMID- 27876546
TI - Occupational exposure to bodily fluids in oral and maxillofacial surgery: an
evaluation of reporting practices and attitudes among staff at a major teaching
hospital in the UK.
AB - Our aim was to evaluate experience, practice, and beliefs about reporting of
occupational exposures to blood and other body fluids among a sample of 88
healthcare providers working in oral and maxillofacial surgery at Sheffield
Teaching Hospitals. We used a cross-sectional survey to evaluate awareness of the
Trust's policy for reporting occupational exposure, recent incidence of exposure,
and current reporting practices. Beliefs were measured using questions derived
from the theory of planned behaviour. Fifty-five people responded, 14 of whom had
been exposed to bodily fluids in the previous 12 months. Of those, 10 did not
report it. Fifty-three respondents were certain that the Trust had a protocol in
place for reporting sharps injuries to staff. Most (n=51) said the Trust had a
protocol for reporting mucocutaneous exposure to blood. Respondents placed equal
importance on reporting exposures that affected both themselves and patients, but
intention to report exposure of patients was significantly higher than for
themselves (z score -3.18, p<0.0001). We conclude that OMFS healthcare workers
generally think that occupational exposures should be reported, but there are
shortcomings in practice.
PMID- 27876543
TI - In utero and childhood DDT, DDE, PBDE and PCBs exposure and sex hormones in
adolescent boys: The CHAMACOS study.
AB - Dichlorodiphenyltrichloroethane (DDT), polybrominated diphenyl ether (PBDE) flame
retardants, and polychlorinated biphenyls (PCBs) are believed to be endocrine
disrupting chemicals (EDCs) in humans and animals. The purpose of this study is
to examine the relationship of in utero and childhood exposure to these purported
EDCs and reproductive hormones in adolescent boys who participated in CHAMACOS,
an ongoing birth cohort in California's Salinas Valley. We measured o,p'- and
p,p'-DDT, p,p'-DDE, PBDEs and PCBs in serum collected from mothers during
pregnancy or at delivery and from their sons at 9 years. We measured
concentrations of follicle-stimulating hormone (FSH), luteinizing hormone (LH),
and total testosterone (T) from 234 of their sons at 12 years. In adjusted
models, we found that a 10-fold increase in maternal prenatal serum
concentrations of BDE-153 was associated with a 22.2% increase (95% CI: 1.0,
47.9) in FSH, a 96.6% increase (95% CI: 35.7, 184.7) in LH, and a 92.4% increase
(95% CI: 20.9, 206.2) increase in T. Similarly, BDE-100 concentrations were
associated with increases in boys' LH levels. A 10-fold increase in total
prenatal SigmaPCBs was associated with a 64.5% increase (95% CI: 8.6, 149.0) in
FSH, primarily driven by non- dioxin-like congeners. Boys' hormone levels were
only marginally associated with prenatal DDT or DDE in primary models, but when
boys' Tanner stage at age 12 was added to models, prenatal maternal DDT levels
were associated with decreases in LH (adjusted percent change per 10-fold
increase=-18.5%, 95% CI: -29.8, -5.4) and T (percent change=-18.2%, 95% CI:
30.2, -4.2) and DDE with LH (percent change=-18.3%, 95% CI: -32.9, -0.6).
Exposures measured in the children's serum at 9 years also showed associations
between BDE-153 and SigmaPCBs. However, there is evidence that these associations
appear to be mediated by child BMI. This study suggests associations on male
hormones of 12year old boys related to exposure to certain EDC exposure
prenatally. The implications on future reproductive function in puberty and
adulthood should be determined.
PMID- 27876547
TI - Scheduling terminology for oral and maxillofacial surgery. Are we speaking a
universal language?
AB - Use of a universal vocabulary to assist with the scheduling of operations has
been shown to considerably reduce delays and improve the use of theatre
resources. Within the UK the National Confidential Enquiry into Patient Outcome
and Death (NCEPOD) has established a classification to assist with the triage of
both emergency and non-emergency operating lists. We completed a survey to assess
the uptake and understanding of this classification when scheduling maxillofacial
operations. From a list of eight scheduling terms, respondents had to choose one
each for 20 different clinical situations (that represented equally) immediate,
urgent, expedited, and elective operations as defined by them. A total of 50
surveys were collated. Only 65% of answers selected represented NCPOD terms. 25%
of answers represented a term higher and 18% a term lower, on the scale of
intervention for the same category of situation. Current NCEPOD terms do not seem
to be used universally and are poorly understood. Considerable variation in
terminology exists when scheduling maxillofacial operations.
PMID- 27876548
TI - The Effects of Replication Stress on S Phase Histone Management and Epigenetic
Memory.
AB - When a cell divides, it must not only accurately duplicate its genome but also
recapitulate its programme of gene expression. A significant body of evidence
suggests that an important fraction of the information specifying the
transcriptional programme of vertebrate cells is carried epigenetically by post
translational modifications of histone proteins. For such a system to operate,
propagation of key histone marks must be coupled to replication such that they
remain correctly associated with the underlying DNA sequence, despite the huge
disruption to chromatin structure generated by unwinding the parental DNA
strands. Focusing on vertebrate cells but drawing on experimental evidence from a
wide range of systems, we will examine the evidence that histone mark propagation
through replication contributes to transcriptional stability. We then discuss the
emerging molecular mechanisms that ensure that histone recycling is tightly
coupled to DNA replication, focusing on how parental histone proteins are
chaperoned around the replication fork, and the strategies that ensure that this
process is not disrupted by impediments to replication.
PMID- 27876549
TI - Nitrogen-fixing bacteria and arbuscular mycorrhizal fungi in Piptadenia
gonoacantha (Mart.) Macbr.
AB - The family Leguminosae comprises approximately 20,000 species that mostly form
symbioses with arbuscular mycorrhizal fungi (AMF) and nitrogen-fixing bacteria
(NFB). This study is aimed at investigating and confirming the dependence on
nodulation and biological nitrogen fixation in the specie Piptadenia gonoacantha
(Mart.) Macbr., which belongs to the Piptadenia group. Two consecutive
experiments were performed in a greenhouse. The experiments were fully randomized
with six replicates and a factorial scheme. For the treatments, the two AMF
species and three NFB strains were combined to nodulate P. gonoacantha in
addition to the control treatments. The results indicate this species' capacity
for nodulation without the AMF; however, the AMF+NFB combinations yielded a
considerable gain in P. gonoacantha shoot weight compared with the treatments
that only included inoculating with bacteria or AMF. The results also confirm
that the treatment effects among the AMF+NFB combinations produced different
shoot dry weight/root dry weight ratios. We conclude that AMF is not necessary
for nodulation and that this dependence improves species development because
plant growth increases upon co-inoculation.
PMID- 27876550
TI - Eukaryotic Phosphate Homeostasis: The Inositol Pyrophosphate Perspective.
AB - Phosphate, as a cellular energy currency, essentially drives most biochemical
reactions defining living organisms, and thus its homeostasis must be tightly
regulated. Investigation into the role of inositol pyrophosphates (PP-IPs) has
provided a novel perspective on the regulation of phosphate homeostasis. Recent
data suggest that metabolic and signaling interplay between PP-IPs, ATP, and
inorganic polyphosphate (polyP) influences and is influenced by cellular
phosphate homeostasis. Different studies have demonstrated that the SPX protein
domain is a key component of proteins involved in phosphate metabolism. How PP
IPs control some aspects of phosphate homeostasis has become clearer with the
recently acquired crystal structures of SPX domains. We review here recent
studies on eukaryote phosphate homeostasis and provide insights into future
research.
PMID- 27876551
TI - Stressed and Inflamed, Can GSK3 Be Blamed?
AB - Psychological stress has a pervasive influence on our lives. In many cases
adapting to stress strengthens organisms, but chronic or severe stress is usually
harmful. One surprising outcome of psychological stress is the activation of an
inflammatory response that resembles inflammation caused by infection or trauma.
Excessive psychological stress and the consequential inflammation in the brain
can increase susceptibility to psychiatric diseases, such as depression, and
impair learning and memory, including in some patients with cognitive deficits.
An emerging target to control detrimental outcomes of stress and inflammation is
glycogen synthase kinase-3 (GSK3). GSK3 promotes inflammation, partly by
regulating key transcription factors in the inflammation signaling pathway, and
GSK3 can impair learning by promoting inflammation and by inhibiting long-term
potentiation (LTP). Drugs inhibiting GSK3 may prove beneficial for controlling
mood and cognitive impairments caused by excessive stress and the associated
neuroinflammation.
PMID- 27876553
TI - Why devote an entire issue to the topic of how nutrients in one-carbon metabolism
play roles in modern medicine?
PMID- 27876554
TI - Interaction between excess folate and low vitamin B12 status.
AB - Current epidemiological evidence suggests that an imbalance of high folate status
and low vitamin B12 status is associated with negative health outcomes in older
adults and children. Such an imbalance during pregnancy also predisposes women to
diabetes and their offspring to insulin resistance and adiposity and low
birthweight. In older adults, vitamin B12 status can remain low despite adequate
intake due to age-related decline in vitamin B12 absorption. Pregnant women are
exposed to folic acid at varying doses depending on the prenatal care prescribed
in different countries. This review summarizes the current knowledge on the
interaction between folate and vitamin B12 and the associated health outcomes.
PMID- 27876555
TI - One-carbon metabolism and epigenetics.
AB - The function of one-carbon metabolism is that of regulating the provision of
methyl groups for biological methylation reactions including that of DNA and
histone proteins. Methylation at specific sites into the DNA sequence and at
histone tails are among the major epigenetic feature of mammalian genome for the
regulation of gene expression. The enzymes within one-carbon metabolism are
dependent from a number of vitamins or nutrients that serve either as co-factors
or methyl acceptors or donors among which folate, vitamin B12, vitamin B6,
betaine, choline and methionine have a major role. Several evidences show that
there is a strict inter-relationship between one-carbon metabolism nutrients and
epigenetic phenomena. Epigenetics is closely involved in gene transcriptional
regulation through modifications super-imposed to the nucleotide sequence of DNA,
such as DNA methylation, through chromatin remodeling systems that involves post
translational modifications of histones or through non-coding RNAs-based
mechanisms. The epigenetic features of the genome are potentially modifiable by
the action of several environmental factors among which nutrients cover a special
place and interest considering their potential of influencing regulatory pathways
at a molecular level by specific nutritional intervention and eventually
influence disease prevention and outcomes. The present review will focus on the
link between one-carbon nutrients and epigenetic phenomena based on the current
knowledge from findings in cell culture, animal models and human studies.
PMID- 27876556
TI - Homocysteine and disease: Causal associations or epiphenomenons?
AB - Nutritional and genetic deficiencies of folate and vitamin B12 lead to elevation
of cellular homocysteine (Hcy), which translates in increased plasma Hcy. The
sources and role of elevated plasma Hcy in pathology continues to be a subject of
intense scientific debate. Whether a cause, mediator or marker, little is known
about the molecular mechanisms and interactions of Hcy with cellular processes
that lead to disease. The use of folic acid reduces the incidence of neural tube
defects, but the effect of Hcy-lowering interventions with folic acid in
cardiovascular disease and cognitive impairment remains controversial. The fact
that levels of Hcy in plasma do not always reflect cellular status of this amino
acid may account for the substantial gaps that exist between epidemiological,
intervention and basic research studies. Understanding whether plasma Hcy is a
mechanistic player or an epiphenomenon in pathogenesis requires further
investigation, and this research is essential to improve the assessment and
potential treatment of hyperhomocysteinemias.
PMID- 27876552
TI - Long-term altered immune responses following fetal priming in a non-human primate
model of maternal immune activation.
AB - Infection during pregnancy can lead to activation of the maternal immune system
and has been associated with an increased risk of having an offspring later
diagnosed with a neurodevelopmental disorders (NDD) such as autism spectrum
disorder (ASD) or schizophrenia (SZ). Most maternal immune activation (MIA)
studies to date have been in rodents and usually involve the use of
lipopolysaccharide (LPS) or polyinosinic:polycytidylic acid (poly I:C). However,
since NDD are based on behavioral changes, a model of MIA in non-human primates
could potentially provide data that helps illuminate complex behavioral and
immune outputs in human NDD. In this study twenty-one pregnant rhesus macaques
were either given three injections over 72 hours of poly I:C-LC, a double
stranded RNA analog (viral mimic), or saline as a control. Injections were given
near the end of the first trimester or near the end of the second trimester to
determine if there were differences in immune output due to the timing of MIA.An
additional three non-treated animals were used as controls. The offspring were
followed until 4 years of age, with blood collected at the end of their first
(year 1) and fourth (year 4) years to assess dynamic cellular immune function.
Induced responses from peripheral immune cells were measured using multiplex
assays.At one year of age, MIA exposed offspring displayed elevated production of
innate inflammatory cytokines including: interleukin (IL)-1beta, IL-6, IL-12p40,
and tumor necrosis factor (TNF)alpha at baseline and following stimulation. At
four years of age, the MIA exposed offspring continued to display elevated IL
1beta, and there was also a pattern of an increased production of T-cell helper
type (TH)-2 cytokines, IL-4 and IL-13. Throughout this time period, the offspring
of MIA treated dams exhibited altered behavioral phenotypes including increased
stereotyped behaviors. During the first two years, stereotyped behaviors were
associated with innate cytokine production. Self-directed behaviors were
associated with TH2 cytokine production at year 4. Data from this study suggests
long-term behavioral and immune activation was present in offspring following
MIA. This novel non-human primate model of MIA may provide a relevant clinically
translational model to help further elucidate the role between immune dysfunction
and complex behavioral outputs following MIA.
PMID- 27876558
TI - Prevalidation trial for a novel in vitro eye irritation test using the
reconstructed human cornea-like epithelial model, MCTT HCETM.
AB - Here, we report the results of a prevalidation trial for an in vitro eye
irritation test (EIT) using the reconstructed human cornea-like epithelium, MCTT
HCETM. The optimal cutoff to determine irritation in the prediction model was
established at 35% with the receiver operation characteristics(ROC) curve for 126
substances. Within-lab(WL) and between-lab(BL) reproducibility was tested for 20
reference substances by 3 participating laboratories. Viability data described by
mean+/-SD or +/-1/2 difference between duplicate wells, and scatter plots,
demonstrated the WL/BL consistency. WL/BL concordance with the binary decision,
whether non-irritant or irritant was estimated to be 85-95% and 95%,
respectively. WL/BL reproducibility of viability data was further supported by a
strong correlation(ICC, r>0.9). WL/BL agreement of binary decisions was also
examined by Fleiss' Kappa statistics, which showed a strong level of agreement
(>0.78), nevertheless weaker than the reproducibility of the viability. The EIT
with MCTT HCETM exhibited a sensitivity of 82.2% (60/73), a specificity of 81.1%
(43/53), and an accuracy of 81.8% (103/126) for 126 reference substances (for
liquids; a sensitivity of 100% (47/47), a specificity of 70.6% (24/34), and an
accuracy of 87.7% (71/81), and for solids, a sensitivity of 50% (13/26), a
specificity of 100% (19/19), and an accuracy of 71.1% (32/45), suggesting that
the accuracy is satisfactory but the sensitivity needs improvement, which shall
be addressed through correcting the poor sensitivity for solid substances in
future full validation trials.
PMID- 27876559
TI - Non-dominant hand quicker to insert peripheral venous catheters under echographic
guidance: A randomised trial.
AB - BACKGROUND: Ultrasound guidance for venous catheter placement requires the use of
both hands. An accurate and stable ultrasound image is fundamental for obtaining
good quality images, consequently permitting accurate needle placement. We
hypothesized that the dominant hand could be used to perform echography, leaving
the non-dominant hand available for peripheral venous catheter (PVC) insertion.
METHODS: Prospective, open-label, randomized, crossover study. Group 1 inserted
the PVC with the dominant hand, and held the probe with the non-dominant hand in
a first series of 20 insertions, and vice versa in a second series of 20
insertions performed 11days later. Group 2 punctured with the non-dominant hand
in Series 1 and vice versa in series 2. The study population comprised female
student nurses (aged 20-30years) who had learned neither ultrasound techniques
nor catheter insertion. The primary endpoint was time to successful puncture. We
recorded age, sex, video game use, and the laterality of hands, feet and eyes.
RESULTS: One left-handed and nine right-handed nurses were randomized to each
group. Puncture by the non-dominant hand was significantly quicker in both series
(P<0.001). There was no difference between groups for time to successful puncture
with the dominant hand; however a significant difference was found for the non
dominant hand (P<0.01). According to multivariate analysis, the time to
successful puncture was significantly lower when the non-dominant hand was used
to puncture (adjusted difference 5.6s, P<0.0001). CONCLUSION: Using the dominant
hand to hold the ultrasound probe and the non-dominant hand to puncture and
insert the catheter achieves successful insertion in a significantly shorter
time.
PMID- 27876560
TI - Subcutaneous rotenone rat model of Parkinson's disease: Dose exploration study.
AB - Subcutaneous administration of rotenone has recently attracted attention because
of its convenience, simplicity and efficacy in replicating features of
Parkinson's disease (PD) in animal models. However, the wide range of doses
reported in the literature makes it difficult to evaluate the effectiveness of
this technique objectively. The aim of the present study was to identify the
optimum dose of subcutaneous rotenone for establishing a model of PD. We injected
male Wistar rats subcutaneously with one of three doses of rotenone (1.5, 2, or
2.5mg/kg) daily for 5 weeks. Rotenone caused a dose-dependent increase in alpha
synuclein in the substantia nigra. Furthermore, at 2 and 2.5mg/kg, rotenone
caused a significant decrease in the number of tyrosine hydroxylase
immunoreactive neurons in the substantia nigra, and dopamine in the striatum.
However, mortality at 2.5mg/kg was 46.7%, compared with just 6.7% at 2mg/kg; the
high mortality observed at 2.5mg/kg would limit its application. The 2mg/kg dose
showed no detrimental effect on body weight after 5 weeks of daily injections.
Furthermore, rats in the 2mg/kg group showed a longer latency to descend from a
horizontal bar and a grid wall, decreased rearing, and shorter latency to fall
from a rotarod than rats that received vehicle or saline. Mitochondrial damage,
observed by transmission electron microscopy, was also evident at this dose.
Together, our data indicate that daily subcutaneous injection of 2mg/kg rotenone
in rats facilitates the formation of alpha-synuclein and reproduces the typical
features of PD, while maintaining low mortality.
PMID- 27876557
TI - Targeting nuclear thymidylate biosynthesis.
AB - Thymidylate (dTMP) biosynthesis plays an essential and exclusive function in DNA
synthesis and proper cell division, and therefore has been an attractive
therapeutic target. Folate analogs, known as antifolates, and nucleotide analogs
that inhibit the enzymatic action of the de novo thymidylate biosynthesis pathway
and are commonly used in cancer treatment. In this review, we examine the
mechanisms by which the antifolate 5-fluorouracil, as well as other dTMP
synthesis inhibitors, function in cancer treatment in light of emerging evidence
that dTMP synthesis occurs in the nucleus. Nuclear localization of the de novo
dTMP synthesis pathway requires modification of the pathway enzymes by the small
ubiquitin-like modifier (SUMO) protein. SUMOylation is required for nuclear
localization of the de novo dTMP biosynthesis pathway, and disruption in the SUMO
pathway inhibits cell proliferation in several cancer models. We summarize
evidence that the nuclear localization of the dTMP biosynthesis pathway is a
critical factor in the efficacy of antifolate-based therapies that target dTMP
synthesis.
PMID- 27876561
TI - Tip Design of Hemodialysis Catheters Influences Thrombotic Events and Replacement
Rate.
AB - OBJECTIVE/BACKGROUND: Central venous tunnelled hemodialysis catheters (CVTC) are
used for initial vascular access in patients with renal failure. Tip design of
the CVTC may play an important role in catheter function and complication rates,
influencing adequate hemodialysis treatment of these patients. METHODS: This
prospective, observational cohort study compared the function and complication
rates of two CVTCs in patients with end stage renal disease (ESRD) within a
follow-up period of 24 months. The study included patients with ESRD who received
either a CVTC with a split tip (ST) or a shotgun tip (SG). All patients underwent
dialysis within 24 h of intervention. Blood flow was documented initially (Qb0)
and was followed up after 6 (Qb6), 12 (Qb12), and 24 (Qb24) months. Analysis of
blood flow and complication rates within the follow-up period was performed by
questionnaires. RESULTS: In total, 185 patients were included, of whom 93
received a ST CVTC and 92 a SG CVTC. Baseline parameters did not differ
significantly between groups. CVTC blood flow was not significantly different
between the two devices. Thrombolytic therapy with Alteplase was used
significantly more often in the ST group (29%) than in the SG group (16%) (p <
0.05). The CVTC replacement rate was significantly higher in the ST group (19.3%)
compared with the SG group (8.7%) (p < 0.05). CONCLUSIONS: The tip design of CVTC
(split or shotgun) appears to be irrelevant for long-term blood flow during
dialysis treatment. However, patients may benefit from SG catheters over ST
catheters where replacement rates and thrombolytic treatment are concerned.
PMID- 27876562
TI - Early Multiple Coronary Micro Aneurysms After Bioresorbable Vascular Scaffold
Implantation.
AB - Bioresorbable vascular scaffold (BVS) is a novel technology designed to overcome
the long-term limitations of permanent metallic stent implantation in
percutaneous coronary intervention. However, little is known about the
development of coronary aneurysms after the use of BVSs, and additional
experience is needed to establish the entire spectrum of complications related to
the use of these emerging materials. We hereby report an unusual case of early
multiple coronary artery micro aneurysms formation after BVS implantation.
PMID- 27876563
TI - Preoperative Optimization of the Heart Failure Patient Undergoing Cardiac
Surgery.
AB - Heart failure patients who undergo cardiac surgery are exposed to significant
perioperative complications and high mortality. We herein review the literature
concerning preoperative optimization of these patients. Salient findings are that
end-organ dysfunction and medication should be optimized before surgery.
Specifically: (1) reversible causes of anemia should be treated and a
preoperative hemoglobin level of 100 g/L obtained; (2) renal function and volume
status should be optimized; (3) liver function must be carefully evaluated; (4)
nutritional status should be assessed and cachexia treated to achieve a
preoperative albumin level of at least 30 g/L and a body mass index > 20; and (5)
medication adjustments performed, such as withholding inhibitors of the renin
angiotensin-aldosterone system before surgery and continuing, but not starting,
beta-blockers. Levels of natriuretic peptides (brain natriuretic peptide [BNP]
and N-terminal proBNP) provide additional prognostic value and therefore should
be measured. In addition, individual patient's risk should be objectively
assessed using standard formulas such as the EuroSCORE-II or Society of Thoracic
Surgeons risk scores, which are simple and validated for various cardiac
surgeries, including left ventricular assist device implantation. When patients
are identified as high risk, preoperative hemodynamic optimization might be
achieved with the insertion of a pulmonary artery catheter and hemodynamic-based
tailored therapy. Finally, a prophylactic intra-aortic balloon pump might be
considered in certain circumstances to decrease morbidity and even mortality,
like in some high risk heart failure patients who undergo cardiac surgery,
whereas routine preoperative inotropes are not recommended and should be reserved
for patients in shock, except maybe for levosimendan.
PMID- 27876564
TI - RNCR3: A regulator of diabetes mellitus-related retinal microvascular
dysfunction.
AB - Retinal microvascular abnormality is an important pathological feature of
diabetic retinopathy. Herein, we report the role of lncRNA-RNCR3 in diabetes
mellitus-induced retinal microvascular abnormalities. We show that RNCR3 is
significantly up-regulated upon high glucose stress in vivo and in vitro. RNCR3
knockdown alleviates retinal vascular dysfunction in vivo, as shown by decreased
acellular capillaries, decreased vascular leakage, and reduced inflammatory
response. RNCR3 knockdown decreases retinal endothelial cell proliferation, and
reduces cell migration and tube formation in vitro. RNCR3 regulates endothelial
cell function through RNCR3/KLF2/miR-185-5p regulatory network. RNCR3 inhibition
may be a treatment option for the prevention of diabetes mellitus-induced retinal
microvascular abnormalities.
PMID- 27876565
TI - Magnesium chloride and polyamine can differentiate mouse embryonic stem cells
into trophectoderm or endoderm.
AB - Magnesium chloride and polyamines stabilize DNA and chromatin. Furthermore, they
can induce nucleosome aggregation and chromatin condensation in vitro. To
determine the effects of elevating the cation concentrations in the nucleus of a
living cell, we microinjected various concentrations of mono-, di- and polyvalent
cation solutions into the nuclei of mouse embryonic stem (ES) cells and traced
their fates. Here, we show that an elevation of either MgCl2, spermidine or
spermine concentration in the nucleus exerts a significant effect on mouse ES
cells, and can differentiate a certain population of the cells into
trophectoderm, a lineage that mouse ES cells do not normally generate, or
endoderm. It is hypothesized that the cell differentiation was most probably
caused by the condensation of chromatin including the Oct3/4 locus, which was
induced by the elevated concentrations of these cations.
PMID- 27876566
TI - A novel recovery method of copper from waste printed circuit boards by
supercritical methanol process: Preparation of ultrafine copper materials.
AB - In this study, supercritical methanol (SCM) process was successfully used for the
preparation of ultrafine copper materials from waste printed circuit boards
(PCBs) after nitric acid pretreatment. Waste PCBs were pretreated twice in nitric
acid. Sn and Pb were recovered by the first nitric acid pretreatment. The leach
liquor with a high concentration of copper ions after the second nitric acid
leaching was subjected to SCM process. The mixture of Cu and Cu2O with poor
uniformity of particle size was formed due to the effect of ferric iron contained
in the leach liquor of waste PCBs, while more uniform and spherical Cu particles
with high monodispersity and smaller size could be prepared after the removal of
Fe. The size of Cu particles increased obviously with the decline of SCM
temperature, and particles became highly aggregated when the reaction temperature
decreased to 300 degrees C. The size of Cu particles decreased markedly with the
decrease of initial concentration of copper ion in the leach liquor of waste
PCBs. It is believed that the process developed in this study is simple and
practical for the preparation of ultrafine copper materials from waste PCBs with
the aim of recycling these waste resources as a high value-added product.
PMID- 27876567
TI - Improvement in CH4/CO2 ratio and CH4 yield as related to biomass mix composition
during anaerobic co-digestion.
AB - Sixteen data sets (two of which were measured in this study) with a combined
total of 145 measurements of ultimate methane yield (UMY) during mono- and co
digestion of ternary biomass mixtures were used to assess impact of co-digestion
on the relative change in UMY (DeltaUMY) as a function of biomass mix
composition. The data involved 9 biomass materials (brewery spent grains, chicken
manure, cow manure, fresh grass clippings, pig manure, primary sewage sludge,
vegetable food waste, wheat straw, and rice straw). Results of the assessment
shows that co-digestion in 85% of yields positive values of DeltaUMY regardless
of the biomass materials used, however, a smaller fraction (15%) resulted in
negative DeltaUMY during co-digestion. The data further indicate that for each
set of ternary biomass material mixtures there exists an optimal biomass mix
composition at which DeltaUMY is at a maximum. Statistical analyses based on the
data used here indicate that the maximum value of DeltaUMY (DeltaUMYmax) is
always positive regardless of biomass materials being co-digested.
PMID- 27876568
TI - Design, synthesis, and biological evaluation of steroidal analogs as
estrogenic/anti-estrogenic agents.
AB - Series of estrone based analogs were synthetically investigated at positions C-9,
C-11, C-16, and C-17 positions, to be biologically evaluated via assessment of
cell proliferation, cytotoxicity, and estrogenic/anti-estrogenic activity. LA-7
and LA-10 revealed their potential to exhibit inhibitory estrogenic profile. This
was further validated by Estrogen Receptor-alpha (ER-alpha) and Estrogen Receptor
beta (ER-beta) competitive binding assays to reveal the high selective affinity
of LA-7 towards ER-alpha at 5.49MUM, while LA-10 did not show any binding
affinity towards neither ER-alpha nor ER-beta; suggesting another mechanism for
inhibition. This was validated by in silico molecular docking simulations of LA-7
to reveal the optimum binding affinity of LA-7 towards ER-alpha.
PMID- 27876569
TI - O-space with high resolution readouts outperforms radial imaging.
AB - PURPOSE: While O-Space imaging is well known to accelerate image acquisition
beyond traditional Cartesian sampling, its advantages compared to undersampled
radial imaging, the linear trajectory most akin to O-Space imaging, have not been
detailed. In addition, previous studies have focused on ultrafast imaging with
very high acceleration factors and relatively low resolution. The purpose of this
work is to directly compare O-Space and radial imaging in their potential to
deliver highly undersampled images of high resolution and minimal artifacts, as
needed for diagnostic applications. We report that the greatest advantages to O
Space imaging are observed with extended data acquisition readouts. THEORY AND
METHODS: A sampling strategy that uses high resolution readouts is presented and
applied to compare the potential of radial and O-Space sequences to generate high
resolution images at high undersampling factors. Simulations and phantom studies
were performed to investigate whether use of extended readout windows in O-Space
imaging would increase k-space sampling and improve image quality, compared to
radial imaging. RESULTS: Experimental O-Space images acquired with high
resolution readouts show fewer artifacts and greater sharpness than radial
imaging with equivalent scan parameters. Radial images taken with longer readouts
show stronger undersampling artifacts, which can cause small or subtle image
features to disappear. These features are preserved in a comparable O-Space
image. CONCLUSIONS: High resolution O-Space imaging yields highly undersampled
images of high resolution and minimal artifacts. The additional nonlinear
gradient field improves image quality beyond conventional radial imaging.
PMID- 27876570
TI - Characterization of the anti-inflammation mechanism for the AO herbal extract.
AB - A broad range of cytokines are secreted during the inflammatory response by the
immune system. Some cytokines promote inflammation, while others inhibit
inflammation. Inflammatory cytokines work in harmony when they encounter external
pathogens or internal dangers. Inflammation is resolved after the cause is
eliminated. However, if the cause persists, it can lead to significant diseases.
The pro-inflammatory cytokine TNFalpha is a biomarker for the inflammatory
response. The AO herbal mixture extracted from 10 medicinal herbs has been
investigated for its ability to control the inflammatory process and to inhibit
TNFalpha activity. To find the treatment for inflammation related diseases, we
examined whether the AO herbal extract is able to affect the activities of other
cytokines. Here we present that the AO herbal extract is able to inhibit pro
inflammatory factor activities including IL-1alpha. However, it does not affect
the activities of IL-1beta and IL-6. Interestingly, it promotes the activity of
anti-inflammatory factors including IL-4 and IL-13.
PMID- 27876572
TI - [Familial EXOSC3-related pontocerebellar hypoplasia].
PMID- 27876571
TI - Fusobacterium nucleatum Increases Proliferation of Colorectal Cancer Cells and
Tumor Development in Mice by Activating Toll-Like Receptor 4 Signaling to Nuclear
Factor-kappaB, and Up-regulating Expression of MicroRNA-21.
AB - BACKGROUND & AIMS: Nearly 20% of the global cancer burden can be linked to
infectious agents. Fusobacterium nucleatum promotes tumor formation by epithelial
cells via unclear mechanisms. We aimed to identify microRNAs (miRNAs) induced by
F nucleatum and evaluate their ability to promote colorectal carcinogenesis in
mice. METHODS: Colorectal cancer (CRC) cell lines were incubated with F nucleatum
or control reagents and analyzed in proliferation and would healing assays.
HCT116, HT29, LoVo, and SW480 CRC cell lines were incubated with F nucleatum or
phosphate-buffered saline (PBS [control]) and analyzed for miRNA expression
patterns and in chromatin immunoprecipitation assays. Cells were incubated with
miRNAs mimics, control sequences, or small interfering RNAs; expression of
reporter constructs was measured in luciferase assays. CRC cells were incubated
with F nucleatum or PBS and injected into BALB/C nude mice; growth of xenograft
tumors was measured. C57BL adenomatous polyposis colimin/+, C57BL miR21a-/-, and
C57BL mice with full-length miR21a (controls) were given F nucleatum by gavage;
some mice were given azoxymethane and dextran sodium sulfate to induce colitis
and colon tumors. Intestinal tissues were collected and tumors were counted.
Serum samples from mice were analyzed for cytokine levels by enzyme-linked
immunosorbent assay. We performed in situ hybridization analyses to detect
enrichment of F nucleatum in CRC cells. Fusobacterium nucleatum DNA in 90 tumor
and matched nontumor tissues from patients in China were explored for the
expression correlation analysis; levels in 125 tumor tissues from patients in
Japan were compared with their survival times. RESULTS: Fusobacterium nucleatum
increased proliferation and invasive activities of CRC cell lines compared with
control cells. CRC cell lines infected with F nucleatum formed larger tumors,
more rapidly, in nude mice than uninfected cells. Adenomatous polyposis colimin/+
mice gavaged with F nucleatum developed significantly more colorectal tumors than
mice given PBS and had shorter survival times. We found several inflammatory
factors to be significantly increased in serum from mice given F nucleatum
(interleukin 17F, interleukin 21, and interleukin 22, and MIP3A). We found 50
miRNAs to be significantly up-regulated and 52 miRNAs to be significantly down
regulated in CRCs incubated with F nucleatum vs PBS; levels of miR21 increased by
the greatest amount (>4-fold). Inhibitors of miR21 prevented F nucleatum from
inducing cell proliferation and invasion in culture. miR21a-/- mice had a later
appearance of fecal blood and diarrhea after administration of azoxymethane and
dextran sodium sulfate, and had longer survival times compared with control mice.
The colorectum of miR21a-/- mice had fewer tumors, of smaller size, and the
miR21a-/- mice survived longer than control mice. We found RASA1, which encodes
an RAS GTPase, to be one of the target genes consistently down-regulated in cells
that overexpressed miR21 and up-regulated in cells exposed to miR21 inhibitors.
Infection of cells with F nucleatum increased expression of miR21 by activating
Toll-like receptor 4 signaling to MYD88, leading to activation of the nuclear
factor-kappaB. Levels of F nucleatum DNA and miR21 were increased in tumor
tissues (and even more so in advanced tumor tissues) compared with non-tumor
colon tissues from patients. Patients whose tumors had high amounts of F
nucleatum DNA and miR21 had shorter survival times than patients whose tumors had
lower amounts. CONCLUSIONS: We found infection of CRC cells with F nucleatum to
increase their proliferation, invasive activity, and ability to form xenograft
tumors in mice. Fusobacterium nucleatum activates Toll-like receptor 4 signaling
to MYD88, leading to activation of the nuclear factor-kappaB and increased
expression of miR21; this miRNA reduces levels of the RAS GTPase RASA1. Patients
with both high amount of tissue F nucleatum DNA and miR21 demonstrated a higher
risk for poor outcomes.
PMID- 27876574
TI - The emerging role of ECM crosslinking in T cell mobility as a hallmark of
immunosenescence in humans.
AB - Immunosenescence is thought to result from cellular aging and to reflect exposure
to environmental stressors and antigens, including cytomegalovirus (CMV).
However, not all of the features of immunosenescence are consistent with this
view, and this has led to the emergence of the sister theory of "inflammaging".
The recently discovered diffuse tissue distribution of resident memory T cells
(TRM) which don't recirculate, calls these theories into question. These cells
account for most T cells residing in barrier epithelia which sit in and travel
through the extracellular matrix (ECM). With almost all studies to date carried
out on peripheral blood, the age-related changes of the ECM and their
consequences for T cell mobility, which is crucial for the function of these
cells, have been largely ignored. We propose an update of the theoretical
framework of immunosenescence, based on a novel hypothesis: the increasing
stiffness and cross-linking of the senescent ECM lead to a progressive
immunodeficiency due to an age-related decrease in T cell mobility and eventually
the death of these cells. A key element of this mechanism is the mechanical
stress to which the cell cytoplasm and nucleus are subjected during passage
through the ECM. This hypothesis is based on an "evo-devo" perspective bringing
together some major characteristics of aging, to create a single interpretive
framework for immunosenescence.
PMID- 27876575
TI - Effects of collagen membrane application and cortical bone perforation on de novo
bone formation in periosteal distraction: an experimental study in a rabbit
calvaria.
AB - OBJECTIVES: The aim of the present study was to assess the impact of collagen
membrane application and cortical bone perforations in periosteal distraction
osteogenesis. STUDY DESIGN: A total of 32 New Zealand rabbits were randomized
into four experimental groups, considering two treatment modalities. Calvarial
bone was perforated or left intact (P+/-). In half the animals, the distraction
mesh was covered with a collagen membrane (M+/-). All animals were subjected to a
7-day latency period and a 10-day distraction period. The samples were harvested
after 4-week and 8-week consolidation periods and analyzed histologically and by
means of micro-computed tomography. RESULTS: Primary, woven bone observed at the
4-week consolidation period was gradually replaced by lamellar bone at the 8-week
consolidation period. Significant increase in bone volume was found in all groups
(P < .001) and in bone mineral density in groups I (P-/M-; P < .001), III (P+/M-;
P < .001), and IV (P+/M+; P = .013). Group III (P+/M-) showed significantly more
new bone at the 8-week consolidation period compared with the other three groups
(P = .001), with no differences observed in bone mineral density between groups
at a given time-point. CONCLUSIONS: In the present model, cortical bone
perforations have more impact on the osteogenic process compared with the
application of a collagen membrane.
PMID- 27876577
TI - Reply to "Comments on 'Imaging features of medicine-related osteonecrosis of the
jaws: comparison between panoramic radiography and computed tomography'".
PMID- 27876578
TI - Response to "Comment on 'Establishing a targeted plan for prophylactic dental
extractions in patients with laryngeal cancer receiving adjuvant radiotherapy'".
PMID- 27876576
TI - The bone regenerative capacity of canine mesenchymal stem cells is regulated by
site-specific multilineage differentiation.
AB - OBJECTIVES: Mesenchymal stem cells (MSCs) offer a promising therapy in dentistry
because of their multipotent properties. Selecting donor MSCs is crucial because
Beagle dogs (canines) commonly used in preclinical studies have shown variable
outcomes, and it is unclear whether canine MSCs (cMSCs) are skeletal site
specific. This study tested whether jaw and long bone cMSCs have disparate in
vitro and in vivo multilineage differentiation capabilities. STUDY DESIGN:
Primary cMSCs were isolated from the mandible (M-cMSCs) and femur (F-cMSCs) of
four healthy Beagle dogs. The femur served as the non-oral control. Clonogenic
and proliferative abilities were assessed. In vitro osteogenic, chondrogenic,
adipogenic, and neural multilineage differentiation were correlated with in vivo
bone regeneration and potential for clinical applications. RESULTS: M-cMSCs
displayed two-fold increase in clonogenic and proliferative capacities relative
to F-cMSCs (P = .006). M-cMSCs in vitro osteogenesis based on alkaline
phosphatase (P = .04), bone sialoprotein (P = .05), and osteocalcin (P = .03), as
well as adipogenesis (P = .007) and chondrogenesis (P = .009), were relatively
higher and correlated with enhanced M-cMSC bone regenerative capacity. Neural
expression markers, nestin and betaIII-tubulin, were not significantly different.
CONCLUSIONS: The enhanced differentiation and bone regenerative capacity of
mandible MSCs may make them favorable donor graft materials for site-specific jaw
bone regeneration.
PMID- 27876579
TI - Comment on "Establishing a targeted plan for prophylactic dental extractions in
patients with laryngeal cancer receiving adjuvant radiotherapy".
PMID- 27876573
TI - Stem cell therapies in age-related neurodegenerative diseases and stroke.
AB - Aging, a complex process associated with various structural, functional and
metabolic changes in the brain, is an important risk factor for neurodegenerative
diseases and stroke. These diseases share similar neuropathological changes, such
as the formation of misfolded proteins, oxidative stress, loss of neurons and
synapses, dysfunction of the neurovascular unit (NVU), reduction of self-repair
capacity, and motor and/or cognitive deficiencies. In addition to gray matter
dysfunction, the plasticity and repair capacity of white matter also decrease
with aging and contribute to neurodegenerative diseases. Aging not only renders
patients more susceptible to these disorders, but also attenuates their self
repair capabilities. In addition, low drug responsiveness and intolerable side
effects are major challenges in the prevention and treatment of senile diseases.
Thus, stem cell therapies-characterized by cellular plasticity and the ability to
self-renew-may be a promising strategy for aging-related brain disorders. Here,
we review the common pathophysiological changes, treatments, and the promises and
limitations of stem cell therapies in age-related neurodegenerative diseases and
stroke.
PMID- 27876580
TI - Management of dry mouth: assessment of oral symptoms after use of a
polysaccharide-based oral rinse.
AB - OBJECTIVE: Salivary dysfunction is associated with a range of oral/dental issues,
and management of oral symptoms may improve oral function and overall quality of
life. The purpose of this pilot study was to evaluate oral symptoms and function
in a xerostomic population after use of a proprietary topical for dry mouth,
Moisyn (Synedgen Inc., Claremont, CA), which is a polysaccharide-based product.
STUDY DESIGN: A pre- and post-test survey was completed by 57 patients with
xerostomia. Patients rated their common oral symptoms, based on the Vanderbilt
Head and Neck Symptom Survey, before and after 1-week use of Moisyn rinse and
spray. Saliva production under resting and chewing stimulation was also assessed.
RESULTS: Most patients reported relief from dry mouth symptoms and thick saliva
(81.7% and 76.0%, respectively) for more than 30 minutes after product use.
Statistically significant reductions were found in 15 of 33 oral symptoms.
Symptom improvement ranged from 10.7% to 28.4% for thick saliva, 8.4% to 30.6%
for pain, 5.5% to 30.4% for dry mouth, and 12% to 21.3% for taste/diet change.
Whole unstimulated/resting saliva improved by 100%, and whole stimulated saliva
improved by 23.8%. CONCLUSIONS: These findings suggest that the product has
utility in symptom control in patients with xerostomia and may lead to an
increase in saliva production.
PMID- 27876581
TI - Valley of death: A proposal to build a "translational bridge" for the next
generation.
AB - There is a great need for novel drug discovery for major mental illnesses, but
multiple levels of challenges exist in both academia and industry, spanning from
scientific understanding and institutional infrastructure to business risk and
feasibility. The "valley of death," the large gap between basic scientific
research and translation to novel therapeutics, underscores the need to
restructure education and academic research to cultivate the fertile interface
between academia and industry. In this opinion piece, we propose strategies to
educate young trainees in the process of drug discovery and development, and
prepare them for careers across this spectrum. In addition, we describe a
research framework that considers the disease trajectory and underlying biology
of mental disorders, which will help to address the core pathophysiology in novel
treatments, and may even allow early detection and intervention. We hope that
these changes will increase understanding among academia, industry, and
government, which will ultimately improve the diagnosis, prognosis and treatment
of mental disorders.
PMID- 27876582
TI - Subperitoneal approach in revision arthroplasty for acetabular component
protrusion: Analysis of practices within the French Hip and Knee Society (SFHG).
AB - INTRODUCTION: The complications related to revision for acetabular component
protrusion with material migrating into the intrapelvic region remain rare but
potentially serious. Today, the literature reports no epidemiological data on the
subperitoneal approach (SPA) in revision total hip arthroplasty (RTHA) for
protrusion. Therefore we conducted a retrospective study on a large revision
arthroplasty database to answer the following questions: (1) What is the
frequency of this approach in this population? (2) What are the factors related
to this procedure? (3) Is morbidity and mortality of the SPA higher than for an
isolated conventional approach? HYPOTHESIS: Major protrusions with material in
the superomedial quadrant (SMQ) have a higher probability of being operated using
a SPA. MATERIAL AND METHODS: This multicenter retrospective study included 260
cases of THA with endopelvic protrusion of material at least 15mm inside the
Kohler line. The degree of protrusion was assessed on the AP pelvic X-ray with
the construction of the SMQ. The reason for the subperitoneal approach, the
duration of surgery, and the preoperative exams were also collected. RESULTS:
Nineteen procedures out of the 260 RTHAs included (7.8%) had a SPA in addition to
the approach for the revision THA. The frequency of the SPA varied among centers
(range: 1.7-50%). In four cases, the SPA was indicted to care for a vascular
complication identified preoperatively. For one patient, the SPA was indicated
intraoperatively. The other indications were either to extract the implant (n=7)
or prevent a potential intraoperative assault of neurovascular structures (n=9).
The cases presenting major protrusion on the AP X-ray with material in the SMQ
were more often operated through the SPA (12/19; 63.2%) than cases with no SMQ
involvement (4/241; 1.7%) (P<0.001). Vascular structures were explored with
imaging in 15 out of 19 (88.9%) of the SPA cases versus 26 out of 177 (14.7%) of
the revisions without the SPA (41 with no information in the non-SPA group)
(P<0.001). Early mortality (before 45 days) of patients who had undergone the SPA
(1/19; 5.3%) was not significantly different than for the patients who had not
undergone the SPA (3/241; 1.2%) (P=0.26). Although the duration of surgery was
longer in the SPA group (210+/-88 [range: 70-360] versus 169+/-52 [range: 60
300]; P=0.04), bleeding was not greater in the SPA group (1488+/-1770mL [range:
500-5000mL]) than in the non-SPA group (1343+/-987mL [range: 75-3500mL]; p>0.05).
DISCUSSION: Despite the limitations related to the retrospective and multicenter
design of this study, to our knowledge it is the only one that examines SPA
procedures within the context of severe material protrusion with THA. Based on
these results, it seems preferable to plan for SPA every time there is an
acetabular protrusion in the SMQ, after exploration with CT angiography. The SPA
does not result in greater mortality or morbidity. LEVEL OF EVIDENCE: IV,
retrospective study.
PMID- 27876583
TI - Treatment of pediatric forearm midshaft fractures: Is there a difference between
types of orthopedic surgeon?
AB - PURPOSE OF THE STUDY: The objective of this study was to compare the clinical and
radiological outcomes of pediatric forearm midshaft fractures treated operatively
with titanium elastic nails (TENs) by pediatric orthopedists and non-pediatric
orthopedists. MATERIAL AND METHODS: We conducted a prospective cohort study of 88
children of forearm midshaft fractures, who were randomized to operative
stabilization either by pediatric orthopedists (Group A, 44 cases) or by non
pediatric orthopedists (Group B, 44 cases) from April 2013 to February 2014. At
baseline, the groups were comparable with respect to age, sex, AO classification,
injured side and interval from injury to surgery. We collected data on operative
and radiation time, open reduction rate, length of hospitalization, bone union
time, return to full physical activity time, complications, and measured clinical
results using the Children's Hospital of Philadelphia (CHOP) Forearm Fracture
Fixation Outcome Classification. RESULTS: The mean follow-up period was 15.8+/
3.3 months for Group A and 15.2+/-4.2 months for Group B (P=0.491). No
significant difference existed in time to union (P=0.282), the overall
complication rate (P=0.750), return to activity time (P=0.408), and clinical
outcomes according to CHOP classification (P=0.908) between the two groups.
However, the mean operating time and radiation time was significantly longer in
Group B than in Group A (P=0.001 and P=0.001, respectively). In addition, there
was a trend for patients of Group B to have a higher rate of open reduction
(P=0.035). DISCUSSIONS: Our results indicated that children forearm midshaft
fractures treated surgically by pediatric orthopedists offered potential
advantages including a shorter operating time and radiation time, a lower rate of
open reduction. However, both pediatric and non-pediatric orthopedists had
achieved satisfactory clinical results in treatment of these injuries. LEVEL OF
EVIDENCE: Level II prospective randomized study.
PMID- 27876584
TI - Investigation and prediction of protein precipitation by polyethylene glycol
using quantitative structure-activity relationship models.
AB - Precipitation of proteins is considered to be an effective purification method
for proteins and has proven its potential to replace costly chromatography
processes. Besides salts and polyelectrolytes, polymers, such as polyethylene
glycol (PEG), are commonly used for precipitation applications under mild
conditions. Process development, however, for protein precipitation steps still
is based mainly on heuristic approaches and high-throughput experimentation due
to a lack of understanding of the underlying mechanisms. In this work we apply
quantitative structure-activity relationships (QSARs) to model two parameters,
the discontinuity point m* and the beta-value, that describe the complete
precipitation curve of a protein under defined conditions. The generated QSAR
models are sensitive to the protein type, pH, and ionic strength. It was found
that the discontinuity point m* is mainly dependent on protein molecular
structure properties and electrostatic surface properties, whereas the beta-value
is influenced by the variance in electrostatics and hydrophobicity on the protein
surface. The models for m* and the beta-value exhibit a good correlation between
observed and predicted data with a coefficient of determination of R2>=0.90 and,
hence, are able to accurately predict precipitation curves for proteins. The
predictive capabilities were demonstrated for a set of combinations of protein
type, pH, and ionic strength not included in the generation of the models and
good agreement between predicted and experimental data was achieved.
PMID- 27876585
TI - Pediatric Asthma Health Disparities: Race, Hardship, Housing, and Asthma in a
National Survey.
AB - OBJECTIVE: We sought to determine if racial disparities in pediatric asthma are
explained by material hardship and home ownership. METHODS: We performed a
secondary analysis of the 2011 American Housing Survey. A total of 33,201
households with children age 6 to 17 years were surveyed regarding childhood
asthma diagnosis and emergency department (ED) visits for asthma (for the
youngest child with asthma in the household). Material hardship included poor
housing quality, housing crowding, lack of amenities, and no vehicle access. We
used logistic regression to determine the association between race, material
hardship, and asthma diagnosis or ED visits, adjusting for potential confounders.
RESULTS: Non-Hispanic black heads of household had a higher odds of having a
child diagnosed with asthma in the home compared with non-Hispanic white heads of
household (odds ratio, 1.72; 95% confidence interval [CI], 1.50-1.96), and a
higher odds of ED visits for asthma (odds ratio, 3.02; 95% CI, 2.29-3.99). The
race-asthma association was decreased but not eliminated after adjusting for
material hardship and home ownership (ED visit adjusted odds ratio [AOR], 2.07;
95% CI, 1.50-2.86). Poor housing quality was independently associated with asthma
diagnosis (AOR, 1.45; 95% CI, 1.28-1.66) and ED visits (AOR, 1.59; 95% CI, 1.21
2.10). Home ownership was associated with a lower odds of asthma-related ED
visits (AOR, 0.62; 95% CI, 0.46-0.84). CONCLUSIONS: Observed racial disparities
in pediatric asthma are lessened after controlling for material hardship. Poor
housing quality in particular is strongly associated with asthma morbidity.
Policy makers could target improving housing quality as a means of potentially
reducing asthma disparities.
PMID- 27876586
TI - Fluoride Supplementation Adherence and Barriers in a Community Without Water
Fluoridation.
AB - BACKGROUND: To prevent early childhood caries, the American Dental Association
recommends oral fluoride supplementation for children in communities lacking
water fluoridation who are at high caries risk. However, patient adherence to
oral fluoride supplementation has not been studied in this population. This study
assessed adherence to oral fluoride and barriers to adherence in a community
lacking water fluoridation. METHODS: A self-administered survey was completed in
a systematic sample of 209 parents of children aged 6 months to 4 years, during a
primary care visit in an urban academic medical center. Participants reported
frequency of administering oral fluoride to their children, as well as agreement
or disagreement with proposed barriers to supplementation. Bivariate and
multivariate analyses were used to assess adherence with oral supplementation and
the association of barriers to supplementation and child receipt of fluoride on
the day before. RESULTS: More than half of parents either had not or did not know
if their child had received fluoride on the day before. Approximately 1 in 4 of
parents had given fluoride in 0 of the previous 7 days. Difficulty remembering to
give fluoride and agreeing that the child does not need extra fluoride were
associated with not receiving fluoride on the day before. CONCLUSIONS: Adherence
to oral fluoride supplementation in the primary care setting is low. Difficulty
remembering to give fluoride daily is the greatest barrier to adherence. Further
research on interventions to reduce common barriers is needed to increase
fluoride administration and reduce early childhood caries in communities lacking
water fluoridation.
PMID- 27876587
TI - Using Your Personal Mission Statement to INSPIRE and Achieve Success.
PMID- 27876588
TI - Predicting Subsequent High-Frequency, Low-Acuity Utilization of the Pediatric
Emergency Department.
AB - OBJECTIVE: To derive and test a predictive model for high-frequency (4 or more
visits per year), low-acuity (emergency severity index 4 or 5) utilization of the
pediatric emergency department. METHODS: The study sample used 3 years of data
(2012-2014) from a single tertiary-care children's hospital for patients <21
years of age. Utilization in 2013 defined the index visit; prior utilization was
drawn from 2012; and 2014 was used for outcome measurement. Candidate predictor
variables were those that would be available at the time of triage. Data were
split into derivation and test sets randomly; variables with a significant
univariate association in the derivation set were included for multivariable
modeling. The final model from the derivation set was then tested in the
validation set, with calculation of a receiver operating characteristic curve.
RESULTS: There were 90,972 visits in 2013, of which 61,430 were first (index)
visits. A total of 590 (1%) had 4 or more triage level 4 or 5 visits in the
following year (2014). The final model included site of primary care, age,
acuity, previous utilization, race, and insurance, and had an area under the
receiver operating characteristic curve of 0.84. CONCLUSIONS: Data available to
the emergency department provider at the time of initial visit triage can predict
utilization for low-acuity complaints in the subsequent year. Future work should
focus on validation and refinement of the model in additional settings, and
electronic calculation of risk status for targeted intervention to improve
appropriate utilization of health care services.
PMID- 27876589
TI - US Hospitalizations for Malignant Pleural Effusions: Data From the 2012 National
Inpatient Sample.
AB - BACKGROUND: Malignant pleural effusion (MPE) is a common complication of advanced
malignancy, but little is known regarding its prevalence and overall burden on a
population level. METHODS: We conducted a retrospective analysis of MPE
associated hospitalizations using the Healthcare Cost and Utilization Project
Nationwide Inpatient Sample, Agency for Healthcare Research and Quality (HCUP-NIS
2012). Cases were included if MPE was coded as a primary or secondary diagnosis
or if an unspecified pleural effusion was coded in addition to a diagnosis of
cancer with either of these being the primary diagnosis. RESULTS: A weighted
sample of 126,825 admissions (0.35%) for MPE was identified among the 36,484,846
weighted admissions included in the database in 2012. Of these admissions, 70,750
(55.8%) were for female patients. The median age at admission was 68.0 years
(interquartile range [IQR]), 58.4-77.2 years). Lung (37.8%), breast (15.2%),
hematologic (11.2%), GI tract (11.0%), and gynecologic (9.0%) cancers were the
most common primary malignancies associated with MPE. The median length of stay
was 5.5 days (IQR, 2.7-10.1 days), and the inpatient mortality rate was 11.6%.
Median hospitalization total charges were $42,376 (IQR, $21,618-$84,679). In the
multivariate analyses, female sex, large fringe county residential area, Medicare
insurance, and elective type of admission were independently associated with a
lower risk of inpatient mortality. CONCLUSIONS: There is a considerable inpatient
burden and high inpatient mortality associated with MPE in the United States,
with potential demographic, geographic, and socioeconomic disparities.
PMID- 27876590
TI - Clinical Prognosis of Nonmassive Central and Noncentral Pulmonary Embolism: A
Registry-Based Cohort Study.
AB - BACKGROUND: Whether the localization of nonmassive pulmonary embolism (PE) is
associated with the short-term and long-term prognosis of patients remains
unknown. Our aim was to characterize associations of nonmassive PE localization
with risks of recurrent VTE, major bleeding, and mortality during and after
anticoagulation. METHODS: Among participants of the Registro Informatizado de la
Enfermedad ThromboEmbolica (RIETE) registry with incident symptomatic nonmassive
PE diagnosed by CT scan, we compared risks of recurrent VTE, major bleeding, and
mortality during and after anticoagulation between central PE (main pulmonary
artery) and noncentral PE (more peripheral arteries) using Cox proportional
hazard-adjusted models. RESULTS: Of the 6,674 participants, patients with central
PE (40.5%) had age (mean 66 years), sex (46.9% male sex), and proportion of
idiopathic (45.0%) and cancer-related (22.3%) PE that were similar to those of
patients with noncentral PE. During anticoagulation (5,256.1 patient-years), the
risk of recurrent VTE was similar between the two groups (2.5 vs 2.1 per 100
patient-years; adjusted hazard ratio [aHR], 1.32; 95% CI, 0.91-1.90), as were
risks of major bleeding and mortality. After anticoagulation was discontinued
(2,175.4 patient-years), participants with central PE had a borderline greater
risk of recurrent VTE than did participants with noncentral PE (11.0 vs 8.0 per
100 patient-years; aHR, 1.34; 95% CI, 1.01-1.78) but not when restricted to
participants after unprovoked PE (13.8 vs 11.9 per 100 patient-years; aHR, 1.15;
95% CI, 0.79-1.68; P = .48). Risks of major bleeding and mortality were similar.
CONCLUSIONS: In nonmassive PE, central localization of PE is associated with
greater risk of recurrent VTE after anticoagulation cessation. However, the low
magnitude of this association and the absence of association after unprovoked PE
suggest that the clinical relevance of this finding is limited and that the
duration of anticoagulation should not be tailored to PE localization after
nonmassive unprovoked PE.
PMID- 27876592
TI - Systemic Inflammatory Response Syndrome, Quick Sequential Organ Function
Assessment, and Organ Dysfunction: Insights From a Prospective Database of ED
Patients With Infection.
AB - BACKGROUND: A proposed revision of sepsis definitions has abandoned the systemic
inflammatory response syndrome (SIRS), defined organ dysfunction as an increase
in total Sequential Organ Function Assessment (SOFA) score of >= 2, and conceived
"qSOFA" (quick SOFA) as a bedside indicator of organ dysfunction. We aimed to (1)
determine the prognostic impact of SIRS, (2) compare the diagnostic accuracy of
SIRS and qSOFA for organ dysfunction, and (3) compare standard (Sepsis-2) and
revised (Sepsis-3) definitions for organ dysfunction in ED patients with
infection. METHODS: Consecutive ED patients admitted with presumed infection were
prospectively enrolled over 3 years. Sufficient observational data were collected
to calculate SIRS, qSOFA, SOFA, comorbidity, and mortality. RESULTS: We enrolled
8,871 patients, with SIRS present in 4,176 (47.1%). SIRS was associated with
increased risk of organ dysfunction (relative risk [RR] 3.5) and mortality in
patients without organ dysfunction (OR 3.2). SIRS and qSOFA showed similar
discrimination for organ dysfunction (area under the receiver operating
characteristic curve, 0.72 vs 0.73). qSOFA was specific but poorly sensitive for
organ dysfunction (96.1% and 29.7%, respectively). Mortality for patients with
organ dysfunction was similar for Sepsis-2 and Sepsis-3 (12.5% and 11.4%,
respectively), although 29% of patients with Sepsis-3 organ dysfunction did not
meet Sepsis-2 criteria. Increasing numbers of Sepsis-2 organ system dysfunctions
were associated with greater mortality. CONCLUSIONS: SIRS was associated with
organ dysfunction and mortality, and abandoning the concept appears premature. A
qSOFA score >= 2 showed high specificity, but poor sensitivity may limit utility
as a bedside screening method. Although mortality for organ dysfunction was
comparable between Sepsis-2 and Sepsis-3, more prognostic and clinical
information is conveyed using Sepsis-2 regarding number and type of organ
dysfunctions. The SOFA score may require recalibration.
PMID- 27876593
TI - Intact interferon-gamma response against Coxiella burnetii by peripheral blood
mononuclear cells in chronic Q fever.
AB - OBJECTIVES: Q fever is caused by Coxiella burnetii, an intracellular bacterium
that infects phagocytes. The aim of the present study was to investigate whether
the C. burnetii-induced IFN-gamma response is defective in chronic Q fever
patients. METHODS: IFN-gamma was measured in supernatants of C. burnetii
stimulated peripheral blood mononuclear cells (PBMCs) of 17 chronic Q fever
patients and 17 healthy individuals. To assess IFN-gamma responses, expression
profiles of IFN-gamma-induced genes in C. burnetii-stimulated PBMCs were studied
in six patients and four healthy individuals. Neopterin was measured in PBMC
supernatants (of eight patients and four healthy individuals) and in sera (of 21
patients and 11 healthy individuals). In a genetic association study,
polymorphisms in genes involved in the Th1-cytokine response were analysed in a
cohort of 139 chronic Q fever patients and a cohort of 220 control individuals
with previous exposition to C. burnetii. RESULTS: IFN-gamma production by C.
burnetii-stimulated PBMCs from chronic Q fever patients was significantly higher
than in healthy controls. Many IFN-gamma response genes were strongly upregulated
in PBMCs of patients. Neopterin levels were significantly higher in PBMC
supernatants and sera of patients. The IL12B polymorphisms rs3212227 and
rs2853694 were associated with chronic Q fever. CONCLUSIONS: IFN-gamma
production, as well as the response to IFN-gamma, is intact in chronic Q fever
patients, and even higher than in healthy individuals. Polymorphisms in the IL
12p40 gene are associated with chronic Q fever. Thus, a deficiency in IFN-gamma
responses does not explain the failure to clear the infection. The genetic data
suggest, however, that the IL-12/IFN-gamma pathway does play a role.
PMID- 27876594
TI - Successful control of a large outbreak of HIV infection associated with injection
of cathinone derivatives in Tel Aviv, Israel.
AB - OBJECTIVES: Outbreaks of HIV infection have been linked to injectable drug abuse,
but specific triggers often remain obscure. We report on an outbreak of primary
HIV infection among people who inject drugs (PWID) in Tel Aviv, associated with a
local shift in drug-use practices. METHODS: A cluster of primary HIV infection
cases in PWID was detected in May 2012. Retrospective and prospective multi
hospital case finding was initiated. PWID were interviewed and risk factors for
primary HIV infection were identified. Starting in December 2012, a multifaceted
intervention was implemented, including educational activities, increasing
syringe exchange supplies, active screening, early initiation of antiretroviral
therapy, and referral to drug withdrawal programmes. RESULTS: Forty-two PWID with
primary HIV infection were detected between May 2012 and April 2013. Compared
with the corresponding pre-outbreak period, the annual incidence of primary HIV
infection in PWID increased from 0 to 20 cases/1000 population (p <0.0001). Sixty
nine per cent were hospitalized because of concomitant bacterial infections and
sepsis. Phylogenetic analysis of HIV isolates from case patients showed tight
clustering suggesting a single common source of infection. The outbreak was
temporally related to a widespread shift from heroin to injectable cathinone
derivatives and buprenorphine, which entailed high-risk injection practices.
Targeted intervention resulted in a dramatic and sustained reduction in HIV
infection in the PWID population. CONCLUSIONS: Injectable amphetamines are
gaining momentum among PWID worldwide. Tracing of this outbreak to cathinone use
and implementing a targeted intervention programme effectively terminated the
outbreak.
PMID- 27876591
TI - Cystic Fibrosis and the Nervous System.
AB - Cystic fibrosis (CF) is a life-shortening autosomal recessive disorder caused by
mutations in the gene encoding the cystic fibrosis transmembrane conductance
regulator (CFTR). CFTR is an anion channel that conducts bicarbonate and chloride
across cell membranes. Although defective anion transport across epithelial cells
is accepted as the basic defect in CF, many of the features observed in people
with CF and organs affected by CF are modulated by the nervous system. This is of
interest because CFTR expression has been reported in both the peripheral and
central nervous systems, and it is well known that the transport of anions, such
as chloride, greatly modulates neuronal excitability. Thus it is predicted that
in CF, lack of CFTR in the nervous system affects neuronal function. Consistent
with this prediction, several nervous system abnormalities and nervous system
disorders have been described in people with CF and in animal models of CF. The
goal of this special feature article is to highlight the expression and function
of CFTR in the nervous system. Special emphasis is placed on nervous system
abnormalities described in people with CF and in animal models of CF. Finally,
features of CF that may be modulated by or attributed to faulty nervous system
function are discussed.
PMID- 27876596
TI - Characterization and immunomodulatory effects of glucans from Pleurotus albidus,
a promising species of mushroom for farming and biomass production.
AB - Polysaccharides from a number of mushroom species are recognized as functional
food ingredients with potential health benefits, including immunomodulatory
effects. In this study, polysaccharides extracted from the basidiome with cold
water (BaCW), hot water (BaHW), and hot alkali (BaHA) solution, and exo- (MyEX)
and endopolysaccharides (MyEN) from the submerged culture of Pleurotus albidus, a
promising species for farming and biomass production, were analyzed for their
chemical composition and structure and immunomodulatory effects on macrophages.
Compositional (HPAEC-PAD and HPSEC-RID/MWD) and structural (FT-IR, 1D- and 2D
NMR) analyses identified BaCW and MyEX as beta-(1,6)-branched beta-(1,3)-glucans,
BaHW and MyEN as alpha-(1,3)-(1,2)-branched alpha-(1,6)-glucans, and BaHA as a
mixture of alpha-(1,6)- and beta-(1,3)-glucans. BaCW and MyEX stimulated the
production of tumor necrosis factor alpha (TNF-alpha) and nitric oxide (NO), but
not interleukin-6 (IL-6), and decreased phagocytosis of zymosan particles. In
contrast, BaHW and MyEN induced TNF-alpha, NO and IL-6 production, and increased
zymosan phagocytosis, while BaHA displayed intermediary effects in comparison the
other polysaccharides. In conclusion, the basidiome and the submerged culture of
P. albidus are sources of easily extractable alpha- and beta-glucans with
potential immunomodulatory effects.
PMID- 27876595
TI - Behavioral, mental, and physical health characteristics and opioid medication
misuse among community pharmacy patients: A latent class analysis.
AB - BACKGROUND: Community pharmacists are well-positioned to address the US opioid
medication crisis, which has created a need to detect misuse risk in order to
provide optimal patient care. OBJECTIVES: This study sought to identify community
pharmacy patient subgroups at risk for opioid medication misuse. METHODS: This
study was a cross-sectional survey that examined behavioral, mental, and physical
health characteristics among patients filling opioid pain medications. A
convenience sample of adult patients filling opioid pain medications who were not
receiving cancer treatment were screened in 2 urban and 2 rural community
pharmacies in southwestern Pennsylvania. Patient subgroups were identified using
latent class analysis. Latent class regression analysis was used to examine the
association between subgroup membership and misuse. RESULTS: A total of 333
patients completed the survey (response rate 71.4%). Latent class analysis
results showed that a 3-class solution best fit the data, which were labeled:
mental health (8.4%, n = 28), poor health (79.6%, n = 265), and hazardous alcohol
use (12%, n = 40). Individuals within the mental health subgroup had an increased
risk for opioid medication misuse (Odds Ratio = 6.23, 95% CI = 5.13-7.33).
CONCLUSION: These findings demonstrate heterogeneity of this population receiving
prescribed opioids and the potential to identify subgroups with high misuse risk.
These findings also support routine screening of patients filling opioid
medications and suggest the need for evidence-based patient-centered intervention
development.
PMID- 27876597
TI - Role of synbiotics in polysaccharide assisted colon targeted microspheres of
mesalamine for the treatment of ulcerative colitis.
AB - The present study explains the effect of concomitant administration of synbiotics
with the polysaccharide based colon targeted delivery system. As the gut
microflora get deranged on administration of mesalamine, drug release from such
delivery systems is expected to be jeopardised because the release trigger is
dependent solely on the colonic microbiota. To overcome this limitation,
mesalamine was formulated as microspheres with guar gum and xanthan gum
(prebiotics). These were combined with probiotics containing Lactobacillus
acidophilus, L. rhamnosus, Bifidobacterium longumand Saccharomyces boulardi.
Dissolution studies of the prepared formulation conducted in simulated colonic
fluid clearly demonstrated its superiority over the marketed, delayed release
dosage forms of mesalamine. The therapeutic benefit of the concomitant
administration of synbiotic with mesalamine was evidenced by the comparative
evaluation of faecal contents, weight gain trend and histopathological studies
conducted in rats. The results suggest that co-administration of synbiotics with
mesalamine can be used as a convenient methodology to achieve efficient and cost
effective targeting of the drug to colon.
PMID- 27876598
TI - Antithrombotic activity of Batroxase, a metalloprotease from Bothrops atrox
venom, in a model of venous thrombosis.
AB - BACKGROUND: Snake venoms are great sources of bioactive molecules, which may be
used as models for new drugs. Toxins that interfere in hemostasis have received
considerable attention over the years. OBJECTIVES: This study aimed at the
evaluation of the antithrombotic activity of Batroxase, a P-I metalloprotease
from Bothrops atrox venom, in an animal model of venous thrombosis. METHODS: The
antithrombotic activity of Batroxase was tested in vivo in a model based on two
factors of the Virchow's Triad: blood flow alterations (partial stenosis of the
inferior vena cava), and vessel wall injury (10% ferric chloride for 5min), in
comparison with sodium heparin (positive control) and saline (negative control).
Bleeding/clotting time was assessed by a tail bleeding assay. The immunogenicity
of Batroxase was also analyzed. RESULTS: Batroxase (12mg/kg) reduced thrombus
formation in 81%, similarly to heparin (100U/kg), which reduced it in 85% in
comparison with the saline group. Both Batroxase and heparin increased
bleeding/clotting time in approximately 3 fold. Immunizations of rabbits with
Batroxase do not result in detectable levels of antibodies against this
metalloprotease. CONCLUSION: Batroxase presents antithrombotic activity in vivo.
Moreover, its lack of immunogenicity increases the interest on its possible
therapeutic potential over thrombogenic disorders.
PMID- 27876599
TI - Self-emulsifying drug delivery systems (SEDDS): Proof-of-concept how to make them
mucoadhesive.
AB - AIM: The objective of this study was to provide a proof-of-concept that self
emulsifying drug delivery systems can be made mucoadhesive by the incorporation
of hydrophobic mucoadhesive polymers. METHODS: In order to obtain such a
hydrophobic mucoadhesive polymer, Eudragit(r) S100 was thiolated by covalent
attachment of cysteamine. After determination of the thiol group content, in
vitro mucoadhesion studies (rotating cylinder and rheological measurements) were
performed. Then, synthesized conjugate was incorporated into self-emulsifying
drug delivery systems (SEDDS) and their toxic potential as well as that of
unmodified and thiolated Eudragit(r) S100 was examined on Caco-2 cell line.
Lastly, the mucoadhesiveness of developed SEDDS on porcine intestinal mucosa was
determined. RESULTS: Generated thiolated Eudragit(r) S100 displaying 235+/
14MUmol of free thiol groups and 878+/-101MUmol of disulfide bonds per gram
polymer showed a great improvement in both: dynamic viscosity with mucus and
adhesion time on mucosal tissue compared to the unmodified polymer. Resazurin
assay revealed that unmodified and thiolated polymers and also SEDDS dispersions
were non-toxic over Caco-2 cells. Furthermore, the incorporation of 1.5% (w/w) of
such thiomer into SEDDS led to remarkably improved mucoadhesiveness. Blank SEDDS
were completely removed from the mucosa within 15min, whereas >60% of SEDDS
containing thiolated Eudragit(r) S100 were still attached to it. CONCLUSION:
These results provide evidence that SEDDS can be made mucoadhesive by the
incorporation of hydrophobic mucoadhesive polymers.
PMID- 27876600
TI - Antimicrobial metabolites from the plant endophytic fungus Penicillium sp.
AB - Five rare dichloro aromatic polyketides (1-5) were obtained from an endophytic
fungus Penicillium sp., along with five known metabolites (6-10). Their
structures were elucidated by extensive spectroscopic analysis, Mosher methods,
as well as [Rh2(OCOCF3)4]-induced electronic circular dichroism (ECD)
experiments. Compounds 2-4 and 6 structurally involved acyclic 1.3-diols, the
uneasy configuration determinations of which were well carried out by double
derivation NMR methods. Compounds 1-10 were evaluated for their antibacterial and
antifungal activities against five strains of human pathogenic microorganisms.
Helvolic acid (7) showed potent inhibitory effects against Staphylococcus aureus
and Pseudomonas aeruginosa with MIC (minimum inhibitory concentration) values of
5.8 and 4.6MUg/mL, respectively.
PMID- 27876601
TI - Bioapplications of graphene constructed functional nanomaterials.
AB - Graphene has distinctive mechanical, electronic, and optical properties, which
researchers have applied to develop innovative electronic materials including
transparent conductors and ultrafast transistors. Lately, the understanding of
various chemical properties of graphene has expedited its application in high
performance devices that generate and store energy. Graphene is now increasing
its terrain outside electronic and chemical applications toward biomedical areas
such as precise bio sensing through graphene-quenched fluorescence, graphene
enhanced cell differentiation and growth, and graphene-assisted laser
desorption/ionization for mass spectrometry. In this Account, we evaluate recent
efforts to apply graphene and graphene oxides (GO) to biomedical research and a
few different approaches to prepare graphene materials designed for biomedical
applications and a brief perspective on their future applications. Because of its
outstanding aqueous processability, amphiphilicity, surface functionalizability,
surface enhanced Raman scattering (SERS), and fluorescence quenching ability, GO
chemically exfoliated from oxidized graphite is considered a promising material
for biological applications. In addition, the hydrophobicity and flexibility of
large-area graphene synthesized by chemical vapor deposition (CVD) allow this
material to play an important role in cell growth and differentiation. Graphene
is considered to be an encouraging and smart candidate for numerous biomedical
applications such as NIR-responsive cancer therapy and fluorescence bio-imaging
and drug delivery. To that end, suitable preparation and unique approaches to
utilize graphene-based materials such as graphene oxides (GOs), reduced graphene
oxides (rGOs), and graphene quantum dots (GQDs) in biology and medical science
are gaining growing interest.
PMID- 27876602
TI - Genistein attenuates D-GalN induced liver fibrosis/chronic liver damage in rats
by blocking the TGF-beta/Smad signaling pathways.
AB - BACKGROUND AND AIM: Genistein is a major isoflavonoid abundantly found in soy.
Earlier genistein has been reported to possess protective effect against a
multitude of disorders including cancer. Previously we demonstrated the
protective effects of Genistein in d-Galactosamine (D-GalN) induced fulminant
hepatic failure (FHF) in rats. In present study, we evaluated the
hepatoprotective activity of Genistein in rat model of chronic liver damage and
liver fibrosis. METHODS: Liver fibrosis was induced by intraperitoneal injection
of D-GalN (250 mg/kg BW) twice a week for 12 weeks. Genistein (5 mg/kg BW) was
given via intra-gastric route as co-treatment daily for 12 weeks. RESULTS:
Genistein co-treatment significantly attenuated D-GalN-induced chronic liver
damage and liver fibrosis as evident from a significant amelioration in
functional impairment, including inhibition of the activation of Hepatic stellate
cells (HSC), decreased expression in alpha smooth muscle actin (alpha-SMA) and
accumulation of collagen matrix, and an elevation in serum alanine transaminase
(ALT) and aspartate transaminase (AST) level. In addition Genistein co-treatment
was associated with elevated expression of hepatic Smad7, which ultimately blunts
the expression of TGF-beta and the activation of TGF-beta/Smad signaling.
Furthermore Genistein significantly prevented the histopathological changes
induced by D-GalN. CONCLUSION: Our results suggest that Genistein could be a
novel therapeutic/nutraceutical agent in treating chronic liver damage and liver
fibrosis. In addition our study also suggests a possible mechanism of action in
which Smad7-induced inhibition of TGF-beta/Smad2/3 can be a central mechanism by
which Genistein protects liver from chronic injury.
PMID- 27876603
TI - Canadian Phase III Randomized Trial of Stereotactic Body Radiotherapy Versus
Conventionally Hypofractionated Radiotherapy for Stage I, Medically Inoperable
Non-Small-Cell Lung Cancer - Rationale and Protocol Design for the Ontario
Clinical Oncology Group (OCOG)-LUSTRE Trial.
AB - We describe a Canadian phase III randomized controlled trial of stereotactic body
radiotherapy (SBRT) versus conventionally hypofractionated radiotherapy (CRT) for
the treatment of stage I medically inoperable non-small-cell lung cancer (OCOG
LUSTRE Trial). Eligible patients are randomized in a 2:1 fashion to either SBRT
(48 Gy in 4 fractions for peripherally located lesions; 60 Gy in 8 fractions for
centrally located lesions) or CRT (60 Gy in 15 fractions). The primary outcome of
the study is 3-year local control, which we hypothesize will improve from 75%
with CRT to 87.5% with SBRT. With 85% power to detect a difference of this
magnitude (hazard ratio = 0.46), a 2-sided alpha = 0.05 and a 2:1 randomization,
we require a sample size of 324 patients (216 SBRT, 108 CRT). Important secondary
outcomes include overall survival, disease-free survival, toxicity, radiation
related treatment death, quality of life, and cost-effectiveness. A robust
radiation therapy quality assurance program has been established to assure
consistent and high quality SBRT and CRT delivery. Despite widespread interest
and adoption of SBRT, there still remains a concern regarding long-term control
and risks of toxicity (particularly in patients with centrally located lesions).
The OCOG-LUSTRE study is the only randomized phase III trial testing SBRT in a
medically inoperable population, and the results of this trial will attempt to
prove that the benefits of SBRT outweigh the potential risks.
PMID- 27876604
TI - Predictors and Patterns of Regional Recurrence Following Lung SBRT: A Report From
the Elekta Lung Research Group.
AB - INTRODUCTION: The objective of this study was to determine the predictors and
patterns of regional recurrence (RR) following stereotactic body radiotherapy
(SBRT) for primary lung cancers. MATERIAL AND METHODS: Details of patient
factors, treatment, and outcome factors were extracted from a multi-institutional
(5) database. All events were calculated from the end of radiotherapy. Estimates
of local recurrence, RR, and distant metastases (DM) were calculated using the
competing risk method. Cause-specific and overall survival were calculated using
the Kaplan-Meier method. Details of locations and number of simultaneous RRs were
categorized by lymph node anatomic station. RESULTS: A total of 734 patients were
analyzed. The median follow-up was 3.0 years in surviving patients. Four hundred
seventy-six (65%) patients had pathologic proof of disease. There were 64
patients with RR. The 2-year local recurrence, RR, and distant metastases rates
were 5.6%, 9.0%, and 14.6% respectively. The 2-year cause-specific and overall
survival were 89.9% and 63.7%, respectively. There were 136 simultaneous sites of
RR. There were 21 recurrences in stations 4R (15.4%), 9 (6.6%) in 4L, 30 (22%) in
7, 19 (13.9%) in 10R, and 14 (10.3%) in 10L. The most common stations for
isolated recurrence (n = 19) were station 7 (n = 5; 26.3%) and station 10R (n =
6; 31.6%). The most common RR levels were stations 4 and 7 for right and left
upper lobe, stations 5, 7, and 10 for left lower lobe tumors, and stations 7 and
10 for right lower lobe tumors. CONCLUSION: Stations 4, 7, and 10 were the most
common stations for RR. These patterns of recurrence may guide nodal staging
procedures prior to SBRT.
PMID- 27876606
TI - Devastating Peristomal Pyoderma Gangrenosum: Challenges in Diagnosis and
Management.
PMID- 27876605
TI - Role of zebrafish NLRC5 in antiviral response and transcriptional regulation of
MHC related genes.
AB - Intracellular NOD-like receptors (NLRs) are emerging as critical regulators of
innate and adaptive immune responses. Although the NLR family member NLRC5 is
functionally defined, the role of NLRC5 in regulating innate immune signaling has
been controversial in mammals, and is poorly understood in teleost fish. In the
present study, we report the functional characterization of zebrafish NLRC5. The
cloned NLRC5 consists of 6435 bp which encodes 1746 amino acids. The N-terminal
effector-binding domain of zebrafish NLRC5 is absent which is different from all
other human NLRs. Fluorescence microscopy showed that zebrafish NLRC5 is located
throughout the entire cell. The higher expression of zebrafish NLRC5 in embryo
than in larvae was observed, suggesting the action phase of NLRC5 in zebrafish
ontogenetic stages. When the modulation of NLRC5 in pathogen infection was
analyzed, it was found that zebrafish NLRC5 was upregulated by both bacterial and
viral infection. Overexpression of zebrafish NLRC5 resulted in significant
inhibition of SVCV replication in vivo and in vitro, but failed to activate
interferon (IFN) promoters and type I IFN signaling pathway. Interestingly, NLRC5
overexpression could activate mhc2dab promoter, and induce the expression of MHC
class II genes. All together, these results demonstrate that zebrafish NLRC5 is
involved in IFN-independent antiviral response, and also functions as a
transcriptional regulator of MHC class II genes.
PMID- 27876607
TI - An Unusual Case of Pain in the Gallbladder Area: Is It Acute Cholecystitis?
PMID- 27876608
TI - The achievements of clinical chemistry testing: 1967-2017.
PMID- 27876609
TI - Laboratory Medicine: Advances and challenges.
PMID- 27876610
TI - Establishing reference intervals for hCG in postmenopausal women.
AB - BACKGROUND: Plasma concentrations of human chorionic gonadotropin (hCG) have been
shown to increase with age due to pituitary secretion. We previously recommended
that an hCG cutoff of 14.0IU/L be used for women >=55years of age. However, it
remains unknown whether concentrations >14.0IU/L can be expected in women with
advanced age. Our objectives were to establish plasma hCG reference intervals and
correlate follicle stimulating hormone (FSH) and hCG concentrations in
postmenopausal females >=55years. METHODS: Residual plasma samples from 798 women
>=55years were utilized with 303, 269, and 226 samples belonging to the age
groups 55-69, 70-84, and >=85years, respectively. FSH and hCG were measured using
the Abbott ARCHITECT. All positive hCG samples (hCG >=5IU/L) were analyzed for
potential heterophile antibody interference and 3 were excluded. Electronic
medical records were reviewed and patients with malignancy were excluded.
RESULTS: 8% (56/666) of women age>=55years had plasma hCG >=5IU/L. There were 19,
16, and 21 patients with hCG >=5IU/L in the age groups 55-69, 70-84, and
>=85years, respectively. The highest hCG concentrations observed in each age
group were: 55-69years maximum=11.7IU/L and 97.5th percentile=9.6IU/L; 70-84years
maximum=18.09IU/L, 97.5th percentile=6.2IU/L; >=85years maximum=11.1IU/L and
97.5th percentile=10.0IU/L, and the overall 97.5th percentile=8.5IU/L for all
women >=55years of age. Neither hCG nor FSH concentrations continued to increase
with age in women >=55years. CONCLUSIONS: The prevalence of positive hCG in women
>=55years is 8%. This study confirms our previously recommended cutoff of 14IU/L
for women >=55years of age. In women >=55years of age, FSH concentrations do not
predict hCG concentrations.
PMID- 27876612
TI - Lethal distemper in badgers (Meles meles) following epidemic in dogs and wolves.
AB - Canine distemper virus (CDV) represents an important conservation threat to many
wild carnivores. A large distemper epidemic sustained by an Arctic-lineage strain
occurred in Italy in 2013, mainly in the Abruzzi region, causing overt disease in
domestic and shepherd dogs, Apennine wolves (Canis lupus) and other wild
carnivores. Two badgers were collected by the end of September 2015 in a rural
area of the Abruzzi region and were demonstrated to be CDV-positive by real time
RT-PCR and IHC in several tissues. The genome of CDV isolates from badgers showed
Y549H substitution in the mature H protein. By employing all publicly available
Arctic-lineage H protein encoding gene sequences, six amino acid changes in
recent Italian strains with respect to Italian strains of dogs from 2000 to 2008,
were observed. A CDV strain belonging to the European-wildlife lineage was also
identified in a fox found dead in the same region in 2016, proving co-circulation
of an additional CDV lineage.
PMID- 27876611
TI - Re-emergence of amantadine-resistant variants among highly pathogenic avian
influenza H5N1 viruses in Egypt.
AB - Highly pathogenic avian influenza (HPAI) H5N1 virus continues to undergo
substantial evolution. Emergence of antiviral resistance among H5N1 avian
influenza viruses is a major challenge in the control of pandemic influenza.
Numerous studies have focused on the genetic and evolutionary dynamics of the
hemagglutinin and neuraminidase genes; however, studies on the susceptibility of
HPAI H5N1 viruses to amantadine and genetic diversity of the matrix (M) gene are
limited. Accordingly, we studied the amantadine susceptibility of the HPAI H5N1
viruses isolated in Egypt during 2006-2015 based on genotypic and phenotypic
characteristics. We analyzed data on 253 virus sequences and constructed a
phylogenetic tree to calculate selective pressures on sites in the M2 gene
associated with amantadine-resistance among different clades. Selection pressure
was identified in the transmembrane domain of M2 gene at positions 27 and 31.
Amantadine-resistant variants emerged in 2007 but were not circulating between
2012 and 2014. By 2015, amantadine-resistant HPAI H5N1 viruses re-emerged. This
may be associated with the uncontrolled prescription of amantadine for
prophylaxis and control of avian influenza infections in the poultry farm sector
in Egypt. More epidemiological research is required to verify this observation.
PMID- 27876613
TI - Multiplex Amplification Refractory Mutation System PCR (ARMS-PCR) provides
sequencing independent typing of canine parvovirus.
AB - Canine parvovirus-2 antigenic variants (CPV-2a, CPV-2b and CPV-2c) ubiquitously
distributed worldwide in canine population causes severe fatal gastroenteritis.
Antigenic typing of CPV-2 remains a prime focus of research groups worldwide in
understanding the disease epidemiology and virus evolution. The present study was
thus envisioned to provide a simple sequencing independent, rapid, robust,
specific, user-friendly technique for detecting and typing of presently
circulating CPV-2 antigenic variants. ARMS-PCR strategy was employed using
specific primers for CPV-2a, CPV-2b and CPV-2c to differentiate these antigenic
types. ARMS-PCR was initially optimized with reference positive controls in two
steps; where first reaction was used to differentiate CPV-2a from CPV-2b/CPV-2c.
The second reaction was carried out with CPV-2c specific primers to confirm the
presence of CPV-2c. Initial validation of the ARMS-PCR was carried out with 24
sequenced samples and the results were matched with the sequencing results. ARMS
PCR technique was further used to screen and type 90 suspected clinical samples.
Randomly selected 15 suspected clinical samples that were typed with this
technique were sequenced. The results of ARMS-PCR and the sequencing matched
exactly with each other. The developed technique has a potential to become a
sequencing independent method for simultaneous detection and typing of CPV-2
antigenic variants in veterinary disease diagnostic laboratories globally.
PMID- 27876614
TI - First molecular detection and genetic analysis of Anaplasma phagocytophilum in
shelter cats in Seoul, Korea.
AB - Here, we report the molecular detection of Anaplasma phagocytophilum in shelter
cats in Korea and the relationships between A. phagocytophilum gene sequences and
the pathogenicity, region, and host specificity of this bacterium. Two (0.9%) out
of 222 shelter cats from Seoul, Korea, yielded positive results for the A.
phagocytophilum 16S rRNA, groEL, and msp2 genes. Phylogenetic analysis divided
groEL gene sequences into two groups (alanine and serine), based on their
nucleotide and amino acid sequences. A. phagocytophilum msp2 gene sequences were
grouped per the region of isolation (Europe vs. USA, including Korea). Some
nucleotide and amino acid sequences of groEL and msp2 showed distinctive patterns
according to the region of isolation, which helped in distinguishing A.
phagocytophilum gene sequences detected in Korea from those detected in the USA
and Europe. Although the limited number of clinical anaplasmosis cases caused by
A. phagocytophilum belonging to the alanine group prevents any firm conclusions,
the results of the present study tend to refute the previous view that the
pathogenicity of A. phagocytophilum is associated with the serine group.
Moreover, our results suggest that genetic analyses of groEL and msp2 can be used
to obtain a regional fingerprint of A. phagocytophilum.
PMID- 27876615
TI - A novel avian-like hepatitis E virus in wild aquatic bird, little egret (Egretta
garzetta), in Hungary.
AB - Hepatitis E virus (HEV), family Hepeviridae, has public health concerns because
of its zoonotic potential; however, the host species spectrum, animal to animal
transmissions, the natural chain of hepevirus infections and the genetic
diversity of HEV in wildlife especially in birds are less known. Using random
amplification and next generation sequencing technology a genetically divergent
avian HEV was serendipitously identified in wild bird in Hungary. HEV RNA was
detected with high faecal viral load (1.33*108genomiccopies/ml) measured by real
time PCR in faecal sample from a little egret (Egretta garzetta). The complete
genome of HEV strain little egret/kocsag02/2014/HUN (KX589065) is 6660-nt long
including a 18-nt 5' end and a 103-nt 3' end (excluding the poly(A)-tail).
Sequence analyses indicated that the ORF1 (4554nt/1517aa), ORF2 (1728nt/593aa)
and ORF3 (339nt/112aa) encoded proteins of little egret/kocsag02/2014/HUN shared
the highest identity (62.8%, 71% and 61.5%) to the corresponding proteins of
genotype 1 avian (chicken) HEV in species Orthohepevirus B, respectively. This
study reports the identification and complete genome characterization of a novel
orthohepevirus distantly related to avian (chicken) HEVs at the first time in
wild bird. It is important to recognize all potential hosts, reservoirs and
spreaders in nature and to reconstruct the phylogenetic history of hepeviruses.
Birds could be an important reservoir of HEV generally and could be infected with
genetically highly divergent strains of HEV.
PMID- 27876617
TI - Canagliflozin, a sodium glucose cotransporter 2 inhibitor, attenuates obesity
induced inflammation in the nodose ganglion, hypothalamus, and skeletal muscle of
mice.
AB - Chronic inflammation in systemic organs, such as adipose tissue, nodose ganglion,
hypothalamus, and skeletal muscles, is closely associated with obesity and
diabetes mellitus. Because sodium glucose cotransporter 2 (SGLT2) inhibitors
exert both anti-diabetic and anti-obesity effects by promoting urinary excretion
of glucose and subsequent caloric loss, we investigated the effect of
canagliflozin, an SGLT2 inhibitor, on obesity-induced inflammation in neural
tissues and skeletal muscles of mice. High-fat diet (HFD)-fed male C57BL/6J mice
were treated with canagliflozin for 8 weeks. Canagliflozin attenuated the HFD
mediated increases in body weight, liver weight, and visceral and subcutaneous
fat weight. Additionally, canagliflozin decreased blood glucose as well as the
fat, triglyceride, and glycogen contents of the liver. Along with these metabolic
corrections, canagliflozin attenuated the increases in the mRNA levels of the
proinflammatory biomarkers Iba1 and Il6 and the number of macrophages/microglia
in the nodose ganglion and hypothalamus. In the skeletal muscle of HFD-fed obese
mice, canagliflozin decreased inflammatory cytokine levels, macrophage
accumulation, and the mRNA level of the specific atrophic factor atrogin-1.
Canagliflozin also increased the mRNA level of insulin-like growth factor 1,
protected against muscle mass loss, and restored the contractile force of muscle.
These findings suggested that SGLT2 inhibition disrupts the vicious cycle of
obesity and inflammation, not only by promoting caloric loss, but also by
suppression of obesity-related inflammation in both the nervous system and
skeletal muscle.
PMID- 27876618
TI - TLR4/NF-kappaB/Ceramide signaling contributes to Ox-LDL-induced calcification of
human vascular smooth muscle cells.
AB - Vascular calcification is a major feature of advanced atherosclerosis and highly
associated with cardiovascular diseases. Oxidized low density lipoprotein (Ox
LDL) has been recognized as a critical risk factor for atherosclerosis and
osteogenic differentiation of vascular smooth muscle cells (VSMCs). Previous
studies have demonstrated that toll like receptor 4 (TLR4) is highly expressed in
atherosclerotic lesions and participates in the progression of atherosclerosis.
However, the role of TLR4 in vascular calcification remains unknown. In this
study, we investigated whether TLR4 modulates vascular calcification induced by
Ox-LDL. TLR4 expression was up-regulated in cultured human VSMCs treated with Ox
LDL. Knockdown of TLR4 by small interfering RNA (siRNA) significantly reduced Ox
LDL-induced calcification, detected by alizarin red staining and calcium content
assay. TLR4 siRNA also decreased the mRNA expression of bone-related proteins
including Msx2, osterix, BMP2 and KLF4, but increased the expression of VSMC
contractile proteins including SMA and SM22alpha in VSMCs. In addition, Ox-LDL
stimulated nuclear translocation of nuclear factor kappa B (NK-kappaB) p65. These
effects of Ox-LDL on VSMCs were reversed by TLR4 siRNA. Furthermore, NK-kappaB
inhibitor, pyrrolidine dithiocarbamate (PDTC), attenuated Ox-LDL-induced VSMC
calcification, which was rescued by C2-ceramide treatment. In conclusion, these
findings suggest that TLR4 regulates VSMC calcification induced by Ox-LDL through
activation of NK-kappaB, highlighting the critical role of TLR4/NK-kappaB
signaling in vascular calcification.
PMID- 27876616
TI - The Starting Treatment for Ethanol in Primary care Trials (STEP Trials): Protocol
for Three Parallel Multi-Site Stepped Care Effectiveness Studies for Unhealthy
Alcohol Use in HIV-Positive Patients.
AB - Unhealthy alcohol use is common among HIV-positive patients, yet effective
evidence-based treatments are rarely provided in clinical settings providing HIV
care. Further, given patient variability in response to initial treatments,
stepped care approaches may be beneficial. We describe the rationale, aims and
study design for the current StartingTreatment forEthanol inPrimary care Trials
(STEP Trials); three parallel randomized controlled effectiveness trials being
conducted in five Infectious Disease Clinics. Participants meeting criteria for:
1) at-risk drinking, 2) moderate alcohol use with liver disease (MALD), or 3)
alcohol use disorder (AUD) are randomized to integrated stepped care versus
treatment as usual. For those with at-risk drinking or MALD, integrated stepped
care starts with a one session brief intervention and follow-up 2-week telephone
booster. Based on pre-specified nonresponse criteria, participants may be
"stepped up" at week 4 to receive four sessions of motivational enhancement
therapy (MET) and "stepped up" again at week 12 for addiction physician
management (APM) and consideration of alcohol pharmacotherapy. For those with
AUD, integrated stepped care begins with APM. Non-responders may be "stepped up"
at week 4 to receive MET and again at week 12 for a higher level of care (e.g.
intensive outpatient program). The primary outcome is alcohol consumption
assessed at 24weeks, and secondary outcome is the VACS Index, a validated measure
of HIV morbidity and mortality risk. Results from the STEP Trials should inform
future research and the implementation of interventions to address unhealthy
alcohol use among HIV-positive individuals.
PMID- 27876620
TI - The GABAB positive allosteric modulators CGP7930 and GS39783 stimulate ERK1/2
signalling in cells lacking functional GABAB receptors.
AB - The present study shows that the GABAB positive allosteric modulators (PAMs)
CGP7930 and GS39783 stimulate extracellular signal-regulated protein kinases 1
and 2 (ERK1/2) signalling in cells that do not express functional GABAB
receptors. In human SH-SY5Y neuroblastoma cells, CGP7930 and GS39783 induced a
time- and concentration-dependent increase in ERK1/2 phosphorylation with
potencies similar to those displayed as GABAB PAMs. Conversely, gamma
aminobutyric acid and the GABAB receptor agonists (-)baclofen and SKF97541 were
completely inactive. CGP7930 and GS39783 enhanced the nuclear localization of
phospho-ERK1/2 and CGP7930 promoted the phosphorylation of the transcription
factors Elk-1 and CREB. CGP7930-induced ERK1/2 stimulation was insensitive to
pertussis toxin, the Gq/11 antagonist YM254890 and the phospholipase C-beta
inhibitor U-73122, but was completely blocked by the MEK1/2 inhibitor PD98059.
Inhibition of insulin-like growth factor-1, platelet--derived growth factor,
phosphoinositide 3-kinase and Akt activities potentiated CGP7930-induced ERK1/2
phosphorylation. CGP7930 enhanced the phosphorylation of myristoylated alanine
rich protein kinase C (PKC) substrate and inhibition of PKC attenuated the ERK1/2
stimulation. Over-expression of N17Ras, a dominant negative mutant of c-Ras, or
inhibition of c-Raf by GW5074 partially antagonized CGP7930-induced ERK1/2
activation. CGP7930 enhanced the phosphorylation of transforming growth factor
beta-activated kinase 1 (TAK-1) and TAK-1 inhibition by 5Z-7-oxozeaenol reduced
CGP7930-induced ERK1/2 phosphorylation. CGP7930 activated ERK1/2 in CHO-K1
fibroblasts, which lack endogenous GABAB receptors, but not in HEK-293 cells,
indicating that the response displayed cell type specificity. These data
demonstrate that CGP7930 and GS39783 can trigger ERK1/2 signalling, a critical
modulator of mood and drug addiction, independently of an action on GABAB
receptors.
PMID- 27876621
TI - Translational resistivity/conductivity of coding sequences during exponential
growth of Escherichia coli.
AB - Codon adaptation index (CAI) has been widely used for prediction of expression of
recombinant genes in Escherichia coli and other organisms. However, CAI has no
mechanistic basis that rationalizes its application to estimation of
translational efficiency. Here, I propose a model based on which we could
consider how codon usage is related to the level of expression during exponential
growth of bacteria. In this model, translation of a gene is considered as an
analog of electric current, and an analog of electric resistance corresponding to
each gene is considered. "Translational resistance" is dependent on the steady
state concentration and the sequence of the mRNA species, and "translational
resistivity" is dependent only on the mRNA sequence. The latter is the sum of two
parts: one is the resistivity for the elongation reaction (coding sequence
resistivity), and the other comes from all of the other steps of the decoding
reaction. This electric circuit model clearly shows that some conditions should
be met for codon composition of a coding sequence to correlate well with its
expression level. On the other hand, I calculated relative frequency of each of
the 61 sense codon triplets translated during exponential growth of E. coli from
a proteomic dataset covering over 2600 proteins. A tentative method for
estimating relative coding sequence resistivity based on the data is presented.
PMID- 27876619
TI - Inhibition of the potassium channel KCa3.1 by senicapoc reverses tactile
allodynia in rats with peripheral nerve injury.
AB - Neuropathic pain is a debilitating, chronic condition with a significant unmet
need for effective treatment options. Recent studies have demonstrated that in
addition to neurons, non-neuronal cells such as microglia contribute to the
initiation and maintenance of allodynia in rodent models of neuropathic pain. The
Ca2+- activated K+ channel, KCa3.1 is critical for the activation of immune
cells, including the CNS-resident microglia. In order to evaluate the role of
KCa3.1 in the maintenance of mechanical allodynia following peripheral nerve
injury, we used senicapoc, a stable and highly potent KCa3.1 inhibitor. In
primary cultured microglia, senicapoc inhibited microglial nitric oxide and IL
1beta release. In vivo, senicapoc showed high CNS penetrance and when
administered to rats with peripheral nerve injury, it significantly reversed
tactile allodynia similar to the standard of care, gabapentin. In contrast to
gabapentin, senicapoc achieved efficacy without any overt impact on locomotor
activity. Together, the data demonstrate that the KCa3.1 inhibitor senicapoc is
effective at reducing mechanical hypersensitivity in a rodent model of peripheral
nerve injury.
PMID- 27876622
TI - A novel C-type lectin in the black tiger shrimp Penaeus monodon functions as a
pattern recognition receptor by binding and causing bacterial agglutination.
AB - C-type lectins are pattern recognition proteins that play important roles in
innate immunity in invertebrates by mediating the recognition of pathogens. In
this study, a novel C-type lectin gene, PmCLec, was cloned and characterized from
the black tiger shrimp Penaeus monodon. The open reading frame of PmCLec is 657
bp in length. It encodes a predicted protein of 218 amino acids with a calculated
molecular mass and an isoelectric point of 24086 Da and 4.67, respectively.
Sequence analysis of PmCLec showed similarity to members of the C-type lectin
gene superfamily. The deduced protein contains a single carbohydrate recognition
domain (CRD) and four conserved cysteine residues (Cys58, Cys126, Cys141, Cys149)
that are involved in the formation of disulfide bridges. PmCLec transcripts are
expressed in various tiger shrimp tissues, with the highest expression in the
lymphoid organ. RNAi-mediated silencing of PmCLec resulted in higher cumulative
mortality of knockdown shrimp after Vibrio harveyi infection compared to the
control groups. Recombinant PmCLec was successfully expressed in the E. coli
system. In the presence of Ca2+, purified rPmCLec protein binds and agglutinates
Gram-positive bacteria (Staphylococcus aureus, S. hemolyticus), but only slightly
binds and agglutinates E. coli and could not bind to the Gram-negative bacteria
Bacillus megaterium and Vibrio harveyi. These results suggest that PmCLec
functions as a pattern recognition receptor that is implicated in shrimp innate
immunity.
PMID- 27876623
TI - Identification of sea perch (Lateolabrax japonicus) ribonucleoprotein PTB-Binding
1 involved in antiviral immune response against RGNNV.
AB - RIG-I-like receptors (RLRs) can recognize viral RNA and initiate innate antiviral
response. In earlier studies, we demonstrated that RLRs were implicated in the
antiviral immunity against RGNNV in the seawater fish sea perch (Lateolabrax
japonicus). However, potential regulators of RLRs-mediated signaling pathways
involved in RGNNV infection remain unclear. In this study, a novel
ribonucleoprotein PTB-binding 1 (Raver1) of sea perch (LjRAVER1) was identified
for the first time. The cDNA of LjRAVER1 was 4066 bp in length and encoded a
deduced polypeptide of 733 amino acids. Phylogenetic analysis revealed a closer
affinity of LjRAVER1 with Larimichthys Crocea Raver1. LjRAVER1 mRNA was
constitutively expressed in all 10 sampled tissues, and rapidly and significantly
increased in vivo upon RGNNV infection. Time course analysis showed that LjRAVER1
transcripts were significantly increased both in vivo and in vitro after RGNNV
infection. Viral infection and poly I:C treatment caused translocation of
LjRAVER1 from the nucleus to the cytoplasm. Ectopic expression of LjRAVER1
increased the transcription level of several RLR signaling pathway related genes
inducible by poly I:C treatment in vitro. Moreover, the viral gene transcription
and virus production of RGNNV were significantly decreased in LjRAVER1
overexpressing cells. Luciferase reporter assays demonstrated that overexpression
of LjRAVER1 significantly increased the promoter activity of zebrafish IFN1.
Taken together, these findings indicated that LjRAVER1 might be an important
component of RLR signaling pathway and involved in RLR pathway-mediated IFN
response in sea perch.
PMID- 27876624
TI - Differences in structure and changes in gene regulation of murrel molecular
chaperone HSP family during epizootic ulcerative syndrome (EUS) infection.
AB - Heat shock proteins (HSPs) are immunogenic, ubiquitous class of molecular
chaperones, which are induced in response to various environmental and microbial
stressful conditions. It plays a vital role in maintaining cellular protein
homeostasis in eukaryotic cells. In this study, we described a comprehensive
comparative data by bioinformatics approach on three different full length cDNA
sequences of HSP family at molecular level. The cDNA sequences of three HSPs were
identified from constructed cDNA library of Channa striatus and named as CsCPN60,
CsHSP60 and CsHSP70. We have conducted various physicochemical study, which
showed that CsHSP70 (666 amino acid) possessed a larger polypeptides followed by
CsCPN60 (575) and CsCPN60 (542). Three dimensional structural analysis of these
HSPs showed maximum residues in alpha-helices and least in beta-sheets; also
CsHSP60 lacks beta-sheet and formed helix-turn-helix structure. Further analysis
indicated that each HSP carried distinct domains and gene specific signature
motif, which showed that each HSP are structurally diverse. Homology and
phylogenetic study showed that the sequences taken for analysis shared maximum
identity with fish HSP family. Tissue specific mRNA expression analysis revealed
that all the HSPs showed maximum expression in one of the major immune organ such
as CsCPN60 in kidney, CsHSP60 in spleen and CsHSP70 in head kidney. To understand
the function of HSPs in murrel immune system, the elevation in mRNA expression
level was analyzed against microbial oxidative stressors such as fungal
(Aphanomyces invadans) and bacterial (Aeromonas hydrophila). It is interesting to
note that all the HSP showed a different expression pattern and reached maximum
up-regulation at 48 h post-infection (p.i) during fungal stress, whereas in
bacterial stress only CsCPN60 showed maximum up-regulation at 48 h p.i, but
CsHSP60 and CsHSP70 showed maximum up-regulation at 24 h p.i. The differential
expression pattern showed that each HSP is diverse in function. Overall, the
elevation in expression levels showed that HSPs might have potential involvement
in murrel immune protection thus, protecting the organism against various
external stimuli including environmental and microbial stress.
PMID- 27876625
TI - Deep sequencing for discovery and evolutionary analysis of plant viruses.
AB - The advent of next generation sequencing (NGS), or deep sequencing, has allowed
great advances to be made in discovery, diagnostics, and evolutionary studies in
plant viruses. Various methods have been used for enrichment for virus-specific
nucleic acids, each of which have some drawbacks. Many novel viruses have been
discovered in plants by NGS technologies, and there is a good deal of promise for
more comprehensive studies in virus evolution. However, each aspect of using NGS
has its caveats that need to be considered, and there is still a need for better
tools of analysis, as well as method for validation of sequence variation.
PMID- 27876626
TI - Life-threatening NLRC4-associated hyperinflammation successfully treated with IL
18 inhibition.
PMID- 27876627
TI - IL-33 fine tunes mast cell degranulation and chemokine production at the single
cell level.
AB - BACKGROUND: Mast cells are versatile key components of allergy and inflammation
known to respond to both innate and adaptive immunologic stimuli. However, the
response of individual mast cells to cumulative stimuli remains poorly
understood. OBJECTIVES: We sought to dissect mast cell responses at the single
cell level and their potentiation by IL-33. METHODS: We monitored mast cell
degranulation in real time by exploiting the capacity of fluorochrome-labeled
avidin to stain degranulating cells. During the degranulation process, the
granule matrix is externalized and immediately bound by fluorochrome-labeled
avidin present in the culture medium. The degranulation process is monitored by
using either time-lapse microscopy or fluorescence-activated cell sorting
analysis. RESULTS: Single-cell analysis revealed a strong heterogeneity of
individual mast cell degranulation responses. We observed that the number of
degranulating mast cells was graded according to the FcepsilonRI stimulation
strength, whereas the magnitude of individual mast cell degranulation remained
unchanged, suggesting an all-or-none response of mast cells after FcepsilonRI
triggering. IL-33 pretreatment increased not only the number of degranulating and
chemokine-producing mast cells but also the magnitude of individual mast cell
degranulation and chemokine production. CONCLUSION: We illustrate the effect of
IL-33 on mast cell biology at the single-cell level by showing that IL-33
potentiates IgE-mediated mast cell responses by both increasing the number of
responding cells and enhancing the responses of individual mast cells.
PMID- 27876629
TI - Improvement of idiopathic membranous nephropathy diagnosis with ultrasensitive
quantitative detection of anti-phospholipase A2 receptor.
PMID- 27876628
TI - Blocking antibodies induced by immunization with a hypoallergenic parvalbumin
mutant reduce allergic symptoms in a mouse model of fish allergy.
AB - BACKGROUND: Fish is a frequent elicitor of severe IgE-mediated allergic
reactions. Beside avoidance, there is currently no allergen-specific therapy
available. Hypoallergenic variants of the major fish allergen, parvalbumin, for
specific immunotherapy based on mutation of the 2 calcium-binding sites have been
developed. OBJECTIVES: This study sought to establish a mouse model of fish
allergy resembling human disease and to investigate whether mouse and rabbit IgG
antibodies induced by immunization with a hypoallergenic mutant of the major carp
allergen protect against allergic symptoms in sensitized mice. METHODS: C3H/HeJ
mice were sensitized with recombinant wildtype Cyp c 1 or carp extract by
intragastric gavage. Antibody, cellular immune responses, and epitope specificity
in sensitized mice were investigated by ELISA, rat basophil leukemia assay, T
cell proliferation experiments using recombinant wildtype Cyp c 1, and
overlapping peptides spanning the Cyp c 1 sequence. Anti-hypoallergenic Cyp c 1
mutant mouse and rabbit sera were tested for their ability to inhibit IgE
recognition of Cyp c 1, Cyp c 1-specific basophil degranulation, and Cyp c 1
induced allergic symptoms in the mouse model. RESULTS: A mouse model of fish
allergy mimicking human disease regarding IgE epitope recognition and symptoms as
close as possible was established. Administration of antisera generated in mice
and rabbits by immunization with a hypoallergenic Cyp c 1 mutant inhibited IgE
binding to Cyp c 1, Cyp c 1-induced basophil degranulation, and allergic symptoms
caused by allergen challenge in sensitized mice. CONCLUSIONS: Antibodies induced
by immunization with a hypoallergenic Cyp c 1 mutant protect against allergic
reactions in a murine model of fish allergy.
PMID- 27876631
TI - Cumbersome removal of a lumen-apposing metal stent in a case of refractory
pancreatic pseudocyst.
PMID- 27876630
TI - Disruptions of the genes involved in lysine biosynthesis, iron acquisition, and
secondary metabolisms affect virulence and fitness in Metarhizium robertsii.
AB - Based on genomic analysis, polyketide synthase (PKS) and nonribosomal peptide
synthetase (NRPS) pathways account for biosynthesis of the majority of the
secondary metabolites produced by the entomopathogenic fungus Metarhizium
robertsii. To evaluate the contribution of these pathways to M. robertsii fitness
and/or virulence, mutants deleted for mrpptA, the Sfp-type 4' phosphopantetheinyl
transferase gene required for their activation were generated. DeltamrpptA
strains were deficient in PKS and NRPS activity resulting in colonies that lacked
the typical green pigment and failed to produce the nonribosomal peptides
(destruxins, serinocylins, and the siderophores ferricrocin and metachelins) as
well as the hybrid polyketide-peptides (NG-39x) that are all produced by the wild
type (WT) M. robertsii. The DeltamrpptA colonies were also auxotrophic for
lysine. Two other mutant strains were generated: DeltamraarA, in which the alpha
aminoadipate reductase gene critical for lysine biosynthesis was disrupted, and
DeltamrsidA, in which the L-ornithine N5-oxygenase gene that is critical for
hydroxamate siderophore biosynthesis was disrupted. The phenotypes of these
mutants were compared to those of DeltamrpptA to separate effects of the loss of
lysine or siderophore production from the overall effect of losing all polyketide
and non-ribosomal peptide production. Loss of lysine biosynthesis marginally
increased resistance to H2O2 while it had little effect on the sensitivity to the
cell wall disruptor sodium dodecyl sulfate (SDS) and no effect on sensitivity to
iron deprivation. In contrast, combined loss of metachelin and ferricrocin
through the inactivation of mrsidA resulted in mutants that were as
hypersensitive or slightly more sensitive to H2O2, iron deprivation, and SDS, and
were either identical or marginally higher in DeltamrpptA strains. In contrast to
DeltamrpptA, loss of mrsidA did not completely abolish siderophore activity,
which suggests the production of one or more non-hydroxamate iron-chelating
compounds. Deletion of mrpptA, mrsidA, and mraarA reduced conidium production and
conidia of a GFP-tagged DeltamrpptA strain displayed a longer germination delay
than WT on insect cuticles, a deficiency that was rescued by lysine
supplementation. Compared with WT, DeltamrpptA strains displayed ~19-fold
reduction in virulence against Drosophila suzukii. In contrast, lysine auxotrophy
and loss of siderophores accounted for ~2 and ~6-fold decreases in virulence,
respectively. Deletion of mrpptA had no significant effect on growth inhibition
of Bacillus cereus. Our results suggest that PKS and NRPS metabolism plays a
significant role in M. robertsii virulence, depresses conidium production, and
contributes marginally to resistance to oxidative stress and iron homeostasis,
but has no significant antibacterial effect.
PMID- 27876632
TI - Severe acute diverticular bleeding: successful treatment with hemostatic powder.
PMID- 27876633
TI - Classification of nuclear morphology in endocytoscopy of colorectal neoplasms.
AB - BACKGROUND AND AIMS: We investigated endocytoscopy (EC) findings that were
considered risk factors for colorectal neoplasms and determined whether they
could be used as new indices to identify carcinomas with massive submucosal
invasion (SM-m) or worse outcomes. METHODS: We performed a multivariate analysis
of 8 factors on EC images to determine whether they were associated with SM-m or
worse. Based on the results, we divided the EC3a category of the EC
classification into low grade or high grade and investigated the diagnostic
accuracy of this subclassification. In addition, we compared the diagnostic
ability of EC for SM-m with that of other modalities (narrow-band imaging and pit
pattern). RESULTS: The multivariate analysis indicated that unclear glandular
lumens (ULs), high degree of nuclear enlargement (HNE), and multilayered nuclei
(MNs) were the most useful factors for the diagnosis of SM-m or worse. The odds
ratios for these factors were 12.47, 12.29, and 10.48, respectively (P < .001).
The sensitivity, specificity, positive predictive value, negative predictive
value, accuracy, and positive likelihood ratio for the diagnostic accuracy of the
EC3a subclassification were 88.9%, 91.3%, 75.0%, 96.6%, 90.8%, and 10.2,
respectively (P < .001). The sensitivity, negative predictive value, and accuracy
of EC were significantly higher than those of narrow-band imaging and pit
pattern. CONCLUSIONS: From the EC findings, the presence of ULs, HNE, and MNs are
important risk factors for SM-m or worse outcomes. Furthermore, the EC3a
subclassification taking these findings into consideration could be effective for
the diagnosis of SM-m or worse. (Clinical trial registration number: UMIN
000014906.).
PMID- 27876634
TI - Individual and interactive sex-specific effects of acute restraint and systemic
IFN-gamma treatment on neurochemistry.
AB - Compelling evidence supports the involvement of the pro-inflammatory cytokines,
IL-6, IFN-alpha and TNF-alpha in depression and related stress-associated
pathologies. A role has also been suggested for the Th1-type cytokine, IFN-gamma,
with most mechanistic accounts focusing on the cytokine's capacity to induce
indoleamine 2,3-dioxygenase (IDO), leading to diminished tryptophan and the
generation of kynurenine metabolites. Beyond these IDO-dependent routes, there is
surprisingly little evidence directly linking IFN-gamma to alterations of brain
regional monoamine activity and HPA axis functioning. Our specific aims in the
present study were twofold: 1) assess the behavioural, plasma corticosterone and
brain regional monoamine effects of acute systemic IFN-gamma, with or without
short duration restraint stress (15 min), and 2) determine the sex-specific
nature of these effects. As predicted, IFN-gamma stimulated monoaminergic
activity within a number of stressor-sensitive limbic brain regions, most notably
the paraventricular nucleus of the hypothalamus, central amygdala and prefrontal
cortex. While several of these effects were sex-specific, there was little in the
way of synergism between the cytokine and stressor treatments. Nonetheless, IFN
gamma did synergistically interact with acute restraint stress to increase plasma
corticosterone concentrations, and this effect was most pronounced in the male
mice. These data are among the first to show that systemically administered IFN
gamma can alone or in conjunction with psychologically relevant stressor, modify
brain regional monoamine activity and the plasma corticosterone response.
PMID- 27876635
TI - Creating Humanistic Clinicians Through Palliative Care Education.
PMID- 27876636
TI - Concordance Between Veterans' Self-Report and Documentation of Surrogate Decision
Makers: Implications for Quality Measurement.
AB - CONTEXT: The Measuring What Matters initiative of the American Academy of Hospice
and Palliative Medicine and the Hospice and Palliative Nurses Association
identified documentation of a surrogate decision maker as one of the top 10
quality indicators in the acute hospital and hospice settings. OBJECTIVES: To
better understand the potential implementation of this Measuring What Matters
quality measure #8, Documentation of Surrogate in outpatient primary care
settings by describing primary care patients' self-reported identification and
documentation of a surrogate decision maker. METHODS: Examination of patient
responses to self-assessment questions from advance health care planning
educational groups conducted in one medical center primary care clinic and seven
community-based outpatient primary care clinics. We assessed the concordance
between patient reports of identifying and naming a surrogate decision maker and
having completed an advance directive (AD) with presence of an AD in the
electronic medical record. RESULTS: Of veterans without a documented AD on file,
more than half (66%) reported that they had talked with someone they trusted and
nearly half (52%) reported that they had named someone to communicate their
preferences. CONCLUSIONS: Our clinical project data suggest that many more
veterans may have initiated communications with surrogate decision makers than is
evident in the electronic medical record. System changes are needed to close the
gap between veterans' plans for a surrogate decision maker and the documentation
available to acute care health care providers.
PMID- 27876638
TI - Changes in acoustic startle reflex in rats induced by playback of 22-kHz calls.
AB - In aversive or dangerous situations, adult rats emit long characteristic
ultrasonic calls, often termed "22-kHz calls," which have been suggested to play
a role of alarm calls. Although the playback experiment is one of the most
effective ways to investigate the alarming properties of 22-kHz calls, clear
behavioral evidence showing the anxiogenic effects of these playback stimuli has
not been directly obtained to date. In this study, we investigated whether
playback of 22-kHz calls or synthesized sine tones could change the acoustic
startle reflex (ASR), enhancement of which is widely considered to be a reliable
index of anxiety-related negative affective states in rats. Playback of 22-kHz
calls significantly enhanced the ASR in rats. Enhancement effects caused by
playback of 22-kHz calls from young rats were relatively weak compared to those
after calls from adult rats. Playback of synthesized 25-kHz sine tones enhanced
ASR in subjects, but not synthesized 60-kHz tones. Further, shortening the
individual call duration of synthesized 25-kHz sine tones also enhanced the ASR.
Accordingly, it is suggested that 22-kHz calls induce anxiety by socially
communicated alarming signals in rats. The results also demonstrated that call
frequency, i.e., of 22kHz, appears important for ultrasonic alarm-signal
communication in rats.
PMID- 27876637
TI - Fourth ventricle injection of ghrelin decreases angiotensin II-induced fluid
intake and neuronal activation in the paraventricular nucleus of the
hypothalamus.
AB - Ghrelin acts in the CNS to decrease fluid intake under a variety of dipsogenic
and natriorexigenic conditions. Previous studies on this topic, however, focused
on the forebrain as a site of action for this effect of ghrelin. Because the
hindbrain contains neural substrates that are capable of mediating the well
established orexigenic effects of ghrelin, the current study tested the
hypothesis that ghrelin applied to the hindbrain also would affect fluid intake.
To this end, water and saline intakes were stimulated by central injection of
angiotensin II (AngII) in rats that also received injections of ghrelin
(0.5MUg/MUl) into either the lateral or fourth ventricle. Ghrelin injected into
either ventricle reduced both water and 1.8% NaCl intake that was stimulated by
AngII. The nature of the intake effect revealed some differences between the
injection sites. For example, forebrain application of ghrelin reduced saline
intake by a reduction in both the number of licking bursts and the size of each
licking burst, but hindbrain application of ghrelin had a more selective effect
on burst number. In an attempt to elucidate a brain structure in which hindbrain
administered ghrelin and forebrain-administered AngII interact to cause the
ingestive response, we used Fos-immunohistochemistry in rats given the treatments
used in the behavioral experiments. Although several brain areas were found to
respond to either ghrelin or AngII, of the sites examined, only the
paraventricular nucleus of the hypothalamus (PVN) emerged as a potential site of
interaction. Specifically, AngII treatment caused expression of Fos in the PVN
that was attenuated by concomitant treatment with ghrelin. These experiments
provide the novel finding that the hindbrain contains elements that can respond
to ghrelin and cause decreases in AngII-induced fluid intake, and that direct
actions by ghrelin on forebrain structures is not necessary. Moreover, these
studies suggest that the PVN is an important site of interaction between these
two peptides.
PMID- 27876639
TI - Behavioral changes in male mice fed a high-fat diet are associated with IL-1beta
expression in specific brain regions.
AB - High-fat diet (HFD)-induced obesity is associated with not only increased risk of
metabolic and cardiovascular diseases, but cognitive deficit, depression and
anxiety disorders. Obesity also leads to low-grade peripheral inflammation, which
plays a major role in the development of metabolic alterations. Previous studies
suggest that obesity-associated central inflammation may underlie the development
of neuropsychiatric deficits, but further research is needed to clarify this
relationship. We used 48 male C57BL/6J mice to investigate whether chronic
consumption of a high-fat diet leads to increased expression of interleukin-1beta
(IL-1beta) in the hippocampus, amygdala and frontal cortex. We also determined
whether IL-1beta expression in those brain regions correlates with changes in the
Y-maze, open field, elevated zero maze and forced swim tests. After 16weeks on
dietary treatments, HFD mice showed cognitive impairment on the Y-maze test,
greater anxiety-like behavior during the open field and elevated zero maze tests,
and increased depressive-like behavior in the forced swim test. Hippocampal and
amygdalar expression of IL-1beta were significantly higher in HFD mice than in
control mice fed a standard diet (SD). Additionally, hippocampal GFAP and Iba1
immunoreactivity were increased in HFD mice when compared to SD controls.
Cognitive performance negatively correlated with level of IL-1beta in the
hippocampus and amygdala whereas an observed increase in anxiety-like behavior
was positively correlated with higher expression of IL-1beta in the amygdala.
However, we observed no association between depressive-like behavior and IL-1beta
expression in any of the brain regions investigated. Together our data provide
evidence that mice fed a HFD exhibit cognitive deficits, anxiety and depressive
like behaviors. Our results also suggest that increased expression of IL-1beta in
the hippocampus and amygdala may be associated with the development of cognitive
deficits and anxiety-like behavior, respectively.
PMID- 27876641
TI - Individual differences in personality in laying hens are related to learning a
colour cue association.
AB - Personality can influence how animals perceive and learn cues. The behaviour and
physiological responses animals show during stressful events is indicative of
their personality. Acute induced stress prior to a cognitive test are known to
affect the judgement of a stimulus, but personality of an individual could also
affect learning of a specific cognitive paradigm. Here, we assessed if adult
laying hens' behaviour and physiological responses, as indicators of their
personality, were related to their cognitive performance. We assessed their
behavioural responses to a tonic immobility test, an open field test, and a
manual restraint test, and measured plasma corticosterone levels after manual
restraint. After that, hens (n=20) were trained in a pre-set training schedule to
associate a colour-cue with a reward. In a two-choice go-go test, hens needed to
choose between a baited or non-baited food container displayed randomly on the
left or right side of an arena. Success in learning was related to personality,
with better performance of hens which showed a reactive personality type by a
long latency to walk, struggle or vocalize during the tests. Only eight out of 20
hens reached the training criteria. The non-learners showed a strong side
preference during all training days. Side preferences were strong in hens with
high levels of plasma corticosterone and with a long duration of tonic
immobility, indicating that fearful, stress-sensitive hens are more prone to
develop side biases. Our results show that learning can be hindered by side
biases, and fearful animals with a more proactive personality type are more
sensitive to develop such biases.
PMID- 27876640
TI - Physiological state tunes mesolimbic signaling: Lessons from sodium appetite and
inspiration from Randall R. Sakai.
AB - Sodium deficit poses a life-threatening challenge to body fluid homeostasis and
generates a sodium appetite - the behavioral drive to ingest sodium. Dr. Randall
R. Sakai greatly contributed to our understanding of the hormonal responses to
negative sodium balance and to the central processing of these signals.
Reactivity to the taste of sodium solutions and the motivation to seek and
consume sodium changes dramatically with body fluid balance. Here, we review
studies that collectively suggest that sodium deficit recruits the mesolimbic
system to play a role in the behavioral expression of sodium appetite. The
recruitment of the mesolimbic system likely contributes to intense sodium seeking
and reinforces sodium consumption observed in deficient animals. Some of the
hormones that are released in response to sodium deficit act directly on both
dopamine and nucleus accumbens elements. Moreover, the taste of sodium in sodium
deficient rats evokes a pattern of dopamine and nucleus accumbens activity that
is similar to responses to rewarding stimuli. A very different pattern of
activity is observed in non-deficient rats. Given the well-characterized
endocrine response to sodium deficit and its central action, sodium appetite
becomes an ideal model for understanding the role of mesolimbic signaling in
reward, reinforcement and the generation of motivated behavior.
PMID- 27876642
TI - Arabidopsis NF-YCs Mediate the Light-Controlled Hypocotyl Elongation via
Modulating Histone Acetylation.
AB - Light is a crucial environmental signal that promotes photomorphogenesis, the
developmental process with a series of light-dependent alterations for plants to
adapt various external challenges. Chromatin modification has been proposed to be
involved in such light-mediated growth, but the underlying mechanism is still
elusive. In this study, we identified four Arabidopsis thaliana Nuclear Factor-YC
homologs, NF-YC1, NF-YC3, NF-YC4, and NF-YC9 (NF-YCs), which function redundantly
as repressors of light-controlled hypocotyl elongation via histone deacetylation.
Obvious etiolation phenotypes are observed in NF-YCs loss-of-function mutant
seedlings grown under light conditions, including significant elongated
hypocotyls and fewer opened cotyledons. We found that NF-YCs interact with
histone deacetylase HDA15 in the light, co-target the promoters of a set of
hypocotyl elongation-related genes, and modulate the levels of histone H4
acetylation on the associated chromatins, thus repressing gene expression. In
contrast, NF-YC-HDA15 complex is dismissed from the target genes in the dark,
resulting in increased level of H4 acetylation and consequent etiolated growth.
Further analyses revealed that transcriptional repression activity of NF-YCs on
the light-controlled hypocotyl elongation partially depends on the deacetylation
activity of HDA15, and loss of HDA15 function could rescue the short-hypocotyl
phenotype of NF-YCs overexpression plants. Taken together, our results indicate
that NF-YC1, NF-YC3, NF-YC4, and NF-YC9 function as transcriptional co-repressors
by interacting with HDA15 to inhibit hypocotyl elongation in photomorphogenesis
during the early seedling stage. Our findings highlight that NF-YCs can modulate
plant development in response to environmental cues via epigenetic regulation.
PMID- 27876644
TI - Dinotefuran-induced morphophysiological changes in semi-engorged females
Rhipicephalus sanguineus Latreille, 1806 (Acari: Ixodidae) ticks: Ultra
structural evaluation.
AB - The present study demonstrated the effects of dinotefuran (active ingredient of
the acaricide Protetor Pet(r)) on the ovary and midgut cells of semi engorged R.
sanguineus females exposed to different concentrations of this chemical. For
this, 120 semi-engorged females were divided into four treatment groups with 30
individuals each: group I or control (distilled water), group II (5000ppm),
groups III (6250ppm) and group IV (8334ppm of dinotefuran). All the ticks were
immersed in the different concentrations of dinotefuran or in distilled water for
5min and then dried and kept in BOD incubator for 7days. The results showed
alterations mainly regarding the damaged cell structures, such as yolk granules,
organelles and the plasma membrane of the germ cells. In addition, structures
related with defense mechanisms were found, such as vacuoles, cytoskeletal
filaments, and myelin figures in the germ cells. Damages in the generative cells
of the midgut, alterations in the size of digestive cells, the number of
endosomes, digestive vacuoles, digestive residues, lipid drops and organelles in
the cytoplasm of the digestive cells and the presence of microvilli in the plasma
membrane of these cells also demonstrate the progressive damages caused by the
action of dinotefuran in the midgut and germ cells of R. sanguineus semi-engorged
females. The concentrations applied partially impaired the digestive processes;
and, without proper nutrition, all the ectoparasite's physiologic events are
prevented from occurring, leading the individual to death. The germ cells were
also damaged, and probably would not be able to advance in their development (I
V) and complete the vitellogenesis, which would affect the fertility of the
female and consequently impede the formation of a new individual.
PMID- 27876645
TI - Diagnostic accuracy of rKLO8 versus rK26 ELISAs for screening of canine visceral
leishmaniasis.
AB - Canine visceral leishmaniasis (CVL) represents an important public health issue.
Despite numerous diagnostic tests available, CVL diagnosis still needs to be
improved to achieve a more accurate detection rate. Recently, rKLO8, a new
antigenic protein of Sudanese Leishmania donovani, was studied for the first time
in diagnosis of human visceral leishmaniasis (HVL) and showed good performance.
The present study aimed to evaluate serum reactivity to rKL08 and the reference
antigen rK26, and to compare both diagnostic proteins with the combined DPP(r)
CVL rapid test and ELISA (EIE-Bio-Manguinhos) confirmatory test, which are both
recommended for the diagnosis of CVL in Brazil. Serum samples of dogs were
grouped into: (I) DPP(r)/EIE negative (n=100) and (II) DPP(r)/EIE positive sera
(n=100). Enhanced levels of IgG, mainly IgG2, to both rKLO8 and rK26 were found
in group II. Sensitivity was 68% and 77% and specificity was 92% and 91%, for
rKLO8 and rK26 antigens, respectively. Moreover, the combination of rKLO8 and
rK26 antigens (rKLO8+rK26) exhibited higher sensitivity (85%) and specificity
(93%). Thus, our results show that apart from the improved diagnostic power of
rKLO8 in HVL, this new antigen is also suitable for the diagnosis of CVL.
Further, the combination of rKLO8 and rK26 antigens increases the diagnostic
accuracy of CVL.
PMID- 27876643
TI - The emergence of arthropod-borne viral diseases: A global prospective on dengue,
chikungunya and zika fevers.
AB - Arthropod-borne viruses (arboviruses) present a substantial threat to human and
animal health worldwide. Arboviruses can cause a variety of clinical
presentations that range from mild to life threatening symptoms. Many arboviruses
are present in nature through two distinct cycles, the urban and sylvatic cycle
that are maintained in complex biological cycles. In this review we briefly
discuss the factors driving the emergence of arboviruses, such as the
anthropogenic aspects of unrestrained human population growth, economic expansion
and globalization. Also the important aspects of viruses and vectors in the
occurrence of arboviruses epidemics. The focus of this review will be on dengue,
zika and chikungunya viruses, particularly because these viruses are currently
causing a negative impact on public health and economic damage around the world.
PMID- 27876647
TI - The invasive Asian tiger mosquito Aedes albopictus (Diptera: Culicidae) in
Germany: Local reproduction and overwintering.
AB - Within the framework of a German mosquito monitoring programme, the
'Mueckenatlas' (mosquito atlas) has been established as an instrument of citizen
participation in mosquito mapping. In 2015, a strikingly large number of Aedes
albopictus, which had not been considered established in Germany, was submitted.
Three of six collection sites showed local reproduction, with demonstration of
developmental stages over three months at two sites. The third populated site was
checked only once in October. Developmental stages of Ae. albopictus were found
again at these three sites in spring 2016, including one site in southeastern
Germany where reproduction had already been documented in 2014. Although
population genetic analyses performed on specimens collected at the latter
locality in 2014 and 2015 did not provide proof for hibernation, the finding of
developmental stages at this and two other very same sites as in the year before
and at very early times in the season strongly suggest accomplished overwintering
of Ae. albopictus in Germany. Obviously, the second extremely mild winter in
Germany in a row and ongoing adaptation of Ae. albopictus to the temperate
European climate allow the species to push northwards from endemic regions in the
south. Due to the vector competence of Ae. albopictus for numerous pathogens,
including dengue, chikungunya and Zika viruses, action should be taken
immediately after the detection of local reproduction to eliminate the
populations.
PMID- 27876646
TI - Outcome of oral infection in mice inoculated with Trypanosoma cruzi IV of the
Western Brazilian Amazon.
AB - A new epidemiological view of American trypanosomiasis or Chagas disease has been
formulated in recent decades. Oral transmission of the etiological agent of
Chagas disease, Trypanosoma cruzi, has been the most common form of transmission.
The T. cruzi discrete typing units TcI and TcIV have been involved in tens
outbreaks of acute cases of Chagas disease in the Brazilian Amazon region. We
investigated the intensity of infection in mice that were orally inoculated (OR
group) with four strains of TcIV that were isolated from two outbreaks of acute
Chagas disease that was orally acquired in the state of Amazonas, Brazil. We
compared the OR group with mice that were intraperitoneally inoculated (IP
group). Blood samples were analyzed by fresh blood examination, hemoculture, and
conventional and qualitative real-time polymerase chain reaction (PCR). Samples
of different tissues were analyzed by quantitative real-time PCR. The OR group
exhibited a higher maximum peak of parasitemia, greater rates of positivity, and
higher parasite loads in different tissues during acute infection compared with
the IP group, indicating a greater intensity of orally acquired infection. Mice
that were orally inoculated with TcIV strains that were obtained from two
outbreaks of orally acquired Chagas disease in Amazonas, Brazil, exhibited a more
intense course of infection compared with intraperitoneally inoculated mice,
reflected by higher levels of parasitemia and parasite loads.
PMID- 27876648
TI - Mosquitoes of the Caatinga: 1. Adults stage survey and the emerge of seven news
species endemic of a dry tropical forest in Brazil.
AB - The Caatinga is the least known Brazilian biome in terms of the diversity of
Culicidae. No systematic study of the diversity or ecology of the mosquitoes of
this biome is available, despite the importance of vector diseases in Brazil. The
present study addressed the mosquito biodiversity in the Caatinga biome by
sampling adult populations. Specimens were sampled monthly from March 2013 to
September 2014 in a Caatinga conservation unit located in the Brazilian semiarid
zone. Mosquito collections were carried out in Shannon traps from late afternoon
to early evening, and manual aspiration was used to capture diurnal species as
well. A total of 4,692 mosquitoes were collected. The most dominant and constant
species were all undescribed species belonging to the genera Wyeomyia and
Runchomyia, which together represented 80% of the specimens. The most abundant
species of epidemiological importance was Haemagogus (Con.) leucocelaenus. The
abundance of mosquitoes was positively associated with the relative humidity and
temperature recorded during the month preceding the collection date. In the
Caatinga, the diversity of adult mosquitoes was associated with the availability
(quantity and diversity) of natural larval habitats found in the different
phytophysiognomies of the biome, which vary according to temperature and
humidity. The number of species unknown to science reflects the levels of
endemism that exist in the study area, and reinforces the need to further
taxonomic investigation in the biome.
PMID- 27876649
TI - Memories of environmental change and local adaptations among molapo farming
communities in the Okavango Delta, Botswana-A gender perspective.
AB - This paper focuses on ways in which three riparian communities (Xobe, Shorobe and
Tubu) practising flood recession (molapo) farming along the fringes of the
Okavango Delta in Ngamiland District in north-western Botswana, present memories
of experiential impacts of and adaptation to key environmental and anthropogenic
change events. Participatory methodological tools were used to capture local
knowledge of people who had resided in the Okavango wetlands for many years.
Findings indicate that key environmental change events were characterized by
intergenerational experiences of severe and frequent droughts, floods, and
recurrent outbreaks of human and animal disease. These events had impacted
livelihoods and well-being of communities. Community adaptation strategies were
embedded in local institutions of governance, especially chieftainship and the
Kgotla, as legitimate platforms for community re-organization against
unpredictable environmental change. We concluded that policy/program formulation
processes need to take cognisance of local communities' historical knowledge of
environmental change and adaptation. In particular it emerged that men and women,
and people of different ages have differentiated memories of historical events
which are complementary and necessary in developing a comprehensive adaptation
strategy.
PMID- 27876650
TI - Viruses of parasites as actors in the parasite-host relationship: A "menage a
trois".
AB - The complex parasite-host relationship involves multiple mechanisms. Moreover,
parasites infected by viruses modify this relationship adding more complexity to
the system that now comprises three partners. Viruses infecting parasites were
described several decades ago. However, until recently little was known about the
viruses involved and their impact on the resulting disease caused to the hosts.
To clarify this situation, we have concentrated on parasitic diseases caused to
humans and on how virus-infected parasites could alter the symptoms inflicted on
the human host. It is clear that the effect caused to the human host depends on
the virus and on the parasite it has infected. Consequently, the review is
divided as follows: Viruses with a possible effect on the virulence of the
parasite. This section reviews pertinent articles showing that infection of
parasites by viruses might increase the detrimental effect of the tandem virus
parasite on the human host (hypervirulence) or decrease virulence of the parasite
(hypovirulence). Parasites as vectors affecting the transmission of viruses. In
some cases, the virus-infected parasite might facilitate the transfer of the
virus to the human host. Parasites harboring viruses with unidentified effects on
their host. In spite of recently renewed interest in parasites in connection with
their viruses, there still remains a number of cases in which the effect of the
virus of a given parasite on the human host remains ambiguous. The triangular
relationship between the virus, the parasite and the host, and the modulation of
the pathogenicity and virulence of the parasites by viruses should be taken into
account in the rationale of fighting against parasites.
PMID- 27876651
TI - Ventral Striatum Functional Connectivity during Rewards and Losses and
Symptomatology in Depressed Patients.
AB - BACKGROUND: The ventral striatum (VS) and striatal network supports goal
motivated behavior. Identifying how depressed patients differ in their striatal
network during the processing of emotionally salient events is a step towards
uncovering biomarkers for diagnosis and treatment. METHODS: 38 depressed and 30
healthy adults completed a task that examined brain activation to the
anticipation and receipt of monetary rewards and losses. Data were collected
using a 3T Siemens Trio scanner. Functional connectivity differences were
examined with seeds in the Left or Right VS. FC estimates were regressed on
specific symptoms. RESULTS: Depressed patients displayed higher functional
connectivity between the VS and midline cortical areas during loss versus reward
trials. Anhedonia and depressed mood were associated to fairly similar striatal
circuits but suicidality was associated to a unique VS-midline structures
coupling, while depression severity was linked to higher VS to caudate and
precuneus connectivity during loss versus reward trials. CONCLUSIONS: Depression
is characterized by excessive VS coupling to cognitive control and associative
networks during losses versus rewards. High VS to midline cortical structures
coupling may index suicidality.
PMID- 27876653
TI - Manual segmentation of the human bed nucleus of the stria terminalis using 3T
MRI.
AB - The bed nucleus of the stria terminalis (BNST)-a small gray matter region located
in the basal forebrain-has been implicated in both anxiety and addiction based on
compelling evidence from rodent and non-human primate studies. However, the
BNST's small size and proximity to other gray matter regions has hindered non
invasive study in human subjects using standard neuroimaging methods. While
initial studies have benefitted from a BNST mask created from a single human
subject using a 7T scanner, individual variability is likely-especially in
patient populations-thus a manual segmentation protocol is needed. Here we report
on the development of a reliable manual segmentation protocol performed on 3T MRI
images using a scanning sequence that provides high gray matter/white
matter/cerebrospinal fluid contrast. Inter- and intra-rater reliabilities,
measured in 10 healthy individuals, demonstrate that the protocol can be reliably
implemented (intra-rater Dice similarity coefficient>=0.85, inter-rater>=0.77).
This BNST tracing protocol provides the necessary foundation for future 3T MRI
studies of the BNST in healthy controls and patient populations.
PMID- 27876652
TI - Isoflurane exposure leads to apoptosis of neurons and oligodendrocytes in 20- and
40-day old rhesus macaques.
AB - Previously we reported that a 5-hour exposure of 6-day-old (P6) rhesus macaques
to isoflurane triggers robust neuron and oligodendrocyte apoptosis. In an attempt
to further describe the window of vulnerability to anesthetic neurotoxicity, we
exposed P20 and P40 rhesus macaques to 5h of isoflurane anesthesia or no exposure
(control animals). Brains were collected 3h later and examined
immunohistochemically to analyze neuronal and glial apoptosis. Brains exposed to
isoflurane displayed neuron and oligodendrocyte apoptosis distributed throughout
cortex and white matter, respectively. When combining the two age groups
(P20+P40), the animals exposed to isoflurane had 3.6 times as many apoptotic
cells as the control animals. In the isoflurane group, approximately 66% of the
apoptotic cells were oligodendrocytes and 34% were neurons. In comparison, in our
previous studies on P6 rhesus macaques, approximately 52% of the dying cells were
glia and 48% were neurons. In conclusion, the present data suggest that the
window of vulnerability for neurons is beginning to close in the P20 and P40
rhesus macaques, but continuing for oligodendrocytes.
PMID- 27876654
TI - Fast Bayesian whole-brain fMRI analysis with spatial 3D priors.
AB - Spatial whole-brain Bayesian modeling of task-related functional magnetic
resonance imaging (fMRI) is a great computational challenge. Most of the
currently proposed methods therefore do inference in subregions of the brain
separately or do approximate inference without comparison to the true posterior
distribution. A popular such method, which is now the standard method for
Bayesian single subject analysis in the SPM software, is introduced in Penny et
al. (2005b). The method processes the data slice-by-slice and uses an approximate
variational Bayes (VB) estimation algorithm that enforces posterior independence
between activity coefficients in different voxels. We introduce a fast and
practical Markov chain Monte Carlo (MCMC) scheme for exact inference in the same
model, both slice-wise and for the whole brain using a 3D prior on activity
coefficients. The algorithm exploits sparsity and uses modern techniques for
efficient sampling from high-dimensional Gaussian distributions, leading to speed
ups without which MCMC would not be a practical option. Using MCMC, we are for
the first time able to evaluate the approximate VB posterior against the exact
MCMC posterior, and show that VB can lead to spurious activation. In addition, we
develop an improved VB method that drops the assumption of independent voxels a
posteriori. This algorithm is shown to be much faster than both MCMC and the
original VB for large datasets, with negligible error compared to the MCMC
posterior.
PMID- 27876655
TI - Dorsal root ganglion stimulation attenuates the BOLD signal response to noxious
sensory input in specific brain regions: Insights into a possible mechanism for
analgesia.
AB - Targeted dorsal root ganglion (DRG) electrical stimulation (i.e. ganglionic field
stimulation - GFS) is an emerging therapeutic approach to alleviate chronic pain.
Here we describe blood oxygen-level dependent (BOLD) functional magnetic
resonance imaging (fMRI) responses to noxious hind-limb stimulation in a rat
model that replicates clinical GFS using an electrode implanted adjacent to the
DRG. Acute noxious sensory stimulation in the absence of GFS caused robust BOLD
fMRI response in brain regions previously associated with sensory and pain
related response, such as primary/secondary somatosensory cortex, retrosplenial
granular cortex, thalamus, caudate putamen, nucleus accumbens, globus pallidus,
and amygdala. These regions differentially demonstrated either positive or
negative correlation to the acute noxious stimulation paradigm, in agreement with
previous rat fMRI studies. Therapeutic-level GFS significantly attenuated the
global BOLD response to noxious stimulation in these regions. This BOLD signal
attenuation persisted for 20minutes after the GFS was discontinued. Control
experiments in sham-operated animals showed that the attenuation was not due to
the effect of repetitive noxious stimulation. Additional control experiments also
revealed minimal BOLD fMRI response to GFS at therapeutic intensity when
presented in a standard block-design paradigm. High intensity GFS produced a BOLD
signal map similar to acute noxious stimulation when presented in a block-design.
These findings are the first to identify the specific brain region responses to
neuromodulation at the DRG level and suggest possible mechanisms for GFS-induced
treatment of chronic pain.
PMID- 27876656
TI - Postural Sway, Balance Confidence, and Fear of Falling in Women With Knee
Osteoarthritis in Comparison to Matched Controls.
AB - BACKGROUND: Osteoarthritis (OA) is a chronic degenerative disease that commonly
affects the knee joints. Individuals older than 65 years of age with knee OA have
a greater risk of falls. However, there has been limited examination of the
parameters of postural sway (increased time, speed, and postural sway area
[center of pressure{CoP}]), and OA of the knee. OBJECTIVES: The primary objective
of this study was to determine whether the CoP variables discriminate between
patients with knee OA and matched healthy volunteers, and to correlate the CoP
variables with the Activities-Specific Balance Confidence Scale (ABC) and Falls
Self-Efficacy Scale (FES). The secondary objective was to compare the CoP of
older women with OA with a control group in bipedal support condition with eyes
opened and closed. DESIGN: Cross-sectional study. SETTING: University
Biomechanics Laboratory. PARTICIPANTS: A total of 22 participants were divided
into the following 2 groups of 11 participants each: an OA group (mean = 68
years, standard deviation = 7.4 years) and a control group (mean = 66 years,
standard deviation = 4.4 years). METHODS: Static postural balance was measured by
a portable force platform. Data were collected in both visual conditions (eyes
open and closed), in random order. Three attempts of 30 seconds were allowed for
each participant on the force platform, with a 1-minute interval between
attempts. MAIN OUTCOME MEASURE: Variables were the CoP total displacement of sway
(TDS, in centimeters), anteroposterior amplitude displacement (APAD, in
centimeters), medial-lateral amplitude displacement (MLAD, in centimeters), total
mean velocity (TMV, in centimeters per second), and dispersion of the center of
pressure (AREA, in centimeters squared). RESULTS: The postural sway analysis
found statistically significant differences in the eyes open condition for the
TDS (P = .020), APAD (P = .042), TMV (P = .010), and AREA (P = .045). In the
discriminant analysis, none of the CoP variables were able to classify the groups
(P = .15). The correlation analysis showed that only the AREA with eyes closed
was associated with the ABC Scale (rho = -0.42). CONCLUSIONS: Women with knee OA
had greater postural sway when compared with a control group for the eyes open
condition. CoP variables could not discriminate between the groups. The AREA was
negatively correlated with the ABC Scale, when the eyes were closed. LEVEL OF
EVIDENCE: III.
PMID- 27876657
TI - Clinical Predictors of Intracranial Injuries in Infants with Minor Head Trauma.
AB - OBJECTIVE: Minor head trauma is common in children. Although most cases are
nonsignificant, minor head trauma can lead to preventable intracranial injuries.
The aim of this study was to identify clinical predictors of intracranial
injuries in infants with minor head trauma. METHODS: Between 2006 and 2013, we
retrospectively enrolled infants <11 months old with minor head trauma. Data
recorded included age, sex, cause of trauma, fall height, vomiting, bad temper,
size and location of scalp hematoma, fracture, and intracranial injuries on
computed tomography. RESULTS: Of 549 enrolled infants, 15 (3%) sustained
traumatic intracranial injuries: epidural hematoma in 7, subarachnoid hemorrhage
in 4, subdural hematoma in 3, and cerebral contusion in 1. Intracranial injuries
were found in 8 of 98 infants who had fallen from a >60 cm height, 1 in 197 with
fall height >30 cm, and none in 44 with fall height <=30 cm (P = 0.0001); 1 of 2
with scalp hematomas >6 cm, 10 of 35 with hematomas >3 cm, and 2 of 121 with
hematomas <=3 cm (P = 0.0001); and 9 of 28 with temporal hematoma, 2 of 15 with
parietal hematoma, 2 of 22 with occipital hematoma, and none of 98 with frontal
hematoma (P = 0.0001). Logistic regression analysis showed that scalp hematoma
was related to intracranial injuries (hazard ratio = 21.127, P = 0.0001), whereas
age, sex, fall, vomiting, and bad temper were not. CONCLUSIONS: Fall height and
size and location of scalp hematoma were associated with intracranial injuries.
These factors should be considered when making decisions on radiologic
examinations of infants with minor head trauma.
PMID- 27876658
TI - Stent Placement for Carotid Web.
AB - BACKGROUND: The carotid web is an intraluminal shelf-like projection arising from
the posterior wall of the carotid bifurcation and an uncommon etiology of
ischemic strokes. We describe the feasibility of endovascular stent placement to
treat this condition. CASE REPORT: A 47-year-old woman presented with a sudden
occlusion of the right middle cerebral artery. Computed tomography angiography
and digital subtraction angiography showed a carotid web in the ipsilateral
carotid bifurcation. Treatment included mechanical thrombectomy for the middle
cerebral artery occlusion and carotid stent placement to prevent further ischemic
episodes from the carotid web. At the 6-month follow-up, good apposition of the
stent against the artery wall was noted, and the patient was free of neurologic
symptoms. CONCLUSIONS: Carotid artery stent placement is a feasible option in the
management of carotid webs.
PMID- 27876659
TI - Surgical Treatment for Patients with Moyamoya Syndrome and Type 1
Neurofibromatosis.
AB - INTRODUCTION: The current study describes the impact of surgery in preventing
follow-up ipsilateral transient ischemic attacks (TIAs)/strokes in an East Coast
North American cohort of patients with both moyamoya syndrome (MMS) and
neurofibromatosis type 1 (NF1) (MMS-NF1). METHODS: We retrospectively reviewed
records of patients with MMS and NF1 at the Johns Hopkins Medical Institutions
from 1990-2014. Baseline characteristics and follow-up results including
subsequent ipsilateral strokes were collected and compared between a
revascularization group (group 1) and a conservatively managed group (group 2) on
a per-hemisphere basis. RESULTS: A total of 9 patients (14 hemispheres) were
included in our study. The average age of all patients at NF1 diagnosis was 2.1
+/- 7.7 years, with 6 being female (66.7%). The average age of all patients at
MMS diagnosis was 10.4 +/- 16.6 years with the median age being 7.7 years (range:
4.1-27.0 years). Race distribution was: White (n = 4, 44.4%), Black (n = 3,
33.3%), and Asian (n = 2, 22.2%). Four patients (44.5%) experienced
cerebrovascular manifestations of MMS before MMS diagnosis. Group 1 was younger
at MMS diagnosis (P = 0.009), likely with a more acute symptom onset (P = 0.077).
Management strategies were: pial synangiosis (n = 3, 21.4%) and conservative (n =
11, 78.6%). During an average follow-up period of 6.28 +/- 2.0 years, no
ipsilateral TIAs/strokes were observed for group 1; conversely, 2 ipsilateral
TIAs (18.2%) and 2 ipsilateral strokes (18.2%) occurred in group 2. CONCLUSIONS:
In our study of non-Asian patients with MMS-NF1, revascularization reduced stroke
recurrence and deterioration of symptoms. However, more studies are warranted to
further explore the role of revascularization procedures given the rarity of this
disease combination.
PMID- 27876660
TI - Total Resection of Brainstem Extension of Tentorial Schwannoma Using an Occipital
Transtentorial Approach.
AB - BACKGROUND: Approximately 60 cases of schwannoma unrelated to the cranial nerve
have been reported, and only 12 arose from the tentorium. We present a case of
tentorial schwannoma extending into the pons and midbrain without cranial nerve
involvement, which was almost totally resected with an occipital transtentorial
approach. CASE DESCRIPTION: A 37-year-old man was admitted to our institution
with memory disturbance beginning 2 years ago and gait disturbance from 1 year
ago. Magnetic resonance imaging on admission revealed a heterogeneously enhanced
33 * 33 * 35 mm tumor in the pons and midbrain, and a dural tail sign connecting
the lesion to the tentorial edge. Gross total resection of the tumor was
performed with an occipital transtentorial approach. The trochlear nerve was
identified and preserved, and the tumor did not involve this cranial nerve. The
tumor was firmly attached to the edge of tentorium, and extended into the pons
with sharp tumor border. Postoperative recovery was good, and brain magnetic
resonance imaging performed 2 months after the surgical procedure revealed gross
total removal of the lesion without signs of recurrence, but a slightly enhanced
lesion in the right edge of the tentorium. CONCLUSIONS: The occipital
transtentorial approach provides a direct approach to tentorial schwannoma,
resulting in total resection even if the tumor extends into the pons and
midbrain.
PMID- 27876661
TI - Fusion 3-Dimensional Angiography of Both Internal Carotid Arteries in the
Evaluation of Anterior Communicating Artery Aneurysms.
AB - BACKGROUND: To determine whether fusion 3-dimensional (3D) angiography of both
internal carotid arteries can better disclose vascular details in patients
diagnosed with anterior communicating artery (ACoA) aneurysms by computed
tomography angiography (CTA) or magnetic resonance angiography (MRA). METHODS:
Thirty-eight patients diagnosed with ACoA aneurysms by CTA or MRA were evaluated
by the new postprocessing feature, fusion 3D angiography, with results
individually interpreted by 4 experts. Those experts compared fusion 3D
angiography with dominant A1 side single 3D angiography to define advantages and
disadvantages for ACoA aneurysms. Patients with unilateral A1 aplasia or
rudimentary A1 were excluded. Patients who showed any disadvantages with this
additional feature were classified as group 1, those with no advantages were
classified as group 2, those with 1 or 2 advantages were classified as group 3,
and those with 3 or more advantages were classified as group 4. Radiologic and
clinical results were also evaluated. RESULTS: Of the 38 patients, 33 (87%)
benefited from fusion 3D angiography, including 17 in group 3 and 16 in group 4;
of the remaining patients, 1 was classified as group 1 and 4 were classified as
group 2. Representative 5 categories of advantage to fusion angiography were
found and summarized by the 4 experts. All 33 patients showed defining the exact
anatomy of the ACoA, and 22 (67%) showed full angiographic features of A2 or A3,
including branches. CONCLUSIONS: Fusion 3D angiography can significantly
contribute to a better understanding of the complex anatomy of the anterior
cerebral artery-ACoA complex, which is essential for successful treatment
planning for ACoA aneurysms.
PMID- 27876662
TI - Views on Careers in Clinical Neurosciences Among Neurosurgeons and Neurologists
in China.
AB - BACKGROUND: China has a large and aging population. The need for physicians with
training in clinical neurosciences will grow. There is little known regarding the
factors that lead physicians in China to pursue careers in clinical
neurosciences. The objective of this study was to garner a clearer understanding
of factors that influence physicians to pursue careers in neurosurgery and
neurology in China. METHODS: We surveyed attendees at a national neuroscience
conference on the factors that influence their pursuit of careers in clinical
neurosciences. Responses were quantified on a Likert scale. One-way analysis of
variance was used to compare different groups of respondents. RESULTS: Factors
associated with the intellectual elements of the specialties were rated most
highly. Differences were noted between respondents, with trainees rating
lifestyle-related factors more highly compared with attending physicians.
CONCLUSIONS: The intellectual challenges are important factors for physicians in
China influencing the pursuit of careers in the clinical neurosciences. This
finding echoes results found elsewhere in the world. However, differences with
trainees elsewhere in the world emerge when evaluating additional factors
influencing trainees pursuing careers in the clinical neurosciences. Trainees in
China rate educational experiences and mentorship more highly, whereas U.S.
trainees rate altruistic goals more highly. This study provides a clearer
understanding of factors influencing career choice among clinical neuroscientists
in China.
PMID- 27876663
TI - A Review of Stem Cell Therapy for Spinal Cord Injury: Large Animal Models and the
Frontier in Humans.
AB - OBJECTIVE: To review the literature of spinal cord injury and stem cell therapy
for large animal models and incorporate those results into an understanding of
stem cell therapy for human cord injury. METHODS: Review of the literature.
RESULTS: Eleven canine studies were identified and 3 sub-human primate studies
were identified showing variable results. CONCLUSIONS: Stem cell therapy is a
promising therapeutic option for patients with spinal cord injury; however, the
technology has many un-answered questions and further research is needed.
PMID- 27876664
TI - Isolated Hypertrophic Neuropathy of the Oculomotor Nerve.
AB - BACKGROUND: Hypertrophic neuropathy is a rare entity commonly associated with
peripheral nerve, characterized by onion bulb formations. Its cranial nerve
involvement is very rare; only 7 cases have been found in the literature. CASE
DESCRIPTION: A 32-year-old white man with a 5-year history of intermittent right
retro-orbital headache and mild right ptosis presented to the Neurosurgery
Clinic. A magnetic resonance imaging of his brain demonstrated an enhancing
lesion associated with the right third nerve. He underwent biopsy of the lesion,
and its pathology report confirmed the diagnosis of hypertrophic neuropathy.
Within 4 months, his third nerve palsy had completely resolved and was
functioning fully. CONCLUSIONS: Here, we report a first case of isolated
hypertrophic neuropathy involving the oculomotor nerve.
PMID- 27876665
TI - Recruitment in Clinical Trials: The Use of Zelen's Prerandomization in Recent
Neurovascular Studies.
AB - OBJECTIVE: Randomized allocation of treatment options is not well accepted within
the clinical community. Some methods of implementation may be received more
favorably than others. Prerandomization may be an acceptable means to facilitate
recruitment in some clinical trials. METHODS: We first compare randomization and
prerandomization using illustrative neurovascular trials. We review some problems
with conventional trials, Zelen's prerandomization as an alternative method, and
the ethical issues that have surrounded prerandomization since its inception in a
historic trial. Conventional and Zelen's randomization are then compared with
other means to provide and verify care in the context of clinical uncertainty.
RESULTS: The major problem with conventional randomization is that consent is
requested for 2 management options, one of which the patient will not receive.
The problem with prerandomization is that treatment is allocated before the
patient has consented to trial participation. Prerandomization may trade
recruitment difficulties for excessive crossovers. However, other ways to
practice under uncertainty and verify patient outcomes, such as case series and
registries, are more ethically and scientifically problematic. CONCLUSIONS: Until
the ethical functions of randomized allocation of selected treatment options in
the care of patients are recognized by the neurovascular community, Zelen's
prerandomization may help recruitment into difficult trials and contribute a
means to provide best possible care in the presence of uncertainty.
PMID- 27876667
TI - Comparative efficacy and acceptability of electroconvulsive therapy versus
repetitive transcranial magnetic stimulation for major depression: A systematic
review and multiple-treatments meta-analysis.
AB - BACKGROUNDS: The effects of electroconvulsive therapy (ECT) and bilateral, left
prefrontal, and right prefrontal repetitive transcranial magnetic stimulation
(rTMS) on major depressive disorder (MDD) have not been adequately addressed by
previous studies. Here, a multiple-treatments meta-analysis, which incorporates
evidence from direct and indirect comparisons from a network of trials, was
performed to assess the efficacy and acceptability of these four treatment
modalities on MDD. METHOD: The literature was searched for randomized controlled
trials (RCTs) on ECT, bilateral rTMS, and unilateral rTMS for treating MDD up to
May 2016. The main outcome measures were response and drop-out rates. RESULTS:
Data were obtained from 25 studies consisting of 1288 individuals with MDD. ECT
was non-significantly more efficacious than B-rTMS, R-rTMS, and L-rTMS. Left
prefrontal rTMS was non -significantly less efficacious than all other treatment
modalities. In terms of acceptability, R-rTMS was non-significantly better
tolerated than ECT, B-rTMS, and L-rTMS. ECT was the most efficacious treatment
with the cumulative probabilities of being the most efficacious treatment being:
ECT (65%), B-rTMS (25%), R-rTMS (8%), and L-rTMS (2%). R-rTMS was the best
tolerated treatment with the cumulative probabilities of being the best-tolerated
treatment being: R-rTMS (52%), B-rTMS (17%), L-rTMS (16%), and ECT (14%).
Coherence analysis detected no statistically significant incoherence in any
comparisons of direct with indirect evidence for the response rate and drop-out
rate. CONCLUSIONS: ECT was the most efficacious, but least tolerated, treatment,
while R-rTMS was the best tolerated treatment for MDD. B-rTMS appears to have the
most favorable balance between efficacy and acceptability.
PMID- 27876666
TI - Comparison of the Stereotactic Accuracies of Function-Guided Deep Brain
Stimulation, Calculated Using Multitrack Target Locations Geometrically Inferred
from Three-Dimensional Trajectory Rotations, and of Magnetic Resonance Imaging
Guided Deep Brain Stimulation and Outcomes.
AB - OBJECTIVE: In previous studies, multitrack trajectories in deep brain stimulation
(DBS) have usually been approximated. Using a geometrically more accurate method,
we compared the stereotactic accuracy of DBS with multitrack microelectrode
recording and awake stimulation (function group) and MRI-guided DBS (MRI group).
METHODS: One hundred and seventy-two leads used in DBS between April 2014 and
January 2016 were evaluated for stereotactic errors. Targets were the subthalamic
nucleus (STN, 139 leads) or globus pallidus interna (GPi, 33 leads). We
geometrically calculated shifted-track targets by considering the three
dimensional stereotactic ring and arc rotations. Stereotactic errors were
calculated using Euclidean distances perpendicular to trajectories. Motor
outcomes according to the Unified Parkinson's Disease Rating Scale (UPDRS) part
III, improvement percentages by stimulations, were analyzed in 24 patients with 1
year follow-ups. RESULTS: Functional evaluation tended to increase stereotactic
errors in the STN function group (n = 129; 1.4 +/- 0.7 mm) more than in the STN
MRI group (n = 10; 1.0 +/- 0.6 mm; P = 0.06). Leads with higher stereotactic
errors (n = 65; 1.6 +/- 0.7 mm; P < 0.001) than the center-track leads (n = 64;
1.2 +/- 0.7 mm) were selectively track shifted. Track-shifted leads approached
MRI targets in 86% (56/65 leads). Lower stereotactic errors tended to correlate
with a better outcome (P = 0.095). Distances to MRI targets >2.5 mm tended to
relate to a worse outcome (P = 0.087). Stereotactic errors were lower (n = 33;
0.9 +/- 0.5 mm) in the GPi MRI group. CONCLUSIONS: Multitrack DBS using
intraoperative functional evaluation resulted in worse stereotactic accuracy than
did MRI-guided DBS. However, track shifts in function-guided DBS can approach MRI
targets effectively.
PMID- 27876668
TI - Activation of the orbitofrontal and anterior cingulate cortices during the
expression of a naturalistic compulsive-like behavior in the rabbit.
AB - We propose that maternal nest building in the female laboratory rabbit is a
useful model for compulsions in obsessive-compulsive disorder (OCD). This
repetitive behavior comprises collecting straw, depositing it into the nest box,
and then returning to collect more straw. We reasoned that if "straw carrying"
behavior is homologous to compulsive behavior, then it should be associated with
activation of prefrontal regions associated with OCD, namely, the orbitofrontal
and anterior cingulate cortices (OFC and ACC, respectively). In the present
study, we quantified c-FOS immunoreactivity in the ACC, OFC, premotor (PM),
infralimbic (IL), prelimbic (PL), and piriform (PI) cortices of: (1) pregnant
female rabbits that were given straw (PREG+STRAW); (2) pregnant rabbits that were
not given straw (PREG); (3) estrous rabbits that were given straw
(ESTROUS+STRAW); (4) estrous rabbits that were not given straw (ESTROUS). After
1h, all females were sacrificed and processed for brain c-FOS immunoreactivity.
We found that pregnant rabbits showed lower latencies to interact with the straw
than estrous rabbits, and that pregnant rabbits displayed straw carrying, while
estrous rabbits did not. c-FOS expression was increased in the OFC, ACC, and PI
in the PREG+STRAW compared to all other groups. By contrast, c-FOS expression in
all other regions was greater in PREG+STRAW compared to PREG, but not different
from ESTROUS+STRAW. These results point to an important role for the OFC, ACC,
and PI in initiating repetitive straw-carrying behavior, and further support the
proposal that this behavior can serve as a model for compulsions in OCD.
PMID- 27876669
TI - Ginsenoside Rg1-induced antidepressant effects involve the protection of
astrocyte gap junctions within the prefrontal cortex.
AB - Ginsenoside Rg1 (Rg1) exhibits antidepressant-like activity by increasing
neurogenesis and dendritic spine density without discernible side effects.
However, the molecular mechanisms underlying Rg1 antidepressant activity remain
poorly understood. As the dysfunction of gap junctions between astrocytes in the
prefrontal cortex (PFC) is implicated in major depression disorder, the aim of
this study was to investigate the effects of Rg1 on astrocyte gap junctions in
the PFC. Rats exposed to chronic unpredictable stress (CUS) were administered Rg1
(5, 10, and 20mg/kg) for 28days and analyzed for depressive symptoms using the
sucrose preference and forced swimming tests. Functional and morphological
changes of gap junction channels in the PFC were evaluated using dye transfer and
electron microscopy, respectively. The expression of connexin 43 (Cx43) was
analyzed by western blotting. Rg1 markedly alleviated depression-like behavior in
rats. Long-term Rg1 treatment of CUS-exposed rats also significantly prevented
the decrease in dye diffusion and improved the ultrastructure of astrocyte gap
junctions in the PFC, indicating beneficial effects on the functional activity of
gap junction channels in the brain. In addition, Rg1 upregulated Cx43 expression
in the PFC reduced by CUS exposure, which significantly correlated with its
antidepressant-like effects. The results demonstrate that Rg1-induced
antidepressant effects are might be mediated, in part, by protecting astrocyte
gap junctions within the prefrontal cortex.
PMID- 27876670
TI - A model of dynamic stability of H3K9me3 heterochromatin to explain the resistance
to reprogramming of differentiated cells.
AB - Despite their dynamic nature, certain chromatin marks must be maintained over the
long term. This is particulary true for histone 3 lysine 9 (H3K9) trimethylation,
that is involved in the maintenance of healthy differentiated cellular states by
preventing inappropriate gene expression, and has been recently identified as the
most efficient barrier to cellular reprogramming in nuclear transfer experiments.
We propose that the capacity of the enzymes SUV39H1/2 to rebind to a minor
fraction of their products, either directly or via HP1alpha/beta, contributes to
the solidity of this mark through (i) a positive feedback involved in its
establishment by the mutual enforcement of H3K9me3 and SUV39H1/2 and then (ii) a
negative feedback sufficient to strongly stabilize H3K9me3 heterochromatin in
post-mitotic cells by generating local enzyme concentrations capable of
counteracting transient bursts of demethylation. This model does not require
direct molecular interactions with adjacent nucleosomes and is favoured by a
series of additional mechanisms including (i) the protection of chromatin-bound
SUV39H1/2 from the turnovers of soluble proteins, which can explain the
uncoupling between the cellular contents in SUV39H1 mRNA and protein; (ii) the
cooperative dependence on the local density of the H3K9me3 of HP1alpha/beta
dependent heterochomatin condensation and, dispensably (iii) restricted enzyme
exchanges with chromocenters confining the reactive bursts of SUV39H1/2 in
heterochromatin. This mechanism illustrates how seemingly static epigenetic
states can be firmly maintained by dynamic and reversible modifications.
PMID- 27876671
TI - Relevance of the rat lung tumor response to particle overload for human risk
assessment-Update and interpretation of new data since ILSI 2000.
AB - The relevance of particle-overload related lung tumors in rats for human risk
assessment following chronic inhalation exposures to poorly soluble particulates
(PSP) has been a controversial issue for more than three decades. In 1998, an
ILSI (International Life Sciences) Working Group of health scientists was
convened to address this issue of applicability of experimental study findings of
lung neoplasms in rats for lifetime-exposed production workers to PSPs. A full
consensus view was not reached by the Workshop participants, although it was
generally acknowledged that the findings of lung tumors in rats following chronic
inhalation, particle-overload PSP exposures occurred only in rats and no other
tested species; and that there was an absence of lung cancers in PSP-exposed
production workers. Since the publication of the ILSI Workshop report in 2000,
there have been important new data published on the human relevance issue. A
thorough and comprehensive review of the health effects literature on poorly
soluble particles/lung overload was undertaken and published by an ECETOC
(European Centre for Ecotoxicology and Toxicology of Chemicals) Task Force in
2013. One of the significant conclusions derived from that technical report was
that the rat is unique amongst all species in developing lung tumors under
chronic inhalation overload exposures to PSPs. Accordingly, the objective of this
review is to provide important insights on the fundamental differences in
pulmonary responses between experimentally-exposed rats, other experimental
species and occupationally-exposed humans. Briefly, five central factors are
described by the following issues. Focusing on these five interrelated/convergent
factors clearly demonstrate an inappropriateness in concluding that the findings
of lung tumors in rats exposed chronically to high concentrations of PSPs are
accurate representations of the risks of lung cancer in PSP-exposed production
workers. The most plausible conclusion that can be reached is that results from
chronic particle-overload inhalation studies with PSPs in rats have no relevance
for determining lung cancer risks in production workers exposed for a working
lifetime to these poorly soluble particulate-types.
PMID- 27876672
TI - Is there a human health risk associated with indirect exposure to perfluoroalkyl
carboxylates (PFCAs)?
AB - The production and widespread use of poly- and perfluoroalkyl substances (PFAS)
has led to their presence in the environment, wildlife, and humans. Particularly,
the perfluoroalkyl carboxylates (PFCAs) are pervasive throughout the world and
have been found at ng/mL concentrations in human blood. PFCAs, especially those
having longer carbon chain lengths (>=C6), are associated with developmental and
hormonal effects, immunotoxicity, and promote tumor growth in rodents through
their role as PPARalpha agonists. Humans are directly exposed to PFCAs primarily
through contaminated food, drinking water, and house dust. However, indirect
exposure to PFCAs through the biotransformation of fluorotelomer-based substances
may also be a significant, yet relatively underappreciated pathway. We are
exposed to fluorotelomer-based substances through use of consumer products,
ingestion of food, or from inhalation of dust particles, but the risk of this
exposure has been largely uncharacterized. Here, we summarize the work that has
been done to characterize toxicity of the classes of fluorotelomer-based
substances shown to biotransform to PFCAs: the polyfluoroalkyl phosphate esters
(PAPs), fluorotelomer alcohols (FTOHs), fluorotelomer iodides (FTIs), and
fluorotelomer acrylate monomers (FTAcs). These fluorotelomer-based substances
biotranform to yield PFCAs, yet also form bioactive intermediate metabolites,
which have been observed to be more toxic than their corresponding PFCAs. We
address what is known regarding the toxicity of the fluorotelomer-based
substances and their metabolites, with focus on covalent binding to biological
nucleophiles, such as glutathione, proteins, and DNA, as a possible mechanism of
toxicity that may influence the risk of indirect exposure to PFCAs.
PMID- 27876673
TI - Extra cellular matrix a modular soil for stem cells.
PMID- 27876674
TI - Thymic Carcinoma Management Patterns among International Thymic Malignancy
Interest Group (ITMIG) Physicians with Consensus from the Thymic Carcinoma
Working Group.
AB - INTRODUCTION: Thymic carcinomas are rare epithelial malignancies with limited
data to guide management. METHODS: To identify areas of agreement and variability
in current clinical practice, a 16-question electronic survey was given to
members of the International Thymic Malignancy Interest Group (ITMIG). Areas of
controversy were discussed with the Thymic Carcinoma Working Group and consensus
was achieved, as described. RESULTS: A total of 100 ITMIG members responded.
There was general agreement regarding the role for multimodality therapy with
definitive surgical resection in physically fit patients with advanced but
resectable disease. Areas of controversy included the need for histologic
confirmation before surgery, the role of adjuvant therapy, the optimal first-line
chemotherapy regimen, and the recommended treatment course for marginally
resectable disease with invasion into the great vessels, pericardium, and lungs.
CONCLUSIONS: The results of the questionnaire provide a description of the
management of thymic carcinoma by 100 ITMIG members with a specific interest or
expertise in thymic malignancies. Although there was agreement in some areas,
clinical practice appears to vary significantly. There is a great need for
collaborative research to identify optimal evaluation and treatment strategies.
Given the need for multimodality therapy in many cases, a multidisciplinary
discussion of the management of patients with thymic carcinoma is critical.
PMID- 27876675
TI - A Phase 1/1b Study Evaluating Trametinib Plus Docetaxel or Pemetrexed in Patients
With Advanced Non-Small Cell Lung Cancer.
AB - OBJECTIVES: This two-part study evaluated trametinib, a MEK1/2 inhibitor, in
combination with anticancer agents. Inhibition of MEK, a downstream effector of
KRAS, demonstrated preclinical synergy with chemotherapy in KRAS-mutant NSCLC
cell lines. Part 1 of this study identified recommended phase 2 doses of
trametinib combinations. Part 2, reported herein, evaluated the safety,
tolerability, pharmacokinetics, and efficacy of trametinib combinations in
patients with NSCLC with and without KRAS mutations. METHODS: Phase 1b evaluated
trametinib plus docetaxel with growth factor support (trametinib, 2.0 mg once
daily, and docetaxel, 75 mg/m2 every 3 weeks) or pemetrexed (trametinib, 1.5 mg
once daily, and pemetrexed, 500 mg/m2 every 3 weeks). Eligibility criteria for
the expansion cohorts included metastatic NSCLC with measurable disease, known
KRAS mutation status, Eastern Cooperative Oncology Group performance status of 1
or lower, and no more than two prior regimens. RESULTS: The primary end point of
overall response rate (ORR) was met for both combinations. A confirmed partial
response (PR) was observed in 10 of the 47 patients with NSCLC who received
trametinib plus docetaxel (21%). The ORR was 18% (four PRs in 22 patients) in
those with KRAS wild-type NSCLC versus 24% (six PRs in 25 patients) in those with
KRAS-mutant NSCLC. Of the 42 patients with NSCLC treated with trametinib plus
pemetrexed, six (14%) had a PR; the ORR was 17% (four of 23) in patients with
KRAS-mutated NSCLC versus 11% (two of 19) in KRAS wild-type NSCLC. Adverse events
most commonly diarrhea, nausea, and fatigue-were manageable. CONCLUSIONS:
Trametinib-plus-chemotherapy combinations were tolerable. Clinical activity
exceeding the ORRs previously reported with docetaxel or pemetrexed alone in KRAS
mutated NSCLC and meeting prespecified criteria was observed.
PMID- 27876677
TI - Do we really need the full compliance with ERAS protocol in laparoscopic
colorectal surgery? A prospective cohort study.
AB - BACKGROUND: Although the relation between adherence to the ERAS protocol and
clinical outcomes was extensively studied, there is still ongoing discussion on
the need and feasibility of full compliance in laparoscopic colorectal surgery.
In this study, we aimed to verify whether a strict adherence to the protocol
(>90%) leads to further improvement in clinical outcomes compared to high (70
90%) and low (<70%) compliance groups. MATERIALS AND METHODS: The analysis
included consecutive prospectively registered patients operated laparoscopically
for colorectal cancer between January 2012 and December 2015. Patients were
divided into three groups depending on the compliance with the ERAS protocol:
<70% (Group 1), 70-90% (Group 2), >90% (Group 3). The measured outcomes were:
complication rate, readmission rate, recovery parameters (tolerance of early oral
diet on 1st postoperative day and mobilization of a patient on the day of
surgery), length of stay (LOS). RESULTS: Group 1 consisted of 70, Group 2 of 65
and Group 3 of 116 patients. There were no statistical differences between the
groups based on demographic parameters, stage of cancer and operative parameters
(operative time, blood loss, conversion rate). The overall compliance with the
protocol in the study group was 85.6 +/- 11.9%. There was a significant decrease
in complication rate with increasing compliance (35.7% vs. 36.4% vs. 16.4%, p =
0.0024) and severity of complications according to the Clavien-Dindo
classification (p = 0.0198). Moreover, we observed differences in recovery
parameters between the groups: tolerance of oral diet on the 1st postoperative
day (52.8% vs. 79.5% vs. 87.9%, p < 0.0001), mobilization of a patient on the day
of surgery (68.6% vs. 92.3% vs. 99.1%, p < 0.0001), respectively. We also
observed that with compliance increase, the median LOS decreased (6 vs. 4 vs. 3
days, p < 0.0001). CONCLUSION: Full implementation of the ERAS protocol
significantly improves short term outcomes both in comparison to the high- and
low-compliant groups.
PMID- 27876676
TI - Anatomical region-dependent enhancement of 3-dimensional chondrogenic
differentiation of human mesenchymal stem cells by soluble meniscus extracellular
matrix.
AB - : Extracellular matrix (ECM) derived from decellularized tissues has been found
to promote tissue neogenesis, most likely mediated by specific biochemical and
physical signaling motifs that promote tissue-specific differentiation of
progenitor cells. Decellularized ECM has been suggested to be efficacious for the
repair of tissue injuries. However, decellularized meniscus contains a dense
collagenous structure, which impedes cell seeding and infiltration and is not
readily applicable for meniscus repair. In addition, the meniscus consists of two
distinct anatomical regions that differ in vascularity and cellular phenotype.
The purpose of this study was to explore the region-specific bioactivity of
solubilized ECM derived from the inner and outer meniscal regions as determined
in 2D and 3D cultures of adult mesenchymal stem cells (MSCs). When added as a
medium supplement to 2D cultures of MSCs, urea-extracted fractions of the inner
(imECM) and outer meniscal ECM (omECM) enhanced cell proliferation while imECM
most strongly upregulated fibrochondrogenic differentiation on the basis of gene
expression profiles. When added to 3D cultures of MSCs seeded in photocrosslinked
methacrylated gelatin (GelMA) hydrogels, both ECM fractions upregulated
chondrogenic differentiation as determined by gene expression and protein
analyses, as well as elevated sulfated glycosaminoglycan sGAG content, compared
to ECM-free controls. The chondrogenic effect at day 21 was most pronounced with
imECM supplementation, but equivalent between ECM groups by day 42. Despite
increased cartilage matrix, imECM and omECM constructs possessed compressive
moduli similar to controls. In conclusion, soluble meniscal ECM may be considered
for use as a tissue-specific reagent to enhance chondrogenesis for MSC-based 3D
cartilage tissue engineering. STATEMENT OF SIGNIFICANCE: The inner region of the
knee meniscus is frequently injured and possesses a poor intrinsic healing
capacity. Solubilized extracellular matrix (ECM) derived from decellularized
meniscus tissue may promote homologous differentiation of progenitor cells,
thereby enhancing fibrocartilage formation within a meniscal lesion. However, the
meniscus possesses regional variation in ultrastructure, biochemical composition,
and cell phenotype, which may affect the bioactivity of soluble ECM derived from
different regions of decellularized menisci. In this study, we demonstrate that
urea-extracted fractions of ECM derived from the inner and outer regions of
menisci enhance chondrogenesis in mesenchymal stem cells seeded in 3-dimensional
photocrosslinkable hydrogels and that this effect is more strongly mediated by
inner meniscal ECM. These findings suggest region-specific bioactivity of
decellularized meniscal ECM.
PMID- 27876678
TI - Definition and identification of small RNA sponges: Focus on miRNA sequestration.
AB - Targeting RNAs appears as an important opportunity to modulate biological
processes. Here, we overviewed critical parameters implied in RNAs competition to
bind small RNAs. These competitions influence small RNA availability and thereby
gene expression and cell fate. We focused on the ability of RNAs to sequester
small RNA, mainly the microRNAs (miRNAs) and proposed experimental workflows to
demonstrate the existence and activity of RNA-sponge. From this basic science, we
detailed tailored oligonucleotides, developed to challenge the binding of small
RNA. In vitro and in vivo, these tailored oligonucleotides efficiently restore
small RNA activity by preventing their sequestration on RNA-sponges.
PMID- 27876680
TI - Identification of unknown RNA partners using MAPS.
AB - Recent advances in high-throughput sequencing have led to an explosion in the
rate of small regulatory RNAs (sRNAs) discovery among bacteria. However, only a
handful of them are functionally characterized. Most of the time, little to no
targets are known. In Lalaouna et al. (2015), we proposed a new technology to
uncover sRNAs targetome, which is based on the MS2-affinity purification (MAPS).
We were able to prove its efficiency by applying it on well-characterized sRNAs
of Escherichia coli. Thereafter, we adapted the procedure to other kind of RNA
(mRNAs and tRNA-derived RNA fragments) and bacteria (pathogenic or Gram-positive
strains). Here, we clearly report all improvements and adjustments made to MAPS
technology since it was originally reported.
PMID- 27876679
TI - Predicting the pathogenicity of aminoacyl-tRNA synthetase mutations.
AB - Aminoacyl-tRNA synthetases (ARSs) are ubiquitously expressed, essential enzymes
responsible for charging tRNA with cognate amino acids-the first step in protein
synthesis. ARSs are required for protein translation in the cytoplasm and
mitochondria of all cells. Surprisingly, mutations in 28 of the 37 nuclear
encoded human ARS genes have been linked to a variety of recessive and dominant
tissue-specific disorders. Current data indicate that impaired enzyme function is
a robust predictor of the pathogenicity of ARS mutations. However, experimental
model systems that distinguish between pathogenic and non-pathogenic ARS variants
are required for implicating newly identified ARS mutations in disease. Here, we
outline strategies to assist in predicting the pathogenicity of ARS variants and
urge cautious evaluation of genetic and functional data prior to linking an ARS
mutation to a human disease phenotype.
PMID- 27876682
TI - Mycophenolate mofetil is a valid option in patients with inflammatory bowel
disease resistant to TNF-alpha inhibitors and conventional immunosuppressants.
AB - BACKGROUND: Few studies investigated the role of mycophenolate mofetil in
inflammatory bowel disease, and none of them had specifically focused on patients
with previous multiple intolerances and/or nonresponses to conventional
immunosuppressants and biologics. AIMS: To evaluate clinical benefit and
tolerability profile of mycophenolate mofetil in patients with inflammatory bowel
disease and limited treatment options. METHODS: All consecutive patients with
previous multiple intolerances and/or nonresponses to immunosuppressants and
biologics who started an off-label treatment with mycophenolate mofetil from
January 2014 to February 2016 were entered in a prospectively maintained
database. RESULTS: Twenty-four patients were included. Four weeks after
initiation of mycophenolate mofetil therapy, a steroid-free remission was
achieved in 4 patients (16.7%), while a clinical response in 13 (54.1%). At the
end of follow-up, 12 patients (50.0%) remained on mycophenolate mofetil. Six
achieved and maintained steroid-free remission throughout the study period
(25.0%), and a further 6 patients (25.0%) achieved a clinical response with
complete discontinuation of steroids. Twelve patients (50.0%) were considered as
treatment failure, and five of them underwent surgery. CONCLUSIONS: This is the
first experience reporting a clinical benefit and tolerability of mycophenolate
mofetil in patients with inflammatory bowel disease and multiple previous
failures to other immunosuppressants and/or biologics.
PMID- 27876683
TI - Preferences of referring physicians regarding the role of radiologists as direct
communicators of test results.
AB - PURPOSE: Currently, there is a growing need for patient-centered radiology in
which radiologists communicate with patients directly. The aim of this study is
to investigate the preferences of referring physicians (RPs) regarding direct
communication between radiologists and patients. METHODS: This study was
conducted in a single academic hospital using a survey form. The survey items
investigated the preferences of RPs regarding: 1. who should be the communicator
of test results when a patient with abnormal findings requests information (the
options were the radiologist; another health professional with communication
skills training (CST); and the RP with CST); and 2. how the communication
activity should be conducted if the radiologist is obliged (or chooses) to
communicate with the patient directly (the options were that the disclosure
should be limited to the findings in the radiology report; the radiologist should
emphasize that the RP is the primary physician; and the communication activity
should be conducted in accordance with guidelines established by consensus). The
respondents were 101 RPs from various fields of specialty; they were asked to
rate the items using a 5-point Likert scale. The effects of age, sex, field of
specialty (surgical vs. nonsurgical), and total years of experience as a medical
specialist on the ratings were statistically compared. RESULTS: Most RPs
preferred that the radiologist transmit the information to the RP without
communicating directly with the patient (89.1%). Although 69.3% of the RPs
declared that health professionals with CST have priority in communication, 86.1%
declared that the RP should be the person who received CST. If the radiologist
communicates with patients directly, the RPs favored that 1. the disclosure
should be limited to the findings in the radiology report (95%); 2. the
communication activity should include an emphasis on the RP as the patient's
primary agent (84.1%); and 3. communication should be conducted in accordance
with guidelines established by consensus (73.2%). The percentage of strong
opinions did not change significantly with regard to age, sex, field of
specialty, or total years of experience, except that surgeons expressed strong
disagreement with delegating the communication activity to another health
professional who received CST (chi2 = 9.9; P = 0.042). CONCLUSION: These findings
may serve as a basis to implement institutional and national policies for patient
centered radiology.
PMID- 27876684
TI - Do We Still Have a Digital Divide in Mental Health? A Five-Year Survey Follow-up.
AB - BACKGROUND: Nearly everyone in society uses the Internet in one form or another.
The Internet is heralded as an efficient way of providing mental health
treatments and services. However, some people are still excluded from using
Internet-enabled technology through lack of resources, skills, and confidence.
OBJECTIVE: Five years ago, we showed that people with severe mental illness were
at risk of digital exclusion, especially middle-aged patients with psychosis
and/or people from black or minority ethnic groups with psychosis. An
understanding of the breadth of potential digital exclusion is vital for the
implementation of digital health services. The aim of this study is to understand
the context of digital exclusion for people who experience mental illness.
METHODS: We conducted a survey involving people with a primary diagnosis of
psychosis or depression in London, United Kingdom. A total of 241 participants
were recruited: 121 with psychosis and 120 with depression. The majority of
surveys were collected face-to-face (psychosis: n=109; depression: n=71).
Participants answered questions regarding familiarity, access, use, motivation,
and confidence with Internet-enabled technologies (ie, computers and mobile
phones). Variables predicting digital exclusion were identified in regression
analyses. The results were compared with the survey conducted in 2011. RESULTS:
Digital exclusion has declined since 2011. Online survey collection introduced
biases into the sample, masking those who were likely to be excluded. Only 18.3%
(20/109) of people with psychosis in our sample were digitally excluded, compared
with 30% (28/93) in 2011 (chi21=3.8, P=.04). People with psychosis had less
confidence in using the Internet than people with depression (chi21=7.4, P=.004).
Only 9.9% (24/241) of participants in the total sample were digitally excluded,
but the majority of these people had psychosis (n=20). Those with psychosis who
were digitally excluded were significantly older than their included peers
(t30=3.3, P=.002) and had used services for longer (t97=2.5, P=.02). Younger
people were more likely to use mobile phones. Digitally excluded participants
cited a lack of knowledge as a barrier to digital inclusion, and most wanted to
use the Internet via computers (rather than mobile phones). CONCLUSIONS: Digital
exclusion is lower, but some remain excluded. Facilitating inclusion among this
population means helping them develop skills and confidence in using technology,
and providing them with access. Providing mobile phones without basic information
technology training may be counterproductive because excluded people may be
excluded from mobile technology too. An evidence-based digital inclusion strategy
is needed within the National Health Service to help digitally excluded
populations access Internet-enabled services.
PMID- 27876681
TI - Site-selective orientated immobilization of antibodies and conjugates for
immunodiagnostics development.
AB - Immobilized antibody systems are the key to develop efficient diagnostics and
separations tools. In the last decade, developments in the field of biomolecular
engineering and crosslinker chemistry have greatly influenced the development of
this field. With all these new approaches at our disposal, several new
immobilization methods have been created to address the main challenges
associated with immobilized antibodies. Few of these challenges that we have
discussed in this review are mainly associated to the site-specific
immobilization, appropriate orientation, and activity retention. We have
discussed the effect of antibody immobilization approaches on the parameters on
the performance of an immunoassay.
PMID- 27876685
TI - Efficacy of Mobile Serious Games in Increasing HIV Risk Perception in Swaziland:
A Randomized Control Trial (SGprev Trial) Research Protocol.
AB - BACKGROUND: The human immunodeficiency virus (HIV) and acquired immune deficiency
syndrome (AIDS) continue to be a major public health problem in Sub-Saharan
Africa (SSA), particularly in Swaziland, which has the highest HIV prevalence in
this region. A wide range of strategies and interventions have been used to
promote behavior change, though almost all such interventions have involved mass
media. Therefore, innovative behavior change strategies beyond mass media
communication are urgently needed. Serious games have demonstrated effectiveness
in advancing health in the developed world; however, no rigorous serious games
interventions have been implemented in HIV prevention in SSA. OBJECTIVE: We plan
to test whether a serious game intervention delivered on mobile phones to
increase HIV risk perception, increase intention to reduce sexual partnerships,
and increase intention to know own and partners HIV status will be more effective
compared with current prevention efforts. METHODS: This is a two-arm randomized
intervention trial. We will recruit 380 participants who meet the following
eligibility criteria: 18-29 years of age, own a smartphone running an Android
based operating system, have the WhatsApp messaging app, live in Swaziland, and
can adequately grant informed consent. Participants will be allocated into a
smartphone interactive, educational story game, and a wait-list control group in
a 1:1 allocation ratio. Subsequently, a self-administered Web-based questionnaire
will be issued at baseline and after 4 weeks of exposure to the game. We
hypothesize that the change in HIV risk perception between pre- and post
intervention assessment is greater in the intervention group compared with the
change in the control group. Our primary hypothesis is based on the assumption
that increased perceived risk of HIV provides cues to engage in protective
behavior. Our primary outcome measure is HIV risk perceived mean change between
pre- and post-intervention compared with the mean change in the wait-list control
group at 4-weeks post-intervention. We will use standardized regression
coefficients to calculate the effect of the intervention on our primary outcome
with P values. We will conduct both intention to treat and as treated analysis.
RESULTS: This study is funded by Hayao Nakayama Foundation for Science &
Technology and Culture; Grant number H26-A2-41. The research and development
approval has been obtained from Kyoto University Graduate School and Faculty of
Medicine Ethics Committee, Japan, and Swaziland's Ministry of Health Ethics and
Scientific committee. Results are expected in February 2017. CONCLUSIONS: This
study will provide evidence on the efficiency of a mobile phone interactive game
in increasing HIV risk perception in Swaziland. Our findings may also be
generalizable to similar settings in SSA. TRIAL REGISTRATION: University Hospital
Medical Information Network Clinical Trial Registry ID number (UMIN
CTR):UMIN000021781; URL:https://upload.umin.ac.jp/cgi-open
bin/ctr_e/ctr_view.cgi?recptno=R000025103 (Archived by WebCite at
http://www.webcitation.org/6hOphB11a).
PMID- 27876686
TI - Encouraging Patient Portal Use in the Patient-Centered Medical Home: Three
Stakeholder Perspectives.
AB - BACKGROUND: Health care organizations are increasingly offering patients access
to their electronic medical record and the ability to communicate with their
providers through Web-based patient portals, thus playing a prominent role within
the patient-centered medical home (PCMH). However, despite enthusiasm, adoption
remains low. OBJECTIVE: We examined factors in the PCMH context that may affect
efforts to improve enrollment in a patient portal. METHODS: Using a
sociotechnical approach, we conducted qualitative, semistructured interviews with
patients and providers from 3 primary care clinics and with national leaders from
across a large integrated health care system. RESULTS: We gathered perspectives
and analyzed data from 4 patient focus groups and one-on-one interviews with 1
provider from each of 3 primary care clinics and 10 program leaders. We found
that leaders were focused on marketing in primary care, whereas patients and
providers were often already aware of the portal. In contrast, both patients and
providers cited administrative and logistical barriers impeding enrollment.
Further, although leadership saw the PCMH as the logical place to focus
enrollment efforts, providers and patients were more circumspect and expressed
concern about how the patient portal would affect their practice and experience
of care. Further, some providers expressed ambivalence about patients using the
portal. Despite absence of consensus on how and where to encourage portal
adoption, there was wide agreement that promoting enrollment was a worthwhile
goal. CONCLUSIONS: Patients, clinicians, and national leaders agreed that efforts
were needed to increase enrollment in the patient portal. Opinions diverged
regarding the suitability of the PCMH and, specifically, the primary care clinic
for promoting patient portal enrollment. Policymakers should consider diverse
stakeholder perspectives in advance of interventions to increase technology
adoption.
PMID- 27876687
TI - Methods for Evaluating Respondent Attrition in Web-Based Surveys.
AB - BACKGROUND: Electronic surveys are convenient, cost effective, and increasingly
popular tools for collecting information. While the online platform allows
researchers to recruit and enroll more participants, there is an increased risk
of participant dropout in Web-based research. Often, these dropout trends are
simply reported, adjusted for, or ignored altogether. OBJECTIVE: To propose a
conceptual framework that analyzes respondent attrition and demonstrates the
utility of these methods with existing survey data. METHODS: First, we suggest
visualization of attrition trends using bar charts and survival curves. Next, we
propose a generalized linear mixed model (GLMM) to detect or confirm significant
attrition points. Finally, we suggest applications of existing statistical
methods to investigate the effect of internal survey characteristics and patient
characteristics on dropout. In order to apply this framework, we conducted a case
study; a seventeen-item Informed Decision-Making (IDM) module addressing how and
why patients make decisions about cancer screening. RESULTS: Using the framework,
we were able to find significant attrition points at Questions 4, 6, 7, and 9,
and were also able to identify participant responses and characteristics
associated with dropout at these points and overall. CONCLUSIONS: When these
methods were applied to survey data, significant attrition trends were revealed,
both visually and empirically, that can inspire researchers to investigate the
factors associated with survey dropout, address whether survey completion is
associated with health outcomes, and compare attrition patterns between groups.
The framework can be used to extract information beyond simple responses, can be
useful during survey development, and can help determine the external validity of
survey results.
PMID- 27876688
TI - Online Concerns of Parents Suspecting Autism Spectrum Disorder in Their Child:
Content Analysis of Signs and Automated Prediction of Risk.
AB - BACKGROUND: Online communities are used as platforms by parents to verify
developmental and health concerns related to their child. The increasing public
awareness of autism spectrum disorders (ASD) leads more parents to suspect ASD in
their child. Early identification of ASD is important for early intervention.
OBJECTIVE: To characterize the symptoms mentioned in online queries posed by
parents who suspect that their child might have ASD and determine whether they
are age-specific. To test the efficacy of machine learning tools in classifying
the child's risk of ASD based on the parent's narrative. METHODS: To this end, we
analyzed online queries posed by parents who were concerned that their child
might have ASD and categorized the warning signs they mentioned according to ASD
specific and non-ASD-specific domains. We then used the data to test the efficacy
with which a trained machine learning tool classified the degree of ASD risk.
Yahoo Answers, a social site for posting queries and finding answers, was mined
for queries of parents asking the community whether their child has ASD. A total
of 195 queries were sampled for this study (mean child age=38.0 months; 84.7%
[160/189] boys). Content text analysis of the queries aimed to categorize the
types of symptoms described and obtain clinical judgment of the child's ASD-risk
level. RESULTS: Concerns related to repetitive and restricted behaviors and
interests (RRBI) were the most prevalent (75.4%, 147/195), followed by concerns
related to language (61.5%, 120/195) and emotional markers (50.3%, 98/195). Of
the 195 queries, 18.5% (36/195) were rated by clinical experts as low-risk, 30.8%
(60/195) as medium-risk, and 50.8% (99/195) as high-risk. Risk groups differed
significantly (P<.001) in the rate of concerns in the language, social,
communication, and RRBI domains. When testing whether an automatic classifier
(decision tree) could predict if a query was medium- or high-risk based on the
text of the query and the coded symptoms, performance reached an area under the
receiver operating curve (ROC) curve of 0.67 (CI 95% 0.50-0.78), whereas
predicting from the text and the coded signs resulted in an area under the curve
of 0.82 (0.80-0.86). CONCLUSIONS: Findings call for health care providers to
closely listen to parental ASD-related concerns, as recommended by screening
guidelines. They also demonstrate the need for Internet-based screening systems
that utilize parents' narratives using a decision tree questioning method.
PMID- 27876691
TI - Performance Assessment of a Plate Beam Splitter for Deep-Ultraviolet Raman
Measurements with a Spatial Heterodyne Raman Spectrometer.
AB - In earlier works, we demonstrated a high-resolution spatial heterodyne Raman
spectrometer (SHRS) for deep-ultraviolet (UV) Raman measurements, and showed its
ability to measure UV light-sensitive compounds using a large laser spot size. We
recently modified the SHRS by replacing the cube beam splitter (BS) with a custom
plate beam splitter with higher light transmission, an optimized
reflectance/transmission ratio, higher surface flatness, and better refractive
index homogeneity than the cube beam splitter. Ultraviolet Raman measurements
were performed using a SHRS modified to use the plate beam splitter and a
matching compensator plate and compared to the previously described cube beam
splitter setup. Raman spectra obtained using the modified SHRS exhibit much
higher signals and signal-to-noise (S/N) ratio and show fewer spectral artifacts.
In this paper, we discuss the plate beam splitter SHRS design features, the
advantages over previous designs, and discuss some general SHRS issues such as
spectral bandwidth, S/N ratio characteristics, and optical efficiency.
PMID- 27876689
TI - Survey Email Scheduling and Monitoring in eRCTs (SESAMe): A Digital Tool to
Improve Data Collection in Randomized Controlled Clinical Trials.
AB - BACKGROUND: Electronic questionnaires can ease data collection in randomized
controlled trials (RCTs) in clinical practice. We found no existing software that
could automate the sending of emails to participants enrolled into an RCT at
different study participant inclusion time points. OBJECTIVE: Our aim was to
develop suitable software to facilitate data collection in an ongoing multicenter
RCT of low back pain (the Acuback study). For the Acuback study, we determined
that we would need to send a total of 5130 emails to 270 patients recruited at
different centers and at 19 different time points. METHODS: The first version of
the software was tested in a pilot study in November 2013 but was unable to
deliver multiuser or Web-based access. We resolved these shortcomings in the next
version, which we tested on the Web in February 2014. Our new version was able to
schedule and send the required emails in the full-scale Acuback trial that
started in March 2014. The system architecture evolved through an iterative,
inductive process between the project study leader and the software programmer.
The program was tested and updated when errors occurred. To evaluate the
development of the software, we used a logbook, a research assistant dialogue,
and Acuback trial participant queries. RESULTS: We have developed a Web-based
app, Survey Email Scheduling and Monitoring in eRCTs (SESAMe), that monitors
responses in electronic surveys and sends reminders by emails or text messages
(short message service, SMS) to participants. The overall response rate for the
19 surveys in the Acuback study increased from 76.4% (655/857) before we
introduced reminders to 93.11% (1149/1234) after the new function (P<.001).
Further development will aim at securing encryption and data storage.
CONCLUSIONS: The SESAMe software facilitates consecutive patient data collection
in RCTs and can be used to increase response rates and quality of research, both
in general practice and in other clinical trial settings.
PMID- 27876692
TI - Methods for Broadband Spectral Analysis: Intrinsic Fluorescence Temperature
Sensing as an Example.
AB - A systematic study was performed on the temperature-dependent fluorescence of
(Ba,Sr)2SiO4:Eu2+. The barycenter and extended intensity ratio techniques were
proposed to characterize the broadband fluorescence spectra. These techniques and
other known methods (listed below) were employed and compared in the fluorescent
temperature sensing experiment. Multiple sensing functions were obtained using
the behaviors of: (1) the barycenter location of the emission band; (2) the
emission bandwidth; and (3) the ratio of intensities at different wavelengths in
the emission band, respectively. The barycenter technique was not limited by the
spectrometer resolution and worked well while the peak location method failed.
All the sensing functions were based on the intrinsic characteristics of the
fluorescence of the phosphor and demonstrated nearly linear relationships with
temperature in the measuring range. The multifunctional temperature-sensing
abilities of the phosphor can be applied in a point thermometer or thermal
mapping. The new techniques were validated successfully for characterizing
various spectra.
PMID- 27876690
TI - "Mommy Blogs" and the Vaccination Exemption Narrative: Results From A Machine
Learning Approach for Story Aggregation on Parenting Social Media Sites.
AB - BACKGROUND: Social media offer an unprecedented opportunity to explore how people
talk about health care at a very large scale. Numerous studies have shown the
importance of websites with user forums for people seeking information related to
health. Parents turn to some of these sites, colloquially referred to as "mommy
blogs," to share concerns about children's health care, including vaccination.
Although substantial work has considered the role of social media, particularly
Twitter, in discussions of vaccination and other health care-related issues,
there has been little work on describing the underlying structure of these
discussions and the role of persuasive storytelling, particularly on sites with
no limits on post length. Understanding the role of persuasive storytelling at
Internet scale provides useful insight into how people discuss vaccinations,
including exemption-seeking behavior, which has been tied to a recent diminution
of herd immunity in some communities. OBJECTIVE: To develop an automated and
scalable machine-learning method for story aggregation on social media sites
dedicated to discussions of parenting. We wanted to discover the aggregate
narrative frameworks to which individuals, through their exchange of experiences
and commentary, contribute over time in a particular topic domain. We also wanted
to characterize temporal trends in these narrative frameworks on the sites over
the study period. METHODS: To ensure that our data capture long-term discussions
and not short-term reactions to recent events, we developed a dataset of 1.99
million posts contributed by 40,056 users and viewed 20.12 million times indexed
from 2 parenting sites over a period of 105 months. Using probabilistic methods,
we determined the topics of discussion on these parenting sites. We developed a
generative statistical-mechanical narrative model to automatically extract the
underlying stories and story fragments from millions of posts. We aggregated the
stories into an overarching narrative framework graph. In our model, stories were
represented as network graphs with actants as nodes and their various
relationships as edges. We estimated the latent stories circulating on these
sites by modeling the posts as a sampling of the hidden narrative framework
graph. Temporal trends were examined based on monthly user-poststatistics.
RESULTS: We discovered that discussions of exemption from vaccination
requirements are highly represented. We found a strong narrative framework
related to exemption seeking and a culture of distrust of government and medical
institutions. Various posts reinforced part of the narrative framework graph in
which parents, medical professionals, and religious institutions emerged as key
nodes, and exemption seeking emerged as an important edge. In the aggregate
story, parents used religion or belief to acquire exemptions to protect their
children from vaccines that are required by schools or government institutions,
but (allegedly) cause adverse reactions such as autism, pain, compromised
immunity, and even death. Although parents joined and left the discussion forums
over time, discussions and stories about exemptions were persistent and robust to
these membership changes. CONCLUSIONS: Analyzing parent forums about health care
using an automated analytic approach, such as the one presented here, allows the
detection of widespread narrative frameworks that structure and inform
discussions. In most vaccination stories from the sites we analyzed, it is taken
for granted that vaccines and not vaccine preventable diseases (VPDs) pose a
threat to children. Because vaccines are seen as a threat, parents focus on
sharing successful strategies for avoiding them, with exemption being the
foremost among these strategies. When new parents join such sites, they may be
exposed to this endemic narrative framework in the threads they read and to which
they contribute, which may influence their health care decision making.
PMID- 27876693
TI - A Case of Septic Shock Due to Serratia marcescens Pyelonephritis and Bacteremia
in a Patient Receiving Empagliflozin.
AB - Sodium-glucose cotransporter 2 (SGLT2) inhibitors have been associated with
serious urinary tract infections (UTIs) including pyelonephritis and urosepsis.
The Food and Drug Administration (FDA) issued a label change to include this
warning in December 2015 due to a small number of cases (n = 19) reported to the
FDA Adverse Event Reporting System. Details of these cases are limited and none
involved empagliflozin. To date, there has been no published literature
comprehensively describing serious UTIs attributed to empagliflozin. We describe
a case of septic shock due to Serratia marcescens pyelonephritis and bacteremia
that required intensive care unit admission in a well-controlled, type 2 diabetic
patient who had begun taking empagliflozin 2 months prior. The patient was
treated successfully with intravenous antibiotics followed by oral ciprofloxacin.
After discontinuation of empagliflozin and completion of antibiotic therapy, no
subsequent UTIs were documented in the following 4 months.
PMID- 27876695
TI - Modelling projections for the uptake of edoxaban in an European population to
2050: effects on stroke, thromboembolism, and health economics perspectives.
AB - AIMS: In the coming decades, the number of Europeans with atrial fibrillation
(AF) is set to rise as the population ages, and so with it will the number of
strokes. The risk of thromboembolism (principally stroke and systemic embolism)
and death can be reduced by the use of the vitamin K antagonists (VKA, e.g.
warfarin) and more so by non-VKA oral anticoagulants (NOACs) such as edoxaban.
METHODS AND RESULTS: We modelled the effect of the increasing use of edoxaban in
preference to warfarin in a European AF population from both clinical and
economic perspectives. We estimate that the introduction of NOACs in 2010
eliminated over 88 000 thromboembolisms and deaths annually, of which over 17 000
were ischaemic strokes. At a 1-year cost of ?30k per ischaemic stroke, this
strategy saved ?510 million annually. Should the use of edoxaban increase from
11% in 2013 to 75% by 2030, we expect that rate of thromboembolism and death will
fall from 5.67 to 5.42 total events per million patients per year, which will
further eliminate over 12 000 of these events annually. At an inflation-adjusted
1-year cost of approximately ?35k per ischaemic stroke, this will save ?44.5
million each year. At a conservative rate of increase in the AF population of 2.2
fold from 2005, in 2050 there will be around 180 000 AF-related ischaemic strokes
that, at an inflation-adjusted cost of around ?62k per stroke, sums to ?11 116
million. Should the rate of AF rise 2.6-fold from 2005, then in 2050 there will
be 214 500 ischaemic strokes that will cost around ?13 300 million. CONCLUSION:
Our data point to a substantial increase in the human and economic cost burden of
AF and so emphasize the need to reduce this burden. This may be achieved by the
increased use of oral anticoagulants, particularly with the NOACs such as
edoxaban.
PMID- 27876694
TI - Hypersuccinylacetonaemia and normal liver function in maleylacetoacetate
isomerase deficiency.
AB - BACKGROUND: A high level of succinylacetone (SA) in blood is a sensitive,
specific newborn screening marker for hepatorenal tyrosinemia type 1 (HT1, MIM
276700) caused by deficiency of fumarylacetoacetate hydrolase (FAH). Newborns
with HT1 are usually clinically asymptomatic but show liver dysfunction with
coagulation abnormalities (prolonged prothrombin time and/or high international
normalised ratio). Early treatment with nitisinone (NTBC) plus dietary
restriction of tyrosine and phenylalanine prevents the complications of severe
liver disease and neurological crises. METHODS AND RESULTS: Six newborns referred
for hypersuccinylacetonaemia but who had normal coagulation testing on initial
evaluation had sequence variants in the GSTZ1 gene, encoding maleylacetoacetate
isomerase (MAAI), the enzyme preceding FAH in tyrosine degradation. Initial
plasma SA levels ranged from 233 to 1282 nmol/L, greater than normal (<24 nmol/L)
but less than the initial values of patients with HT1 (16 944-74 377 nmol/L,
n=15). Four individuals were homozygous for c.449C>T (p.Ala150Val). One was
compound heterozygous for c.259C>T (p.Arg87Ter) and an intronic sequence variant.
In one, a single heterozygous GSTZ1 sequence variant was identified, c.295G>A
(p.Val99Met). Bacterial expression of p.Ala150Val and p.Val99Met revealed low
MAAI activity. The six individuals with mild hypersuccinylacetonaemia (MHSA) were
not treated with diet or nitisinone. Their clinical course has been normal for up
to 13 years. CONCLUSIONS: MHSA can be caused by sequence variants in GSTZ1. Such
individuals have thus far remained asymptomatic despite receiving no specific
treatment.
PMID- 27876697
TI - Galectin-1 in myelin repair.
PMID- 27876698
TI - Axl in ovarian cancer: a step forward for clinical breakthrough?
PMID- 27876699
TI - Redox and metabolic regulation of transcription.
PMID- 27876696
TI - Stroke prevention strategies in patients with atrial fibrillation and heart valve
abnormalities: perceptions of 'valvular' atrial fibrillation: results of the
European Heart Rhythm Association Survey.
AB - The purpose of this European Heart Rhythm Association (EHRA) Survey was to assess
the perceptions of 'valvular' atrial fibrillation (AF) and management of AF
patients with various heart valve abnormalities in daily clinical practice in
European electrophysiology (EP) centres. Questionnaire survey was sent via the
Internet to the EHRA-EP Research Network Centres. Of the 52 responding centres,
42 (80.8%) were university hospitals. Choosing the most comprehensive definition
of valvular AF, a total of 49 centres (94.2%) encountered a mechanical prosthetic
heart valve and significant rheumatic mitral stenosis, 35 centres (67.3%) also
considered bioprosthetic valves, and 25 centres (48.1%) included any significant
valvular heart disease, requiring surgical repair in the definition of valvular
AF. Only three centres (5.8%) would define valvular AF as the presence of any
(even mild) valvular abnormality. None of the centres would use non-vitamin K
antagonist oral anticoagulants (NOACs) in AF patients with mechanical prosthetic
valves, only 5 centres (9.8%) would use NOACs in patients with significant mitral
stenosis, 17 centres (32.7%) would consider the use of NOACs in patients with
bioprosthetic valves, and 21 centres (41.2%) would use NOACs in patients with a
non-recent transcatheter valve replacement/implantation, while 13 centres (25.5%)
would never consider the use of NOACs in AF patients with even mild native heart
valve abnormality. Our survey showed marked heterogeneity in the definition of
valvular AF and thromboprophylactic treatments, with the use of variable NOACs in
patients with valvular heart disease other than prosthetic heart valves or
significant mitral stenosis, indicating that this term may be misleading and
should not be used.
PMID- 27876701
TI - Which TDP-43 aggregates are toxic in ALS?
PMID- 27876700
TI - Double hit mouse model of Parkinson's disease.
PMID- 27876702
TI - NRP1 and synapse formation.
PMID- 27876703
TI - Nicotine inside neurons.
PMID- 27876706
TI - Reply: The Characteristics about Our Study in Irritable Bowel Syndrome.
PMID- 27876704
TI - Pancreatic carcinoma-specific immunotherapy using novel tumor specific cytotoxic
T cells.
AB - Pancreatic cancer represents one of the most lethal human cancers. Investigation
of the effective targeting to the tumor cells is essential for both primary
tumors and metastases. Tumor specific cytotoxic T lymphocytes (CTLs) have
recently been considered to be the attractive vehicles for delivering therapeutic
agents toward various tumor diseases. This study was to explore the distribution
pattern of CTL carrying the lentiviral vectors with the characteristic of
adenoviral E1 gene under the control of the cell activation-dependent CD40 ligand
promoter (Lenti/hCD40L/E1AB). Following transduction with adenoviral particles
containing chimeric type 5 and type 35 fiber proteins (Ad5/35-TRAIL), these CTLs
produced infectious virus when exposed to SW1990 cells. We found that the novel
CTL harboring Lenti/hCD40L/E1AB and Ad5/35-TRAIL inhibited pancreatic cancer cell
growth and angiogenesis in vitro and in vivo. Furthermore, Ad5/35-TRAIL
transduced CTL induced significant apoptosis in pancreatic carcinoma cell lines
and upregulated IFN-gamma (IFN-gamma) secretion of CTLs. Importantly, Ad5/35
TRAIL transduced CTLs had no inhibitory effect on normal cells. Thus, the novel
CTLs may be safe and feasible for the development of gene therapy approaches to
pancreatic carcinoma.
PMID- 27876707
TI - Critical Appraisal of "Association between Diet and Lifestyle Habits and
Irritable Bowel Syndrome: A Case-Control Study".
PMID- 27876705
TI - Genome-wide gain-of-function screen for genes that induce epithelial-to
mesenchymal transition in breast cancer.
AB - Epithelial to mesenchymal transition (EMT) is a developmental program that has
been implicated in progression, metastasis and therapeutic resistance of some
carcinomas. To identify genes whose overexpression drives EMT, we screened a
lentiviral expression library of 17000 human open reading frames (ORFs) using
high-content imaging to quantitate cytoplasmic vimentin. Hits capable of
increasing vimentin in the mammary carcinoma-derived cell line MDA-MB-468 were
confirmed in the non-tumorigenic breast-epithelial cell line MCF10A. When
overexpressed in this model, they increased the rate of cell invasion through
MatrigelTM, induced mesenchymal marker expression and reduced expression of the
epithelial marker E-cadherin. In gene-expression datasets derived from breast
cancer patients, the expression of several novel genes correlated with expression
of known EMT marker genes, indicating their in vivo relevance. As EMT-associated
properties are thought to contribute in several ways to cancer progression, genes
identified in this study may represent novel targets for anti-cancer therapy.
PMID- 27876708
TI - A bio-inspired optical system with a polymer membrane and integrated structure.
AB - A bio-inspired optical imaging system with a polymer membrane and integrated
structure is proposed. Similar to the human eye, the presented system has a
biomimetic multilayered optical structure and utilizes a solid-liquid mixed
tunable lens as the variable-focus unit. The focal length of the imaging system
can be adjusted flexibly through the deformation of the tunable lens when it is
compressed. A detailed description of the design principle, materials and
fabrication process of the system is presented. The deformation property,
adjustable range and surface roughness of the tunable lens are measured. Images
under different displacement loads are captured, and the relationships among the
back focal length (BFL) and effective focal length (EFL) of the system and the
change in radius of the tunable lens are analyzed. A 7.6 times variation of the
BFL is achieved through a tiny alteration in radius of 1.2 mm. All the measured
resolutions during the deformation stage are larger than 40 line pairs mm-1, and
the imaging system shows good optical quality and stability. The proposed optical
system is of interest for the development of compact and stable imaging systems
with a large zooming range.
PMID- 27876709
TI - Low-energy microscopic models for iron-based superconductors: a review.
AB - The development of sensible microscopic models is essential to elucidate the
normal-state and superconducting properties of the iron-based superconductors.
Because these materials are mostly metallic, a good starting point is an
effective low-energy model that captures the electronic states near the Fermi
level and their interactions. However, in contrast to cuprates, iron-based high-T
c compounds are multi-orbital systems with Hubbard and Hund interactions,
resulting in a rather involved 10-orbital lattice model. Here we review different
minimal models that have been proposed to unveil the universal features of these
systems. We first review minimal models defined solely in the orbital basis,
which focus on a particular subspace of orbitals, or solely in the band basis,
which rely only on the geometry of the Fermi surface. The former, while providing
important qualitative insight into the role of the orbital degrees of freedom, do
not distinguish between high-energy and low-energy sectors and, for this reason,
generally do not go beyond mean-field. The latter allow one to go beyond mean
field and investigate the interplay between superconducting and magnetic orders
as well as Ising-nematic order. However, they cannot capture orbital-dependent
features like spontaneous orbital order. We then review recent proposals for a
minimal model that operates in the band basis but fully incorporates the orbital
composition and symmetries of the low-energy excitations. We discuss the results
of the renormalization group study of such a model, particularly of the interplay
between superconductivity, magnetism, and spontaneous orbital order, and compare
theoretical predictions with experiments on iron pnictides and chalcogenides. We
also discuss the impact of the glide-plane symmetry on the low-energy models,
highlighting the key role played by the spin-orbit coupling.
PMID- 27876710
TI - Enhancement of 1,3-Dihydroxyacetone Production from Gluconobacter oxydans by
Combined Mutagenesis.
AB - Wild strain L-6 was subjected to combined mutagenesis, including UV irradiation,
atmospheric and room temperature plasma, and ion beam implantation, to increase
the yield of 1,3-dihydroxyacetone (DHA). With application of a high-throughput
screening method, mutant Gluconobacter oxydans I-2-239 with a DHA productivity of
103.5 g/l in flask-shake fermentation was finally obtained with the starting
glycerol concentration of 120 g/l, which was 115.7% higher than the wild strain.
The cultivation time also decreased from 54 h to 36 h. Compared with the wild
strain, a dramatic increase in enzyme activity was observed for the mutant
strain, although the increase in biomass was limited. DNA and amino acid sequence
alignment revealed 11 nucleotide substitutions and 10 amino acid substitutions
between the sldAB of strains L-6 and I-2-239. Simulation of the 3-D structure and
prediction of active site residues and PQQ binding site residues suggested that
these mutations were mainly related to PQQ binding, which was speculated to be
favorable for the catalyzing capacity of glycerol dehydrogenase. RT-qPCR assay
indicated that the transcription levels of sldA and sldB in the mutant strain
were respectively 4.8-fold and 5.4-fold higher than that in the wild strain,
suggesting another possible reason for the increased DHA productivity of the
mutant strain.
PMID- 27876711
TI - Calreticulin Promotes Proliferation and Migration But Inhibits Apoptosis in
Schwann Cells.
AB - BACKGROUND Previous studies indicated that calreticulin (CRT) regulated various
biological processes. This study was aimed to investigate the function of CRT in
Schwann cells (SCs). MATERIAL AND METHODS SCs were separated from sciatic nerves
of mice and were transfected with pcDNA3.1-CRT (pc-CRT), small interfering RNA
targets CRT (siCRT), or their corresponding negative controls. The expression of
CRT was determined by quantitative reverse transcription PCR (qRT-PCR) and
Western blot analysis. Then, cell proliferation, migration, and apoptosis were
measured by 3-(4, 5-dimethylhiazol-2-yl)-2, 5-diphenyltetrazolium bromide (MTT)
assay, modified 2-chamber migration assay, and flow cytometry, respectively.
Finally, the phosphorylation levels of key kinases in the phosphatidylinositol-3
kinase (PI3K)/AKT and the extracellular signal-regulated kinase/ribosomal S6
kinase 2 (ERK/S6) pathways were detected by Western blot analysis. RESULTS
Overexpression of CRT remarkably increased viability (P<0.05, P<0.01 or P<0.001)
and migration (P<0.001), but inhibited apoptosis (P<0.05). The CRT-knockdown
showed the inverse impacts on viability (P<0.05 or P<0.001), migration (P<0.001),
and apoptosis (P<0.001). Additionally, the phosphorylation levels of AKT (Thr308
and Ser473), ERK, and S6 were all up-regulated in CRT-overexpressed cells
(P<0.001), and were down-regulated in CRT-knockdown cells (P<0.05, P<0.01 or
P<0.001). CONCLUSIONS Overexpression of CRT in SCs promoted cell proliferation
and migration but suppressed cell apoptosis. The PI3K/AKT and ERK/S6 pathways
might be involved in the functional effects of CRT on SCs.
PMID- 27876712
TI - MiR-30a Decreases Multidrug Resistance (MDR) of Gastric Cancer Cells.
AB - BACKGROUND The effectiveness of chemotherapy for gastric cancer is largely
limited by either intrinsic or acquired drug resistance. In this study, we aimed
to explore the association between miR-30a dysregulation and multidrug resistance
(MDR) in gastric cancer cells. MATERIAL AND METHODS We recruited 20 patients with
advanced gastric cancer. Chemosensitivity was assessed after completion of the
chemotherapy. SGC-7901 and SGC-7901/DDP cells were transfected for miR-30a
overexpression or knockdown. Then, MTT assay was performed to assess the IC50 of
DPP and 5-FU in SGC-7901 and SGC-7901/DDP cells. Flow cytometry analysis was used
to detect DPP- and 5-FU-induced cell apoptosis. Western blot analysis and
immunofluorescence staining were used to assess EMT of the cells. RESULTS MiR-30a
was significantly downregulated in the chemoresistant tissues. In both SGC-7901
and SGC-7901/DDP cells, miR-30a overexpression decreased the expression of P-gp,
a MDR-related protein. MTT assay and flow cytometry analysis showed that miR-30a
inhibition increased chemoresistance, while miR-30a overexpression decreased
chemoresistance in gastric cancer cells. Both Western blot analysis and
immunofluorescence staining confirmed that miR-30a inhibition decreased E
cadherin but increased N-cadherin in SGC-7901 cells, while miR-30a overexpression
increased E-cadherin but decreased N-cadherin in SGC-7901 cells. CONCLUSIONS MiR
30a can decrease multidrug resistance (MDR) of gastric cancer cells. It is also
an important miRNA modulating EMT of the cancer cells.
PMID- 27876713
TI - Role of Acoustic Radiation Force Impulse (ARFI) Elastography in Determination of
Severity of Benign Prostate Hyperplasia.
AB - BACKGROUND The aim of this study was to investigate the potential contribution of
acoustic radiation force impulse (ARFI) elastography to the determination of the
severity of benign prostate hypertrophy (BPH) by performing shear wave velocity
(SWV) measurements of the prostate using ARFI technology. MATERIAL AND METHODS
Sixty BPH patients and 40 healthy volunteers were included in this study. SWV
measurements of the prostate were performed by transabdominal ultrasonography
(US), both in the BPH patients and control subjects. The BPH patients also
underwent uroflowmetry measurements. Using the International Prostate Symptom
Score (IPSS), the BPH patients were divided into two subgroups, a mild-to
moderate BPH group and a severe BPH group, to compare SWV values. RESULTS The BPH
patients had higher SWV values for the central area of the prostate compared to
the control subjects (2.52+/-0.59 m/s and 1.47+/-0.42 m/s, p<0.01). The SWV
values of the central area of prostate were higher in the severe BPH group
compared to the mild-to-moderate BPH group (2.62+/-0.58 and 2.25+/-0.55, p=0.02).
CONCLUSIONS Our ARFI elastography results indicated that the central prostate SWV
values of BPH patients were significantly higher relative to those of a healthy
control group. The central prostate SWV values increased in proportion to the
increased severity of BPH. Measurement of SWV by ARFI technology constitutes a
non-invasive alternative to other methods for the determination of BPH severity.
PMID- 27876714
TI - [Prospects of endoscopic technology for diagnostics of inflammatory periodontal
disease].
AB - The aim of the study was to analyze research methods for periodontitis severity
and elaborate the most effective diagnostic combination. Twenty patients with
moderate periodontal disease were included in the study. In addition to
conventional diagnostic methods depth of periodontal pockets (PP) was measured by
means of endoscopic system and cone bean CT. Differences in PP depth estimated by
probing and endoscopic evaluation was 1.2+/-0.4 mm proving endoscopic method to
be useful and most precise tool for periodontal diagnostics.
PMID- 27876715
TI - [Diagnostics of tooth supporting structures].
AB - The aim of the study was to assess alveolar bone resorption in patients with
periodontal disease and individuals with normal periodontal status and coordinate
resorbtion rates with tooth mobility. Tooth mobility was measured in 19 patients
with periodontal disease and 17 healthy individuals by two-parametrical
periodontometry in two different positions: in equilibrium and after pre-loading.
The level of bone resorption was determined by periodontal probe. Previously
unknown correlation between tooth mobility and alveolar bone resorption was found
out meaning that bone atrophy can be determined by tooth mobility.
PMID- 27876716
TI - [The relationship of molecular genetic markers with clinical signs and risk
factors of periodontitis].
AB - The study revealed positive correlation between bleeding on probing and teeth
loss risk with periodontal hypercolonization by Porphyromonas gingivalis,
Prevotella intermedia and Treponema denticola. Pathological tooth mobility was
associated with hypercolonization by P. intermedia and Tannerella forsythensis.
Expression of IL8, TNF-alpha, MMP8 and MMP9 genes was also assessed in patient
groups divided according to the depth of periodontal pockets and-the severity of
chronic periodontitis revealing IL8 as positive diagnostic marker.
PMID- 27876717
TI - [Lipids peroxidation system in oral fluid of pregnant women with inflammatory
periodontal disease at different gestation stages].
AB - Oxidative stress was assessed in 30 pregnant women with inflammatory periodontal
disease and 20 healthy non-pregnant women. An increase of lipids peroxidation
intensity and decrease of antioxidative enzymes was revealed. These changes
progressed during the course of gestation reaching its peak in the III trimester
and were associated with the clinical signs of periodontal disease.
PMID- 27876718
TI - [Prevention of the recurrent herpetic stomatitis in employees of Kazan city
industrial enterprises frequently suffering from acute respiratory viral
infections].
AB - Research objective was to study the efficacy of ingavirin for prevention of
recurrent herpetic stomatitis in employees of Kazan city industrial enterprises
frequently suffering from acute respiratory viral infections. 128 employees aged
from 18 to 56 years were included in the study. Clinical and immunological
efficiency of ingavirin prevention of recurrent herpetic stomatitis is proved by
estimation of oral cavity local immunity (SlgA, lisozyme), humoral immunity (IgE
and IgG) and cellular immunity (RBTL with FGA, defined T-lymphocytes). After
administration of ingavirin significant (p<0.05) increase of lisozyme and SlgA,
RBTL with FGA, number of T-lymphocytes and IgG concentration was observed. The
obtained data allow to recommend ingavirin for prevention of recurrent herpetic
stomatitis.
PMID- 27876719
TI - [Local anesthesia selection algorithm in patients with concomitant disease. Part
2.]
AB - A group of authors presents the rationale for local anesthesia selection in
patients with concomitant disease based on case history; interaction analysis of
drugs with local anesthetic and sedation agents; estimation of patient functional
status; patient anxiety correction; dental care with hemodynamics monitoring. It
was found that adhering to this algorithm promotes the prevention of urgent
conditions in outpatient settings.
PMID- 27876720
TI - [Mechanisms of reparative action of a new repair and protect toothpaste].
AB - The aim of the study was to assess clinical efficacy of Sensodyne repair and
protect toothpaste as well as mechanics of its reparative action. Forty-eight
patients (29 females and 19 males) were included in the study. The participants
brushed twice daily with Sensodyne toothpaste. In 24 patients in addition to
clinical examination fluoride, calcium and pH were measured in oral fluid. The
study showed OHIS reduction by 40.24% and eradication of tooth hypersensitivity
even after single use of the toothpaste in 90% of patients because of sodium
monofluorophosphate action.
PMID- 27876721
TI - [Prevention of lingual nerve damage by removing stones from submandibular
salivary gland duct].
AB - The aim of the study was to elaborate lingual nerve sparing procedure of
submandibular gland duct stones extraction. The study involved 43 patients with
syalolithiasis treated in Oral Surgery Unit of Central Research Institute of
Dentistry and Maxillofacial Surgery in 2013-2015. It was shown that to prevent
lingual nerve and artery injury submandibular salivary gland duct should be
dissected to the level of obstruction thus allowing adequate visualization of
anatomical correlations especially when removing stones from the distal part of
the duct.
PMID- 27876722
TI - [Comparative analysis of 3D data visibility of the prepared tooth finishing line
on a synthetic jaw model, captured by international scanners in a laboratory
conditions].
AB - The aim of the study was to compare accuracy of digital impression's finishing
line and the zone under it taken by different intraoral scanning systems.
Parameters of comparison were: different level of the finishing line to the
gingiva and width of sulcus after retraction. For this purpose two synthetic jaw
models with prepared teeth were scanned using intraoral scanning systems: 3D
Progress (MHT S.P.A., IT - MHT Optic Research AG, CH); True Definition (3M ESPE,
USA); Trios (3Shape A/S, DNK); CEREC AC Bluecam, CEREC Omnicam (Sirona Dental
System GmbH, DE); Planscan (Planmeca, FIN) (each n=10). Reference-scanning was
done by ATOS Core (GOM mbH, DE). The resulting digital impressions were
superimposed with the master-scan. The lowest measured deviations (trueness) for
intraoral scanners, where the finishing line was 0.5 mm above gingiva were with
scanner True Definition - 18.8+/-6.63 (on the finishing line) and 51.0+/-14.33 um
(0.3 mm under the finishing line). In conditions where finishing line was on the
same level with gingiva, scanner Trios showed the best results: 17.0+/-3.96 and
52.7+/-6.52 um. When the finishing line was 0.5 mm under gingiva, none of the
testing scanners could visualize the zone 0.3 mm lower the finishing line. The
best results for accuracy o the finishing line in that circumstances showed
Trios: 15.1+/-5.05 um. The optimum visualization of the finishing line and the
zone under it was reached when the sulcus was 0.3 mm after retraction. Thus, the
best accuracy was obtained with Trios: 10.3+/-2.69 (on the finishing line) and
57.2+/-13.58 um (0.3 mm under finishing line). The results show that intraoral
scanners also provide enough accuracy for indicating finishing line and the zone
under it in different conditions of preparation and gingiva retraction. However,
not all of the testing scanners can properly indicate finishing line and the zone
under it when shoulder is below gingiva and the width of sulcus is less than 0.2
mm.
PMID- 27876723
TI - [Stability of orthodontic correction: preconditions of relapses caused by
occlusal forces].
AB - The aim of the study was to determine the prognostic criteria of orthodontic
treatment success in a group of patients with crowded teeth position and a
neutral occlusion. The study included 150 patients (123 female and 27 male) with
the average follow-up of 10.02+/-2.88 years and average retention phase of 6.15+/
2.98 years. Teeth positions, dental arches form, occlusal contacts and
periodontal status were studied. Dentition changes after orthodontic correction
should be considered as a result of adaptive compensatory balance. The resultant
force acting on teeth and the direction of it determine displacement of teeth.
The leading relapse precontidion induced by the occlusal forces was the
interference of the canines, mandible deviation and asymmetry, muscle tone
disorders.
PMID- 27876724
TI - [Stevens-Johnson syndrome in the dentist practice].
AB - The article presents a clinical example of the manifestations of Stevens-Johnson
syndrome in a young patient. The patient had extensive lesions of the mucosa of
the mouth, inflammation of the conjunctiva, itchy skin rashes. Good clinical
result was obtained due to the prescribe treatment. The treatment included
desensitizing, detoxifying and anti-inflammatory systematic and topical therapy.
PMID- 27876725
TI - [On the occasion of the 80th anniversary of the Department of
Otorhinolaryngology, Dagestan State Medical Academy of the Russian Ministry of
Health and the 130th birthday anniversary of professor V.A. Chudnosovetov, the
founder and the first head of the Department and the affiliated clinic].
PMID- 27876726
TI - [The diagnostics and treatment of otogenic intracranial complications].
AB - We have undertaken the analysis of medical records of the patients presenting
with major otogenic intracranial complications (OICC) including purulent
meningitis, brain or cerebellum abscess, and thrombosis of sigmoid sinus. The
presence of isolated and combined variants of otogenic intracranial complications
was documented in 112 (43%) and 148 (56.9%) patients, respectively. The
development of OICC in 80% of the patients was associated with exacerbation of
chronic suppurative otitis media; in the remaining 20% of the patients OICC were
the consequences of acute suppurative otitis media. Thrombosis of sigmoid sinus
as an independent otogenic intracranial complication was documented in 17.5% of
the patients while 42.5% of the cases required the treatment by an
interdisciplinary team of specialists with the participation of an
otorhinolaryngologist, neurologist, intensive care and other professionals. Such
approach partly accounts for the relatively low (10.6%) mortality rate among our
patients with OICC.
PMID- 27876727
TI - [Specific immunotherapy applied in the otorhionolaryngological practice].
AB - The objective of the present study was to formulate the indications for the
specific immunotherapy (SIT) of ENT diseases based on the results of the
examination and treatment of 1058 patients presenting with allergic rhinitis with
special reference to the advantages of this approach over pharmacotherapy. Much
attention is given to the adverse reactions associated with the application of
immunotherapy and the methods for their correction. Personal opinions of the
authors with regard to selected aspects of the use of specific immunotherapy in
the otorhionolaryngological practice are presented.
PMID- 27876728
TI - [Mucoviscidosis, a challenging medical problem].
AB - The authors present the currently available data on mucoviscidosis (cystic
fibrosis) based on their original experience and the review of the relevant
literature. Special attention is given to the pathogenetic mechanisms underlying
the development of this condition, its diagnostics, and methods of treatment as
exemplified by the clinical case of cystic fibrosis in an adult patient.
PMID- 27876729
TI - [Zivert-Kartagener's syndrome encountered in the practical work of an
otorhionolaryngologist, pulmonologist, obstetrician, and gynecologist].
AB - The objective of the present work was to analyze the current concept of the
genetically predetermined condition Zivert-Kartagener's syndrome with special
reference to the pathogenetic mechanisms underlying the development of this
condition, its clinical picture and methods of treatment. The authors' original
observations of the patients presenting with Zivert-Kartagener's syndrome are
reported.
PMID- 27876730
TI - [The analysis of 42 observations of paranasal sinus osteoma].
AB - The data on the incidence, localization, and histological structure of paranasal
sinus osteoma (PSO) are reported along with the authors' experience in the
diagnostics and surgical treatment of 42 patients presenting with this condition.
The classification of the remote tumours based on their histopathological
characteristics is considered. It is shown that 34 (80.9%) patients have osteomas
of the compact type, 4 (9.5%) present with the tumours of the spongy type, and
another 4 (9.5%) with the mixed type osteomas. It is maintained that the
application of boron should be considered to facilitate the surgical removal of
paranasal sinus osteomas.
PMID- 27876731
TI - [Congenital cysts and fistulas on the neck].
AB - This paper presents the currently available data on congenital lateral cysts and
fistulas of the neck based on the authors' original experience and the review of
the relevant literature. The modern methods for diagnostics and the surgical
treatment of these conditions are described and the causes underling the
development of their relapses are analyzed. Recommendations for the prevention of
congenital cysts and fistulas on the neck are proposed.
PMID- 27876732
TI - [The use of propolis-based therapy in otorhionolaryngology].
AB - The authors analyze the literature publications and the results of their original
investigations concerning the application of the propolis-based pharmacological
products for the treatment of acute and chronic diseases of the upper respiratory
tract and ears. The desirability of the more extensive application of the
propolis-containing medicines in the routine otorhinolaryngological practice is
emphasized bearing in mind their numerous biological effects including
antibiotic, anti-inflammatory, immunostimulating, anti-oxidative, anesthetic, and
cytostatic actions.
PMID- 27876733
TI - [A rare observation of intralaryngeal aberrant goiter].
AB - This paper was designed to report a rare observation of intralaryngeal aberrant
goiter associated with goiter of the main thyroid tissue and chronic suppurative
otitis media complicated by the polyp that causes occlusion of the auditory
passage. The histomorphological investigation of the material harvested
intraoperatively following rehabilitation of the purulent focus in the middle ear
and the removal of the tumour from the inside of the right vestibular fold
confirmed the diagnosis of colloid goiter.
PMID- 27876734
TI - [About the unresolved problems of terminology and classification of allergic
rhinitis and the desirability of distinguishing the mixed form of the disease].
AB - This article deals with the history of the development of terminology and
classification of allergic (vasomotor) rhinitis during the last 50 years.
PMID- 27876735
TI - [The basic principles underlying the provision of the urgent and emergency
medical assistance in otorhionolaryngology].
AB - The peculiarities of the clinical course of ENT pathologies are considered with
special reference to the associated life-threatening conditions. The basic
principles underlyi ng the provision of the urgent and emergency medical
assistance in the otorhionolaryngological practice are discussed.
PMID- 27876736
TI - [The speech audiometry using the matrix sentence test].
AB - The matrix sentence test in which the five-word semantically unpredictable
sentences presented under the background noise conditions are used as the speech
material was designed and validated for many languages. The objective of the
present study was to evaluate the Russian version of the matrix sentence test
(RuMatrix test) in the listeners of different ages with normal hearing. At the
first stage of the study, 35 listeners at the age from 18 to 33 year were
examined. The results of the estimation of the training effect dictated the
necessity of conducting two training tracks before carrying out the RuMatrix test
proper. The signal-to-noise ratio at which 50% speech recognition (SRT50) was
obtained was found to be -8.8+/-0.8 dB SNR. A significant effect of exposure to
the background noise was demonstrated: the noise level of 80 and 75 Db SPL led to
a considerably lower intelligibility than the noise levels in the range from 45
to 70 dB SPL; in the subsequent studies, the noise level of 65 dB SPL was used.
The high test-retest reliability of the RuMatrix test was proved. At the second
stage of the study, 20 young (20-40 year old) listeners and 20 aged (62-74 year
old) ones were examined. The mean SRT50 in the aged patients was found to be
6.9+/-1.1 dB SNR which was much worse than the mean STR50 in the young subjects (
8.7+/-0.9 dB SNR). It is concluded that, bearing in mind the excellent
comparability of the results of the RUMat rix test across different languages, it
can be used as a universal tool in international research projects.
PMID- 27876737
TI - [The occupational risk of hearing impairment associated with cardiovascular
pathologies in the subjects engaged in 'noisy' industries].
AB - The objective of the present study was to analyze the structure of concomitant
somatic pathology in the subjects experiencing the occupational hearing problems.
The study demonstrated the increase in the frequency of concomitant chronic
diseases and the prevalence of polynosological conditions with the increasing
severity of hearing impairment. It was shown that cardiovascular pathologies tend
to enhance the risk of hearing loss in the employees engaged in "noisy"
occupations. The cause-and-effect relationships were elucidated as the
contribution of the "vascular" factor to the formation of occupational pathology
of the organs of hearing. The clinical audilogical features of co-morbid
occupational hearing impairment associated with vascular pathology were
characterized by the accelerated development and progression of the hearing
disorders with the gradual disappearance of the audiological signs characteristic
of noise-induced lesions.
PMID- 27876738
TI - [Treatment with the use of microcurrent lymphatic drainage physiotherapy during
the postoperative period following rhinoplastic surgery].
AB - The objective of the present study was to evaluate the effectiveness of the
combined treatment of the patients who had undergone either primary or secondary
rhinoplastic surgery with the use of photo- and magnetic therapy in comparison
with the effectiveness of phototherapy in the combination with microcurrent
therapy. The patients were divided into four groups depending on the type of the
surgical intervention and the mode of the combined physiotherapeutic treatment.
All the patients were given combined physiotherapeutic treatment in addition to
the standard pharmacotherapy starting from the second day of the postoperative
period. The patients of groups 1 and 3 received phototherapy in the combination
with magnetic therapy while those in groups 2 and 4 were treated by phototherapy
in the combination with microcurrent lymphatic drainage physiotherapy. It was
shown that the different combinations of physiotherapeutic modalities during the
postoperative period following primary "closed" rhinoplasty were not different
significantly in terms of effectiveness. After secondary "closed" rhinoplasty,
the combination of phototherapy with the application of microcurrents looks more
preferable. It is concluded that microcurrent lymphdraining physiotherapy should
be regarded as the priority component of the combined physiotherapeutic treatment
during the postoperative period following secondary "closed" rhinoplasty.
PMID- 27876740
TI - [The application of the biologically compatible cyanacrylate-based glue for
ossiculoplasty in the patients presenting with chronic otitis media].
AB - The objective of the study was to improve the functional outcomes of the
treatment in the patients presenting with chronic otitis media by means of the
combined application of platelet-enriched plasma and the biologically compatible
cyanacrylate-based glue for the fixation of the reconstructed auditory ossicular
chain. The functional results of the surgery were evaluated within 1, 3, and12
months after the intervention making use of tonal threshold audiometry. It was
shown that the use of the platelet-enriched plasma with its subsequent fixation
by the biologically compatible cyanacrylate-based glue enhances stability of the
implanted prosthetic elements, reduces the risk of their displacement during the
postoperative period due to the formation of the cicatrical tissue between the
structural components of the middle ear. This approach improves the functional
result of the described treatment and decreases the frequency of relapses
associated with poor stability of the reconstructed sound-conducting system.
PMID- 27876739
TI - [The state of the nasal mucosa and the paranasal sinuses in the late
postoperative period following radical and minimally invasive surgery].
AB - We have investigated the functional state of the mucous membrane of the nasal
cavity and maxillary sinus after radical and minimally invasive surgical
procedures during the log-term postoperative period, i.e. three and more months
after the intervention. The materials for the study were brushings and
histological biopsies; in addition, the functional parameters of the mucous
membranes of the nose and maxillary sinuses were estimated before and after
surgery. It has been found that the type of the surgical intervention on the
inferior turbinate bones for the treatment of chronic rhinitis, such as gentle
turbinotomy, has no significant influence on the state of the mucous membrane.
The study has demonstrated that 48% of the patients presenting with the chronic
maxilloethmoidal mixed form of sinusitis experience epithelial metaplasia
resulting in atrophic scarring of the mucosa whereas in the remaining 52% the
ciliary epithelium becomes either damaged or detached and the ciliary beat
frequency (CBF) decreases. In the exudative form of the disease, CBF and some
other functional characteristics are improved but the stromal oedema persists and
ciliated epithelium integrity gets compromised.
PMID- 27876741
TI - [The use of intranasal glucocorticosteroids in the treatment of rhinosinusitis:
Focus on mometasone furoate].
AB - The authors discuss the mechanism of action, effectiveness, and safety of
intranasal glucocorticosteroids (inGCS) used to treat acute, recurrent and
chronic rhinosinusitis (RS). The last version of the European guidelines
concerning the application of inGCS assigns the highest level of evidence-Ia and
the highest strength of recommendations-A to these medications when applied for
the treatment of acute and polypoid rhinosinusitis. Moreover, they acquire the
status of the agents of choice for the therapy of chronic RS without polyps.
Mometasone furoate is one of the best explored preparations of this group of
medicines. It is possessed of favourable pharmacodynamic and pharmacokinetic
properties when prescribed for local application. The new mometasone furoate
preparation in the form of an intranasal spray Dezrinit produced by "Teva" Ltd.
was registered in the Russian Federation. In a comparative randomized clinical
trial (RCT), the preparation was shown to be an equivalent to the Nasonex spray.
PMID- 27876742
TI - [On the problem of voice rehabilitation in the case of unilateral vocal fold
paresis].
AB - BACKGROUND: Unilateral vocal fold paresis (UVFP) significantly deteriorates the
patient's quality of life. The leading role in the rehabilitation of the patients
presenting with UVFP belongs to stimulation therapy which consists in the
activation of muscle re-innervation of the larynx and the compensatory mechanisms
allowing to achieve the most complete closure of the vocal folds during
phonation. AIM: The objective of the present study was the optimization of the
conservative treatment of patients with UVFP. MATERIAL AND METHODS: Fifty
patients at the age varying from 18 to 70 years presenting with UVFP (the
duration of the disease less than 6 months underwent the comprehensive treatment
including electrostimulation of the larynx, vitamin therapy, and speech therapy.
The patients were divided into two groups: A and B comprised of 25 subjects each.
Those of Group A were given, in addition to the standard treatment, a 1.5 month
long course of Neuromidin therapy. The results of the treatment were evaluated
with the use of laryngostroboscopy, flowmetry, the GRBAS scale, acoustic voice
analysis (lingWaves), and the VHI questionnaire. RESULTS: The restoration of
vocal fold mobility was achieved in 20% and 8% of the patients of groups A and B
respectively. The clinical effect was absent in 12% of the patients in Group A
and 28% of the patients in Group B. The differences between groups were
statistically significant (p<0.05). In the remaining cases, the positive dynamics
of the flowmetric characteristics, GRBAS and VHI data, maximum phonation time,
Jitter, DSI, frequency and dynamic ranges as well as the intensity of voice were
documented in 68% of patients in Group A and 64% of patients in Group B).
CONCLUSION: The results of the study confirm the usefulness of the combined
treatment of UVFP consisting of electrostimulation of the larynx together with
speech therapy and application of cholinergic drugs. The addition of Neuromidin
to the conventional scheme of conservative treatment can further improve its
efficiency from 72% to 88%.
PMID- 27876743
TI - [The possibilities for the rational pharmacotherapy of adenoiditis in the
children].
AB - The available literature data give evidence that viral infection is the main
cause underlying the development of inflammatory nasopharyngeal pathology in the
children. According to ICD-10, nether acute nor chronic adenoiditis should be
considered as a self-consistent nosological entity. Acute adenoiditis is usually
regarded as a form of acute nasopharyngitis (J02) or acute respiratory viral
infection (J06.9) whereas chronic adenoiditis is commonly referred to as
representing other chronic diseases of the tonsils and adenoids (J 35.8). The
reactive changes in the nasopharyngeal tonsils begin to be manifested on days 3-5
after the onset of acute respiratory viral infection; thereafter, they persist
and gradually disappear within the next 2-3 weeks. In the majority of the cases,
acute adenoiditis is actually a physiological reaction of the nasopharyngeal
tonsils as the organs of regional mucosal immunity to antigenic stimulation.
There is no universally accepted opinion as regards the duration of the
inflammatory process which would allow these pathological changes to be
considered as turned into chronic ones. This condition is actually not a serious
pathology provided it is not associated with the concomitant complications and
produces no clinically significant effect on the child's quality of life. Under
practical conditions, such children are most frequently treated with the use of
irrigation therapy. Taking into account that otorhinolaryngologists all over the
world do not consider chronic adenoiditis as an independent nosological entity
but distinguish only hypertrophy of adenoid vegetations or chronic rhinosinusitis
(in the presence of inflammatory changes in the nasopharynx), it appears correct
to speak about chronic adenoiditis provided the clinical manifestations of the
disease persist for more than 12 weeks. Based on the predominant etiological
component, the viral, bacterial, and allergic forms of nasopharyngeal adenoiditis
can be distinguished even though it is rather difficult to actually determine
which etiological factor prevails in each concrete case. The aforedescribed
situation poses a large number of questions pertaining to the choice of either
systemic or topical antibacterial therapy.
PMID- 27876744
TI - [The nasolabial cyst encountered in the practical work of the
otorhinolaryngologists].
AB - Two clinical observations of nasolabial cyst of uni- and bilateral localizations
are reported. The comprehensive characteristic of nasolabial cysts is presented
with special reference to the principal diagnostic criteria for this pathology
and the potential diagnostic errors.
PMID- 27876745
TI - [Desmoplastic fibroma of the temporal bone].
AB - This paper reports a case of the extremely rare disease, the desmoplastic fibroma
of the temporal bone (DF). The clinical course of this pathology, the methods for
its diagnostics, and surgical treatment are described.
PMID- 27876746
TI - [The cross-plastic correction of the soft tissue defect on the neck with the use
of a pectoral flap harvested from the opposite side].
AB - The authors describe a patient presenting with initially multiple metachronous
cancer spreading over the right-handed side of the mouth floor and the right
handed part of the lower jaw. The patient who underwent cross-plastic surgery for
the correction of the extensive soft tissue defect on the neck with the use of
the musculocutaneous pectoral flap with the axial blood supply is described. The
observation during the 14 year follow-up period confirmed the good functional
outcome of the treatment.
PMID- 27876747
TI - [A large foreign body (a pin) in the trachea].
AB - A foreign body is known to be retained in the human trachea only in very rare
cases, e.g. due to its large size preventing the further passage through the
glottis into a bronchial tube or because of its specific shape facilitating the
attachment of the body to the tracheal walls and clamping between them. In this
paper, the author presents a case of the localization of an unusually large
foreign body (a pin) in the trachea of a 14 year old child.
PMID- 27876748
TI - Driving Pest Insect Populations: Agricultural Chemicals Lead to an Adaptive
Syndrome in Nilaparvata Lugens Stal (Hemiptera: Delphacidae).
AB - The brown planthopper (BPH) is a devastating pest of rice throughout Asia. In
this paper we document the BPH biogeographic range expansion in China over the 20
year period, 1992 to 2012. We posed the hypothesis that the range expansion is
due to a syndrome of adaptations to the continuous presence of agricultural
chemicals (insecticides and a fungicide) over the last 40 years. With respect to
biogeography, BPH ranges have expanded by 13% from 1992 to 1997 and by another 3%
from 1997 to 2012. In our view, such expansions may follow primarily from the
enhancing effects of JGM, among other agricultural chemicals, and from global
warming. JGM treatments led to increased thermotolerance, recorded as decreased
mortality under heat stress at 40 +/- 1 degrees C (down from 80% to 55%) and
increased fecundity (by 49%) at 34 degrees C. At the molecular level, JGM
treatments led to increased abundances of mRNA encoding Acetyl Co-A carboxylase
(Acc) (up 25%) and Hsp70 (up 32%) in experimental BPH. RNAi silencing of Hsp70
and Acc eliminated the JGM effects on fecundity and silencing Hsp70 reduced JGM
induced thermotolerance. Integrated with global climate change scenarios, such
syndromes in pest insect species have potential for regional- and global-scale
agricultural disasters.
PMID- 27876749
TI - Anti-fungal activity of Ctn[15-34], the C-terminal peptide fragment of
crotalicidin, a rattlesnake venom gland cathelicidin.
AB - Crotalicidin (Ctn), a 34-residue cathelicidin from a South American rattlesnake,
and its fragment (Ctn[15-34]) have shown anti-infective and cytotoxic activities
against Gram-negative bacteria and certain tumor lines, respectively. The extent
of such effects has been related to physicochemical characteristics such as
helicity and hydrophobicity. We now report the anti-fungal activity of Ctn and
its fragments (Ctn[1-14]) and (Ctn[15-34]). MIC determination and luminescent
cell viability assays were used to evaluate the anti-infective activity of Ctn
and its fragments (Ctn[1-14]) and (Ctn[15-34]) as anti-fungal agents against
opportunistic yeast and dermatophytes. Cytotoxicity towards healthy eukaryotic
cells was assessed in vitro with healthy human kidney-2 (HK-2) cells and
erythrocytes. The checkerboard technique was performed to estimate the effects of
combining either one of the peptides with amphotericin B. Ctn was the most active
peptide against dermatophytes and also the most toxic to healthy eukaryotic
cells. Fragments Ctn[1-14] and Ctn[15-35] lost activity against dermatophytes,
but became more active against pathogenic yeasts, including several Candida
species, both clinical isolates and standard strains, with MICs as low as 5 MUm.
Interestingly, the two peptide fragments were less cytotoxic to healthy HK-2
cells and less hemolytic to human erythrocytes than the standard-of-care
amphotericin B. Also noteworthy was the synergy between Ctn peptides and
amphotericin B, with consequent reduction in MICs of both drug and peptides.
Altogether, Ctn and its fragments, particularly Ctn[15-34], are promising leads,
either alone or in combined regimen with amphotericin B, for the treatment of
fungal diseases.
PMID- 27876750
TI - 8'-epimer of herbicidin F and its congeners from Streptomyces sp. YIM 66142.
PMID- 27876751
TI - Cell mechanotransduction: Stretch to express.
PMID- 27876752
TI - Suprapowered nanomachines.
PMID- 27876753
TI - Metamaterials: Prime time.
PMID- 27876754
TI - Magnetic skyrmions: Particles or waves.
PMID- 27876755
TI - Nanocrystal superlattices: The pathway to atomic alignment.
PMID- 27876756
TI - Oxide interfaces: Spin-to-charge current conversion.
PMID- 27876757
TI - Material Witness: A jammy guess?
PMID- 27876758
TI - Improving the characterization of dissolved organic carbon in cloud water: Amino
acids and their impact on the oxidant capacity.
AB - Improving our understanding of cloud chemistry depends on achieving better
chemical characterization (90% of the organic carbon [OC] fraction remains
uncharacterized) and, consequently, assessing the reactivity of this complex
system. In this manuscript, we report for the first time the concentrations of 16
amino acids (AAs) in 25 cloud water samples. The concentrations of individual AAs
ranged from a few nM up to ~2.0 MUM, and the average contribution of AAs
corresponded to 9.1% (4.4 to 21.6%) of the dissolved OC (DOC) concentration.
Considering their occurrence and concentrations, AAs were expected to represent
an important hydroxyl radical (HO*) sink in aqueous cloud samples. In this work,
we estimated that approximately 17% (from 7 to 36%) of the hydroxyl radical
scavenging ability of the DOC could be attributed to the presence of AAs, whereas
comparing the AAs suggested that an average of 51% (from 22 to 80%) of their
reactivity with HO* could account for the presence of tryptophan. These results
clearly demonstrate that the occurrence and reactivity of AAs must be considered
to better estimate the chemical composition and oxidant capacity of the cloud
aqueous phase.
PMID- 27876759
TI - Vertical flow array chips reliably identify cell types from single-cell mRNA
sequencing experiments.
AB - Single-cell mRNA sequencing offers an unbiased approach to dissecting cell types
as functional units in multicellular tissues. However, highly reliable cell
typing based on single-cell gene expression analysis remains challenging because
of the lack of methods for efficient sample preparation for high-throughput
sequencing and evaluating the statistical reliability of the acquired cell types.
Here, we present a highly efficient nucleic reaction chip (a vertical flow array
chip (VFAC)) that uses porous materials to reduce measurement noise and improve
throughput without a substantial increase in reagent. We also present a
probabilistic evaluation method for cell typing depending on the amount of
measurement noise. Applying the VFACs to 2580 monocytes provides 1967 single-cell
expressions for 47 genes, including low-expression genes such as transcription
factors. The statistical method can distinguish two cell types with probabilistic
quality values, with the measurement noise level being considered for the first
time. This approach enables the identification of various sub-types of cells in
tissues and provides a foundation for subsequent analyses.
PMID- 27876760
TI - Changes of bivalent chromatin coincide with increased expression of developmental
genes in cancer.
AB - Bivalent (poised or paused) chromatin comprises activating and repressing histone
modifications at the same location. This combination of epigenetic marks at
promoter or enhancer regions keeps genes expressed at low levels but poised for
rapid activation. Typically, DNA at bivalent promoters is only lowly methylated
in normal cells, but frequently shows elevated methylation levels in cancer
samples. Here, we developed a universal classifier built from chromatin data that
can identify cancer samples solely from hypermethylation of bivalent chromatin.
Tested on over 7,000 DNA methylation data sets from several cancer types, it
reaches an AUC of 0.92. Although higher levels of DNA methylation are often
associated with transcriptional silencing, counter-intuitive positive statistical
dependencies between DNA methylation and expression levels have been recently
reported for two cancer types. Here, we re-analyze combined expression and DNA
methylation data sets, comprising over 5,000 samples, and demonstrate that the
conjunction of hypermethylation of bivalent chromatin and up-regulation of the
corresponding genes is a general phenomenon in cancer. This up-regulation affects
many developmental genes and transcription factors, including dozens of homeobox
genes and other genes implicated in cancer. Thus, we reason that the disturbance
of bivalent chromatin may be intimately linked to tumorigenesis.
PMID- 27876762
TI - The stable microbiome of inter and sub-tidal anemone species under increasing
pCO2.
AB - Increasing levels of pCO2 within the oceans will select for resistant organisms
such as anemones, which may thrive under ocean acidification conditions. However,
increasing pCO2 may alter the bacterial community of marine organisms,
significantly affecting the health status of the host. A pH gradient associated
with a natural volcanic vent system within Levante Bay, Vulcano Island, Italy,
was used to test the effects of ocean acidification on the bacterial community of
two anemone species in situ, Anemonia viridis and Actinia equina using 16 S rDNA
pyrosequencing. Results showed the bacterial community of the two anemone species
differed significantly from each other primarily because of differences in the
Gammaproteobacteria and Epsilonproteobacteria abundances. The bacterial
communities did not differ within species among sites with decreasing pH except
for A. viridis at the vent site (pH = 6.05). In addition to low pH, the vent site
contains trace metals and sulfide that may have influenced the bacteria community
of A. viridis. The stability of the bacterial community from pH 8.1 to pH 7.4,
coupled with previous experiments showing the lack of, or beneficial changes
within anemones living under low pH conditions indicates that A. viridis and A.
equina will be winners under future ocean acidification scenarios.
PMID- 27876761
TI - Early infant adipose deposition is positively associated with the n-6 to n-3
fatty acid ratio in human milk independent of maternal BMI.
AB - BACKGROUND/OBJECTIVES: Excessive infant weight gain in the first 6-month of life
is a powerful predictor of childhood obesity and related health risks. In mice,
omega-6 fatty acids (FAs) serve as potent ligands driving adipogenesis during
early development. The ratio of omega-6 relative to omega-3 (n-6/n-3) FA in human
milk (HM) has increased threefold over the last 30 years, but the impact of this
shift on infant adipose development remains undetermined. This study investigated
how maternal obesity and maternal dietary FA (as reflected in maternal red blood
cells (RBCs) composition) influenced HM n-6 and n-3 FAs, and whether the HM n-6/n
3 ratio was associated with changes in infant adipose deposition between 2 weeks
and 4 months postpartum. SUBJECTS/METHODS: Forty-eight infants from normal weight
(NW), overweight (OW) and obese (OB) mothers were exclusively or predominantly
breastfed over the first 4 months of lactation. Mid-feed HM and maternal RBC were
collected at either transitional (2 weeks) or established (4 months) lactation,
along with infant body composition assessed using air-displacement
plethysmography. The FA composition of HM and maternal RBC was measured
quantitatively by lipid mass spectrometry. RESULTS: In transitional and
established HM, docosahexaenoic acid (DHA) was lower (P=0.008; 0.005) and the
arachidonic acid (AA)/DHA+eicosapentaenoic acid (EPA) ratio was higher (P=0.05;
0.02) in the OB relative to the NW group. Maternal prepregnancy body mass index
(BMI) and AA/DHA+EPA ratios in transitional and established HM were moderately
correlated (P=0.018; 0.001). Total infant fat mass was increased in the upper
AA/DHA+EPA tertile of established HM relative to the lower tertile (P=0.019). The
amount of changes in infant fat mass and percentage of body fat were predicted by
AA/EPA+DHA ratios in established HM (P=0.038; 0.010). CONCLUSIONS: Perinatal
infant exposures to a high AA/EPA+DHA ratio during the first 4 months of life,
which is primarily reflective of maternal dietary FA, may significantly
contribute to the way infants accumulate adipose.
PMID- 27876764
TI - Seep-carbonate lamination controlled by cyclic particle flux.
AB - Authigenic carbonate build-ups develop at seafloor methane-seeps, where
microbially mediated sulphate-dependent anaerobic oxidation of methane
facilitates carbonate precipitation. Despite being valuable recorders of past
methane seepage events, their role as archives of atmospheric processes has not
been examined. Here we show that cyclic sedimentation pulses related to the
Indian monsoon in concert with authigenic precipitation of methane-derived
aragonite gave rise to a well-laminated carbonate build-up within the oxygen
minimum zone off Pakistan (northern Arabian Sea). U-Th dating indicates that the
build-up grew during past ~1,130 years, creating an exceptional high-resolution
archive of the Indian monsoon system. Monsoon-controlled formation of seep
carbonates extends the known environmental processes recorded by seep-carbonates,
revealing a new relationship between atmospheric and seafloor processes.
PMID- 27876763
TI - Growth factor and co-receptor release by structural regulation of substrate
metalloprotease accessibility.
AB - Release of cytokines, growth factors and other life-essential molecules from
precursors by a-disintegrin-and-metalloproteases (ADAMs) is regulated with high
substrate-specificity. We hypothesized that this is achieved by cleavage
regulatory intracellular-domain (ICD)-modifications of the precursors. We show
here that cleavage-stimuli-induced specific ICD-modifications cause structural
substrate changes that enhance ectodomain sensitivity of neuregulin-1 (NRG1;
epidermal-growth-factor) or CD44 (receptor-tyrosine-kinase (RTK) co-receptor) to
chymotrypsin/trypsin or soluble ADAM. This inside-out signal transfer required
substrate homodimerization and was prevented by cleavage-inhibitory ICD
mutations. In chimeras, regulation could be conferred to a foreign ectodomain,
suggesting a common higher-order structure. We predict that substrate-specific
protease-accessibility-regulation controls release of numerous ADAM substrates.
PMID- 27876766
TI - Hot electron induced non-saturation current behavior at high electric field in
InAlN/GaN heterostructures with ultrathin barrier.
AB - The high-field transport characteristics of nearly lattice-matched InAlN/GaN
heterostructures with different barrier thickness were investigated. It is found
that the current in the InAlN/GaN heterostructures with ultrathin barrier shows
unsaturated behaviors (or secondary rising) at high voltage, which is different
from that of AlGaN/GaN heterostructures. This phenomenon is more obvious if the
barrier thickness is thinner and the channel width is narrower. The experimental
results demonstrate that it is the increasing carrier density excited from the
more defect states by the hot electrons with larger electron saturation velocity
that results in the unsaturated current behaviors in InAlN/GaN heterostructures.
Our results pave a way for further optimizing InAlN barrier design and improving
the reliability of InAlN/GaN HEMTs.
PMID- 27876768
TI - Gut microbiota: Culturomics: illuminating microbial dark matter.
PMID- 27876767
TI - 14,000-year-old seeds indicate the Levantine origin of the lost progenitor of
faba bean.
AB - The understanding of crop domestication is dependent on tracking the original
geographical distribution of wild relatives. The faba bean (Vicia faba L.) is
economically important in many countries around the world; nevertheless, its
origin has been debated because its ancestor could not be securely identified.
Recent investigations in the site of el-Wad (Mount Carmel, Israel), provide the
first and, so far, only remains of the lost ancestor of faba bean. X-ray CT scan
analysis of the faba beans provides the first set of measurements of the biometry
of this species before its domestication. The presence of wild specimens in Mount
Carmel, 14,000 years ago, supports that the wild variety grew nearby in the Lower
Galilee where the first domestication was documented for Neolithic farmers 10,200
years ago.
PMID- 27876765
TI - Pre- and post-weaning diet alters the faecal metagenome in the cat with
differences in vitamin and carbohydrate metabolism gene abundances.
AB - Dietary format, and its role in pet nutrition, is of interest to pet food
manufacturers and pet owners alike. The aim of the present study was to
investigate the effects of pre- and post-weaning diets (kibbled or canned) on the
composition and function of faecal microbiota in the domestic cat by shotgun
metagenomic sequencing and gene taxonomic and functional assignment using MG
RAST. Post-weaning diet had a dramatic effect on community composition; 147 of
the 195 bacterial species identified had significantly different mean relative
abundances between kittens fed kibbled and canned diets. The kittens fed kibbled
diets had relatively higher abundances of Lactobacillus (>100-fold),
Bifidobacterium (>100-fold), and Collinsella (>9-fold) than kittens fed canned
diets. There were relatively few differences in the predicted microbiome
functions associated with the pre-weaning diet. Post-weaning diet affected the
abundance of functional gene groups. Genes involved in vitamin biosynthesis,
metabolism, and transport, were significantly enriched in the metagenomes of
kittens fed the canned diet. The impact of post-weaning diet on the metagenome in
terms of vitamin biosynthesis functions suggests that modulation of the
microbiome function through diet may be an important avenue for improving the
nutrition of companion animals.
PMID- 27876770
TI - Tumour metabolism: RED(D1) or dead.
PMID- 27876771
TI - Tumour metabolism: When metabolic and epigenetic states converge.
PMID- 27876772
TI - Angiogenesis: Going with the flow.
PMID- 27876773
TI - Immunotherapy: Powerful combinations.
PMID- 27876774
TI - Anticancer drugs: Breaking up a pro-survival interaction.
PMID- 27876769
TI - Gastrointestinal aspects of vasculitides.
AB - Systemic vasculitides are caused by inflammation of blood vessels and can affect
any organ and any part of the gastrointestinal tract, hepatic and biliary system,
as well as the pancreas. These disorders can cause a wide array of
gastrointestinal manifestations, from asymptomatic elevated transaminase levels
and mild abdominal pain to potentially life-threatening bowel perforations and
peritonitis. A diagnosis based solely on gastrointestinal symptoms is challenging
as these manifestations are not specific. Conversely, diagnostic and therapeutic
delays can be rapidly detrimental. In this article, we review the epidemiology,
characteristics and management of the main gastrointestinal manifestations of
systemic vasculitides, including polyarteritis nodosa and antineutrophil
cytoplasm antibody-associated vasculitides, as well as isolated vasculitides
limited to the gastrointestinal tract.
PMID- 27876775
TI - Tumour immunology: The consequences of concomitant challenges.
PMID- 27876777
TI - Cancer risk: Generating tumours: it's all in the balance.
PMID- 27876776
TI - Metastasis: Caught in a trap.
PMID- 27876779
TI - Mutational landscape in children with myelodysplastic syndromes is distinct from
adults: specific somatic drivers and novel germline variants.
PMID- 27876778
TI - Differential fecal microbiota are retained in broiler chicken lines divergently
selected for fatness traits.
AB - Our study combined 16S rRNA-pyrosequencing and whole genome sequencing to analyze
the fecal metagenomes of the divergently selected lean (LL) and fat (FL) line
chickens. Significant structural differences existed in both the phylogenic and
functional metagenomes between the two chicken lines. At phylum level, the FL
group had significantly less Bacteroidetes. At genus level, fourteen genera of
different relative abundance were identified, with some known short-chain fatty
acid producers (including Subdoligranulum, Butyricicoccus, Eubacterium,
Bacteroides, Blautia) and a potentially pathogenic genus (Enterococcus).
Redundancy analysis identified 190 key responsive operational taxonomic units
(OTUs) that accounted for the structural differences between the phylogenic
metagenome of the two groups. Four Cluster of Orthologous Group (COG) categories
(Amino acid transport and metabolism, E; Nucleotide transport and metabolism, F;
Coenzyme transport and metabolism, H; and Lipid transport and metabolism, I) were
overrepresented in LL samples. Fifteen differential metabolic pathways
(Biosynthesis of amino acids, Pyruvate metabolism, Nitrotoluene degradation,
Lipopolysaccharide biosynthesis, Peptidoglycan biosynthesis, Pantothenate and CoA
biosynthesis, Glycosaminoglycan degradation, Thiamine metabolism,
Phosphotransferase system, Two-component system, Bacterial secretion system,
Flagellar assembly, Bacterial chemotaxis, Ribosome, Sulfur relay system) were
identified. Our data highlighted interesting variations between the gut
metagenomes of these two chicken lines.
PMID- 27876780
TI - A Meta-analysis of MBL2 Polymorphisms and Tuberculosis Risk.
AB - MBL2 gene encodes mannose-binding lectin, is a member of innate immune system.
Earlier studies revealed that MBL2 gene variants, rs1800451, rs1800450,
rs5030737, rs7096206, rs11003125 and rs7095891 are associated with impaired serum
level and susceptibility to TB, but their results are inconsistent. A meta
analysis was performed by including 22 studies (7095 TB-patients and 7662
controls) and data were analyzed with respect to associations between alleles,
genotypes and minor allele carriers to evaluate the potential association between
MBL2 polymorphisms and TB risk. Statistically significant results were found only
for the homozygous variant genotype (CC vs. AA: p = 0.045; OR = 0.834, 95% CI =
0.699 to 0.996) of rs1800451 and showed reduced risk of TB in overall population.
However, other genetic models of rs1800450, rs5030737, rs7096206, rs11003125,
rs7095891 and combined rs1800450, rs1800451, rs5030737 polymorphisms of MBL2 gene
did not reveal any association with TB risk. Stratified analysis by ethnicity
showed decreased risk of TB in African population for rs1800450 and rs1800451.
Whereas, no association was observed between other MBL2 polymorphisms and TB risk
in all the evaluated ethnic populations. In conclusion, MBL2 rs1800450 and
rs1800451 polymorphisms play a protective role in TB infection and reinforce
their critical significance as a potential genetic marker for TB resistance.
PMID- 27876781
TI - First identification of NDM-4-producing Escherichia coli ST410 in China.
PMID- 27876783
TI - Genetic characterization of novel fowl aviadenovirus 4 isolates from outbreaks of
hepatitis-hydropericardium syndrome in broiler chickens in China.
AB - Since May 2015, severe outbreaks of hepatitis-hydropericardium syndrome (HHS)
associated with infections of fowl aviadenovirus (FAdV) have emerged in broiler
chickens in several Chinese provinces. To identify the genotype and gain a better
understanding of the genetic properties of the FAdV strains responsible for the
recent HHS outbreaks in China, the complete genome sequences of five isolates
from outbreaks of HHS in broiler chickens in five provinces were determined. The
results demonstrated that a novel fowl aviadenovirus 4 (FAdV-4) genotype was
epidemic in China. To investigate the molecular characteristics of these Chinese
FAdV-4 isolates, their genome contents were compared with those of reported
pathogenic and non-pathogenic FAdV-4 strains. The comparative analysis revealed
that the novel Chinese FAdV-4 isolates contain various genomic deletions and
multiple distinct amino-acid mutations in their major structural genes. Two
additional putative genetic virulence markers in the fiber 2 gene were
identified. These findings confirmed some of the genetic differences between the
pathogenic and non-pathogenic FAdV-4 isolates. The data presented in this report
will enhance the current understanding of the molecular epidemiology and genetic
diversity of FAdV-4 isolates in China and will provide additional insight into
the critical factors that determine the pathogenicity of FAdV-4 strains. Finally,
the emergence of this novel and highly pathogenic FAdV-4 genotype emphasizes that
preventive measures against FAdV-4 infections on poultry farms should be
implemented in China.
PMID- 27876782
TI - Human papillomavirus prevalence and associated factors in women and men in south
China: a population-based study.
AB - Oncogenic human papillomavirus (HPV) infection is a cause of many anogenital
cancers in women and men; however, there is little research on HPV prevalence and
risk factors that includes both women and men from the same population. A total
of 4687 participants, including 2378 women and 2309 men aged 18-55 years old from
the same community, were enrolled in the study in Liuzhou, China. Exfoliated
cells were collected from the participants from different anatomic sites and were
tested for 13 oncogenic and 3 non-oncogenic HPV types. The prevalence of any
oncogenic HPV type was higher in women than in men (18.7% vs 9.4%, P<0.001),
whereas the prevalence of HPV 6 and 11 infection was similar (1.4% vs 1.2%,
P=0.6832). HPV 52, 58, 16, 39 and 18 were the five most prevalent types in both
sexes. Sexual and hygienic behaviors were associated with HPV infection in both
women and men. We found that oncogenic HPV DNA detection is more prevalent in
women than in men in China, whereas the prevalence of HPV 6 and 11 is similar in
both sexes. The data indicate that the interaction of host and virus might be
different among high- and low-risk HPV types.
PMID- 27876784
TI - Expression and characterization of a Talaromyces marneffei active phospholipase B
expressed in a Pichia pastoris expression system.
AB - Phospholipase B is a virulence factor for several clinically important pathogenic
fungi, including Candida albicans, Cryptococcus neoformans and Aspergillus
fumigatus, but its role in the thermally dimorphic fungus Talaromyces marneffei
remains unclear. Here, we provide the first report of the expression of a novel
phospholipase gene, designated TmPlb1, from T. marneffei in the eukaryotic
expression system of Pichia pastoris GS115. Sensitive real-time quantitative
reverse-transcription PCR (qRT-PCR) demonstrated that the expression of TmPlb1
increased 1.85-fold in the yeast phase compared with the mycelial phase. TmPlb1
contains an open reading frame (ORF) of 732 bp that encodes a protein of 243
amino acids. The conserved serine, aspartate and histidine catalytic triad and
the G-X-S-X-G domain of TmPLB1 provide the structural basis for its molecular
activity. The ORF of TmPlb1 was successfully cloned into a pPIC9K vector
containing an alpha-mating factor secretion signal that allowed the secretory
expression of TmPLB1 in P. pastoris. The heterologous protein expression began 12
h after methanol induction and peaked at 96 h. Through analysis with SDS
polyacrylamide gel electrophoresis (SDS-PAGE), western blotting and mass
spectrometry, we confirmed that TmPLB1 was successfully expressed. Through Ni
affinity chromatography, TmPLB1 was highly purified, and its concentration
reached 240.4 mg/L of culture medium. With specific substrates, the phospholipase
A1 and phospholipase A2 activities of TmPLB1 were calculated to be 5.96 and 1.59
U/mg, respectively. The high purity and activity of the TmPLB1 obtained here lay
a solid foundation for further investigation.
PMID- 27876785
TI - Characterization of the Annonaceous acetogenin, annonacinone, a natural product
inhibitor of plasminogen activator inhibitor-1.
AB - Plasminogen activator inhibitor-1 (PAI-1) is the main inhibitor of the tissue
type and urokinase type plasminogen activators. High levels of PAI-1 are
correlated with an increased risk of thrombotic events and several other
pathologies. Despite several compounds with in vitro activity being developed,
none of them are currently in clinical use. In this study, we evaluated a novel
PAI-1 inhibitor, annonacinone, a natural product from the Annonaceous acetogenins
group. Annonacinone was identified in a chromogenic screening assay and was more
potent than tiplaxtinin. Annonacinone showed high potency ex vivo on
thromboelastography and was able to potentiate the thrombolytic effect of tPA in
vivo in a murine model. SDS-PAGE showed that annonacinone inhibited formation of
PAI-1/tPA complex via enhancement of the substrate pathway. Mutagenesis and
molecular dynamics allowed us to identify annonacinone binding site close to
helix D and E and beta-sheets 2A.
PMID- 27876786
TI - A view of human haematopoietic development from the Petri dish.
AB - Human pluripotent stem cells (hPSCs) provide an unparalleled opportunity to
establish in vitro differentiation models that will transform our approach to the
study of human development. In the case of the blood system, these models will
enable investigation of the earliest stages of human embryonic haematopoiesis
that was previously not possible. In addition, they will provide platforms for
studying the origins of human blood cell diseases and for generating de novo
haematopoietic stem and progenitor cell populations for cell-based regenerative
therapies.
PMID- 27876788
TI - Anomalously rotary polarization discovered in homochiral organic ferroelectrics.
AB - Molecular ferroelectrics are currently an active research topic in the field of
ferroelectric materials. As complements or alternatives of conventional inorganic
ferroelectrics, they have been designed to realize various novel properties,
ranging from multiferroicity and semiconductive ferroelectricity to ferroelectric
photovoltaics and ferroelectric luminescence. The stabilizing of ferroelectricity
in various systems is owing to the flexible tailorability of the organic
components. Here we describe the construction of optically active molecular
ferroelectrics by introducing homochiral molecules as polar groups. We find that
the ferroelectricity in (R)-(-)-3-hydroxlyquinuclidinium halides is due to the
alignment of the homochiral molecules. We observe that both the specific optical
rotation and rotatory direction change upon paraelectric-ferroelectric phase
transitions, due to the existence of two origins from the molecular chirality and
spatial arrangement, whose contributions vary upon the transitions. The optical
rotation switching effect may find applications in electro-optical elements.
PMID- 27876787
TI - Deep phenotyping unveils hidden traits and genetic relations in subtle mutants.
AB - Discovering mechanistic insights from phenotypic information is critical for the
understanding of biological processes. For model organisms, unlike in cell
culture, this is currently bottlenecked by the non-quantitative nature and
perceptive biases of human observations, and the limited number of reporters that
can be simultaneously incorporated in live animals. An additional challenge is
that isogenic populations exhibit significant phenotypic heterogeneity. These
difficulties limit genetic approaches to many biological questions. To overcome
these bottlenecks, we developed tools to extract complex phenotypic traits from
images of fluorescently labelled subcellular landmarks, using C. elegans synapses
as a test case. By population-wide comparisons, we identified subtle but relevant
differences inaccessible to subjective conceptualization. Furthermore, the models
generated testable hypotheses of how individual alleles relate to known
mechanisms or belong to new pathways. We show that our model not only
recapitulates current knowledge in synaptic patterning but also identifies novel
alleles overlooked by traditional methods.
PMID- 27876789
TI - Allostasis in health and food addiction.
AB - Homeostasis is the basis of modern medicine and allostasis, a further elaboration
of homeostasis, has been defined as stability through change, which was later
modified to predictive reference resetting. It has been suggested that pleasure
is related to salience (behavioral relevance), and withdrawal has been linked to
allostasis in addictive types. The question arises how the clinical and neural
signatures of pleasure, salience, allostasis and withdrawal relate, both in a non
addicted and addicted state. Resting state EEGs were performed in 66 people,
involving a food-addicted obese group, a non-food addicted obese group and a lean
control group. Correlation analyses were performed on behavioral data, and
correlation, comparative and conjunction analyses were performed to extract
electrophysiological relationships between pleasure, salience, allostasis and
withdrawal. Pleasure/liking seems to be the phenomenological expression that
enough salient stimuli are obtained, and withdrawal can be seen as a motivational
incentive because due to allostatic reference resetting, more stimuli are
required. In addition, in contrast to non-addiction, a pathological, non-adaptive
salience attached to food results in withdrawal mediated through persistent
allostatic reference resetting.
PMID- 27876790
TI - Stress Induces a Shift Towards Striatum-Dependent Stimulus-Response Learning via
the Mineralocorticoid Receptor.
AB - Stress is assumed to cause a shift from flexible 'cognitive' memory to more rigid
'habit' memory. In the spatial memory domain, stress impairs place learning
depending on the hippocampus whereas stimulus-response learning based on the
striatum appears to be improved. While the neural basis of this shift is still
unclear, previous evidence in rodents points towards cortisol interacting with
the mineralocorticoid receptor (MR) to affect amygdala functioning. The amygdala
is in turn assumed to orchestrate the stress-induced shift in memory processing.
However, an integrative study testing these mechanisms in humans is lacking.
Therefore, we combined functional neuroimaging of a spatial memory task, stress
induction, and administration of an MR-antagonist in a full-factorial,
randomized, placebo-controlled between-subjects design in 101 healthy males. We
demonstrate that stress-induced increases in cortisol lead to enhanced stimulus
response learning, accompanied by increased amygdala activity and connectivity to
the striatum. Importantly, this shift was prevented by an acute administration of
the MR-antagonist spironolactone. Our findings support a model in which the MR
and the amygdala play an important role in the stress-induced shift towards habit
memory systems, revealing a fundamental mechanism of adaptively allocating neural
resources that may have implications for stress-related mental disorders.
PMID- 27876791
TI - An amino acid-based oral rehydration solution (AA-ORS) enhanced intestinal
epithelial proliferation in mice exposed to radiation.
AB - Destruction of clonogenic cells in the crypt following irradiation are thought to
cause altered gastrointestinal function. Previously, we found that an amino acid
based oral rehydration solution (AA-ORS) improved gastrointestinal function in
irradiated mice. However, the exact mechanisms were unknown. Electrophysiology,
immunohistochemistry, qPCR, and Western blot analysis were used to determine that
AA-ORS increased proliferation, maturation, and differentiation and improved
electrolyte and nutrient absorption in irradiated mice. A single-hit, multi
target crypt survival curve showed a significant increase in crypt progenitors in
irradiated mice treated with AA-ORS for six days (8.8 +/- 0.4) compared to the
saline-treated group (6.1 +/- 0.3; P < 0.001) without a change in D0 (4.8 +/- 0.1
Gy). The Dq values increased from 8.8 +/- 0.4 Gy to 10.5 +/- 0.5 Gy with AA-ORS
treatment (P < 0.01), indicating an increased radiation tolerance of 1.7 Gy. We
also found that AA-ORS treatment (1) increased Lgr5+, without altering Bmi1
positive cells; (2) increased levels of proliferation markers (Ki-67, p-Erk, p
Akt and PCNA); (3) decreased apoptosis markers, such as cleaved caspase-3 and Bcl
2; and (4) increased expression and protein levels of NHE3 and SGLT1 in the brush
border membrane. This study shows that AA-ORS increased villus height and
improved electrolyte and nutrient absorption.
PMID- 27876793
TI - PEP-19 modulates calcium binding to calmodulin by electrostatic steering.
AB - PEP-19 is a small protein that increases the rates of Ca2+ binding to the C
domain of calmodulin (CaM) by an unknown mechanism. Although an IQ motif promotes
binding to CaM, an acidic sequence in PEP-19 is required to modulate Ca2+ binding
and to sensitize HeLa cells to ATP-induced Ca2+ release. Here, we report the NMR
solution structure of a complex between PEP-19 and the C-domain of apo CaM. The
acidic sequence of PEP-19 associates between helices E and F of CaM via
hydrophobic interactions. This allows the acidic side chains in PEP-19 to extend
toward the solvent and form a negatively charged surface that resembles a
catcher's mitt near Ca2+ binding loop III of CaM. The topology and gradients of
negative electrostatic surface potential support a mechanism by which PEP-19
increases the rate of Ca2+ binding to the C-domain of CaM by 'catching' and
electrostatically steering Ca2+ to site III.
PMID- 27876795
TI - Exact research on the theory of the blackbody thermal radiation.
AB - After studying the normalized Planck equation in depth, a brand-new type of
spectrum curves of blackbody thermal radiation is given. Two important parameters
of the new type curves, namely relative width RWeta and symmetric factor RSFeta,
are defined. The paper points out that the experimental verification of the
parameters has three significant applications: (1) Giving a method to measure
temperature by detecting the radiation wavelength. (2) Determining the blackbody
grade. (3) The temperature obtained from the law of the blackbody thermal
radiation can be used as a criterion.
PMID- 27876792
TI - mTORC1 is Required for Brown Adipose Tissue Recruitment and Metabolic Adaptation
to Cold.
AB - In response to cold, brown adipose tissue (BAT) increases its metabolic rate and
expands its mass to produce heat required for survival, a process known as BAT
recruitment. The mechanistic target of rapamycin complex 1 (mTORC1) controls
metabolism, cell growth and proliferation, but its role in regulating BAT
recruitment in response to chronic cold stimulation is unknown. Here, we show
that cold activates mTORC1 in BAT, an effect that depends on the sympathetic
nervous system. Adipocyte-specific mTORC1 loss in mice completely blocks cold
induced BAT expansion and severely impairs mitochondrial biogenesis. Accordingly,
mTORC1 loss reduces oxygen consumption and causes a severe defect in BAT
oxidative metabolism upon cold exposure. Using in vivo metabolic imaging,
metabolomics and transcriptomics, we show that mTORC1 deletion impairs glucose
and lipid oxidation, an effect linked to a defect in tricarboxylic acid (TCA)
cycle activity. These analyses also reveal a severe defect in nucleotide
synthesis in the absence of mTORC1. Overall, these findings demonstrate an
essential role for mTORC1 in the regulation of BAT recruitment and metabolism in
response to cold.
PMID- 27876794
TI - VCAM1 acts in parallel with CD69 and is required for the initiation of
oligodendrocyte myelination.
AB - Oligodendrocytes differentiate to wrap their plasma membranes around axons,
forming the myelin sheath. A neuronal cue is one of the regulator elements
controlling this process. Here, we demonstrate that VCAM1, which plays a key role
throughout the immune system, is also expressed in oligodendrocytes, where it
regulates the initiation of myelination. VCAM1 knockout mice exhibit reduced
myelin thickness. Decreased myelin thickness is also observed in mutant mice of
alpha4 integrin, which is a neuronal VCAM1 ligand. Furthermore, CD69 is
identified as one of the transcripts downregulated when VCAM1 is knocked down in
oligodendrocytes. Knockdown of CD69 in mice indicates its role in myelination.
Therefore, VCAM1 contributes not only to the initiation of myelination but also
to its regulation through controlling the abundance of CD69, demonstrating that
an intercellular molecule whose primary role is in the immune system can also
play an unexpected role in the CNS.
PMID- 27876797
TI - All-gas-phase synthesis of UiO-66 through modulated atomic layer deposition.
AB - Thin films of stable metal-organic frameworks (MOFs) such as UiO-66 have enormous
application potential, for instance in microelectronics. However, all-gas-phase
deposition techniques are currently not available for such MOFs. We here report
on thin-film deposition of the thermally and chemically stable UiO-66 in an all
gas-phase process by the aid of atomic layer deposition (ALD). Sequential
reactions of ZrCl4 and 1,4-benzenedicarboxylic acid produce amorphous organic
inorganic hybrid films that are subsequently crystallized to the UiO-66 structure
by treatment in acetic acid vapour. We also introduce a new approach to control
the stoichiometry between metal clusters and organic linkers by modulation of the
ALD growth with additional acetic acid pulses. An all-gas-phase synthesis
technique for UiO-66 could enable implementations in microelectronics that are
not compatible with solvothermal synthesis. Since this technique is ALD-based, it
could also give enhanced thickness control and the possibility to coat irregular
substrates with high aspect ratios.
PMID- 27876798
TI - Proliferation of Listeria monocytogenes L-form cells by formation of internal and
external vesicles.
AB - L-forms are cell wall-deficient bacteria that divide through unusual mechanisms,
involving dynamic perturbations of the cellular shape and generation of vesicles,
independently of the cell-division protein FtsZ. Here we describe FtsZ
independent mechanisms, involving internal and external vesicles, by which
Listeria monocytogenes L-forms proliferate. Using micromanipulation of single
cells and vesicles, we show that small vesicles are formed by invagination within
larger intracellular vesicles, receive cytoplasmic content, and represent viable
progeny. In addition, the L-forms can reproduce by pearling, that is, generation
of extracellular vesicles that remain transiently linked to their mother cell via
elastic membranous tubes. Using photobleaching and fluorescence recovery, we
demonstrate cytoplasmic continuity and transfer through these membranous tubes.
Our findings indicate that L-forms' polyploidy and extended interconnectivity
through membranous tubes contribute to the generation of viable progeny
independently of dedicated division machinery, and further support L-forms as
models for studies of potential multiplication mechanisms of hypothetical
primitive cells.
PMID- 27876796
TI - Functional implications of orientation maps in primary visual cortex.
AB - Stimulus orientation in the primary visual cortex of primates and carnivores is
mapped as iso-orientation domains radiating from pinwheel centres, where
orientation preferences of neighbouring cells change circularly. Whether this
orientation map has a function is currently debated, because many mammals, such
as rodents, do not have such maps. Here we show that two fundamental properties
of visual cortical responses, contrast saturation and cross-orientation
suppression, are stronger within cat iso-orientation domains than at pinwheel
centres. These differences develop when excitation (not normalization) from
neighbouring oriented neurons is applied to different cortical orientation
domains and then balanced by inhibition from un-oriented neurons. The functions
of the pinwheel mosaic emerge from these local intra-cortical computations:
Narrower tuning, greater cross-orientation suppression and higher contrast gain
of iso-orientation cells facilitate extraction of object contours from images,
whereas broader tuning, greater linearity and less suppression of pinwheel cells
generate selectivity for surface patterns and textures.
PMID- 27876800
TI - Rapid laccolith intrusion driven by explosive volcanic eruption.
AB - Magmatic intrusions and volcanic eruptions are intimately related phenomena.
Shallow magma intrusion builds subsurface reservoirs that are drained by volcanic
eruptions. Thus, the long-held view is that intrusions must precede and feed
eruptions. Here we show that explosive eruptions can also cause magma intrusion.
We provide an account of a rapidly emplaced laccolith during the 2011 rhyolite
eruption of Cordon Caulle, Chile. Remote sensing indicates that an intrusion
began after eruption onset and caused severe (>200 m) uplift over 1 month.
Digital terrain models resolve a laccolith-shaped body ~0.8 km3. Deformation and
conduit flow models indicate laccolith depths of only ~20-200 m and overpressures
(~1-10 MPa) that likely stemmed from conduit blockage. Our results show that
explosive eruptions may rapidly force significant quantities of magma in the
crust to build laccoliths. These iconic intrusions can thus be interpreted as
eruptive features that pose unique and previously unrecognized volcanic hazards.
PMID- 27876799
TI - IRS4 induces mammary tumorigenesis and confers resistance to HER2-targeted
therapy through constitutive PI3K/AKT-pathway hyperactivation.
AB - In search of oncogenic drivers and mechanisms affecting therapy resistance in
breast cancer, we identified Irs4, a poorly studied member of the insulin
receptor substrate (IRS) family, as a mammary oncogene by insertional
mutagenesis. Whereas normally silent in the postnatal mammary gland, IRS4 is
found to be highly expressed in a subset of breast cancers. We show that Irs4
expression in mammary epithelial cells induces constitutive PI3K/AKT pathway
hyperactivation, insulin/IGF1-independent cell proliferation, anchorage
independent growth and in vivo tumorigenesis. The constitutive PI3K/AKT pathway
hyperactivation by IRS4 is unique to the IRS family and we identify the lack of a
SHP2-binding domain in IRS4 as the molecular basis of this feature. Finally, we
show that IRS4 and ERBB2/HER2 synergistically induce tumorigenesis and that IRS4
expression confers resistance to HER2-targeted therapy. Taken together, our
findings present the cellular and molecular mechanisms of IRS4-induced
tumorigenesis and establish IRS4 as an oncogenic driver and biomarker for therapy
resistance in breast cancer.
PMID- 27876801
TI - PPFIA1 drives active alpha5beta1 integrin recycling and controls fibronectin
fibrillogenesis and vascular morphogenesis.
AB - Basolateral polymerization of cellular fibronectin (FN) into a meshwork drives
endothelial cell (EC) polarity and vascular remodelling. However, mechanisms
coordinating alpha5beta1 integrin-mediated extracellular FN endocytosis and
exocytosis of newly synthesized FN remain elusive. Here we show that, on Rab21
elicited internalization, FN-bound/active alpha5beta1 is recycled to the EC
surface. We identify a pathway, comprising the regulators of post-Golgi carrier
formation PI4KB and AP-1A, the small GTPase Rab11B, the surface tyrosine
phosphatase receptor PTPRF and its adaptor PPFIA1, which we propose acts as a
funnel combining FN secretion and recycling of active alpha5beta1 integrin from
the trans-Golgi network (TGN) to the EC surface, thus allowing FN
fibrillogenesis. In this framework, PPFIA1 interacts with active alpha5beta1
integrin and localizes close to EC adhesions where post-Golgi carriers are
targeted. We show that PPFIA1 is required for FN polymerization-dependent
vascular morphogenesis, both in vitro and in the developing zebrafish embryo.
PMID- 27876802
TI - Altered intestinal microbiota-host mitochondria crosstalk in new onset Crohn's
disease.
AB - Intestinal microbial dysbiosis is associated with Crohn's disease (CD). However,
the mechanisms leading to the chronic mucosal inflammation that characterizes
this disease remain unclear. In this report, we use systems-level approaches to
study the interactions between the gut microbiota and host in new-onset
paediatric patients to evaluate causality and mechanisms of disease. We report an
altered host proteome in CD patients indicative of impaired mitochondrial
functions. In particular, mitochondrial proteins implicated in H2S detoxification
are downregulated, while the relative abundance of H2S microbial producers is
increased. Network correlation analysis reveals that Atopobium parvulum controls
the central hub of H2S producers. A. parvulum induces pancolitis in colitis
susceptible interleukin-10-deficient mice and this phenotype requires the
presence of the intestinal microbiota. Administrating the H2S scavenger bismuth
mitigates A. parvulum-induced colitis in vivo. This study reveals that host
microbiota interactions are disturbed in CD and thus provides mechanistic
insights into CD pathogenesis.
PMID- 27876803
TI - Association mapping for phenology and plant architecture in maize shows higher
power for developmental traits compared with growth influenced traits.
AB - Plant architecture, phenology and yield components of cultivated plants have
repeatedly been shaped by selection to meet human needs and adaptation to
different environments. Here we assessed the genetic architecture of 24
correlated maize traits that interact during plant cycle. Overall, 336 lines were
phenotyped in a network of 9 trials and genotyped with 50K single-nucleotide
polymorphisms. Phenology was the main factor of differentiation between genetic
groups. Then yield components distinguished dents from lower yielding genetic
groups. However, most of trait variation occurred within group and we observed
similar overall and within group correlations, suggesting a major effect of
pleiotropy and/or linkage. We found 34 quantitative trait loci (QTLs) for
individual traits and six for trait combinations corresponding to PCA
coordinates. Among them, only five were pleiotropic. We found a cluster of QTLs
in a 5 Mb region around Tb1 associated with tiller number, ear row number and the
first PCA axis, the latter being positively correlated to flowering time and
negatively correlated to yield. Kn1 and ZmNIP1 were candidate genes for
tillering, ZCN8 for leaf number and Rubisco Activase 1 for kernel weight.
Experimental repeatabilities, numbers of QTLs and proportion of explained
variation were higher for traits related to plant development such as tillering,
leaf number and flowering time, than for traits affected by growth such as yield
components. This suggests a simpler genetic determinism with larger individual
QTL effects for the first category.
PMID- 27876804
TI - Inbreeding depression by environment interactions in a free-living mammal
population.
AB - Experimental studies often find that inbreeding depression is more severe in
harsh environments, but the few studies of in situ wild populations available to
date rarely find strong support for this effect. We investigated evidence for
inbreeding depression by environment interactions in nine traits in the
individually monitored Soay sheep population of St Kilda, using genomic
inbreeding coefficients based on 37 037 single-nucleotide polymorphism loci, and
population density as an axis of environmental variation. All traits showed
variation with population density and all traits showed some evidence for
depression because of either an individual's own inbreeding or maternal
inbreeding. However, only six traits showed evidence for an interaction in the
expected direction, and only two interactions were statistically significant. We
identify three possible reasons why wild population studies may generally fail to
find strong support for interactions between inbreeding depression and
environmental variation compared with experimental studies. First, for species
with biparental inbreeding only, the amount of observed inbreeding in natural
populations is generally low compared with that used in experimental studies.
Second, it is possible that experimental studies sometimes actually impose higher
levels of stress than organisms experience in the wild. Third, some purging of
the deleterious recessive alleles that underpin interaction effects may occur in
the wild.
PMID- 27876805
TI - Landscape genetics informs mesohabitat preference and conservation priorities for
a surrogate indicator species in a highly fragmented river system.
AB - Poor dispersal species represent conservative benchmarks for biodiversity
management because they provide insights into ecological processes influenced by
habitat fragmentation that are less evident in more dispersive organisms. Here we
used the poorly dispersive and threatened river blackfish (Gadopsis marmoratus)
as a surrogate indicator system for assessing the effects of fragmentation in
highly modified river basins and for prioritizing basin-wide management
strategies. We combined individual, population and landscape-based approaches to
analyze genetic variation in samples spanning the distribution of the species in
Australia's Murray-Darling Basin, one of the world's most degraded freshwater
systems. Our results indicate that G. marmoratus displays the hallmark of severe
habitat fragmentation with notably scattered, small and demographically isolated
populations with very low genetic diversity-a pattern found not only between
regions and catchments but also between streams within catchments. By using
hierarchically nested population sampling and assessing relationships between
genetic uniqueness and genetic diversity across populations, we developed a
spatial management framework that includes the selection of populations in need
of genetic rescue. Landscape genetics provided an environmental criterion to
identify associations between landscape features and ecological processes. Our
results further our understanding of the impact that habitat quality and quantity
has on habitat specialists with similarly low dispersal. They should also have
practical applications for prioritizing both large- and small-scale conservation
management actions for organisms inhabiting highly fragmented ecosystems.
PMID- 27876806
TI - A randomised controlled trial comparing a dietary antiplatelet, the water-soluble
tomato extract Fruitflow, with 75 mg aspirin in healthy subjects.
AB - BACKGROUND/OBJECTIVES: Increasing numbers of food ingredients are gaining
acknowledgement, via regulated health claims, of benefits to human health. One
such is a water-soluble tomato extract, Fruitflow (FF), a dietary antiplatelet.
We examined relative platelet responses to FF and to 75 mg aspirin (ASA) in
healthy subjects. SUBJECTS/METHODS: A total of 47 healthy subjects completed a
double-blinded randomised controlled trial following a crossover design. Acute
and 7-day treatments with 75 mg ASA were compared with control with and without
concomitant FF, over a 5-h timecourse. Platelet aggregation response agonist,
platelet thromboxane A2 release, plasma clotting times and time to form a primary
haemostatic clot (PFA-100 closure time, TTC) were measured. RESULTS:
Administration of all treatments lowered platelet function and thromboxane A2
generation, and extended the TTC, relative to baseline (P<0.001) and to control
(P<0.001). Plasma clotting times were not affected. A single 75 mg dose of ASA
showed approximately equal efficacy to a dose of FF, whereas daily 75 mg ASA was
approximately three times as effective after 7 days (P=0.002). Platelet responses
were heterogenous with distinct weak and strong responder groups. Weak ASA
responders retained a functional platelet response to collagen agonist and were
responsive to FF. Concomitant FF and ASA did not lead to significant additive
effects. CONCLUSIONS: The suppression of platelet function observed after
consuming FF is approximately one-third that of daily 75 mg ASA. The reversible
action of FF renders it less likely to overextend the time to form a primary
haemostatic clot than ASA, an important safety consideration for primary
prevention.
PMID- 27876807
TI - Assessment of human energy exchange: historical overview.
AB - Energy exchange is fundamental to life and is a cornerstone in the study of human
physiology, metabolism and nutrition. A global effort is underway to further our
understanding of human energy exchange and its components as a means of
establishing the mechanistic underpinnings of the evolving obesity and chronic
disease epidemics. The current report establishes a conceptual historical
framework for examining the evolution of energy exchange concepts and measurement
methods. We review developments taking place over more than 2000 years during
which humans endeavored to establish the source of body heat, the 'fire of life'.
Major conceptual and methodological advances over the past three centuries have
incrementally advanced the field and created the energy exchange paradigm within
which we now work. As in the past, innovative experimental ideas and measurement
methods are now needed to answer important questions brought to light by the
obesity and chronic disease epidemics. Nevertheless, older classical measurement
methods based on calorimetry techniques still hold a strong position in the field
as many diet and weight-related questions remain unanswered.
PMID- 27876808
TI - Water intake and urinary hydration biomarkers in children.
AB - BACKGROUND/OBJECTIVES: The aims of the study were as follows: (1) examine fluid
intake and urinary hydration markers of children in Greece, (2) determine the
calculated relative risk of hypohydration in children who did not meet the
recommendations for daily water intake provided by the Institute of Medicine and
the European Food Safety Authority compared with those who did and (3) analyze
the efficacy of the recommendations as a method to achieve euhydration in
children. SUBJECTS/METHODS: One hundred and fifty Greek boys and girls (age 9-13)
recorded their fluid intake for 2 consecutive days. A 24-h urine collection was
obtained during the second day. Fluid intake records were analyzed for total
water intake from fluids (TWI-F), and urine samples were analyzed for osmolality,
color, specific gravity and volume. Urine osmolality ?800 mmol/kg H2O was defined
as hypohydration. RESULTS: Water intake from fluids was 1729 (1555-1905) and 1550
(1406-1686) ml/d for boys and girls, respectively. Prevalence of hypohydration
was 33% (44% of boys, 23% of girls). Children who failed to meet TWI-F
recommendations demonstrated a risk of hypohydration that was 1.99-2.12 times
higher than those who met recommendations (P?0.01). Boys between 9 and 13 years
displayed urine osmolality of 777 (725-830) mmol/kg, and urine specific gravity
of 1.021 (1.019-1.022), which was higher than those in girls between 9-13 years
(P?0.015), and >27% were classified as hypohydrated despite meeting water intake
recommendations. CONCLUSIONS: Failure to meet TWI-F guidelines increased
calculated relative risk of hypohydration in children. Boys between 9 and 13
years are at greater hazard regardless of meeting guidelines and may require
greater water intake to avoid elevated urine concentration and ensure adequate
hydration.
PMID- 27876809
TI - Cold-induced thermogenesis in humans.
AB - A basic property of endothermic thermoregulation is the ability to generate heat
by increasing metabolism in response to cold ambient temperatures to maintain a
stable core body temperature. This process, known as cold-induced thermogenesis
(CIT), has been measured in humans as early as 1780 by Antoine Lavoisier, but has
found renewed interest because of the recent 'rediscovery' of thermogenic, cold
activated brown adipose tissue (BAT) in adult humans. In this review, we
summarize some of the key findings of the work involving CIT over the past two
centuries and highlight some of the seminal studies focused on this topic. There
has been a substantial range of variability in the reported CIT in these studies,
from 0 to 280% above basal metabolism. We identify and discuss several potential
sources of this variability, including both methodological (measurement device,
cold exposure temperature and duration) and biological (age and body composition
of subject population) discrepancies. These factors should be considered when
measuring CIT going forward to better assess whether BAT or other thermogenic
organs are viable targets to combat chronic positive energy balance based on
their relative capacities to elevate human metabolism.
PMID- 27876810
TI - Outcomes of exclusive enteral nutrition in paediatric Crohn's disease.
AB - BACKGROUND/OBJECTIVES: Exclusive enteral nutrition (EEN) is a safe and effective
treatment modality for inducing remission in paediatric Crohn's disease (CD). The
primary aim of this study was to compare the outcomes of EEN to corticosteroid
(CS) therapy in newly diagnosed, treatment-naive patients with CD. A secondary
aim was to describe the outcomes of EEN in a national cohort of paediatric CD
patients over a 10-year period. SUBJECTS/METHODS: A retrospective chart review
was conducted at the Irish national referral centre for paediatric CD. A case
matched analysis was conducted on two cohorts matched for age, gender, disease
location, disease behaviour and disease activity, who received CS or EEN as their
initial treatment. Subsequently, cohort analysis was conducted on all patients
who undertook a course of EEN therapy between 2004 and 2013. RESULTS: The case
matched analysis found higher remission rates after treatment with EEN (24/28,
86%) compared with those with CS (15/28, 54%; P=0.02). Dietetic contacts were
found to be pivotal to the success of treatment and the attainment of remission.
In total, 59 patients completed EEN at some time-point in their disease course
and were included in the cohort analysis. Sixty-nine per cent of this cohort
entered clinical remission (41/59). EEN was found to be most effective when used
as an initial treatment (P=0.004) and less effective in patients aged under 10
years (P=0.04). CONCLUSIONS: EEN should be strongly considered as a favourable
primary treatment over CS, especially in those diagnosed over the age of 10
years.
PMID- 27876812
TI - Corrigendum: Flux of signalling endosomes undergoing axonal retrograde transport
is encoded by presynaptic activity and TrkB.
PMID- 27876811
TI - Unlocking sperm chromatin at fertilization requires a dedicated egg thioredoxin
in Drosophila.
AB - In most animals, the extreme compaction of sperm DNA is achieved after the
massive replacement of histones with sperm nuclear basic proteins (SNBPs), such
as protamines. In some species, the ultracompact sperm chromatin is stabilized by
a network of disulfide bonds connecting cysteine residues present in SNBPs.
Studies in mammals have established that the reduction of these disulfide
crosslinks at fertilization is required for sperm nuclear decondensation and the
formation of the male pronucleus. Here, we show that the Drosophila maternal
thioredoxin Deadhead (DHD) is specifically required to unlock sperm chromatin at
fertilization. In dhd mutant eggs, the sperm nucleus fails to decondense and the
replacement of SNBPs with maternally-provided histones is severely delayed, thus
preventing the participation of paternal chromosomes in embryo development. We
demonstrate that DHD localizes to the sperm nucleus to reduce its disulfide
targets and is then rapidly degraded after fertilization.
PMID- 27876813
TI - Oncogenic transformation of human lung bronchial epithelial cells induced by
arsenic involves ROS-dependent activation of STAT3-miR-21-PDCD4 mechanism.
AB - Arsenic is a well-documented human carcinogen. The present study explored the
role of the onco-miR, miR-21 and its target protein, programmed cell death 4
(PDCD4) in arsenic induced malignant cell transformation and tumorigenesis. Our
results showed that treatment of human bronchial epithelial (BEAS-2B) cells with
arsenic induces ROS through p47phox, one of the NOX subunits that is the key
source of arsenic-induced ROS. Arsenic exposure induced an upregulation of miR-21
expression associated with inhibition of PDCD4, and caused malignant cell
transformation and tumorigenesis of BEAS-2B cells. Indispensably, STAT3
transcriptional activation by IL-6 is crucial for the arsenic induced miR-21
increase. Upregulated miR-21 levels and suppressed PDCD4 expression was also
observed in xenograft tumors generated with chronic arsenic exposed BEAS-2B
cells. Stable shut down of miR-21, p47phox or STAT3 and overexpression of PDCD4
or catalase in BEAS-2B cells markedly inhibited the arsenic induced malignant
transformation and tumorigenesis. Similarly, silencing of miR-21 or STAT3 and
forced expression of PDCD4 in arsenic transformed cells (AsT) also inhibited cell
proliferation and tumorigenesis. Furthermore, arsenic suppressed the downstream
protein E-cadherin expression and induced beta-catenin/TCF-dependent
transcription of uPAR and c-Myc. These results indicate that the ROS-STAT3-miR-21
PDCD4 signaling axis plays an important role in arsenic -induced carcinogenesis.
PMID- 27876814
TI - A genome-wide investigation into parent-of-origin effects in autism spectrum
disorder identifies previously associated genes including SHANK3.
AB - Autism spectrum disorder (ASD) is known to be a heritable neurodevelopmental
disorder affecting more than 1% of the population but in the majority of ASD
cases, the genetic cause has not been identified. Parent-of-origin effects have
been highlighted as an important mechanism in the pathology of neurodevelopmental
disorders such as Prader-Willi and Angelman syndrome, with individuals with these
syndromes often exhibiting ASD symptoms. Consequently, systematic investigation
of these effects in ASD is clearly an important line of investigation in
elucidating the underlying genetic mechanisms. Using estimation of maternal,
imprinting and interaction effects using multinomial modelling (EMIM), we
simultaneously investigated imprinting, maternal genetic effects and associations
in the Autism Genome Project and Simons Simplex Consortium genome-wide
association data sets. To avoid using the overly stringent genome-wide
association study significance level, we used a Bayesian threshold that takes
into account the sample size, allele frequency and any available prior knowledge.
Between the two data sets, we identified a total of 18 imprinting effects and 68
maternal genetic effects that met this Bayesian threshold criteria, but none met
the threshold in both data sets. We identified imprinting and maternal genetic
effects for regions that have previously shown evidence for parent-of-origin
effects in ASD. Together with these findings, we have identified maternal genetic
effects not previously identified in ASD at a locus in SHANK3 on chromosome 22
and a locus in WBSCR17 on chromosome 7 (associated with Williams syndrome). Both
genes have previously been associated with ASD.
PMID- 27876816
TI - Reply to Kranendonk et al.
PMID- 27876815
TI - Variable expressivity and genetic heterogeneity involving DPT and SEMA3D genes in
autosomal dominant familial Meniere's disease.
AB - Autosomal dominant (AD) familial Meniere's disease (FMD) is a rare disorder
involving the inner ear defined by sensorineural hearing loss, tinnitus and
episodic vertigo. Here, we have identified two novel and rare heterozygous
variants in the SEMA3D and DPT genes segregating with the complete phenotype that
have variable expressivity in two pedigrees with AD-FMD. A detailed
characterization of the phenotype within each family illustrates the clinical
heterogeneity in the onset and progression of the disease. We also showed the
expression of both genes in the human cochlea and performed in silico analyses of
these variants. Three-dimensional protein modelling showed changes in the
structure of the protein indicating potential physical interactions. These
results confirm a genetic heterogeneity in FMD with incomplete penetrance and
variable expressivity.
PMID- 27876819
TI - The Dutch legal approach regarding health care decisions involving minors in the
NGS days.
PMID- 27876818
TI - Identification and characterization of 5' CCG interruptions in complex DMPK
expanded alleles.
AB - Myotonic dystrophy type 1 is a multisystemic autosomal dominant disorder caused
by the expansion of (CTG) n triplets in the 3'UTR of the DMPK gene, on chromosome
19q13.3. In the last years, few DM1 patients with different patterns of CCG/CTC
interruptions at the 3' end of the DMPK expanded tract have been described.
However, the role of these interruptions in DM1 pathogenesis is still unclear. To
study the frequency, stability and the structure of DMPK variant expanded alleles
in the Italian population, we have re-evaluated 254 Italian DM1 patients using
triplet-primed PCR (TP-PCR), at both the 3' and 5' ends of the CTG expansion. In
addition, three DM1 families were also investigated in order to analyze the
intergenerational stability of the interrupted DMPK alleles. Fourteen DM1
patients showed a TP-PCR electrophoretic profile indicating CCG/CTC interruptions
within the CTG expansion. Interestingly, interruptions have been detected and,
for the first time, sequenced at the 5' end of the CTG array. Analysis of five
intergenerational transmissions revealed a substantial intrafamilial stability of
the DM1 mutation among relatives. Our results support the hypothesis that CCG/CTC
interruptions within the DMPK expanded alleles have a stabilizing effect on the
mutational dynamics and can modulate the severity of symptoms in DM1 patients.
PMID- 27876817
TI - A framework for the detection of de novo mutations in family-based sequencing
data.
AB - Germline mutation detection from human DNA sequence data is challenging due to
the rarity of such events relative to the intrinsic error rates of sequencing
technologies and the uneven coverage across the genome. We developed
PhaseByTransmission (PBT) to identify de novo single nucleotide variants and
short insertions and deletions (indels) from sequence data collected in parent
offspring trios. We compute the joint probability of the data given the genotype
likelihoods in the individual family members, the known familial relationships
and a prior probability for the mutation rate. Candidate de novo mutations (DNMs)
are reported along with their posterior probability, providing a systematic way
to prioritize them for validation. Our tool is integrated in the Genome Analysis
Toolkit and can be used together with the ReadBackedPhasing module to infer the
parental origin of DNMs based on phase-informative reads. Using simulated data,
we show that PBT outperforms existing tools, especially in low coverage data and
on the X chromosome. We further show that PBT displays high validation rates on
empirical parent-offspring sequencing data for whole-exome data from 104 trios
and X-chromosome data from 249 parent-offspring families. Finally, we demonstrate
an association between father's age at conception and the number of DNMs in
female offspring's X chromosome, consistent with previous literature reports.
PMID- 27876820
TI - A method to customize population-specific arrays for genome-wide association
testing.
AB - As an example of optimizing population-specific genotyping assays using a whole
genome sequence reference set, we detail the approach that followed to design the
Axiom-NL array which is characterized by an improved imputation backbone based on
the Genome of the Netherlands (GoNL) reference sequence and, compared with
earlier arrays, a more comprehensive inclusion of SNPs on chromosomes X, Y, and
the mitochondria. Common variants on the array were selected to be compatible
with the Illumina Psych Array and the Affymetrix UK Biobank Axiom array. About
3.5% of the array (23 977 markers) represents SNPs from the GWAS catalog,
including SNPs at FTO, APOE, Ion-channels, killer-cell immunoglobulin-like
receptors, and HLA. Around 26 000 markers associated with common psychiatric
disorders are included, as well as 6705 markers suggested to be associated with
fertility and twinning. The platform can thus be used for risk profiling,
detection of new variants, as well as ancestry determination. Results of coverage
tests in 249 unrelated subjects with GoNL-based sequence data show that after
imputation with 1000G as a reference, the median concordance between original and
imputed genotypes is above 98%. The median imputation quality R2 for MAF
thresholds of 0.001, 0.01, 0.05, and >0.05 are 0.05, 0.28, 0.80, 0.99,
respectively, for the 1000G imputed SNPs, with a similar quality for the
autosomes and X chromosome, showing a good genome-wide coverage for association
studies after imputation.
PMID- 27876821
TI - Logic models to predict continuous outputs based on binary inputs with an
application to personalized cancer therapy.
AB - Mining large datasets using machine learning approaches often leads to models
that are hard to interpret and not amenable to the generation of hypotheses that
can be experimentally tested. We present 'Logic Optimization for Binary Input to
Continuous Output' (LOBICO), a computational approach that infers small and
easily interpretable logic models of binary input features that explain a
continuous output variable. Applying LOBICO to a large cancer cell line panel, we
find that logic combinations of multiple mutations are more predictive of drug
response than single gene predictors. Importantly, we show that the use of the
continuous information leads to robust and more accurate logic models. LOBICO
implements the ability to uncover logic models around predefined operating points
in terms of sensitivity and specificity. As such, it represents an important step
towards practical application of interpretable logic models.
PMID- 27876823
TI - Alignment-free Transcriptomic and Metatranscriptomic Comparison Using Sequencing
Signatures with Variable Length Markov Chains.
AB - The comparison between microbial sequencing data is critical to understand the
dynamics of microbial communities. The alignment-based tools analyzing
metagenomic datasets require reference sequences and read alignments. The
available alignment-free dissimilarity approaches model the background sequences
with Fixed Order Markov Chain (FOMC) yielding promising results for the
comparison of microbial communities. However, in FOMC, the number of parameters
grows exponentially with the increase of the order of Markov Chain (MC). Under a
fixed high order of MC, the parameters might not be accurately estimated owing to
the limitation of sequencing depth. In our study, we investigate an alternative
to FOMC to model background sequences with the data-driven Variable Length Markov
Chain (VLMC) in metatranscriptomic data. The VLMC originally designed for long
sequences was extended to apply to high-throughput sequencing reads and the
strategies to estimate the corresponding parameters were developed. The flexible
number of parameters in VLMC avoids estimating the vast number of parameters of
high-order MC under limited sequencing depth. Different from the manual selection
in FOMC, VLMC determines the MC order adaptively. Several beta diversity measures
based on VLMC were applied to compare the bacterial RNA-Seq and
metatranscriptomic datasets. Experiments show that VLMC outperforms FOMC to model
the background sequences in transcriptomic and metatranscriptomic samples. A
software pipeline is available at https://d2vlmc.codeplex.com.
PMID- 27876824
TI - Non-stimulated adrenal venous sampling using Dyna computed tomography in patients
with primary aldosteronism.
AB - In this retrospective study, we aimed to examine the effect of applying Dyna
computed tomography (CT) on the success rate of adrenal venous sampling (AVS)
without adrenocorticotropic hormone stimulation. A total of 100 consecutive
patients with primary aldosteronism who underwent AVS between May 2012 and July
2015 were enrolled. In all the cases, Dyna CT was used in AVS to validate
catheter position in the right adrenal vein. A selectivity index (cortisoladrenal
vein /cortisolinferior vena cava) of >=2.0 of both adrenal veins were required
for successful AVS. Dyna CT indicated misplaced catheters in 16 patients; of
these patients, 75% (12/16) eventually had successful right AVS after catheter
repositioning. The success rate of initial sampling at the right adrenal vein was
76% (76/100), which increased to 88% (88/100) after Dyna CT was applied (p <
0.001). The most common inadvertently catheterised vessels detected using Dyna CT
were the accessory hepatic veins (56.3%, 9/16), followed by the renal capsular
veins (37.5%, 6/16). The overall success rate of non-stimulated AVS using Dyna CT
was 87% (87/100). Thus, the application of Dyna CT further increased the success
rate of non-stimulated AVS.
PMID- 27876825
TI - Toward a new paradigm of DNA writing using a massively parallel sequencing
platform and degenerate oligonucleotide.
AB - All synthetic DNA materials require prior programming of the building blocks of
the oligonucleotide sequences. The development of a programmable microarray
platform provides cost-effective and time-efficient solutions in the field of
data storage using DNA. However, the scalability of the synthesis is not on par
with the accelerating sequencing capacity. Here, we report on a new paradigm of
generating genetic material (writing) using a degenerate oligonucleotide and
optomechanical retrieval method that leverages sequencing (reading) throughput to
generate the desired number of oligonucleotides. As a proof of concept, we
demonstrate the feasibility of our concept in digital information storage in DNA.
In simulation, the ability to store data is expected to exponentially increase
with increase in degenerate space. The present study highlights the major
framework change in conventional DNA writing paradigm as a sequencer itself can
become a potential source of making genetic materials.
PMID- 27876827
TI - Soil organic carbon accumulation during post-agricultural succession in a karst
area, southwest China.
AB - This study was aimed to investigate the direction and magnitude of soil organic
carbon (SOC) dynamics and the underlying mechanisms following agricultural
abandonment in a subtropical karst area, southwest China. Two post-agriculture
succession sequences including grassland (~10 years), shrubland (~29 years),
secondary forest (~59 years) and primary forest with cropland as reference were
selected. SOC and other soil physicochemical variables in the soil depth of 0-15
cm (representing the average soil depth of the slope in the studied area) were
measured. SOC content in the grassland was not significantly elevated relative to
the cropland (42.0 +/- 7.3 Mg C ha-1). SOC content in the shrubland reached the
level of the primary forest. On average, SOC content for the forest was 92.6 +/-
4.2 Mg C ha-1, representing an increase of 120.4 +/- 10.0% or 50.6 +/- 4.2 Mg ha
1 relative to the cropland. Following agricultural abandonment, SOC recovered to
the primary forest level in about 40 years with a rate of 1.38 Mg C ha-1 yr-1.
Exchangeable Ca and Mg were found to be the strongest predictors of SOC dynamics.
Our results suggest that SOC content may recover rapidly following agricultural
abandonment in the karst region of southwest China.
PMID- 27876826
TI - BGRMI: A method for inferring gene regulatory networks from time-course gene
expression data and its application in breast cancer research.
AB - Reconstructing gene regulatory networks (GRNs) from gene expression data is a
challenging problem. Existing GRN reconstruction algorithms can be broadly
divided into model-free and model-based methods. Typically, model-free methods
have high accuracy but are computation intensive whereas model-based methods are
fast but less accurate. We propose Bayesian Gene Regulation Model Inference
(BGRMI), a model-based method for inferring GRNs from time-course gene expression
data. BGRMI uses a Bayesian framework to calculate the probability of different
models of GRNs and a heuristic search strategy to scan the model space
efficiently. Using benchmark datasets, we show that BGRMI has higher/comparable
accuracy at a fraction of the computational cost of competing algorithms.
Additionally, it can incorporate prior knowledge of potential gene regulation
mechanisms and TF hetero-dimerization processes in the GRN reconstruction
process. We incorporated existing ChIP-seq data and known protein interactions
between TFs in BGRMI as sources of prior knowledge to reconstruct transcription
regulatory networks of proliferating and differentiating breast cancer (BC) cells
from time-course gene expression data. The reconstructed networks revealed key
driver genes of proliferation and differentiation in BC cells. Some of these
genes were not previously studied in the context of BC, but may have clinical
relevance in BC treatment.
PMID- 27876828
TI - Common variants in the PARL and PINK1 genes increase the risk to leprosy in Han
Chinese from South China.
AB - Leprosy is a chronic infectious and neurological disease caused by Mycobacterium
leprae, an unculturable pathogen with massive genomic decay and dependence on
host metabolism. We hypothesized that mitochondrial genes PARL and PINK1 would
confer risk to leprosy. Thirteen tag SNPs of PARL and PINK1 were analyzed in 3620
individuals with or without leprosy from China. We also sequenced the entire
exons of PARL, PINK1 and PARK2 in 80 patients with a family history of leprosy by
using the next generation sequencing technology (NGS). We found that PARL SNP
rs12631031 conferred a risk to leprosy (Padjusted = 0.019) and multibacillary
leprosy (MB, Padjusted = 0.020) at the allelic level. rs12631031 and rs7653061 in
PARL were associated with leprosy and MB (dominant model, Padjusted < 0.05) at
the genotypic level. PINK1 SNP rs4704 was associated with leprosy at the
genotypic level (Padjusted = 0.004). We confirmed that common variants in PARL
and PINK1 were associated with leprosy in patients underwent NGS. Furthermore,
PARL and PINK1 could physically interact with each other and were involved in the
highly connected network formed by reported leprosy susceptibility genes.
Together, our results showed that PARL and PINK1 genetic variants are associated
with leprosy.
PMID- 27876829
TI - Integrated microRNA and messenger RNA analysis in aortic stenosis.
AB - Aortic valve stenosis (AS) is a major cause of morbidity and mortality, with no
effective medical therapies. Investigation into the underlying biology of AS in
humans is limited by difficulties in obtaining healthy valvular tissue for use as
a control group. However, micro-ribonucleic acids (miRNAs) are stable in post
mortem tissue. We compared valve specimens from patients undergoing aortic valve
replacement for AS to non-diseased cadaveric valves. We found 106 differentially
expressed miRNAs (p < 0.05, adjusted for multiple comparisons) on microarray
analysis, with highly correlated expression among up- and down-regulated miRNAs.
Integrated miRNA/gene expression analysis validated the microarray results as a
whole, while quantitative polymerase chain reaction confirmed downregulation of
miR-122-5p, miR-625-5p, miR-30e-5p and upregulation of miR-21-5p and miR-221-3p.
Pathway analysis of the integrated miRNA/mRNA network identified pathways
predominantly involved in extracellular matrix function. A number of currently
available therapies target products of upregulated genes in the integrated
miRNA/mRNA network, with these genes being predominantly more peripheral members
of the network. The identification of a group of tissue miRNA associated with AS
may contribute to the development of new therapeutic approaches to AS. This study
highlights the importance of systems biology-based approaches to complex
diseases.
PMID- 27876830
TI - Manipulation of Optical Transmittance by Ordered-Oxygen-Vacancy in Epitaxial
LaBaCo2O5.5+delta Thin Films.
AB - Giant optical transmittance changes of over 300% in wide wavelength range from
500 nm to 2500 nm were observed in LaBaCo2O5.5+delta thin films annealed in air
and ethanol ambient, respectively. The reduction process induces high density of
ordered oxygen vacancies and the formation of LaBaCo2O5.5 (delta = 0) structure
evidenced by aberration-corrected transmission electron microscopy. Moreover, the
first-principles calculations reveal the origin and mechanism of optical
transmittance enhancement in LaBaCo2O5.5 (delta = 0), which exhibits quite
different energy band structure compared to that of LaBaCo2O6 (delta = 0.5). The
discrepancy of energy band structure was thought to be the direct reason for the
enhancement of optical transmission in reducing ambient. Hence, LaBaCo2O5.5+delta
thin films show great prospect for applications on optical gas sensors in
reducing/oxidizing atmosphere.
PMID- 27876831
TI - Persistent northward North Atlantic tropical cyclone track migration over the
past five centuries.
AB - Accurately predicting future tropical cyclone risk requires understanding the
fundamental controls on tropical cyclone dynamics. Here we present an annually
resolved 450-year reconstruction of western Caribbean tropical cyclone activity
developed using a new coupled carbon and oxygen isotope ratio technique in an
exceptionally well-dated stalagmite from Belize. Western Caribbean tropical
cyclone activity peaked at 1650 A.D., coincident with maximum Little Ice Age
cooling, and decreased gradually until the end of the record in 1983. Considered
with other reconstructions, the new record suggests that the mean track of Cape
Verde tropical cyclones shifted gradually north-eastward from the western
Caribbean toward the North American east coast over the last 450 years. Since
~1870 A.D., these shifts were largely driven by anthropogenic greenhouse gas and
sulphate aerosol emissions. Our results strongly suggest that future emission
scenarios will result in more frequent tropical cyclone impacts on the financial
and population centres of the northeastern United States.
PMID- 27876833
TI - Zeta potential in oil-water-carbonate systems and its impact on oil recovery
during controlled salinity water-flooding.
AB - Laboratory experiments and field trials have shown that oil recovery from
carbonate reservoirs can be increased by modifying the brine composition injected
during recovery in a process termed controlled salinity water-flooding (CSW).
However, CSW remains poorly understood and there is no method to predict the
optimum CSW composition. This work demonstrates for the first time that improved
oil recovery (IOR) during CSW is strongly correlated to changes in zeta potential
at both the mineral-water and oil-water interfaces. We report experiments in
which IOR during CSW occurs only when the change in brine composition induces a
repulsive electrostatic force between the oil-brine and mineral-brine interfaces.
The polarity of the zeta potential at both interfaces must be determined when
designing the optimum CSW composition. A new experimental method is presented
that allows this. Results also show for the first time that the zeta potential at
the oil-water interface may be positive at conditions relevant to carbonate
reservoirs. A key challenge for any model of CSW is to explain why IOR is not
always observed. Here we suggest that failures using the conventional (dilution)
approach to CSW may have been caused by a positively charged oil-water interface
that had not been identified.
PMID- 27876832
TI - Knockout of Zebrafish Ovarian Aromatase Gene (cyp19a1a) by TALEN and CRISPR/Cas9
Leads to All-male Offspring Due to Failed Ovarian Differentiation.
AB - Sexual or gonadal differentiation is a complex event and its mechanism remains
elusive in teleosts. Despite its complexity and plasticity, the process of
ovarian differentiation is believed to involve gonadal aromatase (cyp19a1a) in
nearly all species studied. However, most data concerning the role of aromatase
have come from gene expression analysis or studies involving pharmacological
approaches. There has been a lack of genetic evidence for the importance of
aromatase in gonadal differentiation, especially the timing when the enzyme
starts to exert its effect. This is due to the lack of appropriate loss-of
function approaches in fish models for studying gene functions. This situation
has changed recently with the development of genome editing technologies, namely
TALEN and CRISPR/Cas9. Using both TALEN and CRISPR/Cas9, we successfully
established three mutant zebrafish lines lacking the ovarian aromatase. As
expected, all mutant fish were males, supporting the view that aromatase plays a
critical role in directing ovarian differentiation and development. Further
analysis showed that the ovarian aromatase did not seem to affect the formation
of so-called juvenile ovary and oocyte-like germ cells; however, it was essential
for further differentiation of the juvenile ovary into the true ovary.
PMID- 27876834
TI - The measurement of lung volumes using body plethysmography and helium dilution
methods in COPD patients: a correlation and diagnosis analysis.
AB - Chronic obstructive pulmonary disease (COPD) is a chronic airway disease
characterized by persistent airflow limitation. Moreover, lung hyperinflation
evaluated by lung volumes is also the key pathophysiologic process during COPD
progression. Nevertheless, there is still no preferred method to evaluate lung
volumes. For this study, we recruited 170 patients with stable COPD to assess
lung volumes stratified by airflow limitation severity. Lung volumes including
residual volume (RV) and total lung capacity (TLC) were determined by both body
plethysmography and helium dilution methods. The discrepancies between these two
methods were recorded as DeltaRV%pred, DeltaTLC%pred, and DeltaRV/TLC. We found
that DeltaRV%pred, DeltaTLC%pred, and DeltaRV/TLC increased significantly with
the severity of COPD. The differences of lung capacity between these two methods
were negatively correlated with FEV1%pred, and diffusing capacity for carbon
monoxide (DLCO%pred). Moreover, the receiver operating characteristic (ROC) for
DeltaTLC%pred to distinguish severe COPD from non-severe COPD had an area under
curve (AUC) of 0.886. The differences of lung volume parameters measured by body
plethysmography and helium dilution methods were associated with airflow
limitation and can effectively differentiate COPD severity, which may be a
supportive method to assess the lung function of stable COPD patients.
PMID- 27876835
TI - Folic acid supplementation improves cognitive function by reducing the levels of
peripheral inflammatory cytokines in elderly Chinese subjects with MCI.
AB - This study aimed to evaluate whether folic acid supplementation would improve
cognitive performance by reducing serum inflammatory cytokine concentrations.
This RCT was performed in Tianjin, China. Participants with mild cognitive
impairment (MCI) were randomly assigned to the folic acid (400 MUg/day) or
conventional treatment groups. Neuropsychological tests were administered, and
folate, homocysteine, vitamin B12, IL-6, TNF-alpha, Abeta-42, and Abeta-40 were
measured at baseline and at 6- and 12-month time points.152 participants (folic
acid: 77, conventional: 75) completed the trial. Significant improvements in
folate (etap2 = 0.703, P = 0.011), homocysteine (etap2 = 0.644, P = 0.009), Abeta
42 (etap2 = 0.687, P = 0.013), peripheral IL-6 (etap2 = 0.477, P = 0.025), TNF
alpha (etap2 = 0.709, P = 0.009) levels were observed in folic acid group
compared with conventional group. Folic acid supplementation improved the Full
Scale Intelligence Quotient (P = 0.028; effect size d = 0.153), Information (P =
0.031; d = 0.157) and Digit Span (P = 0.009; d = 0.172) scores at 12 months
compared with conventional treatment. Based on these findings, daily oral
administration of a 400-MUg folic acid supplement to MCI subjects for 12 months
can significantly improve cognitive performance and reduce peripheral
inflammatory cytokine levels.
PMID- 27876838
TI - A new grading system for plant-available potassium using exhaustive cropping
techniques combined with chemical analyses of soils.
AB - A new grading system for plant-available potassium (K) in soils based on K
release rate from soils and plant growth indices was established. In the study,
fourteen different agricultural soils from the southern subtropical to the
northern temperate zones in China were analyzed by both chemical extraction
methods and exhaustive cropping techniques. Based on the change trends in plant
growth indices, relative biomass yields of 70% and 50%, K-deficient coefficients
of 35 and 22 under conventional exhaustive experiments, and tissue K
concentrations of 40 g kg-1 and 15 g kg-1 under intensive exhaustive experiments
were obtained as critical values that represent different change trends. In
addition, the extraction method using 0.2 mol L-1 sodium tetraphenylboron (NaTPB)
suggested soil K release rates of 12 mg kg-1 min-1 and 0.4 mg kg-1 min-1 as
turning points that illustrated three different release trends. Thus, plant
available K in soils was classified into three categories: high available K,
medium available K and low available K, and grading criteria and measurement
methods were also proposed. This work has increased our understanding of soil K
bioavailability and has direct application in terms of routine assessment of
agriculture soils.
PMID- 27876836
TI - NF-kappaBeta-inducing kinase regulates stem cell phenotype in breast cancer.
AB - Breast cancer stem cells (BCSCs) overexpress components of the Nuclear factor
kappa B (NF-kappaB) signaling cascade and consequently display high NF-kappaB
activity levels. Breast cancer cell lines with high proportion of CSCs exhibit
high NF-kappaB-inducing kinase (NIK) expression. The role of NIK in the phenotype
of cancer stem cell regulation is poorly understood. Expression of NIK was
analyzed by quantitative RT-PCR in BCSCs. NIK levels were manipulated through
transfection of specific shRNAs or an expression vector. The effect of NIK in the
cancer stem cell properties was assessed by mammosphere formation, mice
xenografts and stem markers expression. BCSCs expressed higher levels of NIK and
its inhibition through small hairpin (shRNA), reduced the expression of CSC
markers and impaired clonogenicity and tumorigenesis. Genome-wide expression
analyses suggested that NIK acts on ERK1/2 pathway to exert its activity. In
addition, forced expression of NIK increased the BCSC population and enhanced
breast cancer cell tumorigenicity. The in vivo relevance of these results is
further supported by a tissue microarray of breast cancer samples in which we
observed correlated expression of Aldehyde dehydrogenase (ALDH) and NIK protein.
Our results support the essential involvement of NIK in BCSC phenotypic
regulation via ERK1/2 and NF-kappaB.
PMID- 27876837
TI - The Mediterranean Plastic Soup: synthetic polymers in Mediterranean surface
waters.
AB - The Mediterranean Sea has been recently proposed as one of the most impacted
regions of the world with regards to microplastics, however the polymeric
composition of these floating particles is still largely unknown. Here we present
the results of a large-scale survey of neustonic micro- and meso-plastics
floating in Mediterranean waters, providing the first extensive characterization
of their chemical identity as well as detailed information on their abundance and
geographical distribution. All particles >700 MUm collected in our samples were
identified through FT-IR analysis (n = 4050 particles), shedding for the first
time light on the polymeric diversity of this emerging pollutant. Sixteen
different classes of synthetic materials were identified. Low-density polymers
such as polyethylene and polypropylene were the most abundant compounds, followed
by polyamides, plastic-based paints, polyvinyl chloride, polystyrene and
polyvinyl alcohol. Less frequent polymers included polyethylene terephthalate,
polyisoprene, poly(vinyl stearate), ethylene-vinyl acetate, polyepoxide, paraffin
wax and polycaprolactone, a biodegradable polyester reported for the first time
floating in off-shore waters. Geographical differences in sample composition were
also observed, demonstrating sub-basin scale heterogeneity in plastics
distribution and likely reflecting a complex interplay between pollution sources,
sinks and residence times of different polymers at sea.
PMID- 27876840
TI - Transcriptome profiling shows gene regulation patterns in ginsenoside pathway in
response to methyl jasmonate in Panax Quinquefolium adventitious root.
AB - Here, we combine elicitors and transcriptomics to investigate the inducible
biosynthesis of the ginsenoside from the Panax quinquefolium. Treatment of P.
quinquefolium adventitious root with methyl jasmonate (MJ) results in an increase
in ginsenoside content (43.66 mg/g compared to 8.32 mg/g in control group).
Therefore, we sequenced the transcriptome of native and MJ treated adventitious
root in order to elucidate the key differentially expressed genes (DEGs) in the
ginsenoside biosynthetic pathway. Through DEG analysis, we found that 5,759
unigenes were up-regulated and 6,389 unigenes down-regulated in response to MJ
treatment. Several defense-related genes (48) were identified, participating in
salicylic acid (SA), jasmonic acid (JA), nitric oxide (NO) and abscisic acid
(ABA) signal pathway. Additionally, we mapped 72 unigenes to the ginsenoside
biosynthetic pathway. Four cytochrome P450s (CYP450) were likely to catalyze
hydroxylation at C-16 (c15743_g1, c39772_g1, c55422_g1) and C-30 (c52011_g1) of
the triterpene backbone. UDP-xylose synthases (c52571_g3) was selected as the
candidate, which was likely to involve in ginsenoside Rb3 biosynthesis.
PMID- 27876822
TI - A principal component meta-analysis on multiple anthropometric traits identifies
novel loci for body shape.
AB - Large consortia have revealed hundreds of genetic loci associated with
anthropometric traits, one trait at a time. We examined whether genetic variants
affect body shape as a composite phenotype that is represented by a combination
of anthropometric traits. We developed an approach that calculates averaged PCs
(AvPCs) representing body shape derived from six anthropometric traits (body mass
index, height, weight, waist and hip circumference, waist-to-hip ratio). The
first four AvPCs explain >99% of the variability, are heritable, and associate
with cardiometabolic outcomes. We performed genome-wide association analyses for
each body shape composite phenotype across 65 studies and meta-analysed summary
statistics. We identify six novel loci: LEMD2 and CD47 for AvPC1,
RPS6KA5/C14orf159 and GANAB for AvPC3, and ARL15 and ANP32 for AvPC4. Our
findings highlight the value of using multiple traits to define complex
phenotypes for discovery, which are not captured by single-trait analyses, and
may shed light onto new pathways.
PMID- 27876839
TI - Antibacterial ability and hemocompatibility of graphene functionalized germanium.
AB - Germanium (Ge), as an elemental semiconductor material, has been an attractive
candidate for manufacturing semiconductor microelectronic device. In the present
investigation, to improve the biocompatibility of Ge-based device, graphene film
is directly deposited on the Ge surface with different coverage area by
controlling the growth time. Compared to bare Ge, the presence of graphene film
entitles Ge with satisfactory antibacterial ability against Staphylococcus aureus
(S.aureus), and acceptable antibacterial ability against Escherichia coli (E.
coli). Meanwhile, antibacterial efficiency closely correlates with coverage area
of graphene film, and larger graphene coverage always leads to better
antibacterial performance. The underlying mechanism is thought to be the
integrative action of phospholipids disturbance and electron extraction at the
interface between graphene and biomembrane. Meanwhile, the electron extraction
action would further lead to the activation of platelet. This study might provide
some new insights into the relationship between antibacterial ability and
hemocompatibility based on graphene functionalized biomedical device.
PMID- 27876841
TI - Accuracy of pulse interval timing in ambulatory blood pressure measurement.
AB - Blood pressure (BP) monitors rely on pulse detection. Some blood pressure
monitors use pulse timings to analyse pulse interval variability for arrhythmia
screening, but this assumes that the pulse interval timings detected from BP
cuffs are accurate compared with RR intervals derived from ECG. In this study we
compared the accuracy of pulse intervals detected using an ambulatory blood
pressure monitor (ABPM) with single lead ECG. Twenty participants wore an ABPM
for three hours and a data logger which synchronously measured cuff pressure and
ECG. RR intervals were compared with corresponding intervals derived from the
cuff pressure tracings using three different pulse landmarks. Linear mixed
effects models were used to assess differences between ECG and cuff pressure
timings and to investigate the effect of potential covariates. In addition, the
maximum number of successive oscillometric beats detectable in a measurement was
assessed. From 243 BP measurements, the landmark at the foot of the oscillometric
pulse was found to be associated with fewest covariates and had a random error of
9.5 ms. 99% of the cuff pressure recordings had more than 10 successive
detectable oscillometric beats. RR intervals can be accurately estimated using an
ABPM.
PMID- 27876842
TI - Edge effects reverse facilitation by a widespread foundation species.
AB - Dense aggregations of foundation species often mitigate environmental stresses
for organisms living among them. Considerable work documents such benefits by
comparing conditions inside versus outside these biogenic habitats. However,
environmental gradients commonly arise across the extent of even single patches
of habitat-forming species, including cases where stresses diverge between
habitat interiors and edges. We ask here whether such edge effects could alter
how habitat-forming species influence residents, potentially changing the
strength or direction of interactions (i.e., from stress amelioration to
exacerbation). We take as a model system the classic marine foundation species,
Mytilus californianus, the California mussel. Results demonstrate that mussel
beds both increase and decrease thermal stresses. Over a distance of 6 to 10 cm
from the bed interior to its upper surface, peak temperatures climb from as much
as 20 degrees C below to 5 degrees C above those of adjacent bedrock. This
directional shift in temperature modification affects interactions with juvenile
mussels, such that thermal stresses and associated mortality risk are higher at
the bed surface, but substantially reduced deeper within the adult matrix. These
findings provide a case example of how stress gradients generated across biogenic
habitats can markedly alter ecological interactions even within a single habitat
patch.
PMID- 27876843
TI - Experienced migratory songbirds do not display goal-ward orientation after
release following a cross-continental displacement: an automated telemetry study.
AB - The ability to navigate implies that animals have the capability to compensate
for geographical displacement and return to their initial goal or target.
Although some species are capable of adjusting their direction after
displacement, the environmental cues used to achieve this remain elusive. Two
possible cues are geomagnetic parameters (magnetic map hypothesis) or atmospheric
odour-forming gradients (olfactory map hypothesis). In this study, we examined
both of these hypotheses by surgically deactivating either the magnetic or
olfactory sensory systems in experienced white-throated sparrows (Zonotrichia
albicollis) captured in southern Ontario, Canada, during spring migration.
Treated, sham-treated, and intact birds were then displaced 2,200 km west to
Saskatchewan, Canada. Tracking their initial post-displacement migration using an
array of automated VHF receiving towers, we found no evidence in any of the
groups for compensatory directional response towards their expected breeding
grounds. Our results suggest that white-throated sparrows may fall back to a
simple constant-vector orientation strategy instead of performing true navigation
after they have been geographically displaced to an unfamiliar area during spring
migration. Such a basic strategy may be more common than currently thought in
experienced migratory birds and its occurrence could be determined by habitat
preferences or range size.
PMID- 27876845
TI - Non-label immune cell state prediction using Raman spectroscopy.
AB - The acquired immune system, mainly composed of T and B lymphocytes, plays a key
role in protecting the host from infection. It is important and technically
challenging to identify cell types and their activation status in living and
intact immune cells, without staining or killing the cells. Using Raman
spectroscopy, we succeeded in discriminating between living T cells and B cells,
and visualized the activation status of living T cells without labeling. Although
the Raman spectra of T cells and B cells were similar, they could be
distinguished by discriminant analysis of the principal components. Raman spectra
of activated T cells with anti-CD3 and anti-CD28 antibodies largely differed
compared to that of naive T cells, enabling the prediction of T cell activation
status at a single cell level. Our analysis revealed that the spectra of
individual T cells gradually change from the pattern of naive T cells to that of
activated T cells during the first 24 h of activation, indicating that changes in
Raman spectra reflect slow changes rather than rapid changes in cell state during
activation. Our results indicate that the Raman spectrum enables the detection of
dynamic changes in individual cell state scattered in a heterogeneous population.
PMID- 27876844
TI - The N-terminal loop of IRAK-4 death domain regulates ordered assembly of the
Myddosome signalling scaffold.
AB - Activation of Toll-like receptors induces dimerization and the recruitment of the
death domain (DD) adaptor protein MyD88 into an oligomeric post receptor complex
termed the Myddosome. The Myddosome is a hub for inflammatory and oncogenic
signaling and has a hierarchical arrangement with 6-8 MyD88 molecules assembling
with exactly 4 of IRAK-4 and 4 of IRAK-2. Here we show that a conserved motif in
IRAK-4 (Ser8-X-X-X-Arg12) is autophosphorylated and that the phosphorylated DD is
unable to form Myddosomes. Furthermore a mutant DD with the phospho-mimetic
residue Asp at this position is impaired in both signalling and Myddosome
assembly. IRAK-4 Arg12 is also essential for Myddosome assembly and signalling
and we propose that phosphorylated Ser8 induces the N-terminal loop to fold into
an alpha-helix. This conformer is stabilised by an electrostatic interaction
between phospho-Ser8 and Arg12 and would destabilise a critical interface between
IRAK-4 and MyD88. Interestingly IRAK-2 does not conserve this motif and has an
alternative interface in the Myddosome that requires Arg67, a residue conserved
in paralogues, IRAK-1 and 3(M).
PMID- 27876846
TI - Generation of macroscopic Schrodinger cat state in diamond mechanical resonator.
AB - We propose a scheme to generate macroscopic Schrodinger cat state (SCS) in
diamond mechanical resonator (DMR) via the dynamical strain-mediated coupling
mechanism. In our model, the direct coupling between the nitrogen-vacancy (NV)
center and lattice strain field enables coherent spin-phonon interactions in the
quantum regime. Based on a cyclic Delta-type transition structure of the NV
center constructed by combining the quantized mechanical strain field and a pair
of external microwave fields, the populations of the different energy levels can
be selectively transferred by controlling microwave fields, and the SCS can be
created by adjusting the controllable parameters of the system. Furthermore, we
demonstrate the nonclassicality of the mechanical SCS both in non-dissipative
case and dissipative case. The experimental feasibility and challenge are
justified using currently available technology.
PMID- 27876847
TI - A simplified computational memory model from information processing.
AB - This paper is intended to propose a computational model for memory from the view
of information processing. The model, called simplified memory information
retrieval network (SMIRN), is a bi-modular hierarchical functional memory network
by abstracting memory function and simulating memory information processing. At
first meta-memory is defined to express the neuron or brain cortices based on the
biology and graph theories, and we develop an intra-modular network with the
modeling algorithm by mapping the node and edge, and then the bi-modular network
is delineated with intra-modular and inter-modular. At last a polynomial
retrieval algorithm is introduced. In this paper we simulate the memory phenomena
and functions of memorization and strengthening by information processing
algorithms. The theoretical analysis and the simulation results show that the
model is in accordance with the memory phenomena from information processing
view.
PMID- 27876848
TI - Novel structure in sciaenid fish skulls indicates continuous production of the
cephalic neuromast cupula.
AB - The presence of a conspicuous and frequent but never-described structure in the
skull cavities of sciaenid fish was noted during population studies in an
urbanized bay. The ultrastructure closely resembles the cupula of neuromasts, an
organ associated with the perception of the environment in teleost fish. The
bodies were recorded detached in both preserved and freshly sampled individuals
and without associated cilia. Prominent characteristics are acellularity, the
elliptic-conic shape composed of stack-like protein lamellas, and a mesh-like
appearance in cross section. These acellular lamellar cephalic bodies (ALCBs)
were more abundant in larger individuals and showed temporal peaks of abundance
independently of the fish size. The conic and lamellar features suggest that the
deposition of protein layers follows fish growth, and the bimodality of the size
of these structures in individuals indicates temporal peaks of production. These
results indicate that these ALCBs are a consequence of the accretion of the
cupula of neuromasts at a faster rate than they degrade. Given the novelty of
this structure and the increasing records of diseases of marine organisms
worldwide, an important question is whether these bodies occur subsequently to
some environmental change and whether their accumulation in the skull cavities
has consequences to fish health.
PMID- 27876850
TI - DNA barcoding of Mobulid Ray Gill Rakers for Implementing CITES on Elasmobranch
in China.
AB - The Convention on International Trade in Endangered Species of Wild Fauna and
Flora (CITES) has been counted on for conserving threatened marine fish since it
regulates the commercial international trade of these species. Implementation of
the international treaty for Mantas included on CITES Appendix II is challenging
due to insufficient information on species identification and markets management.
To fill the gap in such aspects, we identified five species of Mobulid rays
(Mobula spps. and Manta spp) by using COI and NADH2 mtDNA markers in dried ray
gill rakers from Chinese markets, namely, Mobula japonica (representing 54.8% of
the sample set), M. tarapacana (14.4%), M. kuhlii (13.3%), M. thurstoni (6.4%),
along with Manta birostris (11.2%; CITES Appendix II). The utilization and
conservation statuses of these species were discussed. Based on combination of
DNA barcodes and key morphological characters, we developed a three-step process
for identifying the gill rakers of Mobulid rays which has been adopted by
frontline enforcement in China. We hope that our work can serve as a foundation
and basis to reinforce objectives of international treaties, regulation of
consumer-driven markets, regional cooperation, and national fishery management on
endangered elasmobranchs in China as well as related countries.
PMID- 27876849
TI - Characterization of two distinct early post-entry blocks to HIV-1 in common
marmoset lymphocytes.
AB - In nature, primate lentiviruses infect humans and several Old World monkeys and
apes. However, to date, lentiviruses infecting New World monkeys have not been
described. We studied the susceptibility of common marmoset cells to HIV-1
infection and observed the presence of post-entry blocks to the early phase of
HIV-1 infection in peripheral blood lymphocytes (PBLs) and a B lymphocytic cell
line (B-LCL). The blocks present in these cells are dominant and phenotypically
different from each other. In PBLs, the block occurs at the level of reverse
transcription, reducing the accumulation of early and late transcripts, similar
to the block imposed by TRIM5alpha. However, we have found that marmoset
TRIM5alpha does not block HIV-1. In contrast, the restriction factor present in B
LCLs blocks HIV-1 replication at a later step, after nuclear entry, and inhibits
integration. Additionally, we have identified an HIV-1 capsid mutant, N74D, that
is able to escape the restriction in the marmoset B-LCLs. Our results suggest
that the factors responsible for the blocks present in marmoset PBLs and B-LCLs
are different. We propose the existence of at least two new restriction factors
able to block HIV-1 infection in marmoset lymphocytes.
PMID- 27876852
TI - Relative abundance and distribution of fisheries influence risk of seabird
bycatch.
AB - Fisheries provide an abundant and predictable food source for many pelagic
seabirds through discards, but also pose a major threat to them through bycatch,
threatening their populations worldwide. The reform of the European Common
Fisheries Policy (CFP), which intends to ban discards through the landing
obligation of all catches, may force seabirds to seek alternative food sources,
such as baited hooks from longlines, increasing bycatch rates. To test this
hypothesis we performed a combined analysis of seabird-fishery interactions using
as a model Scopoli's shearwaters Calonectris diomedea in the Mediterranean.
Tracking data showed that the probability of shearwaters attending longliners
increased exponentially with a decreasing density of trawlers. On-board
observations and mortality events corroborated this result: the probability of
birds attending longliners increased 4% per each trawler leaving the longliner
proximity and bird mortality increased tenfold when trawlers were not operating.
Therefore, the implementation of the landing obligation in EU waters will likely
cause a substantial increase in bycatch rates in longliners, at least in the
short-term, due to birds switching from trawlers to longliners. Thus the
implementation of the landing obligation must be carefully monitored and
counterbalanced with an urgent implementation of bycatch mitigation measures in
the longline fleet.
PMID- 27876851
TI - The genome, transcriptome, and proteome of the nematode Steinernema carpocapsae:
evolutionary signatures of a pathogenic lifestyle.
AB - The entomopathogenic nematode Steinernema carpocapsae has been widely used for
the biological control of insect pests. It shares a symbiotic relationship with
the bacterium Xenorhabdus nematophila, and is emerging as a genetic model to
study symbiosis and pathogenesis. We obtained a high-quality draft of the
nematode's genome comprising 84,613,633 bp in 347 scaffolds, with an N50 of 1.24
Mb. To improve annotation, we sequenced both short and long RNA and conducted
shotgun proteomic analyses. S. carpocapsae shares orthologous genes with other
parasitic nematodes that are absent in the free-living nematode C. elegans, it
has ncRNA families that are enriched in parasites, and expresses proteins
putatively associated with parasitism and pathogenesis, suggesting an active role
for the nematode during the pathogenic process. Host and parasites might engage
in a co-evolutionary arms-race dynamic with genes participating in their
interaction showing signatures of positive selection. Our analyses indicate that
the consequence of this arms race is better characterized by positive selection
altering specific functions instead of just increasing the number of positively
selected genes, adding a new perspective to these co-evolutionary theories. We
identified a protein, ATAD-3, that suggests a relevant role for mitochondrial
function in the evolution and mechanisms of nematode parasitism.
PMID- 27876853
TI - Collagen cross-linking: insights on the evolution of metazoan extracellular
matrix.
AB - Collagens constitute a large family of extracellular matrix (ECM) proteins that
play a fundamental role in supporting the structure of various tissues in
multicellular animals. The mechanical strength of fibrillar collagens is highly
dependent on the formation of covalent cross-links between individual fibrils, a
process initiated by the enzymatic action of members of the lysyl oxidase (LOX)
family. Fibrillar collagens are present in a wide variety of animals, therefore
often being associated with metazoan evolution, where the emergence of an
ancestral collagen chain has been proposed to lead to the formation of different
clades. While LOX-generated collagen cross-linking metabolites have been detected
in different metazoan families, there is limited information about when and how
collagen acquired this particular modification. By analyzing telopeptide and
helical sequences, we identified highly conserved, potential cross-linking sites
throughout the metazoan tree of life. Based on this analysis, we propose that
they have importantly contributed to the formation and further expansion of
fibrillar collagens.
PMID- 27876854
TI - miR-706 inhibits the oxidative stress-induced activation of PKCalpha/TAOK1 in
liver fibrogenesis.
AB - Oxidative stress induces the activation of liver fibrogenic cells
(myofibroblasts), thus promoting the expression of fibrosis-related genes,
leading to hepatic fibrogenesis. MicroRNAs (miRNAs) are a new class of small RNAs
~18-25 nucleotides in length involved in post-transcriptional regulation of gene
expression. Wound-healing and remodeling processes in liver fibrosis have been
associated with changes in hepatic miRNA expression. However, the role of miR-706
in liver fibrogenesis is currently unknown. In the present study, we show that
miR-706 is abundantly expressed in hepatocytes. Moreover, oxidative stress leads
to a significant downregulation of miR-706, and the further reintroduction of miR
706 inhibits oxidative stress-induced expression of fibrosis-related markers such
as alpha-SMA. Subsequent studies revealed that miR-706 directly inhibits PKCalpha
and TAOK1 expression via binding to the 3'-untranslated region, preventing
epithelial mesenchymal transition. In vivo studies showed that intravenous
injection of miR-706 agomir successfully increases hepatic miR-706 and decreases
alpha-SMA, PKCalpha, and TAOK1 protein levels in livers of carbon tetrachloride
(CCl4)-treated mice. In summary, this study reveals a protective role for miR-706
by blocking the oxidative stress-induced activation of PKCalpha/TAOK1. Our
results further identify a major implication for miR-706 in preventing hepatic
fibrogenesis and suggest that miR-706 may be a suitable molecular target for anti
fibrosis therapy.
PMID- 27876855
TI - Navigation by anomalous random walks on complex networks.
AB - Anomalous random walks having long-range jumps are a critical branch of dynamical
processes on networks, which can model a number of search and transport
processes. However, traditional measurements based on mean first passage time are
not useful as they fail to characterize the cost associated with each jump. Here
we introduce a new concept of mean first traverse distance (MFTD) to characterize
anomalous random walks that represents the expected traverse distance taken by
walkers searching from source node to target node, and we provide a procedure for
calculating the MFTD between two nodes. We use Levy walks on networks as an
example, and demonstrate that the proposed approach can unravel the interplay
between diffusion dynamics of Levy walks and the underlying network structure.
Moreover, applying our framework to the famous PageRank search, we show how to
inform the optimality of the PageRank search. The framework for analyzing
anomalous random walks on complex networks offers a useful new paradigm to
understand the dynamics of anomalous diffusion processes, and provides a unified
scheme to characterize search and transport processes on networks.
PMID- 27876857
TI - Smart-aggregation imaging for single molecule localisation with SPAD cameras.
AB - Single molecule localisation microscopy (SMLM) has become an essential part of
the super-resolution toolbox for probing cellular structure and function. The
rapid evolution of these techniques has outstripped detector development and
faster, more sensitive cameras are required to further improve localisation
certainty. Single-photon avalanche photodiode (SPAD) array cameras offer single
photon sensitivity, very high frame rates and zero readout noise, making them a
potentially ideal detector for ultra-fast imaging and SMLM experiments. However,
performance traditionally falls behind that of emCCD and sCMOS devices due to
lower photon detection efficiency. Here we demonstrate, both experimentally and
through simulations, that the sensitivity of a binary SPAD camera in SMLM
experiments can be improved significantly by aggregating only frames containing
signal, and that this leads to smaller datasets and competitive performance with
that of existing detectors. The simulations also indicate that with predicted
future advances in SPAD camera technology, SPAD devices will outperform existing
scientific cameras when capturing fast temporal dynamics.
PMID- 27876858
TI - Multi-Functional Carbon Fibre Composites using Carbon Nanotubes as an Alternative
to Polymer Sizing.
AB - Carbon fibre reinforced polymers (CFRP) were introduced to the aerospace,
automobile and civil engineering industries for their high strength and low
weight. A key feature of CFRP is the polymer sizing - a coating applied to the
surface of the carbon fibres to assist handling, improve the interfacial adhesion
between fibre and polymer matrix and allow this matrix to wet-out the carbon
fibres. In this paper, we introduce an alternative material to the polymer
sizing, namely carbon nanotubes (CNTs) on the carbon fibres, which in addition
imparts electrical and thermal functionality. High quality CNTs are grown at a
high density as a result of a 35 nm aluminium interlayer which has previously
been shown to minimise diffusion of the catalyst in the carbon fibre substrate. A
CNT modified-CFRP show 300%, 450% and 230% improvements in the electrical
conductivity on the 'surface', 'through-thickness' and 'volume' directions,
respectively. Furthermore, through-thickness thermal conductivity calculations
reveal a 107% increase. These improvements suggest the potential of a direct
replacement for lightning strike solutions and to enhance the efficiency of
current de-icing solutions employed in the aerospace industry.
PMID- 27876856
TI - The trend and the disease prediction of vascular endothelial growth factor and
placenta growth factor in nontuberculous mycobacterial lung disease.
AB - Nontuberculous mycobacteria (NTM)-lung disease (LD) is an increasing health
problem worldwide. The diagnosis of this disease remains difficult, however the
application of placenta growth factor (PlGF) and vascular endothelial growth
factor (VEGF) has not yet been studied. We screened patients with Mycobacterium
avium complex or M. abscessus isolated from sputum, and enrolled 32 patients with
NTM-LD and 93 with NTM pulmonary colonization. The NTM-LD group had a lower body
mass index, higher proportion of bronchiectasis, more respiratory symptoms and
pulmonary lesions, and higher titers of sputum acid-fast stain than the NTM
pulmonary colonization group. The plasma level of PlGF was lower in the NTM-LD
group than in the NTM colonization group, whereas the level of VEGF was higher in
the NTM-LD group. In multivariable logistic regression analysis excluding NTM
cultures, the predictive model for NTM-LD included sputum AFS titer, a nodular
bronchiectasis radiographic pattern, plasma VEGF/PlGF ratio, and chest
radiographic score (VEGF/P1GF ratio became not significant as a factor in
multivariable generalized linear model). The four-factor predictive index had
good positive likelihood ratio and negative likelihood ratio for predicting NTM
LD in the patients with NTM in their sputum.
PMID- 27876860
TI - Possible generation of heat from nuclear fusion in Earth's inner core.
AB - The cause and source of the heat released from Earth's interior have not yet been
determined. Some research groups have proposed that the heat is supplied by
radioactive decay or by a nuclear georeactor. Here we postulate that the
generation of heat is the result of three-body nuclear fusion of deuterons
confined in hexagonal FeDx core-centre crystals; the reaction rate is enhanced by
the combined attraction effects of high-pressure (~364 GPa) and high-temperature
(~5700 K) and by the physical catalysis of neutral pions: 2D + 2D + 2D -> 21H +
4He + 2 + 20.85 MeV. The possible heat generation rate can be calculated as 8.12
* 1012 J/m3, based on the assumption that Earth's primitive heat supply has
already been exhausted. The H and He atoms produced and the anti-neutrino are
incorporated as Fe-H based alloys in the H-rich portion of inner core, are
released from Earth's interior to the universe, and pass through Earth,
respectively.
PMID- 27876859
TI - Negative Magnetoresistance in Amorphous Indium Oxide Wires.
AB - We study magneto-transport properties of several amorphous Indium oxide nanowires
of different widths. The wires show superconducting transition at zero magnetic
field, but, there exist a finite resistance at the lowest temperature. The R(T)
broadening was explained by available phase slip models. At low field, and far
below the superconducting critical temperature, the wires with diameter equal to
or less than 100 nm, show negative magnetoresistance (nMR). The magnitude of nMR
and the crossover field are found to be dependent on both temperature and the
cross-sectional area. We find that this intriguing behavior originates from the
interplay between two field dependent contributions.
PMID- 27876861
TI - Origin of long-lived quantum coherence and excitation dynamics in pigment-protein
complexes.
AB - We explore the mechanism for the long-lived quantum coherence by considering the
discrete phonon modes: these vibrational modes effectively weaken the exciton
environment interaction, due to the new composite (polaron) formed by excitons
and vibrons. This subsequently demonstrates the role of vibrational coherence
which greatly contributes to long-lived feature of the excitonic coherence that
has been observed in femtosecond experiments. The estimation of the timescale of
coherence elongated by vibrational modes is given in an analytical manner. To
test the validity of our theory, we study the pigment-protein complex in detail
by exploring the energy transfer and coherence dynamics. The ground-state
vibrational coherence generated by incoherent radiations is shown to be long
survived and is demonstrated to be significant in promoting the excitation energy
transfer. This is attributed to the nonequilibriumness of the system caused by
the detailed-balance-breaking, which funnels the downhill migration of excitons.
PMID- 27876862
TI - In Silico Exploration for Novel Type-I Inhibitors of Tie-2/TEK: The Performance
of Different Selection Strategy in Selecting Virtual Screening Candidates.
AB - The receptor tyrosine kinase Tie-2 is involved in vessel remodeling and
maturation, and has been regarded as a potential target for the treatment of
various solid tumors. The absence of novel, potent and selective inhibitors
severely hampers the understanding of the therapeutic potential of Tie-2. In the
present work, we describe the discovery of novel type-I inhibitors of Tie-2 by
structure-based virtual screening. Preliminary SAR was also performed based on
one active compound, and several novel inhibitors with low micro-molar affinity
were discovered. To directly compare the efficiency between different filtering
strategies in selecting VS candidates, two methods were separately carried out to
screen the same chemical library, and the selected VS candidates were then
experimentally assessed by in vitro enzymatic assays. The results demonstrate
that the hit rate is improved when stricter drug-likeness criteria and less
number of molecules for clustering analysis are used, and meanwhile, the
molecular diversity of the compounds still maintains. As a case study of TIE-2,
the information presented in this work underscores the importance of selecting an
appropriate selection strategy in VS campaign, and the novel inhibitors
identified and the detailed binding modes of action provide a starting point for
further hit-to-lead optimization process.
PMID- 27876863
TI - Intestinal tuft cells regulate the ATM mediated DNA Damage response via Dclk1
dependent mechanism for crypt restitution following radiation injury.
AB - Crypt epithelial survival and regeneration after injury require highly
coordinated complex interplay between resident stem cells and diverse cell types.
The function of Dclk1 expressing tuft cells regulating intestinal epithelial DNA
damage response for cell survival/self-renewal after radiation-induced injury is
unclear. Intestinal epithelial cells (IECs) were isolated and purified and
utilized for experimental analysis. We found that small intestinal crypts of
VillinCre;Dclk1f/f mice were hypoplastic and more apoptotic 24 h post-total body
irradiation, a time when stem cell survival is p53-independent. Injury-induced
ATM mediated DNA damage response, pro-survival genes, stem cell markers, and self
renewal ability for survival and restitution were reduced in the isolated
intestinal epithelial cells. An even greater reduction in these signaling
pathways was observed 3.5 days post-TBI, when peak crypt regeneration occurs. We
found that interaction with Dclk1 is critical for ATM and COX2 activation in
response to injury. We determined that Dclk1 expressing tuft cells regulate the
whole intestinal epithelial cells following injury through paracrine mechanism.
These findings suggest that intestinal tuft cells play an important role in
regulating the ATM mediated DNA damage response, for epithelial cell
survival/self-renewal via a Dclk1 dependent mechanism, and these processes are
indispensable for restitution and function after severe radiation-induced injury.
PMID- 27876865
TI - Increased gene dosage for beta- and kappa-casein in transgenic cattle improves
milk composition through complex effects.
AB - We have previously generated transgenic cattle with additional copies of bovine
beta- and kappa casein genes. An initial characterisation of milk produced with a
hormonally induced lactation from these transgenic cows showed an altered milk
composition with elevated beta-casein levels and twofold increased kappa-casein
content. Here we report the first in-depth characterisation of the composition of
the enriched casein milk that was produced through a natural lactation. We have
analyzed milk from the high expressing transgenic line TG3 for milk composition
at early, peak, mid and late lactation. The introduction of additional beta- and
kappa-casein genes resulted in the expected expression of the transgene derived
proteins and an associated reduction in the size of the casein micelles.
Expression of the transgenes was associated with complex changes in the
expression levels of other milk proteins. Two other major milk components were
affected, namely fat and micronutrients. In addition, the sialic acid content of
the milk was increased. In contrast, the level of lactose remained unchanged.
This novel milk with its substantially altered composition will provide insights
into the regulatory processes synchronizing the synthesis and assembly of milk
components, as well as production of potentially healthier milk with improved
dairy processing characteristics.
PMID- 27876864
TI - Identification of an infectious bronchitis coronavirus strain exhibiting a
classical genotype but altered antigenicity, pathogenicity, and innate immunity
profile.
AB - Avian coronavirus infectious bronchitis virus (IBV) poses economic threat to the
poultry industry worldwide. Pathogenic IBV 3575/08 was isolated from broilers
vaccinated with the attenuated viral vaccine derived from a Taiwan strain
2575/98. In this study, extensive investigations were conducted on the genome
sequences, antigenicity, pathogenicity, and host immune responses of several IBV
strains in specific-pathogen-free chickens. Sequence analyses revealed that
3575/08 and 2575/98 shared high homology in their structural genes, but not in
non-structural accessory proteins such as 3a, 3b and 5b. Despite a high degree of
homology in their spike protein genes, cross neutralization test showed low cross
protection between 3575/08 and 2575/98, suggesting distinct antigenicity for the
two strains. Animal challenge experiments exhibited strong respiratory and renal
pathogenicity for 3575/08. In addition, early and prolonged viral shedding and
rapid viral dissemination were observed. Immune gene expression profiling by PCR
array showed chickens infected with 3575/08 had delayed expression of a subset of
early innate immune genes, whereas chickens infected with the wild-type or
attenuated-type 2575/08 revealed quick gene induction and efficient virus
control. In summary, this study reveals a new IBV strain, which harbors a known
local genotype but displays remarkably altered antigenicity, pathogenicity and
host defenses.
PMID- 27876867
TI - Active Brownian particles and run-and-tumble particles separate inside a maze.
AB - A diverse range of natural and artificial self-propelled particles are known and
are used nowadays. Among them, active Brownian particles (ABPs) and run-and
tumble particles (RTPs) are two important classes. We numerically study non
interacting ABPs and RTPs strongly confined to different maze geometries in two
dimensions. We demonstrate that by means of geometrical confinement alone, ABPs
are separable from RTPs. By investigating Matryoshka-like mazes with nested
shells, we show that a circular maze has the best filtration efficiency. Results
on the mean first-passage time reveal that ABPs escape faster from the center of
the maze, while RTPs reach the center from the rim more easily. According to our
simulations and a rate theory, which we developed, ABPs in steady state
accumulate in the outermost region of the Matryoshka-like mazes, while RTPs
occupy all locations within the maze with nearly equal probability. These results
suggest a novel technique for separating different types of self-propelled
particles by designing appropriate confining geometries without using chemical or
biological agents.
PMID- 27876868
TI - Room temperature electrically tunable rectification magnetoresistance in Ge-based
Schottky devices.
AB - Electrical control of magnetotransport properties is crucial for device
applications in the field of spintronics. In this work, as an extension of our
previous observation of rectification magnetoresistance, an innovative technique
for electrical control of rectification magnetoresistance has been developed by
applying direct current and alternating current simultaneously to the Ge-based
Schottky devices, where the rectification magnetoresistance could be remarkably
tuned in a wide range. Moreover, the interface and bulk contribution to the
magnetotransport properties has been effectively separated based on the
rectification magnetoresistance effect. The state-of-the-art electrical
manipulation technique could be adapt to other similar heterojunctions, where
fascinating rectification magnetoresistance is worthy of expectation.
PMID- 27876866
TI - Developmental disruption of perineuronal nets in the medial prefrontal cortex
after maternal immune activation.
AB - Maternal infection during pregnancy increases the risk of offspring developing
schizophrenia later in life. Similarly, animal models of maternal immune
activation (MIA) induce behavioural and anatomical disturbances consistent with a
schizophrenia-like phenotype in offspring. Notably, cognitive impairments in
tasks dependent on the prefrontal cortex (PFC) are observed in humans with
schizophrenia and in offspring after MIA during pregnancy. Recent studies of post
mortem tissue from individuals with schizophrenia revealed deficits in
extracellular matrix structures called perineuronal nets (PNNs), particularly in
PFC. Given these findings, we examined PNNs over the course of development in a
well-characterized rat model of MIA using polyinosinic-polycytidylic acid
(polyI:C). We found selective reductions of PNNs in the PFC of polyI:C offspring
which did not manifest until early adulthood. These deficits were not associated
with changes in parvalbumin cell density, but a decrease in the percentage of
parvalbumin cells surrounded by a PNN. Developmental expression of PNNs was also
significantly altered in the amygdala of polyI:C offspring. Our results indicate
MIA causes region specific developmental abnormalities in PNNs in the PFC of
offspring. These findings confirm the polyI:C model replicates neuropathological
alterations associated with schizophrenia and may identify novel mechanisms for
cognitive and emotional dysfunction in the disorder.
PMID- 27876869
TI - Discerning the Location and Nature of Coke Deposition from Surface to Bulk of
Spent Zeolite Catalysts.
AB - The formation of carbonaceous deposits (coke) in zeolite pores during catalysis
leads to temporary deactivation of catalyst, necessitating regeneration steps,
affecting throughput, and resulting in partial permanent loss of catalytic
efficiency. Yet, even to date, the coke molecule distribution is quite
challenging to study with high spatial resolution from surface to bulk of the
catalyst particles at a single particle level. To address this challenge we
investigated the coke molecules in HZSM-5 catalyst after ethanol conversion
treatment by a combination of C K-edge X-ray absorption spectroscopy (XAS), 13C
Cross polarization-magic angle spinning nuclear magnetic resonance (CP-MAS NMR)
spectroscopy, and atom probe tomography (APT). XAS and NMR highlighted the
aromatic character of coke molecules. APT permitted the imaging of the spatial
distribution of hydrocarbon molecules located within the pores of spent HZSM-5
catalyst from surface to bulk at a single particle level. 27Al NMR results and
APT results indicated association of coke molecules with Al enriched regions
within the spent HZSM-5 catalyst particles. The experimental results were
additionally validated by a level-set-based APT field evaporation model. These
results provide a new approach to investigate catalytic deactivation due to
hydrocarbon coking or poisoning of zeolites at an unprecedented spatial
resolution.
PMID- 27876870
TI - Radiocaesium partitioning in Japanese cedar forests following the "early" phase
of Fukushima fallout redistribution.
AB - Our study focused on radiocaesium (137Cs) partitioning in forests, three
vegetation periods after the Fukushima Daiichi nuclear power plant accident.
137Cs distribution in forest components (organic and mineral soil layers as well
as tree compartments: stem, bark, needles, branches and roots) was measured for
two Japanese cedar stand ages (17 and 33 years old). The results showed that
around 85% of the initial deposit was found in the forest floor and topsoil. For
the youngest stand almost 70% of the deposit is present in the forest floor,
whereas for the oldest stand 50% is present in the 0-3 cm mineral soil layer. For
trees, old and perennial organs (including dead and living needles and branches,
litter fall and outer bark) directly exposed to the fallout remained the most
contaminated. The crown concentrated 61-69% of the total tree contamination.
Surprisingly the dead organs concentrated 25 +/- 9% (young cedars) to 36 +/- 20%
(mature cedar) of the trees' residual activity, highlighting the importance of
that specific compartment in the early post-accident phase for Japanese cedar
forests. Although the stem (including bark) represents the highest biomass pool,
it only concentrates 3.3% and 4.6% of the initial 137Cs deposit for mature and
young cedars, respectively.
PMID- 27876871
TI - ENSO's far reaching connection to Indian cold waves.
AB - During boreal winters, cold waves over India are primarily due to transport of
cold air from higher latitudes. However, the processes associated with these cold
waves are not yet clearly understood. Here by diagnosing a suite of datasets, we
explore the mechanisms leading to the development and maintenance of these cold
waves. Two types of cold waves are identified based on observed minimum surface
temperature and statistical analysis. The first type (TYPE1), also the dominant
one, depicts colder than normal temperatures covering most parts of the country
while the second type (TYPE2) is more regional, with significant cold
temperatures only noticeable over northwest India. Quite interestingly the first
(second) type is associated with La Nina (El Nino) like conditions, suggesting
that both phases of ENSO provide a favorable background for the occurrence of
cold waves over India. During TYPE1 cold wave events, a low-level cyclonic
anomaly generated over the Indian region as an atmospheric response to the
equatorial convective anomalies is seen advecting cold temperatures into India
and maintaining the cold waves. In TYPE2 cold waves, a cyclonic anomaly generated
over west India anomalously brings cold winds to northwest India causing cold
waves only in those parts.
PMID- 27876872
TI - Experimental investigation into the oxidation reactivity and nanostructure of
particulate matter from diesel engine fuelled with diesel/polyoxymethylene
dimethyl ethers blends.
AB - This paper focuses on oxidation reactivity and nanostructural characteristics of
particulate matter (PM) emitted from diesel engine fuelled with different volume
proportions of diesel/polyoxymethylene dimethyl ethers (PODEn) blends (P0, P10
and P20). PM was collected using a metal filter from the exhaust manifold. The
collected PM samples were characterized using thermogravimetric analysis (TGA),
scanning electron microscopy (SEM), transmission electron microscopy (TEM) and
Raman spectroscopy. The TGA results indicated that the PM produced by P20 had the
highest moisture and volatility contents and the fastest oxidation rate of solid
carbon followed by P10 and P0 derived PM. SEM analysis showed that PM generated
from P20 was looser with a lower mean value than PM emitted from P10 and P0.
Quantitative analysis of high-resolution TEM images presented that fringe length
was reduced along with increased separation distance and tortuosity with an
increase in PODEn concentration. These trends improved the oxidation reactivity.
According to Raman spectroscopy data, the intensity, full width at half-maximum
and intensity ratio of the bands also changed demonstrating that PM nanostructure
disorder was correlated with a faster oxidation rate. The results show the use of
PODEn affects the oxidation reactivity and nanostructure of PM that is easier to
oxidize.
PMID- 27876875
TI - Brain-inspired speech segmentation for automatic speech recognition using the
speech envelope as a temporal reference.
AB - Speech segmentation is a crucial step in automatic speech recognition because
additional speech analyses are performed for each framed speech segment.
Conventional segmentation techniques primarily segment speech using a fixed frame
size for computational simplicity. However, this approach is insufficient for
capturing the quasi-regular structure of speech, which causes substantial
recognition failure in noisy environments. How does the brain handle quasi
regular structured speech and maintain high recognition performance under any
circumstance? Recent neurophysiological studies have suggested that the phase of
neuronal oscillations in the auditory cortex contributes to accurate speech
recognition by guiding speech segmentation into smaller units at different
timescales. A phase-locked relationship between neuronal oscillation and the
speech envelope has recently been obtained, which suggests that the speech
envelope provides a foundation for multi-timescale speech segmental information.
In this study, we quantitatively investigated the role of the speech envelope as
a potential temporal reference to segment speech using its instantaneous phase
information. We evaluated the proposed approach by the achieved information gain
and recognition performance in various noisy environments. The results indicate
that the proposed segmentation scheme not only extracts more information from
speech but also provides greater robustness in a recognition test.
PMID- 27876873
TI - The Effect of Silica Nanoparticles on Human Corneal Epithelial Cells.
AB - Ocular drug delivery is an interesting field in current research. Silica
nanoparticles (SiNPs) are promising drug carriers for ophthalmic drug delivery.
However, little is known about the toxicity of SiNPs on ocular surface cells such
as human corneal epithelial cells (HCECs). In this study, we evaluated the
cytotoxicity induced by 50, 100 and 150 nm sizes of SiNPs on cultured HCECs for
up to 48 hours. SiNPs were up-taken by HCECs inside cytoplasmic vacuoles.
Cellular reactive oxygen species generation was mildly elevated, dose
dependently, with SiNPs, but no significant decrease of cellular viability was
observed up to concentrations of 100 MUg/ml for three different sized SiNPs.
Western blot assays revealed that both cellular autophagy and mammalian target of
rapamycin (mTOR) pathways were activated with the addition of SiNPs. Our findings
suggested that 50, 100 and 150 nm sized SiNPs did not induce significant
cytotoxicity in cultured HCECs.
PMID- 27876874
TI - TWIST1 drives cisplatin resistance and cell survival in an ovarian cancer model,
via upregulation of GAS6, L1CAM, and Akt signalling.
AB - Epithelial ovarian cancer (EOC) is the most deadly gynaecologic malignancy due to
late onset of symptoms and propensity towards drug resistance. Epithelial
mesenchymal transition (EMT) has been linked to the development of
chemoresistance in other cancers, yet little is known regarding its role in EOC.
In this study, we sought to determine the role of the transcription factor
TWIST1, a master regulator of EMT, on cisplatin resistance in an EOC model. We
created two Ovcar8-derived cell lines that differed only in their TWIST1
expression. TWIST1 expression led to increased tumour engraftment in mice, as
well as cisplatin resistance in vitro. RNA sequencing analysis revealed that
TWIST1 expression resulted in upregulation of GAS6 and L1CAM and downregulation
of HMGA2. Knockdown studies of these genes demonstrated that loss of GAS6 or
L1CAM sensitized cells to cisplatin, but that loss of HMGA2 did not give rise to
chemoresistance. TWIST1, in part via GAS6 and L1CAM, led to higher expression and
activation of Akt upon cisplatin treatment, and inhibition of Akt activation
sensitized cells to cisplatin. These results suggest TWIST1- and EMT-driven
increase in Akt activation, and thus tumour cell proliferation, as a potential
mechanism of drug resistance in EOC.
PMID- 27876877
TI - Evaluation of the efficacy of Myco/F lytic system, MGIT960 system and Lowenstein
Jensen medium for recovery of Mycobacterium tuberculosis from sterile body
fluids.
AB - The diagnosis of extrapulmonary tuberculosis (EPTB) is challenging due to non
specific symptoms, invasive approach for specimen collection and most
importantly, the paucibacillary status. The objective of this assay was to
evaluate the efficacy of Myco/F lytic system, BACTEC Mycobacteria Growth
Indicator Tube (MGIT) 960 system and Lowenstein-Jensen (L-J) medium for recovery
of bacilli from sterile body fluids. 214 specimens (114 pleural fluid and 100
pus) from clinically diagnosed EPTB patients were collected and subjected to
Ziehl-Neelsen (ZN) smear microscopy, L-J culture, MGIT 960 culture and Myco/F
lytic culture.103 out of the 214 sterile body fluid samples yielded positive
culture outcomes by any of the three methods. Among all the culture positive
specimens, the recovery rate was 86.41% for Myco/F lytic, 75.73% for MGIT 960,
and 42.72% for L-J medium. The mean time to positivity (TTP) was 27.06 +/- 8.03
days for Myco/F lytic, 22.20 +/- 7.84 days for MGIT960 and 42 +/- 8.84 days for L
J medium. The rates of contamination were 6.54%, 3.74% and 2.80% for Myco/F
lytic, MGIT960 and L-J medium respectively. Both Myco/F lytic and MGIT960 system
were superior to L-J medium for recovery of bacilli from sterile body fluids.
Myco/F lytic system was more favorable than MGIT960 regarding recovery rate and
cost-effectiveness, thus can be considered as a promising alternative to MGIT960
system for diagnosing EPTB.
PMID- 27876878
TI - Dependence of phase configurations, microstructures and magnetic properties of
iron-nickel (Fe-Ni) alloy nanoribbons on deoxidization temperature in hydrogen.
AB - Iron-nickel (Fe-Ni) alloy nanoribbons were reported for the first time by
deoxidizing NiFe2O4 nanoribbons, which were synthesized through a handy route of
electrospinning followed by air-annealing at 450 degrees C, in hydrogen (H2) at
different temperatures. It was demonstrated that the phase configurations,
microstructures and magnetic properties of the as-deoxidized samples closely
depended upon the deoxidization temperature. The spinel NiFe2O4 ferrite of the
precursor nanoribbons were firstly deoxidized into the body-centered cubic (bcc)
Fe-Ni alloy and then transformed into the face-centered cubic (fcc) Fe-Ni alloy
of the deoxidized samples with the temperature increasing. When the deoxidization
temperature was in the range of 300 ~ 500 degrees C, although each sample
possessed its respective morphology feature, all of them completely reserved the
ribbon-like structures. When it was further increased to 600 degrees C, the
nanoribbons were evolved completely into the fcc Fe-Ni alloy nanochains.
Additionally, all samples exhibited typical ferromagnetism. The saturation
magnetization (Ms) firstly increased, then decreased, and finally increased with
increasing the deoxidization temperature, while the coercivity (Hc) decreased
monotonously firstly and then basically stayed unchanged. The largest Ms (~145.7
emu.g-1) and the moderate Hc (~132 Oe) were obtained for the Fe-Ni alloy
nanoribbons with a mixed configuration of bcc and fcc phases.
PMID- 27876876
TI - Fabrication of functional hollow microspheres constructed from MOF shells:
Promising drug delivery systems with high loading capacity and targeted
transport.
AB - An advanced multifunctional, hollow metal-organic framework (MOF) drug delivery
system with a high drug loading level and targeted delivery was designed and
fabricated for the first time and applied to inhibit tumour cell growth. This
hollow MOF targeting drug delivery system was prepared via a simple post
synthetic surface modification procedure, starting from hollow ZIF-8 successfully
obtained for the first time via a mild phase transformation under solvothermal
conditions. As a result, the hollow ZIF-8 exhibits a higher loading capacity for
the model anticancer drug 5-fluorouracil (5-FU). Subsequently, 5-FU-loaded ZIF-8
was encapsulated into polymer layers (FA-CHI-5-FAM) with three components: a
chitosan (CHI) backbone, the imaging agent 5-carboxyfluorescein (5-FAM), and the
targeting reagent folic acid (FA). Thus, an advanced drug delivery system, ZIF
8/5-FU@FA-CHI-5-FAM, was fabricated. A cell imaging assay demonstrated that ZIF
8/5-FU@FA-CHI-5-FAM could target and be taken up by MGC-803 cells. Furthermore,
the as-prepared ZIF-8/5-FU@FA-CHI-5-FAM exhibited stronger cell growth inhibitory
effects on MGC-803 cells because of the release of 5-FU, as confirmed by a cell
viability assay. In addition, a drug release experiment in vitro indicated that
ZIF-8/5-FU@FA-CHI-5-FAM exhibited high loading capacity (51%) and a sustained
drug release behaviour. Therefore, ZIF-8/5-FU@FA-CHI-5-FAM could provide targeted
drug transportation, imaging tracking and localized sustained release.
PMID- 27876879
TI - Stimulus-induced Epileptic Spike-Wave Discharges in Thalamocortical Model with
Disinhibition.
AB - Epileptic absence seizure characterized by the typical 2-4 Hz spike-wave
discharges (SWD) are known to arise due to the physiologically abnormal
interactions within the thalamocortical network. By introducing a second
inhibitory neuronal population in the cortical system, here we propose a modified
thalamocortical field model to mathematically describe the occurrences and
transitions of SWD under the mutual functions between cortex and thalamus, as
well as the disinhibitory modulations of SWD mediated by the two different
inhibitory interneuronal populations. We first show that stimulation can induce
the recurrent seizures of SWD in the modified model. Also, we demonstrate the
existence of various types of firing states including the SWD. Moreover, we can
identify the bistable parametric regions where the SWD can be both induced and
terminated by stimulation perturbations applied in the background resting state.
Interestingly, in the absence of stimulation disinhibitory functions between the
two different interneuronal populations can also both initiate and abate the SWD,
which suggests that the mechanism of disinhibition is comparable to the effect of
stimulation in initiating and terminating the epileptic SWD. Hopefully, the
obtained results can provide theoretical evidences in exploring dynamical
mechanism of epileptic seizures.
PMID- 27876881
TI - High-Acquisition-Rate Single-Shot Pump-Probe Measurements Using Time-Stretching
Method.
AB - Recent advances of ultrafast spectroscopy allow the capture of an entire
ultrafast signal waveform in a single probe shot, which greatly reduces the
measurement time and opens the door for the spectroscopy of unrepeatable
phenomena. However, most single-shot detection schemes rely on two-dimensional
detectors, which limit the repetition rate of the measurement and can hinder real
time visualization and manipulation of signal waveforms. Here, we demonstrate a
new method to circumvent these difficulties and to greatly simplify the detection
setup by using a long, single-mode optical fiber and a fast photodiode.
Initially, a probe pulse is linearly chirped (the optical frequency varies
linearly across the pulse in time), and the temporal profile of an ultrafast
signal is then encoded in the probe spectrum. The probe pulse and encoded
temporal dynamics are further chirped to nanosecond time scales using the
dispersion in the optical fiber, thus, slowing down the ultrafast signal to time
scales easily recorded with fast detectors and high-bandwidth electronics. We
apply this method to three distinct ultrafast experiments: investigating the
power dependence of the Kerr signal in LiNbO3, observing an irreversible
transmission change of a phase change material, and capturing terahertz
waveforms.
PMID- 27876880
TI - Overexpression of SerpinE2/protease nexin-1 Contribute to Pathological Cardiac
Fibrosis via increasing Collagen Deposition.
AB - Although increases in cardiovascular load (pressure overload) are known to elicit
ventricular remodeling including cardiomyocyte hypertrophy and interstitial
fibrosis, the molecular mechanisms of pressure overload or AngII -induced cardiac
interstitial fibrosis remain elusive. In this study, serpinE2/protease nexin-1
was over-expressed in a cardiac fibrosis model induced by pressure-overloaded via
transverse aortic constriction (TAC) in mouse. Knockdown of serpinE2 attenuates
cardiac fibrosis in a mouse model of TAC. At meantime, the results showed that
serpinE2 significantly were increased with collagen accumulations induced by
AngII or TGF-beta stimulation in vitro. Intriguingly, extracellular collagen in
myocardial fibroblast was reduced by knockdown of serpinE2 compared with the
control in vitro. In stark contrast, the addition of exogenous PN-1 up-regulated
the content of collagen in myocardial fibroblast. The MEK1/2- ERK1/2 signaling
probably promoted the expression of serpinE2 via transcription factors Elk1 in
myocardial fibroblast. In conclusion, stress-induced the ERK1/2 signaling pathway
activation up-regulated serpinE2 expression, consequently led accumulation of
collagen protein, and contributed to cardiac fibrosis.
PMID- 27876882
TI - NR4A1 Knockdown Suppresses Seizure Activity by Regulating Surface Expression of
NR2B.
AB - Nuclear receptor subfamily 4 group A member 1 (NR4A1), a downstream target of
CREB that is a key regulator of epileptogenesis, has been implicated in a variety
of biological processes and was previously identified as a seizure-associated
molecule. However, the relationship between NR4A1 and epileptogenesis remains
unclear. Here, we showed that NR4A1 protein was predominantly expressed in
neurons and up-regulated in patients with epilepsy as well as pilocarpine-induced
mouse epileptic models. NR4A1 knockdown by lentivirus transfection (lenti
shNR4A1) alleviated seizure severity and prolonged onset latency in mouse models.
Moreover, reciprocal coimmunoprecipitation of NR4A1 and NR2B demonstrated their
interaction. Furthermore, the expression of p-NR2B (Tyr1472) in epileptic mice
and the expression of NR2B in the postsynaptic density (PSD) were significantly
reduced in the lenti-shNR4A1 group, indicating that NR4A1 knockdown partly
decreased surface NR2B by promoting NR2B internalization. These results are the
first to indicate that the expression of NR4A1 in epileptic brain tissues may
provide new insights into the molecular mechanisms underlying epilepsy.
PMID- 27876884
TI - Movement of feeder-using songbirds: the influence of urban features.
AB - Private gardens provide vital opportunities for people to interact with nature.
The most popular form of interaction is through garden bird feeding.
Understanding how landscape features and seasons determine patterns of movement
of feeder-using songbirds is key to maximising the well-being benefits they
provide. To determine these patterns we established three networks of automated
data loggers along a gradient of greenspace fragmentation. Over a 12-month period
we tracked 452 tagged blue tits Cyantistes caeruleus and great tits Parus major
moving between feeder pairs 9,848 times, to address two questions: (i) Do urban
features within different forms, and season, influence structural (presence
absence of connections between feeders by birds) and functional (frequency of
these connections) connectivity? (ii) Are there general patterns of structural
and functional connectivity across forms? Vegetation cover increased connectivity
in all three networks, whereas the presence of road gaps negatively affected
functional but not structural connectivity. Across networks structural
connectivity was lowest in the summer when birds maintain breeding territories,
however patterns of functional connectivity appeared to vary with habitat
fragmentation. Using empirical data this study shows how key urban features and
season influence movement of feeder-using songbirds, and we provide evidence that
this is related to greenspace fragmentation.
PMID- 27876883
TI - Identification of Small Molecule Compounds for Pharmacological Chaperone Therapy
of Aspartylglucosaminuria.
AB - Aspartylglucosaminuria (AGU) is a lysosomal storage disorder that is caused by
genetic deficiency of the enzyme aspartylglucosaminidase (AGA) which is involved
in glycoprotein degradation. AGU is a progressive disorder that results in severe
mental retardation in early adulthood. No curative therapy is currently available
for AGU. We have here characterized the consequences of a novel AGU mutation that
results in Thr122Lys exchange in AGA, and compared this mutant form to one
carrying the worldwide most common AGU mutation, AGU-Fin. We show that T122K
mutated AGA is expressed in normal amounts and localized in lysosomes, but
exhibits low AGA activity due to impaired processing of the precursor molecule
into subunits. Coexpression of T122K with wildtype AGA results in processing of
the precursor into subunits, implicating that the mutation causes a local
misfolding that prevents the precursor from becoming processed. Similar data were
obtained for the AGU-Fin mutant polypeptide. We have here also identified small
chemical compounds that function as chemical or pharmacological chaperones for
the mutant AGA. Treatment of patient fibroblasts with these compounds results in
increased AGA activity and processing, implicating that these substances may be
suitable for chaperone mediated therapy for AGU.
PMID- 27876885
TI - Generation of a sub-diffraction hollow ring by shaping an azimuthally polarized
wave.
AB - The generation of a sub-diffraction optical hollow ring is of great interest in
various applications, such as optical microscopy, optical tweezers, and
nanolithography. Azimuthally polarized light is a good candidate for creating an
optical hollow ring structure. Various of methods have been proposed
theoretically for generation of sub-wavelength hollow ring by focusing
azimuthally polarized light, but without experimental demonstrations, especially
for sub-diffraction focusing. Super-oscillation is a promising approach for
shaping sub-diffraction optical focusing. In this paper, a planar sub-diffraction
diffractive lens is proposed, which has an ultra-long focal length of 600 lambda
and small numerical aperture of 0.64. A sub-diffraction hollow ring is
experimentally created by shaping an azimuthally polarized wave. The full-width
at-half-maximum of the hollow ring is 0.61 lambda, which is smaller than the lens
diffraction limit 0.78 lambda, and the observed largest sidelobe intensity is
only 10% of the peak intensity.
PMID- 27876886
TI - Nano sand filter with functionalized nanoparticles embedded in anodic aluminum
oxide templates.
AB - Since the ancient Egyptians had used sand as filter media for water purification,
its principle has been inherited through generations and it is still being used
now in industries. The sand filter consists of sand literally, and the voids
within the sand bed are the pores for filtration. Here we present a filtration
principle using nanoparticles, so that the voids between the nanoparticles can be
considered as effective pores in nanoscale dimension. Anodic aluminum oxide (AAO)
membrane has been used as the working template, and the nanoparticles have been
injected and embedded within the pores of the AAO template. Nanoparticles with
multiple sizes have been used in order to obtain smaller voids. Moreover, the
nanoparticles have been functionalized, or electrically charged, with
arginine/phenylalanine (RF) peptide group. In this way, filtration performance
for charged particles or molecules, such as methylene blue, has been enhanced.
Consequently, this study is expected to provide a new principle for fabrication
of nano voids, or nano pores, and for filtration in nanoscale dimension.
PMID- 27876888
TI - Bph32, a novel gene encoding an unknown SCR domain-containing protein, confers
resistance against the brown planthopper in rice.
AB - An urgent need exists to identify more brown planthopper (Nilaparvata lugens
Stal, BPH) resistance genes, which will allow the development of rice varieties
with resistance to BPH to counteract the increased incidence of this pest
species. Here, using bioinformatics and DNA sequencing approaches, we identified
a novel BPH resistance gene, LOC_Os06g03240 (MSU LOCUS ID), from the rice variety
Ptb33 in the interval between the markers RM19291 and RM8072 on the short arm of
chromosome 6, where a gene for resistance to BPH was mapped by Jirapong Jairin et
al. and renamed as "Bph32". This gene encodes a unique short consensus repeat
(SCR) domain protein. Sequence comparison revealed that the Bph32 gene shares
100% sequence identity with its allele in Oryza latifolia. The transgenic
introgression of Bph32 into a susceptible rice variety significantly improved
resistance to BPH. Expression analysis revealed that Bph32 was highly expressed
in the leaf sheaths, where BPH primarily settles and feeds, at 2 and 24 h after
BPH infestation, suggesting that Bph32 may inhibit feeding in BPH. Western
blotting revealed the presence of Pph (Ptb33) and Tph (TN1) proteins using a
Penta-His antibody, and both proteins were insoluble. This study provides
information regarding a valuable gene for rice defence against insect pests.
PMID- 27876887
TI - High Energy Particle Radiation-associated Oncogenic Transformation in Normal
Mice: Insight into the Connection between Activation of Oncotargets and Oncogene
Addiction.
AB - Concerns on high-energy particle radiation-induced tumorigenic transformation of
normal tissue in astronauts, and in cancer patients undergoing radiotherapy,
emphasizes the significance of elucidating the mechanisms involved in radiogenic
transformation processes. Mostly used genetically modified or tumor-prone models
are less reliable in determining human health risk in space or protracted post
treatment normal tissue toxicity. Here, in wild type C57BL/6 mice, we related the
deregulation of distinctive set of tissue-specific oncotargets in major organs
upon 56Fe (600 MeV/amu; 0.5 Gy/min; 0.8 Gy) particle radiation and compared the
response with low LET gamma-radiation (137Cs; 0.5 Gy/min; 2 Gy). One of the novel
findings is the 'tissue-independent' activation of TAL2 upon high-energy
radiation, and thus qualifies TAL2 as a potential biomarker for particle and
other qualities of radiation. Heightened expression of TAL2 gene transcript,
which sustained over four weeks post-irradiation foster the concept of oncogene
addiction signaling in radiogenic transformation. The positive/negative
expression of other selected oncotargets that expresses tissue-dependent manner
indicated their role as a secondary driving force that addresses the diversity of
tissue-dependent characteristics of tumorigenesis. This study, while reporting
novel findings on radiogenic transformation of normal tissue when exposed to
particle radiation, it also provides a platform for further investigation into
different radiation quality, LET and dose/dose rate effect in healthy organs.
PMID- 27876889
TI - Harnessing hyperthermostable lactonase from Sulfolobus solfataricus for
biotechnological applications.
AB - Extremozymes have gained considerable interest as they could meet industrial
requirements. Among these, SsoPox is a hyperthermostable enzyme isolated from the
archaeon Sulfolobus solfataricus. This enzyme is a lactonase catalyzing the
hydrolysis of acyl-homoserine lactones; these molecules are involved in Gram
negative bacterial communication referred to as quorum sensing. SsoPox exhibits
promiscuous phosphotriesterase activity for the degradation of organophosphorous
chemicals including insecticides and chemical warfare agents. Owing to its bi
functional catalytic abilities as well as its intrinsic stability, SsoPox is
appealing for many applications, having potential uses in the agriculture,
defense, food and health industries. Here we investigate the biotechnological
properties of the mutant SsoPox-W263I, a variant with increased lactonase and
phosphotriesterase activities. We tested enzyme resistance against diverse
process-like and operating conditions such as heat resistance, contact with
organic solvents, sterilization, storage and immobilization. Bacterial secreted
materials from both Gram-negative and positive bacteria were harmless on SsoPox
W263I activity and could reactivate heat-inactivated enzyme. SsoPox showed
resistance to harsh conditions demonstrating that it is an extremely attractive
enzyme for many applications. Finally, the potential of SsoPox-W263I to be active
at subzero temperature is highlighted and discussed in regards to the common idea
that hyperthermophile enzymes are nearly inactive at low temperatures.
PMID- 27876892
TI - Handspinning Enabled Highly Concentrated Carbon Nanotubes with Controlled
Orientation in Nanofibers.
AB - The novel method, handspinning (HS), was invented by mimicking commonly observed
methods in our daily lives. The use of HS allows us to fabricate carbon nanotube
reinforced nanofibers (CNT-reinforced nanofibers) by addressing three significant
challenges: (i) the difficulty of forming nanofibers at high concentrations of
CNTs, (ii) aggregation of the CNTs, and (iii) control of the orientation of the
CNTs. The handspun nanofibers showed better physical properties than fibers
fabricated by conventional methods, such as electrospinning. Handspun nanofibers
retain a larger amount of CNTs than electrospun nanofibers, and the CNTs are
easily aligned uniaxially. We attributed these improvements provided by the HS
process to simple mechanical stretching force, which allows for orienting the
nanofillers along with the force direction without agglomeration, leading to
increased contact area between the CNTs and the polymer matrix, thereby providing
enhanced interactions. HS is a simple and straightforward method as it does not
require an electric field, and, hence, any kinds of polymers and solvents can be
applicable. Furthermore, it is feasible to retain a large amount of various
nanofillers in the fibers to enhance their physical and chemical properties.
Therefore, HS provides an effective pathway to create new types of reinforced
nanofibers with outstanding properties.
PMID- 27876891
TI - Genetic variations in the PI3K-PTEN-AKT-mTOR pathway are associated with distant
metastasis in nasopharyngeal carcinoma patients treated with intensity-modulated
radiation therapy.
AB - Distant metastasis is the primary failure pattern of nasopharyngeal
carcinoma(NPC) in intensity-modulated radiation therapy(IMRT) era. This study was
conducted to find the impact of genetic variations in the phosphatidylinositol 3
kinase(PI3K)/phosphatase and tensin homologue(PTEN)/v-akt murine thymoma viral
oncogene homologue(AKT)/mammalian target of rapamycin(mTOR) pathway on the risk
of distant metastasis in NPC. We genotyped 16 single-nucleotide
polymorphisms(SNPs) in five core genes in this pathway from 496 patients treated
by IMRT with or without chemotherapy. The relationships between genetic
polymorphisms and distant progression were evaluated. We observed that two loci
in the AKT1 gene(rs3803300 and rs2494738 alone or combined) were associated with
prognosis, with patients carrying at least one variant allele had significantly
reduced risk of distant failure, especially in N2-3 group. In addition, we found
that genetic variation may had some joint effect with N classification in
recursive-partitioning analysis(RPA) analysis, with which patients were
stratified into four different risk subgroups (RPA model): RPA1(low risk),
RPA2(moderate risk), RPA3(high risk) and RPA4(highest risk). Our findings
suggested that genetic variations within the PI3K signaling pathway modulate the
development and invasion of NPC patients. Further research is needed to replicate
the study in other centers and races, and to unravel the functional significance
of these polymorphisms.
PMID- 27876890
TI - Why one-size-fits-all vaso-modulatory interventions fail to control glioma
invasion: in silico insights.
AB - Gliomas are highly invasive brain tumours characterised by poor prognosis and
limited response to therapy. There is an ongoing debate on the therapeutic
potential of vaso-modulatory interventions against glioma invasion. Prominent
vasculature-targeting therapies involve tumour blood vessel deterioration and
normalisation. The former aims at tumour infarction and nutrient deprivation
induced by blood vessel occlusion/collapse. In contrast, the therapeutic
intention of normalising the abnormal tumour vasculature is to improve the
efficacy of conventional treatment modalities. Although these strategies have
shown therapeutic potential, it remains unclear why they both often fail to
control glioma growth. To shed some light on this issue, we propose a
mathematical model based on the migration/proliferation dichotomy of glioma cells
in order to investigate why vaso-modulatory interventions have shown limited
success in terms of tumour clearance. We found the existence of a critical cell
proliferation/diffusion ratio that separates glioma responses to vaso-modulatory
interventions into two distinct regimes. While for tumours, belonging to one
regime, vascular modulations reduce the front speed and increase the infiltration
width, for those in the other regime, the invasion speed increases and
infiltration width decreases. We discuss how these in silico findings can be used
to guide individualised vaso-modulatory approaches to improve treatment success
rates.
PMID- 27876893
TI - Highly Bendable In-Ga-ZnO Thin Film Transistors by Using a Thermally Stable
Organic Dielectric Layer.
AB - Flexible In-Ga-ZnO (IGZO) thin film transistor (TFT) on a polyimide substrate is
produced by employing a thermally stable SA7 organic material as the multi
functional barrier and dielectric layers. The IGZO channel layer was sputtered at
Ar:O2 gas flow rate of 100:1 sccm and the fabricated TFT exhibited excellent
transistor performances with a mobility of 15.67 cm2/Vs, a threshold voltage of
6.4 V and an on/off current ratio of 4.5 * 105. Further, high mechanical
stability was achieved by the use of organic/inorganic stacking of dielectric and
channel layers. Thus, the IGZO transistor endured unprecedented bending strain up
to 3.33% at a bending radius of 1.5 mm with no significant degradation in
transistor performances along with a superior reliability up to 1000 cycles.
PMID- 27876894
TI - DDIT4 regulates mesenchymal stem cell fate by mediating between HIF1alpha and
mTOR signalling.
AB - Stem cell fate decisions to remain quiescent, self-renew or differentiate are
largely governed by the interplay between extracellular signals from the niche
and the cell intrinsic signal cascades and transcriptional programs. Here we
demonstrate that DNA Damage Inducible Transcript 4 (DDIT4) acts as a link between
HIF1alpha and mTOR signalling and regulation of adult stem cell fate. Global gene
expression analysis of mesenchymal stem cells (MSC) derived from single clones
and live RNA cell sorting showed a direct correlation between DDIT4 and
differentiation potentials of MSC. Loss and gain of function analysis
demonstrated that DDIT4 activity is directly linked to regulation of mTOR
signalling, expression of pluripotency genes and differentiation. Further we
demonstrated that DDIT4 exert these effects down-stream to HIF1alpha. Our
findings provide an insight in regulation of adult stem cells homeostasis by two
major pathways with opposing functions to coordinate between states of self
renewal and differentiation.
PMID- 27876895
TI - Multiple crosstalk between TOR and the cell integrity MAPK signaling pathway in
fission yeast.
AB - In eukaryotic cells, the highly conserved Target of Rapamycin (TOR) and the
Mitogen Activated Protein Kinase (MAPK) signaling pathways elicit adaptive
responses to extra- and intracellular conditions by regulating essential cellular
functions. However, the nature of the functional relationships between both
pathways is not fully understood. In the fission yeast Schizosaccharomyces pombe
the cell integrity MAPK pathway (CIP) regulates morphogenesis, cell wall
structure and ionic homeostasis. We show that the Rab GTPase Ryh1, a TORC2
complex activator, cross-activates the CIP and its core member, the MAPK Pmk1, by
two distinct mechanisms. The first one involves TORC2 and its downstream
effector, Akt ortholog Gad8, which together with TORC1 target Psk1 increase
protein levels of the PKC ortholog Pck2 during cell wall stress or glucose
starvation. Also, Ryh1 activates Pmk1 in a TORC2-independent fashion by prompting
plasma membrane trafficking and stabilization of upstream activators of the MAPK
cascade, including PDK ortholog Ksg1 or Rho1 GEF Rgf1. Besides, stress-activated
Pmk1 cross-inhibits Ryh1 signaling by decreasing the GTPase activation cycle, and
this ensures cell growth during alterations in phosphoinositide metabolism. Our
results reveal a highly intricate cross-regulatory relationship between both
pathways that warrants adequate cell adaptation and survival in response to
environmental changes.
PMID- 27876897
TI - MMPs and TIMPs expression in facial tissue of children with cleft lip and palate.
AB - BACKGROUND AND AIMS: Morphogenesis of the upper lip and palate is a complex
process involving highly regulated interactions between epithelial and
mesenchymal cells. Genetic evidence in humans and mice indicates the involvement
of matrix metalloproteinases (MMPs) and their endogenous tissue inhibitors
(TIMPs) in cleft lip palate (CLP) aetiology. This study investigated whether
expression of MMP-2, MMP-8, MMP-9, TIMP-2, and TIMP-4, which are essential for
the upper lip and palate fusion, is dysregulated in children with CLP. METHODS:
Oral mucosa tissue samples were obtained from patients with complete unilateral
(CU) CLP (n = 25) and complete bilateral (CB) CLP (n = 19) during corrective
plastic surgery and in unaffected control subjects (n = 10). MMPs and TIMPs
expression was assessed by immunohistochemistry, and the data were analyzed using
the Kruskal - Wallis test with the Bonferroni correction. RESULTS: In CLP
patients, MMP-2, TIMP-2 immunoreactivity in the oral mucosa was seen to have a
few to abundant structures, but the overall number of MMP-2, TIMP-2-positive
structures was greater than that in controls (P < 0.01). The total number of TIMP
4, MMP-9-positive cells showed a significant decrease in the CBCLP compared with
that of CUCLP (P < 0.001). MMP-8 expression trends in the CLP group were similar
to those of the control group. CONCLUSIONS: The results suggest that TIMP-4 and
MMP-9 are the main ECM remodeling regulatory proteins expressed in CUCLP affected
tissues of the oral mucosa. The increased expression of MMP-2 and TIMP-2 in CLP
tissues implicates these factors in the regulation of cell migration during ECM
turnover independently of different types of clefts. Investigation of MMP and
TIMP expression in tissue samples from patients with CLP appears to be a
promising approach to the etiopathogenesis of CLP.
PMID- 27876898
TI - Treatment of brain metastases.
AB - BACKGROUND: Brain metastases are a very common neurological sequela in cancer
patients. The ability of current anti-cancer therapies to prolong overall
survival is beleaguered by this development in the case of a number of different
cancers. This review provides a general overview of relevant treatment
modalities, highlights major decision strategies used in selecting the optimal
treatment algorithm and summarizes important steps necessary before initiating
therapy. METHODS: A PubMed database search was done to identify publications
describing the treatment of brain metastases including surgery, radiotherapy and
symptomatic care. RESULTS AND CONCLUSION: Patient performance status and extent
of disease play the most important roles in selecting between an aggressive or
more conservative approach. As several other options are available, treatment
decisions should be made in cooperation with multiple medical specialties and the
involvement of multidisciplinary teams. In the future, brain metastases could
become less of a treatment obstacle than they are today.
PMID- 27876896
TI - The Reproductive Toxicity of CdSe/ZnS Quantum Dots on the in vivo Ovarian
Function and in vitro Fertilization.
AB - Despite the usefulness of quantum dots (QDs) in biomedicine and optoelectronics,
their toxicity risks remain a major obstacle for clinical usages. Hence, we
studied the reproductive toxicity of CdSe/ZnS QDs on two aspects, (i) in vivo
ovarian functions and (ii) in vitro fertilization process. The body weight,
estrous cycles, biodistribution of QDs, and oocyte maturation are evaluated on
female mice treated with QDs. The mRNA level of the follicle-stimulating hormone
receptor (FSHr) and luteinizing hormone receptor (LHr) in ovaries are assayed.
Then, the matured cumulus-oocyte-complexes are harvested to co-culture with in
vitro capacitated sperms, and the in vitro fertilization is performed. The result
revealed that QDs are found in the ovaries, but no changes are detected on the
behavior and estrous cycle on the female mice. The mRNA downregulations of FSHr
and LHr are observed and the number of matured oocytes has shown a significant
decrease when the QDs dosage was above 1.0 pmol/day. Additionally, we found the
presence of QDs has reduced the in vitro fertilization success rate. This study
highly suggests that the exposure of CdSe/ZnS QDs to female mice can cause
adverse effects to the ovary functions and such QDs may have limited applications
in clinical usage.
PMID- 27876899
TI - Check list of parasites and bacteria recorded from pangolins (Manis sp.).
AB - Sound knowledge on parasite fauna of pangolins is crucial for evaluation of their
health status. In the present review, a checklist of 34 genera of parasites and
bacteria, including 4 genera of protozoan, 13 genera of helminthes, 8 genera of
ticks, 2 genera of mites and 7 genera of bacteria reported from pangolins was
compiled and their zoonotic potential were discussed. The aim of this checklist
is to underline the information gap and to provide a reference list of parasites
and bacteria known for pangolins to assist in their further investigation.
PMID- 27876900
TI - The common zoonotic protozoal diseases causing abortion.
AB - Toxoplasmosis, neosporosis, sarcosporidiosis (sarcocystosis) and trypanosomiasis
are the common zoonotic protozoal diseases causing abortion which caused by
single-celled protozoan parasites; Toxoplasma gondii, Neospora caninum,
Sarcocystis spp and Trypanosoma evansi, respectively. Toxoplasmosis is generally
considered the most important disease that causing abortion of both pregnant
women and different female animals throughout the world, about third of human
being population had antibodies against T. gondii. The infection can pass via
placenta, causing encephalitis, chorio-retinitis, mental retardation and loss of
vision in congenitally-infected children and stillbirth or mummification of the
aborted fetuses of livestock. Neosporosis is recognized as a major cause of
serious abortion in varieties of wild and domestic animals around the world
particularly cattle, the disease cause serious economic losses among dairy and
beef cattle due to decrease in milk and meat production. While unlike
toxoplasmosis, neosporosis is not recognized as a human pathogen and evidence to
date shows that neosporosis is only detected by serology in the human population.
Sarcosporidiosis also can cause abortion in animals particularly cattle,
buffaloes and sheep with acute infection through high dose of infection with
sarcocysts. On the other hand, humans have been reported as final and
intermediate host for sarcosporidiosis but not represent a serious health
problem. Trypanosomiasis by T. evansi cause dangerous infection among domestic
animals in tropical and subtropical areas. Several cases of abortion had been
recorded in cattle and buffaloes infected with T. evansi while, a single case of
human infection was reported in India. Trichomoniasis and babesiosis abortion
occurs with non-zoonotic Trichomonas and Babesia species while the zoonotic
species had not been incriminated in induction of abortion in both animals and
man. The current review article concluded that there is still need of wide scope
for evaluation of the zoonotic impact and control of these diseases.
PMID- 27876901
TI - Nematodes ultrastructure: complex systems and processes.
AB - Nematode worms are among the most ubiquitous organisms on earth. They include
free-living forms as well as parasites of plants, insects, humans and other
animals. Recently, there has been an explosion of interest in nematode biology,
including the area of nematode ultrastructure. Nematodes are round with a body
cavity. They have one way guts with a mouth at one end and an anus at the other.
They have a pseudocoelom that is lined on one side with mesoderm and on the other
side with endoderm. It appears that the cuticle is a very complex and
evolutionarily plastic feature with important functions involving protection,
body movement and maintaining shape. They only have longitudinal muscles so; they
seem to thrash back and forth. While nematodes have digestive, reproductive,
nervous and excretory systems, they do not have discrete circulatory or
respiratory systems. Nematodes use chemosensory and mechanosensory neurons
embedded in the cuticle to orient and respond to a wide range of environmental
stimuli. Adults are made up of roughly 1000 somatic cells and hundreds of those
cells are typically associated with the reproductive systems. Nematodes
ultrastructure seeks to provide studies which enable their use as models for
diverse biological processes including; human diseases, immunity, host-parasitic
interactions and the expression of phylogenomics. The latter has, however, not
been brought into a single inclusive entity. Consequently, in the current review
we tried to provide a comprehensive approach to the current knowledge available
for nematodes ultrastructures.
PMID- 27876902
TI - Evaluation of the alum-naloxone adjuvant activity against experimental murine
leishmaniasis due to L. major.
AB - Leishmaniasis is caused by intracellular parasites of Leishmania species, which
are transmitted by the bite of the sandfly. Recovery and protection against the
infection depends on the induction of a strong Th1 type of immune response.
Vaccination of mice with the opioid antagonist naloxone can promote the
activation of the Th1 responses. We studied the efficacy of the mixture of
naloxone and alum, as an adjuvant, to enhance immune responses and induce
protection against Leishmania major infection in BALB/c as a susceptible mouse
model. BALB/c mice were immunized with Ag-naloxone-alum, Ag-alum, Ag-naloxone or
PBS subcutaneously three times at 2-week intervals. The humoral and cellular
specific immune responses were assessed 2 weeks after the last immunization and
compared with the control mice. Our results indicated that the administration of
alum-naloxone as an adjuvant increased the capability of L. major promastigote
antigens to enhance lymphocyte proliferation, the levels of IFN-gamma, and the
IFN-gamma/IL-5 ratio. The results of DTH showed that there were no significant
differences in footpad swelling between the groups of immunized mice as compared
with the non-vaccinated control group; however, no significant differences were
observed in the survival rate among groups. It can be concluded that although
immunization with the alum-naloxone mixture in combination with the autoclaved L.
major promastigote antigens could enhance cellular immunity and shift the immune
response to a Th1 pattern, it could not protect the mice against Leishmania major
infection.
PMID- 27876903
TI - Alternations in the liver enzymatic activity of Common carp, Cyprinus carpio in
response to parasites, Dactylogyrus spp. and Gyrodactylus spp.
AB - The present study was carried out to investigate the effects of parasites,
monogenea, Dactylogyrus spp. and Gyrodactylus spp. on some enzymatic and
biochemical components of liver in healthy and infected common carp, Cyprinus
carpio. For this purpose, 10 healthy and 10 infected fish were collected from
farm. The blood samples were taken and after separation of serum, the values of
Aspartate aminotransferase (AST), Alanine aminotransferase (ALT) enzymes
activities as well as Creatinine and Urea were measured. Based on obtained
results, the values of AST, ALT enzymes activities as well as Creatinine and Urea
were higher in the infected fish compared to non-infected fish. In conclusion;
our results reveals that infection with external parasites, Dactylogyrus spp. and
Gyrodactylus spp. can causes some dysfunctions in liver and kidney of common
carp.
PMID- 27876904
TI - Effects of storage temperature on survival and infectivity of three indigenous
entomopathogenic nematodes strains (Steinernematidae and Heterorhabditidae) from
Meghalaya, India.
AB - Three locally isolated strains of entomopathogenic nematodes (EPNs), viz.
Heterorhabditis indica, Steinernema thermophilum and Steinernema glaseri, from
Meghalaya, India were characterized in terms of storage temperature and survival
and infectivity of their infective juveniles (IJs). The survival and infectivity
of nematode IJs was studied at, 5 +/- 2 and 25 +/- 2 degrees C, for a period of
120 days, using deionized water as storage medium. The viability of nematode IJs
was checked by mobility criterion at different storage periods, while the
infectivity of nematode IJs was ascertained on the basis of establishment of IJs,
using Galleria mellonella larva mortality tests in petridishes. The results of
this study revealed that storage temperature markedly affects the survival as
well as the establishment of nematode IJs of the three EPN species. At 5 degrees
C, comparatively higher rate of IJ's survival (i.e. 74-86 %) was observed for 15
days of storage, but the same reduced drastically to 28-32 % after 30 days of
storage for H. indica and S. thermophilum. On the other hand, at 25 degrees C,
the survival of nematode IJs was observed till 120 days for all the three studied
EPNs. In case of S. thermophilum and S. glaseri, higher rate of IJs survival (>75
%) was observed respectively at 15 and 30 days of observation. The study also
showed that the establishment of IJs of the three EPN species declines with
increase in storage periods, at both the test temperatures. In general, the
nematodes stored at 25 degrees C showed comparatively better establishment than
those stored at 5 degrees C. Among the three EPN studied, the establishment of
S. glaseri was comparatively better than the rest of the species at both the
temperatures and for different storage durations. In conclusion, our study adds
further valuable information about the effect of storage temperature on survival
and infectivity of three indigenous EPN species of Meghalaya, India which appears
to be promising biocontrol agents of local insect pests.
PMID- 27876905
TI - Studies on prevalence of endo-parasitic infection in wild carnivores maintained
under captive state.
AB - The present study was conducted to observe the prevalence of endo-parasites in
wild carnivores maintained under captive state at Tyavarekoppa Tiger and Lion
Safari unit near to Veterinary College, Shimoga. A total of 54 wild carnivores
were included in the study and the fresh fecal samples were collected, examined
on the same day by direct and sedimentation techniques for endo-parasitic
eggs/ova in the laboratory. Out of 15 tigers samples screened, 12 were harboring
mixed infections of Strongyle spp., Toxocara spp, oocysts of coccidia and
Spirometra spp. ova/eggs. Among 21 leopards sample screened, only 11 fecal
samples showed eggs of Toxocara spp. and three showed eggs/ova of Spirometra spp.
Of the 12 lion fecal samples examined, only 3 harbored eggs Toxocara spp. and two
showed mixed infections of Strongyle spp., Toxocara spp, oocysts of coccidia.
Among six Jackals screened, three faecal samples found positive for Strongyle
spp. and Toxocara spp. eggs/ova.
PMID- 27876906
TI - Topical terbinafine in the treatment of cutaneous leishmaniasis: triple blind
randomized clinical trial.
AB - Leishmaniasis is a spectrum of disease condition with considerable health
impacts, caused by different species of Leishmania. This disease is currently
endemic in 98 countries and territories in the world. There are many treatment
modalities for cutaneous leishmaniasis. The use of topical terbinafine in the
treatment of cutaneous leishmaniasis has recently been considered. Eighty-eight
participants more than two years old with proven acute CL by a positive direct
smear were randomly allocated to one of the two study arms: first group received
meglumine antimoniate (Glucantime) 20 mg/kg/day intramuscular injection (IM) plus
a placebo ointment (Mahan Vaseline) for 20 days. The second group received
meglumine antimoniate (Glucantime) 20 mg/kg/day IM plus topical terbinafine, for
20 days and were monitored closely by dermatologist during the course of the
study. Crude regression analysis showed that there was no significant difference
between placebo and intervention group regarding partial or complete treatment
(partial treatment: HRcrude = 1.1, CI 95 % = 0.7-1.7; complete treatment: HRcrude
= 1.1, CI 95 % = 0.8-1.7). Although, there was no statistically significant
different between the two treatment groups, but clinically it seems that the
treatment rate in those who receive glucantime plus terbinafine was more
effective than the other group. However this rate depended on the type of
lesions. As data indicated ulcerated nodules, papules and plaque in experimental
group have been completely improved two times faster than placebo group.
Ulcerated nodules, nodules and plaque were partially improved faster in those
used tebinafine than placebo ointment.
PMID- 27876907
TI - Prevalence of Cryptosporidium spp. in ruminants of Lorestan province, Iran.
AB - Cryptosporidiosis is one of the important zoonotic diseases caused by an
intracellular protozoan parasite called Cryptosporidium. This study aimed to
investigate the prevalence of Cryptosporidium spp. infection on 1,115 ruminants,
cattle, sheep and goats, in Lorestan province, Iran. Using formol-ether
concentration technique and modified Ziehl-Neelsen staining method afterwards,
the overall prevalence of Cryptosporidium spp. infection in ruminants of Lorestan
province was 7.17 %. Prevalence of infection was 9.07 % (39 of 430), 5.80 % (20
of 345) and 6.18 % (21 of 340) for cattle, sheep and goats respectively. There
was no significant difference between contamination of all examined animals and
different geographical and climatic situations (P > 0.05) and diarrhea was not
directly associated with Cryptosporidium infection (P > 0.05). In conclusion, the
prevalence of cryptosporidiosis in Lorestan province was relatively low, but it
should be noticed that this opportunistic parasite is zoonosis and also can make
epidemics in ruminants as well as human population in suitable conditions.
PMID- 27876908
TI - Effect of two hydatid cyst antigens on the growth of melanoma cancer in C57/black
mice.
AB - Hydatid cyst is the larval stage of Echinococcus granulosus. In previous studies
inhibitory effect of this parasite on cancer cell growth in culture medium has
been shown. In this study effect of hydatid cyst antigens on tumor growth in
experimental animals has been investigated. Two antigens of hydatid cyst
including protoscolices excretory secretory antigen and hydatid fluid absorbed on
alum as adjuvant were injected to two groups of C57/black mice as case groups.
Control groups were injected with only saline and alum. All mice then were
injected with melanoma cells. Both antigens reduced the tumor size in mice in
case groups. The difference of tumor size in mice in case groups and control
group was statistically significant. In conclusion, anti-tumor effect of hydatid
cyst antigens may be related to antigenic similarities which exist between
hydatid cyst and cancer cells.
PMID- 27876909
TI - Prevalence of gastrointestinal parasites in bovines in and around Junagadh
(Gujarat).
AB - Coprological examination of 416 bovine faecal samples revealed the presence of
parasitic stages of Toxocara vitulorum, strongyles, Strongyloides spp., Fasciola
spp., amphistomes, coccidia (Eimeria spp. and Cryptosporidium spp.) and
Buxtonella sulcata. About 42 % (n = 302) faecal samples from cattle and 36 % (n =
114) samples from buffaloes were positive for gastrointestinal (GI) parasitic
infections. Both cattle (14.57 %) and buffalo (15.79 %) had the highest incidence
of Buxtonella sulcata, respectively. The overall incidence of GI parasitic
infections in young animals (below 1 year) was higher followed by older (more
than 5 years) and adult animals (1-5 years) and the difference was statistically
significant (p < 0.05). Non descriptive breeds of bovines showed more parasitic
infections than pure breeds, the difference being statistically non-significant
(p > 0.05). Season wise GI parasitic infections were recorded to be non
significantly (p > 0.05) higher in monsoon (48.38 %) followed by summer (39 %)
and winter (34.61 %) in cattle. There was no significant variation of GI
infections in buffaloes in relation to season though highest prevalence was
documented in monsoon (44.89 %) followed by winter (35.71 %) and summer (24.32
%). Similarly, sex wise females recorded higher infection rates than males in
bovines and the difference being statistically non-significant (p > 0.05).
PMID- 27876910
TI - Low sensitivity of the ImmunocardSTAT(r) Crypto/Giardia Rapid Assay test for the
detection of Giardia and Cryptosporidium in fecal samples from children living in
Libreville, Central Africa.
AB - Giardiasis and cryptosporidiosis are now recognized as neglected tropical
parasitic diseases. The risk of their dissemination in developing countries,
such as Gabon, is increasing, due to urban crowding and poor sanitation.
Accurate, simple and rapid diagnosis tools are thus necessary for the estimation
of their real burden. The aim of this study was to evaluate the performances of
the ImmunocardSTAT(r)Crypto/Giardia Rapid Assay test for the detection of
Cryptosporidium (C.) spp. and Giardia (G.) duodenalis in children living in
Libreville, Gabon. Stool samples of 173 healthy children were screened by routine
microscopic using the merthiolate iodine formol concentration technique for
Giardia, the modified Ziehl Neelsen (ZN) staining for Cryptosporidium and the
ImmunocardSTAT(r) Crypto/Giardia RDT for the detection of Giardia and
Cryptosporidium parasite forms and antigens respectively. G. duodenalis was
detected with microscopy and the ImmunocardSTAT(r) Crypto/Giardia in 27 (15.6 %)
and 22 (13.3 %) fecal samples respectively. C. spp. oocysts were found in 18
(10.4 %) ones, whereas only one sample was positive with the
immunochromatographic assay. When microscopic examination was considered as the
reference method, sensitivity and specificity of the ImmunocardSTAT(r)
Crypto/Giardia Rapid Assay were found to be 63.0 %, 96.6 and 5.5 %, 99.3 % for G.
duodenalis and C. spp. respectively. The prevalence of G. duodenalis and C. spp.
carriage is high in children from Libreville. A low sensitivity of the
ImmunocardSTAT(r) Crypto/Giardia for the detection of both parasites is observed.
It is thus inappropriate as a diagnostic tool for detecting asymptomatic
carriers.
PMID- 27876911
TI - Detection of Theileria annulata carriers in Holstein-Friesian (Bos taurus taurus)
and Sistani (Bos taurus indicus) cattle breeds by polymerase chain reaction in
Sistan region, Iran.
AB - Theileria annulata is common in tropical and subtropical regions especially in
Iran and causes great economic losses in cattle industry. In Iran the
epidemiological aspects of bovine theileriosis in different breeds of cattle is
poorly understood. The aim of present study is comparison of the number of T.
annulata carriers in the two major cattle breeds (Holstein-Friesian and Sistani)
in Sistan of Iran by giemsa and polymerase chain reaction (PCR) methods. During
winter 2013, 160 native cattle, from the two major breeds in Sistan, with the
mean age of more than one year and without typical clinical symptoms of
theileriosis were selected. At first, a thin layer smear was held from their ear
sublime vein blood for Giemsa staining method. In order to do PCR assay, jugular
vein blood sample of each cow was taken. The PCR employs primers specific for the
721-bp gene fragment encoding the 30-kDa major merozoite surface antigen of T.
annulata. By PCR method, 38 (47.5 %) Holstein blood samples and 22 (27.5 %)
Sistani blood samples had DNA of T. annulata and considered positive (The
correlation was significant at values of P < 0.05). By checking 160 blood smears
with light microscope and lens * 100, only 10 samples (6.25 %) were positive for
T. annulata. Statistical comparison between PCR and smear method showed that the
PCR method is more sensitive and accurate in comparison to Giemsa staining method
to diagnose the asymptomatic carriers of T. annulata.
PMID- 27876912
TI - Synbiotic (Biomin imbo) alters gut bacterial microflora of Russian sturgeon,
Acipenser guldenstadti (Brandt & Ratzeburg, 1833) in a time-dependent pattern.
AB - We investigated the efficiency of Synbiotic (Biomin imbo) in alternation and
stabilization of gut microflora of Russian sturgeon, Acipenser guldenstadti. For
this purpose, five experimental treatments and one control group with three
replicates were considered. In treatment groups, fish were fed with diet
containing 1 (T1), 1.5 (T2), 2 (T3), 2.5 (T4) and 3 (T5) g Synbiotic/kg diet. In
control group, fish were fed without supplement of Synbiotic. Sampling for
bacterial studies was conducted at days 20, 40 and 60 after the beginning of the
experiment. According to results, there were no significant differences in total
bacterial count between Synbiotic treatments and also in comparison with control
group 20 days after the beginning of the experiment (P > 0.05). At days 40 and 60
of experiment, the highest total bacterial counts were observed in control and T1
group respectively. At day 20, the lactic acid bacteria count was higher
significantly in Synbiotic treatments compared to control group (P < 0.05). In
all sampling times (i.e. days 20, 40 and 60), the highest lactic acid bacteria
count was observed in fish fed with 3 g Synbiotic/kg diet i.e. treatment 5 (P <
0.05). In each experimental group, total bacteria and lactic acid bacteria counts
increased significantly as the duration of experiment expanded. In this regard,
these parameters were significantly higher at day 60 compared to day 40 and 20 (P
< 0.05). In conclusion, our results showed that Synbiotic (Biomin imbo) alters
and stabilizes efficiently the microflora of Russian sturgeon gut towards lactic
acid bacteria in a time-dependent pattern.
PMID- 27876913
TI - Circulating cathodic antigen cassette test versus haematuria strip test in
diagnosis of urinary schistosomiasis.
AB - Urinary schistosomiasis caused by Schistosoma haematobium constitutes a major
public health problem in many tropical and sub-tropical countries. This study was
conducted to evaluate circulating cathodic antigen cassette test and haematuria
strip test for detection of S. haematobium in urine samples and to evaluate their
screening performance among the study population. Microscopy was used as a gold
standard. A total of 600 urine samples were examined by microscopy for detection
of S. haematobium eggs, screened for microhaematuria using Self-Stik reagent
strips and screened for circulating cathodic antigen (CCA) using the urine-CCA
cassette test. The specificity of CCA, microhaematuria and macrohaematuria was
96.4, 40.6 and 31.2 % respectively while the sensitivity was 88.2, 99.3 and 100 %
respectively which was statistically significant (P < 0.001). These findings
suggest that using of urine-CCA cassette test in diagnosis of urinary
schistosomiasis is highly specific (96.4 %) compared with the highly sensitive
haematuria strip test (100 %). The degree of agreement between microscopic
examination and CCA detection was 99.3 % with highly statistically significant
difference (P < 0.001). The combination of two techniques could potentially use
for screening and mapping of S. haematobium infection.
PMID- 27876914
TI - Ultrastructural studies on Setaria digitata by scaning electron microscopy.
AB - Ultrastructural details of adult male and female worms of Setaria species
collected from the peritoneal cavities of bovines slaughtered in and around
Hyderabad, Telangana, India were studied using scanning electron microscopy. The
worms exhibited peribuccal crown with round mouth opening encircled by a pair of
lateral appendages and slightly notched dorso and ventro projections at the
anterior end where as bosses and fine cuticular striations were seen all over the
body. Posterior end of female worm ended in a round knob with a pair of lateral
appendages whereas three pairs of precloacal, a pair of adcloacal, three pairs of
postcloacal papillae, a central papillae just in front of the cloaca, a single
tongue shaped spicule emerging from the cloaca, and a phasmid in front of a pair
of lateral appendages were seen at the posterior end of male worm. The
ultrastructural details confirmed the worms as Seteria digitata.
PMID- 27876915
TI - Effect of olive leaf, Satureja khuzestanica, and Allium sativum extracts on
Giardia lamblia cysts compared with metronidazole in vitro.
AB - Giardia lamblia is one of the common causes of worldwide diarrhea in children.
Appropriate medicinal treatment for giardiasis is available but there are some
evidences of drug resistance, insufficient efficacy, and unpleasant side effects.
In order to reach a more natural drug with suitable efficacy and the lowest side
effects, the effects of the hydroalcoholic extracts of olive leaf, Satureja
khuzestanica, and Allium sativum on G. lamblia cysts were evaluated in vitro, as
well as antigiardial effect of the extracts was compared with metronidazole as
the drug of choice. 2 and 5 mg of the plants extracts and powder of metronidazole
250 mg pills were added to 1 ml of G. lamblia cysts suspension (containing 5,000
cyst/ml normal saline), and the percentages of bioavailability of G. lamblia
cysts were examined at the 2nd and 4th h after exposure and in 4 and 37 degrees
C temperatures using eosin 0.1 % and a haemocytometer. The data were analyzed by
multiway ANOVA test, Tukey's test, and the SPSS software, version 18. The
examinations demonstrated that olive leaf extract had the most fatality rate on
G. lamblia cysts in vitro (37.90 +/- 7.01 %), followed by the extract of S.
khuzestanica (32.52 +/- 9.07 %). Metronidazole 250 mg pills had relatively
effective fatality rate on G. lamblia cysts in vitro (28.75 +/- 10.30 %), whereas
A. sativum (garlic) had the lowest fatality effect on G. lamblia cysts in vitro
(22.65 +/- 10.47 %). With respect to higher fatality effect of olive leaf and S.
khuzestanica extracts compared with metronidazole in vitro, these plants can be
used as suitable candidates to make new antigiardial drugs with low side effects
and without drug resistance in the treatment of giardiasis in children.
PMID- 27876916
TI - A survey on the prevalence of strongyles species in working donkeys in North-West
of Iran.
AB - Faecal samples for detection of gastrointestinal parasites were collected from 60
donkeys from 6 villages in Marand, North-West of Iran. Faecal samples of 2
donkeys (3.33 %) were negative for parasite eggs. 48 positive donkeys (81.66 %)
were infected with a single parasite type, 9 (15.51 %) and 1 (1.66 %) of donkeys
had multiple infections with two and three parasites, respectively. The highest
prevalence and intensity rate belonged to small strongyles. The overall
prevalence of intestinal parasites eggs in the positive donkeys were: strongyles
100 %, Parascaris equorum (15.51 %), Habronema spp. 1.72 %. Larval identification
showed that small strongyle larvae were most frequent (100 %) followed by
Strongylus edentatus (5.17 %), S. equinus (35.71 %) and S. vulgaris (26.66 %).
This study revealed that donkeys in Iran are infected with a range of helminths,
which are representatives of the important pathogenic parasites found in equids
worldwide.
PMID- 27876917
TI - Treatment and control of Trixacarus caviae infestation in a conventional guinea
pig (Cavia porcellus) breeding colony.
AB - A case of sarcoptic mange caused by Trixacarus caviae in a conventional guinea
pig breeding colony is reported. The infestation was reported in a large colony
of guinea pigs during the month of July, 2013 affecting 30 breeder guinea pigs.
Severely infested animals were treated individually with subcutaneous injection
of ivermectin 1 % w/v (Neomec(r)) at the rate of 400 ug/kg body weight 10 days
apart. Three doses of ivermectin were sufficient to eliminate the parasite which
tested negative after 30 days of the first treatment. The entire colony was given
preventive dose of ivermectin spray (2 mg/ml solution) following the same
schedule. Strict hygienic measures were followed. New hair growth in the severely
affected animals was evidenced on 30th day of treatment.
PMID- 27876918
TI - Report of Amblyomma testudinarium in mithuns (Bos frontalis) from eastern Mizoram
(India).
AB - Physical examination of semi-domesticated, free ranging mithuns (Bos frontalis)
during an animal health check-up and treatment camp organized at Khuwangleng
village in the Champhai district of Mizoram, India and adjacent to Myanmar Border
revealed presence of unusually large blood engorged ticks attaching to the dewlap
and inner aspects of thighs. On the basis of morphological study, the ticks were
found indistinguishable from female Amblyomma testudinarium. Prevalence rate of
the tick species in mithuns living in the forests was 9.09 % which was recorded
as the highest of all reports made earlier from the North Eastern region of
India. Medical and veterinary significance of the tick is discussed in the light
of available literature.
PMID- 27876919
TI - Oestrus ovis larvae in nasal cavity of sheep: a case report.
AB - The present communication reports the infestation of nasal cavities of sheep by
larvae of Oestrus ovis from Kashmir Valley.
PMID- 27876920
TI - Use of chicken embryonated eggs for evaluating the virulence of Toxoplasma
gondii.
AB - The objective of the present study was to examine the virulence of Toxoplasma
gondii RH strain tachyzoites in embryonated eggs after continuous passage in Vero
cell line. The first T. gondii tachyzoites was considered low passage (no
passage) and then passaged for 80 times on Vero as high passage. Groups of 9-day
old embryonated eggs with ten eggs in each group were inoculated with 102,103 and
104 of low or high-passage T. gondii tachyzoites, and any mortality was recorded.
Suitable samples from different tissues (liver, heart, and brain) of the dead
embryos were collected for histopathological study. In this study, the mortality
in group 103 and 104 was observed, but there was no significant differences in
mortality rate in T. gondii low passage and high passage. This finding exactly
correspond to previous studies that were performed in mice as animal model for T.
gondii RH strain. Thus on base of this study we could introduce the embryonated
eggs as an appropriate animal model to evaluate the virulence of T. gondii
tachyzoites.
PMID- 27876921
TI - Ectoparasite (louse, mite and tick) infestations on female turkeys (Galliformes,
Phasianidae. Meleagris gallopavo) in Iran.
AB - Wild turkeys (Meleagris gallopavo) were evaluated as potential hosts of
ectoparasites. Different lice, ticks and mites can infest turkeys and cause
direct and/or indirect harms and in some cases can also be fatal. Samples
(feathers) were collected from lateral parts of 15 and 7 female turkeys' body of
two different turkey flocks, in Rasht, Gilan province and Piranshahr, Western
Azerbaijan province, Iran, respectively. Feathers were inspected for
ectoparasites, the collected ectoparasites were decolorized and mounted then
identified by identification keys in parasitology laboratory. In this research,
four new lice, one mite and one tick on 13 infested turkeys were identified and
discussed.
PMID- 27876922
TI - Anthelmintic potential of Calotropis procera, Azadirachta indica and Punica
granatum against Gastrothylax indicus.
AB - Anthelmintic activity of both ethanolic and aqueous extracts of Calotropis
procera flowers, Azadirachta indica leaves and Punica granatum fruit peel in
comparison with albendazole was evaluated through in vitro studies by the worm
motility inhibition assay. Significant anthelmintic effects (p < 0.0005) were
observed on live Gastrothylax indicus worm as evident from their mortality at 4 h
post exposure to both ethanolic and aqueous extracts. Phytochemical analysis of
extracts revealed the presence of phenols, alkaloids, saponins, tannins,
flavonoids, steroids and triterpenoids. LC-50 values were determined to be 12.05
mg/ml +/- 3.24 and 23.52 mg/ml +/- 6.4 for C. procera, 24.37 mg/ml +/- 4.11 and
21.02 mg/ml +/- 4.6 for A. indica, 18.92 mg/ml +/- 4.54 and 24.43 mg/ml +/- 6.96
for P. granatum ethanolic and aqueous extracts respectively, whereas it was 29.23
MUg/ml +/- 4.51 for albendazole. The mean mortality index (MI) was 1.0 and 0.90
for C. procera, 0.90 for A. indica and 0.73 and 0.80 for P. granatum ethanolic
and aqueous extracts respectively whereas for albendazole it was 1.0. Percent
mean worm motility inhibition (%WMI) was observed to be between 70 and 100 % for
different extracts.Various concentrations (5-5000 MUg/ml) of all the plant
extracts and albendazole were used to detect their cytotoxic effects against HeLa
cell line to determine CC-50 by MTT assay. CC-50 values, of all the plant
extracts were determined to be >1000 MUg/ml and for albendazole it was found to
be >10 MUM. All the three plants can be potential sources for novel
anthelmintics.
PMID- 27876923
TI - Escalations in the incidence of canine babesiosis over a period of 8 years (2006
2013) in Chennai, Tamil Nadu, India.
AB - Epidemiological studies on the incidence and prevalence of disease will help in
the monitoring and surveillance of diseases thus preventing occurrence of an
epidemic. This study focuses on the variations in the incidences of canine
babesiosis over a period of 8 years in Chennai. Variations have been noticed to
in the incidence of the species of Babesia affecting dogs. There has been an
escalation in the incidence of B.gibsoni infection during the study period. The
factors such as seasonal, age, sex and breed influences on the incidence have
been studied.
PMID- 27876924
TI - First report of Oriental latrine flies causing vaginal myiasis in human.
AB - The present paper reports a case of human vaginal myiasis in a 22 year old woman.
This is the first report of this fly species to cause vaginal myiasis in human
till now. The infested maggots were removed from the vagina and cultured in
laboratory. Entomological studies on the emerged flies showed that the infested
larvae were Oriental latrine fly Chrysomya megacephala Fabricius. Clinical
presentation and treatment strategies are discussed also.
PMID- 27876925
TI - Therapeutic effect of Arctium lappa in Schistosoma haematobium associated kidney
disturbance: biochemical and molecular effects.
AB - Schistosoma haematobium (S. haematobium) infection has been found to be strongly
associated with bladder cancer, which necessitates for discover of a natural new
therapeutic agent. The aim of this study was to evaluate the therapeutic effect
of Arctium lappa seed extract in S. haematobium associated kidney disturbance.
Forty male albino mice were used and divided into four equal groups; group 1
control includes non-infected healthy mice, groups 2, 3 and 4 subcutaneous
infected with S. haematobium cercariae. Groups 3 co-treated daily with oral dose
of A. lappa seed extract (300 mg/kg, bwt) for 15 days in the same time of S.
haematobium infection. Groups 4 post-treated daily for 15 days with oral dose of
A. lappa seed extract (300 mg/kg, bwt) after 15 days of S. haematobium infection.
The results obtained revealed that S. haematobium significantly decreased kidney
weight and serum sodium, potassium and chloride, but increased urinary volume,
urinary excretion of sodium, potassium and chloride, serum urea, creatinine and
uric acid. Schistosoma haematobium also significantly decreased kidney superoxide
dismutase, glutathione peroxidase and reduced glutathione levels while increased
kidney lipid peroxidation level. Co- and post-treatment with A. lappa seed
extract restore all the above parameters to approach the normal values. These
results were supported with histopathological examinations. In conclusion, A.
lappa seed extract has therapeutic effect in kidney disturbance caused by S.
haematobium where co-treatment of A. lappa seed extract was more effective than
post-treatment of the extract.
PMID- 27876927
TI - Anticestodal properties of Hibiscus rosa-sinensis L. (Malvaceae): an in vitro and
in vivo study against Hymenolepis diminuta (Rudolphi, 1819), a zoonotic tapeworm.
AB - The aim of this study was to investigate the in vitro and in vivo anticestodal
effects of methanol extract of Hibiscus rosa-sinensis L. (Malvaceae) leaf against
Hymenolepis diminuta (Rudolphi, 1819), a zoonotic tapeworm. Under the in vitro
study, H. diminuta worms were exposed to 10, 20 and 40 mg/ml concentrations of
methanol leaf extract and the effects were judged on the basis of physical
motility/mortality of worms. On the other hand, in the case of in vivo study, H.
diminuta infected rats were treated individually with 200, 400 and 800 mg/kg
doses of leaf extract for 5 days. The effects were judged on the basis of
reduction in eggs per gram (EPG) of faeces and worm counts. In case of in vitro
test, the treatment with 40 mg/ml concentration of extract revealed prominent
anticestodal effect and caused paralysis of worms in 3.00 +/- 0.53 h and
mortality in 4.08 +/- 0.21 h. However, under in vivo study, the 800 mg/kg dose of
extract revealed the highest anticestodal effect and caused 66.55 % reduction in
EPG count and 75.00 % reduction in worm count in the treated animals. The results
of this study indicated that H. rosa-sinesis leaf extract possesses concentration
dependent anticestodal effect against H. diminuta, indicating that the plant
possesses promising active principle for the control of intestinal helminthic
infections.
PMID- 27876926
TI - A survey study on gastrointestinal parasites of stray cats in Azarshahr, (East
Azerbaijan province, Iran).
AB - Gastrointestinal parasites are among the most common parasitic infections found
in stray cats, which might act potential helminthic parasites to domestic cats.
The objective of this study was to determine the prevalence of gastrointestinal
parasites in stray cats in the city of Azarshahr, which is located in East
Azerbaijan province, Iran. A cross-sectional study was conducted on 50 necropsied
stray cats, trapped and collected from different geographic regions of Azarshahr.
From a total 50 stray cats examined, 15 (30 %) were female and 35 (70 %) were
male. Overall 47 cats (94 %) were identified as infected with at least one of the
endoparasites. The prevalence of parasites found were: Taenia taeniaeformis (60
%), Dipylidium caninum (58 %), Taenia hydatigera (24 %), Mesocestoides lineatus
(78 %), Ancylostoma tubaeforme (14 %), Toxascaris leonina (30 %), Toxocara cati
(78 %), Physaloptera praeputialis (10 %), and Syphacia obvelata (10 %).
Contamination rate for zoonotic parasites of cat was greater than expected in
AzarShahr region. Therefore, appropriate control measures should be taken and
preventive methods should be applied.
PMID- 27876928
TI - In silico sequence analysis, homology modeling and function annotation of
leishmanolysin from Leishmania donovani.
AB - Leishmaniases are a complex of diseases that range from the deadly visceral
disease and some self-curing lesions to gross disfigurations. About 12 million
peoples from 88 different countries get infected by this protozoan parasite
through the sand flies. Visceral leishmaniasis is a potentially fatal disease
endemic to large parts of Asia and Africa, primarily caused by the protozoan
parasite Leishmania donovani. L. donovani is a species of Leishmania, a
hemoflagellate parasite and causative agent of visceral leishmaniasis.
Leishmanolysin is the major surface protein of the parasitic Leishmania.
Leishmanolysin has been described as a parasite virulence factor and is involved
in the direct interaction of promastigotes and host macrophage receptors and
interaction with the complement cascade. In the current study we predicted the 3D
structure of leishmanolysin using homology modeling as 3D structure prediction
approach. Leishmanolysin is a stable extracellular stable protein of 561 amino
acid residues. 3D structure of the leishmanolysin was determined using Protein
Structure Prediction Server (PS2 Server) selecting MODELLER as 3D structure
prediction method. Quality analysis of the model through its Ramchandran Plot and
ERRAT value (94.25) indicated that it is a reliable model. Functional annotation
showed that this protein is a member of the superfamily cl18220. The information
thus discussed provides insight to the molecular understanding of structure and
function of leishmanolysin from L. donovani. The predicted 3-D model may be
further used in characterizing the protein in wet laboratory.
PMID- 27876929
TI - Branchial cymothoids infesting the marine food fishes of Malabar coast.
AB - Occurrence of cymothoid isopods parasitizing the branchial chamber of marine food
fishes along the Malabar coast was investigated. Live and fresh fishes collected
from the Ayyikkara fish landing center (Lat. 11 degrees 51'N, Long. 75 degrees
22'E; Malabar coast, India) were subjected to the thorough observation for the
presence of branchial cymothoids for 3 consecutive years (November 2009-November
2012). Among the recovered cymothoids, 11 species were branchial residents
belonging to 6 genera; the species include Agarna malayi, Catoessa gruneri, C.
boscii, Joryma hilsae, J. brachysoma, J. engraulidis, J. sawayah, Mothocya
collettei, M. renardi, Norileca indica and Ryukyua circularis; highest prevalence
being exhibited by two species of Mothocya, (M. renardi and M. collettei)
parasitizing the belonidaen fishes, Strongylura leiura (92.15 %) and Tylosurus
crocodilus crocodilus (87.2 %) respectively. Except Mothocya species, which
preferred the branchial floor for infestation, all recovered branchial cymothoids
were found attached the inner wall of the operculum. In several instances, the
parasites appeared in male-female pairs, one in each branchial cavity. Ovigerous
female members of all species of branchial cymothoids except R. circularis showed
remarkable bending either towards left or right depending on whether they are
located in right or left branchial cavity of their respective host fishes. The
deleterious effects of parasitization by all recovered branchial cymothoids
include the formation of a pit like depression in the branchial chamber and
atrophy of the gill filament; the damage was more pronounced in the gill cavity
of parasitized host fishes where the ovigerous female member was accommodated.
PMID- 27876930
TI - Seroprevalence of Toxoplasma gondii infection in domestic dogs in an area from
northwest of Iran: a cross-sectional study using immunodominant surface antigen 1
(SAG1).
AB - Toxoplasma gondii is an obligate intracellular protozoan parasite that infects
humans and animals. T. gondii surface antigen 1 (SAG1) is an appropriate antigen
with high specificity and sensitivity for the detection of T. gondii infection in
humans and animal hosts. The aim of this study was to determine the
seroprevalence of T. gondii infection using SAG1 antigen (P30) in ownership dogs
in Meshkin-Shahr district in the northwestern Iran. The sera samples were
collected from 171 domestic dogs and tested using indirect ELISA (SAG1 antigen).
The data were analyzed using SPSS software version 13. From a total of 171 dogs,
82 (48 %) of them were sero-positive. No statistical significant difference was
seen between T. gondii infection and gender (P = 0.995). The highest sero
prevalence of rate was observed in >5 years animals; but no statistical
significant difference was seen between T. gondii infection and age (P = 0.589).
Our findings indicate that Toxoplasma seropositivity rate is high in ownership
dogs in northwest of Iran. This is probably due to high exposure to contaminated
food, soil, or water sources with sporulated Toxoplasma oocysts.
PMID- 27876931
TI - The prevalence of non-indigenous parasitic copepod (Neoergasilus japonicus)
spreads with fishes of pet trade in Kerman, Iran.
AB - Ergasilids are copepods living in the river mouth of freshwaters and parasitic on
Teleost fish family in both natural and artificial environments. So far, 5
species of the copepod have been discovered that belong to the genus
Neoergasilus. This copepod is most likely to be disseminated through aquarium
trades, aquaculture and over-nutrition, or construction of sand carrying water.
The females of Ergasilidae are external parasites attaching to the anal and
dorsal fins and sometimes to gills and nasal cavities of fish living in
freshwaters. In total, 552 pieces of ornamental fish (301 males and 251 females)
with length of 5-10 cm from fish (Poecilia sphenops) species (Singapore, Sandy,
Dirigible and scorpion's tail) were collected from ornamental fish stores in
different regions of Kerman, Iran during 1 year in 2012-2013 and tested in order
to examine Neoergasilus japonicus infestation. From 188 adult females
Neoergasilus japonicus specimens recorded on the fish host, 8 (4.26 %) were on
the anal, 120 (63.83 %) on the dorsal, 10 (5.32 %), on the pectoral, 45 (23.94 %)
on the pelvic, and 5 (2.66 %) on the caudal fins. In this study, the prevalence
of parasitic copepod infestation from Dec. to May was 26.31, 27.69, 26.19, 14,
18.75, and 7.5 %, respectively. There was no significant difference between
infestation prevalence in indigenous and non-native fishes (P = 0.18). There were
significant differences between different months of year in the prevalence and
intensity of Neoergasilus japonicas (P < 0.05). There was significant difference
between frequency distribution of Neoergasilus Japonicus infestation in different
organs (P < 0.05). The male fish infestation (16.3 %) was significantly higher
than female fish infestation (5.6 %) (P < 0.05). Considering that the
Neoergasilus japonicus was first observed in native and nonnative ornamental fish
in Kerman, further studies should be conducted on the copepod infestation in
stores supplying ornamental fish in other parts of Iran to make more accurate
judgments.
PMID- 27876932
TI - Zataria multiflora Bioss: lethal effects of methanolic extract against
protoscoleces of Echinococcus granulosus.
AB - The present study was aimed to evaluate the lethal effects of Zataria multiflora
Boiss (Lamiaceae) methanolic extract against Echinococcus granulosus
protoscoleces. Protoscoleces were aseptically aspirated from sheep livers having
hydatid cysts. Various concentrations of the essential oil (2.5-20 mg/mL) were
used for 10-60 min. Viability of protoscoleces was confirmed using eosin
exclusion test (0.1 % eosin staining). Obtained results showed that Z. multiflora
extract at the concentration of 20 mg/mL after 10 min of exposure killed 100 %
protoscoleces. The mean of mortality rate of protoscoleces after 20 min of
exposure to the concentration of 10 mg/mL was also 100 %. Lower concentrations of
Z. multiflora extract provoked a delayed protoscolicidal activity. The findings
indicated potential of Z. multiflora methanolic extract as a natural source for
the producing of new scolicidal agent for use in hydatid cyst surgery.
PMID- 27876933
TI - New potential host for Ryukyua globosa (Crustacea, Isopoda, Cymothoidae) from
Parangipettai, Southeast coast of India.
AB - In the present study Ryukyua globosa parasitic isopod on the marine fishes of the
Parangipettai coast are reported. R. globosa was found attached in the gill
chamber of Sardinella gibbosa. The attachment position of Ryukyua species differs
from that of other member of this genus. Until now, R. globosa was only reported
on Amblygaster sirm and A.leiogaster. S.gibbosa is a new host record for
R.globosa. R. globosa, branchial parasites, already known from Japan and is now
recorded from the Southeast coast of India.
PMID- 27876934
TI - Diversity of parasites in Curimata incompta (Curimatidae), a host from Amazon
river system in Brazil.
AB - This paper is the first study on host-parasite relationship in wild Curimata
incompta Vari, 1984 (Curimatidae) from Amazon river system, Northern Brazil. In
40 specimens examined from December 2012 to November 2013, 615,818 parasites were
collected, such as Ichthyophthirius multifiliis, Piscinoodinium pilullare,
Urocleidoides sp., Posthodiplostomum sp., Gorytocephalus elongorchis and Braga
patagonica. The parasites' component community had a low Brillouin diversity
(0.16 +/- 0.15), a low species richness (3.1 +/- 0.7), a low evenness (0.09 +/-
0.09) and a high dominance of Berger-Parker (0.96 +/- 0.06). I. multifiliis was
the dominant parasite species and it showed the highest prevalence and intensity
in the host population. There was an aggregate dispersion of parasites, but the
low parasitism did not affect the body condition of the host. The occurrence of
parasites in C. incompta was due to their life habits and food behavior. This
study, besides expanding the geographical distribution of G. elongorchis in
Brazil, records the first occurrence of these six parasites in C. incompta.
PMID- 27876935
TI - Occurrence, morphology and molecular characterisation of Bopyrid parasite
Epipenaeon ingens Nobili, 1906 (Isopoda: Bopyridae).
AB - Epipenaeon ingens occurs most commonly in Southeast Coast of India parasitized
the penaeid shrimp. The present study provides the prevalence, morphological and
molecular characterisation of E. ingens collected from the host Metapenaeopsis
stridulans. In the present study highlights the significance of high prevalence
and genetic diversity of E. ingens in M. stridulans. Intra specific analysis also
revealed that E. ingens species detected in the present study can be easily
distinguished from the other genospecies of bopyrids. Further application of this
molecular tool to investigate the genetic variability among bopyrids detected in
different hosts may facilitate our understanding of the significance of genetic
diversity in relation to the infestation of bopyrid species in south coast of
India.
PMID- 27876936
TI - In vitro and in vivo anthelmintic activity of seed extract of Coriandrum sativum
compared to Niclosamid against Hymenolepis nana infection.
AB - Phytotherapy can be an alternative for the control of gastrointestinal parasites
in human and animals. Coriander (Coriandrum sativum L.) is a medicinal plant
which grown as a spice crop all over the world. The seeds of this plant have been
used to treat parasitic disease, indigestion, diabetes, rheumatism and pain in
the joints. This study was carried out to compare the efficacy of Niclosamid and
alcoholic seed extract of C. sativum on Hymenolepis nana infection, in vivo and
vitro. For in vivo study, Balb/c mice were used, to compare the efficacy of 50
mg/kg body weight (B.W) of Niclosamid with different doses of alcoholic extracts
of C. sativum (250, 500, and 750 mg/kg B.W). It was found that the efficacy of
Niclosamid had reached 100 % after 11 days post treatment, while the efficacy of
500 and 750 mg/kg B.W of C. sativum reached to 100 % after 15 days after
treatment. For in vitro study, special nutrient broth media was used. It was
found that the addition of 1000 mg/ml of Niclosamid had paralyzed and killed
worms within 5 min, while C. sativum killed them within 30 min. Our results
showed that extract of C. sativum has good effect against H. nana and could be
use in traditional medicine for treatment of parasitic disease.
PMID- 27876937
TI - Clinical management of demodicosis in Ongole cattle.
AB - Demodicosis was diagnosed in a cattle based on dermatological lesions and
examination of skin scrapings. The cattle had anaemia, leucocytosis, eosinophilia
and neutrophilia. Cattle was treated with strepto penicillin, ivermectin, amitraz
along with supportive therapy. After 2 months of therapy clinical cure and
regrowth of hair was noticed.
PMID- 27876938
TI - Polymerase chain reaction (PCR) based amplification of hmcp3 and hmcp6 cysteine
protease genes of Haemonchus contortus from small ruminants.
AB - Haemonchus contortus is a haematophagous nematode in small ruminants population
and causes anaemia, weakness and mortality especially in young animals. In the
present study, hmcp3 partial gene of 836 bp and hmcp6 full length gene of 1041 bp
were amplified from the cDNA of Bareilly isolate of adult male H. contortus by
polymerase chain reaction. Further gene characterization and expression studies
are warranted to know the immunoprophylactic potential of hmcp3 and hmcp6
proteins of H. contortus.
PMID- 27876939
TI - Molecular detection of Toxoplasma gondii in house sparrow (Passer domesticus) by
LAMP and PCR methods in Tehran, Iran.
AB - Toxoplasma gondii is one of the most common zoonotic parasitic diseases in human
and warm-blooded animals worldwide. Birds are one of important intermediate hosts
of T. gondii. The aim of this study is molecular detection of T. gondii in the
house sparrow by LAMP and PCR methods in Tehran, Iran. A total 200 sparrows were
captured in different regions of Tehran. DNA was extracted from tissue samples of
each sparrow. LAMP and conventional PCR assays were carried out with a set of
primers to detect the 529 bp fragment of T. gondii. LAMP and PCR were detected T.
gondii from 17 (8.5 %) and 15 (7.5 %) of 200 sparrows respectively. These results
indicated that sensitivity of LAMP was higher than conventional PCR. In our
knowledge, this study is the first report of detection of T. gondii by LAMP
method in bird hosts. Also, these findings provided an insight into
epidemiological pattern of T. gondii infection in sparrow in Iran.
PMID- 27876940
TI - Echinococcus granulosus in humans associated with disease incidence in domestic
animals in Kermanshah, west of Iran.
AB - Hydatidosis is one of the important zoonotic diseases that cause considerable
economic losses and public health problems worldwide. This study was conducted to
determine the prevalence Echinococcus granulosus in people and slaughtered
animals in Kermanshah province, west of Iran. Hospitals data and meat-inspection
records in Kermanshah abattoir were used in this study. A total number of 32,130
slaughtered livestock (7000 cattle, 19,950 sheep and 5180 goats) was inspected in
the 3-year period and overall 2043 (6.35 %) were infected. The highest and lowest
prevalence was recorded in cattle and goats, respectively. In human, Cystic
echinococcosis affected more females (54 %) than males (46 %) with a 1.17 male to
female ratio. Rate of disease in urban and rural regions were 46 and 54 %,
respectively. The youngest and the oldest patient operated were 7 and 87 years
old, respectively, and the age group 21-40 years (41.2 %) were the most affected.
A significantly higher number of hydatid cysts were recorded in the liver than in
other sites. The ratio of hepatic hydatidosis to pulmonary hydatidosis was 2.46.
The results showed that hydatidosis is of great importance in this area and
serious attention is needed to prevent and control the disease.
PMID- 27876941
TI - Toxocara vitulorum in a suckling calf: a case study.
AB - The present clinical case reports toxocariosis in a suckling calf and its
management. One and a half month old crossbred Jersey calf was presented at a
Veterinary Clinics, District Pulwama, Kashmir with a history of intermittent
diarrhea, poor growth, weakness, moderate dehydration and anorexia. On
inspection, the calf showed normal physiological parameters viz; body
temperature, pulse rate and respiration rate. On faecal examination, eggs of
Toxocara vitulorum were found. The animal was treated with 30 ml suspension of
1.5 % fenbendazole + 0.5 % praziquantel orally. After examination of faecal
samples on 7th and 14th day post treatment no T. vitulorum eggs were observed.
PMID- 27876942
TI - Prevalence of Enterobius vermicularis amongst kindergartens and preschool
children in Mazandaran Province, North of Iran.
AB - Enterobiasis (oxyuriasis) is probably the most common helminth, which infects
humans. Amongst different age groups, prevalence of Enterobius vermicularis in
children is high compared to adults. Oxyuriasis is one of the most significant
parasitic diseases of children. This nematode in children can result in loss of
appetite, insomnia, grinding of the teeth, restlessness, endometritis, abdominal
cramps, diarrhea and etc. Due to important complications of this parasite, the
objective of the current study was to determine the prevalence of enterobiasis in
kindergarten and preschool children of Amol, Mazandaran Province, North of Iran.
A total number of 462 children from 32 kindergartens of Amol were examined for
the prevalence of E. vermicularis infection, 2013. Adhesive cello-tape anal swab
method was trained to parents for sampling. In addition, a questionnaire was
designed and filled out to collect demographic information for each individual.
Data were analyzed using Chi square test and multivariate logistic regression for
each risk factor. The overall prevalence of E. vermicularis infection was 7.1 %
(33). Although infection with E. vermicularis in girls 7.9 % was higher compared
to boys 6.3 %, there was no significant difference between gender and age (p >
0.05) whereas binary logistic regression showed significant difference between
enterobiasis and age (p < 0.05). The findings indicated that the prevalence of E.
vermicularis in kindergarten and preschool children is relatively high and still
is an important health problem and should not be underestimated due to being
highly contagious infection. Therefore, educational programs and mass treatment
should be carried out in order to reduce infection incidence in this area and
regular parasitological test and attention to personal hygiene in kindergarten
and preschool is of great importance.
PMID- 27876943
TI - Hematological characteristics associated with parasitism in Pikeperch Sander
lucioperca (Percidae) from Anzali Wetland.
AB - A total of 120 Sander lucioperca (Percidae) were captured and investigated for
parasites and also haematological parameters were analysed and compared between
infected and uninfected fish. The haematological analysis showed reductions in
haematocrit, haemoglobin, red blood cell, whereas with blood cell (WBC) and
lymphocyte significantly increased (P < 0.05) in infected fish, whereas with
blood cell (WBC) and lymphocyte significantly increased (P < 0.05) in infected
fish. Parasitological inspections revealed the following infestations:
Eustrongylides excisus (Nematoda), Dactylogyrus sp. (Platyhelminthes) and
Achtheres percarum, Diplostomum spathaceum (Platyhelminthes) and Trichodina sp.
(Ciliophora). The prevalence and intensity of the infection with parasites were
varied at age groups of host. Significant differences were found for the white
blood cell and lymphocyte in relation to parasitism. Parasitism had no influence
on studied blood parameters of S. lucioperca in natural conditions.
PMID- 27876944
TI - Seasonal incidence of parasitic diseases in bovines of south western Gujarat
(Junagadh), India.
AB - The current study was conducted to investigate the incidence of parasitic
diseases in bovines which were sick and brought at veterinary hospital for
treatment. A total of 366 samples were investigated from cattle (n = 175) and
buffaloes (n = 191) presented at Teaching Veterinary Clinical Complex (TVCC),
Veterinary College, Junagadh, Gujarat during January to December 2014.
Examination of Giemsa-stained peripheral blood smears exhibited that 58.6 % of
cattle and 41.2 % of buffaloes were infected with haemoparasites comprising
Babesia bigemina, Theileria annulata, and Anaplasma marginale @ of 54.0, 3.4 and
1.1 in cattle and 38.8, 1.2 and 1.2 percent in buffaloes, respectively. The
incidence of total haemoparasites and B. bigemina infections was significantly
higher (p < 0.05) in cattle whereas, incidence of haemoparasites were recorded
significantly higher in the month of July to November (i.e., rainy and autumn) in
both cattle and buffaloes, respectively (p < 0.01 and p < 0.001). Coprological
examination revealed that the overall incidence of gastrointestinal (GI)
parasitic infection was 45.5 % in cattle and 43.4 % in buffaloes. The incidence
of individual parasite was 11.4, 1.1, 2.3, 4.5, 1.1, 3.4, 2.3 and 19.3 in cattle
and 4.7, 0.9, 0.0, 2.8, 0.9, 5.7, 0.0 and 28.3 % in buffaloes for Eimeria spp.,
Trichuris spp., Toxocara vitulorum, Strongyle, Fasciola spp., amphistomes,
Schistosoma indicum and Buxtonella sulcata, respectively which differ
insignificantly (p > 0.05). Seasonal prevalence of GI parasites was highest in
summer in both cattle and buffaloes, the data being statistically non-significant
(p > 0.05). However, the incidence of B. sulcata in both cattle (19.3 %) and
buffaloes (28.3 %) was higher in comparisons to other GI parasites. The present
investigation emphasized that B. bigemina and B. sulcata are the most important
parasites of bovines of this region.
PMID- 27876945
TI - A case of fatal gastrointestinal haemorrhage due to hyperinfection with
Strongyloides stercoralis.
AB - Strongyloides stercoralis is an intestinal nematode with a complex life cycle. It
is usually asymptomatic in healthy host however it may be a life threatening
condition in immunocompromised patients when hyperinfection is associated with
disseminated disease. However, reports of gastric involvement are rare. This is a
case report of fatal gastrointestinal hemorrhage due to hyperinfection with S.
stercoralis in a Burmawi male patient after brief corticosteroid therapy.
PMID- 27876946
TI - Evaluation of clinical, biochemical and haematological markers in natural
infection of canine monocytic ehrlichiosis.
AB - Caanine monocytic ehrlichiosis caused by Ehrlichia canis has gained wider
significance owing to its potential to inflict significant deleterious effect on
the health of companion animals. In the present study, 46 confirmed ehrlichiosis
positive dogs were evaluated for the alterations in clinical, haematological and
biochemical attributes. Depression, anorexia, pyrexia, anaemia, weakness,
jaundice, melana, vomition and diarrhoea were the main clinical symptoms
onserved. Haematological alterations included pancytopenia especially
thrombocytopenia. Significant changes were noticed in WBC, RBC, Hgb, McHc,
Platelets, ALT values while rest all the studied haematological and biochemical
parameters showed non-significant alterations within normal range in comparison
to normal healthy controls. The findings substantiate that ehrlichiosis cause
significant clinical, haematological and biochemical alterations of the varied
intensity in dogs, even with lower grades of parasitaemia.
PMID- 27876947
TI - A survey on parasites of long-eared hedgehog (Hemiechinus auritus) in northeast
of Iran.
AB - As there appeared to be no data available on parasite infection of Hedgehogs in
the region and considering the potential threat of Hedgehogs for animal and
public health, the present study was carried out using biological samples and
necropsy findings collected from long-eared hedgehog captured in Mashhad city in
the northeast of Iran. During the study 23 dead hedgehogs were collected randomly
from different regions of Mashhad County. Following autopsy of these animals,
observation for enteric parasites was carefully done. At the same time, 38 live
hedgehogs were captured at this region and after anesthetizing of them, their
ectoparasites were removed. The captured animals were released after recovery.
All of studied animals were found to be infected with at least one of the
parasites. The endoparasites removed from dead hedgehogs were: Oliganthorhynchus
erinacei, Nephridiorhynchus major, Hymenolepis erinacei and Crenosoma striatum.
The ectoparasites found on live studied animals according to their abundance were
Rhipicephalus turanicus,Haemaphysalis erinacei and Echidnophaga gallinacea. The
results of this study will contribute to our understanding of the parasites
infecting Hemiechinus auritus in Iran. These results also suggest that pet owners
especially those keep hedgehog should be aware about hazards that may pose by
these animals.
PMID- 27876948
TI - Assessment of risk factors associated with prevalence of coccidiosis in dairy
animals of Punjab.
AB - A total of 2089 faecal samples (956 buffaloes and 1133 cattle) were collected
from 21 districts of five major agro-climatic zones of Punjab during April, 2013
May, 2014. An overall prevalence rate of 32.17 % (672/2089) was recorded for
coccidiosis in dairy animals with a significantly higher (P < 0.01) infection
rate in buffaloes (35.46 %) in comparison to cattle (29.39 %). Quantitative
analysis revealed oocyst per gram of faeces ranging from 200 to 10,000 (1083.72
+/- 227.20) and 100 to 2400 (748.72 +/- 81.38), in cattle and buffalo
populations, respectively. The results of multivariate analysis showed that
prevalence of coccidiosis in cattle population was associated with various risk
factors viz. districts (P = 0.000; OR 2.749; 95 % CI 1.238-6.107), agro-climatic
zones (P = 0.004; OR 2.707; 95 % CI 1.707-4.293) and seasons (P = 0.000; OR
1.106; 95 % CI 0.811-1.509). Similarly, in buffalo population an association with
districts (P = 0.000; OR 2.163; 95 % CI 0.702-6.668), agro-climatic zones (P =
0.000; OR 1.237; 95 % CI 0.673-2.277) and seasons (P = 0.100; OR 1.382; 95 % CI
1.003-1.903) was recorded. The findings of the current study would provide a
basis for evolving effective control strategy for the management of coccidiosis
in dairy animals of the region.
PMID- 27876949
TI - New occurrence of parasitic isopod Argathona sp. (Stebbing, 1905) from
Epinephelus undulosus in the Tuticorin coast, Gulf of Mannar region.
AB - In the present study of Epinephelus undulosus captured in gillnets from the
inshore waters of Tuticorin, Gulf of Mannar region during December 2014. The
present findings represent the first occurrence of Argathona sp. and here in
reported. The materials examined were deposited at the Kamaraj College, Marine
Biological Research Laboratory, Tuticorin, India. The parasitological indexes
were calculated. The site of attachment of the parasites on their hosts was also
observed. Host-parasite relationships are considered and these parasites can
cause the damage in gill, eye and internal organ including swim bladder. Marine
fish parasitology is a rapidly developing field of aquatic science.
PMID- 27876950
TI - A note on concurrent natural parasitism by Dispharynx spiralis and Heterakis
gallinarum in backyard poultry (Gallus domesticus).
AB - The nematodes Dispharynx spiralis (Superfamily-Spiruroidea, Family-Acuariidae)
parasitising the proventriculus and Heterakis gallinarum (Superfamily
Subuluroidea, Family-Heterakidae) found in the caecum of two backyard poultry
birds are described. The usual location of D. spiralis is glandular stomach or
proventriculus, where their heads may be deeply buried in the proventricular
wall. H. gallinarum occurs in the caecum and commonly called as caecal worm of
poultry.
PMID- 27876951
TI - Prevalence of Dermanyssus and Ornithonyssus species of mites in poultry farms of
Vikarabad area of Hyderabad.
AB - The common blood feeder mites of poultry are from the genera Dermanyssus and
Ornithonyssus. Their presence are problematic for the producers either through
potential direct effects on weight gain, egg production or sperm production in
roosters or as nuisance pests on workers. They also cause anaemia in birds and
play a vector role for several human and animal diseases. Five poultry farm
buildings of Vikarabad area of Rangareddy district were visited. Samples were
collected from a variety of sites, including beneath feed troughs, inside cage
fittings and fastening clips, under egg conveyer belts and under manure belts.
Heavily mite infested feathers were plucked from three to five individual birds
and kept in closed plastic covers. Samples were processed and mounted permanently
by using DPX and species differentiation was done. Besides this litter materials
and soil samples from the farm were also collected. Massive mixed infestations of
Dermanyssus and Ornithonyssus mites were found. The morphological characters
provided here can be considered as a practical tool for species differentiation
and as these blood feeder mites were most prevalent and important pests of
poultry, public health aspects of these parasites should be considered.
PMID- 27876952
TI - Gastrointestinal helminth parasites of local chickens from selected communities
in Nsukka region of south eastern Nigeria.
AB - The prevalence of gastrointestinal helminths of local chickens in Nsukka region
of Southeastern Nigeria was studied using 125 free range local birds purchased
from four communities in Nsukka zone namely, Obollo-afor, Orba, Nsukka urban and
Owerre Eze-orba. The birds were sacrificed humanely and their oesophagus, crop,
proventriculus, gizzard, small intestine and caecum examined for the presence of
gastrointestinal helminths. Worms when present were isolated and identified using
standard parasitological procedures. The study identified four species of
cestodes namely Raillietina echinobothridia, R. tetragona, R. cesticillus and
Choanotaenia infundibulum and two species of nematodes namely, Ascaridia galli
and Heterakis gallinarum. Results obtained showed 96.8 % prevalence of
gastrointestinal helminth parasites in the birds with cestodes being the more
prevalent class (70.4 %). Raillietina spp was the most prevalent cestode
encountered and A. galli the most prevalent nematode. Prevalence rates of
infections recorded 14.4 % for nematode species, 26.4 % for cestodes and 56 % for
mixed infections of nematodes and cestodes. It was concluded that local chickens
are common in the area and could serve as a potential source of helminth
infections to intensively managed birds in the study area.
PMID- 27876953
TI - Assessment of risk factors associated with prevalence of strongyle infection in
equines from Central Plain Zone, Punjab.
AB - A total of 311 equine faecal samples (190 horses and 121 mules) collected from
six districts of Central Plain Zone, Punjab were examined using standard
coprological methods. The results showed an overall prevalence of 27.33 % for
strongyles with rare to mild type of infection as evident from egg per gram of
faeces. In particular, amongst the examined samples, 17.90 % of horses and 42.14
% of mules were infected and the difference was statistically significant (P <
0.01). Identification of the faecal culture harvested larval stages, showed 56 %
and 46 % of horses and mules, respectively, positive for small strongyles
(Cyathostomes). Amongst the large strongyles, highest proportion was recorded for
Strongylus vulgaris whereas, S. equinus was found in least proportion. Results
indicated that the various risk factors viz. area, season, age and sex of the
host had no significant effect on prevalence of strongyle infection in equines.
PMID- 27876954
TI - High prevalence and infestation of Mothocya renardi (Isopoda, Cymothoidae) in
marine fish Strongylura leiura (Bleeker 1850).
AB - Cymothoid isopods are parasites that cause severe damage to the fish species. The
present study was focused to carry out the parasitic infestation on the one of
the important marine fish Strongylura leiura during the 2 years period between
June 2009 and May 2011. 272 fish specimens collected and about 157 (57.72 %)
fishes were infested by the cymothoid which were concealed under the gill arches
of the host. Infested parasite was identified as Mothocya renardi. The prevalence
rate of parasites during different seasons of the range of host specificity of
isopods was studied. Variation of infestation rate also depends on the size,
length and weight of the host. The sites of infestation by the parasitic
crustaceans are highly specific. The infestation had affected the normal growth
of the host fish and also probably leads the high levels of secondary infections.
PMID- 27876955
TI - Response of unfed stages of Rhipicephalussanguineus to subtle variations in the
composition of assembly pheromone.
AB - Two combinations of assembly pheromone (AP), with and without hematin were
utilized as a lure for the unfed larvae, nymph and adults of
Rhipicephalussanguineus ticks. In-vitro trials were carried out with the AP
encapsulated in calcium alginate beads and the response of different stages of
ticks were recorded. Analysis of results revealed that rapid attraction was
evident in unfed larvae exposed to beads containing AP without hematin. In case
of unfed nymphal and adult stages, the presence or absence of hematin did not
have any impact on arrestment.
PMID- 27876956
TI - Comparison between in-house indirect ELISA and Dot-ELISA for the diagnosis of
Fasciola gigantica in cattle.
AB - This survey was done to investigate the efficacy of the in-house indirect ELISA
(iELISA) and Dot-ELISA methods Prepared from excretion-secretory (ES Ag) and
Crude (Cr Ag) antigens of Fasciola for sero-diagnosis of Fasciola gigantica in
cattle. The liver specimens of slaughtered cattle were collected and their liver
examined macroscopically and microscopically for infestation to fasciolosis. Sera
from two groups of cattle, one infected with fasciolosis (n = 60) and the other
non-infected with fasciolosis (n = 60), were used in the iELISA and Dot-ELISA
test; grouping based on histopathology results. Except specificity, other
parameters such as, sensitivity, accuracy, positive and negative predictive
values of both Dot-ELISA and iELISA done with ES Ag were better than those of
tests performed with Cr Ag. Interestingly, the reliability of two methods was
very good similar to one another.
PMID- 27876957
TI - Diagnosis of cystic echinococcosis in buffaloes by native 8 kDa antigen using
latex agglutination test (LAT).
AB - The dog tapeworm Echinococcusgranulosus is the causative agent of cystic hydatid
disease in domestic/wild herbivores animals and man. Accurate immunodiagnosis of
the infection requires highly specific and sensitive antigens. The aim of this
study was to develop and evaluate immunoassays with principles of precipitation,
agglutination for the identification of buffaloes infected with hydatid cyst
which would allow the monitoring of animals from endemic areas and identifying
infected animals prior to slaughter. The immunoassays were developed and
validated using hydatid specific, non-cross reactive low molecular weight 8 kDa
hydatid cyst fluid protein. Sera used for the assay validations were obtained
from 200 buffaloes infected naturally with hydatid cyst and 200 non-infected
buffaloes. The diagnostic sensitivity with latex agglutination test was 98.67 %.
It should be useful for the conformation of hydatid cyst infected individual
sheep.
PMID- 27876958
TI - Effect of Haemoproteus columbae infection on the hemogram of the Pigeons (Columba
livia domestica).
AB - It has been reported that parasites affect the health and productivity of birds,
initiate excessive preening which interrupts feeding, as the birds spend much
time preening rather than being involved in other essential life activities.
Haemoproteuscolumbae occurs in pigeons widely in tropical and subtropical
regions. The present investigation was concentrated on the effect of H. columbae
infection on the hemogram and changes in the number of white blood cells in
infected pigeons. Blood samples taked from 100 pigeons in Shahrekord. Blood
smears stained and observed for H.columbae infection. Packed cell volume (PCV)
and total white blood cells (WBC) count and differential WBC count determinate
for every smears. This study show that PCV increased in infected pigeons by H.
columbae than non infected pigeons. There were increasing in the number of total
WBC in the infected pigeons by H. columbae than non infected pigeons. Also there
were significant differences between the number of lymphocytes and eosinophils in
the infected pigeons by H. columbae than non infected pigeons. This study and
same studies show the part of the effects of blood parasites on the hemogram, but
there were many questions about the effects of blood parasites such as H.
columbae on the other parameters of host's blood, so we advise to focus on the
other hematological parameters in the future studies.
PMID- 27876959
TI - A rare cause of dysphagia: pharyngeal ascariasis.
AB - Ascaris lumbricoides infection distributed widely in tropical and subtropical
areas, especially in the developing countries and affects more than one billion
of people. Ascariasis commonly has higher infection rates compared to other
parasite species and because of poor sanitation the parasite can be seen in 100 %
of the population. A. lumbricoides depending on the provocation by anesthetic
agents or intestines becomes hostile, such as with inflammation and obstruction,
may cause migration and varies complications such as coming up to the mouth or
blocking some ducts. We report a case of pharyngeal ascariasis with worm
migration, in a 67-year-old woman was referred with complaints of nausea and
dysphagia.
PMID- 27876960
TI - Characterization and control of symbiotic Bacillus cereus isolated from the mid
gut of Anopheles subpictus Grassi.
AB - An investigation was done to find out the role of gut bacterium on the larval
development and survival of Anopheles subpictus, the vector responsible for the
transmission of malaria. An. subpictus mosquitoes breed exclusively in stagnant
water, including shrimp/fish ponds with high nutrient level. One bacterial strain
(CX2) was isolated from the midgut of late third instar of mosquito larvae. The
bacterial isolate was sensitive to recommended doses of tetracyclin (30 ug/disc),
doxycycline (30 ug/disc), kanamycin (30 ug/disc), gentamycin (10 ug/disc),
streptomycin (10 ug/disc), vancomycin (30 ug/disc), ofloxacin (5 ug/disc),
levofloxacin (5 ug/disc), gatifloxacin (10 ug/disc), but resistant to ampicillin
(10 ug/disc).The larvae which were fed with the mixture of two antibiotics
tetracylin (30 ug/disc) and doxycyclin (30 ug/disc) (1:1) could not survive in
rice-field water. In the control experiments without any antibiotic treatment, 95
100 % survival and 95 % adult emergence were observed. The study indicates that
the elimination of gut bacteria suppressed larval growth. Phylogenetic analysis
of the 16S rRNA gene sequence was also done. Based on the morphological,
biochemical, FAME analysis and phylogenetic analysis, the bacterial isolate CX2
was identified as Bacillus cereus. Poly acrylamide gel electrophoresis analysis
revealed that the isolate showed discrete bands ranging from 24.272 to 60.049 kDa
proteins. Water extract and methanol extract of Tamarindus indica showed
inhibitory effect against B. cereus.
PMID- 27876961
TI - Intestinal helminth infections among children of district Shopian of Kashmir
Valley, India.
AB - Intestinal helminth infections are amongst the most common infections worldwide.
Studies in other districts of Kashmir Valley revealed a high prevalence of
intestinal helminth infections among the children. The objectives of the current
study were to determine the prevalence of intestinal helminth infections among
children of Shopian district and to identify associated socio-demographic and
environmental actors, behavioral habits and also related complaints. Stool
samples were collected from 352 children and a questionnaire was filled for each
case. The samples were processed using both simple smear and zinc sulphate
concentration methods, and then microscopically examined for intestinal
helminths. Of the 352 children surveyed, 75.28 % had 1, or more, helminth
parasites. Prevalence of Ascaris lumbricoides was highest (71.8 %), followed by
Trichuris trichiura (26.4 %), Enterobius vermicularis (13.9 %) and Taenia
saginata (5.3 %). Conditions most frequently associated with infection included
the age group, water source, boiled or unboiled water, defecation site, personal
hygiene, and the extent of maternal education. The study shows a relatively high
prevalence of intestinal helminths and suggests an imperative for the
implementation of control measures.
PMID- 27876962
TI - Diagnosis and therapeutic management of Dipylidium caninum in dogs: a case
report.
AB - The present study is related to Dipylidium caninum infection in dogs. Three dogs
about 1 year of age with the history of scooting behaviour and passing diarrhoeic
stool containing white specks were presented before the clinicians. Physical
examination of dogs revealed flea infestation and faecal examination revealed the
presence of gravid segments, which were confirmed microscopically to be of
cestode, D. caninum. Dogs were treated with Praziquantel@5 mg/kg body wt. orally.
Dogs showed marked recovery post treatment. On re-examination after a week,
faeces were found negative for any parasitic eggs/gravid segments.
PMID- 27876963
TI - Ultrastructural study of Apiosoma piscicola Blanchard, 1885 (Subclass:
Peritrichia) on Tachysurus fulvidraco from China.
AB - This paper gave detailed description of the fine structure of Apiosoma piscicola
Blanchard 1885. These parasites were collected from the fry of Tachysurus
fulvidraco during parasite surveys of fish at Jiangxia Fish Hatchery in Wuhan,
Hubei province in May 2011. Its pellicle, peristomial apparatus, nuclei, trochal
band and scopula were described, respectively. The relationship between these
peritrich ciliates and their hosts was well discussed herein. The scopula of A.
piscicola did not adhere directly to the surface of the host epithelial cells,
but by means of a secreted adhesive pad of material according to the present
study. Besides, no ultrastructural damage to host epithelial cells could be
detected in our work. We concluded that these sessilids should be ectocommensals
rather than ectoparasites, but not always harmless. In addition, their great
variability of body shape and non-strict host specificity were also discussed.
PMID- 27876964
TI - Patho-physiological observations in natural concurrent infections of helminth
parasites of zoonotic importance in the wild rodents, Bandicota bengalensis.
AB - Screening of 83 mature wild rodents of Bandicota bengalensis (52 male and 31
females), live trapped from premises near railway station, fish market and
agricultural fields in Ludhiana district of Punjab province, India was carried
out to assess the natural occurrence of helminth parasites and their potential as
reservoirs of zoonoses. On necropsy, intestine of rats was found infected with
adult cestode parasites of Hymenolepis diminuta and H. nana. Liver was found
infected with Capillaria hepatica and Cysticercus fasciolaris. These parasites
were present either alone or as concurrent infection. In overall, 68.67 % rats
were found infected of which 59.65 % were infected with nematode parasite, C.
hepatica and 75.44 % with cestode parasites. Grossly, pathognomonic lesions of C.
hepatica and C. fasciolaris were seen clearly and microscopic changes were
observed only in severely infected cases. Overall investigation on the effect of
these parasitic infections on pathophysiology of the host revealed that rodents
serve as reservoirs of these parasitic infections without having much pathogenic
effect on their vital organs, fecundity and enzyme activities. Because of its
close association with human habitations, B. bengalensis may act as an important
source of zoonotic infections and thus direct or indirect contact with excrements
and carcasses of this rodent species, should be avoided.
PMID- 27876965
TI - Histopathological study of gill, kidney and liver of Persian Sturgeon (Acipenser
persicus Borodin, 1897) and Stellate (Acipenser stellatus Pallas, 1811) exposed
to sublethal concentration of un-ionised ammonia UAN.
AB - This study was carried out to investigate the histopathological changes of gill,
kidney and liver of Persian Sturgeon and Stellate in response to various
sublethal concentration of ammonia. The sublethal doses were determined after a
lethal pre-test and then the experiment was done in six treatments with three
replicates for both species. In Persian sturgeon, the treatments were including
5, 7, 9.7, 13.2, 18 and 25 mg/l ammonia. In stellate, the concentrations of 5,
6.23, 7.76, 9.66, 12.04 and 15 mg/l ammonia were considered as treatments. Also,
one group without ammonia was considered as control for each experiment. The
histopathological investigations were done after 96 h exposure. According to our
observations, a range of histopathological alternations were found in gill, liver
and kidney of both examined fish. The alternations of gill tissue were lamellar
necrosis, hyperplasia, lamellar adhesion, haemorrhage, swelling of gill lamellae.
Severity of these alternations was dose-dependent and was significantly higher in
Persian sturgeon than in stellate. The degree of histopathological alternations
of liver did not show a regular pattern between treatments in both species. These
alternations were hyperemia, bile record, cell necrosis, cell atrophy, fatty
degeneration and cloudy swelling. The signs of kidney alternations intensified
with increasing of ammonia concentration in both species. The signs such as
hyperemia, vision tissue degeneration, cell necrosis, dilatation of Bowman's
space. In conclusion, our results showed that ammonia in concentration of more
than 5 mg/l have more adverse impacts on gill, liver and kidney tissues.
PMID- 27876966
TI - Evaluation of sodium fluoride toxicity in Schistosoma infected snails: assessment
of antioxidants, antiapoptotic, hypoprotein and hypocholesterol activities.
AB - The snails' tissues represents an intermediate or secondary host for Schistosoma
sporocysts where, germ cells within the secondary sporocyst begin to divide to
produce thousands of cercariae capable of infecting humans. The aim of the study
was to evaluate the toxicity of sodium fluoride in Schistosoma snails' tissue
homogenates. A total number of 264 different Schistosoma snails were collected
from eight drainage water resources and divided into control uninfected and
infected snails; where infected snails divided into four group; the first group
without any treatment while second, third and fourth groups immersed in 25, 50
and 100 mg sodium fluoride/L during the period of 4 weeks then the snails'
hemolymph and tissue homogenates were prepared to evaluate the snail' tissue
antioxidants, protein content, lipid profile and apoptosis. The results obtained
revealed that superoxide dismutase, glutathione-S-transferase, glutathione
peroxidase, catalase, reduced glutathione, glutathione reductase levels were
decreased while malondialdehyde, protein carbonyl, total protein, albumin,
globulin, cholesterol, low density lipoprotein and triglycerides levels were
increased in Schistosoma infected snails' tissues. Schistosoma also induced
apoptosis in snails' tissues homogenates. Sodium fluoride restores all the above
parameters to approach the control uninfected snails levels. In conclusion,
sodium fluoride inhibits oxidative stress and apoptosis produced in Schistosoma
infected snails and consequently it is be useful to be used in Schistosoma
infection inhibition where sodium fluoride at higher dose was more effective than
the lower two doses.
PMID- 27876967
TI - In vivo effect of anti-TNF agent (etanercept) in reactivation of latent
toxoplasmosis.
AB - Toxoplasma gondii (T. gondii), an intracellular parasite, establishes a chronic
infection by forming cysts preferentially in the brain. TNF-alpha plays an
important role in controlling the infection caused by this protozoan. Thus, the
blockade of TNF-alpha could cause reactivation of latent toxoplasmosis infection
as well as increase the risk of acute toxoplasmosis. This study evaluated the
effect of etanercept, a TNF-alpha antagonist in reactivation of latent
toxoplasmosis compared to the therapeutic effect of sulfadiazine and
pyrimethamine in combination on the progress of the disease. A total of 40
laboratory-bred Swiss albino mice were infected with Me49 strain of T. gondii and
divided into four groups: infected control group; treated group with sulfadiazine
and pyrimethamine; treated group with etanercept and treated group with both
etanercept and sulfadiazine and pyrimethamine. The mean number and size of tissue
cysts in brain smears of mice of each group were determined and also, serum
levels of TNF-alpha were assessed in different study groups by an enzyme linked
immunosorbent assay. The results showed that the mean TNF-alpha level was
significantly different in the treated groups compared to that in infected
control group. The highest level of TNF-alpha was found in the infected controls.
After treatment with etanercept alone or combined with sulfadiazine and
pyrimethamine, it was significantly decreased. In this study, reactivation of
latent toxoplasmosis was observed by a significant increase in the mean number
and sizes of Toxoplasma tissue cysts in brains of mice with established chronic
toxoplasmosis after treatment with etanercept alone or combined with conventional
treatment compared to both untreated chronically infected controls and infected
mice treated with sulfadiazine and pyrimethamine. It was concluded that
etanercept, a TNF-alpha antagonist may play a role in reactivation of latent
toxoplasmosis. So, serological screening for toxoplasmosis might offer a valuable
aid for patients treated with this drug.
PMID- 27876968
TI - Detection of Toxoplasma gondii in small ruminants in Chennai using PCR and
modified direct agglutination test.
AB - A total of 193 sera samples, along with tissues (lung, heart, and brain)
collected from 136 sheep and 57 goats from the Corporation slaughter house,
Madras Veterinary College teaching hospital, and private mutton shops from
Chennai were tested for Toxoplasma gondii. All the sera samples were tested using
modified direct agglutination test. Of the 193 sera samples, 57 (29.5 %) had a
minimum titre of 1:20, with 30.14 % (41/136) of sheep and 28.07 % (16/57) of
goats being seropositive. Tissue samples from all 193 animals, when subjected to
B1 based PCR to detect T. gondii DNA, showed 3.67 and 3.50 % to be positive in
sheep and goats, respectively. In the present investigation B1 based PCR detected
T. gondii in low numbers, possibly due to limitation of the sample size. The
presence of T. gondii in tissues of sheep and goats slaughtered for human
consumption in Chennai indicates the role of these food animals as potential
sources of infection to human.
PMID- 27876969
TI - Molecular detection of giardiasis among children at Cairo University Pediatrics
Hospitals.
AB - Giardiasis is a prevailing intestinal disease in children. This study aimed to
determine molecular prevalence of Giardia intestinalis in children attending
Cairo University Pediatrics Hospitals, using copro-PCR assays, conventional
methods and to evaluate diagnostic effectiveness of used tests. 229 fecal samples
were collected from children suffering from gastrointestinal symptoms and
examined for Giardia by microscopy, Immuno-chromatographic test (ICT), copro-DNA
using two PCR assays targeting tpi [nested-PCR (nPCR)] and 18S [conventional-PCR
(cPCR)] genes. Out of 229 samples assessed, Giardia was diagnosed in 13.9, 17,
17.9, 4.8 % of cases using microscopy, ICT, nPCR (tpi) and cPCR (18S),
respectively. Nominating both PCR assays as composite reference standard,
microscopy and ICT were of reliable specificity (100 and 96.9 %) and accuracy
(95.6 and 93.6 %) but of limited sensitivity (78.6 and 76.2 %). Kappa agreement
showed, there was substantial agreement of ICT (0.776) and almost perfect
agreement of microscopy (0.839) with PCR assays. Giardia showed a molecular
prevalence of 18.3 % (42/229). ICT assay for Giardia surpassed microscopy but
both couldn't be used as a consistent single detection method due to their
lowered sensitivities. nPCR targeting tpi is a reliable diagnostic test aiding to
determine true prevalence of Giardia.
PMID- 27876970
TI - Larvicidal activity of camphor and lavender oils against sheep blowfly,
Luciliasericata (Diptera: Calliphoridae).
AB - In this study, the essential oils of camphor and lavender were tested in vitro
against the third instar larvae of Lucilia sericata for the first time, following
dipping toxicity technique. The toxicity results revealed that L. sericata larvae
were susceptible to the applied essential oils. Lavender oil was more effective
than camphor in killing of L. sericata larvae. With 32 % concentration, the
mortality percentages of larvae were 100 and 93.3 %, respectively. Light and
scanning electron microscopic examinations were done to determine the cuticular
changes of L. sericata larvae following exposure to the applied essential oils.
Larvae showed cuticular swelling and distortion after oil treatment, but its
level was greater with lavender oil. The current study suggested that an
alternative, effective and natural product can be developed as larvicides against
L. sericata using camphor and lavender oils.
PMID- 27876971
TI - Isolation of Acanthamoeba spp. from different water sources in Isfahan, central
Iran, 2014.
AB - Acanthamoeba spp. are free-living amoeba found in a wide variety of natural
habitats. The high percentage of the presence of Acanthamoeba in different
environmental sources represents a sanitary risk for public health, especially
immunocompromised patients and contact lens wearers. Acanthamoeba can cause
granulomatous amoebic encephalitis, otitis, lung lesions, and skin infections in
individuals with immune deficiencies. In the present study, the status of
contamination of water sources in Isfahan, central Iran is analyzed through
parasitological method. Totally 93 samples were utilized consisting of 59 samples
of tap water and 34 samples of environmental water collected from Isfahan in May
and June 2014. After filtering, cultivation was done in non-nutrient agar medium,
and then the cultured media were kept at 25-30 degrees C. The samples were
analyzed based on the morphological criteria. Acanthamoeba spp. were found in 25
(73.53 %) out of 34 environmental water samples and 17 (28.8 %) out of 59 tap
water. Generally, Acanthamoeba spp. were found in 42 (45.16 %) of the samples.
The results of the present study showed that the water contamination with
Acanthamoeba spp. in different regions of Isfahan can be a potential infection
source for at high risk people. It could be suggested that public education and
precaution are quiet necessary.
PMID- 27876972
TI - Prevalence and seasonal variation of ectoparasites in cultured Nile tilapia
Oreochromis niloticus in Saudi Arabia.
AB - The prevalence, mean intensity and abundance of ectoparasites (monogeneans and
trichodinids) from Nile tilapia Oreochromis niloticus were investigated during
different seasons of two consecutive years, from January 2011 to December 2012. A
total of 360 O. niloticus was collected from three fish farms located in the
central region of Saudi Arabia. Prevalence, mean intensity and mean abundance of
monogeneans on fish gills were found to be significantly (p < 0.01) higher in
farm(C) (81.67, 495.23, 405.84 %) than farm (A) (7.5, 81.25, 8.34 %) and farm (B)
(4.17, 62.5, 5 %) respectively. Similarly, the same parameters for trichodinids
on gills were found to be significantly (p < 0.01) higher in farm (C) (97.5,
97.5, 97.5 %), followed by farm (A) (39.17, 234.37, 35.00 %) and farm (B) (6.67,
347.92, 30.00 %) respectively. The results of monogenean parameter on fish skin
were found to be significantly (p < 0.01) higher in farm (C) (66.67, 443.68,
294.16) followed by farm (A) (16.67, 124.58, 21.67 %) then farm (B) (0.83, 25,
0.83 %) respectively. Similar results for trichodinid parameters on the skin were
found to be higher (p < 0.01) in farm (C) (97.5, 875, 857.5 %), then farm (A)
(26.67, 399.70, 215.01 %) and farm (B) (4.17, 154.17, 12.5 %) respectively. These
results indicated that water quality and nutritional qualities were the major
factors that affecting parasite occurrence, while the effect of temperature,
seasonality and stocking density might have a secondary role on ectoparasite
occurrence. Further studies should investigate that how the nutritional and water
qualities affect the immunity of the fish to resist parasite infection.
PMID- 27876974
TI - Mosquito larvicidal activity of cadmium nanoparticles synthesized from petal
extracts of marigold (Tagetes sp.) and rose (Rosa sp.) flower.
AB - Mosquitoes are blood-thirsty insects and serve as the most important vectors for
spreading most notorious diseases such as malaria, yellow fever, dengue fever,
and filariasis. The extensive use of synthetic mosquito repellent has resulted in
resistance in mosquitoes. Therefore, the development of a reliable, eco-friendly
processes for the synthesis of nano dimensional materials is an utmost important
aspect of nanotechnology. In the present study, authors report absolute green
synthesis of cadmium nanoparticles using marigold and rose flower petal extract.
The characterization of nanomaterials was done by using UV-Vis, SEM, FTIR and
fluorescent spectrophotometer analysis. Finally cadmium nanoparticles were also
evaluated for their larvicidal activity of mosquito. Marigold flower petal
extract shows 100 % mortality after 72 h of incubation with 10 ppm of Cd
nanoparticles. No mortality was observed in the control. Therefore, out of two
flower petal mediated nanoparticles, only marigold showed better performance
towards mosquito larvicidal activity than rose petal extracts. This is the first
report on mosquito larvicidal activity of flower-petal mediated cadmium
nanoparticles. Thus, the use of marigold petal extract to synthesize cadmium
nanoparticles is a rapid, ecofriendly, and a single-step approach and the CdNps
formed can be potential mosquito larvicidal agents.
PMID- 27876973
TI - Variation in lipid and fatty acid uptake among nematode and cestode parasites and
their host, domestic fowl: host-parasite interaction.
AB - Lipid synthesis is an important process in most organisms as well as in
helminths. The present observation shows the variation of lipid and fatty acid
uptake among cestode, Raillietina (Fuhrmannetta) echinobothrida; nematode,
Ascaridia galli and their host, Gallus domesticus, the common country fowl. Total
lipid (TL), neutral lipid (NL), glycolipid (GL), phospholipid (PL) and their
fatty acid of cestode, nematode and liver and intestinal fluid of the host were
analyzed by thin layer chromatography and gas liquid chromatography respectively.
The result shows that liver take more TL, PL and GL except NL. Utilization of
lipid from intestinal fluid when compare between the parasites, it is found that
TL and PL content of cestode are higher than nematode, whereas, nematode absorbs
more NL and GL than cestode. The percent of cholesterol is more in cestode than
nematode. Palmitic, stearic, oleic and linoleic are the predominant fatty acids
among all the samples. The present study reveals that the cestode having large
surface area is more opportunistic in the resource utilization over the nematode
as well as the host.
PMID- 27876975
TI - Detection of Cryptosporidium spp. in free ranging animals of Tehran, Iran.
AB - Cryptosporidium is a world widely distributed parasite which comparatively has a
high prevalence in developing countries. The zoonotic potential of some
Cryptosporidium species has made the cryptosporidiosis a significant concern to
physicians and veterinarians. The occurrence and zoonotic potential of
Cryptosporidium species in probable reservoir hosts for man infections was
determined by examining faeces of symptomatic and asymptomatic animals. The aim
of this study is to screen the presence of Cryptosporidium in fecal sample of
free ranging animals in Tehran using Ziehl-Neelsen staining method. The findings
indicate that Cryptosporidium are present in 9/50 (18 %) stray cat (Felis catus),
12/50 (24 %) hooded crows (Corvus cornix), 23/180 (12.7 %) rat (Rattus norvegicus
and R. rattus) and 1/40 (2.5 %) pigeons (Columba livia). This investigation
confirms the potential role of rats, cats, crows and pigeons for zoonotic
transmission of human cryptosporidiosis and they must be considered as reservoir
hosts which can endanger public health.
PMID- 27876976
TI - Variation in clinical markers in cattle naturally infected with bovine tropical
theileriosis.
AB - 124 cattle naturally infected with Theileria annulata were inspected for clinical
markers. Clinical manifestations of general weakness, reduced appetite, pyrexia
reaching 40-42 degrees C and lachrymal discharge were seen in more than 75 % of
the infected animals. Presence of ticks on body, general dullness and depression,
recumbency/prostration, oedema of dependent body parts, diarrhea,
hypersalivation, pale mucous membranes and yellow colored urine were revealed by
more than 50 % of the infected animals. Clinical manifestations of dehydration,
abdominal distension/ascites, jaundice and haemoglobinuria were revealed by more
than 25 % of the infected animals less than 25 % of the infected animals showed
clinical manifestations of exophthalmia, constipation, melena, buccal cavity
erosions, congested mucous membranes, nasal discharge and tachypnoea.
PMID- 27876977
TI - A study on prevalence and identification of Ovine Theileria and Babesia infection
in Zabol using PCR method.
AB - Infection with Babesia and Theileria Causes high mortality and economical losses
in livestock and has a relatively high prevalence in Iran. In Zabol, animals are
susceptible to this disease because of presence of vector ticks, weather
conditions and smuggle animal across the border and they cause great damages to
the economy and production. However, few studies have been done for
differentiation of Theileria and Babesia in sheep in this area. The purpose of
the present study was to determine the prevalence of Babesiosis and Theileriosis
in sheep in Zabol. A number of 80 animals were randomly selected and their blood
samples were examined. The presence of Theileria and Babesia parasites in each
sample was determined with PCR and microscopic examination. Of 80 blood samples
obtained from sheep, 8 cases (10 %) were positive by microscopic examination
where 6 samples were infected with Theileria and 2 samples with Babesia. The
result of PCR method determined the prevalence of 66.25 % (53 samples) and 3.75 %
(3 samples) for Theileria and Babesia, respectively. The correlation between
these two methods (PCR and microscopic examination) was determined with Kappa
statistical test. Based on the obtained results, it is concluded that Babesiosis
has a low prevalence among the sheep of Zabol. This is the first report in which
ovine Babesiosis has been studied in this region using molecular identification
techniques.
PMID- 27876978
TI - Parasitic infection alters haematology and immunity parameters of common carp,
Cyprinus carpio, Linnaeus, 1758.
AB - The present study was conducted to investigate the effects of parasite infection
on haematological parameters of common carp, Cyprinus carpio. To this end, fish
with same age were sampled from a warm water fish farm. After fish sampling, fish
were transferred to lab for parasite study. Parasite incidence percent and
density were calculated for two population carps. After parasite study, to
investigate the haematological parameters, the blood sampling was carried out
from two group fish i.e. infected (n = 20) and non-infected fish (n = 20).
According our results, totally three parasite species were identified including
Dactylogyrus sp., Trichodina sp. and Lernaea cyprinacea. Significant differences
were observed between infected and non-infected fish (P < 0.05). In this regard,
the values of haematocrit, haemoglobin and red blood cell were lower in infected
fish than healthy individuals (P < 0.05). In contrast, number of white blood
cells (WBCs) were higher in infected fish compared to non-infected fish (P <
0.05). In conclusion, our study reveals that the parasite infection was
responsible for altering the haematology of common carp. Increased number of WBC
may be in relation to the defense mechanism and immunological responses against
parasites.
PMID- 27876979
TI - Seroprevalence of Toxoplasma gondii infection among childbearing age women in
Kerman city, southeastern Iran.
AB - This cross-sectional study aims to determine the prevalence of IgM and IgG anti
T. gondii antibodies and the associated risk factors among childbearing age women
referring to counseling centers before marriage in Kerman city, southeast of
Iran. Totally, 300 serum samples were collected from women referred to Central
Laboratory for Marriage Consultation in Kerman city were screened for IgG and IgM
anti-T. gondii antibodies by enzyme linked immunosorbent assay (ELISA). Out of
the 300 serum samples, 38 (12.6 %) tested seropositive for anti-T. gondii
antibodies; 31 (10.3 %) samples tested seropositive for only IgG antibody, 1
(0.33 %) tested seropositive for both IgM and IgG and 6 (2.0 %) were positive for
IgM antibody alone. Statistical analyses also indicated that seroprevalence of
anti-T. gondii antibodies increased with age (p < 0.05). Moreover, some risk
factors such as, living in rural regions, contact with cats, raw/half-cooked meat
consumption, and agricultural activities were significantly (p < 0.05) related to
T. gondii seropositivity. The findings revealed that more than three-quarters of
the childbearing age women studied in the present investigation are susceptible
to infection during pregnancy. Thus, by adopting correct and improved practices
we can improve their living conditions, and prevent infection and awareness and
control of pathogens associated with disease is recommended.
PMID- 27876980
TI - Prevalence of external parasites of pigeon in Zabol, southeast of Iran.
AB - External parasites or ectoparasites, are arthropods that live on or in the skin
or feathers, using the host as both shelter and food source. Ectoparasites can
have significant impacts on animal health and productivity. The aim of this
research was to estimate the prevalence and ectoparasites diversity in pigeons of
Zabol, southeast of Iran. A total of 264 pigeons were selected randomly (175
males and 89 females) to evaluate the presence of different ectoparasites.
Ectoparasites were collected from different parts of the body and from walls and
seams of cubbyholes, using hand lens, forceps, and flashlights to increasing the
see sight. The samples were preserved in 70 % alcohol and cleared in lactophenol.
The overall prevalence of external parasites in pigeon was 78.40 % [female (33.3
%) and male (66.7 %)]. There was no significant difference of infestation of
ectoparasites between male and female (P > 0.05). Prevalence was higher in adult
pigeons (84.11 %) than pipers (54.0 %). Two species of ectoparasites were
identified: Argas reflexus and Columbicola columbae.
PMID- 27876981
TI - Detection of Fasciola hepatica and Fasciola gigantica common and uncommon
antigens, using rabbit hyper immune serum raised against their excretory
secretory and somatic antigens.
AB - Fasciolosis is an important neglected helminth disease caused by two liver
flukes, Fasciola hepatica and Fasciola gigantica. The two species of Fasciola are
usually different in their morphological and molecular features. They have also
common and uncommon antigens in both their somatic and excretory secretory
metabolites. In this study, we compared somatic and excretory-secretory (ES)
antigens of F. hepatica and F. gigantica, by using rabbit hyper immune serum
raised against these antigens. Adult worms were collected from bile ducts of
infected animals and species of the fluke was confirmed by RFLP-PCR. ES and
somatic antigens of both species were prepared. Rabbits were subcutaneously
immunized with either ES or somatic antigens to produce antibodies against these
antigens. SDS-PAGE pattern of F. hepatica and F. gigantica somatic antigens was
similar and both of them revealed 30 protein bands, ranging from 18 to 180 kDa.
In contrast, SDS-PAGE pattern of ES antigen of the two species was different.
While protein bands with molecular weight of 18, 27, 29, 48, and 62 kDa were
common in both species, bands of 19, 45, 55 and 58 kDa were only noticed in F.
hepatica ES antigen. Rabbit polyclonal antibodies, raised against F. hepatica and
F. gigantica ES antigen, reacted with main five protein bands, 25, 27, 29, 62 and
67 kDa and polyclonal antibodies raised against somatic antigens of both species
reacted with three protein bands, 25, 27 and 72 kDa. Thus, the 25, 27 and 29 kDa
protein bands may serve as immunodominant antigens, which might be considered for
serodiagnosis of fasciolosis. Moreover, bands of 62 and 67 kDa in ES antigen and
72 kDa in somatic antigens of both species were immunodominant and might be
suitable candidate for development of serological assays for diagnosis of
fasciolosis.
PMID- 27876982
TI - Prevalence of Enterobius vermicularis infection among preschool children, Babol,
North of Iran.
AB - Enterobius vermicularis or pinworm, is considered as one of the most frequently
encountered intestinal nematodes and infects millions of people throughout the
world particularly children. Some symptoms of the infection including Anal
itching, restlessness, insomnia, endometritis, abdominal cramps, diarrhea and
etc. The purpose of the present study was to determine the status of enterobiasis
in kindergarten and preschool children of kindergartens from Amir Kola, Babol
Mazandaran Province, North of Iran. A total number of 126 (70 boys and 56 girls)
children from four kindergartens from Amir Kola, Babol, Mazandaran Province,
North of Iran were examined for the prevalence of E. vermicularis infection,
2013. Adhesive cello-tape anal swab method was trained to parents for sampling.
In addition, a questionnaire was designed and filled out to collect demographic
information for each individual. Data were analyzed using Chi square test and
multivariate logistic regression for each risk factor. The overall prevalence of
E. vermicularis infection was 22.2 % (28). Infection with E. vermicularis in
girls 23.2 % was higher compared to boys 21.4 %. Regarding age of examined
individuals, infection was seen more both in boys and girls with the age of 6
years old compared to other age groups. There was no significant difference
between gender and age (p < 0.05). Based on the results of current study,
prevalence of E. vermicularis in kindergarten and preschool children is high and
still is an important public health matter in the north of Iran and should not be
underestimated due to being highly contagious infection. Therefore, a systematic
control and preventive measures should be adopted to reduce morbidity associated
with enterobiasis.
PMID- 27876983
TI - Macracanthorhynchus hirudinaceus: the most common helminthic infection of wild
boars in southwestern Iran.
AB - A pathological and epidemiological study on Macracanthorhynchus hirudinaceus
infection on 25 wild boars Sus scrofa in southwestern Iran was done. Overall 24
helminthes (18 female and 6 male) were collected from the intestine of 13
infected wild boars. Thus, the prevalence of acanthocephalans among the 25
examined boars was 52 %. Pathological evaluation revealed damages caused by the
acanthocephalans penetrated in the small intestine tissue. Helminthes caused
severe necrosis with ulcer and inflammation in tunica sub mucosa layer. High
prevalence of this helminthic infection may cause severe hazard for farmers,
residents and also wild life animals.
PMID- 27876984
TI - First serological study of equine hydatidosis in Iran.
AB - Hydatidosis, is an important worldwide zoonotic disease caused by larval stages
(metacestodes) of tapeworm parasites of the genus Echinococcus. The objective of
the present study was to determine the seroprevalence of equine hydatidosis in
Iran by latex agglutination test. This study also served to correlate sex and age
with mentioned results in cases. Therefore, 193 serum samples were collected from
clinically healthy horses at 9 race clubs in Kerman, Yazd and Golestan provinces,
Iran. According to the results, antibodies against hydatidosis were detected in 6
sera (3.11 %) among 193 samples. Results showed two male and four female horses
were sero-positive against hydatidosis. In conclusion, present study shows that
antibodies against hydatidosis have been detected in Iran equine population.
Therefore, it seems that Iranian horse clubs should improve their management and
health levels to increase their proficiencies.
PMID- 27876985
TI - In vitro anti-leishmanial activity of Satureja hortensis and Artemisia
dracunculus extracts on Leishmania major promastigotes.
AB - Cutaneous leishmaniasis is one of the important skin diseases with diverse
clinical manifestations. With an incidence of 0.7-1.3 million cases annually,
this disease is often reported from six countries, including Iran. Accordingly,
the purpose of this study was to evaluate the anti-leishmanial effect of the
three plant hydroalcoholic extracts including fleawort (Plantago psyllium L.),
savory (Satureja hortensis L.) and tarragon (Artemisia dracunculus L.) on
Leishmania major promastigotes. The hydroalcoholic extract from each plant was
extracted and its anti-leishmanial effect was evaluated in different
concentrations (100-1000 ug/ml) and at various hours (24, 48 and 72 h). Savory
herb inhibitory concentration 50 % (IC50) at 24, 48 and 72 h was 790.81, 398.11
and 298.42 ug/ml, respectively. In addition, tarragon herb IC50 at 24, 48 and 72
h was 962.03, 688.36 and 585.51 ug/ml, respectively. Moreover, the fleawort
extract was showed the lowest effect, considering that its effect at the
concentration of 1000 ug/ml was 48 % after 72 h (P > 0.05). Furthermore, the
statistical analysis showed a significant difference for interaction between
concentration and time regarding the tarragon and savory extracts with a P value
of lower than 0.05. According to the results, the anti-leishmanial effect of the
tarragon and savory extracts may make it possible to use them in the treatment of
cutaneous leishmaniasis as a complementary or alternative therapy; however,
further studies are necessary and should be evaluated in cell culture and in vivo
conditions to confirm it.
PMID- 27876986
TI - Prevalence and pathological lesions of ovine cysticercosis in slaughtered sheep
in western Iran.
AB - Cysticercusovis, the intermediate stage of a canine tapeworm, Taenia ovis,
produces cystic lesions in the skeletal and cardiac muscle of sheep which, if
numerous, will result in the condemnation of an entire carcass. This study was
carried out between March 2013 and March 2014 to estimate the prevalence of
Taenia oviscysticercosis in sheep slaughtered at the Kermanshah municipal
abattoir, in western Iran. Of 69,198 sheep examined, 833 (1.27 %) were infected
with cysticerci of Taenia ovis. The prevalence of C. ovis was significantly
higher in males than females (P < 0.05). Seasonal analysis revealed significantly
higher prevalence in spring (1.8 %) than other seasons (P < 0.005). The heart
muscles (29.7 %), diaphragm (18.8 %), masseter muscles (18.2 %) and tongue (15.5
%) were the main predilection sites of the cysts. The cysts of ovinecysticercosis
were also identified on the triceps, intercostal muscles, thigh muscles,
intestinal mucosa, liver and Spleen. This parasite caused extensive damage
resulting in infiltrative, degenerative changes, necrosis and exudation mainly in
the vicinity of cysts. The results indicate that the prevalence of C. ovis in
this area is high. Therefore improving the standard of disease prevention and
control on farms is necessary.
PMID- 27876987
TI - Prevalence of hypodermosis in cattle slaughtered in industrial slaughtered-house
of Ardebil, Iran.
AB - Hypodermosis is one of the important veterinary problems because of its worldwide
prevalence and significant economic losses. Economic losses is due to decreasing
of productivity potential and leather quality. The disease is caused by two
parasitic fly species in cattle, Hypoderma bovis and lineatus. Diagnosis of the
parasites has crucial importance because of treatment and eradication plannings.
Basically diagnosis is based on parasitological methods but recently serological
methods were used in some countries. In the present study, a total of 3716 cattle
were investigated in Industrial Slaughter house of Ardebil, Northwest of Iran. In
this study, the prevalence of H. lineatus and H. bovis was 0.32 % (12 larva) and
1.07 % (40 larva), respectively. Low prevalence of hypodermosis could be caused
by cold climate of studied region also shows efficacy of controlling programs.
Unlike low prevalence of Hypoderma spp. in the studied regions, antiparasitic
agents such as organophosphates and avermectins should be used to reduce the
prevalence.
PMID- 27876988
TI - Cryptosporidiosis in a buffalo calf at Meerut, Uttar Pradesh and its successful
therapeutic management.
AB - Cryptosporidium spp. are recognized as one of the most important enteric
pathogens causing enteritis and severe diarrhoea in calves up to 1 month of age.
Although the infection may be responsible for some mortality, its impact is
mainly associated with the impairment of gastrointestinal functions and lower
performance of animals. A female buffalo calf of 25 days old was presented to OPD
section, College of Veterinary and Animal Sciences, SVPUA&T, Meerut, with the
symptoms of severe voluminous watery cholera like diarrhea with mucous and blood
tinge since 4-5 days. On physical examination, calf was dehydrated, weak, and
emaciated with normal temperature. Parasitological examination of the faeces by
the direct smear and modified Ziehl-Neelsen staining technique revealed presence
of high number of Cryptosporidium spp. oocysts. The affected female buffalo calf
was treated with azithromycin and provided supportive care. Diarrohoeal symptoms
were stopped from 3rd day and animal returned to normal condition by 7th day post
treatment.
PMID- 27876989
TI - Seroprevalence of toxoplasmosis in diabetic pregnant women in southwestern of
Iran.
AB - To investigate anti-Toxoplasma gondii IgG and IgM antibodies in diabetic pregnant
women in Ahvaz, southwest of Iran this experiment was performed. In current study
the sera of 110 diabetic pregnant women as well as 110 non diabetic pregnant
women referred to the hospitals affiliated with the Ahvaz Jundishapur University
of Medical Sciences were assessed for anti-T. gondii IgG and IgM antibodies by
ELISA and IFA methods. The ELISA assessments showed that 47 (42.7 %) and 3 (2.7
%) of diabetic women were positive for IgG and IgM antibodies, respectively.
However, in the control group, 24 individuals (21.81 %) were positive for IgG
antibody but no detection for IgM antibody. According to IFA method, 46 (41.8 %)
and 3 (2.7 %) of diabetic women were positive for IgG and IgM antibodies,
respectively, while in control group, 21 individuals (19.09 %) were positive for
IgG antibody. In this method, IgM antibody was negative for all samples of
control group (0 %). In both methods, the values obtained in the case group were
significantly higher than those in the control group (p < 0.05). Prevalence of
anti-Toxoplasma IgG and IgM antibodies in diabetic pregnant women was higher than
that in non-diabetic pregnant women. It seems that screening tests for seeking
patients and teaching the transmission routes should be considered as prenatal
cares for diabetic women.
PMID- 27876990
TI - Comparative in vitro anti-tick efficacy of commercially available products and
newly developed phyto-formulations against field collected and resistant tick
lines of Rhipicephalus (Boophilus) microplus.
AB - Rhipicephalus (Boophilus) microplus is considered as one of the most widely
distributed tick species ecto-parasitizing on livestock and causes fatal diseases
with significant production loss. To address the problem of controlling acaricide
resistant tick infestations on animals, attention has been paid to develop eco
friendly phyto-acaricides. The present study was undertaken to evaluate the
comparative anti-tick activities of commercially available herbal and chemical
products with chemically characterized phyto-formulations developed recently
against field ticks and resistant tick lines of R. (B.) microplus. The chemical
product Butox(r) Vet was found nearly passive against all the tested resistant
tick lines. However, one of the commercial polyherbal product, Zerokeet(r) showed
an efficacy (E%) of 41.8-75.4 % ([Formula: see text]) using recommended dilution
(1:2) against field ticks and resistant tick lines. However, the other commercial
product, Erina(r) EP has very limited efficacy against all the tested tick. In
comparison, the newly developed phyto-formulation, NBA/13/B/2 and NAC-01
conferred an E% of 82.4-91.3 % ([Formula: see text]) and 62.3-94.6 % ([Formula:
see text]), respectively, against tested resistant ticks. Results indicated
higher marketing potentiality of newly developed formulation in the existing tick
problem scenario.
PMID- 27876991
TI - Trichomoniasis in older individuals: a preliminary report from Iran.
AB - Infection with Trichomonas vaginalis is one of the most common sexually
transmitted diseases (STDs) in humans. The prevalence of infection in Iran has
been reported 0.009-8 % depending on deferent socio-cultural conditions. This
study aimed to determine the frequency of T. vaginalis according to age in
patients referred to clinics, hospitals and medical diagnostic laboratories in
Karaj city, Iran. For this purpose, fifty positive samples were collected from
July 2012 to June 2014 from clinics, medical diagnostic laboratories and
hospitals, then transferred to laboratory of parasitology and cultured in TYM
medium. The results showed that all isolates were successfully cultured. Among 50
positive specimens, 43 cases were female and 7 cases male. The most positive
cases (34 %) belonged to the ages over 50 year's group. The lowest positive cases
(2 %) belonged to the ages of less than 20 years group. In Conclusion, unlike
other STDs, which have a higher prevalence among adolescents and young adults,
the rates of trichomoniasis are more evenly distributed among sexually active
women of all age groups. However, frequency of this infection in women aged over
50 years (age of menopause) is notable and complementary studies are needed.
PMID- 27876992
TI - Traditional versus molecular based detection of a rare occurrence of babesiosis
in Gir calf and its therapeutic management.
AB - A 30-day old Gir calf was brought to Veterinary Polyclinic with symptoms of high
fever, dullness, dyspnea, pale mucus membrane and haemoglobinuria. Blood sample
was collected and microscopic examination of thin blood smear confirmed the case
of acute babesiosis. It was further confirmed by polymerase chain reaction that
amplified an approximately 410 bp portion of the ssu-rDNA of Babesia spp. The
calf was managed with diminazene aceturate @5 mg/kg (Berenil) intramuscularly
followed by supportive therapy including intravenous infusions. The present study
reports a rare case of bovine babesiosis, its clinical variants, diagnosis,
hematology and therapeutic management.
PMID- 27876993
TI - A unique case of Babesia gibsoni infected dog with paraplegia.
AB - A 4 year old male Rottweiler was presented to Teaching Veterinary Clinics of
GADVASU with a primary complaint of paraplegia, fever and inappetence. The dog
was found to be dull with elevated rectal temperature (105 degrees F), heart
rate (158 per minute) and respiration rate (57 per minute). Blood smear
examination and PCR assay revealed that dog was positive for Babesia gibsoni.
Elevated bilirubin, alanine aminotransferase, alkaline phosphatase, creatinine,
total leucocyte count, neutrophils and hypoglycaemia were haemato-biochemical
alterations. The therapy targeting babesiosis was carried out with a single dose
of diminazene aceturate in combination with doxycycline, enrofloxacin and
metronidazole for 21 days. Complete follow up of the case revealed complete
clinical recovery within 21 days.
PMID- 27876994
TI - Epidemiological trend of cutaneous leishmaniasis in two endemic focus of disease,
south of Iran.
AB - Cutaneous leishmaniasis is one the most important zoonotic diseases has different
invertebrate hosts in different parts of its range, the vectors are often closely
related. Leishmaniasis is a world-wide vector borne disease, affecting 88
countries: especially in the Middle East and southwestern Asia. Nesokiaindica or
M. libycuserythrourus are confirmed as reservoir and Phlebotomine sand flies are
proven as vectors of the disease in the south of Iran. Patients' information
collected from Firouzabad and Ghirokarzin county, Fars province in Iran during
2006-2014. Data analyzed by Chi square test using SPSS19 statistic software. 613
cases (61.91 %) lived in rural and 377 (38.08 %) lived in urban areas. All ages
were grouped between 1 and >=30 years. 479 (48.38 %) of patients being male and
511 (51.61 %) female. 39.49 % of patients were with dry lesions and 60.5 % were
with wet lesions. Hand ulcers were the highest prevalence part of body (39.59 %).
The common frequent size of lesions was lesser than 2 cm. Regarding the most
prevalence rate (47.67 %) raised in autumn season. This study showed that
cutaneous leishmaniasis was an endemic disease in Firouzabad and Ghirokarzin
regions.
PMID- 27876995
TI - Two new species of xiphidiocercariae from the apple snail Pila virens in Malabar,
Kerala.
AB - Two new species of xiphidiocercariae, Cercaria sp. XIV Malabar n. sp. and
Cercaria sp. XV Malabar n. sp. were recovered from the apple snail Pila virens
collected from freshwater bodies in the Malabar region of Kerala. Cercaria sp.
XIV Malabar n. sp. was recovered from P. virens collected from Modakkallur in
Kozhikode district and Cercaria sp. XV Malabar n. sp. from the same snail species
collected from Payyanur in Kannur district. Sporocysts were also recovered from
the infected snail hosts. The two new species of cercariae are described in
detail and compared with related species to establish their systematic position.
PMID- 27876996
TI - New data about the helminth fauna of the red squirrel (Sciurus vulgaris Linnaeus,
1758) in Belorussian Polesie.
AB - The result of helminthological examination of 5 red squirrels in Belorussian
Polesie during 2003-2012 is presented. Two species of helminths were found:
Taenia martis (Zeder, 1803) larvae and Syphacia thompsoni Price, 1928. The red
squirrel for the first time established as host of cestode T. martis. The
nematode S. thompsoni registered as Syphacia sp. in this region between 1985 and
2000.
PMID- 27876997
TI - Scope of detectability of circulating antigens of human lymphatic filarial
parasite Wuchereria bancrofti with smaller amount of serum by Og4C3 assay: its
application in lymphatic filariasis elimination programme.
AB - Filarial antigen detection is an appropriate epidemiological indicator for
mapping lymphatic filariasis and impact evaluation of filariasis elimination
programme in view of low sensitivity of parasite detection. Monoclonal antibody
based Og4C3 immunological test requires 100 ul serum, which is difficult to
collect by finger prick method during community based surveys. Hence, we tested
lesser volume of serum compared to standard volume of 100 ul to compare its
sensitivity and specificity in detecting the circulating filarial antigens. Blood
samples were collected from individuals who tested positive [with titer groups 4
(border line positives), 6 (medium positives), and 8 (high positives)] and
negative (titre group 3) for Og4C3 assay. Different volumes of serum samples were
used to make-up required volume (100 ul) with appropriate dilutions and subjected
to Og4C3 assay. The results showed that known negative samples tested negative at
all the serum volumes tested. All positives (titer groups 6 and 8) showed
positivity at all reduced volumes of serum sample. However one of the medium
positive sample showed negative reaction in 5 ul volume of serum and two of the
border line positives showed negative at all the serum volume tested. The results
thus showed as less as 15 ul serum is adequate for use in Og4C3 assay. So the
test can be performed without losing its sensitivity even with 5 ul serum samples
at high titre of antigen (titre group 8) and 15 ul for other groups and this
method has scope in programme evaluation.
PMID- 27876998
TI - Occurrence of Knemidokoptes mutans and Laminosioptes cysticola in backyard
poultry in India.
AB - Birds reared in backyard poultry farming system are more prone to parasitic
infestation resulting in economic losses to rural community. The present study
reports occurrence of Knemidokoptes mutans and Laminosioptes cysticola mites in a
male Aseel bird. Clinical signs observed in the affected bird include
hyperkeratosis with whitish film layer on shank and hock region of legs. Focal
areas of sloughing of skin with oozing of blood were also observed on the back
and on the legs. Examination of skin scrapings from the affected sites revealed
different developmental stages of Knemidokoptes mutans and Laminosioptes
cysticola mites. This paper reports occurrence of L. cysticola for the first time
from India. The bird was treated with ivermectin injection through intramuscular
route.
PMID- 27876999
TI - Outbreak of Notozothecium bethae (Monogenea: Dactylogyridae) in Myleus
schomburgkii (Actinopterygii: Characiformes) cultured in the Peruvian Amazon.
AB - The presence of Notozothecium bethae was investigated in 76 black band myleus
(Myleus schomburgkii [Actinopterygii: Charasiformes]) born and kept in captivity
in two semi-intensive breeding cages in the northern region of Peru. Among the 76
cultivated specimens of M. schomburgkii examined in the present study, 100 % had
monogenean on the gill and the parasites were identified as Notozothecium bethae.
During the survey no bacteria was isolated, and no protozoan or other metazoan
parasites were found. The prevalence of N. bethae remained constant throughout
the present study. Mean intensity of N. bethae in the months of April and May was
exceptionally high in both facilities. The massive infection of N. bethae on the
gills of M. schomburgkii was associated with mortality. This is the first report
of N. bethae in M. schomburgkii born in captivity and cultured in the Amazon
region. The mortality due the presence of this parasite emphasise the need for
disease control strategies of cultured M. schomburgkii.
PMID- 27877001
TI - RETRACTED ARTICLE: Evaluation of intestinal parasites among referred patients:
report of 4637 cases.
PMID- 27877000
TI - Psychiatric aspects of toxoplasmosis: an Indian perspective.
AB - Toxoplasma gondii is one of the well-studied parasites because of its medical and
veterinary importance, and its suitability as a model for cell biology and
molecular studies. Latent toxoplasmosis in an immunocompetent host was considered
benign until recently. The importance of this parasite has been steadily rising
in the field of psychiatry and neurology as it has been implicated in numerous
neuropsychiatric disorders. Researchers in India have unfortunately restricted
themselves to finding the prevalence of toxoplasma antibodies in special
populations and animals. On the other hand, there has been increasing research
interest worldwide in T. gondii for its effects on human behaviour,
manifestations of which range from psychoses and neuroses to Alzheimer's and
Parkinson's disease. Toxoplasma infected organisms may be akin to living zombies.
From changing the core natural defensive behaviour in mice to changing
personality & leading to neuropsychiatric disorders in humans, Toxoplasma brings
about subtle but significant & specific changes in its host. Surprisingly there
is severe dearth of such studies from India even though prevalence rates of
latent Toxoplasma infection are comparable, or in some regions, higher to those
found elsewhere in the world. The potential for identifying Toxoplasma induced
behavioural alterations is enormous in this part of the world which could have
future treatment implications. It's high time that we move beyond researching the
obvious and involve ourselves in more rigorous, novel and stimulating studies in
the future.
PMID- 27877002
TI - RETRACTED ARTICLE: Frequency of intestinal protozoan parasites in patients with
gastrointestinal disorders in south of Karaj, Iran.
PMID- 27877003
TI - Retraction Note to: Prevalence of ixodid ticks on cattle and sheep northeast of
Iran.
AB - [This retracts the article DOI: 10.1007/s12639-014-0576-6.].
PMID- 27877004
TI - Retraction Note to: Histopathological features of cutaneous and gastric
habronemiasis in horse.
AB - [This retracts the article DOI: 10.1007/s12639-014-0611-7.].
PMID- 27877005
TI - Revalidation of a prognostic score model based on complete blood count for
nasopharyngeal carcinoma through a prospective study.
AB - OBJECTIVE: In our previous work, we incorporated complete blood count (CBC) into
TNM stage to develop a new prognostic score model, which was validated to improve
prediction efficiency of TNM stage for nasopharyngeal carcinoma (NPC). The
purpose of this study was to revalidate the accuracy of the model, and its
superiority to TNM stage, through data from a prospective study. METHODS: CBC of
249 eligible patients from the 863 Program No. 2006AA02Z4B4 was evaluated.
Prognostic index (PI) of each patient was calculated according to the score
model. Then they were divided by the PI into three categories: the low-,
intermediate-and high-risk patients. The 5-year disease-specific survival (DSS)
of the three categories was compared by a log-rank test. The model and TNM stage
(7th edition) were compared on efficiency for predicting the 5-year DSS, through
comparison of the area under curve (AUC) of their receiver-operating
characteristic curves. RESULTS: The 5-year DSS of the low-, intermediate-and high
risk patients were 96.0%, 79.1% and 62.2%, respectively. The low-and intermediate
risk patients had better DSS than the high-risk patients (P<0.001 and P<0.005,
respectively). And there was a trend of better DSS in the low-risk patients,
compared with the intermediate-risk patients (P=0.049). The AUC of the model was
larger than that of TNM stage (0.726 vs. 0.661, P=0.023). CONCLUSIONS: A CBC
based prognostic score model was revalidated to be accurate and superior to TNM
stage on predicting 5-year DSS of NPC.
PMID- 27877006
TI - Early prediction of pathological outcomes to neoadjuvant chemotherapy in breast
cancer patients using automated breast ultrasound.
AB - OBJECTIVE: Early assessment of response to neoadjuvant chemotherapy (NAC) for
breast cancer allows therapy to be individualized. The optimal assessment method
has not been established. We investigated the accuracy of automated breast
ultrasound (ABUS) to predict pathological outcomes after NAC. METHODS: A total of
290 breast cancer patients were eligible for this study. Tumor response after 2
cycles of chemotherapy was assessed using the product change of two largest
perpendicular diameters (PC) or the longest diameter change (LDC). PC and LDC
were analyzed on the axial and the coronal planes respectively. Receiver
operating characteristic (ROC) curves were used to evaluate overall performance
of the prediction methods. Youden's indexes were calculated to select the optimal
cut-off value for each method. Sensitivity, specificity, positive and negative
predictive values (PPV and NPV) and the area under the ROC curve (AUC) were
calculated accordingly. RESULTS: ypT0/is was achieved in 42 patients (14.5%)
while ypT0 was achieved in 30 patients (10.3%) after NAC. All four prediction
methods (PC on axial planes, LDC on axial planes, PC on coronal planes and LDC on
coronal planes) displayed high AUCs (all>0.82), with the highest of 0.89 [95%
confidence interval (95% CI), 0.83-0.95] when mid-treatment ABUS was used to
predict final pathological complete remission (pCR). High sensitivities (85.7%
88.1%) were observed across all four prediction methods while high specificities
(81.5%-85.1%) were observed in two methods used PC. The optimal cut-off values
defined by our data replicate the WHO and the RECIST criteria. Lower AUCs were
observed when mid-treatment ABUS was used to predict poor pathological outcomes.
CONCLUSIONS: ABUS is a useful tool in early evaluation of pCR after NAC while
less reliable when predicting poor pathological outcomes.
PMID- 27877007
TI - Further understanding of an uncommon disease of combined small cell lung cancer:
clinical features and prognostic factors of 114 cases.
AB - OBJECTIVE: Combined small cell lung cancer (C-SCLC) is an uncommon subgroup of
small cell lung cancer (SCLC) and few clinical data can be referred. Our study is
to investigate the clinical features and prognostic factors of C-SCLC, as well as
the role of multimodality treatment. METHODS: Between January 2004 and December
2012, patients with histologically diagnosed C-SCLC were retrospectively
analyzed. The survivals were evaluated with the Kaplan-Meier method. Univariate
and multivariate analyses were used to evaluate potential prognostic factors.
RESULTS: One hundred and fourteen patients were enrolled, with a median age of 59
(range: 20-79) years old. The most common combined component was squamous cell
carcinoma (52.6%). Among these patients, the disease was stage I, II, III and IV
in 9.6%, 19.3%, 46.5% and 24.6% of the patients, respectively. Eighty patients
(70.2%) received at least two of the three modalities containing chemotherapy,
radiotherapy and surgery. The median follow-up was 32.5 months. The median time
of overall survival (OS) was 26.2 months. On univariate analysis, smoking
(P=0.029), Karnofsky performance score (KPS) <80 (P=0.000), advanced TNM stage
(P=0.000), no surgery (P=0.010), positive resection margin (P=0.000), positive
lymph nodes >=4 (P=0.000), positive lymph node ratio >10% (P=0.000) and non
multimodality treatment (P=0.004) were associated with poor OS. Multivariate
analysis confirmed that smoking, advanced TNM stage, positive resection margin
and positive lymph nodes ratio >10% were poor prognostic features. CONCLUSIONS: C
SCLC has a relatively early stage and good prognosis, which may due to the
underestimated diagnosis in non-surgical patients. Multimodality therapy is
recommended, especially for limited disease. Smoking, advanced TNM stage,
positive resection margin and positive lymph nodes ratio >10% are poor prognostic
factors.
PMID- 27877008
TI - Detection of ALK translocation in non-small cell lung carcinoma (NSCLC) and its
clinicopathological significance using the Ventana immunohistochemical staining
method: a single-center large-scale investigation of 1, 504 Chinese Han patients.
AB - OBJECTIVE: The novel fully automated immunohistochemistry (IHC) assay-Ventana
anaplastic lymphoma kinase (ALK)-D5F3 for screening ALK rearrangements has been
approved by China's Food and Drug Administration in 2013, our previous study
disclosed a highly specificity and sensitivity nearly 100%, and its efficacy
needs to be evaluated in a large cohort of primary lung adenocarcinoma patients,
and to compare clinicopathological features with ALK (+) and ALK (-) lung
adenocarcinoma. METHODS: A total of 1,504 consecutive surgical lung
adenocarcinoma cases of Chinese Han population were collected and re-diagnosed
according to the 2011 multidisciplinary classification of lung adenocarcinoma.
Fully automated Ventana ALK-D5F3 IHC staining with a binary scoring was adopted
to evaluate staining and correlated with clinicopathological characters,
including age, sex, differentiation degree, histological subtype, lymph node
metastasis, and clinical staging. ALK (+) patients were followed-up, and targeted
therapy of ALK-inhibitors was adopted and observed in patients with stage IV
according to the NCCN guideline. RESULTS: ALK positive adenocarcinomas were
identified in 6.6% of the surgically resected 1,504 NSCLCs, and significantly
younger than the negative group (P<0.05).Mucinous adenocarcinoma (28.2%) was
determined to be predominant in ALK (+) cases, followed by the solid type
(11.7%), specific type (6.8%), papillary type (5.6%), acinar type (5.5%), and
lepidic type (3.1%), and the differences were statistically significant
(chi2=42.011, P<0.05). ALK (+) adenocarcinoma with lymph node metastasis (10.8%)
were significantly higher than that without lymph node metastasis (4.5%)
(chi2=19.809, P<0.05); and ALK (+) in phase IV (20%) was significantly higher
than phase III (12.9%), phase II (4.2%), phase I (4.5%), and phase 0 (0)
(chi2=36.068, P<0.05). Multivariate logistic regression disclosed that patient
age, AJCC staging, and histological mucinous subtype were correlated with ALK
positive staining (OR=0.959, 1.578, 5.036, respectively). Sixty eight patients
had followed-up results, five patients out of which primarily diagnosed or
progressed into Stage IV benefited well from targeted therapy with Crizotinib.
CONCLUSIONS: The ALK fusion protein was seen in 6.6% Chinese NSCLC patients, and
mostly seen in younger, clinically higher staging, mucinous and solid predominant
adenocarcinoma. Clinical trials in patients of Stage IV confirmed that ALK-D5F3
Ventana IHC is serviceable in screening ALK-positive candidates for molecular
targeted therapy.
PMID- 27877009
TI - Characteristics of gastric cancer recurrence five or more years after curative
gastrectomy.
AB - OBJECTIVE: To investigate the characteristics of recurrences that occurred 5 or
more years after curative resection for gastric cancer. METHODS: We analyzed
recurrences among 1,299 patients with gastric cancer who underwent curative
operations at the Department of Surgery, Inje University Seoul Paik Hospital
between September 1998 and December 2002. Recurrences were classified as within 2
years (early), 2-5 years (intermediate), and more than 5 years (late) after
gastrectomy. The clinicopathologic findings of the patients with late recurrence
were compared with those of patients in the other two recurrence groups, with
special reference to the patterns of recurrence. Both univariate and multivariate
analyses were performed, incorporating factors such as operation type, T-stage, N
stage, stage, lymphatic invasion, neural invasion, histology, tumor size, and
recurrence site. RESULTS: At the time of last follow-up, recurrence occurred in
266 (20.5%) patients. Recurrence times were classified as <2 years (182
patients), 2-5 years (61 patients), or >5 years (23 patients). The late
recurrence rate was 8.6%. The occurrence of recurrence >5 years after gastrectomy
was significantly correlated with age, operation type, T-stage, N-stage, stage,
lymphatic invasion, neural invasion, histology, tumor size, location and
recurrence site (P<0.05). The main recurrence patterns in the 23 patients with
late recurrence were locoregional metastasis (10 patients, 43.5%), peritoneal
seeding (8 patients, 34.8%), hematogenous metastasis (2 patients, 8.7%), and
multiple metastasis (3 patients, 13.0%). A multivariate analysis showed that
larger tumor size and younger age were independent prognostic factors for late
recurrence. Additionally, locoregional and peritoneal recurrences were
significantly more common than hematogenous recurrences. CONCLUSIONS: Although
late recurrence was uncommon, younger age and larger tumor size were associated
with high risk. Follow-up surveillance is recommended for locoregional and
peritoneal metastasis.
PMID- 27877010
TI - Comparison of lymph node number and prognosis in gastric cancer patients with
perigastric lymph nodes retrieved by surgeons and pathologists.
AB - OBJECTIVE: To compare the numbers of positive and total lymph nodes and prognosis
in gastric cancer patients whose perigastric lymph node retrieval was performed
by surgeons and pathologists. METHODS: We conducted a retrospective analysis of
clinical and follow-up data from 1, 056 patients who underwent gastric cancer D2
radical lymph node resection between January 2008 and December 2010 in the
Gastrointestinal Surgery Department of Yantai Yuhuangding Hospital. The follow-up
ended in December 2015. Patients were divided into two groups according to the
specialty of physicians who performed the postoperative perigastric lymph node
retrieval: the surgeon group (475 cases) and the pathologist group (581 cases).
The numbers of positive and total perigastric lymph nodes and the 3- and 5-year
survival were compared between gastric cancer patients in the two groups overall
and stratified by TNM stage (the 7th Edition of the American Joint Committee on
Cancer). RESULTS: Overall, the numbers of positive and total lymph nodes were
significantly higher in the surgeon group than in the pathologist group (6.53+/
4.07 vs. 4.09+/-3.70, P=0.021; 29.64+/-11.50 vs. 20.71+/-8.56, P<0.001). Further
analysis showed that the total number of lymph nodes in stage I patients (19.40+/
9.62 vs. 15.45+/-8.59, P=0.011) and the numbers of positive and total lymph nodes
in stage II (1.38+/-1.08 vs. 0.87+/-1.55, P=0.031; 25.35+/-10.80 vs. 16.75+/
8.56, P<0.001) and stage III patients (8.11+/-6.91 vs. 6.66+/-5.12, P=0.026;
32.34+/-12.55 vs. 25.45+/-8.31, P<0.001) were significantly higher in the surgeon
group than in the pathologist group. The survival analysis showed that the 3- and
5-year survival of stage II and III patients was significantly higher in the
surgeon group than in the pathologist group (82.0% vs. 73.1%, 69.5% vs. 61.2%,
P=0.038; 49.2% vs. 38.9%, 36.3% vs. 28.0%; P=0.045). CONCLUSIONS: Compared with
retrieval performed by pathologists, postoperative perigastric lymph node
retrieval performed by surgeons was associated with significant increase in the
total lymph node number of stage I patients, the numbers of positive and total
lymph nodes of stage II and III patients, and the survival of stage II and stage
III gastric cancer patients.
PMID- 27877011
TI - Analysis of clinical characteristics and treatment of pancreatic cystic tumors.
AB - OBJECTIVE: To summarize experience in the diagnosis and treatment of pancreatic
cystic neoplasms. METHODS: This is a retrospective study of 207 patients who were
diagnosed with pancreatic cystic tumors at Peking Union Medical College Hospital
between Jan 2009 and Mar 2014. Clinical data, such as clinical manifestations,
radiological and pathological images and surgical recordings, were collected.
RESULTS: Of the 207 included patients, females accounted for 76.81%, and the mean
patient age was 52.04 years. Malignancy was more common in older patients who
presented with marasmus and jaundice. Other risk factors included solid
components in the tumor, a large tumor size, and elevated levels of tumor
markers. Surgical treatment was required when a malignant tumor was suspected.
The operation approach was selected based on the location, size and
characteristics of the tumor. The position of the tumor relative to the
pancreatic duct also played a significant role. CONCLUSIONS: No specific symptoms
were observed for the patients with pancreatic cystic tumors. Imaging played an
important role in making a differential diagnosis. Furthermore, surgical
treatment should be proposed for patients with significant symptoms and
potentially malignant tumors. The tumor resection rate is high, suggestive of
good prognosis.
PMID- 27877012
TI - Simultaneous hepatic resection benefits patients with synchronous colorectal
cancer liver metastases.
AB - OBJECTIVE: The safety of the simultaneous resection of synchronous colorectal
cancer liver metastases (SCRLM) is still being debated. However, this
simultaneous operative approach is more commonly adopted at present than in the
past. Therefore, we compared simultaneous hepatic resection with delayed hepatic
resection in this study. METHODS: All patients with SCRLM diagnosed before
initial treatment between January 2009 and September 2013 were retrospectively
included in our study. Short-term and long-term outcomes were compared in
patients who underwent simultaneous colorectal and hepatic resection and those
treated by delayed hepatectomy. RESULTS: Among the 73 patients diagnosed with
SCRLM, simultaneous colorectal and hepatic resection was performed in 60 patients
(82.2%), while delayed hepatic resection was performed in 13 patients (17.8%).
The mortality rate was zero. The postoperative complication rate after delayed
resection was higher than, but not significantly different from, that after
simultaneous resection (46% vs. 23%, P=0.166). The duration of operating time
(240 vs. 420 min, P<0.05) and postoperative hospital stay time (11 vs. 18 days,
P<0.05) were shorter in the simultaneous resection group. After the initial
treatments were given, the 1-, 2-, and 3-year survival rates in the simultaneous
resection group were 77%, 59%, and 53%, respectively, whereas those in the
delayed resection group were 67%, 42%, and 10%, respectively. The 5-year survival
rate in the simultaneous resection group was 23%; overall survival differed
significantly between the two groups (P=0.037). Median disease-free survival
(DFS) times were 19.1 months in the simultaneous resection group and 8.8 months
in the delayed resection group. DFS differed significantly between the two
groups. CONCLUSIONS: Simultaneous colorectal and hepatic resection is safe and
exhibits advantages in the long-time survival of patients.
PMID- 27877013
TI - The association of TNF-308 (G/A) gene polymorphisms and hepatocellular carcinoma
risk: a meta-analysis.
AB - OBJECTIVE: Many studies have examined the association between the TNF-308 G/A
polymorphism gene polymorphisms and hepatocellular carcinoma risk in various
populations, but their results have been inconsistent. To assess this
relationship more precisely, a meta-analysis was performed. METHODS: The PubMed
and CNKI (China National Knowledge Infrastructure) database was searched for case
control studies. Odds ratios (OR) with 95% CIs were used to determine the
strength of association between the TNF-308 G/A polymorphisms and HCC risk. The
pooled ORs for the risk associated with the TNF-308 G/A genotype, the A carriers
(A/G + A/A) vs. the wild-type homozygotes (G/G), A/A vs. G/G were calculated,
respectively. Subgroup analyses were done by ethnicity and smoking status.
Heterogeneity assumptions were assessed by chi-square-based Q-test. RESULTS:
Ultimately, 21 studies, comprising 2,923 hepatocellular carcinoma cases and 4,323
controls were included. Overall, the A carriers (G/A + A/A) vs. the wild-type
homozygotes (G/G), the pooled OR was 1.05 (95% CI, 0.93-1.19; P=0.000 for
heterogeneity), for A/A vs. G/G the pooled OR was 1.07 (95% CI, 0.95-1.21;
P=0.007 for heterogeneity). In the stratified analysis by ethnicity, the
significantly risks were found among non-Asians. However, for Asians,
significantly risks were not found. CONCLUSIONS: The TNF-308 G/A polymorphisms
are not associated with hepatocellular carcinoma risk among Asians, but for non
Asians.
PMID- 27877014
TI - Prognostic potential of an immune score based on the density of CD8+ T cells,
CD20+ B cells, and CD33+/p-STAT1+ double-positive cells and HMGB1 expression
within cancer nests in stage IIIA gastric cancer patients.
AB - OBJECTIVE: There is heterogeneity in the prognosis of gastric cancers staged
according to the tumornodes- metastasis (TNM) system. This study evaluated the
prognostic potential of an immune score system to supplement the TNM staging
system. METHODS: An immunohistochemical analysis was conducted to assess the
density of T cells, B cells, and myeloid-derived suppressor cells (MDSCs) in
cancer tissues from 100 stage IIIA gastric cancer patients; the expression of the
high-mobility group protein B1 (HMGB1) was also evaluated in cancer cells. The
relationship between the overall survival (OS), disease-free survival (DFS), and
immunological parameters was analyzed. RESULTS: An immune score system was
compiled based on the prognostic role of the density of T cells, B cells, MDSCs,
and the expression of HMGB1 in cancer tissues. The median 5-year survival of this
group of patient was 32%. However, the 5-year survival rates of 80.0%, 51.7%, 0%,
5.8%, and 0% varied among the patients with an immune score of 4 to those with an
immune score of 0 based on the immune score system, respectively. Similarly,
differences in DFS rates were observed among the immune score subgroups.
CONCLUSIONS: An immune score system could effectively identify the prognostic
heterogeneity within stage IIIA gastric cancer patients, implying that this
immune score system may potentially supplement the TNM staging system, and help
in identifying a more homogeneous group of patients who on the basis of prognosis
can undergo adjuvant therapy.
PMID- 27877015
TI - Rainbow glare after laser-assisted in situ keratomileusis: a review of
literature.
AB - This article reviews the current literature pertaining to rainbow glare (RG),
including incidence rate, clinical presentation, etiology, prognosis, and
management. RG is a rare optical complication of femtosecond laser-assisted in
situ keratomileusis that results in patients seeing an array of spectral bands
surrounding point sources of light under mesopic and scotopic conditions. The
mechanism is thought to be a consequence of the formation of a transmissive
diffraction grating on the posterior surface of the corneal flap created by the
FS laser. RG has a good prognosis and is usually self-limiting. Persistent RG
with concomitant residual refractive error may warrant lifting the flap and
photoablating the posterior surface of the flap. Patients with persistent RG and
no residual refractive error should be considered candidates for phototherapeutic
keratectomy on the posterior flap surface.
PMID- 27877016
TI - Clinical utility of antimicrobial susceptibility measurement plate covering
formulated concentrations of various ophthalmic antimicrobial drugs.
AB - PURPOSE: The purpose of this study was to evaluate the clinical utility of SG17,
an ophthalmic antimicrobial susceptibility measurement plate. DESIGN: This was a
multicenter, retrospective, observational study. PATIENTS AND METHODS: Using
clinical isolates from patients with ocular infections, drug susceptibility
testing using the Clinical Laboratory and Standards Institute standards was
routinely conducted at five facilities. The minimum inhibitory concentrations of
the isolated strains were determined using SG17 at the Research Foundation for
Microbial Diseases of Osaka University. The records of antimicrobial drugs used
and the therapeutic course were evaluated for all cases. The susceptibility
results from SG17 and routine methods used at each facility were compared.
RESULTS: A total of 112 bacterial strains were isolated from 92 patients. Of
these cases, keratitis was the most common (52.2%), followed by conjunctivitis
(21.7%) and others (26.1%). Principal signs and symptoms resolved in all
patients, indicating that therapeutic effects had been achieved. With SG17, drug
susceptibility was determined in 98.9% of isolates compared with 30.4% of
isolates determined using conventional methods. By adapting the Clinical
Laboratory and Standards Institute standards to SG17 results, we found that 91.3%
of patients were susceptible and 7.6% were resistant. In five patients, drugs
with a resistant evaluation were initially administered with no effect, and the
patients were then switched to drugs with a susceptible evaluation with final
resolution, indicating agreement of clinical results with SG17. CONCLUSION: SG17
can be used to determine drug susceptibility to antimicrobial agents currently
used in ophthalmic practice. SG17 is useful for selecting antimicrobial drugs.
PMID- 27877017
TI - Study of the antibacterial and antifungal activities of synthetic benzyl
bromides, ketones, and corresponding chalcone derivatives.
AB - Several applications of chalcones and their derivatives encouraged researchers to
increase their synthesis as an alternative for the treatment of pathogenic
bacterial and fungal infections. In the present study, chalcone derivatives were
synthesized through cross aldol condensation reaction between 4-(N,N
dimethylamino)benzaldehyde and multiarm aromatic ketones. The multiarm aromatic
ketones were synthesized through nucleophilic substitution reaction between 4
hydroxy acetophenone and benzyl bromides. The benzyl bromides, multiarm aromatic
ketones, and corresponding chalcone derivatives were evaluated for their
activities against eleven clinical pathogenic Gram-positive, Gram-negative
bacteria, and three pathogenic fungi by the disk diffusion method. The minimum
inhibitory concentration was determined by the microbroth dilution technique. The
results of the present study demonstrated that benzyl bromide derivatives have
strong antibacterial and antifungal properties as compared to synthetic chalcone
derivatives and ketones. Benzyl bromides (1a and 1c) showed high ester activity
against Gram-positive bacteria and fungi but moderate activity against Gram
negative bacteria. Therefore, these compounds may be considered as good
antibacterial and antifungal drug discovery. However, substituted ketones (2a-b)
as well as chalcone derivatives (3a-c) showed no activity against all the tested
strains except for ketone (2c), which showed moderate activity against Candida
albicans.
PMID- 27877018
TI - More frequent IgD and reduced CD200 expression in Chinese patients younger than
50 years old with multiple myeloma: a multicenter analysis.
AB - We retrospectively analyzed the presenting features and survival of 194 newly
diagnosed patients with multiple myeloma in the People's Republic of China.
Compared with older patients, younger patients had a higher percentage of IgD
isotype, lower percentage of International Staging System Stage 3 disease, higher
albumin level, and lower frequency of high beta2-microglobulin and CD200
expression. There was no difference in sex, Durie-Salmon stage, bone lesion
degree, creatinine, lactate dehydrogenase, fluorescence in situ hybridization,
and expression of other antigens. Among all 940 newly diagnosed patients with
multiple myeloma, those younger than 50 years had better overall survival and
progression-free survival than older patients. Of these patients, 457 were
treated with a bortezomib-containing regimen, and 450 received conventional
therapy. Younger patients treated with bortezomib had better overall survival and
progression-free survival than older patients. However, younger patients treated
with conventional therapy had the same survival as older patients.
PMID- 27877019
TI - Comment on the case report "Possible association between acetazolamide
administration during pregnancy and multiple congenital malformations".
PMID- 27877020
TI - Improved oral bioavailability of 20(R)-25-methoxyl-dammarane-3beta, 12beta, 20
triol using nanoemulsion based on phospholipid complex: design, characterization,
and in vivo pharmacokinetics in rats.
AB - The aim of the study was to improve the oral absorption of the compound 25-OCH3
PPD with poor hydrophilicity and lipophilicity. 25-OCH3-PPD-phospholipid complex
was prepared by solvent evaporation, then characterized by differential scanning
calorimetry, scanning electron microscopy, and infrared absorption spectroscopy.
The aqueous solubility and oil-water partition coefficient were compared with the
free compound. A nanoemulsion loaded with 25-OCH3-PPD-phospholipid complex was
developed by dissolving the complex in water in the presence of hydrophilic
surfactant under sonication. After oral administration of the nanoemulsion and
the suspension of 25-OCH3-PPD in rats, the concentrations of 25-OCH3-PPD in
plasma were determined by high-performance liquid chromatography-tandem mass
spectrometry method. The results showed that the solubility of the complex in
water and n-octanol was enhanced. The oil-water partition coefficient improved
1.7 times. Peak plasma concentration and area under the curve(0-24 h) of the
nanoemulsion of 25-OCH3-PPD-phospholipid complex were higher than that of free
compound by 3.9- and 3.5-folds.
PMID- 27877021
TI - Medicine-related services in community pharmacy: public preferences for pharmacy
attributes and promotional methods and comparison with pharmacists' perceptions.
AB - BACKGROUND: Public awareness of pharmacy services designed to support the use of
medicines is low, yet little is known about how the public view promotion of
these services, or their preferences for the attributes of pharmacies from which
they would like to receive them. OBJECTIVE: To compare the public's preferred
attributes of pharmacies and methods for promoting medicine-related services with
community pharmacists' perceptions of their customers' views. METHODS: Parallel
surveys were conducted in South East England, using a street survey for the
general public and a postal survey for community pharmacists. RESULTS: Response
rates were as follows: public 47.2% (1,000/2,012) and pharmacists 40.8%
(341/836). Pharmacists' perceptions of customer preferences for using the same
pharmacy, independent ownership, and personal knowledge of the pharmacist were
higher than actual public preferences. More pharmacists than public respondents
also believed that approachability and previous good service would be important.
The public's desires for long opening hours and for a pharmacy with a good
relationship with their doctor's surgery were higher than pharmacists believed.
The majority of the public prefer not to interrupt a pharmacist who is busy in
the dispensary, which was not perceived by pharmacists as a factor. Pharmacists'
perceptions aligned more with the preferences of regular medicine users and
frequent pharmacy users. Both groups viewed direct recommendation as the most
effective approach for promoting pharmacy services, particularly by doctors and
pharmacy staff. Pharmacists' expectations of the effectiveness of posters and
mass media methods were much higher than those of the public. CONCLUSION:
Pharmacists and pharmacy owners must ensure good relationships with local medical
practices to enable them to maximize opportunities for using the promotional
methods judged most effective in encouraging the use of medicine-related
services. Staff must be approachable and enable access to pharmacists, ensuring
that perceptions of pharmacist busyness are not a deterrent.
PMID- 27877023
TI - Impacts of fixed orthodontic appliance and clear-aligner on daily performance in
adult patients with moderate need for treatment [Retraction].
AB - [This retracts the article on p. 1639 in vol. 10, PMID: 27616881.].
PMID- 27877022
TI - Development of internalized and personal stigma among patients with and without
HIV infection and occupational stigma among health care providers in Southern
China.
AB - BACKGROUND: HIV/AIDS-related stigma is a major barrier of access to care for
those infected with HIV. The aim of this study was to examine, validate, and
adapt measuring scales of internalized, personal, and occupational stigma
developed in Africa into a Chinese context. METHODS: A cross-sectional study was
conducted from January to September 2015 in Kunming, People's Republic of China.
Various scales were constructed on the basis of the previous studies with
modifications by experts using exploratory and confirmatory factor analyses (EFA
+ CFA). Validation of the new scales was done using multiple linear regression
models and hypothesis testing of the factorial structure invariance. RESULTS: The
numbers of subjects recruited for the development/validation samples were 696/667
HIV-positive patients, 699/667 non-HIV patients, and 157/155 health care
providers. EFA revealed a two-factor solution for internalized and personal
stigma scales (guilt/blaming and being refused/refusing service), which were
confirmed by CFA with reliability coefficients (r) of 0.869 and 0.853,
respectively. The occupational stigma scale was found to have a three-factor
structure (blaming, professionalism, and egalitarianism) with a reliability
coefficient (r) of 0.839. Higher correlations of factors in the HIV patients
(r=0.537) and non-HIV patients (r=0.703) were observed in contrast to low-level
correlations (r=0.231, 0.286, and 0.266) among factors from health care
providers. CONCLUSION: The new stigma scales are valid and should be used to
monitor HIV/AIDS stigma in different groups of Chinese people in health care
settings.
PMID- 27877024
TI - Understanding the physical, social, and emotional experiences of people with
uncontrolled Type 2 diabetes: a qualitative study.
AB - PURPOSE: The purpose of this study was to identify the perceptions, barriers, and
facilitators of self-management of Type 2 diabetes mellitus (T2DM) to determine
the factors to consider when developing and implementing a person-centered
intervention in patients with poor glycemic control attending primary care.
METHODS: This was a qualitative study conducted in 6 primary care health centers
in Catalonia. Patients who had been diagnosed with T2DM and had glycated
hemoglobin of 9% or more were included. The sampling method was opportunistic,
accounting for gender, age, duration of diabetes, and type of treatment. Forty
three semi-structured interviews were conducted. Thematic content analysis was
performed. RESULTS: The patients perceived the diagnosis of T2DM as a threat to
their health, and the diagnosis generated cognitive and emotional representations
of T2DM. The emotions associated with the diagnosis included fear of the future,
worry, denial, sadness, and dejection. The patients also wondered if there could
have been an error in their test results because they did not present any
symptoms. These representations, both cognitive and emotional, can produce
specific effects in adaptation processes and require different approach
strategies, specifically regarding diet, physical activity, and pharmacological
treatment. Finally, specific aspects regarding the acceptability and adaptability
of the implementation of a new intervention were expressed. CONCLUSION: Patients
with T2DM and very poor glycemic control expressed difficulty achieving a balance
between the needs and demands of managing and controlling T2DM because they felt
it strongly interfered in their daily lives.
PMID- 27877026
TI - Pharmaceutical interventions by collaboration between staff pharmacists and
clinical pharmacists and implementation of Joint Commission International
Accreditation Standards on medication use may optimize pharmacotherapy in
geriatric patients.
PMID- 27877025
TI - Medication knowledge of patients hospitalized for heart failure at admission and
after discharge.
AB - BACKGROUND: A substantial aspect of health literacy is the knowledge of
prescribed medication. In chronic heart failure, incomplete intake of prescribed
drugs (medication non-adherence) is inversely associated with clinical prognosis.
Therefore, we assessed medication knowledge in a cohort of patients with
decompensated heart failure at hospital admission and after discharge in a
prospective, cross-sectional study. METHODS: One hundred and eleven patients
presenting at the emergency department with acute decompensated heart failure
were included (mean age 78.4+/-9.2, 59% men) in the study. Patients' medication
knowledge was assessed during individual interviews at baseline, course of
hospitalization, and 3 months after discharge. Individual responses were compared
with the medical records of the referring general practitioner. RESULTS: Median N
terminal prohormone of brain natriuretic peptide plasma concentration in the
overall population at baseline was 4,208 pg/mL (2,023-7,101 pg/mL [interquartile
range]), 20 patients died between the second and third interview. The number of
prescribed drugs increased from 8+/-3 at baseline to 9+/-3 after 3 months. The
majority of patients did not know the correct number of their drugs. Medication
knowledge decreased continuously from baseline to the third interview. At
baseline, 37% (n=41) of patients stated the correct number of drugs to be taken,
whereas only 18% (n=16) knew the correct number 3 months after discharge
(P=0.008). Knowledge was inversely related to N-terminal prohormone of brain
natriuretic peptide levels. CONCLUSION: Medication knowledge of patients with
acute decompensated heart failure is poor. Despite care in a university hospital,
patients' individual medication knowledge decreased after discharge. The study
reveals an urgent need for better strategies to improve and promote the knowledge
of prescribed medication in these very high-risk patients.
PMID- 27877027
TI - Fatigue as a long-term risk factor for limitations in instrumental activities of
daily living and/or mobility performance in older adults after 10 years.
AB - OBJECTIVES: Decline in the performance of instrumental activities of daily living
(IADL) and mobility may be preceded by symptoms the patient experiences, such as
fatigue. The aim of this study is to investigate whether self-reported non-task
specific fatigue is a long-term risk factor for IADL-limitations and/or mobility
performance in older adults after 10 years. METHODS: A prospective study from two
previously conducted cross-sectional studies with 10-year follow-up was conducted
among 285 males and 249 females aged 40-79 years at baseline. Fatigue was
measured by asking "Did you feel tired within the past 4 weeks?" (males) and "Do
you feel tired?" (females). Self-reported IADLs were assessed at baseline and
follow-up. Mobility was assessed by the 6-minute walk test. Gender-specific
associations between fatigue and IADL-limitations and mobility were estimated by
multivariable logistic and linear regression models. RESULTS: A total of 18.6% of
males and 28.1% of females were fatigued. After adjustment, the odds ratio for
fatigued versus non-fatigued males affected by IADL-limitations was 3.3
(P=0.023). In females, the association was weaker and not statistically
significant, with odds ratio being 1.7 (P=0.154). Fatigued males walked 39.1 m
shorter distance than those non-fatigued (P=0.048). For fatigued females, the
distance was 17.5 m shorter compared to those non-fatigued (P=0.479). CONCLUSION:
Our data suggest that self-reported fatigue may be a long-term risk factor for
IADL-limitations and mobility performance in middle-aged and elderly males but
possibly not in females.
PMID- 27877028
TI - Efficacy of a trunk orthosis with joints providing resistive force on low back
load during level walking in elderly persons.
AB - PURPOSE: The effects of lumbosacral and spinal orthoses on low back pain and gait
are not exactly clear. We previously developed a trunk orthosis with joints
providing resistive force on low back load to decrease such load, and confirmed
its positive effects during level walking in healthy young adults. Therefore, we
aimed to determine the efficacy of this trunk orthosis during level walking in
healthy elderly subjects. METHODS: Fifteen community-dwelling elderly subjects
performed level walking at a self-selected speed without an orthosis, with our
orthosis, and with a lumbosacral orthosis. Kinematic and kinetic data were
recorded using a three-dimensional motion analysis system, and erector spinae
activity was recorded by electromyography. RESULTS: When comparing the three
conditions, our orthosis showed the following effects: it decreased the peak
extension moment, increased the peak flexion moment, decreased the lateral
bending angle, increased the peak thoracic extension angle, and had significantly
lower erector spinae activity and significantly larger peak pelvic forward tilt
angles. CONCLUSION: Our orthosis with joints providing resistive force decreased
low back load and modified trunk and pelvis alignments during level walking in
healthy elderly people.
PMID- 27877029
TI - Comments on the paper "Efficacies of globus pallidus stimulation and subthalamic
nucleus stimulation for advanced Parkinson's disease: a meta-analysis of
randomized controlled trials".
PMID- 27877030
TI - Application of a diagnostic algorithm for the rare deficient variant Mmalton of
alpha-1-antitrypsin deficiency: a new approach.
AB - BACKGROUND AND OBJECTIVES: Alpha-1-antitrypsin deficiency (AATD) is associated
with a high risk for the development of early-onset emphysema and liver disease.
A large majority of subjects with severe AATD carry the ZZ genotype, which can be
easily detected. Another rare pathologic variant, the Mmalton allele, causes a
deficiency similar to that of the Z variant, but it is not easily recognizable
and its detection seems to be underestimated. Therefore, we have included a rapid
allele-specific genotyping assay for the detection of the Mmalton variant in the
diagnostic algorithm of AATD used in our laboratory. The objective of this study
was to test the usefulness of this new algorithm for Mmalton detection. MATERIALS
AND METHODS: We performed a retrospective revision of all AATD determinations
carried out in our laboratory over 2 years using the new diagnostic algorithm.
Samples with a phenotype showing one or two M alleles and AAT levels discordant
with that phenotype were analyzed using the Mmalton allele-specific genotyping
assay. RESULTS: We detected 49 samples with discordant AAT levels; 44 had the MM
and five the MS phenotype. In nine of these samples, a single rare Mmalton
variant was detected. During the study period, two family screenings were
performed and four additional Mmalton variants were identified. CONCLUSION: The
incorporation of the Mmalton allele-specific genotyping assay in the diagnostic
algorithm of AATD resulted in a faster and cheaper method to detect this allele
and avoided a significant delay in diagnosis when a sequencing assay was
required. This methodology can be adapted to other rare variants. Standardized
algorithms are required to obtain conclusive data of the real incidence of rare
AAT alleles in each region.
PMID- 27877031
TI - The association between inhaled corticosteroid and pneumonia in COPD patients:
the improvement of patients' life quality with COPD in Taiwan (IMPACT) study.
AB - To investigate the association between inhaled corticosteroid (ICS) exposure
patterns and the risk of pneumonia in chronic obstructive pulmonary disease
(COPD) patients, we performed a nested case-control study. Between 1998 and 2010,
51,739 patients, including 19,838 cases of pneumonia, were matched to 74,849
control subjects selected from a cohort of COPD patients using ICSs via risk-set
sampling of the database constructed by the National Health Research Institutes
of Taiwan. After adjusting for covariates, the current use of ICSs was associated
with a 25% increase in the risk of pneumonia (odds ratio [OR] =1.25, 95%
confidence interval [CI] =1.20-1.30), and there was an increase in the OR with
increase in the average daily dosage. Additionally, users of
fluticasone/salmeterol, fluticasone, and either fluticasone/salmeterol or
fluticasone were more likely to be at a higher risk of pneumonia (OR =1.35, 95%
CI =1.28-1.41; OR =1.22, 95% CI =1.10-1.35; and OR =1.33, 95% CI =1.27-1.39,
respectively). In contrast, there were no statistically significant associations
between the risk of pneumonia and the use of budesonide/formoterol, budesonide,
or either budesonide/formoterol or budesonide. In conclusion, ICSs are
significantly associated with an increased risk of pneumonia in COPD patients.
The effect is prominent for fluticasone-containing ICSs but not for budesonide
containing ICSs.
PMID- 27877033
TI - Combination therapy of inhaled steroids and long-acting beta2-agonists in asthma
COPD overlap syndrome.
AB - BACKGROUND: The efficacy of inhaled corticosteroids (ICSs)/long-acting beta2
agonist (LABA) treatment in patients with asthma-chronic obstructive pulmonary
disease (COPD) overlap syndrome (ACOS) compared to patients with COPD alone has
rarely been examined. This study aimed to evaluate the clinical efficacy for the
improvement of lung function after ICS/LABA treatment in patients with ACOS
compared to COPD alone patients. METHODS: Patients with stable COPD were selected
from the Korean Obstructive Lung Disease (KOLD) cohort. Subjects began a 3-month
ICS/LABA treatment after a washout period. ACOS was defined when the patients had
1) a personal history of asthma, irrespective of age, and wheezing in the last 12
months in a self-reported survey and 2) a positive bronchodilator response.
RESULTS: Among 152 eligible COPD patients, 45 (29.6%) fulfilled the criteria for
ACOS. After a 3-month treatment with ICS/LABA, the increase in forced expiratory
volume in 1 second (FEV1) was significantly greater in ACOS patients than in
those with COPD alone (240.2+/-33.5 vs 124.6+/-19.8 mL, P=0.002). This increase
in FEV1 persisted even after adjustment for confounding factors (adjusted
P=0.002). According to severity of baseline FEV1, the ACOS group showed a
significantly greater increase in FEV1 than the COPD-alone group in patients with
mild-to-moderate airflow limitation (223.2+/-42.9 vs 84.6+/-25.3 mL, P=0.005),
whereas there was no statistically significant difference in patients with severe
to very severe airflow limitation. CONCLUSION: This study provides clinical
evidence that ACOS patients with mild-to-moderate airflow limitation showed a
greater response in lung function after 3 months of ICS/LABA combination
treatment.
PMID- 27877032
TI - Pulmonary complications after abdominal surgery in patients with mild-to-moderate
chronic obstructive pulmonary disease.
AB - Postoperative pulmonary complications (PPCs) are one of the most important causes
of postoperative morbidity and mortality after abdominal surgery. Although
chronic obstructive pulmonary disease (COPD) has been considered a risk factor
for PPCs, it remains unclear whether mild-to-moderate COPD is a risk factor. This
retrospective cohort study included 387 subjects who underwent abdominal surgery
with general anesthesia in a tertiary referral hospital. PPCs included pneumonia,
pulmonary edema, pulmonary thromboembolism, atelectasis, and acute exacerbation
of COPD. Among the 387 subjects, PPCs developed in 14 (12.0%) of 117 patients
with mild-to-moderate COPD and in 13 (15.1%) of 86 control patients. Multiple
logistic regression analysis revealed that mild-to-moderate COPD was not a
significant risk factor for PPCs (odds ratio [OR] =0.79; 95% confidence interval
[CI] =0.31-2.03; P=0.628). However, previous hospitalization for respiratory
problems (OR =4.20; 95% CI =1.52-11.59), emergency surgery (OR =3.93; 95% CI
=1.75-8.82), increased amount of red blood cell (RBC) transfusion (OR =1.09; 95%
CI =1.05-1.14 for one pack increase of RBC transfusion), and laparoscopic surgery
(OR =0.41; 95% CI =0.18-0.93) were independent predictors of PPCs. These findings
suggested that mild-to-moderate COPD may not be a significant risk factor for
PPCs after abdominal surgery.
PMID- 27877034
TI - Persistent disabling breathlessness in chronic obstructive pulmonary disease.
AB - OBJECTIVE: To determine the prevalence, change in breathlessness status over
time, and risk factors for disabling and persistent disabling breathlessness in
relation to treatments in chronic obstructive pulmonary disease (COPD). MATERIALS
AND METHODS: Longitudinal analysis of data from the Swedish National Register of
COPD with breathlessness measured using modified Medical Research Council (mMRC)
scores at two subsequent visits. Prevalence of disabling breathlessness (mMRC >=2
at baseline) and persistent disabling breathlessness (disabling breathlessness at
baseline and follow-up) was investigated in relation to COPD treatment. Risk
factors for disabling breathlessness, change from non-disabling to disabling
breathlessness, and persistent disabling breathlessness were analyzed using
multiple logistic regression. RESULTS: A total of 1,689 patients were included in
the study with a median follow-up of 12 months (interquartile range: 4 months).
Prevalence of disabling breathlessness was 54% at baseline. Persistent disabling
breathlessness was present in 43% of patients despite treatment and in 74% of
patients despite combined inhaled triple therapy and physiotherapy. Risk factors
for disabling breathlessness or change to disabling breathlessness were higher
age, lower lung function, frequent exacerbations, obesity, heart failure,
depression, and hypoxic respiratory failure (all P<0.05). Persistent disabling
breathlessness was associated with lower lung function and ischemic heart disease
(all P<0.05). CONCLUSION: Disabling breathlessness is common in COPD despite
treatment, which calls for improved symptomatic treatments and consideration of
factors influencing disabling breathlessness. Factors influencing disabling
breathlessness should be considered for COPD management.
PMID- 27877035
TI - Association between hemoglobin and prognosis in patients admitted to hospital for
COPD.
AB - Low concentrations of hemoglobin have previously been demonstrated in many
patients with COPD. There is evidence of anemia as a prognostic factor in acute
exacerbations, but the detailed relationship between concentrations of hemoglobin
and mortality is not known. A register-based cohort of patients admitted for the
first time to Danish hospitals for acute exacerbations of COPD from 2007 through
2012 was established. Age, sex, comorbidities, medication, renal function, and
concentrations of hemoglobin were retrieved. Sex-specific survival analyses were
fitted for different rounded concentrations of hemoglobin. The cohort encompassed
6,969 patients. Hemoglobin below 130 g/L was present in 39% of males and below
120 g/L in 24% of females. The in-hospital mortality rates for patients with
hemoglobin below or above these limits were 11.6% and 5.4%, respectively. After
discharge, compared to hemoglobin 130 g/L, the hazard ratio (HR) for males with
hemoglobin 120 g/L was 1.45 (95% confidence interval [CI] 1.22-1.73), adjusted HR
1.37 (95% CI 1.15-1.64). Compared to hemoglobin 120 g/L, the HR for females with
hemoglobin 110 g/L was 1.4 (95% CI 1.17-1.68), adjusted HR 1.28 (95% CI 1.06
1.53). In conclusion, low concentrations of hemoglobin are frequent in COPD
patients with acute exacerbations, and predict long-term mortality.
PMID- 27877036
TI - Modification of the surface of superparamagnetic iron oxide nanoparticles to
enable their safe application in humans.
AB - Combined individually tailored methods for diagnosis and therapy (theragnostics)
could be beneficial in destructive diseases, such as rheumatoid arthritis.
Nanoparticles are promising candidates for theragnostics due to their excellent
biocompatibility. Nanoparticle modifications, such as improved surface coating,
are in development to meet various requirements, although safety concerns mean
that modified nanoparticles require further review before their use in medical
applications is permitted. We have previously demonstrated that iron oxide
nanoparticles with amino-polyvinyl alcohol (a-PVA) adsorbed on their surfaces
have the unwanted effect of increasing human immune cell cytokine secretion. We
hypothesized that this immune response was caused by free-floating PVA. The aim
of the present study was to prevent unwanted immune reactions by further surface
modification of the a-PVA nanoparticles. After cross-linking of PVA to
nanoparticles to produce PVA-grafted nanoparticles, and reduction of their zeta
potential, the effects on cell viability and cytokine secretion were analyzed.
PVA-grafted nanoparticles still stimulated elevated cytokine secretion from human
immune cells; however, this was inhibited after reduction of the zeta potential.
In conclusion, covalent cross-linking of PVA to nanoparticles and adjustment of
the surface charge rendered them nontoxic to immune cells, nonimmunogenic, and
potentially suitable for use as theragnostic agents.
PMID- 27877037
TI - Acute and subacute toxicity profiles of thymoquinone-loaded nanostructured lipid
carrier in BALB/c mice.
AB - BACKGROUND: Thymoquinone (TQ), the predominant active lipophilic component in
Nigella sativa seed oil, has a variety of pharmacological properties such as
anticancer activities. However, translation of TQ to clinical phase is still not
possible due to its hydrophobic properties. This problem can be solved by
encapsulating it in nanoformulations to enhance its pharmacological properties.
In our previous study, TQ has been successfully encapsulated in a nanostructured
lipid carrier (hereinafter referred to as TQNLC) with excellent physiochemical
properties such as high encapsulation efficiency, high drug-loading capacity,
particle diameter less than 100 nm, and stability up to 2 years. In vitro studies
also proved that TQNLC exhibited antiproliferative activity toward breast and
cervical cancer cell lines. However, no toxicity profile related to this
formulation has been reported. In this study, we determine and compare the in
vivo toxicity of both TQNLC and TQ. MATERIALS AND METHODS: The in vivo toxicity
(acute and subacute toxicity) study was carried out by oral administration of
TQNLC and TQ to BALB/c mice. Animal survival, body weight, organ weight-to-body
weight ratio, hematological profile, biochemistry profile, and histopathological
changes were analyzed. RESULTS: In acute toxicity, TQ that is loaded in
nanostructured lipid carrier (NLC) was found to be less toxic than pure TQ. It
can be concluded that encapsulation of TQ in lipid carrier minimizes the toxicity
of the compound. In the subacute toxicity study, oral administration of 100 mg/kg
of TQNLC and TQ did not cause mortality to either male or female but resulted in
toxicity to the liver. It is postulated that long-term consumption of TQNLC and
TQ may cause toxicity to the liver but not to the extent of altering the
functions of the organ. For both treatments, the no observed adverse effect level
(NOAEL) was found to be 10 mg/kg/d for mice in both sexes. CONCLUSION: For long
term oral consumption, TQ and TQNLC at a dose of 10 mg/kg is safe in mice and
does not exert any toxic effect. The results provide safety information of TQNLC,
which would further help researchers in clinical use.
PMID- 27877038
TI - Sulfonate-modified phenylboronic acid-rich nanoparticles as a novel mucoadhesive
drug delivery system for vaginal administration of protein therapeutics: improved
stability, mucin-dependent release and effective intravaginal placement.
AB - Effective interaction between mucoadhesive drug delivery systems and mucin is the
basis of effective local placement of drugs to play its therapeutic role after
mucosal administration including vaginal use, which especially requires prolonged
drug presence for the treatment of gynecological infectious diseases. Our
previous report on phenylboronic acid-rich nanoparticles (PBNPs) demonstrated
their strong interaction with mucin and mucin-sensitive release profiles of the
model protein therapeutics interferon (IFN) in vitro, but their poor stability
and obvious tendency to aggregate over time severely limited future application.
In this study, sulfonate-modified PBNPs (PBNP-S) were designed as a stable
mucoadhesive drug delivery system where the negative charges conferred by
sulfonate groups prevented aggregation of nanoparticles and the phenylboronic
acid groups ensured effective interaction with mucin over a wide pH range.
Results suggested that PBNP-S were of spherical morphology with narrow size
distribution (123.5 nm, polydispersity index 0.050), good stability over a wide
pH range and 3-month storage and considerable in vitro mucoadhesion capability at
vaginal pH as shown by mucin adsorption determination. IFN could be loaded to
PBNP-S by physical adsorption with high encapsulation efficiency and released in
a mucin-dependent manner in vitro. In vivo near-infrared fluorescent whole animal
imaging and quantitative vaginal lavage followed by enzyme-linked immunosorbent
assay (ELISA) assay of IFN demonstrated that PBNP-S could stay in the vagina and
maintain intravaginal IFN level for much longer time than IFN solution (24 hours
vs several hours) without obvious histological irritation to vaginal mucosa after
vaginal administration to mice. In summary, good stability, easy loading and
controllable release of protein therapeutics, in vitro and in vivo mucoadhesive
properties and local safety of PBNP-S suggested it as a promising nanoscale
mucoadhesive drug delivery system for vaginal administration of protein
therapeutics.
PMID- 27877040
TI - Intestinal anti-inflammatory effects of RGD-functionalized silk fibroin
nanoparticles in trinitrobenzenesulfonic acid-induced experimental colitis in
rats.
AB - BACKGROUND: Current treatment of inflammatory bowel disease is based on the use
of immunosuppressants or anti-inflammatory drugs, which are characterized by
important side effects that can limit their use. Previous research has been
performed by administering these drugs as nanoparticles that target the ulcerated
intestinal regions and increase their bioavailability. It has been reported that
silk fibroin can act as a drug carrier and shows anti-inflammatory properties.
PURPOSE: This study was designed to enhance the interaction of the silk fibroin
nanoparticles (SFNs) with the injured intestinal tissue by functionalizing them
with the peptide motif RGD (arginine-glycine-aspartic acid) and to evaluate the
intestinal anti-inflammatory properties of these RGD-functionalized silk fibroin
nanoparticles (RGD-SFNs) in the trinitrobenzenesulfonic acid (TNBS) model of rat
colitis. MATERIALS AND METHODS: SFNs were prepared by nanoprecipitation in
methanol, and the linear RGD peptide was linked to SFNs using glutaraldehyde as
the crosslinker. The SFNs (1 mg/rat) and RGD-SFNs (1 mg/rat) were administered
intrarectally to TNBS-induced colitic rats for 7 days. RESULTS: The SFN
treatments ameliorated the colonic damage, reduced neutrophil infiltration, and
improved the compromised oxidative status of the colon. However, only the rats
treated with RGD-SFNs showed a significant reduction in the expression of
different pro-inflammatory cytokines (interleukin [IL]-1beta, IL-6, and IL-12)
and inducible nitric oxide synthase in comparison with the TNBS control group.
Moreover, the expression of both cytokine-induced neutrophil chemoattractant-1
and monocyte chemotactic protein-1 was significantly diminished by the RGD-SFN
treatment. However, both treatments improved the intestinal wall integrity by
increasing the gene expression of some of its markers (trefoil factor-3 and
mucins). CONCLUSION: SFNs displayed intestinal anti-inflammatory properties in
the TNBS model of colitis in rats, which were improved by functionalization with
the RGD peptide.
PMID- 27877039
TI - Biosynthesis of gold nanoparticles by the extreme bacterium Deinococcus
radiodurans and an evaluation of their antibacterial properties.
AB - Deinococcus radiodurans is an extreme bacterium known for its high resistance to
stresses including radiation and oxidants. The ability of D. radiodurans to
reduce Au(III) and biosynthesize gold nanoparticles (AuNPs) was investigated in
aqueous solution by ultraviolet and visible (UV/Vis) absorption spectroscopy,
electron microscopy, X-ray diffraction (XRD), dynamic light scattering (DLS),
Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron
spectroscopy (XPS). D. radiodurans efficiently synthesized AuNPs from 1 mM
Au(III) solution in 8 h. The AuNPs were of spherical, triangular and irregular
shapes with an average size of 43.75 nm and a polydispersity index of 0.23 as
measured by DLS. AuNPs were distributed in the cell envelope, across the cytosol
and in the extracellular space. XRD analysis confirmed the crystallite nature of
the AuNPs from the cell supernatant. Data from the FTIR and XPS showed that upon
binding to proteins or compounds through interactions with carboxyl, amine,
phospho and hydroxyl groups, Au(III) may be reduced to Au(I), and further reduced
to Au(0) with the capping groups to stabilize the AuNPs. Biosynthesis of AuNPs
was optimized with respect to the initial concentration of gold salt, bacterial
growth period, solution pH and temperature. The purified AuNPs exhibited
significant antibacterial activity against both Gram-negative (Escherichia coli)
and Gram-positive (Staphylococcus aureus) bacteria by damaging their cytoplasmic
membrane. Therefore, the extreme bacterium D. radiodurans can be used as a novel
bacterial candidate for efficient biosynthesis of AuNPs, which exhibited
potential in biomedical application as an antibacterial agent.
PMID- 27877041
TI - Nanomedicine strategy for optimizing delivery to outer hair cells by surface
modified poly(lactic/glycolic acid) nanoparticles with hydrophilic molecules.
AB - Targeted drug delivery to outer hair cells (OHCs) in the cochlea by nanomedicine
strategies forms an effective therapeutic approach for treating hearing loss.
Surface chemistry plays a deciding role in nanoparticle (NP) biodistribution, but
its influence on such distribution in the cochlea remains largely unknown.
Herein, we report the first systematic comparison of poly(lactic/glycolic acid)
nanoparticles (PLGA NPs) with or without surface modification of hydrophilic
molecules for optimizing the delivery to OHCs both in vitro and in vivo. NPs that
were surface modified with poloxamer 407 (P407), chitosan, or methoxy
poly(ethylene glycol) and the unmodified NPs were highly biocompatible with L929
and House Ear Institute-organ of Corti 1 cells as well as cochlear tissues.
Interestingly, among all the examined NPs, P407-PLGA NPs showed the greatest
cellular uptake and prominent fluorescence in cochlear imaging. More importantly,
we provide novel evidence that the surface-modified NPs reached the organ of
Corti and were transported into the OHCs at a higher level. Together, these
observations suggest that surface modification with hydrophilic molecules will
allow future clinical applications of PLGA NPs, especially P407-PLGA NPs, in
efficient hearing loss therapy.
PMID- 27877042
TI - Effects of Carbopol(r) 934 proportion on nanoemulsion gel for topical and
transdermal drug delivery: a skin permeation study.
AB - Nanoemulsions (NEs) are used as transdermal drug delivery systems for systematic
therapeutic purposes. We hypothesized that the skin permeation profile of an NE
could be modulated by incorporating it into a hydrogel containing differing
proportions of thickening agent. The objectives of this study were as follows: 1)
to determine the stability and skin irritability of NE gels (NGs) containing 1%,
2%, and 3% (w/w) Carbopol(r) 934 (CP934) (termed NG1, NG2, and NG3,
respectively); 2) to compare the skin permeation profiles and drug deposition
patterns of the NGs; and 3) to visualize the drug delivery routes of the NGs.
Terbinafine and citral were incorporated into the NGs as model drugs. Ex vivo
skin permeation tests indicated that the percutaneous flux rates of terbinafine
decreased in the order NE (215 MUg/cm2) > NG1 (213 MUg/cm2) > NG2 (123 MUg/cm2) >
NG3 (74.3 MUg/cm2). The flux rates of citral decreased in the order NE (1,026
MUg/cm2) > NG1 (1,021 MUg/cm2) > NG2 (541 MUg/cm2) > NG3 (353 MUg/cm2). The NGs
accumulated greater amounts of the drugs in the stratum corneum and less in the
epidermis/dermis than did the NE (P<0.05) over a period of 12 h. Laser scanning
confocal microscopy indicated that the NGs altered the main drug delivery routes
from skin appendages to intercellular paths. Histological images suggested that
perturbations to the skin structure, specifically the size of the epidermal
intercellular spaces and the separation distance of dermal collagen bundles,
could be significantly minimized by increasing the proportion of CP934. These
results suggest that adjustments of the CP934 proportions can be used to modulate
the skin permeation profiles of NGs for specific therapeutic purposes.
PMID- 27877043
TI - Polycystic ovary syndrome and mental disorders: a systematic review and
exploratory meta-analysis.
AB - BACKGROUND: The association between depression, anxiety, and polycystic ovary
syndrome (PCOS) is still unclear. Therefore, a systematic review and meta
analysis was conducted to assess the rates of comorbid psychiatric disorders
among women with PCOS compared to women without it. METHODS: PubMed/MEDLINE,
Embase, PsycINFO, and Web of Science databases were searched from inception to
November 27, 2015. Studies were eligible for inclusion if they were original
reports in which the rates of mood (bipolar disorder, dysthymia, or major
depressive disorder), obsessive-compulsive spectrum disorders, trauma- and
stressor-related disorders, anxiety disorders or psychotic disorders, somatic
symptom and related disorders, or eating disorders had been investigated among
women with an established diagnosis of PCOS and compared with women without PCOS.
Psychiatric diagnosis should have been established by means of a structured
diagnostic interview or through a validated screening tool. Data were extracted
and pooled using random effects models. RESULTS: Six studies were included in the
meta-analysis; of these, five reported the rates of anxiety and six provided data
on the rates of depression. The rate of subjects with anxiety symptoms was higher
in patients with PCOS compared to women without PCOS (odds ratio (OR) =2.76; 95%
confidence interval (CI) 1.26 to 6.02; Log OR =1.013; P=0.011). The rate of
subjects with depressive symptoms was higher in patients with PCOS compared to
women without PCOS (OR =3.51; 95% CI 1.97 to 6.24; Log OR =1.255; P<0.001).
CONCLUSION: Anxiety and depression symptoms are more prevalent in patients with
PCOS.
PMID- 27877044
TI - Increased miR-132 level is associated with visual memory dysfunction in patients
with depression.
AB - BACKGROUND: Impaired visual memory seems to be a core feature of depression,
while increased microRNA-132 (miR-132) levels have been widely reported in
depression patients. The authors aimed to explore the relationship between miR
132 changes and visual memory deficits in unmedicated patients with major
depressive disorder (MDD). PATIENTS AND METHODS: A total of 62 medication-free
MDD patients and 73 matched healthy controls (HCs) were tested for miR-132
expression level in peripheral blood using quantitative real-time polymerase
chain reaction. We used a computerized neurocognitive task from the Cambridge
Neuropsychological Test Automated Battery (CANTAB) - pattern recognition memory
(PRM) task - as a measurement of visual memory. The relationship between visual
memory, miR-132 expression level, and clinical symptoms was explored in patients
with MDD. RESULTS: Upregulated miR-132 expression levels were seen in MDD
patients but not in HCs. Two-sample t-tests showed that MDD patients had
decreased visual memory, mainly memory delayed compared to that of HCs.
Correlation analyses revealed that in MDD patients, increased miR-132 expression
levels were significantly correlated with visual memory as measured by the
CANTABPRM. Hamilton Rating Scale for Anxiety scores were negatively correlated
with PRM - number correct (immediate) and PRM - percent correct (immediate).
LIMITATIONS: The main limitations were missing data and lack of follow-up
studies. CONCLUSION: Our study suggests that increased miR-132 expression levels
were associated with visual memory deficits, which may underlie the
pathophysiology of MDD. In individuals with depression, immediate visual memory
defects were positively correlated with anxiety symptoms.
PMID- 27877045
TI - Serum low-density lipoprotein levels, statin use, and cognition in patients with
coronary artery disease.
AB - AIM: Statins have been associated with decreased cognition due to the effects of
low concentrations of low-density lipoprotein (LDL) on brain function. This has
remained controversial and is particularly relevant to patients with coronary
artery disease (CAD), who have an increased risk of cognitive decline and are
frequently prescribed statins. This study hypothesized that low concentration of
LDL is associated with poor cognition in CAD patients using statins. It also
explored the association between high-dose versus low-dose statins on cognition
in this population. PATIENTS AND METHODS: Baseline cross-sectional data from a
longitudinal study of 120 statin-using CAD patients were examined (mean statin
duration 25+/-43 months). The main outcomes were measures of global cognition and
cognitive domains, with poor cognition defined as cognitive performance <=1
standard deviation below the population age and education adjusted means. A
battery of cognitive tests was used to assess verbal memory, executive function,
speed of processing, visuospatial memory, and global cognition. Adjusting for
age, sex, education, and other covariates, multivariable logistic regression
analyses assessed associations between low LDL levels (<1.5 mmol/L), statin use,
and poor cognition. RESULTS: LDL levels were not associated with global cognition
or individual cognitive domains. High-dose statin use was associated with higher
visuospatial memory (odds ratio, OR [95% confidence interval, CI] =0.12 [0.02
0.66], P=0.01) and executive functioning (OR =0.25 [0.06-0.99], P=0.05). This
effect was independent of covariates such as LDL levels. CONCLUSION: Low LDL
levels do not appear to be associated with poor cognition in CAD patients using
statins. Whether high-dose statin use may have positive effects on cognition in
CAD patients could be investigated in future studies.
PMID- 27877046
TI - Comparative effect and safety of verapamil in keloid and hypertrophic scar
treatment: a meta-analysis.
AB - BACKGROUND: Keloids and hypertrophic scars are the most common types of
pathological scarring. Traditionally, keloids have been considered as a result of
aberrant wound healing, involving excessive fibroblast participation that is
characterized by hyalinized collagen bundles. However, the usefulness of this
characterization has been questioned. In recent years, studies have reported the
appropriate use of verapamil for keloids and hypertrophic scars. METHODS:
Searches were conducted on the databases Medline, Embase, Cochrane, PubMed, and
China National Knowledge Infrastructure from 2006 to July 2016. State12.0 was
used for literature review, data extraction, and meta-analysis. Treatment groups
were divided into verapamil and nonverapamil group. Nonverapamil group includes
steroids and intense pulsed light (IPL) therapy. Total effective rates include
cure rate and effective rate. Cure: skin lesions were completely flattened,
became soft and symptoms disappeared. Efficacy: skin lesions subsided, patient
significantly reduced symptoms. Inefficient definition of skin was progression
free or became worse. Random-effects model was used for the meta-analysis.
RESULTS: Six studies that included 331 patients with keloids and hypertrophic
scars were analyzed. Analysis of the total effective rate of skin healing was
performed. The total effective rates in the two groups were 54.07% (verapamil)
and 53.18% (nonverapamil), respectively. The meta-analysis showed that there was
no difference between the two groups. We also compared the adverse reactions
between the verapamil treatment group and the steroids treatment group in two
studies, and the result indicated that the verapamil group showed less adverse
reactions. CONCLUSION: There were no differences between the application of
verapamil and nonverapamil group in keloids and hypertrophic scars treatment.
Verapamil could act as an effective alternative modality in the prevention and
treatment of keloid and hypertrophic scars. A larger number of studies are
required to confirm our conclusion.
PMID- 27877047
TI - Antiallergic effect of the atomized extract of rhizome of Curcuma longa, flowers
of Cordia lutea and leaves of Annona muricata.
AB - INTRODUCTION: Allergies are a problem that greatly affects the population, and
hence the use of antiallergic medications is fairly widespread. However, these
drugs have many adverse effects. The use of medicinal plants could be an option,
but they need to be evaluated. OBJECTIVE: This study was designed to evaluate the
antiallergic effect of the atomized extract of rhizome of Curcuma longa, flowers
of Cordia lutea, and leaves of Annona muricata. MATERIALS AND METHODS: Twenty
four New Zealand white albino rabbits were randomized into 2 groups. Group A
received the atomized extract diluted in physiological saline (APS) and group B
received it diluted in Freund's adjuvant (FA). Then, the back of each rabbit was
divided into 4 quadrants. The A-I quadrant received only physiological saline.
The A-I quadrants of each rabbit conformed the PS group. The following 3
quadrants received the APS in 10 MUg/mL, 100 MUg/mL, and 1,000 MUg/mL,
respectively. The B-I quadrant received only FA. The B-I quadrants of each rabbit
conformed the FA group. The following 3 quadrants received the AFA in 10 MUg/mL,
100 MUg/mL, and 1,000 MUg/mL, respectively. The occurrence of erythema and edema
was recorded according to the Draize scoring system and the primary irritation
index. After 72 hours, biopsies were performed. RESULTS: The AFA group presented
significantly less erythema and edema compared to the FA group (P<0.05). The
histopathologic evaluation at 72 hours showed normal characteristics in the APS
group. CONCLUSION: Considering the clinical and histopathological signs, we
conclude that the administration of the atomized extract of rhizome of C. longa,
flowers of C. lutea, and leaves of A. muricata lacks antigenic effect but could
have an antiallergenic effect in a model of dermal irritation in rabbits.
PMID- 27877048
TI - Utilization of gastroprotective strategies for nonsteroidal anti-inflammatory
drug-induced gastrointestinal events in a major teaching hospital.
AB - BACKGROUND AND PURPOSE: Clinical guidelines recommend the prescribing of
gastroprotective strategies in nonsteroidal anti-inflammatory drug (NSAID) users
with risk factors for gastrointestinal (GI) ulcer or ulcer complications.
However, these guidelines are not often translated into clinical practice.
Therefore, the aim of this study was to investigate the utilization of
gastroprotective strategies for NSAID-induced upper GI events in at-risk users in
a major teaching hospital. PATIENTS AND METHODS: A cross-sectional,
observational, pharmacy-based study was conducted in a major Asian institution
with both primary and secondary health care services. This study involved the
screening of prescriptions for regular NSAIDs, and patients who met the inclusion
criteria were recruited and interviewed using a questionnaire. RESULTS: Of the
409 participants recruited, 83.1% had at least one GI risk factor, of whom 70.3%
did not receive appropriate gastroprotection. The most common GI risk factor was
the use of high-dose NSAIDs (69.2%), followed by participants aged 65 years and
older (22%) and concomitant use of low-dose aspirin (11.7%). Appropriate
gastroprotective strategies utilized consisted of the use of a cyclooxygenase
(COX)-2 inhibitor alone or a nonselective NSAID plus a proton pump inhibitor
(PPI) in the moderate-risk group and a COX-2 inhibitor plus a PPI in the high
risk group. Gastroprotective strategies were underutilized in 67.1% of at-risk
participants and overutilized in 59.4% of those without risk factors. Co
prescription of a histamine-2 receptor antagonist at lower-than-recommended doses
constituted 59% of the inappropriate gastroprotective agents used. Logistic
regression analysis revealed patients aged 65 years and older (odds ratio, 1.89;
95% CI =1.15-3.09) as a predictor for the prescribing of gastroprotection by the
clinicians. CONCLUSION: Approximately 70% of at-risk NSAID users, mainly on high
dose NSAIDs, were not prescribed appropriate gastroprotective strategies. Further
measures are warranted to improve the safe prescribing of regular NSAIDs.
PMID- 27877049
TI - A review about biomarkers for the investigation of vascular function and
impairment in diabetes mellitus.
AB - The aim of this review was to analyze the main biomarkers of vascular function
and impairment in patients with type 2 diabetes. Medline, SCOPUS, Web of Science,
and Google Scholar databases were searched. We concluded that proatherogenic
adhesion molecules (soluble intercellular adhesion molecule-1, soluble vascular
adhesion molecule-1, and soluble E selectin) and inflammatory cytokines (high
sensitivity C-reactive protein, interleukin-6, and tumor necrosis factor-alpha)
were elevated in type 2 diabetes mellitus. Their increased expression and release
contribute to the accelerated atherogenesis typical of these patients. For these
reasons, the early identification of high levels of these biomarkers will help to
establish new strategies to reduce cardiovascular complications.
PMID- 27877051
TI - Living with a sibling who suffers from an eating disorder: a pilot interview
study.
AB - BACKGROUND AND OBJECTIVE: Having a sibling who suffers from an eating disorder
(ED) has a profound effect on healthy siblings' own health, quality of life and
family relationships, yet siblings have been an excluded group within health
care, and there is a lack of knowledge regarding healthy siblings' needs and
wishes for information and support. Thus, the aim of this study was to examine
adolescents' experiences of living with a sibling who suffers from an ED. METHOD:
In this small-scale pilot study, three boys and two girls aged 15-20 years were
interviewed, and the interviews were analyzed by qualitative content analysis.
RESULTS: This study confirms prior knowledge of adolescents' experiences of
living with a sibling who is suffering from an ED. The adolescents were concerned
about their siblings and lacked information about their siblings' illness and
treatment progress. The girls described that their everyday life had been heavily
influenced by their sisters' illness, while the boys described that their
everyday life with friends and activities were not affected to such a great
extent. One new result that emerged as something positive was that some
informants described that although the ED was a source of conflict in the family,
it had led to greater awareness of the importance of gaining sufficient energy
and had contributed to better meal situations in the family. CONCLUSION: Having a
sibling with an ED had a profound and negative effect on family relationships,
and was often a source of conflict, especially around mealtime. However, it
appeared that if parents were able to organize family meals and create an open
and supportive climate, the negative effects for the family could be reduced. The
health care professionals need to acknowledge the siblings' need for information
and support, and support parents in their important role of caring for well
siblings.
PMID- 27877050
TI - Development of proprotein convertase subtilisin/kexin type 9 inhibitors and the
clinical potential of monoclonal antibodies in the management of lipid disorders.
AB - The aim of this manuscript is to review available data to evaluate the present
status of proprotein convertase subtilisin/kexin type 9 (PCSK9) inhibitors in the
treatment of hypercholesterolemia. Relevant literature since 2003 is reviewed.
The effectiveness of PCSK9 inhibitors in lowering low-density lipoprotein
cholesterol and other atherogenic lipid fractions was studied in various Phase 2
and Phase 3 trials of Alirocumab, Evolocumab, and Bococizumab. The results of
published long-term ODYSSEY and OSLER studies are summarized. There have been
three excellent meta-analysis studies on PCSK9 inhibitors which are outlined. The
complex problem of cost-effectiveness was carefully evaluated by the Institute
for Clinical and Economic Review (ICER). The draft report (ICER-2015) is
summarized herewith. The cardiovascular outcome trials with Evolocumab (FOURIER),
Alirocumab (ODYSSEY OUTCOME) and Bococizumab (SPIRE-1 and SPIRE-2) are the
ongoing clinical trials, and their results are expected in 2017-2018. The search
for new cost-effective analogs of PCSK9 inhibitors is ongoing.
PMID- 27877052
TI - Dendritic cell immunotherapy versus bevacizumab plus irinotecan in recurrent
malignant glioma patients: a survival gain analysis.
AB - BACKGROUND: The bevacizumab and irinotecan protocol is considered a standard
treatment regimen for recurrent malignant glioma. Recent advances in
immunotherapy have hinted that vaccination with dendritic cells could become an
alternative salvage therapy for the treatment of recurrent malignant glioma.
METHODS: A search was performed on PubMed, Cochrane Library, Web of Science,
ScienceDirect, and Embase in order to identify studies with patients receiving
bevacizumab plus irinotecan or dendritic cell therapy for recurrent malignant
gliomas. The data obtained from these studies were used to perform a systematic
review and survival gain analysis. RESULTS: Fourteen clinical studies with
patients receiving either bevacizumab plus irinotecan or dendritic cell
vaccination were identified. Seven studies followed patients that received
bevacizumab plus irinotecan (302 patients) and seven studies included patients
that received dendritic cell immunotherapy (80 patients). For the patients who
received bevacizumab plus irinotecan, the mean reported median overall survival
was 7.5 (95% confidence interval [CI] 4.84-10.16) months. For the patients who
received dendritic cell immunotherapy, the mean reported median overall survival
was 17.9 (95% CI 11.34-24.46) months. For irinotecan + bevacizumab group, the
mean survival gain was -0.02+/-2.00, while that for the dendritic cell
immunotherapy group was -0.01+/-4.54. CONCLUSION: For patients with recurrent
malignant gliomas, dendritic cell immunotherapy treatment does not have a
significantly different effect when compared with bevacizumab and irinotecan in
terms of survival gain (P=0.535) and does not improve weighted survival gain
(P=0.620).
PMID- 27877055
TI - miR-486-5p suppresses prostate cancer metastasis by targeting Snail and
regulating epithelial-mesenchymal transition.
AB - The most common cause of death from prostate cancer (PCa) is metastases. There is
an increasing body of evidence that microRNAs play an important role in the
development of PCa by regulating target genes involved in tumor metastasis. Here,
we identified that expression of miR-486-5p was decreased in metastatic C4-2
cells compared to non-metastatic LNCaP cells. Further validation in clinical
samples showed that miR-486-5p expression was significantly decreased in
metastatic PCa tissues compared to localized PCa tissues. Functional studies
demonstrated that increased miR-486-5p expression can suppress cell migration and
the invasive ability of C4-2 cells. Moreover, Snail, a key regulator of the
epithelial-mesenchymal transition, was verified as a target gene of miR-486-5p.
In conclusion, these findings suggest that miR-486-5p plays a suppressive role in
mediating the migration and invasion of PCa by directly suppressing the protein
expression of Snail and may provide a potential therapeutic target for the
disease.
PMID- 27877054
TI - Adefovir dipivoxil is less expensive than lamivudine and associated with similar
prognosis in patients with hepatitis B virus-related hepatocellular carcinoma
after radical resection.
AB - AIM: Lamivudine (LAM) and adefovir dipivoxil (ADV) are widely used in patients
with hepatitis B virus (HBV)-related hepatocellular carcinoma (HCC), but few
studies have directly compared their therapeutic efficacy and treatment cost.
This study aims to compare LAM with ADV head-to-head in these patients. METHODS:
We retrospectively analyzed 201 patients with HBV-related HCC who underwent
radical resection and subsequently received LAM (n=155) or ADV (n=46). The two
groups were compared in terms of HBV-DNA levels, liver function, antiviral
resistance, recurrence-free, and overall survival, as well as antiviral
medication costs. RESULTS: Despite significant improvement in HBV-DNA and alanine
aminotransferase level in the LAM group after 1 year of antiviral therapy, these
parameters did not differ significantly between the two groups over the following
2 years. Incidence of antiviral resistance after 1, 2, and 3 years of antiviral
treatment was significantly higher in the LAM group (19.5%, 45.7%, and 56.4%)
than in the ADV group (0%, 3.3%, and 14.5%; P<0.001). Overall survival at 1, 2,
and 3 years after resection was similar for the LAM group (84.5%, 69.3%, and
64.6%) and the ADV group (84.1%, 77.8%, and 63.4%; P=0.905). Recurrence-free
survival at the three follow-up points was also similar for the LAM group (71.7%,
58.3%, and 43.9%) and the ADV group (81.1%, 66.1%, and 53.0%; P=0.452). Cox
regression analysis confirmed that both nucleos(t)ide analogues were associated
with similar overall and recurrence-free survival. However, the average
medication costs after 1, 2, and 3 years of antiviral treatment were
significantly higher in the LAM group (?3.0, ?4.8, and ?5.6 per person per day)
than in the ADV group (?2.2, ?2.4, and ?3.1 per person per day; all P<0.05).
CONCLUSION: ADV and LAM are associated with similar survival benefit in patients
with HBV-related HCC after radical resection, but ADV is more cost-effective.
PMID- 27877053
TI - Synergistic activity of vorinostat combined with gefitinib but not with sorafenib
in mutant KRAS human non-small cell lung cancers and hepatocarcinoma.
AB - Development of drug resistance limits the efficacy of targeted therapies.
Alternative approaches using different combinations of therapeutic agents to
inhibit several pathways could be a more effective strategy for treating cancer.
The effects of the approved epidermal growth factor receptor (EGFR)-tyrosine
kinase inhibitor (gefitinib) or a multi-targeted kinase inhibitor (sorafenib) in
combination with a histone deacetylase inhibitor (vorinostat) on cell
proliferation, cell cycle distribution, apoptosis, and signaling pathway
activation in human lung adenocarcinoma and hepatocarcinoma cells with wild-type
EGFR and mutant KRAS were investigated. The effects of the synergistic drug
combinations were also studied in human lung adenocarcinoma and hepatocarcinoma
cells in vivo. The combination of gefitinib and vorinostat synergistically
reduced cell growth and strongly induced apoptosis through inhibition of the
insulin-like growth factor-1 receptor/protein kinase B (IGF-1R/AKT)-dependent
signaling pathway. Moreover, the gefitinib and vorinostat combination strongly
inhibited tumor growth in mice with lung adenocarcinoma or hepatocarcinoma tumor
xenografts. In contrast, the combination of sorafenib and vorinostat did not
inhibit cell proliferation compared to a single treatment and induced G2/M cell
cycle arrest without apoptosis. The sorafenib and vorinostat combination
sustained the IGF-1R-, AKT-, and mitogen-activated protein kinase-dependent
signaling pathways. These results showed that there was synergistic cytotoxicity
when vorinostat was combined with gefitinib for both lung adenocarcinoma and
hepatocarcinoma with mutant KRAS in vitro and in vivo but that the combination of
vorinostat with sorafenib did not show any benefit. These findings highlight the
important role of the IGF-1R/AKT pathway in the resistance to targeted therapies
and support the use of histone deacetylase inhibitors in combination with EGFR
tyrosine kinase inhibitors, especially for treating patients with mutant KRAS
resistant to other treatments.
PMID- 27877056
TI - A retrospective analysis of the clinicopathological and molecular characteristics
of pulmonary blastoma.
AB - PURPOSE: The aim of this study was to analyze and summarize the
clinicopathological and molecular characteristics of classic biphasic pulmonary
blastoma (PB) to improve its diagnosis and treatment. PATIENTS AND METHODS: A
retrospective analysis was performed in patients who were diagnosed with PB at
Sun Yat-Sen University Cancer Center from March 1995 to March 2015. Genomic DNA
was profiled using a capture-based targeted sequencing panel. RESULTS: Sixteen
patients with an average age of 40 years were included in this study. Accurate
preoperative diagnosis was very challenging as surgically resected tissues with
immunohistochemical staining were required for the diagnosis. Surgery was the
optimal treatment for localized disease and there was no standard management for
metastatic disease. Mutations were detected among 9 out of the 56 genes profiled,
including BRCA2, ERBB4, ALK, MET, BRAF, RAF1, PTEN, EGFR, and PIK3CA. CONCLUSION:
Due to the low incidence rate and the reclassification of PB, no standard
treatment is available. Although the numbers of cases are few with varying
individual experiences, it is important to improve our understanding regarding
this rare lung cancer. Targeted DNA sequencing may be of clinical use for
molecular testing and the effects of targeted therapy need to be confirmed.
PMID- 27877057
TI - Chronic sphenoid rhinosinusitis: management challenge.
AB - Chronic sphenoid rhinosinusitis is a spectrum of inflammatory diseases in
isolated sphenoid sinus which may persist over a period of 12 weeks. It is a
different entity from other types of rhinosinusitis because clinical
presentations include headache, visual loss or diplopia, and patients may or may
not have nasal obstruction or nasal discharge. Nasal endoscopic examination is
useful, and computed tomography is mandatory. The disease requires comprehensive
knowledge and appropriate imaging technique for diagnosis. To treat patients with
chronic sphenoid rhinosinusitis, surgical treatment with endoscopic transnasal
sphenoidotomy is often required. As there are no recent updated reviews of
chronic sphenoid rhinosinusitis, in this article, we review the anatomy of the
sphenoid sinus and its clinical relationship with the clinical signs and symptoms
of the disease, the imaging findings of each diagnosis and the comprehensive
surgical techniques.
PMID- 27877058
TI - Teneligliptin real-world efficacy assessment of type 2 diabetes mellitus patients
in India (TREAT-INDIA study).
AB - BACKGROUND AND AIMS: Teneligliptin was introduced in India in May 2015. It has
gained popularity and is already widely prescribed in type 2 diabetes mellitus
(T2DM). This "real life" data collection was conducted to assess the efficacy of
teneligliptin in Indian T2DM patients. METHODS: Predesigned structured proforma
was used to collect information from the prescribing physicians regarding the
efficacy of teneligliptin when prescribed as monotherapy as well as combination
therapy with other antidiabetic drugs in T2DM patients. Information on the
glycemic parameters at baseline prior to starting teneligliptin and at the end of
3 months therapy was collected. The efficacy was assessed by analyzing the mean
change in 3-month values of glycosylated hemoglobin (HbA1c), fasting plasma
glucose (FPG), and postprandial plasma glucose (PPG). RESULTS: Data of 4305
patients was available for analysis. There was statistically significant
improvement in mean HbA1c, FPG, and PPG with teneligliptin therapy. Means changes
in HbA1c, FPG, and PPG were -1.37%+/-1.15%, 51.29+/-35.41 mg/dL, and 80.89+/
54.27 mg/dL, respectively. Subgroup analysis revealed that HbA1c (%) reduction
with teneligliptin when used as monotherapy, add-on to metformin or add-on to
metformin plus sulfonylureas combination, add-on to metformin plus alpha
glucosidase inhibitor combination or add-on to insulin was 0.98+/-0.53, 1.07+/
0.83, 1.46+/-1.33, 1.43+/-0.80, and 1.55+/-1.05, respectively. CONCLUSION: Real
world data suggests that teneligliptin significantly improves glycemic control in
Indian patients with T2DM when prescribed either as monotherapy or as an add-on
to one or more other commonly prescribed antidiabetic drugs.
PMID- 27877059
TI - Skin rejuvenation using cosmetic products containing growth factors, cytokines,
and matrikines: a review of the literature.
AB - Skin aging is primarily due to alterations in the dermal extracellular matrix,
especially a decrease in collagen I content, fragmentation of collagen fibrils,
and accumulation of amorphous elastin material, also known as elastosis. Growth
factors and cytokines are included in several cosmetic products intended for skin
rejuvenation because of their ability to promote collagen synthesis. Matrikines
and matrikine-like peptides offer the advantage of growth factor-like activities
but better skin penetration due to their much smaller molecular size. In this
review, we summarize the commercially available products containing growth
factors, cytokines, and matrikines for which there is evidence that they promote
skin rejuvenation.
PMID- 27877060
TI - Anti-inflammatory and immunomodulatory effects of Aquaphilus dolomiae extract on
in vitro models.
AB - BACKGROUND: Atopic dermatitis (AD) is a common skin disease characterized by
recurrent pruritic inflammatory skin lesions resulting from structural and immune
defects of the skin barrier. Previous studies have shown the clinical efficacy of
Avene thermal spring water in AD, and a new microorganism, Aquaphilus dolomiae
was suspected to contribute to these unique properties. The present study
evaluated the anti-inflammatory, antipruritic, and immunomodulatory properties of
ES0, an original biological extract of A. dolomiae, in immune and inflammatory
cell models in order to assess its potential use in the treatment of AD.
MATERIALS AND METHODS: An ES0 extract containing periplasmic and membrane
proteins, peptides, lipopolysaccharides, and exopolysaccharides was obtained from
A. dolomiae. The effects of the extract on pruritus and inflammatory mediators
and immune mechanisms were evaluated by using various AD cell models and assays.
RESULTS: In a keratinocyte model, ES0 inhibited the expression of the
inflammatory mediators, thymic stromal lymphopoietin, interleukin (IL)-18, IL-4R,
IL-8, monocyte chemoattractant protein-3, macrophage inflammatory protein-3alpha,
and macrophage-derived chemokine and induced the expression of involucrin, which
is involved in skin barrier keratinocyte terminal differentiation. In addition,
ES0 inhibited protease-activated receptor-2 activation in HaCaT human
keratinocytes stimulated by stratum corneum tryptic enzyme and T helper type (Th)
1, Th2, and Th17 cytokine production in Staphylococcal enterotoxin B-stimulated
CD4+ lymphocytes. Lastly, ES0 markedly activated innate immunity through toll
like receptor (TLR) 2, TLR4, and TLR5 activation (in recombinant human embryonic
kidney 293 cells) and through antimicrobial peptide induction (psoriasin, human
beta-defensin-2, and cathelicidin), mainly through TLR5 activation (in normal
human keratinocytes). CONCLUSION: Overall, these in vitro results confirm the
marked regulatory activity of this A. dolomiae extract on inflammatory and immune
responses, which may be of value by virtue of its potential as an adjunctive
treatment of AD inflammatory and pruritic lesions.
PMID- 27877061
TI - Aloe sterol supplementation improves skin elasticity in Japanese men with
sunlight-exposed skin: a 12-week double-blind, randomized controlled trial.
AB - BACKGROUND/OBJECTIVE: Recently, it was confirmed that the daily oral intake of
plant sterols of Aloe vera gel (Aloe sterol) significantly increases the skin
barrier function, moisture, and elasticity in photoprotected skin. This study
aimed to investigate whether Aloe sterol intake affected skin conditions
following sunlight exposure in Japanese men. METHODS: We performed a 12-week,
randomized, double-blind, placebo-controlled study to evaluate the effects of
oral Aloe sterol supplementation on skin conditions in 48 apparently healthy men
(age range: 30-59 years; average: 45 years). The subjects were instructed to
expose the measurement position of the arms to the sunlight outdoors every day
for 12 weeks. The skin parameters were measured at 0 (baseline), 4, 8, and 12
weeks. RESULTS: Depending on the time for the revelation of the sunlight, the b*
value and melanin index increased and the skin moisture decreased. After taking
an Aloe sterol tablet daily for 12 weeks, the skin elasticity index (R2, R5, and
R7) levels were significantly higher than the baseline value. There were no
differences between the groups in these skin elasticity values. In the subgroup
analysis of subjects aged <46 years, the change in the R5 and R7 was
significantly higher in the Aloe group than in the placebo group at 8 weeks
(P=0.0412 and P=0.0410, respectively). There was a difference in the quantity of
sun exposure between each subject, and an additional clinical study that
standardizes the amount of ultraviolet rays is warranted. No Aloe sterol intake
dependent harmful phenomenon was observed during the intake period. CONCLUSION:
Aloe sterol ingestion increased skin elasticity in the photodamaged skin of men
aged <46 years.
PMID- 27877062
TI - Systemic abnormalities of psoriatic patients: a retrospective study.
AB - BACKGROUND: Psoriasis is a chronic immune-mediated inflammatory disease related
to the metabolic syndrome, cardiovascular disease, and other comorbidities.
However, so far there has been no specific research concerning systemic
abnormalities in psoriatic patients. OBJECTIVE: A retrospective study was
conducted focusing on the detailed systemic abnormalities in psoriatic patients.
METHODS: Psoriatic inpatients data was collected from July 2009 to September
2015. The inclusion criteria were first-time hospitalization and without
administration of systemic drug therapy or exposure to phototherapy for psoriasis
for at least 1 month. Detailed systemic indexes were mainly evaluated. RESULTS:
The abnormality rates of blood routine examination, urine examination, blood
biochemical examination and chest X-ray of 43 psoriatic patients were
significantly higher than those of 44 non-psoriasis controls, and psoriasis
patients significantly had higher absolute values of leukocytes and neutrophils,
and significantly lower values of lymphocytes. Compared with psoriasis vulgaris,
erythrodermic psoriasis had significantly higher abnormality rates of blood
biochemical examination and serum electrolyte analysis. Erythrodermic psoriasis
had significantly higher absolute values of blood leukocytes, neutrophils, and
lower serum calcium compared with those of psoriasis vulgaris. The neutrophil-to
lymphocyte ratio of controls was significantly lower than that of psoriatic
patients, and neutrophil-to-lymphocyte ratio of erythrodermic psoriasis was
significantly higher in comparison with psoriasis vulgaris. CONCLUSION: This
study is the first report in relation to a detailed assessment of systemic
abnormalities in psoriatic patients prior to onset of systemic treatment. The
systemic condition of psoriatic patients should be observed by clinicians before
systemic therapy.
PMID- 27877063
TI - COPD patients' medical care and support in Greece during financial crisis.
AB - BACKGROUND: The need to follow a multidisciplinary strategy in chronic
obstructive pulmonary disease (COPD) management and rehabilitation in community
settings in Greece raises significant questions, given the severe austerity
measures being imposed at present. The aim of this study was to investigate the
clinical profile of patients with COPD along with the care provided in rural
community settings in Greece. METHODS: Two primary health care centers and 200
newly diagnosed patients over a 12-month period were involved in the study. A
self-assessment questionnaire, including questions about smoking habits, the
presence of comorbidities and chronic respiratory symptoms, as well as the COPD
Assessment Test were used. Spirometry was performed with a dry spirometer.
Obstructive spirometry was defined as forced expiratory volume in 1 second/forced
vital capacity ratio <0.7, according to Global Initiative for Chronic Obstructive
Lung Disease guidelines. RESULTS: Males comprised 70% of the sample, with cough
and sputum being the prominent signs. Regarding COPD staging, 68.5% were
classified in stages I/II. Arterial hypertension and coronary heart disease were
the most common comorbidities. Current smokers accounted for 88.5%, while 88%
were heavy drinkers. A general practitioner made the diagnosis in 68.5% of the
cases, among which offspring and spouses provided home care in 38% and 8% of the
cases, respectively, while an informal caregiver other than a relative was
reported in 34% of the cases. No caregiver (self-care) was reported in 20% of the
cases. All patients of stage III and IV had a COPD Assessment Test score >10.
CONCLUSION: Patients with COPD dwelling in the community exhibit an overall mild
to-moderate type of COPD. General practitioner is in charge of COPD management.
Informal caregiving is the major type of home care, with nonfamily members
playing a significant role. The patients' profile permits limited optimism about
their future perspective and urges for immediate action at primary care level.
PMID- 27877064
TI - Low back pain in older adults - the need for specific outcome and psychometric
tools.
PMID- 27877065
TI - Poor adhesion of fentanyl transdermal patches may mimic end-of-dosage failure
after 48 hours and prompt early patch replacement in hospitalized cancer pain
patients.
AB - CONTEXT: Renewal of fentanyl transdermal patch (transdermal therapeutic system
[TTS]) should occur every 3 days (72 hours) according to manufacturer's
guidelines. Some studies mentioned patients reporting end-of-dose failure, and
thus, some authors recommend shortening the interval of application to 2 days (48
hours). However, reasons for early replacement are mostly unknown. OBJECTIVES:
The objectives of this study were to assess the prevalence of early replacement
of fentanyl TTS in a cancer center in Basel, Switzerland, and to assess the
reasons for early replacement in stationary patients. METHODS: We retrieved all
fentanyl TTS administered in a cancer center in Basel, Switzerland, between
November 11, 2011, and January 31, 2015, from the electronic medical database.
RESULTS: A total of 739 patients (mean age 71.4+/-11.5 years, 55% women) were
administered 2,250 fentanyl TTS (dosage 6-500 ug/hour). Most replacements
occurred after 72 hours (61.6%) and a few after 48 hours (7.4%). Patients with
early replacement after 48 hours were significantly younger (63.8 years versus
71.5 years, p<0.001) and obtained higher mean dosages of fentanyl TTS (89 ug/hour
versus 44.1 ug/hour, p<0.001) and rescue medication (calculated as oral morphine
equivalent in milligrams: 185.1 mg versus 39.6 mg during the first 24 hours after
replacement, p<0.001). No pharmacological rationale for early replacement was
observed. According to 57 physicians, nurses, and pharmacists, the most often
reasons for early TTS replacement were end-of-dosage pain (41.4%) and poor
adhesion (31.4%). CONCLUSION: In the absence of any physiological,
pharmacological, or environmental reasons recorded in the database to explain an
early replacement of fentanyl TTS, skin adhesion problems may point practical
reasons and mimic end-of-dosage failure.
PMID- 27877066
TI - Implantation of permanent pleural catheter for palliation of malignant pleural
effusion.
AB - PURPOSE: Malignant pleural effusion (MPE) is a disabling condition caused in most
instances by far-advanced cancer. The treatment is palliative and should ideally
be minimally invasive. The aim of this retrospective study was to evaluate the
effectiveness of implantation of a permanent PleurX catheter in the treatment of
recurrent MPE in patients with terminal cancer disease. PATIENTS AND METHODS:
Eighteen consecutive patients with terminal cancer and MPE, who had a permanent
PleurX catheter implanted in the period from February 2014 to August 2015, were
retrospectively evaluated. Medical records were reviewed for data on procedures
and patients. RESULTS: Twenty-two PleurX catheters were implanted in the pleural
cavity of 18 patients. The technical success rate was 100%. All patients had
relief of symptoms. Catheter patency was 91%. Six patients experienced minor
adverse events (AEs) and two patients experienced moderate-to-severe AEs. The
median survival time for the 14 patients who died during follow-up was 45 days.
CONCLUSION: Implantation of a permanent PleurX catheter is a safe procedure with
a high success rate and only few AEs. The procedure has the potential to serve as
an effective procedure for palliation of recurrent MPE in terminal cancer
patients.
PMID- 27877067
TI - Similar long-term survival of consecutive in-hospital and out-of-hospital cardiac
arrest patients treated with targeted temperature management.
AB - OBJECTIVE: The long-term survival of in-hospital cardiac arrest (IHCA) patients
treated with targeted temperature management (TTM) is poorly described. The aim
of this study was to compare the outcomes of consecutive IHCA with out-of
hospital cardiac arrest (OHCA) patients treated with TTM. DESIGN SETTING AND
PATIENTS: Retrospectively collected data on all consecutive adult patients
treated with TTM at a university tertiary heart center between 2005 and 2011 were
analyzed. MEASUREMENTS: Primary endpoints were survival to hospital discharge and
long-term survival. Secondary endpoint was neurological outcome assessed using
the Pittsburgh cerebral performance category (CPC). RESULTS: A total of 282
patients were included in this study; 233 (83%) OHCA and 49 (17%) IHCA. The IHCA
group presented more often with asystole, received bystander cardiopulmonary
resuscitation (CPR) in all cases, and had shorter time to return of spontaneous
circulation (ROSC). Survival to hospital discharge was 54% for OHCA and 53% for
IHCA (adjusted odds ratio 0.98 [95% confidence interval {CI}; 0.43-2.24]). Age
<=60 years, bystander CPR, time to ROSC <=10 min, and shockable rhythm at
presentation were associated with survival to hospital discharge. Good neurologic
outcome among survivors was achieved by 86% of OHCA and 92% of IHCA (P=0.83).
After a median follow-up time of >5 years, 83% of OHCA and 77% of IHCA were alive
(adjusted hazard ratio [HR] 1.51 [95% CI; 0.59-3.91]). Age <=60 years was the
only factor associated with long-term survival (adjusted HR 2.73 [95% CI; 1.36
5.52]). CONCLUSION: There was no difference in short- and long-term survival and
no difference in neurologic outcome to hospital discharge between IHCA and OHCA
patients treated with TTM despite higher frequency of asystole in IHCA.
PMID- 27877069
TI - Risk factors and mortality associated with undertriage at a level I safety-net
trauma center: a retrospective study.
AB - PURPOSE: The primary objective of this study was to determine the risk factors
associated with undertriage and the risk factors for mortality among the
undertriaged patients at a level I safety-net trauma center. METHODS: A
retrospective analysis was performed of all trauma patients who presented to a
level I safety-net trauma center with an injury severity score >15 over a 2-year
period (2013-2014). Univariate and multivariate regression analyses were used to
determine the risk factors predictive of undertriage in major trauma patients
(injury severity score >15) and of mortality in undertriaged patients. RESULTS:
During the 2-year study period, 334 of 2,485 admitted trauma patients presented
with major trauma and were included in our study. From the univariate analysis,
variables that were found to be independently associated with mortality in
undertriaged patients included intubation, Glasgow Coma Scale score, revised
trauma score, and dementia. Independent risk factors that were found to be
significantly associated with undertriage in severely injured trauma patients
included Glasgow Coma Scale score, motor vehicle crash, falls, revised trauma
score, systolic blood pressure, heart rate, intubation, and dementia. When a
multivariate analysis was performed to evaluate the statistically significant
risk factors, dementia was found to be significantly associated with undertriage
in severely injured trauma patients. CONCLUSION: Severely injured trauma patients
with dementia are at significant risk for undertriage. Early identification of
these risk factors while triaging at a level I safety-net trauma center could
translate into improved patient outcomes following severe trauma.
PMID- 27877068
TI - Comparative study of minimal fresh gas flow used in Lack-Plus and Lack's circuit
in spontaneously breathing anesthetized adults.
AB - BACKGROUND: The Lack's circuit is a co-axial Mapleson A breathing system commonly
used in spontaneously breathing anesthetized adults but still requires high fresh
gas flow (FGF). The Lack-Plus circuit was invented with the advantage of lower
FGF requirement. The authors compared the Lack-Plus and Lack's circuit for the
minimal FGF requirement with no rebreathing in spontaneously breathing
anesthetized adults. METHODS: This was a randomized crossover study. We enrolled
24 adult patients undergoing supine elective surgery, with a body mass index <=30
kg/m2 and an American Society of Anesthesiologists physical status I-II. They
were randomly allocated to group 1 (LP-L) starting with Lack-Plus then switching
to Lack's circuit or group 2 (L-LP) (with the reverse pattern). After induction
and intubation, anesthesia was maintained with 50% N2O/O2 and desflurane (4%-6%)
plus fentanyl titration to maintain an optimal respiratory rate between 10 and
16/min. Starting with the first circuit, all the patients were spontaneously
breathing with a FGF of 4 L/min for 10 min, gradually decreased by 0.5 L/min
every 5 min until FGF was 2.5 L/min. End-tidal CO2, inspired minimum CO2 (ImCO2),
mean arterial pressure, and oxygen saturation were recorded until rebreathing
(ImCO2 >0 mmHg) occurred. The alternate anesthesia breathing circuit was used and
the measurements were repeated. RESULTS: The respective minimal FGF at the point
of rebreathing for the Lack-Plus and Lack's circuit was 2.7+/-0.8 and 3.3+/-0.5
L/min, respectively, p<0.001. At an FGF of 2.5 L/min, the respective ImCO2 was
1.5+/-2.0 and 4.2+/-2.6 mmHg, respectively, p<0.001. CONCLUSION: The Lack-Plus
circuit can be used safely and effectively, and it requires less FGF than Lack's
circuit in spontaneously breathing anesthetized adults.
PMID- 27877070
TI - Aerobic power and lean mass are indicators of competitive sprint performance
among elite female cross-country skiers.
AB - The purpose of this study was to establish the optimal allometric models to
predict International Ski Federation's ski-ranking points for sprint competitions
(FISsprint) among elite female cross-country skiers based on maximal oxygen
uptake ( [Formula: see text]) and lean mass (LM). Ten elite female cross-country
skiers (age: 24.5+/-2.8 years [mean +/- SD]) completed a treadmill roller-skiing
test to determine [Formula: see text] (ie, aerobic power) using the diagonal
stride technique, whereas LM (ie, a surrogate indicator of anaerobic capacity)
was determined by dual-emission X-ray anthropometry. The subjects' FISsprint were
used as competitive performance measures. Power function modeling was used to
predict the skiers' FISsprint based on [Formula: see text], LM, and body mass.
The subjects' test and performance data were as follows: [Formula: see text],
4.0+/-0.3 L min-1; LM, 48.9+/-4.4 kg; body mass, 64.0+/-5.2 kg; and FISsprint,
116.4+/-59.6 points. The following power function models were established for the
prediction of FISsprint: [Formula: see text] and 6.95 * 1010 . LM-5.25; these
models explained 66% (P=0.0043) and 52% (P=0.019), respectively, of the variance
in the FISsprint. Body mass failed to contribute to both models; hence, the
models are based on [Formula: see text] and LM expressed absolutely. The results
demonstrate that the physiological variables that reflect aerobic power and
anaerobic capacity are important indicators of competitive sprint performance
among elite female skiers. To accurately indicate performance capability among
elite female skiers, the presented power function models should be used. Skiers
whose [Formula: see text] differs by 1% will differ in their FISsprint by 5.8%,
whereas the corresponding 1% difference in LM is related to an FISsprint
difference of 5.1%, where both differences are in favor of the skier with higher
[Formula: see text] or LM. It is recommended that coaches use the absolute
expression of these variables to monitor skiers' performance-related training
adaptations linked to changes in aerobic power and anaerobic capacity.
PMID- 27877071
TI - The SWEET SPOTS study: a real-world interpretation of the 2012 American Diabetes
Association Position Statement regarding individualized A1C targets.
AB - OBJECTIVE: To evaluate awareness of the 2012 American Diabetes Association (ADA)
Position Statement among physicians and assess its effects on patient-centered
glycated hemoglobin (A1C) goals in the management of type 2 diabetes (T2D).
RESEARCH DESIGN AND METHODS: The Summarizing Real-World Individualized TrEatmEnT
GoalS and Potential SuppOrT Systems in Type 2 Diabetes (SWEET SPOTS) study used
the HealthCore claims database to identify T2D patients, stratified by risk, and
their treating physicians to assess primary care physician and endocrinologist
awareness of the 2012 ADA Position Statement. Physicians completed online surveys
on A1C targets before and after receiving an educational intervention to review
the position statement. RESULTS: Of 125 responding physicians (mean age 50.3
years, 12.8% endocrinologists) who were linked to 125 patient profiles (mean age
56.9 years, 42% female, mean A1C 7.2%), 92% were at least somewhat aware of the
position statement prior to the intervention and 59% believed that the statement
would impact how they set A1C targets. The educational intervention resulted in
mostly less stringent goal setting for both lower and higher risk patients, but
changes were not significant. The proportion of physician-assigned A1C targets
within ADA-recommended ranges increased from 56% to 66% post-intervention
(P<0.0001). CONCLUSION: Physicians treating T2D are aware of the 2012 ADA
Position Statement and believe that it may influence treatment goals. While
patient-specific A1C targets were not significantly impacted, physicians
indicated that they would make targets more or less stringent for lower and
higher risk patients, respectively, across their practice. Further research into
optimizing physician education regarding individualized A1C targets is warranted.
PMID- 27877073
TI - Ocimum gratissmum aqueous extract reduces plasma lipid in hypercholesterol-fed
hamsters.
AB - Objectives: Hyperlipidemia is a significant risk factor in the development of
atherosclerosis and related diseases which are major health problem in many
developed and developing countries that can lead to fatality due to the changes
in lifestyle and dietary habits in this modern age. Methods: In the present
study, the Ocimum gratissimum aqueous extract (OGE) was tested for the lowering
effect on the serum lipid level of male hamsters on a high-fat (12%) and high
cholesterol (0.2%) diet (HFCD). Results: The results showed that the levels of
serum high-density-lipoprotein-cholesterol (HDL-C) low-density-lipoprotein
cholesterol (LDL-C), total cholesterol (TC), and triglycerols (TG) were increased
in the HFCD group (113+/-11, 259+/-87, 629+/-175 and 625+/-262, respectively), as
compared to the control normal diet group (51+/-8, 19+/-5, 77+/-16 and 101+/-44,
respectively). When co-treated with various doses (10 and 20 mg/kg) of the OGE or
rosuvastatin, the rats exhibited the restoration of normal serum LDL-C, TC, and
TG levels. Conclusion: Therefore, we suggest that the Ocimum gratissimum aqueous
extract may have the potential function of lowering serum lipid in rats.
PMID- 27877072
TI - Cultured Human Periosteum-Derived Cells Can Differentiate into Osteoblasts in a
Perioxisome Proliferator-Activated Receptor Gamma-Mediated Fashion via Bone
Morphogenetic Protein signaling.
AB - The differentiation of mesenchymal stem cells towards an osteoblastic fate
depends on numerous signaling pathways, including activation of bone
morphogenetic protein (BMP) signaling components. Commitment to osteogenesis is
associated with activation of osteoblast-related signal transduction, whereas
inactivation of this signal transduction favors adipogenesis. BMP signaling also
has a critical role in the processes by which mesenchymal stem cells undergo
commitment to the adipocyte lineage. In our previous study, we demonstrated that
an agonist of the perioxisome proliferator-activated receptor gamma (PPARgamma),
a master regulator of adipocyte differentiation, stimulates osteoblastic
differentiation of cultured human periosteum-derived cells. In this study, we
used dorsomorphin, a selective small molecule inhibitor of BMP signaling, to
investigate whether BMP signaling is involved in the positive effects of
PPARgamma agonists on osteogenic phenotypes of cultured human periosteum-derived
cells. Both histochemical detection and bioactivity of ALP were clearly increased
in the periosteum-derived cells treated with the PPARgamma agonist at day 10 of
culture. Treatment with the PPARgamma agonist also caused an increase in alizarin
red S staining and calcium content in the periosteum-derived osteoblasts at 2 and
3 weeks of culture. In contrast, dorsomorphin markedly decreased ALP activity,
alizarin red S staining and calcium content in both the cells treated with
PPARgamma agonist and the cells cultured in osteogenic induction media without
PPARgamma agonist during the culture period. In addition, the PPARgamma agonist
clearly increased osteogenic differentiation medium-induced BMP-2 upregulation in
the periosteum-derived osteoblastic cells at 2 weeks of culture as determined by
quantitative reverse transcriptase polymerase chain reaction (RT-PCR),
immunoblotting, and immunocytochemical analyses. Although further study will be
needed to clarify the mechanisms of PPARgamma-regulated osteogenesis, our results
suggest that the positive effects of a PPARgamma agonist on the osteogenic
phenotypes of cultured human periosteum-derived cells seem to be dependent on BMP
signaling.
PMID- 27877074
TI - Association between Benzodiazepine Use and Dementia: Data Mining of Different
Medical Databases.
AB - Purpose: Some studies have suggested that the use of benzodiazepines in the
elderly is associated with an increased risk of dementia. However, this
association might be due to confounding by indication and reverse causation. To
examine the association between benzodiazepine anxiolytic drug use and the risk
of dementia, we conducted data mining of a spontaneous reporting database and a
large organized database of prescriptions. Methods: Data from the US Food and
Drug Administration Adverse Event Reporting System (FAERS) from the first quarter
of 2004 through the end of 2013 and data from the Canada Vigilance Adverse
Reaction Online Database from the first quarter of 1965 through the end of 2013
were used for the analyses. The reporting odds ratio (ROR) and information
component (IC) were calculated. In addition, prescription sequence symmetry
analysis (PSSA) was performed to identify the risk of dementia after using
benzodiazepine anxiolytic drugs over the period of January 2006 to May 2014.
Results: Benzodiazepine use was found to be associated with dementia in analyses
using the FAERS database (ROR: 1.63, 95% CI: 1.61-1.64; IC: 0.66, 95% CI: 0.65
0.67) and the Canada Vigilance Adverse Reaction Online Database (ROR: 1.88, 95%
CI: 1.83-1.94; IC: 0.85, 95% CI: 0.80-0.89). ROR and IC values increased with the
duration of action of benzodiazepines. In the PSSA, a significant association was
found, with adjusted sequence ratios of 1.24 (1.05-1.45), 1.20 (1.06-1.37), 1.23
(1.11-1.37), 1.34 (1.23-1.47), 1.41 (1.29-1.53), and 1.44 (1.33-1.56) at
intervals of 3, 6, 12, 24, 36, and 48 months, respectively. Furthermore, the
additional PSSA, in which patients who initiated a new treatment with
benzodiazepines and anti-dementia drugs within 12- and 24-month periods were
excluded from the analysis, demonstrated significant associations of
benzodiazepine use with dementia risk. Conclusion: Multi-methodological
approaches using different methods, algorithms, and databases suggest that long
term use of benzodiazepines and long-acting benzodiazepines are strongly
associated with an increased risk of dementia.
PMID- 27877075
TI - The Limbal Epithelial Progenitors in the Limbal Niche Environment.
AB - Limbal epithelial progenitors are stem cells located in limbal palisades of vogt.
In this review, we present the audience with recent evidence that limbal
epithelial progenitors may be a powerful stem cell resource for the cure of human
corneal stem cell deficiency. Further understanding of their mechanism may shed
lights to the future successful application of stem cell therapy not only to the
eye tissue, but also to the other tissues in the human body.
PMID- 27877076
TI - Cardiomyogenic Differentiation of Human Dental Follicle-derived Stem Cells by
Suberoylanilide Hydroxamic Acid and Their In Vivo Homing Property.
AB - The purpose of the present study was to investigate the in vitro cardiomyogenic
differentiation potential of human dental follicle-derived stem cells (DFCs)
under the influence of suberoylanilide hydroxamic acid (SAHA), a member of the
histone deacetylase inhibitor family, and analyze the in vivo homing capacity of
induced cardiomyocytes (iCMs) when transplanted systemically. DFCs from extracted
wisdom teeth showed mesenchymal stem cell (MSC) characteristics such as plate
adherent growing, expression of MSC markers (CD44, CD90, and CD105), and
mesenchymal lineage-specific differentiation potential. Adding SAHA to the
culture medium induced the successful in vitro differentiation of DFCs into
cardiomyocytes. These iCMs expressed cardiomyogenic markers, including alpha
smooth muscle actin (alpha-SMA), cardiac muscle troponin T (TNNT2), Desmin, and
cardiac muscle alpha actin (ACTC1), at both the mRNA and protein level. For the
assessment of homing capacity, PKH26 labeled iCMs were intraperitoneally injected
(1*106 cells in 100 uL of PBS) into the experimental mice, and the ratios of
PKH26 positive cells to the total number of injected cells, in multiple organs
were determined. The calculated homing ratios, 14 days after systemic cell
transplantation, were 5.6 +/- 1.0%, 3.6 +/- 1.1%, and 11.6 +/- 2.7% in heart,
liver, and kidney respectively. There was no difference in the serum levels of
interleukin-2 and interleukin-10 at 14 days after transplantation, between the
experimental (iCM injected) and control (no injection or PBS injection) groups.
These results demonstrate that DFCs can be an excellent source for cardiomyocyte
differentiation and regeneration. Moreover, the iCMs can be delivered into heart
muscle via systemic administration without eliciting inflammatory or immune
response. This can serve as the pilot study for further investigations into the
in vitro cardiomyogenic differentiation potential of DFCs under the influence of
SAHA and the in vivo homing capacity of the iCMs into the heart muscle, when
injected systemically.
PMID- 27877077
TI - Amelioration of estrogen deficiency-induced obesity by collagen hydrolysate.
AB - Objectives: Menopausal transition with declining estrogen levels significantly
affects the physiological properties of women and consequently contributes to a
series of medical conditions, including obesity. Obesity is a crucial risk factor
associated with cardiovascular diseases, diabetes mellitus, and breast cancer.
Increasing dietary protein content improves satiety and energy expenditure. Thus,
we hypothesize that supplementing with collagen, a common dietary protein, may
alleviate menopause-induced obesity. Methods: We used ovariectomized (OVX) rats
to mimic a menopausal human. The body weight of OVX rats significantly increased
compared with that of sham-operated rats (P<0.05), but uterus weight was
decreased. Adipocyte size in perigonadal adipose tissue also increased (P<0.05).
Results: By contrast, OVX rats supplemented with aqueous collagen hydrolysate
(2.5 mg/mL) exhibited significant attenuation in body weight gain and adipocyte
enlargement (P<0.05), but insignificant change in uterus weight. Further
investigation indicated that collagen hydrolysate supplementation insignificantly
affected the levels of dorsal fat, serum total cholesterol, and serum
triacylglycerol. Levels of serum biochemical factors, calcium, phosphorus, and
glucose were also insignificantly altered by collagen hydrolysate
supplementation. Conclusion: Collagen hydrolysate supplementation reduced body
weight gain and adipocyte enlargement in response to ovariectomy but slightly
affected blood lipids, calcium, and glucose in both sham-operated and OVX rats.
Collagen hydrolysate supplementation is beneficial in ameliorating estrogen
deficiency-induced obesity and its associated risk factors.
PMID- 27877078
TI - Activation of the CXCL16/CXCR6 Pathway by Inflammation Contributes to
Atherosclerosis in Patients with End-stage Renal Disease.
AB - Background: Chronic inflammation plays a critical role in the progression of
atherosclerosis (AS). This study aimed to determine the effects of the CXC
chemokine ligand 16 (CXCL16)/CXC chemokine receptor 6 (CXCR6) pathway on
cholesterol accumulation in the radial arteries of end-stage renal disease (ESRD)
patients with concomitant microinflammation and to further investigate the
potential effects of the purinergic receptor P2X ligand-gated ion channel 7
(P2X7R). Methods: Forty-three ESRD patients were divided into the control group
(n=17) and the inflamed group (n=26) based on plasma C-reactive protein (CRP)
levels. Biochemical indexes and lipid profiles of the patients were determined.
Surgically removed tissues from the radial arteries of patients receiving
arteriovenostomy were used for preliminary evaluation of AS. Haematoxylin-eosin
(HE) and Filipin staining were performed to assess foam cell formation.
CXCL16/CXCR6 pathway-related protein expression, P2X7R protein expression and the
expression of monocyte chemotactic protein-1 (MCP-1), tumour necrosis factor
alpha (TNF-alpha), and CD68 were detected by immunohistochemical and
immunofluorescence staining. Results: Inflammation increased both MCP-1 and TNF
alpha expression and macrophage infiltration in radial arteries. Additionally,
foam cell formation significantly increased in the radial arteries of the
inflamed group compared to that of the controls. Further analysis showed that
protein expression of CXCL16, CXCR6, disintegrin and metalloproteinase-10
(ADAM10) in the radial arteries of the inflamed group was significantly
increased. Furthermore, CXCL16 expression was positively correlated with P2X7R
expression in the radial arteries of ESRD patients. Conclusions: Inflammation
contributed to foam cell formation in the radial arteries of ESRD patients via
activation of the CXCL16/CXCR6 pathway, which may be regulated by P2X7R.
PMID- 27877079
TI - Amplification and overexpression of CTTN and CCND1 at chromosome 11q13 in
Esophagus squamous cell carcinoma (ESCC) of North Eastern Chinese Population.
AB - Esophageal squamous cell carcinoma (ESCC) is a genetically complex tumor type and
is a major cause of cancer-related mortality. The combination of genetics, diet,
behavior, and environment plays an important role in the carcinogenesis of ESCC.
To characterize the genomic aberrations of this disease, we investigated the
genomic imbalances in 19 primary ESCC cases using high-resolution array
comparative genomic hybridization (CGH). All cases showed either loss or gain of
whole chromosomes or segments of chromosome(s) with variable genomic sizes. The
copy number alterations per case affected the median 34% (~ 1,034Mb/3,000Mb) of
the whole genome. Recurrent gains were 1q21.3-qter, 3q13.11-qter, 5pter-p11,
7pter-p15.3, 7p12.1-p11.2, 7q11-q11.2, 8p12-qter, 11q13.2-q13.3, 12pter-p13.31,
17q24.2, 20q11.21-qter, and 22q11.21-q11.22 whereas the recurrent losses were
3pter-p11.1, 4pter-p12, 4q28.3-q31.22, 4q31.3-q32.1, 9pter-p12, 11q22.3-qter and
13q12.11-q22.1. Amplification of 11q13 resulting in overexpression of CTTN/CCND1
was the most prominent finding, which was observed in 13 of 19 ESCC cases. These
unique profiles of copy number alteration should be validated by further studies
and need to be taken into consideration when developing biomarkers for early
detection of ESCC.
PMID- 27877080
TI - Established Population of Blacklegged Ticks with High Infection Prevalence for
the Lyme Disease Bacterium, Borrelia burgdorferi Sensu Lato, on Corkscrew Island,
Kenora District, Ontario.
AB - We document an established population of blacklegged ticks, Ixodes scapularis, on
Corkscrew Island, Kenora District, Ontario, Canada. Primers of the outer surface
protein A (OspA) gene, the flagellin (fla) gene, and the flagellin B (flaB) gene
were used in the PCR assays to detect Borrelia burgdorferi sensu lato (s.l.), the
Lyme disease bacterium. In all, 60 (73%) of 82 adult I. scapularis, were infected
with B. burgdorferi s.l. As well, 6 (43%) of 14 unfed I. scapularis nymphs were
positive for B. burgdorferi s.l. An I. scapularis larva was also collected from a
deer mouse, and several unfed larvae were gathered by flagging leaf litter. Based
on DNA sequencing of randomly selected Borrelia amplicons from six nymphal and
adult I. scapularis ticks, primers for the flagellin (fla) and flagellin B (flaB)
genes reveal the presence of B. burgdorferi sensu stricto (s.s.), a genospecies
pathogenic to humans and certain domestic animals. We collected all 3 host
feeding life stages of I. scapularis in a single year, and report the
northernmost established population of I. scapularis in Ontario. Corkscrew Island
is hyperendemic for Lyme disease and has the highest prevalence of B. burgdorferi
s.l. for any established population in Canada. Because of this very high
infection prevalence, this population of I. scapularis has likely been
established for decades. Of epidemiological significance, cottage owners, island
visitors, outdoors enthusiasts, and medical professionals must be vigilant that
B. burgdorferi s.l.-infected I. scapularis on Corkscrew Island pose a serious
public health risk.
PMID- 27877081
TI - P-selectin-mediated LOX expression promotes insulinoma growth in Rip1-Tag2 mice
by increasing tissue stiffness.
AB - P-selectin, a cell adhesion molecule, is an important member of the selectin
family. Recent studies have shown that P-selectin deletion inhibits tumor growth
in Rip1-Tag2 mice by suppressing platelet accumulation in tumor tissues. This
study aimed to evaluate whether and how P-selectin affects tumor stiffness in
Rip1-Tag2 mice. To explore the role of P-selectin in tissue stiffness, we
demonstrated that tumor progression in Rip1-Tag2 mice was correlated with tissue
stiffness using immunofluorescence and histological staining. Furthermore, we
showed that P-selectin deficiency significantly decreased tissue stiffness by
inhibiting lysyl oxidase (LOX) expression. Our experiments involving Rip1-Tag2
mice treated with the LOX inhibitor BAPN showed that BAPN significantly abolished
collagen deposition to decrease tumor stiffness and thus inhibit tumor growth.
These results indicate that P-selectin deletion significantly decreases tumor
stiffness in Rip1-Tag2 mice by inhibiting LOX expression. Further study
demonstrated that P-selectin-mediated platelet accumulation increases tissue
stiffness mainly by increasing LOX expression and thus promotes tumor growth.
Therefore, P-selectin may be an effective therapeutic targeting for treating
human insulinomas.
PMID- 27877082
TI - Histone Deacetylase Inhibitor Trichostatin a Promotes the Apoptosis of
Osteosarcoma Cells through p53 Signaling Pathway Activation.
AB - Purpose: The purpose of this study was to investigate the profile of histone
deacetylase (HDAC) activity and expression in osteosarcoma cells and tissues from
osteosarcoma patients and to examine the mechanism by which a histone deacetylase
(HDAC) inhibitor, Trichostatin A (TSA), promotes the apoptosis of osteosarcoma
cells. Methods: HDAC activity and histone acetyltransferase (HAT) activity were
determined in nuclear extracts of MG63 cells, hFOB 1.19 cells and tissues from 6
patients with primary osteosarcoma. The protein expression of Class I HDACs (1,
2, 3 and 8) and the activation of the p53 signaling pathway were examined by
Western blot. Cell growth and apoptosis were determined by 3-(4, 5-dimethyl-2
thiazolyl)-2H-tetrazolium bromide (MTT) assay and flow cytometry, respectively.
Results: Nuclear HDAC activity and class I HDAC expression were significantly
higher in MG63 cells than in hFOB 1.19 cells, and a similar trend was observed in
the human osteosarcoma tissues compared with the paired adjacent non-cancerous
tissues. TSA significantly inhibited the growth of MG63 cells and promoted
apoptosis in a dose-dependent manner through p53 signaling pathway activation.
Conclusion: Class I HDACs play a central role in the pathogenesis of
osteosarcoma, and HDAC inhibitors may thus have promise as new therapeutic agents
against osteosarcoma.
PMID- 27877084
TI - RNA interference of chitin synthase genes inhibits chitin biosynthesis and
affects larval performance in Leptinotarsa decemlineata (Say).
AB - Dietary introduction of bacterially expressed double-stranded RNA (dsRNA) has
great potential for management of Leptinotarsa decemlineata. Identification of
the most attractive candidate genes for RNA interference (RNAi) is the first
step. In the present paper, three complete chitin synthase cDNA sequences
(LdChSAa, LdChSAb and LdChSB) were cloned. LdChSAa and LdChSAb, two splicing
variants of LdChSA gene, were highly expressed in ectodermally-derived epidermal
cells forming epidermis, trachea, foregut and hindgut, whereas LdChSB was mainly
transcribed in midgut cells. Feeding bacterially expressed dsChSA (derived from a
common fragment of LdChSAa and LdChSAb), dsChSAa, dsChSAb and dsChSB in the
second- and fourth-instar larvae specifically knocked down their target mRNAs.
RNAi of LdChSAa+LdChSAb and LdChSAa lowered chitin contents in whole body and
integument samples, and thinned tracheal taenidia. The resulting larvae failed to
ecdyse, pupate, or emerge as adults. Comparably, knockdown of LdChSAb mainly
affected pupal-adult molting. The LdChSAb RNAi pupae did not completely shed the
old larval exuviae, which caused failure of adult emergence. In contrast,
silencing of LdChSB significantly reduced foliage consumption, decreased chitin
content in midgut sample, damaged midgut peritrophic matrix, and retarded larval
growth. As a result, the development of the LdChSB RNAi hypomorphs was arrested.
Our data reveal that these LdChSs are among the effective candidate genes for an
RNAi-based control strategy against L. decemlineata.
PMID- 27877083
TI - Epstein-Barr virus lytic reactivation regulation and its pathogenic role in
carcinogenesis.
AB - Epstein-Barr virus (EBV) has been associated with several types of human cancers.
In the host, EBV can establish two alternative modes of life cycle, known as
latent or lytic and the switch from latency to the lytic cycle is known as EBV
reactivation. Although EBV in cancer cells is found mostly in latency, a small
number of lytically-infected cells promote carcinogenesis through the release of
growth factors and oncogenic cytokines. This review focuses on the mechanisms by
which EBV reactivation is controlled by cellular and viral factors, and discusses
how EBV lytic infection contributes to human malignancies.
PMID- 27877085
TI - The Evolving Functions of Autophagy in Ocular Health: A Double-edged Sword.
AB - Autophagy plays an adaptive role in cell survival, development, differentiation
and intracellular homeostasis. Autophagy is recognized as a 'self-cannibalizing'
process that is active during stresses such as starvation, chemotherapy,
infection, ageing, and oxygen shortage to protect organisms from various
irritants and to regenerate materials and energy. However, autophagy can also
lead to a form of programmed cell death distinct from apoptosis. Components of
the autophagic pathway are constitutively expressed at a high level in the eye,
including in the cornea, lens, retina, and orbit. In addition, the activation of
autophagy is directly linked to the development of eye diseases such as age
related macular degeneration (ARMD), cataracts, diabetic retinopathy (DR),
glaucoma, photoreceptor degeneration, ocular tumours, ocular infections and
thyroid-associated ophthalmopathy (TAO). A high level of autophagy defends
against external stress; however, excessive autophagy can result in
deterioration, as observed in ocular diseases such as ARMD and DR. This review
summarizes recent developments elucidating the relationship between autophagy and
ocular diseases and the potential roles of autophagy in the pathogenesis and
treatment of these diseases.
PMID- 27877086
TI - Ginsenoside Rg1 Protects against Oxidative Stress-induced Neuronal Apoptosis
through Myosin IIA-actin Related Cytoskeletal Reorganization.
AB - Oxidative stress-induced cytoskeletal dysfunction of neurons has been implicated
as a crucial cause of cell apoptosis or death in the central nervous system (CNS)
diseases, such as neurodegenerative and psychiatric diseases. The application of
neuroprotectants rescuing the neurons from cytoskeletal damage and apoptosis can
be a potential treatment for these CNS diseases. Ginsenoside Rg1 (Rg1), one of
the major active components of ginseng, has been reported possessing notable
neuroprotective activities. However, there is rare report about its effect on
cytoskeleton and its undergoing mechanism. The current study is to reveal the
regulatory effects of Rg1 on cytoskeletal and morphological lesion in oxidative
stress-induced neuronal apoptosis. The results demonstrated that pre-treatment
with Rg1 (0.1-10 MUM) attenuated hydrogen peroxide (H2O2)-induced neuronal
apoptosis and oxidative stress through reducing the intracellular reactive oxygen
species (ROS) production and methane dicarboxylic aldehyde (MDA) level. The Rg1
treatment also abolished H2O2-induced morphological changes, including cell
rounding, membrane blebbing, neurite retraction and nuclei condensation, which
were generated by myosin IIA-actin interaction. These effects were mediated via
the down-regulation of caspase-3, ROCK1 (Rho-associated kinase1) activation and
myosin light chain (MLC, Ser-19) phosphorylation. Furthermore, inhibiting myosin
II activity with blebbistatin partly blocked the neuroprotective effects of Rg1.
The computer-aided homology modelling revealed that Rg1 preferentially positioned
in the actin binding cleft of myosin IIA and might block the binding of myosin
IIA to actin filaments. Accordingly, the neuroprotective mechanism of Rg1 is
related to the activity that inhibits myosin IIA-actin interaction and the
caspase-3/ROCK1/MLC signaling pathway. These findings put some insights into the
unique neuroprotective properties of Rg1 associated with the regulation of myosin
IIA-actin cytoskeletal structure under oxidative stress and provide experimental
evidence for Rg1 in CNS diseases.
PMID- 27877087
TI - IL28B SNP rs12979860 is the Critical Predictor for Sustained Viral Response in
Chinese Children Aged 1 to 6 Years with Chronic Hepatitis C.
AB - Clinical data on children with chronic hepatitis C (CHC) remain extremely
limited. This study investigated sustained virologic response (SVR) to alfa
interferon 2b plus RBV treatment in children aged 1-6 years with unsafe injection
acquired CHC. 154 children with CHC aged 1 to 6 years were enrolled, 101 of them
were male (65.6%) and 53 were female (34.4%), and they were treated with alfa
interferon at a dose of 1-5 MIU/m2 3 times weekly plus oral RBV (15 mg/kg/day)
for 48 weeks. 57(39.3 %) of them were genotype 1b, 73(50.3%) were genotypes 2a,
15(10.3%) were undecided type. SVR was achieved in 53 of 57(93.0%) patients with
genotype 1b, in 72 (98.6%) of 73 with genotype 2a, 15(100.0%) of 15 with
undecided type. There was no significant statistical difference in SVR between
male and female (98.0% vs 94.3%, p=0.340), genotype 2a and those with genotype
1b(98.6% vs 93.0%, p=0.160), ALT>40U/L group and ALT<=40U/L group(96.7% vs 96.8%,
p=1.000), AST>40U/L group and AST<=40U/L group(95.9% vs 98.2%, p=0.654) as well
as lower baseline viral load group (<6*105 IU/ml) and higher baseline viral load
group(>=6*105 IU/ml)(97.3% vs 95.3%, p=0.916). Leucopenia, neutropenia,
hemoglobin concentration decrease, fever, platelet count decrease and rash were
8.4%, 69.5%, 24.0%, 50.6%, 1.9% and 4.5%, respectively. And only 12(7.8%)
individuals developed thyroid autoantibodies. The SVR was higher in patients with
IL-28B genotype C/C than C/T (99.0% vs 80%, p=0.002). Compared with HCV viral
genotype, ALT level and baseline viral load, IL-28B rs12979860 is more suitable
for predicting antiviral efficacy in children with CHC. It is inappropriate to
take the increase of ALT level as an essential indicator for antiviral treatment
in children aged 1-6 years.
PMID- 27877088
TI - Aloe-emodin suppresses hypoxia-induced retinal angiogenesis via inhibition of HIF
1alpha/VEGF pathway.
AB - Background: Aloe-emodin (AE) has been reported to possess the antiangiogenic
effect on laser induced choroidal neovascularization. AE inhibits the vessel
formation in the zebrafish embryos. However, it is still unclear whether AE can
alleviate neovascularization. Here, we investigated the inhibitory effect of AE
on the hypoxia-induced retinal neovascularization and the possible mechanisms.
Methods: We established a vascular endothelial growth factor (VEGF) secretion
model under chemical induced hypoxia by exposure of 150 uM CoCl2 to the ARPE-19
cells, then treated the cells with different concentrations of AE (0.2, 1.0 and
5.0 ug/mL) or a special hypoxia-inducible factor 1alpha (HIF-1alpha) inhibitor [3
(5'-hydroxymethyl-2'-furyl)-1-benzylindazole, YC-1, 1.0 ug/mL]. The cellular
supernatants were collected 48 h later to measure the VEGFA concentrations by
human VEGFA enzyme-linked immunosorbent assay (ELISA) kits, the mRNA expressions
of VEGFA, HIF-1alpha and prolyl hydroxylase-2 (PHD-2) by quantitative reverse
transcription-PCR (qRT-PCR) and the protein expressions of HIF-1alpha and PHD-2
by Western blots. For in vivo study, the rat pups with oxygen-induced retinopathy
were treated with Conbercept ophthalmic injection (1.0 mg/kg) or AE (5.0 and 10.0
mg/kg) for five days, then the retinal avascular areas were assessed via
visualization of the retinal vasculature with ADPase and hematoxylin & eosin
(H&E) stains. Results: AE inhibits the VEGFA secretion of ARPE-19 cells under
hypoxia condition, decreases the mRNA expressions of VEGFA and PHD-2 and the
protein expressions of VEGFA, HIF-1alpha and PHD-2 in vitro and prevents hypoxia
induced retinal neovascularization in vivo.Conclusions: AE ameliorates retinal
neovascularization throuth inhibition of the HIF-1alpha/VEGF signaling pathway.
AE may be developed as a potential drug for the prevention and treatment of
diabetic retinopathy.
PMID- 27877089
TI - Insights into the Nanog gene: A propeller for stemness in primitive stem cells.
AB - Self-renewal and pluripotency are two major characteristics of embryonic stem
cells (ESCs) that allow ESCs to maintain stem cell population, and differentiate
into multiple types of adult tissues. Nanog is the key transcription factor that
controls both self-renewal and pluripotency of ESCs. Similarly, cancer stem cells
(CSCs) are capable of preserving population and initiating new tumor development
by self-renewal. Expression of Nanog family proteins can be increased in many
types of cancer which is correlated with tumor outcomes. In this review we
summarized the recent understanding of the roles and mechanisms of Nanog in ESC
regulation under physiological conditions. In addition, we describe the function
of Nanog family proteins in different types of cancer, and the association of
Nanog with clinical outcomes. Taken together, Nanog proteins are central
regulators controlling both ESCs and CSCs, and are considered as a prognostic
marker in many types of cancer. These findings supported the possibility of novel
therapeutic potentials of Nanog against cancers.
PMID- 27877090
TI - Genetic Variant in Flavin-Containing Monooxygenase 3 Alters Lipid Metabolism in
Laying Hens in a Diet-Specific Manner.
AB - Genetic variant T329S in flavin-containing monooxygenase 3 (FMO3) impairs
trimethylamine (TMA) metabolism in birds. The TMA metabolism that under complex
genetic and dietary regulation, closely linked to cardiovascular disease risk. We
determined whether the genetic defects in TMA metabolism may change other
metabolic traits in birds, determined whether the genetic effects depend on
diets, and to identify genes or gene pathways that underlie the metabolic
alteration induced by genetic and diet factors. We used hens genotyped as FMO3
c.984 A>T as well as those with the homozygous normal genotype. For each
genotype, hens were provided with either a corn-soybean meal basal diets (SM),
which contains lower levels of TMA precursor, or the basal diets supplemented
with 21% of rapeseed meal (RM), which contains higher levels of TMA precursor. An
integrative analysis of metabolomic and transcriptomic was used to explore the
metabolic patterns of FMO3 genetic variant in hens that were fed the two defined
diets. In birds that consumed SM diets, the T329S mutation increased levels of
plasma TMA and lipids, FMO3 mRNA levels, and the expression of genes involved in
long chain polyunsaturated fatty acid biosynthesis. In birds that consumed RM
diets, the T329S mutation induced fishy odor syndrome, a repression in LXR
pathway and a reciprocal change in lipid metabolism. Variations in TMA and lipid
metabolism were linked to the genetic variant in FMO3 in a diet-specific manner,
which suggest FMO3 functions in TMA metabolism and lipid homeostasis. LXR pathway
and polyunsaturated fatty acid metabolism are two possible mechanisms of FMO3
action in response to dietary TMA precursor.
PMID- 27877091
TI - Conserved chemosensory proteins in the proboscis and eyes of Lepidoptera.
AB - Odorant-binding proteins (OBPs) and chemosensory proteins (CSPs) are endowed with
several different functions besides being carriers for pheromones and odorants.
Based on a previous report of a CSP acting as surfactant in the proboscis of the
moth Helicoverpa armigera, we revealed the presence of orthologue proteins in two
other moths Plutella xylostella and Chilo suppressalis, as well as two
butterflies Papilio machaon and Pieris rapae, using immunodetection and proteomic
analysis. The unusual conservation of these proteins across large phylogenetic
distances indicated a common specific function for these CSPs. This fact prompted
us to search for other functions of these proteins and discovered that CSPs are
abundantly expressed in the eyes of H. armigera and possibly involved as carriers
for carotenoids and visual pigments. This hypothesis is supported by ligand
binding experiments and docking simulations with retinol and beta-carotene. This
last orange pigment, occurring in many fruits and vegetables, is an antioxidant
and the precursor of visual pigments. We propose that structurally related CSPs
solubilise nutritionally important carotenoids in the proboscis, while they act
as carriers of both beta-carotene and its derived products 3-hydroxyretinol and 3
hydroxyretinal in the eye. The use of soluble olfactory proteins, such as CSPs,
as carriers for visual pigments in insects, here reported for the first time,
parallels the function of retinol-binding protein in vertebrates, a lipocalin
structurally related to vertebrate odorant-binding proteins.
PMID- 27877093
TI - Adolescent Clinical Development of Ezogabine/Retigabine as Adjunctive Therapy for
Partial-Onset Seizures: Pharmacokinetics and Tolerability.
AB - OBJECTIVES: To explore the pharmacokinetic (PK) profile and safety of ezogabine
(EZG)/retigabine (RTG) as adjunctive therapy for uncontrolled partial-onset
seizures (POS) in adolescents. METHODS: In this multiple-dose study
(NCT01494584), adolescents with POS received EZG/RTG immediate-release tablets
three times daily (TID) as adjunctive therapy to 1 to 3 concurrent antiepileptic
drugs. The study comprised a screening phase, and a 5- to 8-week treatment phase
starting with 100 mg TID up-titrated once weekly by <=50 mg TID to a maximum
dosage of 300 mg TID. There were 8 venous blood samples and 2 finger-prick blood
samples collected for PK analysis during 8-hour time periods at the target
dosages of 100, 200, and 300 mg TID. RESULTS: This study was terminated
prematurely on US Food and Drug Administration advice due to
pigmentation/discoloration findings in long-term, open-label extension studies in
adults. Five participants (ages 13-16 years) had enrolled in the study. For the
EZG/RTG 100-, 200-, and 300-mg doses, the area under the concentration-time curve
during the dosage intervals was 1680, 2559, and 3784 ng/hr/mL; maximum plasma
concentrations were 370, 536, and 751 ng/mL, and minimum plasma concentrations
were 105, 200, and 287 ng/mL, respectively. Venous and finger-prick
concentrations of EZG/RTG were similar. No significant adverse events were
observed during treatment (133-213 days). CONCLUSIONS: EZG/RTG PK appeared linear
across the dosage range of 100 to 300 mg TID in adolescents with POS, and were
consistent with adult observations. The small sample size and short study
duration preclude conclusions regarding the safety and efficacy of EZG/RTG.
PMID- 27877094
TI - Raman Spectroscopy: A Sensitive and Specific Technique for Determining the
Accuracy of Compounded Pharmaceutical Formulations.
AB - OBJECTIVES: Raman spectroscopy is a widely used technology to identify chemical
unknowns or confirm chemical identity. We have tested Raman spectrometry to
identify compounded pharmaceutical formulations. In contrast to the commonly used
application mentioned above, compounded pharmaceutical formulations contain a
mixture of ingredients, and the Raman spectrometer is being used to correctly
identify the composition of the complete pharmaceutical formulation, including
the active pharmaceutical ingredient(s). The objective of this pilot study was to
document the potential use of Raman spectroscopy as a tool to provide quality
control to compounded pharmaceutical formulations. METHODS: "Testing a test"
study design was used to prospectively determine whether Raman spectroscopy could
verify the accuracy of compounded pharmaceutical formulations. A total of 9
formulations that are commonly compounded at Cook Children's Health Center were
selected for testing. Each of the 9 formulations and 2 blank controls were
randomly tested for compounding accuracy in replicate. A total of 110 tests were
conducted. RESULTS: Raman spectroscopy was found to be a reliable test to
determine the accuracy of compounded pharmaceutical formulations with a 100%
positive predictive value. CONCLUSIONS: Raman spectroscopy promises to be an
excellent tool for compounding pharmacies to provide an objective measure of
compounding accuracy to their unique, compounded pharmaceutical formulations.
PMID- 27877095
TI - Evaluation of the Tolerability of Intermittent Intravenous Sildenafil in
Pediatric Patients With Pulmonary Hypertension.
AB - OBJECTIVES: The primary purpose of this study was to determine the tolerability
of intermittent intravenous (IV) sildenafil for the treatment of pulmonary
hypertension in pediatric patients. Secondary objectives were to evaluate
parameters related to efficacy. METHODS: This was a retrospective chart review
from January 2013 to August 2014 of pediatric patients under age 18 years treated
with intermittent doses of IV sildenafil for pulmonary hypertension. Patients
were excluded if they were over age 18 years or received sildenafil for other
indications. Measures collected to assess tolerability include blood pressure and
heart rate before and after the administration of IV sildenafil, as well as
adverse events. RESULTS: Thirty-seven patients (21 females and 16 males) were
identified meeting inclusion criteria, and 21 (56.8%) were on oral sildenafil
prior to the initial IV dose. The mean decrease in blood pressure after the first
dose of IV sildenafil was 7.16/2.74 mmHg. The decrease in systolic blood pressure
was statistically significant. During the study period, 5 patients experienced
medication related adverse events, primarily hypotension. Despite this, none of
the patients had the medication discontinued due to these events. For secondary
objectives, a statistically significant difference was not found between other
clinical measures before and after intermittent IV sildenafil dosing.
CONCLUSIONS: Sildenafil, when administered as intermittent IV doses, was
tolerated by the majority of patients evaluated in this study. For pediatric
patients with pulmonary hypertension in whom enteral or continuous IV sildenafil
cannot be administered, intermittent IV sildenafil may be considered as an
alternative administration option.
PMID- 27877096
TI - A Prospective Pilot Study on the Systemic Absorption of Oral Vancomycin in
Children With Colitis.
AB - BACKGROUND: Oral vancomycin is used to treat refractory colitis due to
Clostridium dificile infection. Traditionally, oral vancomycin was thought to not
be absorbed systemically, but recent adult studies have demonstrated detectable
serum levels in over half of patients with severe colitis. This has not been
studied in children. OBJECTIVE: To determine the absorption of oral vancomycin
and the renal safety profile of oral vancomycin in children hospitalized with
colitis. METHODS: We performed a prospective, observational, pilot proof of
principle study at the North Carolina Children's Hospital in patients 2 years to
18 years of age receiving oral vancomycin for the treatment of C dificile
colitis. Severity of disease was determined using a validated scoring system.
Serial serum vancomycin levels and renal function tests were performed during the
administration of oral vancomycin. RESULTS: All patients enrolled (n = 8) had
mild to moderate C dificile colitis and varying severity of underlying systemic
diseases; 7 with inflammatory bowel disease and 1 with acute kidney injury
following renal transplantation. No enrolled patients had detectable levels of
serum vancomycin. Additionally, no adverse renal outcomes were attributed to oral
vancomycin, and no cases of "Red Man" syndrome were observed. CONCLUSIONS: Unlike
studies in adult patients, oral vancomycin is likely not absorbed in children
with mild to moderate colitis. Further study is needed to determine the
pharmacokinetics in severe colitis and those with severe illness in a critical
care setting.
PMID- 27877097
TI - Preoperative Antibiotic Orders: Protocol-Initiated Pharmacist Order Entry.
AB - OBJECTIVE: To evaluate the antibiotic selection of preoperative orders before and
after a pharmacist order entry protocol for patients with methicillin-resistant
Staphylococcus aureus (MRSA) colonization. METHODS: A retrospective chart review
of orthopedic surgery procedures on patients with MRSA colonization at a free
standing, academic pediatric hospital, between February 2010 and February 2012.
RESULTS: Procedures that were performed pre protocol (n = 27) implementation had
a 63% rate of appropriate antibiotic selection compared to 81% in the
postprotocol group (n = 32; p = 0.1155). The preprotocol group dose accuracy was
96% compared to 97% in the postprotocol group (p = 0.81). Two procedures, 1 in
each group, were redosed appropriately for extended surgery duration. Correct
timing of antibiotic administration occurred in 82% of cases pre protocol versus
68% post protocol (p = 0.42). CONCLUSIONS: Patients with MRSA colonization had a
greater rate of appropriate drug selection after the implementation of a
pharmacist-initiated preoperative protocol. Correct antibiotic dose and redose
remained consistent between the study groups. Most of the orthopedic procedures
performed included patients on antibiotic coverage at steady state for ongoing
infections, which impacted the analysis of preoperative timing. Further studies
should be conducted to assess whether the increase in the number of appropriate
antibiotic selections decreases the rate of postoperative MRSA infections.
PMID- 27877098
TI - Accidental Epidural Injection of Rocuronium in a Pediatric Patient: A Case Report
and Literature Review.
AB - Accidental administration of non-epidural drugs into the epidural or subarachnoid
spaces may be associated with unexpected pain, morbidity, adverse effects,
increased level of care, prolonged hospital stay, and mortality. We describe a 12
month-old admitted for secondary-stage hypospadias reconstruction. General
anesthesia was induced with sevofiurane and a peripheral catheter was placed.
Instead of ropivacaine, rocuronium (80 mg; 6.3 mg/kg) was injected into the
epidural space by the caudal route. Surgery was uneventful and was completed 160
minutes after rocuronium was given. The patient exhibited paralysis with 1 of 4
twitches to the train-of-four with some posttetanic potentiation at the end of
surgery. He was transferred to the pediatric intensive care unit for supportive
ventilation and recovery. He did not experience oxygen desaturation or
hypoventilation between the time of rocuronium administration and intubation. He
was hemodynamically stable, without respiratory insufficiency, and his neurologic
exam was normal, without motor or sensorial block. The patient was discharged
home on the morning of the first postoperative day. Clinical examination 1 week
after surgery revealed no lasting sequelae from the error.
PMID- 27877099
TI - Asthma Review for Pharmacists Providing Asthma Education.
AB - Asthma is the most common pediatric illness affecting more than 6 million
children in the United States. Children with asthma have more frequent office
visits and hospitalizations compared with adults. Despite advances in therapies,
asthma still has a significant effect on the health care system. Regardless of
the setting, pharmacists are uniquely equipped with an intimate knowledge of
medications. With this knowledge, they can provide education to patients at
various points throughout the health care system, from hospitalization to office
visits to point of pick up at the pharmacy. The goal of this article is to equip
the pharmacist with the necessary knowledge to provide education to these
patients in a variety of practice settings, including community pharmacies,
ambulatory care settings, and during transitions in care.
PMID- 27877092
TI - Pediatric Statin Administration: Navigating a Frontier with Limited Data.
AB - Increasingly, children and adolescents with dyslipidemia qualify for
pharmacologic intervention. As they are for adults, 3-hydroxy-3-methyl-glutaryl
coenzyme A reductase inhibitors (statins) are the mainstay of pediatric
dyslipidemia treatment when lifestyle modifications have failed. Despite the
overall success of these drugs, the magnitude of variability in dose-exposure
response profiles contributes to adverse events and treatment failure. In
children, the cause of treatment failures remains unclear. This review describes
the updated guidelines for screening and management of pediatric dyslipidemia and
statin disposition pathway to assist the provider in recognizing scenarios where
alterations in dosage may be warranted to meet patients' specific needs.
PMID- 27877100
TI - Striatal and Tegmental Neurons Code Critical Signals for Temporal-Difference
Learning of State Value in Domestic Chicks.
AB - To ensure survival, animals must update the internal representations of their
environment in a trial-and-error fashion. Psychological studies of associative
learning and neurophysiological analyses of dopaminergic neurons have suggested
that this updating process involves the temporal-difference (TD) method in the
basal ganglia network. However, the way in which the component variables of the
TD method are implemented at the neuronal level is unclear. To investigate the
underlying neural mechanisms, we trained domestic chicks to associate color cues
with food rewards. We recorded neuronal activities from the medial striatum or
tegmentum in a freely behaving condition and examined how reward omission changed
neuronal firing. To compare neuronal activities with the signals assumed in the
TD method, we simulated the behavioral task in the form of a finite sequence
composed of discrete steps of time. The three signals assumed in the simulated
task were the prediction signal, the target signal for updating, and the TD-error
signal. In both the medial striatum and tegmentum, the majority of recorded
neurons were categorized into three types according to their fitness for three
models, though these neurons tended to form a continuum spectrum without distinct
differences in the firing rate. Specifically, two types of striatal neurons
successfully mimicked the target signal and the prediction signal. A linear
summation of these two types of striatum neurons was a good fit for the activity
of one type of tegmental neurons mimicking the TD-error signal. The present study
thus demonstrates that the striatum and tegmentum can convey the signals
critically required for the TD method. Based on the theoretical and
neurophysiological studies, together with tract-tracing data, we propose a novel
model to explain how the convergence of signals represented in the striatum could
lead to the computation of TD error in tegmental dopaminergic neurons.
PMID- 27877102
TI - Inhibition of GABAergic Neurotransmission by HIV-1 Tat and Opioid Treatment in
the Striatum Involves MU-Opioid Receptors.
AB - Due to combined antiretroviral therapy (cART), human immunodeficiency virus type
1 (HIV-1) is considered a chronic disease with high prevalence of mild forms of
neurocognitive impairments, also referred to as HIV-associated neurocognitive
disorders (HAND). Although opiate drug use can exacerbate HIV-1 Tat-induced
neuronal damage, it remains unknown how and to what extent opioids interact with
Tat on the GABAergic system. We conducted whole-cell recordings in mouse striatal
slices and examined the effects of HIV-1 Tat in the presence and absence of
morphine (1 MUM) and damgo (1 MUM) on GABAergic neurotransmission. Results
indicated a decrease in the frequency and amplitude of spontaneous inhibitory
postsynaptic currents (sIPSCs) and miniature IPSCs (mIPSCs) by Tat (5-50 nM) in a
concentration-dependent manner. The significant Tat-induced decrease in IPSCs was
abolished when removing extracellular and/or intracellular calcium. Treatment
with morphine or damgo alone significantly decreased the frequency, but not
amplitude of IPSCs. Interestingly, morphine but not damgo indicated an additional
downregulation of the mean frequency of mIPSCs in combination with Tat.
Pretreatment with naloxone (1 MUM) and CTAP (1 MUM) prevented the Tat-induced
decrease in sIPSCs frequency but only naloxone prevented the combined Tat and
morphine effect on mIPSCs frequency. Results indicate a Tat- or opioid-induced
decrease in GABAergic neurotransmission via MU-opioid receptors with combined Tat
and morphine effects involving additional opioid receptor-related mechanisms.
Exploring the interactions between Tat and opioids on the GABAergic system may
help to guide future research on HAND in the context of opiate drug use.
PMID- 27877103
TI - Neural Underpinnings of Decision Strategy Selection: A Review and a Theoretical
Model.
AB - In multi-attribute choice, decision makers use decision strategies to arrive at
the final choice. What are the neural mechanisms underlying decision strategy
selection? The first goal of this paper is to provide a literature review on the
neural underpinnings and cognitive models of decision strategy selection and thus
set the stage for a neurocognitive model of this process. The second goal is to
outline such a unifying, mechanistic model that can explain the impact of
noncognitive factors (e.g., affect, stress) on strategy selection. To this end,
we review the evidence for the factors influencing strategy selection, the neural
basis of strategy use and the cognitive models of this process. We also present
the Bottom-Up Model of Strategy Selection (BUMSS). The model assumes that the use
of the rational Weighted Additive strategy and the boundedly rational heuristic
Take The Best can be explained by one unifying, neurophysiologically plausible
mechanism, based on the interaction of the frontoparietal network, orbitofrontal
cortex, anterior cingulate cortex and the brainstem nucleus locus coeruleus.
According to BUMSS, there are three processes that form the bottom-up mechanism
of decision strategy selection and lead to the final choice: (1) cue weight
computation, (2) gain modulation, and (3) weighted additive evaluation of
alternatives. We discuss how these processes might be implemented in the brain,
and how this knowledge allows us to formulate novel predictions linking strategy
use and neural signals.
PMID- 27877104
TI - Sweet Taste Receptor Serves to Activate Glucose- and Leptin-Responsive Neurons in
the Hypothalamic Arcuate Nucleus and Participates in Glucose Responsiveness.
AB - The hypothalamic feeding center plays an important role in energy homeostasis. In
the feeding center, whole-body energy signals including hormones and nutrients
are sensed, processed, and integrated. As a result, food intake and energy
expenditure are regulated. Two types of glucose-sensing neurons exist in the
hypothalamic arcuate nucleus (ARC): glucose-excited neurons and glucose-inhibited
neurons. While some molecules are known to be related to glucose sensing in the
hypothalamus, the mechanisms underlying glucose sensing in the hypothalamus are
not fully understood. The sweet taste receptor is a heterodimer of taste type 1
receptor 2 (T1R2) and taste type 1 receptor 3 (T1R3) and senses sweet tastes.
T1R2 and T1R3 are distributed in multiple organs including the tongue, pancreas,
adipose tissue, and hypothalamus. However, the role of sweet taste receptors in
the ARC remains to be clarified. To examine the role of sweet taste receptors in
the ARC, cytosolic Ca2+ concentration ([Ca2+]i) in isolated single ARC neurons
were measured using Fura-2 fluorescent imaging. An artificial sweetener,
sucralose at 10-5-10-2 M dose dependently increased [Ca2+]i in 12-16% of ARC
neurons. The sucralose-induced [Ca2+]i increase was suppressed by a sweet taste
receptor inhibitor, gurmarin. The sucralose-induced [Ca2+]i increase was
inhibited under an extracellular Ca2+-free condition and in the presence of an L
type Ca2+ channel blocker, nitrendipine. Sucralose-responding neurons were
activated by high-concentration of glucose. This response to glucose was markedly
suppressed by gurmarin. More than half of sucralose-responding neurons were
activated by leptin but not ghrelin. Percentages of proopiomelanocortin (POMC)
neurons among sucralose-responding neurons and sweet taste receptor expressing
neurons were low, suggesting that majority of sucralose-responding neurons are
non-POMC neurons. These data suggest that sweet taste receptor-mediated cellular
activation mainly occurs on non-POMC leptin-responding neurons and contributes to
glucose responding. Endogenous sweet molecules including glucose may regulate
energy homeostasis through sweet taste receptors on glucose-and leptin-responsive
neurons in the ARC.
PMID- 27877101
TI - From Molecules to the Clinic: Linking Schizophrenia and Metabolic Syndrome
through Sphingolipids Metabolism.
AB - Metabolic syndrome (MS) is a prevalent and severe comorbidity observed in
schizophrenia (SZ). The exact nature of this association is controversial and
very often accredited to the effects of psychotropic medications and disease
induced life-style modifications, such as inactive lifestyle, poor dietary
choices, and smoking. However, drug therapy and disease-induced lifestyle factors
are likely not the only factors contributing to the observed converging nature of
these conditions, since an increased prevalence of MS is also observed in first
episode and drug-naive psychosis populations. MS and SZ share common intrinsic
susceptibility factors and etiopathogenic mechanisms, which may change the way we
approach clinical management of SZ patients. Among the most relevant common
pathogenic pathways of SZ and MS are alterations in the sphingolipids (SLs)
metabolism and SLs homeostasis. SLs have important structural functions as they
participate in the formation of membrane "lipid rafts." SLs also play
physiological roles in cell differentiation, proliferation, and inflammatory
processes, which might be part of MS/SZ common pathophysiological processes. In
this article we review a plausible mechanism to explain the link between MS and
SZ through a disruption in SL homeostasis. Additionally, we provide insights on
how this hypothesis can lead to the developing of new diagnostic/therapeutic
technologies for SZ patients.
PMID- 27877105
TI - Extended Postnatal Brain Development in the Longest-Lived Rodent: Prolonged
Maintenance of Neotenous Traits in the Naked Mole-Rat Brain.
AB - The naked mole-rat (NMR) is the longest-lived rodent with a maximum lifespan >31
years. Intriguingly, fully-grown naked mole-rats (NMRs) exhibit many traits
typical of neonatal rodents. However, little is known about NMR growth and
maturation, and we question whether sustained neotenous features when compared to
mice, reflect an extended developmental period, commensurate with their
exceptionally long life. We tracked development from birth to 3 years of age in
the slowest maturing organ, the brain, by measuring mass, neural stem cell
proliferation, axonal, and dendritic maturation, synaptogenesis and myelination.
NMR brain maturation was compared to data from similar sized rodents, mice, and
to that of long-lived mammals, humans, and non-human primates. We found that at
birth, NMR brains are significantly more developed than mice, and rather are more
similar to those of newborn primates, with clearly laminated hippocampi and
myelinated white matter tracts. Despite this more mature brain at birth than
mice, postnatal NMR brain maturation occurs at a far slower rate than mice,
taking four-times longer than required for mice to fully complete brain
development. At 4 months of age, NMR brains reach 90% of adult size with stable
neuronal cytostructural protein expression whereas myelin protein expression does
not plateau until 9 months of age in NMRs, and synaptic protein expression
continues to change throughout the first 3 years of life. Intriguingly, NMR
axonal composition is more similar to humans than mice whereby NMRs maintain
expression of three-repeat (3R) tau even after brain growth is complete; mice
experience an abrupt downregulation of 3R tau by postnatal day 8 which continues
to diminish through 6 weeks of age. We have identified key ages in NMR cerebral
development and suggest that the long-lived NMR may provide neurobiologists an
exceptional model to study brain developmental processes that are compressed in
common short-lived laboratory animal models.
PMID- 27877107
TI - Training Deep Spiking Neural Networks Using Backpropagation.
AB - Deep spiking neural networks (SNNs) hold the potential for improving the latency
and energy efficiency of deep neural networks through data-driven event-based
computation. However, training such networks is difficult due to the non
differentiable nature of spike events. In this paper, we introduce a novel
technique, which treats the membrane potentials of spiking neurons as
differentiable signals, where discontinuities at spike times are considered as
noise. This enables an error backpropagation mechanism for deep SNNs that follows
the same principles as in conventional deep networks, but works directly on spike
signals and membrane potentials. Compared with previous methods relying on
indirect training and conversion, our technique has the potential to capture the
statistics of spikes more precisely. We evaluate the proposed framework on
artificially generated events from the original MNIST handwritten digit
benchmark, and also on the N-MNIST benchmark recorded with an event-based dynamic
vision sensor, in which the proposed method reduces the error rate by a factor of
more than three compared to the best previous SNN, and also achieves a higher
accuracy than a conventional convolutional neural network (CNN) trained and
tested on the same data. We demonstrate in the context of the MNIST task that
thanks to their event-driven operation, deep SNNs (both fully connected and
convolutional) trained with our method achieve accuracy equivalent with
conventional neural networks. In the N-MNIST example, equivalent accuracy is
achieved with about five times fewer computational operations.
PMID- 27877108
TI - Cerebral Ketone Body Oxidation Is Facilitated by a High Fat Diet Enriched with
Advanced Glycation End Products in Normal and Diabetic Rats.
AB - Diabetes mellitus (DM) causes important modifications in the availability and use
of different energy substrates in various organs and tissues. Similarly, dietary
manipulations such as high fat diets also affect systemic energy metabolism.
However, how the brain adapts to these situations remains unclear. To investigate
these issues, control and alloxan-induced type I diabetic rats were fed either a
standard or a high fat diet enriched with advanced glycation end products (AGEs)
(HAGE diet). The HAGE diet increased their levels of blood ketone bodies, and
this effect was exacerbated by DM induction. To determine the effects of diet
and/or DM induction on key cerebral bioenergetic parameters, both ketone bodies
(beta-hydroxybutyric acid) and lactate oxidation were measured. In parallel, the
expression of Monocarboxylate Transporter 1 (MCT1) and 2 (MCT2) isoforms in
hippocampal and cortical slices from rats submitted to these diets was assessed.
Ketone body oxidation increased while lactate oxidation decreased in hippocampal
and cortical slices in both control and diabetic rats fed a HAGE diet. In
parallel, the expression of both MCT1 and MCT2 increased only in the cerebral
cortex in diabetic rats fed a HAGE diet. These results suggest a shift in the
preferential cerebral energy substrate utilization in favor of ketone bodies in
animals fed a HAGE diet, an effect that, in DM animals, is accompanied by the
enhanced expression of the related transporters.
PMID- 27877109
TI - NPAS3 Regulates Transcription and Expression of VGF: Implications for
Neurogenesis and Psychiatric Disorders.
AB - Neuronal PAS domain protein 3 (NPAS3) and VGF (VGF Nerve Growth Factor (NGF)
Inducible) are important for neurogenesis and psychiatric disorders. Previously,
we have demonstrated that NPAS3 regulates VGF at the transcriptional level. In
this study, VGF (non-acronymic) was found regulated by NPAS3 in neuronal stem
cells. However, the underlying mechanism of this regulation remains unclear. The
aim of this study was to explore the correlation of NPAS3 and VGF, and their
roles in neural cell proliferation, in the context of psychiatric illnesses.
First, we focused on the structure of NPAS3, to identify the functional domain of
NPAS3. Truncated NPAS3 lacking transactivation domain was also found to activate
VGF, which suggested that not only transactivation domain but other structural
motifs were also involved in the regulation. Second, Mutated enhancer box (E-box)
of VGF promoter showed a significant response to this basic helix-loop-helix
(bHLH) transcription factor, which suggested an indirect regulatory mechanism for
controlling VGF expression by NPAS3. kappaB site within VGF promoter was
identified for VGF activation induced by NPAS3, apart from direct binding to E
box. Furthermore, ectopically expressed NPAS3 in PC12 cells produced parallel
responses for nuclear factor kappa-light-chain-enhancer of activated B cells [NF
kappaB (P65)] expression, which specifies that NPAS3 regulates VGF through the NF
kappaB signaling pathway. Over-expression of NPAS3 also enhances the cell
proliferation, which can be blocked by knockdown of VGF. Finally, NPAS3 was found
to influence proliferation of neural cells through VGF. Therefore, downstream
signaling pathways that are responsible for NPAS3-VGF induced proliferation via
glutamate receptors were explored. Combining this work and published literature,
a potential network composed by NPAS3, NF-kappaB, Brain-Derived Neurotrophic
Factor (BDNF), NGF and VGF, was proposed. This network collectively detailed how
NPAS3 connects with VGF and intersected neural cell proliferation, synaptic
activity and psychiatric disorders.
PMID- 27877106
TI - The Language, Tone and Prosody of Emotions: Neural Substrates and Dynamics of
Spoken-Word Emotion Perception.
AB - Rapid assessment of emotions is important for detecting and prioritizing salient
input. Emotions are conveyed in spoken words via verbal and non-verbal channels
that are mutually informative and unveil in parallel over time, but the neural
dynamics and interactions of these processes are not well understood. In this
paper, we review the literature on emotion perception in faces, written words,
and voices, as a basis for understanding the functional organization of emotion
perception in spoken words. The characteristics of visual and auditory routes to
the amygdala-a subcortical center for emotion perception-are compared across
these stimulus classes in terms of neural dynamics, hemispheric lateralization,
and functionality. Converging results from neuroimaging, electrophysiological,
and lesion studies suggest the existence of an afferent route to the amygdala and
primary visual cortex for fast and subliminal processing of coarse emotional face
cues. We suggest that a fast route to the amygdala may also function for brief
non-verbal vocalizations (e.g., laugh, cry), in which emotional category is
conveyed effectively by voice tone and intensity. However, emotional prosody
which evolves on longer time scales and is conveyed by fine-grained spectral cues
appears to be processed via a slower, indirect cortical route. For verbal
emotional content, the bulk of current evidence, indicating predominant left
lateralization of the amygdala response and timing of emotional effects
attributable to speeded lexical access, is more consistent with an indirect
cortical route to the amygdala. Top-down linguistic modulation may play an
important role for prioritized perception of emotions in words. Understanding the
neural dynamics and interactions of emotion and language perception is important
for selecting potent stimuli and devising effective training and/or treatment
approaches for the alleviation of emotional dysfunction across a range of
neuropsychiatric states.
PMID- 27877110
TI - Forward Genetic Screen in Caenorhabditis elegans Suggests F57A10.2 and acp-4 As
Suppressors of C9ORF72 Related Phenotypes.
AB - An abnormally expanded GGGGCC repeat in C9ORF72 is the most frequent causal
mutation associated with amyotrophic lateral sclerosis (ALS)/frontotemporal lobar
degeneration (FTLD). Both gain-of-function (gf) and loss-of-function (lf)
mechanisms have been involved in C9ORF72 related ALS/FTLD. The gf mechanism of
C9ORF72 has been studied in various animal models but not in C. elegans. In the
present study, we described mutant C9ORF72 modeling in C. elegans and report the
finding of two suppressor genes. We made transgenes containing 9 or 29 repeats of
GGGGCC in C9ORF72, driven by either the hsp-16 promoters or the unc-119 promoter.
Transgenic worms were made to carry such transgenes. Phenotypic analysis of those
animals revealed that Phsp-16::(G4C2)29::GFP transgenic animals (EAB 135)
displayed severe paralysis by the second day of adulthood, followed by lethality,
which phenotypes were less severe in Phsp-16::(G4C2)9::GFP transgenic animals
(EAB242), and absent in control strains expressing empty vectors. Suppressor
genes of this locomotor phenotype were pursued by introducing mutations with
ethyl methanesulfonate in EAB135, screening mutant strains that moved faster than
EAB135 by a food-ring assay, identifying mutations by whole-genome sequencing and
testing the underlying mechanism of the suppressor genes either by employing RNA
interference studies or C. elegans genetics. Three mutant strains, EAB164, EAB165
and EAB167, were identified. Eight suppressor genes carrying nonsense/canonical
splicing site mutations were confirmed, among which a nonsense mutation of
F57A10.2/VAMP was found in all three mutant strains, and a nonsense mutation of
acp-4/ACP2 was only found in EAB164. Knock down/out of those two genes in EAB135
animals by feeding RNAi/introducing a known acp-4 null allele phenocopied the
suppression of the C9ORF72 variant related movement defect in the mutant strains.
Translational conformation in a mammalian system is required, but our worm data
suggest that altering acp-4/ACP2 encoding lysosomal acid phosphatase may provide
a potential therapeutic method of reducing acp-4/ACP2 levels, as opposed or
complementary to directly reducing C9ORF72, to relieve C9ORF72-ALS phenotypes. It
also suggests that the C9ORF72-ALS/FTLD may share a pathophysiologic mechanism
with vesicle-associated membrane protein-associated protein B, a homolog of
F57A10.2/VAMP, which is a proven ALS8 gene.
PMID- 27877111
TI - Polymerizing Pyrrole Coated Poly (l-lactic acid-co-epsilon-caprolactone) (PLCL)
Conductive Nanofibrous Conduit Combined with Electric Stimulation for Long-Range
Peripheral Nerve Regeneration.
AB - Electrospinning and electric stimulation (ES) are both promising methods to
support neuron adhesion and guide extension of neurons for nerve regeneration.
Concurrently, all studies focus on either electrospinning for conduits material
or ES in vitro study to accelerate nerve regeneration; few work on the combined
use of these two strategies or ES in vivo study. Therefore, this study aimed to
investigate the abilities of direct current ES through electrospinning conductive
polymer composites composed of polypyrrole and Poly (l-lactic acid-co-epsilon
caprolactone) (PPY/PLCL) in peripheral nerve regeneration. PPY/PLCL composite
conduits were synthesized by polymerizing pyrrole coated electrospun PLCL
scaffolds. Morphologies and chemical compositions were characterized by scanning
electron microscope and attenuated total reflection fourier transform infrared
(ATR-FTIR) microscope. Rat pheochromocytoma 12 (PC12) cells and dorsal root
ganglia (DRG) cells cultured on PPY/PLCL scaffolds were stimulated with 100 mV/cm
for 4 h per day. The median neurite length and cell viability were measured in PC
12 cells. The levels of brain-derived neurotrophic factor (BDNF), glial cell
derived neurotrophic factor (GDNF) and neurotrophin-3 (NT-3) were analyzed in DRG
cells. In rats, 15 mm gaps of sciatic nerves were bridged using an autograft, non
stimulated PPY/PLCL conduit and PPY/PLCL conduit stimulated with 100 mV
potential, respectively. A 100 mV potential direct current ES was applied for 1 h
per day at 1, 3, 5 and 7 days post-implantation. The PPY/PLCL conduits with ES
showed a similar performance compared with the autograft group, and significantly
better than the non-stimulated PPY/PLCL conduit group. These promising results
show that the PPY/PLCL conductive conduits' combined use with ES has great
potential for peripheral nerve regeneration.
PMID- 27877112
TI - Internal Cholinergic Regulation of Learning and Recall in a Model of Olfactory
Processing.
AB - In the olfactory system, cholinergic modulation has been associated with contrast
modulation and changes in receptive fields in the olfactory bulb, as well the
learning of odor associations in olfactory cortex. Computational modeling and
behavioral studies suggest that cholinergic modulation could improve sensory
processing and learning while preventing pro-active interference when task
demands are high. However, how sensory inputs and/or learning regulate incoming
modulation has not yet been elucidated. We here use a computational model of the
olfactory bulb, piriform cortex (PC) and horizontal limb of the diagonal band of
Broca (HDB) to explore how olfactory learning could regulate cholinergic inputs
to the system in a closed feedback loop. In our model, the novelty of an odor is
reflected in firing rates and sparseness of cortical neurons in response to that
odor and these firing rates can directly regulate learning in the system by
modifying cholinergic inputs to the system. In the model, cholinergic neurons
reduce their firing in response to familiar odors-reducing plasticity in the PC,
but increase their firing in response to novel odor-increasing PC plasticity.
Recordings from HDB neurons in awake behaving rats reflect predictions from the
model by showing that a subset of neurons decrease their firing as an odor
becomes familiar.
PMID- 27877113
TI - The Effects of Realistic Synaptic Distribution and 3D Geometry on Signal
Integration and Extracellular Field Generation of Hippocampal Pyramidal Cells and
Inhibitory Neurons.
AB - In vivo and in vitro multichannel field and somatic intracellular recordings are
frequently used to study mechanisms of network pattern generation. When
interpreting these data, neurons are often implicitly considered as
electrotonically compact cylinders with a homogeneous distribution of excitatory
and inhibitory inputs. However, the actual distributions of dendritic length,
diameter, and the densities of excitatory and inhibitory input are non-uniform
and cell type-specific. We first review quantitative data on the dendritic
structure and synaptic input and output distribution of pyramidal cells (PCs) and
interneurons in the hippocampal CA1 area. Second, using multicompartmental
passive models of four different types of neurons, we quantitatively explore the
effect of differences in dendritic structure and synaptic distribution on the
errors and biases of voltage clamp measurements of inhibitory and excitatory
postsynaptic currents. Finally, using the 3-dimensional distribution of dendrites
and synaptic inputs we calculate how different inhibitory and excitatory inputs
contribute to the generation of local field potential in the hippocampus. We
analyze these effects at different realistic background activity levels as
synaptic bombardment influences neuronal conductance and thus the propagation of
signals in the dendritic tree. We conclude that, since dendrites are
electrotonically long and entangled in 3D, somatic intracellular and field
potential recordings miss the majority of dendritic events in some cell types,
and thus overemphasize the importance of perisomatic inhibitory inputs and
belittle the importance of complex dendritic processing. Modeling results also
suggest that PCs and inhibitory neurons probably use different input integration
strategies. In PCs, second- and higher-order thin dendrites are relatively well
isolated from each other, which may support branch-specific local processing as
suggested by studies of active dendritic integration. In the electrotonically
compact parvalbumin- and cholecystokinincontaining interneurons, synaptic events
are visible in the whole dendritic arbor, and thus the entire dendritic tree may
form a single integrative element. Calretinin-containing interneurons were found
to be electrotonically extended, which suggests the possibility of complex
dendritic processing in this cell type. Our results also highlight the need for
the integration of methods that allow the measurement of dendritic processes into
studies of synaptic interactions and dynamics in neural networks.
PMID- 27877115
TI - Insights into Brain Architectures from the Homological Scaffolds of Functional
Connectivity Networks.
AB - In recent years, the application of network analysis to neuroimaging data has
provided useful insights about the brain's functional and structural organization
in both health and disease. This has proven a significant paradigm shift from the
study of individual brain regions in isolation. Graph-based models of the brain
consist of vertices, which represent distinct brain areas, and edges which encode
the presence (or absence) of a structural or functional relationship between each
pair of vertices. By definition, any graph metric will be defined upon this
dyadic representation of the brain activity. It is however unclear to what extent
these dyadic relationships can capture the brain's complex functional
architecture and the encoding of information in distributed networks. Moreover,
because network representations of global brain activity are derived from
measures that have a continuous response (i.e., interregional BOLD signals), it
is methodologically complex to characterize the architecture of functional
networks using traditional graph-based approaches. In the present study, we
investigate the relationship between standard network metrics computed from
dyadic interactions in a functional network, and a metric defined on the
persistence homological scaffold of the network, which is a summary of the
persistent homology structure of resting-state fMRI data. The persistence
homological scaffold is a summary network that differs in important ways from the
standard network representations of functional neuroimaging data: (i) it is
constructed using the information from all edge weights comprised in the original
network without applying an ad hoc threshold and (ii) as a summary of persistent
homology, it considers the contributions of simplicial structures to the network
organization rather than dyadic edge-vertices interactions. We investigated the
information domain captured by the persistence homological scaffold by computing
the strength of each node in the scaffold and comparing it to local graph metrics
traditionally employed in neuroimaging studies. We conclude that the persistence
scaffold enables the identification of network elements that may support the
functional integration of information across distributed brain networks.
PMID- 27877114
TI - Ontogenetic Development of Vestibulo-Ocular Reflexes in Amphibians.
AB - Vestibulo-ocular reflexes (VOR) ensure gaze stability during locomotion and
passively induced head/body movements. In precocial vertebrates such as
amphibians, vestibular reflexes are required very early at the onset of locomotor
activity. While the formation of inner ears and the assembly of sensory-motor
pathways is largely completed soon after hatching, angular and translational/tilt
VOR display differential functional onsets and mature with different time
courses. Otolith-derived eye movements appear immediately after hatching, whereas
the appearance and progressive amelioration of semicircular canal-evoked eye
movements is delayed and dependent on the acquisition of sufficiently large
semicircular canal diameters. Moreover, semicircular canal functionality is also
required to tune the initially omnidirectional otolith-derived VOR. The tuning is
due to a reinforcement of those vestibulo-ocular connections that are co
activated by semicircular canal and otolith inputs during natural head/body
motion. This suggests that molecular mechanisms initially guide the basic
ontogenetic wiring, whereas semicircular canal-dependent activity is required to
establish the spatio-temporal specificity of the reflex. While a robust VOR is
activated during passive head/body movements, locomotor efference copies provide
the major source for compensatory eye movements during tail- and limb-based
swimming of larval and adult frogs. The integration of active/passive motion
related signals for gaze stabilization occurs in central vestibular neurons that
are arranged as segmentally iterated functional groups along rhombomere 1-8.
However, at variance with the topographic maps of most other sensory systems, the
sensory-motor transformation of motion-related signals occurs in segmentally
specific neuronal groups defined by the extraocular motor output targets.
PMID- 27877116
TI - Are Supramodality and Cross-Modal Plasticity the Yin and Yang of Brain
Development? From Blindness to Rehabilitation.
AB - Research in blind individuals has primarily focused for a long time on the brain
plastic reorganization that occurs in early visual areas. Only more recently,
scientists have developed innovative strategies to understand to what extent
vision is truly a mandatory prerequisite for the brain's fine morphological
architecture to develop and function. As a whole, the studies conducted to date
in sighted and congenitally blind individuals have provided ample evidence that
several "visual" cortical areas develop independently from visual experience and
do process information content regardless of the sensory modality through which a
particular stimulus is conveyed: a property named supramodality. At the same
time, lack of vision leads to a structural and functional reorganization within
"visual" brain areas, a phenomenon known as cross-modal plasticity. Cross-modal
recruitment of the occipital cortex in visually deprived individuals represents
an adaptative compensatory mechanism that mediates processing of non-visual
inputs. Supramodality and cross-modal plasticity appears to be the "yin and yang"
of brain development: supramodal is what takes place despite the lack of vision,
whereas cross-modal is what happens because of lack of vision. Here we provide a
critical overview of the research in this field and discuss the implications that
these novel findings have for the development of educative/rehabilitation
approaches and sensory substitution devices (SSDs) in sensory-impaired
individuals.
PMID- 27877118
TI - Contexts Paired with Junk Food Impair Goal-Directed Behavior in Rats:
Implications for Decision Making in Obesogenic Environments.
AB - The high prevalence of obesity and related metabolic diseases calls for greater
understanding of the factors that drive excess energy intake. Calorie-dense
palatable foods are readily available and often are paired with highly salient
environmental cues. These cues can trigger food-seeking and consumption in the
absence of hunger. Here we examined the effects of palatable food-paired
environmental cues on control of instrumental food-seeking behavior. In
Experiment 1, adult male rats received exposures to one context containing three
"junk" foods (JFs context) and another containing chow (Chow context). Next, rats
were food-deprived and trained to perform instrumental responses (lever-press)
for two novel food rewards in a third, distinct context. Contextual influences on
flexible control of food-seeking behavior were then assessed by outcome
devaluation tests held in the JF, chow and training contexts. Devaluation was
achieved using specific satiety and test order was counterbalanced. Rats
exhibited goal-directed control over behavior when tested in the training and
chow-paired contexts. Notably, performance was habitual (insensitive to
devaluation) when tested in the JF context. In Experiment 2 we tested whether the
impairment found in the JF context could be ameliorated by the presentation of a
discrete auditory cue paired with the chow context, relative to a second cue
paired with the JF context. Consistent with the results of Experiment 1, the
devaluation effect was not significant when rats were tested in the JF context
with the JF cue. However, presenting the chow cue increased the impact of the
devaluation treatment leading to a robust devaluation effect. Further tests
confirmed that performance in the chow context was goal-directed and that sensory
specific satiety in the JF context was intact. These results show that
environments paired with palatable foods can impair goal-directed control over
food-seeking behavior, but that this deficit was improved by a cue paired with
chow. This has promising implications for assisting individuals in controlling
their eating behavior in environments designed to dysregulate it.
PMID- 27877117
TI - General Habit Propensity Relates to the Sensation Seeking Subdomain of
Impulsivity But Not Obesity.
AB - According to dual-system theory, instrumental learning and performance depend on
the balance between goal-directed and habitual action control. Overreliance on
habits has been argued to characterize clinical conditions such as drug addiction
or obsessive-compulsive disorder as well as obesity and excessive impulsivity. A
tendency toward habitual action control in obesity has already been indicated in
the food domain. However, impairments might not be restricted to eating behavior.
This has been suggested by domain-general obesity-associated disturbances in
executive function as well as alterations in corticostriatal circuits underlying
the goal-directed and habitual systems. In this study we examined the balance of
goal-directed and habitual action control in a sample of normal-weight,
overweight, and obese participants (n = 105) using the slips-of-action test in a
non-food context. We tested for continuous or group-based associations between
body weight status (BMI) and the devaluation sensitivity index (DSI), a parameter
representing the balance of the goal-directed and habitual systems in action
control. As personality differences in the domain of impulsivity might affect
this relationship, we also examined whether the interaction between BMI and self
reported impulsivity, based on the UPPS Impulsive Behavior Scale, was related to
the DSI. In addition to that, we tested for direct, i.e., weight status
independent, relationships between UPPS subdomains of impulsivity and the DSI. We
failed to find evidence for a relationship between weight status and sensitivity
to devaluation as indexed by the DSI. However, independent of weight status, we
observed lower sensitivity to devaluation in sensation seekers, a subtype of
impulsivity. To conclude, behavioral flexibility in the sense of disturbances in
the balance between the habitual and goal-directed systems seems to be unaffected
by weight status in a non-food context. Consequently, stimuli and behavior might
not be generally excessively linked in overweight or obesity. However, according
to ceiling effects we cannot rule out subtle effects the paradigm was not able to
disentangle. Further, future studies are needed to clarify the role of specific
subtypes of obesity (e.g., food addiction). The indicated habit propensity in
sensation seekers may account for previous reports of weak avoidance behavior and
risky decision making.
PMID- 27877119
TI - Perseverative Cognition and Health Behaviors: A Systematic Review and Meta
Analysis.
AB - Recent developments in stress theory have emphasized the significance of
perseverative cognition (worry and rumination) in furthering our understanding of
stress-disease relationships. Substantial evidence has shown that perseverative
cognition (PC) is associated with somatic outcomes and numerous physiological
concomitants have been identified (i.e., cardiovascular, autonomic, and endocrine
nervous system activity parameters). However, there has been no synthesis of the
evidence regarding the association between PC and health behaviors. This is
important given such behaviors may also directly and/or indirectly influence
health and disease outcomes (triggered by PC). Therefore, the aim of the current
review was to synthesize available studies that have explored the relationship
between worry and rumination and health behaviors (health risk: behaviors which,
if performed, would be detrimental to health; health promoting: behaviors which,
if performed, would be beneficial for health). A systematic review and meta
analyses of the literature were conducted. Studies were included in the review if
they reported the association between PC and health behavior. Studies identified
in MEDLINE or PsycINFO (k = 7504) were screened, of which 19 studies met the
eligibility criteria. Random-effects meta-analyses suggested increased PC was
generally associated with increased health risk behaviors but not health
promoting behaviors. Further analyses indicated that increases in rumination (r =
0.122), but not reflection (r = -0.080), or worry (r = 0.048) were associated
with health risk behaviors. In conclusion, these results showed that increases in
PC are associated with increases in health risk behaviors (substance use, alcohol
consumption, unhealthy eating, and smoking) that are driven primarily through
rumination. These findings provide partial support for our hypothesis that in
Brosschot et al.'s (2006) original perseverative cognition hypothesis, there may
be scope for additional routes to pathogenic disease via poorer health behaviors.
PMID- 27877120
TI - Fronto-Parietal Contributions to Phonological Processes in Successful Artificial
Grammar Learning.
AB - Sensitivity to regularities plays a crucial role in the acquisition of various
linguistic features from spoken language input. Artificial grammar learning
paradigms explore pattern recognition abilities in a set of structured sequences
(i.e., of syllables or letters). In the present study, we investigated the
functional underpinnings of learning phonological regularities in auditorily
presented syllable sequences. While previous neuroimaging studies either focused
on functional differences between the processing of correct vs. incorrect
sequences or between different levels of sequence complexity, here the focus is
on the neural foundation of the actual learning success. During functional
magnetic resonance imaging (fMRI), participants were exposed to a set of syllable
sequences with an underlying phonological rule system, known to ensure
performance differences between participants. We expected that successful
learning and rule application would require phonological segmentation and phoneme
comparison. As an outcome of four alternating learning and test fMRI sessions,
participants split into successful learners and non-learners. Relative to non
learners, successful learners showed increased task-related activity in a fronto
parietal network of brain areas encompassing the left lateral premotor cortex as
well as bilateral superior and inferior parietal cortices during both learning
and rule application. These areas were previously associated with phonological
segmentation, phoneme comparison, and verbal working memory. Based on these
activity patterns and the phonological strategies for rule acquisition and
application, we argue that successful learning and processing of complex
phonological rules in our paradigm is mediated via a fronto-parietal network for
phonological processes.
PMID- 27877122
TI - Changes in Neural Activity Underlying Working Memory after Computerized Cognitive
Training in Older Adults.
AB - Computerized cognitive training (CCT) may counter the impact of aging on
cognition, but both the efficacy and neurocognitive mechanisms underlying CCT
remain controversial. In this study, 35 older individuals were randomly assigned
to Cogmed adaptive working memory (WM) CCT or an active control CCT, featuring
five weeks of five ~40 min sessions per week. Before and after the 5-week
intervention, event-related potentials were measured while subjects completed a
visual n-back task with three levels of demand (0-back, 1-back, 2-back). The
anterior P3a served as an index of directing attention and the posterior P3b as
an index of categorization/WM updating. We hypothesized that adaptive CCT would
be associated with decreased P3 amplitude at low WM demand and increased P3
amplitude at high WM demand. The adaptive CCT group exhibited a training-related
increase in the amplitude of the anterior P3a and posterior P3b in response to
target stimuli across n-back tasks, while subjects in the active control CCT
group demonstrated a post-training decrease in the anterior P3a. Performance did
not differ between groups or sessions. Larger overall P3 amplitudes were strongly
associated with better task performance. Increased post-CCT P3 amplitude
correlated with improved task performance; this relationship was especially
robust at high task load. Our findings suggest that adaptive WM training was
associated with increased orienting of attention, as indexed by the P3a, and the
enhancement of categorization/WM updating processes, as indexed by the P3b.
Increased P3 amplitude was linked to improved performance; however. there was no
direct association between adaptive training and improved performance.
PMID- 27877123
TI - Mobility and Upright Posture Are Associated with Different Aspects of Cognition
in Older Adults.
AB - Objectives: Aging is associated with cognitive decline, including visuomotor and
memory concerns, and with motor system changes, including gait slowing and
stooped posture. We investigated the associations of visuomotor performance and
episodic memory with motor system characteristics in healthy older adults.
Methods: Neurologically healthy older adults (N = 160, aged 50-89) completed a
battery of cognitive and motor tasks. Cognitive variables were grouped by
principal components analysis (PCA) into two components: visuomotor performance
and verbal episodic memory. Our primary predictor variables were two aspects of
motor function: timed-up-and-go (TUG) speed and neck angle. Additional predictor
variables included demographic factors (age, sex and education) and indicators of
physical fitness (body mass index/BMI and grip strength). All seven predictor
variables were entered stepwise into a multiple regression model for each
cognitive component. Results: Poor visuomotor performance was best predicted by a
combination of advanced age, high BMI and slow TUG, whereas poor verbal memory
performance was best predicted by a combination of advanced age, male sex, low
education and acute neck angle. Conclusions: Upright posture and mobility were
associated with different cognitive processes, suggesting different underlying
neural mechanisms. These results provide the first evidence for a link between
postural alignment and cognitive functioning in healthy older adults. Possible
causal relationships are discussed.
PMID- 27877125
TI - An Own-Age Bias in Recognizing Faces with Horizontal Information.
AB - Horizontal information, as a result of a selective filtering process, is
essential in younger adults' (YA) ability to recognize human faces. Obermeyer et
al. (2012) recently reported impaired recognition of faces with horizontal
information in older adults (OA) suggesting age-variant processing. Two yet
unconsidered factors (stimulus age and exposure duration) that may have
influenced previous results, were investigated in this study. Forty-seven YA (18
35 years) and 49 OA (62-83 years) were tested in a 2 * 2 * 2 * 2 mixed design
with the between-subjects factors age group (YA vs. OA) and stimulus age (young
faces vs. older faces) and the within-subjects factors filter [filtered (HF)
faces vs. unfiltered faces (UF)] and exposure duration (0.8 s vs. 8 s). Subjects
were presented morph videos between pairs of faces: a starting face gradually
merged into either the previously encoded target face or a control face. As
expected, results showed an increase in recognition sensitivity (d') with longer
exposure duration in YA with both younger and older HF faces. OA, however, were
unable to recognize filtered young faces not even with increased exposure
duration. Furthermore, only elderly participants showed more accurate recognition
with faces of their own age relative to other-age faces (own-age bias, OAB). For
YA no OAB was observed. Filtered face recognition was significantly correlated
with unfiltered recognition in YA but not in OA. It is concluded, that processing
of horizontal information changes at a higher age. Presenting filtered or
unfiltered faces both targets convergent face-specific processing only in YA but
not in OA.
PMID- 27877124
TI - Tau Structures.
AB - Tau is a microtubule-associated protein that plays an important role in axonal
stabilization, neuronal development, and neuronal polarity. In this review, we
focus on the primary, secondary, tertiary, and quaternary tau structures. We
describe the structure of tau from its specific residues until its conformation
in dimers, oligomers, and larger polymers in physiological and pathological
situations.
PMID- 27877126
TI - Recommendations for the Use of ICT in Elderly Populations with Affective
Disorders.
AB - Objective: Affective disorders are frequently encountered among elderly
populations, and the use of information and communication technologies (ICT)
could provide an added value for their recognition and assessment in addition to
current clinical methods. The diversity and lack of consensus in the emerging
field of ICTs is however a strong limitation for their global use in daily
practice. The aim of the present article is to provide recommendations for the
use of ICTs for the assessment and management of affective disorders among
elderly populations with or without dementia. Methods: A Delphi panel was
organized to gather recommendations from experts in the domain. A set of initial
general questions for the use of ICT in affective disorders was used to guide the
discussion of the expert panel and to analyze the Strengths, Weaknesses,
Opportunities, and Threats (SWOT) of employing ICT in elderly populations with
affective disorders. Based on the results collected from this first round, a web
survey was sent to local general practitioners (GPs) and to all interns in
psychiatry in France. Results: The results of the first round revealed that ICT
may offer very useful tools for practitioners involved in the diagnosis and
management of affective disorders. However, the results of the web survey showed
the interest to explain better to current and upcoming practitioners the utility
of ICT especially for people living with dementia.
PMID- 27877121
TI - The Indispensable Roles of Microglia and Astrocytes during Brain Development.
AB - Glia are essential for brain functioning during development and in the adult
brain. Here, we discuss the various roles of both microglia and astrocytes, and
their interactions during brain development. Although both cells are
fundamentally different in origin and function, they often affect the same
developmental processes such as neuro-/gliogenesis, angiogenesis, axonal
outgrowth, synaptogenesis and synaptic pruning. Due to their important
instructive roles in these processes, dysfunction of microglia or astrocytes
during brain development could contribute to neurodevelopmental disorders and
potentially even late-onset neuropathology. A better understanding of the origin,
differentiation process and developmental functions of microglia and astrocytes
will help to fully appreciate their role both in the developing as well as in the
adult brain, in health and disease.
PMID- 27877128
TI - Safety Profiles of Tripterygium wilfordii Hook F: A Systematic Review and Meta
Analysis.
AB - Objective:Tripterygium wilfordii Hook F (TwHF) is a widely used and effective
treatment for inflammatory diseases. There have been concerns about its toxicity
but no adequate synthesis of the evidence for adverse events (AEs). We aimed to
undertake a clinically informative, systematic safety profile of TwHF. Methods:
We undertook a systematic review and meta-analysis of experimental studies and
observational studies. We searched electronic databases and conference abstracts.
Safety outcomes were rates of common AEs. Results: We screened 4137 abstracts for
eligibility and included 594 studies in the analysis. The overall incidence of
AEs was 26.7% (95% CI 24.8%, 28.8%) in 23,256 TwHF users. The estimates did vary
markedly when stratified by specific study types. The incidence of
gastrointestinal symptoms, adverse reproductive outcomes, adverse skin reactions,
hematologic events and cardiovascular events were 13.3% (95% CI 11.9%, 14.9%),
11.7% (95% CI 10.3%, 13.3%), 7.8% (95% CI 6.3-9.5%), 6.5% (95% CI 5.7-7.4 %) and
4.9% (95% CI 1.6 %, 14.3 %), respectively. The prevalence of irregular
menstruation (IM) was increased in patients taking TwHF compared with those given
control (odds ratio [OR] 4.65, 95% CI 3.08 to 7.03). TwHF use has lower risk of
weight gain (OR 0.12 [95% CI 0.04 to 0.39]) and hair loss (OR 0.37 [95% CI 0.18
to 0.78]). Furthermore, long-term aspirin use (>6 months) has a higher AEs
incidence (31.0% [95% CI 24.5%-38.5%]). Conclusion: Our findings suggest that
more than one in four patients who were taking TwHF had experienced AEs. A clear
need exists for improved understanding of contributing risk factors, as well as
of prevention and management strategies to improve patients' tolerance for TwHF.
PMID- 27877129
TI - Curcumin Inhibits Transforming Growth Factor beta Induced Differentiation of
Mouse Lung Fibroblasts to Myofibroblasts.
AB - Transforming growth factor beta (TGF-beta) induced differentiation of lung
fibroblasts to myofibroblasts is a key event in the pathogenesis of pulmonary
fibrosis. This study aimed to evaluate the effect of curcumin on TGF-beta induced
differentiation of lung fibroblasts to myofibroblasts and explore the underlying
mechanism. Mouse lung fibroblasts were cultured and treated with TGF-beta2 and
curcumin or rosiglitazone. Cell vitality was examined by MTT assay. The secretion
of collagen-1 was assessed by ELISA. alpha smooth muscle actin (alpha-SMA) was
visualized by immunofluorescence technique. The expression of peroxisome
proliferator activated receptor gamma (PPAR-gamma) and platelet derived growth
factor R beta (PDGFR-beta) was detected by PCR and Western blot analysis. We
found that curcumin and rosiglitazone inhibited the proliferation and TGF-beta
induced differentiation of mouse lung fibroblasts. In addition, curcumin and
rosiglitazone inhibited collagen-1 secretion and alpha-SMA expression in mouse
lung fibroblasts. Furthermore, curcumin and rosiglitazone upregulated PPAR-gamma
and downregulated PDGFR-beta expression in mouse lung fibroblasts. In conclusion,
our study reveals novel mechanism by which curcumin inhibits TGF-beta2 driven
differentiation of lung fibroblasts to myofibroblasts. Curcumin could potentially
be used for effective treatment of pulmonary fibrosis.
PMID- 27877127
TI - Age-Related Deterioration of Perineuronal Nets in the Primary Auditory Cortex of
Mice.
AB - Age-related changes in inhibitory neurotransmission in sensory cortex may
underlie deficits in sensory function. Perineuronal nets (PNNs) are extracellular
matrix components that ensheath some inhibitory neurons, particularly parvalbumin
positive (PV+) interneurons. PNNs may protect PV+ cells from oxidative stress and
help establish their rapid spiking properties. Although PNN expression has been
well characterized during development, possible changes in aging sensory cortex
have not been investigated. Here we tested the hypothesis that PNN+, PV+ and
PV/PNN co-localized cell densities decline with age in the primary auditory
cortex (A1). This hypothesis was tested using immunohistochemistry in two strains
of mice (C57BL/6 and CBA/CaJ) with different susceptibility to age-related
hearing loss and at three different age ranges (1-3, 6-8 and 14-24 months old).
We report that PNN+ and PV/PNN co-localized cell densities decline significantly
with age in A1 in both mouse strains. In the PNN+ cells that remain in the old
group, the intensity of PNN staining is reduced in the C57 strain, but not the
CBA strain. PV+ cell density also declines only in the C57, but not the CBA,
mouse suggesting a potential exacerbation of age-effects by hearing loss in the
PV/PNN system. Taken together, these data suggest that PNN deterioration may be a
key component of altered inhibition in the aging sensory cortex, that may lead to
altered synaptic function, susceptibility to oxidative stress and processing
deficits.
PMID- 27877131
TI - Occurrence of OsHV-1 in Crassostrea gigas Cultured in Ireland during an
Exceptionally Warm Summer. Selection of Less Susceptible Oysters.
AB - The occurrence of OsHV-1, a herpes virus causing mass mortality in the Pacific
oyster Crassostrea gigas was investigated with the aim to select individuals with
different susceptibility to the infection. Naive spat transferred to infected
areas and juveniles currently being grown at those sites were analyzed using
molecular and histology approaches. The survey period distinguishes itself by
very warm temperatures reaching up to 3.5 degrees C above the average. The virus
was not detected in the virus free area although a spread of the disease could be
expected due to high temperatures. Overall mortality, prevalence of infection and
viral load was higher in spat confirming the higher susceptibility in early life
stages. OsHV-1 and oyster mortality were detected in naive spat after 15 days of
cohabitation with infected animals. Although, infection was associated with
mortality in spat, the high seawater temperatures could also be the direct cause
of mortality at the warmest site. One stock of juveniles suffered an event of
abnormal mortality that was significantly associated with OsHV-1 infection. Those
animals were infected with a previously undescribed microvariant whereas the
other stocks were infected with OsHV-1 MUVar. Cell lesions due to the infection
were observed by histology and true infections were corroborated by in situ
hybridization. Survivors from the natural outbreak were exposed to OsHV-1 MUVar
by intramuscular injection and were compared to naive animals. The survival rate
in previously exposed animals was significantly higher than in naive oysters.
Results derived from this study allowed the selection of animals that might
possess interesting characteristics for future analysis on OsHV-1 resistance.
PMID- 27877133
TI - Decomposition of Near-Infrared Spectroscopy Signals Using Oblique Subspace
Projections: Applications in Brain Hemodynamic Monitoring.
AB - Clinical data is comprised by a large number of synchronously collected
biomedical signals that are measured at different locations. Deciphering the
interrelationships of these signals can yield important information about their
dependence providing some useful clinical diagnostic data. For instance, by
computing the coupling between Near-Infrared Spectroscopy signals (NIRS) and
systemic variables the status of the hemodynamic regulation mechanisms can be
assessed. In this paper we introduce an algorithm for the decomposition of NIRS
signals into additive components. The algorithm, SIgnal DEcomposition base on
Obliques Subspace Projections (SIDE-ObSP), assumes that the measured NIRS signal
is a linear combination of the systemic measurements, following the linear
regression model y = Ax + epsilon. SIDE-ObSP decomposes the output such that,
each component in the decomposition represents the sole linear influence of one
corresponding regressor variable. This decomposition scheme aims at providing a
better understanding of the relation between NIRS and systemic variables, and to
provide a framework for the clinical interpretation of regression algorithms,
thereby, facilitating their introduction into clinical practice. SIDE-ObSP
combines oblique subspace projections (ObSP) with the structure of a mean average
system in order to define adequate signal subspaces. To guarantee smoothness in
the estimated regression parameters, as observed in normal physiological
processes, we impose a Tikhonov regularization using a matrix differential
operator. We evaluate the performance of SIDE-ObSP by using a synthetic dataset,
and present two case studies in the field of cerebral hemodynamics monitoring
using NIRS. In addition, we compare the performance of this method with other
system identification techniques. In the first case study data from 20 neonates
during the first 3 days of life was used, here SIDE-ObSP decoupled the influence
of changes in arterial oxygen saturation from the NIRS measurements, facilitating
the use of NIRS as a surrogate measure for cerebral blood flow (CBF). The second
case study used data from a 3-years old infant under Extra Corporeal Membrane
Oxygenation (ECMO), here SIDE-ObSP decomposed cerebral/peripheral tissue
oxygenation, as a sum of the partial contributions from different systemic
variables, facilitating the comparison between the effects of each systemic
variable on the cerebral/peripheral hemodynamics.
PMID- 27877130
TI - Cannabidiol as a Potential New Type of an Antipsychotic. A Critical Review of the
Evidence.
AB - There is urgent need for the development of mechanistically different and less
side-effect prone antipsychotic compounds. The endocannabinoid system has been
suggested to represent a potential new target in this indication. While the
chronic use of cannabis itself has been considered a risk factor contributing to
the development of schizophrenia, triggered by the phytocannabinoid delta-9
tetrahydrocannabinol (Delta9-THC), cannabidiol, the second most important
phytocannabinoid, appears to have no psychotomimetic potential. Although, results
from animal studies are inconsistent to a certain extent and seem to depend on
behavioral paradigms, treatment duration and experimental conditions applied,
cannabidiol has shown antipsychotic properties in both rodents and rhesus
monkeys. After some individual treatment attempts, the first randomized, double
blind controlled clinical trial demonstrated that in acute schizophrenia
cannabidiol exerts antipsychotic properties comparable to the antipsychotic drug
amisulpride while being accompanied by a superior, placebo-like side effect
profile. As the clinical improvement by cannabidiol was significantly associated
with elevated anandamide levels, it appears likely that its antipsychotic action
is based on mechanisms associated with increased anandamide concentrations.
Although, a plethora of mechanisms of action has been suggested, their potential
relevance for the antipsychotic effects of cannabidiol still needs to be
investigated. The clarification of these mechanisms as well as the establishment
of cannabidiol's antipsychotic efficacy and its hopefully benign side-effect
profile remains the subject of a number of previously started clinical trials.
PMID- 27877132
TI - Immunophenotyping Reveals the Diversity of Human Dental Pulp Mesenchymal Stromal
Cells In vivo and Their Evolution upon In vitro Amplification.
AB - Mesenchymal stromal/stem cells (MSCs) from human dental pulp (DP) can be expanded
in vitro for cell-based and regenerative dentistry therapeutic purposes. However,
their heterogeneity may be a hurdle to the achievement of reproducible and
predictable therapeutic outcomes. To get a better knowledge about this
heterogeneity, we designed a flow cytometric strategy to analyze the phenotype of
DP cells in vivo and upon in vitro expansion with stem cell markers. We focused
on the CD31- cell population to exclude endothelial and leukocytic cells. Results
showed that the in vivo CD31- DP cell population contained 1.4% of CD56+, 1.5% of
CD146+, 2.4% of CD271+ and 6.3% of MSCA-1+ cells but very few Stro-1+ cells (<=
1%). CD56+, CD146+, CD271+, and MSCA-1+ cell subpopulations expressed various
levels of these markers. CD146+MSCA-1+, CD271+MSCA-1+, and CD146+CD271+ cells
were the most abundant DP-MSC populations. Analysis of DP-MSCs expanded in vitro
with a medicinal manufacturing approach showed that CD146 was expressed by about
50% of CD56+, CD271+, MSCA-1+, and Stro-1+ cells, and MSCA-1 by 15-30% of CD56+,
CD146+, CD271+, and Stro-1+ cells. These ratios remained stable with passages.
CD271 and Stro-1 were expressed by <1% of the expanded cell populations.
Interestingly, the percentage of CD56+ cells strongly increased from P1 (25%) to
P4 (80%) both in all sub-populations studied. CD146+CD56+, MSCA-1+CD56+, and
CD146+MSCA-1+ cells were the most abundant DP-MSCs at the end of P4. These
results established that DP-MSCs constitute a heterogeneous mixture of cells in
pulp tissue in vivo and in culture, and that their phenotype is modified upon in
vitro expansion. Further studies are needed to determine whether co-expression of
specific MSC markers confers DP cells specific properties that could be used for
the regeneration of human tissues, including the dental pulp, with standardized
cell-based medicinal products.
PMID- 27877135
TI - Metabolomics of Head and Neck Cancer: A Mini-Review.
AB - Metabolomics is used in systems biology to enhance the understanding of complex
disease processes, such as cancer. Head and neck cancer (HNC) is an epithelial
malignancy that arises in the upper aerodigestive tract and affects more than
half a million people worldwide each year. Recently, significant effort has
focused on integrating multiple "omics" technologies for oncological research. In
particular, research has been focused on identifying tumor-specific metabolite
profiles using different sample types (biological fluids, cells and tissues) and
a variety of metabolomic platforms and technologies. With our current
understanding of molecular abnormalities of HNC, the addition of metabolomic
studies will enhance our knowledge of the pathogenesis of this disease and
potentially aid in the development of novel strategies to prevent and treat HNC.
In this review, we summarize the proposed hypotheses and conclusions from
publications that reported findings on the metabolomics of HNC. In addition, we
address the potential influence of host-microbe metabolomics in cancer. From a
systems biology perspective, the integrative use of genomics, transcriptomics and
proteomics will be extremely important for future translational metabolomic-based
research discoveries.
PMID- 27877136
TI - Novel Role of ER Stress and Autophagy in Microcystin-LR Induced Apoptosis in
Chinese Hamster Ovary Cells.
AB - Microcystin-LR (MC-LR) is a ubiquitous peptide that exhibits strong reproductive
toxicity, although the mechanistic basis for such toxicity remains largely
unknown. The present study was conducted to investigate the mechanisms underlying
the adverse effects of exposure to MC-LR in Chinese hamster ovary (CHO) cells.
The results showed that MC-LR inhibited the in vitro proliferation of CHO cells
significantly, with an IC50 of 10 MUM. Moreover, MC-LR-treated CHO cells revealed
strong induction of cell cycle arrest and apoptosis. Additionally, exposure of
CHO cells to MC-LR resulted in excess reactive oxygen species production and
intracellular calcium release, with resultant endoplasmic reticulum stress (ERs).
There was also extensive accumulation of autophagic vacuoles with the highest
concentration of MC-LR used (10 MUM). Furthermore, the expression of ERs (GRP78,
ATF-6, PERK, IRE1, CHOP) and autophagy (Beclin1 and LC3II) proteins was
increased, with concomitantly reduced expression of LC3I suggesting that ERs and
autophagy were induced in CHO cells by MC-LR treatment. Conversely, pretreatment
of CHO cells with 4-Phenyl butyric acid, the ERs inhibitor reduced the MC-LR
induced apoptotic cell death and cellular autophagy as evidenced by the reduced
expression of Beclin1 and LC3II. Similarly, MC-LR treatment in combination with
an autophagy inhibitor (3-methyladenine) increased apoptotic cell death compared
with MC-LR alone, and induced ERs via upregulating ERs proteins. The overall
results indicated that activation of ERs and autophagy are both associated with
MC-LR-induced apoptosis in CHO cells. ERs may be a trigger of autophagy in this
process.
PMID- 27877134
TI - Modulation of the Activities of Neuronal Ion Channels by Fatty Acid-Derived Pro
Resolvents.
AB - Progress of inflammation depends on the balance between two biological
mechanisms: pro-inflammatory and pro-resolving processes. Many extracellular and
intracellular molecular components including cytokines, growth factors, steroids,
neurotransmitters, and lipidergic mediators and their receptors contribute to the
two processes, generated from cellular participants during inflammation. Fatty
acid-derived mediators are crucial in directing the inflammatory phase and
orchestrating heterogeneous reactions of participants such as inflamed cells,
innate immune cells, vascular components, innervating neurons, etc. As well as
activating specific types of receptor molecules, lipidergic mediators can
actively control the functions of various ion channels via direct binding and/or
signal transduction, thereby altering cellular functions. Lipid mediators can be
divided into two classes based on which of the two processes they promote: pro
inflammatory, which includes prostaglandins and leukotrienes, and pro-resolving,
which includes lipoxins, resolvins, and maresins. The research on the modulations
of neuronal ion channels regarding the actions of the pro-inflammatory class has
begun relatively earlier while the focus is currently expanding to cover the ion
channel interaction with pro-resolvents. As a result, knowledge of inhibitory
mechanisms by the pro-resolvents, historically seldom found for other known
endogenous modulators or pro-inflammatory mediators, is accumulating particularly
upon sensory neuronal cation channels. Diverse mechanistic explanations at
molecular levels are being proposed and refined. Here we overviewed the
interactions of lipidergic pro-resolvents with neuronal ion channels and outcomes
from the interactions, focusing on transient receptor potential (TRP) ion
channels. We also discuss unanswered hypotheses and perspectives regarding their
interactions.
PMID- 27877137
TI - An Extreme Mountain Ultra-Marathon Decreases the Cost of Uphill Walking and
Running.
AB - Purpose: To examine the effects of the world's most challenging mountain
ultramarathon (MUM, 330 km, cumulative elevation gain of +24,000 m) on the energy
cost and kinematics of different uphill gaits. Methods: Before (PRE) and
immediately after (POST) the competition, 19 male athletes performed three
submaximal 5-min treadmill exercise trials in a randomized order: walking at 5
km.h-1, +20%; running at 6 km.h-1, +15%; and running at 8 km.h-1, +10%. During
the three trials, energy cost was assessed using an indirect calorimetry system
and spatiotemporal gait parameters were acquired with a floor-level high-density
photoelectric cells system. Results: The average time of the study participants
to complete the MUM was 129 h 43 min 48 s (range: 107 h 29 min 24 s to 144 h 21
min 0 s). Energy costs in walking (-11.5 +/- 5.5%, P < 0.001), as well as in the
first (-7.2 +/- 3.1%, P = 0.01) and second (-7.0 +/- 3.9%, P = 0.02) running
condition decreased between PRE and POST, with a reduction both in the heart rate
(-11.3, -10.0, and -9.3%, respectively) and oxygen uptake only for the walking
condition (-6.5%). No consistent and significant changes in the kinematics
variables were detected (P-values from 0.10 to 0.96). Conclusion: Though fatigued
after completing the MUM, the subjects were still able to maintain their uphill
locomotion patterns noted at PRE. The decrease (improvement) in the energy costs
was likely due to the prolonged and repetitive walking/running, reflecting a
generic improvement in the mechanical efficiency of locomotion after ~130 h of
uphill locomotion rather than constraints imposed by the activity on the
musculoskeletal structure and function.
PMID- 27877138
TI - A Ketogenic Diet in Rodents Elicits Improved Mitochondrial Adaptations in
Response to Resistance Exercise Training Compared to an Isocaloric Western Diet.
AB - Purpose: Ketogenic diets (KD) can facilitate weight loss, but their effects on
skeletal muscle remain equivocal. In this experiment we investigated the effects
of two diets on skeletal muscle mitochondrial coupling, mitochondrial complex
activity, markers of oxidative stress, and gene expression in sedentary and
resistance exercised rats. Methods: Male Sprague-Dawley rats (9-10 weeks of age,
300-325 g) were fed isocaloric amounts of either a KD (17 g/day, 5.2 kcal/g,
20.2% protein, 10.3% CHO, 69.5% fat, n = 16) or a Western diet (WD) (20 g/day,
4.5 kcal/g, 15.2% protein, 42.7% CHO, 42.0% fat, n = 16) for 6 weeks. During
these 6 weeks animals were either sedentary (SED, n = 8 per diet group) or
voluntarily exercised using resistance-loaded running wheels (EXE, n = 8 per diet
group). Gastrocnemius was excised and used for mitochondrial isolation and
biochemical analyses. Results: In the presence of a complex II substrate, the
respiratory control ratio (RCR) of isolated gastrocnemius mitochondria was higher
(p < 0.05) in animals fed the KD compared to animals fed the WD. Complex I and IV
enzyme activity was higher (p < 0.05) in EXE animals regardless of diet. SOD2
protein levels and GLUT4 and PGC1alpha mRNA expression were higher (p < 0.05) in
EXE animals regardless of diet. Conclusion: Our data indicate that skeletal
muscle mitochondrial coupling of complex II substrates is more efficient in
chronically resistance trained rodents fed a KD. These findings may provide merit
for further investigation, perhaps on humans.
PMID- 27877139
TI - Corrigendum: Regularization Techniques for ECG Imaging during Atrial
Fibrillation: A Computational Study.
AB - [This corrects the article on p. 466 in vol. 7, PMID: 27790158.].
PMID- 27877140
TI - 'But' Implicatures: A Study of the Effect of Working Memory and Argument
Characteristics.
AB - This study aimed to investigate the possible cognitive costs involved in
processing the implicatures from but and the conclusion introducing words so and
nevertheless. Adult participants were asked to indicate the conclusion that the
person in the story would make, based on 'p but q' sentences constructed as
indirect distancing contrasts. Additionally, while performing this task,
participants' working memory was burdened with a secondary dot recall task in
four conditions ranging from no working memory load to high load. The results
showed that working memory load did not influence participants' performance on
the implicature task. This finding might be interpreted to suggest that working
memory is not involved in inferring the implicatures from but, so, and
nevertheless. We also found that the content of the arguments played a very
important role. Whenever a strong argument is combined with a weak argument,
participants mostly base their conclusion on the strong argument and consequently
ignore the conventional interpretation of but (and so and nevertheless).
Additionally, we found an effect of axiological value, which is in line with the
positive-negative asymmetry theory.
PMID- 27877141
TI - Involvement of Technical Reasoning More Than Functional Knowledge in Development
of Tool Use in Childhood.
AB - It is well-known that even toddlers are able to manipulate tools in an
appropriate manner according to their physical properties. The ability of
children to make novel tools in order to solve problems is, however, surprisingly
limited. In adults, mechanical problem solving (MPS) has been proposed to be
supported by "technical reasoning skills," which are thought to be involved in
every situation requiring the use of a tool (whether conventional or unusual).
The aim of this study was to investigate the typical development of real tool use
(RTU) skills and its link with technical reasoning abilities in healthy children.
Three experimental tasks were adapted from those used with adults: MPS (three
different apparatus), RTU (10 familiar tool-object pairs), and functional
knowledge (FK; 10 functional picture matching with familiar tools previously
used). The tasks were administered to 85 healthy children divided into six age
groups (from 6 to 14 years of age). The results revealed that RTU (p = 0.01) and
MPS skills improve with age, even if this improvement differs according to the
apparatus for the latter (p < 0.01 for the Hook task and p < 0.05 for the Sloping
task). Results also showed that MPS is a better predictor of RTU than FK, with a
significant and greater weight (importance weight: 0.65; Estimate +/- Standard
Error: 0.27 +/- 0.08). Ours findings suggest that RTU and technical reasoning
develop jointly in children, independently from development of FK. In addition,
technical reasoning appears partially operative from the age of six onward, even
though the outcome of these skills depends of the context in which they are
applied (i.e., the type of apparatus).
PMID- 27877142
TI - The Proximity between Hallucination and Delusion Dimensions: An Observational,
Analytic, Cross-Sectional, Multicentre Study.
AB - In psychiatric classifications, hallucinations (mainly auditory hallucinations)
are one of the fundamental criteria for establishing a schizophrenia diagnosis or
any of the related psychotic disorder's diagnoses. The conceptual proximity
between delusions and hallucinations was maintained until the end of the XIX
century, with several supporters during the XX century. Their limits were not yet
definitely defined in terms of Descriptive Psychopathology, and much less so in
terms of biochemical and anatomical models. In this article we aimed to analyse
the dimensions of both hallucinations and delusions in a sample of patients with
schizophrenia and schizoaffective disorder. We also intend to find the
determinants of the main dimensions of hallucinations. One hundred patients with
schizophrenia (80) or schizoaffective disorder (20), 64% males, mean age 39.75,
from the outpatient and inpatient units of the Psychiatry Department of Hospital
de Santa Maria and the Centro Hospitalar Psiquiatrico de Lisboa were assessed by
means of the Psychotic Symptom Rating Scales (PSYRATS) and a structured
interview. In this study we designed an empirical based model by means of
bivariate Spearman's rank correlation coefficient, and multivariate statistics
(linear regression and multiple multivariate linear regression), where the main
dimensions of hallucinations are determined by the central dimensions of
delusions.
PMID- 27877143
TI - Personality Development during Teacher Preparation.
AB - Objective: The purpose of this 3-year longitudinal study was to examine pre
service teachers' personality trajectories as measured by the IPIP Big-Five
factor markers during teacher preparation. The relationship between students'
personality traits, social desirability, and prior academic attainment was also
examined. Method: This 3-year longitudinal study invited participants from the
first year of a 4-year undergraduate (UG) pre-service teacher education program,
the class of 2017. The sample consisted of 305 students. Results: The results
suggest that extraversion, agreeableness, conscientiousness, emotional stability,
and openness to experience were best represented by a non-significant
longitudinal change in means. Results also suggest that social desirability
predicts agreeableness and emotional stability with small to moderate effect
sizes. Conclusion: The study concludes that no value is added to pre-service
teachers' personality traits during 3 years of tertiary education. Furthermore,
the data presented does not support the view that academic attainment is a good
predictor of personality traits. Implications for educational research, theory,
and practice are considered.
PMID- 27877144
TI - Rhythm on Your Lips.
AB - The Iambic-Trochaic Law (ITL) accounts for speech rhythm, grouping of sounds as
either Iambs-if alternating in duration-or Trochees-if alternating in pitch
and/or intensity. The two different rhythms signal word order, one of the basic
syntactic properties of language. We investigated the extent to which Iambic and
Trochaic phrases could be auditorily and visually recognized, when visual stimuli
engage lip reading. Our results show both rhythmic patterns were recognized from
both, auditory and visual stimuli, suggesting that speech rhythm has a multimodal
representation. We further explored whether participants could match Iambic and
Trochaic phrases across the two modalities. We found that participants auditorily
familiarized with Trochees, but not with Iambs, were more accurate in recognizing
visual targets, while participants visually familiarized with Iambs, but not with
Trochees, were more accurate in recognizing auditory targets. The latter results
suggest an asymmetric processing of speech rhythm: in auditory domain, the
changes in either pitch or intensity are better perceived and represented than
changes in duration, while in the visual domain the changes in duration are
better processed and represented than changes in pitch, raising important
questions about domain general and specialized mechanisms for speech rhythm
processing.
PMID- 27877145
TI - Daily Negative Work Events and Employees' Physiological and Psychological
Reactions.
AB - Scholars have accumulated an abundant amount of knowledge on the association
between work stressors and employees' health and well-being. However, notions of
the complex interplay of physiological and psychological components of stress
reactions are still in their infancy. Building on the Allostatic Load (AL) model,
the present study considers short-term within-person effects of negative work
events (NWEs) on indicators of both physiological (i.e., salivary cortisol) and
psychological distress responses (i.e., negative affect and emotional
exhaustion). Multilevel findings from an experience sampling study with 83
healthcare professionals suggest that reported NWEs predict employees'
psychological but not endocrine stress responses. Results contribute to a more
comprehensive understanding of employees' daily response patterns to occupational
stressors.
PMID- 27877146
TI - A Gradualist Scenario for Language Evolution: Precise Linguistic Reconstruction
of Early Human (and Neandertal) Grammars.
AB - In making an argument for the antiquity of language, based on comparative
evidence, Dediu and Levinson (2013) express hope that some combinations of
structural features will prove so conservative that they will allow deep
linguistic reconstruction. I propose that the earliest stages of syntax/grammar
as reconstructed in Progovac (2015a), based on a theoretical and data-driven
linguistic analysis, provide just such a conservative platform, which would have
been commanded also by Neandertals and the common ancestor. I provide a fragment
of this proto-grammar, which includes flat verb-noun compounds used for naming
and insult (e.g., rattle-snake, cry-baby, scatter-brain), and paratactic (loose)
combinations of such flat structures (e.g., Come one, come all; You seek, you
find). This flat, binary, paratactic platform is found in all languages, and can
be shown to serve as foundation for any further structure building. However,
given the degree and nature of variation across languages in elaborating syntax
beyond this proto-stage, I propose that hierarchical syntax did not emerge once
and uniformly in all its complexity, but rather multiple times, either within
Africa, or after dispersion from Africa. If so, then, under the uniregional
hypothesis, our common ancestor with Neandertals, H. heidelbergensis, could not
have commanded hierarchical syntax, but "only" the proto-grammar. Linguistic
reconstructions of this kind are necessary for formulating precise and testable
hypotheses regarding language evolution. In addition to the hominin timeline,
this reconstruction can also engage, and negotiate between, the fields of
neuroscience and genetics, as I illustrate with one specific scenario involving
FOXP2 gene.
PMID- 27877147
TI - Driving and Multitasking: The Good, the Bad, and the Dangerous.
AB - Previous research has shown that multitasking can have a positive or a negative
influence on driving performance. The aim of this study was to determine how the
interaction between driving circumstances and cognitive requirements of secondary
tasks affect a driver's ability to control a car. We created a driving simulator
paradigm where participants had to perform one of two scenarios: one with no
traffic in the driver's lane, and one with substantial traffic in both lanes,
some of which had to be overtaken. Four different secondary task conditions were
combined with these driving scenarios. In both driving scenarios, using a tablet
resulted in the worst, most dangerous, performance, while passively listening to
the radio or answering questions for a radio quiz led to the best driving
performance. Interestingly, driving as a single task did not produce better
performance than driving in combination with one of the radio tasks, and even
tended to be slightly worse. These results suggest that drivers switch to
internally focused secondary tasks when nothing else is available during
monotonous or repetitive driving environments. This mind wandering potentially
has a stronger interference effect with driving than non-visual secondary tasks.
PMID- 27877148
TI - Changes in Mood States Are Induced by Smelling Familiar and Exotic Fragrances.
AB - Familiar fragrances usually induce positive mood states and elicit favorable
evaluation. Relaxation is also widely thought to improve mood state. Yet
experimental evidence on the effect of two different stimuli, fragrance smelling
and breathing relaxation, on mood state, and fragrance evaluation is lacking.
This study aimed to test (1) the effect of two familiar fragrances, lavender and
myrtle, and two exotic fragrances, bergamot and ravensara, on perceived mood
states before and after relaxation, (2) the effect of relaxation on perceived
mood states for each fragrance, and (3) the effect of relaxation on fragrance
evaluation as defined by adjectives. We hypothesized that mood states and
assessment of the fragrances would differently be affected both in familiar vs.
non-familiar fragrances and also before and after relaxation. Participants (n =
127) completed questionnaires on their mood states at baseline (T0). They were
then presented with each of the four fragrances separately and asked to report on
mood state and to assess the fragrances with adjectives before (T1) and after
(T2) breathing relaxation. Analyses of the T0-T1 delta values of mood states by
ANOVA repeated measures and post hoc comparisons showed that mood states were
affected by fragrance smelling with no clear differences observed between
familiar and exotic fragrances. The same analyses of T1-T2 values showed no
differences in mood state after breathing relaxation and fragrance smelling.
Fragrance assessment by adjectives indicated a non-conclusive trend for familiar
and exotic fragrances. In sum, mood states induced by the fragrance smelling
stimulus (T0-T1) were not changed by the addition of the second stimulus of
relaxation (T1-T2), indicating that the former stimulus was stronger than the
latter. On the other hand, the cognitive component represented by adjective-based
assessment of fragrances was slightly modified by the relaxation stimulus.
PMID- 27877149
TI - Setting the Balance between the Lexical and Sublexical Pathways of Dual-Route
Models of Reading: Insight from Atypical Dyslexia in Surgical Glioma Patients.
PMID- 27877150
TI - The Level of Vision Necessary for Competitive Performance in Rifle Shooting:
Setting the Standards for Paralympic Shooting with Vision Impairment.
AB - The aim of this study was to investigate the level of vision impairment (VI) that
would reduce performance in shooting; to guide development of entry criteria to
visually impaired (VI) shooting. Nineteen international-level shooters without VI
took part in the study. Participants shot an air rifle, while standing, toward a
regulation target placed at the end of a 10 m shooting range. Cambridge
simulation glasses were used to simulate six different levels of VI. Visual
acuity (VA) and contrast sensitivity (CS) were assessed along with shooting
performance in each of seven conditions of simulated impairment and compared to
that with habitual vision. Shooting performance was evaluated by calculating each
individual's average score in every level of simulated VI and normalizing this
score by expressing it as a percentage of the baseline performance achieved with
habitual vision. Receiver Operating Characteristic curves were constructed to
evaluate the ability of different VA and CS cut-off criteria to appropriately
classify these athletes as achieving 'expected' or 'below expected' shooting
results based on their performance with different levels of VA and CS. Shooting
performance remained relatively unaffected by mild decreases in VA and CS, but
quickly deteriorated with more moderate losses. The ability of visual function
measurements to classify shooting performance was good, with 78% of performances
appropriately classified using a cut-off of 0.53 logMAR and 74% appropriately
classified using a cut-off of 0.83 logCS. The current inclusion criteria for VI
shooting (1.0 logMAR) is conservative, maximizing the chance of including only
those with an impairment that does impact performance, but potentially excluding
some who do have a genuine impairment in the sport. A lower level of impairment
would include more athletes who do have a genuine impairment but would
potentially include those who do not actually have an impairment that impacts
performance in the sport. An impairment to CS could impact performance in the
sport and might be considered in determining eligibility to take part in VI
competition.
PMID- 27877151
TI - Editorial: Using Substances to Enhance Performance: A Psychology of
Neuroenhancement.
PMID- 27877152
TI - Bridging the Gap between the Lab and the Clinic: Psychopathology's Grand
Challenge.
PMID- 27877153
TI - Computational Psychometrics Meets Hollywood: The Complexity in Emotional
Storytelling.
AB - Expressions of emotions are pervasive in media, especially in movies. In this
article, we focus on the emotional relationships of movie characters in narrative
thought and emotional storytelling. Several studies examine emotion elicitation
through movies, but there is a gap in scientific literature and in the practice
to quantitatively consider emotions among the characters of a movie story, which
in turn provide the basis of spectator emotion elicitation. Some might argument
that the ultimate purpose of a movie is to elicit emotions in the viewers;
however, we are highlighting that the path to emotional stimulation entails
emotions among the characters composing a narrative and manipulating to enable
the effective elicitation of viewers' emotions. Here we provided and tested an
effective quantitative method for analyzing these relationships in emotional
networks, which allow for a clear understanding of the effects of story changes
on movie perceptions and pleasantness.
PMID- 27877155
TI - Weak and Saturable Protein-Surfactant Interactions in the Denaturation of Apo
alpha-Lactalbumin by Acidic and Lactonic Sophorolipid.
AB - Biosurfactants are of growing interest as sustainable alternatives to fossil-fuel
derived chemical surfactants, particularly for the detergent industry. To realize
this potential, it is necessary to understand how they affect proteins which they
may encounter in their applications. However, knowledge of such interactions is
limited. Here, we present a study of the interactions between the model protein
apo-alpha-lactalbumin (apo-aLA) and the biosurfactant sophorolipid (SL) produced
by the yeast Starmerella bombicola. SL occurs both as an acidic and a lactonic
form; the lactonic form (lactSL) is sparingly soluble and has a lower critical
micelle concentration (cmc) than the acidic form [non-acetylated acidic
sophorolipid (acidSL)]. We show that acidSL affects apo-aLA in a similar way to
the related glycolipid biosurfactant rhamnolipid (RL), with the important
difference that RL is also active below the cmc in contrast to acidSL. Using
isothermal titration calorimetry data, we show that acidSL has weak and saturable
interactions with apo-aLA at low concentrations; due to the relatively low cmc of
acidSL (which means that the monomer concentration is limited to ca. 0-1 mM SL),
it is only possible to observe interactions with monomeric acidSL at high apo-aLA
concentrations. However, the denaturation kinetics of apo-aLA in the presence of
acidSL are consistent with a collaboration between monomeric and micellar
surfactant species, similar to RL and non-ionic or zwitterionic surfactants.
Inclusion of diacetylated lactonic sophorolipid (lactSL) as mixed micelles with
acidSL lowers the cmc and this effectively reduces the rate of unfolding,
emphasizing that SL like other biosurfactants is a gentle anionic surfactant. Our
data highlight the potential of these biosurfactants for future use in the
detergent and pharmaceutical industry.
PMID- 27877154
TI - Immununochemical Markers of the Amyloid Cascade in the Hippocampus in Motor
Neuron Diseases.
AB - BACKGROUND: Several findings suggest that the amyloid precursor protein (APP) and
the amyloid cascade may play a role in motor neuron disease (MND). OBJECTIVE:
Considering that dementia is one of the most frequent non-motor symptoms in
amyotrophic lateral sclerosis (ALS) and that hippocampus is one of the brain
areas with greater presence of amyloid-related changes in neurodegenerative
diseases, our aim was to analyze the molecular markers of the amyloid cascade of
APP in pathology studies of the hippocampus of autopsied patients with ALS and
ALS-frontotemporal dementia (FTD). METHODS: We included nine patients with MND
and four controls. Immunohistochemical studies and confocal microscopy were used
to analyze the expression of APP, TDP-43, pho-TDP-43, Abeta, APP intracellular
cytoplasmatic domain (AICD) peptide, Fe65 protein, and pho-TAU in the hippocampus
of seven patients with ALS, two patients with ALS-FTD, and four controls. These
findings were correlated with clinical data. RESULTS: Patients displayed
increased expression of APP and Abeta peptide. The latter was correlated with
cytoplasmic pho-TDP-43 expression. We also found decreased Fe65 expression. A
parallel increase in AICD expression was not found. Patients showed increased
expression of pho-TAU in the hippocampus. Findings were similar in patients with
ALS and those with ALS-FTD, though more marked in the latter group. CONCLUSION:
Post-mortem analyses showed that the amyloid cascade is activated in the
hippocampus of patients with MND and correlated with cytoplasmic pho-TDP-43
expression. The number of intracellular or extracellular aggregates of Abeta
peptides was not significant.
PMID- 27877156
TI - A Chitosan Coating Containing Essential Oil from Origanum vulgare L. to Control
Postharvest Mold Infections and Keep the Quality of Cherry Tomato Fruit.
AB - The efficacy of an edible chitosan coating (CHI; 4 mg/mL) and Origanum vulgare L.
essential oil (OVEO; 1.25 MUL/mL) for maintaining the quality of cherry tomato
fruit during storage at room (25 degrees C; 12 days) and cold (12 degrees C; 24
days) temperatures was assessed. CHI and OVEO in combination showed in vitro
fungicidal effects against R. stolonifer and Aspergillus niger. CHI-OVEO coating
reduced the incidence of black mold and soft rot caused by these fungi in
artificially contaminated cherry tomato fruit during storage at both
temperatures. CHI-OVEO coating delayed the appearance of the first visible signs
of black mold and soft rot in artificially contaminated cherry tomato fruit
stored at room temperature by 6 days and by more than 9 days in those stored at
cold temperature. At the end of storage at room and cold temperature fruit coated
with CHI-OVEO showed higher firmness (>2 N/mm) and lower weight loss (>2%)
compared to uncoated tomato fruit. CHI-OVEO coating delayed the decrease of
lycopene, ascorbic citric acid, glucose and fructose during the storage time
assessed at room or cold temperatures. The increase of catechin, myricetin,
caffeic and syringic acids was higher (1-9 mg/g) in cherry tomato fruit coated
with CHI-OVEO compared to uncoated fruit during the storage at both temperatures
studied. CHI-OVEO coating is a feasible treatment for maintaining the storage
quality of cherry tomato fruit.
PMID- 27877157
TI - Cross-Talk between Staphylococcus aureus and Other Staphylococcal Species via the
agr Quorum Sensing System.
AB - Staphylococci are associated with both humans and animals. While most are non
pathogenic colonizers, Staphylococcus aureus is an opportunistic pathogen capable
of causing severe infections. S. aureus virulence is controlled by the agr quorum
sensing system responding to secreted auto-inducing peptides (AIPs) sensed by
AgrC, a two component histidine kinase. agr loci are found also in other
staphylococcal species and for Staphylococcus epidermidis, the encoded AIP
represses expression of agr regulated virulence genes in S. aureus. In this study
we aimed to better understand the interaction between staphylococci and S.
aureus, and show that this interaction may eventually lead to the identification
of new anti-virulence candidates to target S. aureus infections. Here we show
that culture supernatants of 37 out of 52 staphylococcal isolates representing 17
different species inhibit S. aureus agr. The dog pathogen, Staphylococcus
schleiferi, expressed the most potent inhibitory activity and was active against
all four agr classes found in S. aureus. By employing a S. aureus strain encoding
a constitutively active AIP receptor we show that the activity is mediated via
agr. Subsequent cloning and heterologous expression of the S. schleiferi AIP in
S. aureus demonstrated that this molecule was likely responsible for the
inhibitory activity, and further proof was provided when pure synthetic S.
schleiferi AIP was able to completely abolish agr induction of an S. aureus
reporter strain. To assess impact on S. aureus virulence, we co-inoculated S.
aureus and S. schleiferi in vivo in the Galleria mellonella wax moth larva, and
found that expression of key S. aureus virulence factors was abrogated. Our data
show that the S. aureus agr locus is highly responsive to other staphylococcal
species suggesting that agr is an inter-species communication system. Based on
these results we speculate that interactions between S. aureus and other
colonizing staphylococci will significantly influence the ability of S. aureus to
cause infection, and we propose that other staphylococci are potential sources of
compounds that can be applied as anti-virulence therapy for combating S. aureus
infections.
PMID- 27877158
TI - Ultrastructure and Viral Metagenome of Bacteriophages from an Anaerobic Methane
Oxidizing Methylomirabilis Bioreactor Enrichment Culture.
AB - With its capacity for anaerobic methane oxidation and denitrification, the
bacterium Methylomirabilis oxyfera plays an important role in natural ecosystems.
Its unique physiology can be exploited for more sustainable wastewater treatment
technologies. However, operational stability of full-scale bioreactors can
experience setbacks due to, for example, bacteriophage blooms. By shaping
microbial communities through mortality, horizontal gene transfer, and metabolic
reprogramming, bacteriophages are important players in most ecosystems. Here, we
analyzed an infected Methylomirabilis sp. bioreactor enrichment culture using
(advanced) electron microscopy, viral metagenomics and bioinformatics. Electron
micrographs revealed four different viral morphotypes, one of which was observed
to infect Methylomirabilis cells. The infected cells contained densely packed ~55
nm icosahedral bacteriophage particles with a putative internal membrane. Various
stages of virion assembly were observed. Moreover, during the bacteriophage
replication, the host cytoplasmic membrane appeared extremely patchy, which
suggests that the bacteriophages may use host bacterial lipids to build their own
putative internal membrane. The viral metagenome contained 1.87 million base
pairs of assembled viral sequences, from which five putative complete viral
genomes were assembled and manually annotated. Using bioinformatics analyses, we
could not identify which viral genome belonged to the Methylomirabilis- infecting
bacteriophage, in part because the obtained viral genome sequences were novel and
unique to this reactor system. Taken together these results show that new
bacteriophages can be detected in anaerobic cultivation systems and that the
effect of bacteriophages on the microbial community in these systems is a topic
for further study.
PMID- 27877160
TI - Antibacterial Potential of Jatropha curcas Synthesized Silver Nanoparticles
against Food Borne Pathogens.
AB - The aqueous leaf extract of Jatropha curcas was used for the synthesis of silver
nanoparticles (Jc-AgNps) which were further evaluated for its antibacterial
potential against food borne pathogens. J. curcas leaf extract could synthesize
stable silver nanoparticles (Zeta potential: -23.4 mV) with absorption band at
430 nm. Fourier transform infrared spectroscopy indicated various biological
compounds responsible for capping and stabilizing Jc-AgNps in suspension, while
the presence of silver was authenticated by scanning electron microscopy (SEM)
equipped with energy-dispersive X-ray. Jc-AgNps were confirmed to be uniform in
shape, size and behavior through dynamic light scattering, transmission electron
microscopy (TEM), X-ray diffraction, SEM, and atomic force microscopy (AFM)
analysis. To investigate the antibacterial activity, disk diffusion and
microplate dilution assays were performed and zone of inhibition (ZOI) as well as
minimum inhibitory/bactericidal concentrations (MIC/MBCs) were evaluated against
selected bacterial strains. Overall results showed that Escherichia coli (ZOI: 23
mm, MBC: 0.010 mg/ml) was the most sensitive organism, whereas Staphylococcus
aureus (ZOI: 14.66 mm, MBC: 0.041 mg/ml) and Salmonella enterica (ZOI: 16.66 mm,
MBC: 0.041 mg/ml) were the least sensitive against Jc-AgNps. The detailed
microscopic investigations using SEM, TEM, and AFM were performed to understand
the antibacterial impacts of Jc-AgNps against Listeria monocytogenes. SEM and TEM
analysis showed the clear deformation and disintegration of treated L.
monocytogenes cells, whereas AFM established a decrease in the height and cell
surface roughness (root mean square value) in the treated L. monocytogenes.
PMID- 27877159
TI - Efficacy of Antibacterial Peptides Against Peptide-Resistant MRSA Is Restored by
Permeabilization of Bacteria Membranes.
AB - Clinical application of antimicrobial peptides (AMPs), as with conventional
antibiotics, may be compromised by the development of bacterial resistance. This
study investigated AMP resistance in methicillin resistant Staphylococcus aureus,
including aspects related to the resilience of the resistant bacteria toward the
peptides, the stability of resistance when selection pressures are removed, and
whether resistance can be overcome by using the peptides with other membrane
permeabilising agents. Genotypically variant strains of S. aureus became equally
resistant to the antibacterial peptides melittin and bac8c when grown in sub
lethal concentrations. Subculture of a melittin-resistant strain without melittin
for 8 days lowered the minimal lethal concentration of the peptide from 170 MUg
ml-1 to 30 MUg ml-1. Growth for 24 h in 12 MUg ml-1 melittin restored the MLC to
100 MUg ml-1. Flow cytometry analysis of cationic fluorophore binding to melittin
naive and melittin-resistant bacteria revealed that resistance coincided with
decreased binding of cationic molecules, suggesting a reduction in nett negative
charge on the membrane. Melittin was haemolytic at low concentrations but the
truncated analog of melittin, mel12-26, was confirmed to lack haemolytic
activity. Although a previous report found that mel12-26 retained full
bactericidal activity, we found it to lack significant activity when added to
culture medium. However, electroporation in the presence of 50 MUg ml-1 of mel12
26, killed 99.3% of the bacteria. Similarly, using a low concentration of the non
ionic detergent Triton X-100 to permeabilize bacteria to mel12-26 markedly
increased its bactericidal activity. The observation that bactericidal activity
of the non-membranolytic peptide mel12-26 was enhanced when the bacterial
membrane was permeablized by detergents or electroporation, suggests that its
principal mechanism in reducing bacterial survival may be through interaction
with intracellular organelles or processes. Additionally, our results showed that
the haemolytic peptide bac8c, had increased antibacterial activity at non
haemolytic concentrations when used with membrane-permeabilizing surfactants.
PMID- 27877161
TI - An Enrichment of CRISPR and Other Defense-Related Features in Marine Sponge
Associated Microbial Metagenomes.
AB - Many marine sponges are populated by dense and taxonomically diverse microbial
consortia. We employed a metagenomics approach to unravel the differences in the
functional gene repertoire among three Mediterranean sponge species, Petrosia
ficiformis, Sarcotragus foetidus, Aplysina aerophoba and seawater. Different
signatures were observed between sponge and seawater metagenomes with regard to
microbial community composition, GC content, and estimated bacterial genome size.
Our analysis showed further a pronounced repertoire for defense systems in sponge
metagenomes. Specifically, clustered regularly interspaced short palindromic
repeats, restriction modification, DNA phosphorothioation and phage growth
limitation systems were enriched in sponge metagenomes. These data suggest that
defense is an important functional trait for an existence within sponges that
requires mechanisms to defend against foreign DNA from microorganisms and
viruses. This study contributes to an understanding of the evolutionary arms race
between viruses/phages and bacterial genomes and it sheds light on the bacterial
defenses that have evolved in the context of the sponge holobiont.
PMID- 27877162
TI - Genome Sequence of Pseudomonas koreensis CRS05-R5, an Antagonistic Bacterium
Isolated from Rice Paddy Field.
PMID- 27877163
TI - Diversification of the vacAs1m1 and vacAs2m2 Strains of Helicobacter pylori in
Meriones unguiculatus.
AB - The bacterium Helicobacter pylori exhibits great genetic diversity, and the
pathogenic roles of its virulence factors have been widely studied. However, the
evolutionary dynamics of H. pylori strains during stomach colonization are not
well-characterized. Here, we analyzed the microevolutionary dynamics of the
toxigenic strain vacAs1m1, the non-toxigenic strain vacAs2m2, and a combination
of both strains in an animal model over time. Meriones unguiculatus were
inoculated with the following bacteria: group 1-toxigenic strain
vacAs1m1/cagA+/cagE+/babA2+; ST181, group 2-non-toxigenic strain
vacAs2m2/cagA+/cagE+/babA2+; ST2901, and group 3-both strains. The gerbils were
euthanized at different time points (3, 6, 12, and 18 months). In group 1,
genetic alterations were observed at 6 and 12 months. With the combination of
both strains, group 3 also exhibited genetic alterations at 3 and 18 months;
moreover, a chimera, vacA m1-m2, was detected. Additionally, four new sequence
types (STs) were reported in the PubMLST database for H. pylori. Synonymous and
non-synonymous mutations were analyzed and associated with alterations in amino
acids. Microevolutionary analysis of the STs (PHYLOViZ) identified in each group
revealed many mutational changes in the toxigenic (vacAs1m1) and non-toxigenic
(vacAs2m2) strains. Phylogenetic assessments (eBURST) did not reveal clonal
complexes. Our findings indicate that the toxigenic strain, vacAs1m1, and a
combination of toxigenic and non-toxigenic strains acquired genetic material by
recombination. The allelic combination, vacAs2m1, displayed the best adaptation
in the animal model over time, and a chimera, m1-m2, was also identified, which
confirmed previous reports.
PMID- 27877164
TI - Distribution of Native Lactic Acid Bacteria in Wineries of Queretaro, Mexico and
Their Resistance to Wine-Like Conditions.
AB - Native lactic acid bacteria (LAB) are capable of growing during winemaking,
thereby strongly affecting wine quality. The species of LAB present in musts,
wines during malolactic fermentation (MLF), and barrels/filters were investigated
in wineries from the emerging wine region of Queretaro, Mexico using multiplex
PCR and culture. The resistance to wine-like conditions (WLC): ethanol (10, 12,
and 13%), SO2 (30 mg?l-1), and low pH (3.5) of native LAB strains was also
studied. Five species were detected within 61 samples obtained: Oenococcus oeni,
Lactobacillus plantarum, Pediococcus parvulus, Lactobacillus hilgardi, and
Lactobacillus brevis. Four species (excepting L. brevis) were found in must; O.
oeni and P. parvulus were ubiquitous in wine and L. plantarum and L. brevis were
mainly present at the initial stage of MLF, while L. hilgardii was mostly
detected at the advanced stage. Furthermore, some species detected in
barrel/filter, prove them to be hazardous reservoirs. From 822 LAB isolates, only
119 resisted WLC with 10% ethanol; the number of strains able to grow in WLC with
13% ethanol decreased approximately by 50%, O. oeni being the most versatile
species with 65% of resistant isolates, while Lactobacillus spp. and P. parvulus
were the most strongly affected, especially those recovered from barrel/filter,
with less than 10% of resistant isolates. This study evidences the presence of
local strains able to be used as starter cultures, and also enabled the
assessment of the risks derived from the presence of spoilage LAB strains
resistant to WLC.
PMID- 27877165
TI - Different Flour Microbial Communities Drive to Sourdoughs Characterized by
Diverse Bacterial Strains and Free Amino Acid Profiles.
AB - This work aimed to investigate whether different microbial assemblies in flour
may influence the microbiological and biochemical characteristics of traditional
sourdough. To reach this purpose, members of lactic acid bacteria,
enterobacteria, and yeasts were isolated from durum wheat flour. Secondly, the
isolated microorganisms (Pediococcus pentosaceus, Saccharomyces cerevisiae,
Pantoea agglomerans, and Escherichia hermannii) were inoculated in doughs
prepared with irradiated flour (gamma rays at 10 kGy), so that eight different
microbial assemblies were obtained. Two non-inoculated controls were prepared,
one of which (C-IF) using irradiated flour and the other (C) using non-irradiated
flour. As shown by plate counts, irradiation of flour caused total inactivation
of yeasts and a decrease of all the other microbial populations. However,
acidification occurred also in the dough C-IF, due to metabolic activity of P.
pentosaceus that had survived irradiation. After six fermentations, P.
pentosaceus was the dominant lactic acid bacterium species in all the sourdoughs
produced with irradiated flour (IF). Yet, IF-based sourdoughs broadly differed
from each other in terms of strains of P. pentosaceus, probably due to the
different microorganisms initially inoculated. Quantitative and qualitative
differences of free amino acids concentration were found among the sourdoughs,
possibly because of different microbial communities. In addition, as shown by
culture-independent analysis (16S metagenetics), irradiation of flour lowered and
modified microbial diversity of sourdough ecosystem.
PMID- 27877166
TI - A Narrow pH Range Supports Butanol, Hexanol, and Octanol Production from Syngas
in a Continuous Co-culture of Clostridium ljungdahlii and Clostridium kluyveri
with In-Line Product Extraction.
AB - Carboxydotrophic bacteria (CTB) have received attention due to their ability to
synthesize commodity chemicals from producer gas and synthesis gas (syngas). CTB
have an important advantage of a high product selectivity compared to chemical
catalysts. However, the product spectrum of wild-type CTB is narrow. Our
objective was to investigate whether a strategy of combining two wild-type
bacterial strains into a single, continuously fed bioprocessing step would be
promising to broaden the product spectrum. Here, we have operated a syngas
fermentation process with Clostridium ljungdahlii and Clostridium kluyveri with
in-line product extraction through gas stripping and product condensing within
the syngas recirculation line. The main products from C. ljungdahlii fermentation
at a pH of 6.0 were ethanol and acetate at net volumetric production rates of
65.5 and 431 mmol C.L-1.d-1, respectively. An estimated 2/3 of total ethanol
produced was utilized by C. kluyveri to chain elongate with the reverse beta
oxidation pathway, resulting in n-butyrate and n-caproate at net rates of 129 and
70 mmol C.L-1.d-1, respectively. C. ljungdahlii likely reduced the produced
carboxylates to their corresponding alcohols with the reductive power from
syngas. This resulted in the longer-chain alcohols n-butanol, n-hexanol, and n
octanol at net volumetric production rates of 39.2, 31.7, and 0.045 mmol C.L-1.d
1, respectively. The continuous production of the longer-chain alcohols occurred
only within a narrow pH spectrum of 5.7-6.4 due to the pH discrepancy between the
two strains. Regardless whether other wild-type strains could overcome this pH
discrepancy, the specificity (mol carbon in product per mol carbon in all other
liquid products) for each longer-chain alcohol may never be high in a single
bioprocessing step. This, because two bioprocesses compete for intermediates
(i.e., carboxylates): (1) chain elongation; and (2) biological reduction. This
innate competition resulted in a mixture of n-butanol and n-hexanol with traces
of n-octanol.
PMID- 27877168
TI - Characterization of Electricity Generated by Soil in Microbial Fuel Cells and the
Isolation of Soil Source Exoelectrogenic Bacteria.
AB - Soil has been used to generate electrical power in microbial fuel cells (MFCs)
and exhibited several potential applications. This study aimed to reveal the
effect of soil properties on the generated electricity and the diversity of soil
source exoelectrogenic bacteria. Seven soil samples were collected across China
and packed into air-cathode MFCs to generate electricity over a 270 days period.
The Fe(III)-reducing bacteria in soil were enriched and sequenced by Illumina
pyrosequencing. Culturable strains of Fe(III)-reducing bacteria were isolated and
identified phylogenetically. Their exoelectrogenic ability was evaluated by
polarization measurement. The results showed that soils with higher organic
carbon (OC) content but lower soil pH generated higher peak voltage and charge.
The sequencing of Fe(III)-reducing bacteria showed that Clostridia were dominant
in all soil samples. At the family level, Clostridiales Family XI incertae sedis
were dominant in soils with lower OC content but higher pH (>8), while
Clostridiaceae, Lachnospiraceae, and Planococcaceae were dominant in soils with
higher OC content but lower pH. The isolated culturable strains were allied
phylogenetically to 15 different species, of which 11 were Clostridium. The
others were Robinsoniella peoriensis, Hydrogenoanaerobacterium saccharovorans,
Eubacterium contortum, and Oscillibacter ruminantium. The maximum power density
generated by the isolates in the MFCs ranged from 16.4 to 28.6 mW m-2. We
concluded that soil OC content had the most important effect on power generation
and that the Clostridiaceae were the dominant exoelectrogenic bacterial group in
soil. This study might lead to the discovery of more soil source exoelectrogenic
bacteria species.
PMID- 27877169
TI - Draft Genome Sequence of a Multi-Metal Resistant Bacterium Pseudomonas putida ATH
43 Isolated from Greenwich Island, Antarctica.
PMID- 27877167
TI - Polycyclic Aromatic Hydrocarbon-Induced Changes in Bacterial Community Structure
under Anoxic Nitrate Reducing Conditions.
AB - Although bacterial anaerobic degradation of mono-aromatic compounds has been
characterized in depth, the degradation of polycyclic aromatic hydrocarbons
(PAHs) such as naphthalene has only started to be understood in sulfate reducing
bacteria, and little is known about the anaerobic degradation of PAHs in nitrate
reducing bacteria. Starting from a series of environments which had suffered
different degrees of hydrocarbon pollution, we used most probable number (MPN)
enumeration to detect and quantify the presence of bacterial communities able to
degrade several PAHs using nitrate as electron acceptor. We detected the presence
of a substantial nitrate reducing community able to degrade naphthalene, 2
methylnaphthalene (2MN), and anthracene in some of the sites. With the aim of
isolating strains able to degrade PAHs under denitrifying conditions, we set up a
series of enrichment cultures with nitrate as terminal electron acceptor and PAHs
as the only carbon source and followed the changes in the bacterial communities
throughout the process. Results evidenced changes attributable to the imposed
nitrate respiration regime, which in several samples were exacerbated in the
presence of the PAHs. The presence of naphthalene or 2MN enriched the community
in groups of uncultured and poorly characterized organisms, and notably in the
Acidobacteria uncultured group iii1-8, which in some cases was only a minor
component of the initial samples. Other phylotypes selected by PAHs in these
conditions included Bacilli, which were enriched in naphthalene enrichments.
Several nitrate reducing strains showing the capacity to grow on PAHs could be
isolated on solid media, although the phenotype could not be reproduced in liquid
cultures. Analysis of known PAH anaerobic degradation genes in the original
samples and enrichment cultures did not reveal the presence of PAH-related nmsA
like sequences but confirmed the presence of bssA-like genes related to anaerobic
toluene degradation. Altogether, our results suggest that PAH degradation by
nitrate reducing bacteria may require the contribution of different strains,
under culture conditions that still need to be defined.
PMID- 27877170
TI - Comparison of S. stercoralis Serology Performed on Dried Blood Spots and on
Conventional Serum Samples.
AB - Background: Dried blood spots (DBS) are used for epidemiological surveys on
infectious diseases in settings where limited resources are available. In fact,
DBS can help to overcome logistic difficulties for the collection, transport and
storage of biological specimens. Objective: To evaluate the accuracy of
Strongyloides stercoralis serology performed on DBS. Methods: A survey was
proposed to children attending a school in the village of Borbon, Ecuador, and to
their parents/guardians. Each participant gave consent to the collection of both
serum and DBS specimens. DBS absorbed on filter papers were analyzed with a
commercially available ELISA test for S. stercoralis antibodies, as well as with
standard serology. The agreement between the two methods was assessed through the
Cohen's kappa coefficient. Results: The study sample was composed of 174 children
and 61 adults, for a total of 235 serum and 235 DBS samples. The serology was
positive in 31/235 (13%) serum samples, and in 27/235 (11%) DBS: 4 samples
resulted discordant (positive at standard serology). Cohen's kappa coefficient
was 0.921 (95% CI 0.845 - 0.998), indicating a high rate of concordance.
Conclusion: DBS are suitable for in field-surveys requiring serological testing
for S. stercoralis.
PMID- 27877171
TI - Distinct Factors Shape Aquatic and Sedimentary Microbial Community Structures in
the Lakes of Western China.
AB - Little is known about the relative importance of spatial and environmental
factors to structuring aquatic and sedimentary microbial biogeography in lakes.
Here, we investigated the microbial community composition (MCC) of the water (n =
35) and sediment (n = 35) samples from 16 lakes in western China (salinity:
freshwater to salt saturation; pairwise geographical distance: 9-2027 km) using
high-throughput sequencing and evaluated the relative importance of spatial and
environmental factors to microbial (including total, abundant, and rare)
distributions. Our results showed that spatial factors were more important than
environmental factors in shaping the biogeography of aquatic and sedimentary
microbial communities in the studied lakes, and spatial factors on abundant
microbial community was stronger than that on the total/rare microbial
communities. Moreover, sedimentary rare MCC might be more sensitive to
environmental factors than its aquatic counterpart. Such different biogeography
responses of total, abundant, and rare communities to environmental and spatial
factors could be ascribed to different physiochemical properties between water
and sediment. Collectively, this study expands our understanding of factors
shaping microbial biogeography of total, abundant, and rare communities between
waters and sediments of lakes.
PMID- 27877172
TI - Regulated Inflammation and Lipid Metabolism in Colon mRNA Expressions of Obese
Germfree Mice Responding to Enterobacter cloacae B29 Combined with the High Fat
Diet.
AB - Increased evidences have demonstrated that gut microbiota targeted diet
intervention can alleviate obesity and related metabolic disorders. The
underlying mechanism of interactions among diet, microbiota, and host still
remains unclear. Enterobacter cloacae B29, an endotoxin-producing strain
dominated in the gut of a morbidly obese volunteer (weight 174.8 kg, BMI 58.8 kg
m-2) was isolated and transplanted to germfree mice (inoculated 1010 cells of B29
per day for 1 week). Using deep mRNA sequencing technology, we compared different
gene expression profiles in the colon samples of the germfree mice treated
with/without B29 and/or high fat diet (HFD) for 16 weeks and identified 279
differential expressed genes in total, including up-regulated genes Apoa4 (fold
change, 2.77), Ido1 (2.66), Cyp4a10 (7.01), and down-regulated genes Cyp2e1
(0.11), Cyp26b1 (0.34), Akr1b7 (0.42), Adipoq (0.36), Cyp1a1 (0.11), Apoa1
(0.44), Npc1l1 (0.37), Tff2 (0.13), Apoc1 (0.30), Ctla2a (0.34), Mttp (0.49), Lpl
(0.48). Fifty-nine GO biological processes and five KEGG pathways, particularly
the peroxisome proliferator-activated receptors signaling pathway, were
significantly enriched in response to HFD+B29, which were mainly relevant to
inflammation and the metabolism of lipid, lipoprotein, and sterols. These
functional changes were consistent with the developed obesity, insulin
resistance, and aggravated inflammatory conditions of the HFD+B29 mice. This work
provides insight into the gene expression changes in response to HFD+B29, helping
to understand the mechanism of the interactions among HFD, B29 and the germfree
mice.
PMID- 27877174
TI - DNA Methyltransferase Inhibitor Promotes Human CD4+CD25hFOXP3+ Regulatory T
Lymphocyte Induction under Suboptimal TCR Stimulation.
AB - The "master transcription factor" FOXP3 regulates the differentiation,
homeostasis, and suppressor function of CD4+ regulatory T (Treg) cells, which are
critical in maintaining immune tolerance. Epigenetic regulation of FOXP3
expression has been demonstrated to be important to Treg cell development, but
the induction of human Treg cells through epigenetic modification has not been
clearly described. We report that the combination of the DNA methyltransferase
inhibitor 5-azacytidine (5-Aza) and suboptimal T cell receptor (TCR) stimulation
promoted CD4+CD25hFOXP3+ T cell induction from human CD4+CD25- T cells. 5-Aza
treatment enhanced the expression of Treg cell signature genes, such as CD25,
FOXP3, CTLA-4, and GITR, in CD4+CD25h cells. Moreover, 5-Aza-treated CD4+CD25h T
cells showed potent suppressive activity in a cell contact-dependent manner and
reduced methylation in the Treg-specific demethylated region (TSDR) in the FOXP3
gene. The analysis of cytokine production revealed that CD4+CD25- T cells with 5
Aza treatment produced comparable levels of interferon (IFN)-gamma and
transforming growth factor (TGF)-beta, but less IL-10 and more IL-2, when
compared to cells without 5-Aza treatment. The increased IL-2 was indispensible
to the enhanced FOXP3 expression in 5-Aza-treated CD4+CD25h cells. Finally, 5-Aza
treated CD4+CD25h T cells could be expanded with IL-2 supplementation alone and
maintained FOXP3 expression and suppressor function through the expansion. Our
findings demonstrate that DNA demethylation can enhance the induction of human
Treg cells and promise to solve one of the challenges with using Treg cells in
therapeutic approaches.
PMID- 27877175
TI - Thymocytes in Lyve1-CRE/S1pr1f/f Mice Accumulate in the Thymus due to Cell
Intrinsic Loss of Sphingosine-1-Phosphate Receptor Expression.
AB - T cell emigration from the thymus is essential for immunological homeostasis.
While stromal cell-produced sphingosine-1-phosphate (S1P) has been shown to
promote thymocyte egress via the S1P receptor, S1PR1, the significance of
S1P/S1PR1 signaling in the thymic stromal cells that surround T cells remains
unclear. To address this issue, we developed conditional knockout mice (Lyve1
CRE/S1pr1f/f mice) in which S1pr1 was selectively targeted in cells expressing
the lymphatic endothelial cell marker, Lyve1. In these mice, T cells were
significantly reduced in secondary lymphoid tissues, and CD62L+ mature CD4 and
CD8 single-positive (SP) T cells accumulated in the medulla failed to undergo
thymus egress. Using a Lyve1 reporter strain in which Lyve1 lineage cells
expressed tdTomato fluorescent protein, we unexpectedly found that a considerable
proportion of the thymocytes were fluorescently labeled, indicating that they
belonged to the Lyve1 lineage. The CD4 and CD8 SP thymocytes in Lyve1
CRE/S1pr1f/f mice exhibited an egress-competent phenotype (HSAlow, CD62Lhigh, and
Qa-2high), but were CD69high and lacked S1PR1 expression. In addition, CD4 SP
thymocytes from these mice were unable to migrate to the periphery after their
intrathymic injection into wild-type (WT) mice. In contrast, WT T cells could
migrate to the periphery in both WT and Lyve1-CRE/S1pr1f/f thymuses. These
results demonstrated that thymocyte egress is mediated by T cell-expressed, but
not stromal cell-expressed, S1PR1 and caution against using the Lyve1-CRE system
for selectively gene deletion in lymphatic endothelial cells.
PMID- 27877173
TI - Stromal Fibroblasts in Tertiary Lymphoid Structures: A Novel Target in Chronic
Inflammation.
AB - Tertiary lymphoid structures (TLS) are organized aggregates of lymphocytes,
myeloid, and stromal cells that provide ectopic hubs for acquired immune
responses. TLS share phenotypical and functional features with secondary lymphoid
organs (SLO); however, they require persistent inflammatory signals to arise and
are often observed at target sites of autoimmune disease, chronic infection,
cancer, and organ transplantation. Over the past 10 years, important progress has
been made in our understanding of the role of stromal fibroblasts in SLO
development, organization, and function. A complex and stereotyped series of
events regulate fibroblast differentiation from embryonic life in SLOs to
lymphoid organ architecture observed in adults. In contrast, TLS-associated
fibroblasts differentiate from postnatal, locally activated mesenchyme,
predominantly in settings of inflammation and persistent antigen presentation.
Therefore, there are critical differences in the cellular and molecular
requirements that regulate SLO versus TLS development that ultimately impact on
stromal and hematopoietic cell function. These differences may contribute to the
pathogenic nature of TLS in the context of chronic inflammation and malignant
transformation and offer a window of opportunity for therapeutic interventions in
TLS associated pathologies.
PMID- 27877177
TI - Comparative Transcriptomic Analyses of Differentially Expressed Genes in
Transgenic Melatonin Biosynthesis Ovine HIOMT Gene in Switchgrass.
AB - Melatonin serves pleiotropic functions in prompting plant growth and resistance
to various stresses. The accurate biosynthetic pathway of melatonin remains
elusive in plant species, while the N-acetyltransferase and O-methyltransferase
were considered to be the last two key enzymes during its biosynthesis. To
investigate the biosynthesis and metabolic pathway of melatonin in plants, the
RNA-seq profile of overexpression of the ovine HIOMT was analyzed and compared
with the previous transcriptome of transgenic oAANAT gene in switchgrass, a model
plant for cellulosic ethanol production. A total of 946, 405, and 807
differentially expressed unigenes were observed in AANAT vs. control, HIOMT vs.
control, and AANAT vs. HIOMT, respectively. Two hundred and seventy-five
upregulated and 130 downregulated unigenes were detected in transgenic oHIOMT
line comparing with control, including the significantly upregulated (F-box/kelch
repeat protein, zinc finger BED domain-containing protein-3) genes, which were
potentially correlated with enhanced phenotypes of shoot, stem and root growth in
transgenic oHIOMT switchgrass. Several stress resistant related genes (SPX domain
containing membrane protein, copper transporter 1, late blight resistance protein
homolog R1A-6 OS etc.) were specifically and significantly upregulated in
transgenic oHIOMT only, while metabolism-related genes (phenylalanine-4
hydroxylase, tyrosine decarboxylase 1, protein disulfide-isomerase and galactinol
synthase 2 etc.) were significantly upregulated in transgenic oAANAT only. These
results provide new sights into the biosynthetic and physiological functional
networks of melatonin in plants.
PMID- 27877176
TI - The Arabidopsis TOR Kinase Specifically Regulates the Expression of Nuclear Genes
Coding for Plastidic Ribosomal Proteins and the Phosphorylation of the Cytosolic
Ribosomal Protein S6.
AB - Protein translation is an energy consuming process that has to be fine-tuned at
both the cell and organism levels to match the availability of resources. The
target of rapamycin kinase (TOR) is a key regulator of a large range of
biological processes in response to environmental cues. In this study, we have
investigated the effects of TOR inactivation on the expression and regulation of
Arabidopsis ribosomal proteins at different levels of analysis, namely from
transcriptomic to phosphoproteomic. TOR inactivation resulted in a coordinated
down-regulation of the transcription and translation of nuclear-encoded mRNAs
coding for plastidic ribosomal proteins, which could explain the chlorotic
phenotype of the TOR silenced plants. We have identified in the 5' untranslated
regions (UTRs) of this set of genes a conserved sequence related to the 5'
terminal oligopyrimidine motif, which is known to confer translational regulation
by the TOR kinase in other eukaryotes. Furthermore, the phosphoproteomic analysis
of the ribosomal fraction following TOR inactivation revealed a lower
phosphorylation of the conserved Ser240 residue in the C-terminal region of the
40S ribosomal protein S6 (RPS6). These results were confirmed by Western blot
analysis using an antibody that specifically recognizes phosphorylated Ser240 in
RPS6. Finally, this antibody was used to follow TOR activity in plants. Our
results thus uncover a multi-level regulation of plant ribosomal genes and
proteins by the TOR kinase.
PMID- 27877178
TI - An S-adenosyl Methionine Synthetase (SAMS) Gene from Andropogon virginicus L.
Confers Aluminum Stress Tolerance and Facilitates Epigenetic Gene Regulation in
Arabidopsis thaliana.
AB - Candidate clones which conferred Al tolerance to yeast transformants (TFs) were
obtained from a cDNA library derived from a highly Al-tolerant poaceae,
Andropogon virginicus L. One such clone, AL3A-4, encoded an S-adenosyl methionine
synthetase (SAMS) gene. A full-length cDNA was obtained by 5'-RACE, designated
AvSAMS1, and introduced into Arabidopsis thaliana to investigate its biological
functions under Al stress. Two TF plant lines both showed higher tolerance than
the Col-0 ecotype (non-TF) not only for Al stress, but also for Cu, Pb, Zn and
diamide stresses, suggesting the AvSAMS1 was a multiple tolerance gene. More than
40 of A. thaliana Al response-genes (Al induced genes and Al repressed genes)
were selected from microarray results and then used for investigations of DNA or
histone methylation status under Al stress in Col-0 and the AvSAMS1 TF line. The
results indicated that Al stress caused alterations of methylation status in both
DNA and histone H3 (H3K4me3 and H3K9me3) and that these alterations were
different between the AvSAMS1 TF and Col-0, suggesting the differences were
AvSAMS1-gene dependent. These results suggested the existence of AvSAMS1-related
epigenetic gene-regulation under Al stress.
PMID- 27877179
TI - Genome-Wide Identification of MicroRNAs and Their Targets in the Leaves and
Fruits of Eucommia ulmoides Using High-Throughput Sequencing.
AB - MicroRNAs (miRNAs), a group of endogenous small non-coding RNAs, play important
roles in plant growth, development, and stress response processes. Eucommia
ulmoides Oliver (hardy rubber tree) is one of the few woody plants capable of
producing trans-1, 4-polyisoprene (TPI), also known as Eu-rubber, which has been
utilized as an industrial raw material and is extensively cultivated in China.
However, the mechanism of TPI biosynthesis has not been identified in E.
ulmoides. To characterize small RNAs and their targets with potential biological
roles involved in the TPI biosynthesis in E. ulmoides, in the present study,
eight small RNA libraries were constructed and sequenced from young and mature
leaves and fruits of E. ulmoides. Further analysis identified 34 conserved miRNAs
belonging to 20 families (two unclassified families), and 115 novel miRNAs seemed
to be specific to E. ulmoides. Among these miRNAs, fourteen conserved miRNAs and
49 novel miRNAs were significantly differentially expressed and identified as Eu
rubber accumulation related miRNAs. Based on the E. ulmoides genomic data, 202
and 306 potential target genes were predicted for 33 conserved and 92 novel
miRNAs, respectively; the predicted targets are mostly transcription factors and
functional genes, which were enriched in metabolic pathways and biosynthesis of
secondary metabolites. Noticeably, based on the expression patterns of miRNAs and
their target genes in combination with the Eu-rubber accumulation, the negative
correlation of expression of six miRNAs (Eu-miR14, Eu-miR91, miR162a, miR166a,
miR172c, and miR396a) and their predicted targets serving as potential regulators
in Eu-rubber accumulation. This study is the first to detect conserved and novel
miRNAs and their potential targets in E. ulmoides and identify several candidate
genes potentially controlling rubber accumulation, and thus provide molecular
evidence for understanding the roles of miRNAs in regulating the TPI biosynthesis
in E. ulmoides.
PMID- 27877180
TI - Heat Stress Is More Damaging to Superior Spikelets than Inferiors of Rice (Oryza
sativa L.) due to Their Different Organ Temperatures.
AB - In general, the fertility and kernel weight of inferior spikelets of rice (Oryza
Sativa L.) are obviously lower than those of superior spikelets, especially under
abiotic stress. However, different responses to heat stress are seemed to show
between the superior and inferior spikelet, and this response is scarcely
documented that the intrinsic factors remain elusive. In order to reveal the
mechanism underlying, two rice plants with different heat tolerance were
subjected to heat stress of 40 degrees C at anthesis. The results indicated that
a greater decrease in fertility and kernel weight was observed in superior
spikelets compared to inferior spikelets. This decrease was primarily ascribed to
their different organ temperatures, in which the temperature of the superior
spikelets was significantly higher than that of inferior spikelets. We inferred
the differences in canopy temperature, light intensity and panicle types, were
the primary reasons for the temperature difference between superior and inferior
spikelets. Under heat stress, the fertility and kernel weight of superior and
inferior spikelets decreased as the panicle numbers per plant were reduced, which
was accompanied by significantly increasing the canopy temperatures. Thus, it was
suggested that the rice plant with characteristic features of an upright growth
habit and loose panicles might be more susceptible to heat stress resulting from
their higher canopy and spikelets temperatures.
PMID- 27877181
TI - Plant Metabolic Engineering Strategies for the Production of Pharmaceutical
Terpenoids.
AB - Pharmaceutical terpenoids belong to the most diverse class of natural products.
They have significant curative effects on a variety of diseases, such as cancer,
cardiovascular diseases, malaria and Alzheimer's disease. Nowadays, elicitors,
including biotic and abiotic elicitors, are often used to activate the pathway of
secondary metabolism and enhance the production of target terpenoids. Based on
Agrobacterium-mediated genetic transformation, several plant metabolic
engineering strategies hold great promise to regulate the biosynthesis of
pharmaceutical terpenoids. Overexpressing terpenoids biosynthesis pathway genes
in homologous and ectopic plants is an effective strategy to enhance the yield of
pharmaceutical terpenoids. Another strategy is to suppress the expression of
competitive metabolic pathways. In addition, global regulation which includes
regulating the relative transcription factors, endogenous phytohormones and
primary metabolism could also markedly increase their yield. All these strategies
offer great opportunities to enhance the supply of scarce terpenoids drugs,
reduce the price of expensive drugs and improve people's standards of living.
PMID- 27877182
TI - Insights from the Cold Transcriptome and Metabolome of Dendrobium officinale:
Global Reprogramming of Metabolic and Gene Regulation Networks during Cold
Acclimation.
AB - Plant cold acclimation (CA) is a genetically complex phenomenon involving gene
regulation and expression. Little is known about the cascading pattern of gene
regulatroy network and the link between genes and metabolites during CA.
Dendrobium officinale (DOKM) is an important medicinal and ornamental plant and
hypersensitive to low temperature. Here, we used the large scale metabolomic and
transcriptomic technologies to reveal the response to CA in DOKM seedlings based
on the physiological profile analyses. Lowering temperature from 4 to -2 degrees
C resulted in significant increase (P < 0.01) in antioxidant activities and
electrolyte leakage (EL) during 24 h. The fitness CA piont of 0 degrees C and
control (20 degrees C) during 20 h were firstly obtained according to
physiological analyses. Subsequently, massive transcriptome and metabolome
reprogramming occurred during CA. The gene to metabolite network demonstrated
that the CA associated processes are highly energy demanding through activating
hydrolysis of sugars, amino acids catabolism and citrate cycle. The expression
levels of 2,767 genes were significantly affected by CA, including 153-fold
upregulation of CBF transcription factor, 56-fold upregulation of MAPKKK16
protein kinase. Moreover, the gene interaction and regulation network analysis
revealed that the CA as an active process, was regulated at the transcriptional,
post-transcriptional, translational and post-translational levels. Our findings
highligted a comprehensive regulatory mechanism including cold signal
transduction, transcriptional regulation, and gene expression, which contributes
a deeper understanding of the highly complex regulatory program during CA in
DOKM. Some marker genes identified in DOKM seedlings will allow us to understand
the role of each individual during CA by further functional analyses.
PMID- 27877183
TI - Time-Resolved Investigation of Molecular Components Involved in the Induction of
[Formula: see text] High Affinity Transport System in Maize Roots.
AB - The induction, i.e., the rapid increase of nitrate ([Formula: see text]) uptake
following the exposure of roots to the anion, was studied integrating
physiological and molecular levels in maize roots. Responses to [Formula: see
text] treatment were characterized in terms of changes in [Formula: see text]
uptake rate and plasma membrane (PM) H+-ATPase activity and related to
transcriptional and protein profiles of NRT2, NRT3, and PM H+-ATPase gene
families. The behavior of transcripts and proteins of ZmNRT2s and ZmNRT3s
suggested that the regulation of the activity of inducible high-affinity
transport system (iHATS) is mainly based on the transcriptional/translational
modulation of the accessory protein ZmNRT3.1A. Furthermore, ZmNRT2.1 and
ZmNRT3.1A appear to be associated in a ~150 kDa oligomer. The expression trend
during the induction of the 11 identified PM H+-ATPase transcripts indicates that
those mainly involved in the response to [Formula: see text] treatment are ZmHA2
and ZmHA4. Yet, partial correlation between the gene expression, protein levels
and enzyme activity suggests an involvement of post-transcriptional and post
translational mechanisms of regulation. A non-denaturing Deriphat-PAGE approach
allowed demonstrating for the first time that PM H+-ATPase can occur in vivo as
hexameric complex together with the already described monomeric and dimeric
forms.
PMID- 27877184
TI - Compromised Photosynthetic Electron Flow and H2O2 Generation Correlate with
Genotype-Specific Stomatal Dysfunctions during Resistance against Powdery Mildew
in Oats.
AB - Stomatal dysfunction known as "locking" has been linked to the elicitation of a
hypersensitive response (HR) following attack of fungal pathogens in cereals. We
here assess how spatial and temporal patterns of different resistance mechanisms,
such as HR and penetration resistance influence stomatal and photosynthetic
parameters in oat (Avena sativa) and the possible involvement of hydrogen
peroxide (H2O2) in the dysfunctions observed. Four oat cultivars with
differential resistance responses (i.e., penetration resistance, early and late
HR) to powdery mildew (Blumeria graminis f. sp. avenae, Bga) were used. Results
demonstrated that stomatal dysfunctions were genotype but not response-type
dependent since genotypes with similar resistance responses when assessed
histologically showed very different locking patterns. Maximum quantum yield
(Fv/Fm) of photosystem II were compromised in most Bga-oat interactions and
photoinhibition increased. However, the extent of the photosynthetic alterations
was not directly related to the extent of HR. H2O2 generation is triggered during
the execution of resistance responses and can influence stomatal function.
Artificially increasing H2O2 by exposing plants to increased light intensity
further reduced Fv/Fm ratios and augmented the patterns of stomatal dysfunctions
previously observed. The latter results suggest that the observed dysfunctions
and hence a cost of resistance may be linked with oxidative stress occurring
during defense induced photosynthetic disruption.
PMID- 27877186
TI - Selection of Reference Genes for RT-qPCR Analysis in Coccinella septempunctata to
Assess Un-intended Effects of RNAi Transgenic Plants.
AB - The development of genetically engineered plants that employ RNA interference
(RNAi) to suppress invertebrate pests opens up new avenues for insect control.
While this biotechnology shows tremendous promise, the potential for both non
target and off-target impacts, which likely manifest via altered mRNA expression
in the exposed organisms, remains a major concern. One powerful tool for the
analysis of these un-intended effects is reverse transcriptase-quantitative
polymerase chain reaction, a technique for quantifying gene expression using a
suite of reference genes for normalization. The seven-spotted ladybeetle
Coccinella septempunctata, a commonly used predator in both classical and
augmentative biological controls, is a model surrogate species used in the
environmental risk assessment (ERA) of plant incorporated protectants (PIPs).
Here, we assessed the suitability of eight reference gene candidates for the
normalization and analysis of C. septempunctata v-ATPase A gene expression under
both biotic and abiotic conditions. Five computational tools with distinct
algorisms, geNorm, Normfinder, BestKeeper, the DeltaCt method, and RefFinder,
were used to evaluate the stability of these candidates. As a result, unique sets
of reference genes were recommended, respectively, for experiments involving
different developmental stages, tissues, and ingested dsRNAs. By providing a
foundation for standardized RT-qPCR analysis in C. septempunctata, our work
improves the accuracy and replicability of the ERA of PIPs involving RNAi
transgenic plants.
PMID- 27877185
TI - Lead Phytochemicals for Anticancer Drug Development.
AB - Cancer is a serious concern at present. A large number of patients die each year
due to cancer illnesses in spite of several interventions available. Development
of an effective and side effects lacking anticancer therapy is the trending
research direction in healthcare pharmacy. Chemical entities present in plants
proved to be very potential in this regard. Bioactive phytochemicals are
preferential as they pretend differentially on cancer cells only, without
altering normal cells. Carcinogenesis is a complex process and includes multiple
signaling events. Phytochemicals are pleiotropic in their function and target
these events in multiple manners; hence they are most suitable candidate for
anticancer drug development. Efforts are in progress to develop lead candidates
from phytochemicals those can block or retard the growth of cancer without any
side effect. Several phytochemicals manifest anticancer function in vitro and in
vivo. This article deals with these lead phytomolecules with their action
mechanisms on nuclear and cellular factors involved in carcinogenesis.
Additionally, druggability parameters and clinical development of anticancer
phytomolecules have also been discussed.
PMID- 27877187
TI - Engineering Plant Immunity: Using CRISPR/Cas9 to Generate Virus Resistance.
AB - Plant viruses infect many economically important crops, including wheat, cotton,
maize, cassava, and other vegetables. These viruses pose a serious threat to
agriculture worldwide, as decreases in cropland area per capita may cause
production to fall short of that required to feed the increasing world
population. Under these circumstances, conventional strategies can fail to
control rapidly evolving and emerging plant viruses. Genome-engineering
strategies have recently emerged as promising tools to introduce desirable traits
in many eukaryotic species, including plants. Among these genome engineering
technologies, the CRISPR (clustered regularly interspaced palindromic
repeats)/CRISPR-associated 9 (CRISPR/Cas9) system has received special interest
because of its simplicity, efficiency, and reproducibility. Recent studies have
used CRISPR/Cas9 to engineer virus resistance in plants, either by directly
targeting and cleaving the viral genome, or by modifying the host plant genome to
introduce viral immunity. Here, we briefly describe the biology of the
CRISPR/Cas9 system and plant viruses, and how different genome engineering
technologies have been used to target these viruses. We further describe the main
findings from recent studies of CRISPR/Cas9-mediated viral interference and
discuss how these findings can be applied to improve global agriculture. We
conclude by pinpointing the gaps in our knowledge and the outstanding questions
regarding CRISPR/Cas9-mediated viral immunity.
PMID- 27877188
TI - Identification of Genomic Associations for Adult Plant Resistance in the
Background of Popular South Asian Wheat Cultivar, PBW343.
AB - Rusts, a fungal disease as old as its host plant wheat, has caused havoc for over
8000 years. As the rust pathogens can evolve into new virulent races which
quickly defeat the resistance that primarily rely on race specificity, adult
plant resistance (APR) has often been found to be race non-specific and hence is
considered to be a more reliable and durable strategy to combat this malady. Over
decades sets of donor lines have been identified at International Maize and Wheat
Improvement Center (CIMMYT) representing a wide range of APR sources in wheat. In
this study, using nine donors and a common parent "PBW343," a popular Green
Revolution variety at CIMMYT, the nested association mapping (NAM) population of
1122 lines was constructed to understand the APR genetics underlying these
founder lines. Thirty-four QTL were associated with APR to rusts, and 20 of 34
QTL had pleiotropic effects on SR, YR and LR resistance. Three chromosomal
regions, associated with known APR genes (Sr58/Yr29/Lr46, Sr2/Yr30/Lr27, and
Sr57/Yr18/Lr34), were also identified, and 13 previously reported QTL regions
were validated. Of the 18 QTL first detected in this study, 7 were pleiotropic
QTL, distributing on chromosomes 3A, 3B, 6B, 3D, and 6D. The present
investigation revealed the genetic relationship of historical APR donor lines,
the novel knowledge on APR, as well as the new analytical methodologies to
facilitate the applications of NAM design in crop genetics. Results shown in this
study will aid the parental selection for hybridization in wheat breeding, and
envision the future rust management breeding for addressing potential threat to
wheat production and food security.
PMID- 27877189
TI - Genome-Wide Differences in DNA Methylation Changes in Two Contrasting Rice
Genotypes in Response to Drought Conditions.
AB - Differences in drought stress tolerance within diverse rice genotypes have been
attributed to genetic diversity and epigenetic alterations. DNA methylation is an
important epigenetic modification that influences diverse biological processes,
but its effects on rice drought stress tolerance are poorly understood. In this
study, methylated DNA immunoprecipitation sequencing and an Affymetrix GeneChip
rice genome array were used to profile the DNA methylation patterns and
transcriptomes of the drought-tolerant introgression line DK151 and its drought
sensitive recurrent parent IR64 under drought and control conditions. The
introgression of donor genomic DNA induced genome-wide DNA methylation changes in
DK151 plants. A total of 1190 differentially methylated regions (DMRs) were
detected between the two genotypes under normal growth conditions, and the DMR
associated genes in DK151 plants were mainly related to stress response,
programmed cell death, and nutrient reservoir activity, which are implicated to
constitutive drought stress tolerance. A comparison of the DNA methylation
changes in the two genotypes under drought conditions indicated that DK151 plants
have a more stable methylome, with only 92 drought-induced DMRs, than IR64 plants
with 506 DMRs. Gene ontology analyses of the DMR-associated genes in drought
stressed plants revealed that changes to the DNA methylation status of genotype
specific genes are associated with the epigenetic regulation of drought stress
responses. Transcriptome analysis further helped to identify a set of 12 and 23
DMR-associated genes that were differentially expressed in DK151 and IR64,
respectively, under drought stress compared with respective controls. Correlation
analysis indicated that DNA methylation has various effects on gene expression,
implying that it affects gene expression directly or indirectly through diverse
regulatory pathways. Our results indicate that drought-induced alterations to DNA
methylation may influence an epigenetic mechanism that regulates the expression
of unique genes responsible for drought stress tolerance.
PMID- 27877191
TI - Corrigendum: High atomic weight, high-energy radiation (HZE) induces
transcriptional responses shared with conventional stresses in addition to a core
"DSB" response specific to clastogenic treatments.
AB - [This corrects the article on p. 364 in vol. 5, PMID: 25136344.].
PMID- 27877190
TI - Insights into the Sesquiterpenoid Pathway by Metabolic Profiling and De novo
Transcriptome Assembly of Stem-Chicory (Cichorium intybus Cultigroup
"Catalogna").
AB - Stem-chicory of the "Catalogna" group is a vegetable consumed for bitter-flavored
stems. Type and levels of bitter sesquiterpene lactones (STLs) participate in
conferring bitterness in vegetables. The content of lactucin-and lactucopocrin
like STLs was higher in "Molfettese" than "Galatina" landrace stalks, regardless
of the cultivation sites, consistently with bitterness scores and gustative
differences. The "Galatina" transcriptome assembly resulted in 58,872 unigenes,
77% of which were annotated, paving the way to molecular investigation of the STL
pathway. Comparative transcriptome analysis allowed the identification of 69,352
SNPs and of 1640 differentially expressed genes that maintained the pattern
independently of the site. Enrichment analyses revealed that 4 out of 29 unigenes
were up-regulated in "Molfettese" vs "Galatina" within the sesquiterpenoid
pathway. The expression of two germacrene A -synthase (GAS) and one -oxidase
(GAO) genes of the costunolide branch correlated positively with the contents of
lactucin-like molecules, supporting that STL biosynthesis regulation occurs at
the transcriptional level. Finally, 46 genes encoding transcription factors (TFs)
maintained a differential expression pattern between the two varieties regardless
of the growth site; correlation analyses among TFs, GAS, GAO gene expressions and
STLs contents suggest that one MYB and one bHLH may act in the pathway.
PMID- 27877192
TI - Pure and Confounded Effects of Causal SNPs on Longevity: Insights for Proper
Interpretation of Research Findings in GWAS of Populations with Different Genetic
Structures.
AB - This paper shows that the effects of causal SNPs on lifespan, estimated through
GWAS, may be confounded and the genetic structure of the study population may be
responsible for this effect. Simulation experiments show that levels of linkage
disequilibrium (LD) and other parameters of the population structure describing
connections between two causal SNPs may substantially influence separate
estimates of the effect of the causal SNPs on lifespan. This study suggests that
differences in LD levels between two causal SNP loci within two study populations
may contribute to the failure to replicate previous GWAS findings. The results of
this paper also show that successful replication of the results of genetic
association studies does not necessarily guarantee proper interpretation of the
effect of a causal SNP on lifespan.
PMID- 27877193
TI - A Combined Linkage and Exome Sequencing Analysis for Electrocardiogram Parameters
in the Erasmus Rucphen Family Study.
AB - Electrocardiogram (ECG) measurements play a key role in the diagnosis and
prediction of cardiac arrhythmias and sudden cardiac death. ECG parameters, such
as the PR, QRS, and QT intervals, are known to be heritable and genome-wide
association studies of these phenotypes have been successful in identifying
common variants; however, a large proportion of the genetic variability of these
traits remains to be elucidated. The aim of this study was to discover loci
potentially harboring rare variants utilizing variance component linkage analysis
in 1547 individuals from a large family-based study, the Erasmus Rucphen Family
Study (ERF). Linked regions were further explored using exome sequencing. Five
suggestive linkage peaks were identified: two for QT interval (1q24, LOD = 2.63;
2q34, LOD = 2.05), one for QRS interval (1p35, LOD = 2.52) and two for PR
interval (9p22, LOD = 2.20; 14q11, LOD = 2.29). Fine-mapping using exome sequence
data identified a C > G missense variant (c.713C > G, p.Ser238Cys) in the FCRL2
gene associated with QT (rs74608430; P = 2.8 * 10-4, minor allele frequency =
0.019). Heritability analysis demonstrated that the SNP explained 2.42% of the
trait's genetic variability in ERF (P = 0.02). Pathway analysis suggested that
the gene is involved in cytosolic Ca2+ levels (P = 3.3 * 10-3) and AMPK
stimulated fatty acid oxidation in muscle (P = 4.1 * 10-3). Look-ups in
bioinformatics resources showed that expression of FCRL2 is associated with
ARHGAP24 and SETBP1 expression. This finding was not replicated in the Rotterdam
study. Combining the bioinformatics information with the association and linkage
analyses, FCRL2 emerges as a strong candidate gene for QT interval.
PMID- 27877194
TI - Adjuvant high dose rate brachytherapy for soft tissue sarcomas: initial
experience report.
AB - PURPOSE: Adjuvant high-dose-rate brachytherapy (HDRBT) offers advantages over low
dose rate brachytherapy (LDRBT), although there are little data on local tumor
control and treatment related toxicity. We report outcome in patients with
primary, recurrent, and metastatic extremity and superficial trunk soft tissue
sarcoma. MATERIAL AND METHODS: Eleven patients (12 sites) with intermediate or
high grade sarcoma were treated with adjuvant HDRBT following surgical resection.
Patients were treated at 3.4 Gy fractions delivered twice daily to a total dose
of 34 Gy (1 patient received 9 fractions). RESULTS: With median follow-up of 20.8
months, 1 patient developed a local recurrence. 2-year local control and overall
survival are 89% and 71%, respectively. Wound complications occurred in 3 sites.
Two of the wound complications developed in the area of previous external beam
radiotherapy (EBRT). CONCLUSION: Surgical resection followed by HDRBT is
associated with excellent early local tumor control and acceptable wound
complication.
PMID- 27877195
TI - Pulsed dose rate brachytherapy as the boost in combination with external beam
irradiation in base of tongue cancer. Long-term results from a uniform clinical
series.
AB - PURPOSE: To evaluate long time outcome with regard to local tumour control, side
effects and quality of life of combined pulsed dose rate (PDR) boost and
hyperfractionated accelerated external beam radiotherapy (EBRT) for primary base
of tongue (BOT) cancers. MATERIAL AND METHODS: Between 1994 and 2007, the number
of 83 patients were treated with primary T1-T4 BOT cancers. Seven patients (8%)
were T1-2N0 (AJCC stage I-II) and 76 (92%) patients were T1-2N+ or T3-4N0-2 (AJCC
stage III-IV). The mean estimated primary tumour volume was 15.4 (1-75) cm3. EBRT
was given with 1.7 Gy bid to 40.8 Gy to primary tumour and bilateral neck lymph
nodes in 2.5 weeks. PDR boost of 35 Gy and a neck dissection in clinical node
positive case was performed 2-3 weeks later. The patients were followed for a
median of 54 (2-168) months. RESULTS: The 2-, 5- and 10-years rates of actuarial
local control were 91%, 89% and 85%, overall survival 85%, 65% and 44%, disease
free survival 86%, 80% and 76%, respectively. The regional control rate was 95%.
Six patients (7%) developed distant metastases. A dosimetric analysis showed a
mean of 100% isodose volume of 58.2 (16.7-134) cm3. In a review of late
complications 11 cases of minor (13%) and 5 of major soft tissue necroses (6%),
as well as 6 cases of osteoradionecroses (7%) were found. The patients median
subjective SOMA/LENT scoring at last follow up was as follow: grade 0 for pain
and trismus, grade 1 for dysphagia and taste alteration, and grade 2 for
xerostomia. Global visual-analogue-scale (VAS) scoring of quality of life was 8.
CONCLUSION: Local and regional tumour control rate was excellent in this
treatment protocol. The data shows the PDR boost as at least as effective as
published continuous low dose rate (CLDR) results.
PMID- 27877196
TI - Prophylactic urethral stenting with Memokath(r) 028SW in prostate cancer patients
undergoing prostate 125I seed implants: phase I/II study.
AB - PURPOSE: To study the feasibility/toxicity of urethral stenting with the
Memokath(r) 028SW stent in patients undergoing prostate implant (PI) for prostate
adenocarcinoma. MATERIAL AND METHODS: An Investigational Device Exemption from
the Food and Drug Administration (FDA) and institutional review board (IRB)
approval were obtained. Twenty patients enrolled. Baseline American Urological
Association (AUA) score was obtained prior to PI. Follow-up information was
obtained with weekly phone calls for the first 12 weeks and biweekly calls for
the next 12 weeks to assess toxicity and AUA score. Removal of the stent was
planned at six months after PI, or earlier due to excessive toxicity/patient
request. RESULTS: Median age was 66.5 years. The median prostate volume was 39 cc
(range: 10-90). The median baseline AUA score was 7.5 (range: 1-21). Three
patients required intermittent self-catheterization (ISC) within 3 days after PI.
No patients required ISC beyond day 3 after PI. The median duration of ISC was 1
day (range: 1-2). AUA scores returned to baseline values 6 weeks after PI. The
week 6 AUA score was 10 (range: 4-16). Seven patients (35%) underwent early
removal because of patient preference. The reasons were: incontinence (n = 3),
discomfort (n = 2), hematuria (n = 1), and obstructive symptoms (n = 1). The
median time of stent removal in these patients was 13.9 weeks (range: 0.9-21.4).
Thirteen patients (65%) had ISC and/or urinary catheterization post stent
removal. Median time for ISC use was 10 days (range: 1-90). CONCLUSIONS: Urethral
stenting with Memokath(r) in patients undergoing PI was feasible, but resulted in
relatively high rate of urinary incontinence and discomfort. Given the adverse
effects experienced by patients of this study, further studies should focus only
on patients with highest risk of urinary obstruction from PI or those with
obstruction needing ISC.
PMID- 27877197
TI - Evaluation of variation in dose of organs at risk in intracavitary brachytherapy
of cervical cancer - a prospective study.
AB - PURPOSE: The aim of this work was to evaluate the variation of dose in organs at
risk (OARs) in fractionated high dose rate intracavitary brachytherapy (HDR-BT)
for cervical cancer. MATERIAL AND METHODS: A prospective study was carried out on
20 cervical cancer patients treated with fractionated (HDR-BT). International
Commission on Radiation Units and Measurement (ICRU) bladder (bICRU) and rectum
(rICRU) points were defined according to ICRU Report 38, using two orthogonal
radiograph images taken by Simulator (Simulix HQ(r)) and prospectively kept to
less than 80% of prescription dose to point A during real treatment planning
process using the Plato Sunrise Treatment Planning System(r). RESULTS: The
average variation of individuals in estimated doses of OARs in the inter
fractional as well as in a single fraction were 2.4% and 0.7% of point A for
rICRU, 4.3% and 1.6% for bICRU, and 0.8% & 0.2% for point B, whereas point A
itself was found to be 1.6% & 0.6%. CONCLUSIONS: Average variation of the
delivery of dose per fraction was found well within the recommended limit. The
study observed smaller variation of doses to OARs which could present better
reproducibility of geometry of (HDR-BT) applicators and its relative displacement
with critical structures. Transportation of patient from simulator room to
treatment room causes small uncertainties in delivery dose.
PMID- 27877198
TI - Dosimetric evaluation of internal shielding in a high dose rate skin applicator.
AB - PURPOSE: The Valencia HDR applicators are accessories of the microSelectron HDR
afterloading system (Nucletron) shaped as truncated cones. The base of the cone
is either 2 or 3 cm diameter. They are intended to treat skin lesions, being the
typical prescription depth 3 mm. In patients with eyelid lesions, an internal
shielding is very useful to reduce the dose to the ocular globe. The purpose of
this work was to evaluate the dose enhancement from potential backscatter and
electron contamination due to the shielding. MATERIAL AND METHODS: Two methods
were used: a) Monte Carlo simulation, performed with the GEANT4 code, 2 cm
Valencia applicator was placed on the surface of a water phantom in which 2 mm
lead slab was located at 3 mm depth; b) radiochromic EBT films, used to verify
the Monte Carlo results, positioning the films at 1.5, 3, 5 and 7 mm depth,
inside the phantom. Two irradiations, with and without the lead shielding slab,
were carried out. RESULTS: The Monte Carlo results showed that due to the
backscatter component from the lead, the dose level raised to about 200% with a
depth range of 0.5 mm. Under the lead the dose level was enhanced to about 130%
with a depth range of 1 mm. Two millimeters of lead reduce the dose under the
slab with about 60%. These results agree with film measurements within
uncertainties. CONCLUSIONS: In conclusion, the use of 2 mm internal lead
shielding in eyelid skin treatments with the Valencia applicators were evaluated
using MC methods and EBT film dosimetry. The minimum bolus thickness that was
needed above and below the shielding was 0.5 mm and 1 mm respectively, and the
shielding reduced the absorbed dose delivered to the ocular globe by about 60%.
PMID- 27877199
TI - Revision of the dosimetric parameters of the CSM11 LDR Cs-137 source.
AB - PURPOSE: The clinical use of brachytherapy sources requires the existence of
dosimetric data with enough of quality for the proper application of treatments
in clinical practice. It has been found that the published data for the low dose
rate CSM11 Cs-137 source lacks of smoothness in some regions because the data are
too noisy. The purpose of this study was to calculate the dosimetric data for
this source in order to provide quality dosimetric improvement of the existing
dosimetric data of Ballester et al. [1]. MATERIAL AND METHODS: In order to obtain
the dose rate distributions Monte Carlo simulations were done using the GEANT4
code. A spherical phantom 40 cm in radius with the Cs-137 source located at the
centre of the phantom was used. RESULTS: The results from Monte Carlo simulations
were applied to derive AAPM Task Group 43 dosimetric parameters: anisotropy
function, radial dose function, air kerma strength and dose rate constant. The
dose rate constant obtained was 1.094 +/- 0.002 cGy h-1 U-1. The new calculated
data agrees within experimental uncertainties with the existing data of Ballester
et al. but without the statistical noise of that study. CONCLUSIONS: The obtained
data presently fulfills all the requirements of the TG-43U1 update and thus it
can be used in clinical practice.
PMID- 27877201
TI - Can local adaptation research in plants inform selection of native plant
materials? An analysis of experimental methodologies.
AB - Local adaptation is used as a criterion to select plant materials that will
display high fitness in new environments. A large body of research has explored
local adaptation in plants, however, to what extent findings can inform
management decisions has not been formally evaluated. We assessed local
adaptation literature for six key experimental methodologies that have the
greatest effect on the application of research to selecting plant materials for
natural resource management: experimental environment, response variables,
maternal effects, intraspecific variation, selective agents, and spatial and
temporal variability. We found that less than half of experiments used reciprocal
transplants or natural field conditions, which are both informative for
revegetation and restoration. Population growth rate was rarely (5%) assessed,
and most studies measured only single generations (96%) and ran for less than a
year. Emergence and establishment are limiting factors in successful revegetation
and restoration, but the majority of studies measured later life-history stages
(66%). Additionally, most studies included limited replication at the population
and habitat levels and tested response to single abiotic selective factors (66%).
Local adaptation research should be cautiously applied to management; future
research could use alternative methodologies to allow managers to directly apply
findings.
PMID- 27877200
TI - Genomics advances the study of inbreeding depression in the wild.
AB - Inbreeding depression (reduced fitness of individuals with related parents) has
long been a major focus of ecology, evolution, and conservation biology. Despite
decades of research, we still have a limited understanding of the strength,
underlying genetic mechanisms, and demographic consequences of inbreeding
depression in the wild. Studying inbreeding depression in natural populations has
been hampered by the inability to precisely measure individual inbreeding.
Fortunately, the rapidly increasing availability of high-throughput sequencing
data means it is now feasible to measure the inbreeding of any individual with
high precision. Here, we review how genomic data are advancing our understanding
of inbreeding depression in the wild. Recent results show that individual
inbreeding and inbreeding depression can be measured more precisely with genomic
data than via traditional pedigree analysis. Additionally, the availability of
genomic data has made it possible to pinpoint loci with large effects
contributing to inbreeding depression in wild populations, although this will
continue to be a challenging task in many study systems due to low statistical
power. Now that reliably measuring individual inbreeding is no longer a
limitation, a major focus of future studies should be to more accurately quantify
effects of inbreeding depression on population growth and viability.
PMID- 27877202
TI - Rapid genetic and ecological differentiation during the northern range expansion
of the venomous yellow sac spider Cheiracanthium punctorium in Europe.
AB - Although poleward range expansions are commonly attributed to global change, a
complex interaction of ecological and evolutionary factors might contribute to
expansion success. Here, we study the expansion of the yellow sac spider
Cheiracanthium punctorium, a medically important species in Central Europe. Using
microsatellite markers and DNA sequences, morphological and climate niche
analyses, we identify factors associated with the spider's expansion success. Our
results indicate that the species' initial expansion has been triggered by
environmental change and preadaptation in the source populations. However,
despite extensive gene flow, expanding populations maintain genetic and
morphological differentiation from native ones, which is correlated with climatic
niche differences. Moreover, expanding spiders might have temporarily escaped an
eggsac parasite that causes high mortality in the native range. Hence, our
results paint a complex picture of diverse factors associated with expansion
success. We speculate that expanding populations might be capable of adapting to
novel ecological conditions in northern Europe. This could allow a substantial
range expansion, much farther than by environmental change alone. Our
distribution model predicts that the spider will soon massively spread over most
of northern Europe, bringing along considerable health concerns.
PMID- 27877203
TI - Past and present dynamics of sorghum and pearl millet diversity in Mount Kenya
region.
AB - Crop populations in smallholder farming systems are shaped by the interaction of
biological, ecological, and social processes, occurring on different
spatiotemporal scales. Understanding these dynamics is fundamental for the
conservation of crop genetic resources. In this study, we investigated the
processes involved in sorghum and pearl millet diversity dynamics on Mount Kenya.
Surveys were conducted in ten sites distributed along two elevation transects and
occupied by six ethnolinguistic groups. Varieties of both species grown in each
site were inventoried and characterized using SSR markers. Genetic diversity was
analyzed using both individual- and population-based approaches. Surveys of seed
lot sources allowed characterizing seed-mediated gene flow. Past sorghum
diffusion dynamics were explored by comparing Mount Kenya sorghum diversity with
that of the African continent. The absence of structure in pearl millet genetic
diversity indicated common ancestry and/or important pollen- and seed-mediated
gene flow. On the contrary, sorghum varietal and genetic diversity showed
geographic patterns, pointing to different ancestry of varieties, limited pollen
mediated gene flow, and geographic patterns in seed-mediated gene flow. Social
and ecological processes involved in shaping seed-mediated gene flow are further
discussed.
PMID- 27877204
TI - Increases in the mean and variability of thermal regimes result in differential
phenotypic responses among genotypes during early ontogenetic stages of lake
sturgeon (Acipenser fulvescens).
AB - Climate change is affecting thermal conditions worldwide. Understanding
organismal responses associated with predicted changes are essential for
predicting population persistence. Few studies have examined the effects of both
increased mean and variance in temperature on organismal traits, particularly
during early life stages. Using lake sturgeon (Acipenser fulvescens) from Black
Lake, MI, we tested whether phenotypic variation differed among families reared
in two constant (10 and 18 degrees C) and two fluctuating-temperature treatments
(10-19 degrees C) representing temperatures experienced in the river and a
simulated anthropogenic disturbance. Body length, body area, and yolk-sac area
were quantified at hatch. Family-by-treatment interactions explained up to 50% of
the variance observed among families in offspring hatch traits. Families
incubated in 18 degrees C and the fluctuating anthropogenic treatment had 6-10
times higher variance in traits than those incubated at 10 degrees C. Hatched
larvae were placed in raceways with ambient river water. Emergence body length,
emergence timing, and growth were quantified upon emergence. Families differed in
time to emergence and growth with the greatest range observed in the 18 degrees C
treatment. Results demonstrate that differential responses among genotypes to
changes in the mean and variability of thermal incubation regimes can affect
traits at hatch as well as a subsequent ontogenetic stage.
PMID- 27877206
TI - Genomic signatures among Oncorhynchus nerka ecotypes to inform conservation and
management of endangered Sockeye Salmon.
AB - Conservation of life history variation is an important consideration for many
species with trade-offs in migratory characteristics. Many salmonid species
exhibit both resident and migratory strategies that capitalize on benefits in
freshwater and marine environments. In this study, we investigated genomic
signatures for migratory life history in collections of resident and anadromous
Oncorhynchus nerka (Kokanee and Sockeye Salmon, respectively) from two lake
systems, using ~2,600 SNPs from restriction-site-associated DNA sequencing (RAD
seq). Differing demographic histories were evident in the two systems where one
pair was significantly differentiated (Redfish Lake, FST = 0.091 [95% confidence
interval: 0.087 to 0.095]) but the other pair was not (Alturas Lake, FST = -0.007
[-0.008 to -0.006]). Outlier and association analyses identified several
candidate markers in each population pair, but there was limited evidence for
parallel signatures of genomic variation associated with migration. Despite lack
of evidence for consistent markers associated with migratory life history in this
species, candidate markers were mapped to functional genes and provide evidence
for adaptive genetic variation within each lake system. Life history variation
has been maintained in these nearly extirpated populations of O. nerka, and
conservation efforts to preserve this diversity are important for long-term
resiliency of this species.
PMID- 27877207
TI - Sockeye salmon repatriation leads to population re-establishment and rapid
introgression with native kokanee.
AB - Re-establishing salmonid populations to areas historically occupied has the
substantial potential for conservation gains; however, such interventions also
risk negatively impacting native resident stocks. Here, we assessed the success
of the hatchery-assisted reintroduction of anadromous sockeye salmon
(Oncorhynchus nerka) into Skaha Lake, British Columbia, Canada, and evaluated the
genetic consequences for native kokanee, a freshwater-obligate ecotype, using
single nucleotide polymorphism genotypic data collected from the reference
samples of spawning Okanagan River sockeye and Skaha Lake kokanee presockeye
reintroduction, along with annual trawl survey and angler-caught samples obtained
over an eight-year period. Significant differentiation was detected between
sockeye and kokanee reference samples, with >99% stock assignment. Low
proportions of sockeye and hybrids were detected within 2008 and 2010 age-0 trawl
samples; however, by 2012, 28% were sockeye, rising to 41% in 2014. The number of
hybrids detected rose proportionally with the increase in sockeye and exhibited
an intermediate phenotype. Our results indicate that the reintroduction of
anadromous sockeye to Skaha Lake is succeeding, with large numbers returning to
spawn. However, hybridization with native kokanee is of concern due to the
potential for demographic or genetic swamping, with ongoing genetic monitoring
necessary to assess the long-term effects of introgression and to support
interactive fisheries management.
PMID- 27877205
TI - Spatial patterns of immunogenetic and neutral variation underscore the
conservation value of small, isolated American badger populations.
AB - Small and isolated populations often exhibit low genetic diversity due to drift
and inbreeding, but may simultaneously harbour adaptive variation. We investigate
spatial distributions of immunogenetic variation in American badger subspecies
(Taxidea taxus), as a proxy for evaluating their evolutionary potential across
the northern extent of the species' range. We compared genetic structure of 20
microsatellites and the major histocompatibility complex (MHC DRB exon 2) to
evaluate whether small, isolated populations show low adaptive polymorphism
relative to large and well-connected populations. Our results suggest that gene
flow plays a prominent role in shaping MHC polymorphism across large spatial
scales, while the interplay between gene flow and selection was stronger towards
the northern peripheries. The similarity of MHC alleles within subspecies
relative to their neutral genetic differentiation suggests that adaptive
divergence among subspecies can be maintained despite ongoing gene flow along
subspecies boundaries. Neutral genetic diversity was low in small relative to
large populations, but MHC diversity within individuals was high in small
populations. Despite reduced neutral genetic variation, small and isolated
populations harbour functional variation that likely contribute to the species
evolutionary potential at the northern range. Our findings suggest that
conservation approaches should focus on managing adaptive variation across the
species range rather than protecting subspecies per se.
PMID- 27877208
TI - Selection, Analysis and Improvement of Anti-Angiogenesis Compounds Identified by
an Anti-HIF-1alpha Screening and Validation System.
AB - Cancer cells resort to activating hypoxia-inducible factor-1 (HIF-1) as one of
several responses to hypoxic conditions. Overexpression of HIF-1, the
transcriptional regulator for a group of malignant-pathway related genes
including vascular endothelial growth factor (VEGF), is associated with increased
tumor growth, vascularization, and metastasis. HIF-1 is composed of an inducible
subunit, HIF-1alpha and a constitutively expressed subunit, HIF-1beta. HIF-1
activity is mainly dependent on the level of HIF-1alpha protein, the inducible
and regulatory subunit of the HIF-1 heterodimer complex; thus, identification of
novel anti-HIF-1alpha agents will lead to effective blockage of the HIF-1 (HIF
1alpha)-mediated "switch-on" function for those malignant-pathway related genes
and suppression of the HIF-1alpha/VEGF-mediated signaling pathway that promotes
cancer progression and metastasis. While there is an extremely large number of
small molecule compounds in the database (compound libraries), the currently
existing screening system is inefficient and time-consuming; or, at best, the
application of the existing screening system is very limited as it is usually not
coupled with biological validation processes. The further development of
potential drugs is partly hindered due to the cumbersome steps in between the
primary screen and consequent validation: the slow, exhausted and sometimes lack
of a linked biological validation process contributes to the dismal fate of scant
compounds uncovered in the primary screen. To improve upon the status quo, we
developed a prototype screening system that is coupled anti-HIF-1alpha primary
screen with secondary anti-VEGF/anti-angiogenesis validation screens. We used
breast cancer cells as the model to select potent anti-HIF-1alpha small-molecule
compounds by their abilities to inhibit transactivation of a VEGF promoter fused
to a luciferase reporter gene under hypoxia. Positive compounds were then
validated by a series of assays that confirm compounds' anti-HIF-1alpha
activities including measurement of their effects on HIF-1alpha downstream VEGF
gene expression and angiogenic ability of breast cancer cells. Moreover, we
demonstrated that we could further improve the compound's potency of anti-HIF
1alpha and anti-angiogenesis by modifying the identified lead to synthesize a
superior (novel) drug.
PMID- 27877209
TI - Impact of Systemic Therapy and Recurrence Pattern on Survival Outcome after
Radiofrequency Ablation for Colorectal Liver Metastases.
AB - Background: Most patients undergoing radiofrequency ablation (RFA) of colorectal
liver metastasases (CLM) develop disease recurrence, but little is known about
the effect of recurrence patterns and/or systemic therapy on outcome. In this
study, we examined the recurrence patterns and survival after systemic therapy
plus RFA in patients with unresectable CLM without extrahepatic disease. The aims
were to analyze the effect of recurrence patterns on survival and to assess the
relative benefit contributed by systemic therapy and local ablation to disease
control and patient outcome. Methods: From January 2002 to December 2012, 113
patients underwent RFA of liver-limited CLM after systemic therapy. Univariate
and multivariate analyses for associations between clinical and/or treatment
related variables, recurrence-free survival (RFS), recurrence patterns, and
overall survival (OS) were carried out. Results: Of 113 patients, 105 (92.8%) had
disease recurrence (median RFS: 6.1 months). Lower post-recurrence OS was
observed after early (<=6 months) than after late recurrence (8.5 versus 24.0
months, p < 0.001). Recurrence sites were RFA-sites only (4.8%), liver-only
(57.1%), lung-only (10.5%), or multiple (27.6%); the corresponding post
recurrence OS was 21, 19, 39, and 7 months (p < 0.001), respectively. Response to
pre-RFA systemic therapy was the strongest predictor for OS (hazard ratio [HR]
5.28), RFS (HR 3.30), early (odds ratio [OR] 6.34) and multiple-site recurrence
(OR 3.83) (p < 0.01), respectively; only responders achieved 5-year OS and RFS
(29% and 12% versus 0% and 0% for non-responders, p < 0.001, respectively).
Conclusions: Survival after RFA for liver-limited CLM is strongly linked to the
timing and pattern of non-local disease recurrence. Local ablation efficacy is
necessary but not sufficient to obtain long-term disease control. Effective pre
RFA systemic therapy does favourably affect the incidence, timing and patterns of
recurrence and long-term survival and appears essential for the tailoring of RFA
application to maximize patient benefit.
PMID- 27877210
TI - In vivo Antitumor Effect of an HPV-specific Promoter driving IL-12 Expression in
an HPV 16-positive Murine Model of Cervical Cancer.
AB - Human papillomavirus (HPV) is a DNA virus that infects epithelial cells and has
been implicated in the development of cervical cancer. Few therapeutic strategies
have been designed for the treatment of cervical intraepithelial neoplasia, a
precursor of cervical cancer. In these early stages, the HPV E2 protein is the
most important viral factor involved in viral gene expression and plays crucial
roles during the vegetative viral cycle in epithelial cells. Papillomavirus E2
binds specifically to palindromic ACCN6GGT sequences, referred to as the E2
binding sites (E2BS), which are concentrated within the viral long control
region, and which are responsible for regulation of the HPV protein's expression.
Here, we consider E2BS as a candidate sequence to induce the expression of
antiviral therapeutic genes selectively in HPV-infected cells expressing the E2
protein. This study focuses on the use of an HPV-specific promoter comprised of
four E2BS to drive the expression of IL-12, leading to an antitumor effect in an
HPV-positive murine tumor model. The therapeutic strategy was implemented via
viral gene therapy using adenoviral vectors with recombinant E2 and IL-12 genes
and E2BS-IL-12. We demonstrate that the HPV-specific promoter E2BS is functional
in vitro and in vivo through transactivation of HPV E2 transcription factor.
PMID- 27877211
TI - The Three-Gene Signature in Urinary Extracellular Vesicles from Patients with
Clear Cell Renal Cell Carcinoma.
AB - Renal cell carcinoma (RCC) accounts for more than 2% of neoplasias in humans
worldwide. Renal biopsy is the gold standard among the diagnostic procedures, but
it is invasive and not suitable for all patients. Therefore, new reliable and non
invasive biomarkers for RCC are required. Secretion of extracellular vesicles
(EVs), containing RNA molecules that can be transferred between cells, appears to
be a common feature of neoplasia. Consistently, cancer-derived EVs are increased
in blood and urine. Therefore, urinary samples may be a non-invasive approach for
discovering new diagnostic biomarkers. We enrolled 46 patients of whom 33 with
clear cell renal cell carcinoma (ccRCC) and 22 healthy subjects (HS). Urinary EVs
were isolated by differential centrifugation. Microarray analysis led to the
identification of RNA molecules that were validated using RT-qPCR. We found that
urinary exosomal shuttle RNA (esRNA) pattern was significantly different in ccRCC
patients compared to HS and to non-clear cell RCC (non-ccRCC) and we identified
three esRNAs involved in the tumor biology that may be potentially suitable as
non-invasive gene signature. GSTA1, CEBPA and PCBD1 esRNA levels were decreased
in urine of patients compared with HS. This pattern was specific of the ccRCC and
one month after partial or radical nephrectomy the esRNA levels increased to
reach the normal level. This study suggests, for the first time, the potential
use of the RNA content of urinary EVs to provide a non-invasive first step to
diagnose the ccRCC.
PMID- 27877213
TI - Involvement of BAFF and APRIL in Resistance to Apoptosis of Acute Myeloid
Leukemia.
AB - B-cell activation factor of the TNF family (BAFF), and a proliferation-inducing
ligand (APRIL), two members of the tumour necrosis factor (TNF) superfamily,
beyond playing a significant role in normal B-cell development, promote survival
and proliferation of malignant B cells. Both ligands interact with 3 receptors:
BAFF-R, specific to BAFF, and TACI and BCMA which are shared by both BAFF and
APRIL. Here we wished to investigate the potential role of these proteins in
resistance of acute myeloid leukaemia (AML) blasts to apoptosis. We found that
the levels of both mRNA and proteins of APRIL, BAFF and their receptors were
expressed in leukaemic cells of 24 newly diagnosed, untreated AML patients. We
also demonstrated that patients who did not further respond to induction therapy
(NR) presented with significantly higher baseline APRIL and BAFF expression on
AML blasts as compared to these subjects who, after induction, achieved complete
remission (CR) following induction therapy. Moreover, we observed striking
differences in baseline levels of BCMA between CR and NR patients as we did not
find detectable expression of this receptor in the latter group of patients.
Interestingly, we found that AML blasts collected at baseline from NR patients
cultured in presence of exogenous BAFF and APRIL were significantly more
resistant to spontaneous or drug-induced apoptosis as compared with cells derived
from CR patients. Altogether, our data confirm that BAFF and APRIL signaling play
important role in AML pathogenesis and susceptibility to cytotoxic therapy while
measuring of BCMA expression on AML cells can become a novel prognostic factor
for chemotherapy response.
PMID- 27877212
TI - Early Integration of Palliative Care in Oncology Practice: Results of the Italian
Association of Medical Oncology (AIOM) Survey.
AB - BACKGROUND: Early integration of palliative care in oncology practice
("simultaneous care", SC) has been shown to provide better care resulting in
improved quality-of-life and also survival. We evaluated the opinions of Italian
Association of Medical Oncology (AIOM) members. PATIENTS AND METHODS: A 37-item
questionnaire was delivered to 1119 AIOM members. Main areas covered were:
social, ethical, relational aspects of disease and communication, training,
research, organizational and management models in SC. Three open questions
explored the definition of Quality of Life, Medical Oncologist and Palliative
Care. RESULTS: Four hundred and forty-nine (40.1%) medical oncologists returned
the questionnaires. Forty-nine percent stated they address non-curability when
giving a diagnosis of metastatic tumor, and 43% give the information only to
patients who clearly ask for it. Fifty-five percent say the main formative
activity in palliative medicine came from attending meetings and 90% agree that
specific palliative care training should be part of the core curriculum in
oncology. Twenty-two percent stated they consulted guidelines for symptom
management, 45% relied upon personal experience and 26% make a referral to a
palliative care specialist. Seventy-four percent were in favor of more research
in palliative medicine. An integration between Units of Oncology and Palliative
Care Services early in the course of advanced disease was advocated by 86%.
Diverse and multifaceted definitions were given for the concepts of Quality of
Life, Palliative Care and Medical Oncologist. CONCLUSION: SC is felt as an
important task, as well as training of medical oncologists in symptom management
and research in this field.
PMID- 27877215
TI - The C-reactive Protein/Albumin Ratio Is an independent Prognostic Factor for
Overall Survival in Patients with Nasopharyngeal Carcinoma Receiving Intensity
Modulated Radiotherapy.
AB - This study aims to investigate the prognostic value of the C-reactive
protein/albumin (CRP/ALB) ratio in nasopharyngeal carcinoma (NPC) in the
intensity-modulated radiotherapy (IMRT) era. A total of 719 patients with NPC
treated between January 2007 and December 2012 were retrospectively reviewed.
Serum albumin and CRP levels were measured before treatment. The associations
between the CRP/ALB ratio and clinicopathological parameters were analyzed.
Multivariate analyses using the Cox proportional hazards model were performed to
identify significant prognostic factors associated with overall survival (OS).
The prognostic value of the CRP/ALB ratio was determined using receiver operating
characteristic (ROC) curve analysis. The optimal CRP/ALB ratio cutoff value was
0.141. High CRP/ALB ratio was significantly associated with older age (P <
0.001), more advanced T category (P < 0.001) and advanced TNM stage (P = 0.024).
Patients with an elevated CRP/ALB ratio (>= 0.141) had poorer OS than those with
a CRP/ALB ratio < 0.141 (5-year OS rates: 91.9% vs. 78.1%; P < 0.001).
Multivariate analysis suggested clinical T category [hazard ratio (HR) 2.284; 95%
confidence interval (CI), 1.429-3.652; P = 0.001]; clinical N category (HR 1.575;
95% CI, 1.007-2.464; P = 0.047) and CRP/ALB ratio (HR 2.173; 95% CI, 1.128-3.059;
P = 0.015) were independently associated with OS. In conclusion, pretreatment
CRP/ALB ratio is an objective biomarker with significant prognostic value for OS
in NPC. The CRP/ALB ratio can enhance conventional TNM staging to stratify
patients and may help facilitate individualized treatment of high-risk cases.
PMID- 27877214
TI - Role of Urinary Biomarkers in the Diagnosis of Adenoma and Colorectal Cancer: A
Systematic Review and Meta-Analysis.
AB - The growing interest in enhancing and spreading colorectal cancer (CRC) screening
has been stimulating the exploration of novel biomarkers with greater sensitivity
and specificity than immunochemical faecal occult blood test (iFOBT). The present
study provides i) a systematic review of the urinary biomarkers that have been
tested to achieve early CRC diagnosis and assess the risk of colorectal adenoma
and adenocarcinoma, and ii) a meta-analysis of the data regarding the urinary
prostaglandin (PG) metabolite PGE-M. As regard to gene markers, we found
significantly different percent methylation of the vimentin gene in CRC patients
and healthy controls (HC) (p<0.0001). Respect to metabolism of nitrogenous bases,
cytidine, 1-methyladenosine, and adenosine, have higher concentrations in CRC
patients than in HC (respectively, p<0.01, p=0.01, and p<0.01). As regard to
spermine we found that N1,N12 diacetyl spermine (DiAcSpm) and N1, N8
diacetylspermidine (DiAcSpd) were significantly higher in CRC than in HC
(respectively p=0.01 and p<0.01). Respect to PGE-M, levels were higher in CRC
than in those with multiple polyposis (p<0.006) and HC subjects (p<0.0004). PGE-M
seems to be the most interesting and promising urinary marker for CRC and adenoma
risk assessment and for CRC screening. In conclusion, evidence suggests that
urinary biomarker could have a potential role as urinary biomarkers in the
diagnosis of colorectal cancer. Particularly, PGE-M seems to be the most
promising urinary marker for CRC early detection.
PMID- 27877216
TI - Investigating a Correlation between Chemoradiotherapy Schedule Parameters and
Overall Survival in a real-life LD SCLC Patient Cohort.
AB - Background: Chemoradiotherapy (CRT) is a treatment standard in limited disease
(LD) small cell lung cancer (SCLC). Currently, the timing of thoracic radiation
therapy (TRT) remains the subject of randomised trials and meta-analyses. To
investigate a correlation between CRT schedule parameters and overall survival
(OS) in a real-life patient cohort, a temporal analysis was performed. Methods:
182 LD SCLC patients successfully treated with definitive CRT were
retrospectively reviewed. TRT was applied concurrently or sequentially. Impact of
the treatment mode and interval of simultaneous treatment (IST) (an interval in
days when chemotherapy and TRT were applied simultaneously, including time
between chemotherapy cycles and weekends) on OS was analysed. Results: 71 (39%)
patients were treated with concurrent and 111 (61%) with sequential CRT. Median
overall survival (MS) for the entire cohort was 534 days (95%CI 461 - 607)
without any significant difference between the concurrent and sequential groups
(589: 95%CI 358 - 820 vs. 533: 95%CI 446 - 620 days, p=0.746, log-rank test). IST
was 0 days in 111 (61%) patients treated sequentially whereas in the concurrent
group, 20 (11%) and 51 (28%) patients showed an IST < 35 and > 35 days,
respectively. Patients with IST > 0 and < 35 days demonstrated a trend to
improved overall survival (MS: IST 0 vs. > 35 vs. < 35 was 533 vs. 448 vs. 1169
days, p=0.109, log-rank test). When patients treated with sequential CRT (IST 0)
were excluded from the analysis, statistical difference in overall survival
according to the IST subgroups (IST > 35 vs. < 35) became significant (p=0.021,
log-rank test). On multivariate analysis of patients treated with concurrent CRT,
IST > 0 and < 35 days remained a variable that significantly correlated with
better overall survival (p=0.039, HR 0.38). Conclusion: In this real-life LD SCLC
patient cohort, improved overall survival was achieved in patients treated with
CRT schedule according to the IST > 0 and < 35-day concept. By exceeding the 35
day interval, we have seen deterioration in survival.
PMID- 27877217
TI - Pregnancy Specific beta-1 Glycoprotein 1 is Expressed in Pancreatic Ductal
Adenocarcinoma and its Subcellular Localization Correlates with Overall Survival.
AB - Proteins of the pregnancy specific beta-1 glycoprotein (PSG) family are renowned
for their elevated expression during pregnancy. Only few reports have
investigated their expression in adenocarcinomas. We studied the expression of
PSG1 in pancreatic adenocarcinoma (PDAC). In a cohort of 104 patient samples,
immunohistochemical analysis determined PSG1 expression in every specimen. PSG1
was found at apical and cytoplasmic localization or solely at cytoplasmic
localization, with the latter case being correlated to shortened median survival
(25 vs 11 months, logrank p-value < 0.001). At the same time, enzyme linked
immunosorbent assay (ELISA) did not detect elevated PSG1 levels in the plasma of
PDAC patients as opposed to the plasma of healthy, non-pregnant control
individuals. We also probed the impact of PSG1 expression in a murine tumor model
system, using subcutaneous injection of Colo-26 cells into immunocompetent BALB/c
mice. Here, tumor growth was not affected by the expression of human PSG1. Our
study reaffirms interest into the tumor-contextual biology of PSG proteins.
PMID- 27877218
TI - Vitamin D3 Intake Dose and Common Cancer: A Population-Based Case Control Study
in a Chinese Population.
AB - Objectives: Epidemiological studies suggest that vitamin D status is associated
inversely with risk of common cancers in western populations. This study aimed to
investigate whether vitamin D is associated with risk of common cancers in
Chinese population. Methods: A population-based retrospective case-control study
was conducted analyzing data retrieved from the Catastrophic Illness Patient
Databases (CIPD) and longitudinal health insurance database (LHID) from January
1, 2010 to December 31, 2011and January 1, 2000 to December 31, 2011,
respectively. Cases were identified as subjects diagnosed with site-specific
cancers (International Classification of Diseases, Ninth Revision,) and frequency
matched to select controls. Use of vitamin D3 was compared between two groups.
Odds ratios (ORs) were employed to quantify the risk associated with exposure to
vitamin D3 by logistic regression. Results: There were 1.21% (1961/161806)
patients in cases and 0.67 % (1092/161806) patients in controls identified were
vitamin D3 users. Overall risk of cancers associated with vitamin D3 users was
1.67 (95% CI:1.55 -1.81). Among these, the risk of kidney cancer and bladder
cancer associated with intakes of vitamin D3 were significant (OR 2.59; 95% CI
1.81-3.70; OR 4.97; 95% CI 4.40-5.60) in an adjusted model. In further
stratification analysis, we found a statistically significant risk of bladder
cancer associated with high intake of vitamin D3. Except this, no statistically
significant risk of other site-specific cancers associated with high intake of
vitamin D3. Conclusion: Except bladder cancer in stratification analysis, we
observed no statistically significant association between high intake of vitamin
D3 and other site-specific cancers.
PMID- 27877219
TI - An Overview of Genetic Changes and Risk of Pancreatic Ductal Adenocarcinoma.
AB - The pancreatic carcinoma is a leading cause of death in cancer carriers
worldwide. The early diagnostic is difficult due to late stage during diagnosis,
lack of characteristic symptoms and also multifactor basis. In cancer development
take part both, environmental and genetic factors, alone or in conjunction with
each other. The nonspecific biomarkers of cancers are a reason for the search for
more accurate factors which allow for fast and personalized diagnostics. Some of
cancers have identified molecular (metabolic, biochemical or genetic) markers but
in most cases the only clue is patient's interview and abnormal levels of organ
functions markers. Possible genetic basis of cancer suggests to widen studies on
connection between environmental factors with both, nuclear and mitochondrial,
genes changes.
PMID- 27877220
TI - AIB1 Genomic Amplification Predicts Poor Clinical Outcomes in Female Glioma
Patients.
AB - Amplified in breast cancer 1 (AIB1) gene, a coactivator for steroid receptor, is
frequently amplified in diverse cancers and is considered as an oncogene in
tumorigenesis. However, the prognostic significance of AIB1 amplification in
gliomas remains totally unclear. In this study, 115 gliomas and 16 benign
meningiomas as control subjects were enrolled, and the copy number of AIB1 was
analyzed in these samples. In addition, we explored potential correlation of AIB1
amplification with clinicopathological characteristics and clinical outcomes of
glioma patients. Our data showed that glioma samples exhibited a significantly
higher AIB1 copy number than control subjects as determined by quantitative
polymerase chain reaction (qPCR) approach. Moreover, univariate analysis showed
that AIB1 amplification (>=3.5 copies) was strongly correlated with cancer
related death (P =0.03). Interestingly, our data revealed a significant
association of AIB1 amplification with WHO grade (P =0.03), tumor recurrence (P
=0.03) and survival status (P =0.03) in female patients but not in male patients.
Multivariate analysis further demonstrated that AIB1 amplification was
independent factor for cancer-related death in female patients. Importantly, AIB1
amplification was closely relevant to worse survival in female patients (P
=0.001), but not in male patients (P =1.00). In addition, the patients with AIB1
amplification were resistant to radiotherapy. Altogether, our data demonstrate
that AIB1 amplification is a common genetic event in glioma tumorigenesis, and
suggest that AIB1 amplification is not only a prognostic factor for poor clinical
outcomes in glioma patients, but also a predictor of radiotherapy resistance in
gliomas.
PMID- 27877221
TI - The Impact of Diabetes on the Risk of Prostate Cancer Development according to
Body Mass Index: A 10-year Nationwide Cohort Study.
AB - Purpose: We examined the association between obesity and prostate cancer both
with and without diabetic patients included in the analysis using nationally
representative data of the Korean population from the National Health Insurance
System (NHIS). Materials and Methods: Of the 424,712 participants who underwent
health examinations in 2002-2008, 139,519 men >=40 years old and without prostate
cancer were followed from the beginning of 2002 to the end of 2012. Multivariate
adjusted Cox regression analysis was conducted to examine the hazard ratio (HR)
and 95% confidence interval (CI) for the association between prostate cancer and
body mass index (BMI) both with and without diabetes. Results: The HR for
prostate cancer according to the existence of diabetes was stratified by BMI in
both age- and multivariable-adjusted models. In the population without diabetes,
the HR for prostate cancer significantly increased as BMI increased beyond the
reference range in a model adjusted for age and multiple variables; however, the
increase in the HR was small. In the population with diabetes, the HR for
prostate cancer significantly increased as BMI increased from < 18.5 kg/m2 to
within the reference range (18.5 to 22.9) in the multivariable-adjusted model. In
addition, a marked decrease in HR in the population with BMI of < 18.5 kg/m2 was
seen compared to the reference or higher BMI population. Conclusion: This
population-based study shows the evidence of association between obesity and
development of prostate cancer, and the risk increases vary according to the
change of BMI category and the existence of diabetes.
PMID- 27877223
TI - Prognostic impact of hormone receptor- and HER2-defined subtypes in inflammatory
breast cancer treated with high-dose chemotherapy: a retrospective study.
AB - Purpose: Studies examining high-dose chemotherapy with autologous hematopoietic
stem cell transplantation (HDC-AHSCT) strategies in inflammatory breast cancer
(IBC), showed encouraging results in terms of disease-free survival (DFS), and
overall survival (OS). The lack of data regarding HER2 status in all of these
studies prevented any prognostic analysis involving breast cancer subtypes.
Methods: All consecutive female patients treated for IBC with HDC and AHSCT at
Institut Paoli-Calmettes between 2003 and 2012 were included. Since 2005,
trastuzumab was included in initial treatment. Patient, tumor and treatment
characteristics were collected. Patients were categorized in three subtypes based
on hormonal receptor (HR) and HER2 status of the primary tumor: Luminal,
(HR+/HER2-), HER2 (HER2+, any HR), and triple negative (TN) (HER2- and HR-). The
main objective was the analysis of OS according to the IHC subtypes. Results:
Sixty-seven patients were included. Eleven patients received trastuzumab. Median
follow up was 80.04 months (95% CI 73.2-88.08). Five-year OS and DFS for the
whole population patients were 74% (95% CI 61-83) and 65 % (95% CI 52-75),
respectively. OS differed across subtypes (p=0.057) : HER2 subgroup appeared to
have the best prognosis with a 5-year OS of 89% (95% CI 64-97) compared to 57%
(95% CI 33-76) for the TN subgroup (HR 5.38, 95% CI 1.14-25.44; p=0.034).
Conclusions: In IBC patients receiving HDC-AHSCT, OS favorably compares with data
available in the literature on similar groups of patients. TN patients carried
the least favourable OS and HER2 patients, half of them also receiving
trastuzumab, had the best outcome. These findings provide additional information
and options for patients with IBC and who could potentially benefit of HDC-AHSCT.
PMID- 27877222
TI - Hedgehog Signaling Non-Canonical Activated by Pro-Inflammatory Cytokines in
Pancreatic Ductal Adenocarcinoma.
AB - Hedgehog(HH) pathway is found to be activated through a manner of canonical, or
the non-canonical HH pathways. Distinct hyperplasia stroma around tumor cells is
supposed to express pro-inflammatory cytokines abundantly, such as tumor necrosis
factor-alpha (TNF-alpha) and interleukin-1beta (IL-1beta), etc. in pancreatic
ductal adenocarcinoma (PDAC) tissues. In this study we observed the effects of
TNF-alpha and IL-1beta on HH pathway activation in PDAC cells, and explored their
activation manners. Our results showed that pro-inflammatory cytokines, TNF-alpha
and IL-1beta, could up-regulate the expression of GLI1 gene, increase its nuclear
protein expression and promote malignant cell behaviors including migration,
invasion, epithelial-mesenchymal transition (EMT) and drug resistance as well.
Moreover, GLI1 promoter-reporter assay in combination with blocking either NF
kappaB or Smoothened (SMO) suggested that TNF-alpha and IL-1beta could
transcriptionally up-regulate expression of GLI1 completely via NF-kappaB,
whereas ablation of SMO could not completely attenuate the regulation effects of
TNF-alpha and IL-1beta on GLI1 expression. Collectively, our results indicated
that TNF-alpha and IL-1beta in hyperplasia stroma can promote the PDAC cell
development by activating HH pathway, through both the canonical and non
canonical HH activation ways.
PMID- 27877224
TI - The role of copper transporter ATP7A in platinum-resistance of esophageal
squamous cell cancer (ESCC).
AB - Purpose: Platinum derivatives, such as cisplatin (DDP), carboplatin and
oxaliplatin, are widely used components of modern cancer chemotherapy including
esophageal squamous cell cancer (ESCC). However, their roles are limited by the
impact of intrinsic/acquired resistance mechanisms on tumor responses. Recent
studies have shown that the mammalian copper transporters CTR1, ATP7A and ATP7B
are involved in cisplatin-resistance to some cancers. Methods: The cytotoxicities
of DDP in different cell lines were determined using the MTT assay. To determine
whether knockdown the expression of ATP7A could reverse the platinum-resistance
of EC109/DDP cells or not, we used RNA interference system to explore the role of
ATP7A in platinum resistance. Results: We found that DDP-resistant cell sublines
EC109/DDP (8.490 folds) showed cross-resistance to carboplatin (5.27 folds) and
oxaliplatin (4.12 folds). ATP7A expressions in DDP-resistant cell sublines
(EC109/DDP) were much higher than DDP-sensitive cell lines (EC109) at both mRNA
and protein levels. ATP7A targeted small interfering RNA duplex at 100nM final
concentration added into DDP-resistant cancer cells (EC109/DDP) markedly
inhibited the expression of ATP7A as determined by Western blot (83.0%) and
partially reversed DDP-resistance (37.09%), moreover, it also increased cell
apoptosis at different DDP concentrations. Conclusions: These findings indicate
that ATP7A high expression plays an important role in platinum-resistance of
ESCC. This study sheds light on platinum resistance in ESCC patients and may have
implications for therapeutic reversal of drug resistance.
PMID- 27877225
TI - Anti-cancer and Sensibilisation Effect of Triptolide on Human Epithelial Ovarian
Cancer.
AB - Introduction: Advanced ovarian cancer is the main cause of ovarian cancer deaths,
and it is important to seek safe and effective phytochemicals to suppress cancer
or lower the chemotherapy resistance of ovarian cancer. Methods: This study
evaluated the effect of Triptolide (TPL) on the proliferation, cycle
distribution, apoptosis, and ultra-structure of COC1/DDP cells in vitro, as well
as the anti-cancer effect and sensibilisation effect of TPL in vivo. Results: The
results indicated that TPL could significantly inhibit the growth of COC1/DDP
cells (P<0.05), and 3 ng/ml TPL and 50 ng/ml TPL made COC1/DDP cells present
obvious apoptosis characteristics and arrest 35% and 55% of COC/DDP cells in the
G0/G1 phase, respectively (P<0.05). The animal experiments also indicated that
0.1mg/kg.d TPL significantly reduced the tumour weight and the spleen cell
transformation rate (SI), and it lowered the inflammatory factors IL-2 and TNF-a
in rat serum (P<0.05). Moreover, the significant reduction of p-Akt and p
GSK3beta made the TPL+DDP possess the highest apoptosis rate [(51.13+/-3.325)%]
in COC1/DDP cells. Conclusions: TPL used in combination with DDP may produce a
synergistic anti-cancer effect that warrants further investigation for its
potential clinical applications in the treatment of epithelial ovarian cancer.
PMID- 27877227
TI - Incidence and predictors of Bone Metastases (BM) and Skeletal-Related Events
(SREs) in Small Cell Lung Cancer (SCLC): A Swiss patient cohort.
AB - Objectives: Bone metastases (BM) and skeletal-related events (SREs) are frequent
complications in patients with lung cancer. Whereas in non-small-cell lung cancer
(NSCLC) incidence, prognostic impact, and risk factors are well established,
there is only little knowledge in patients with small cell lung cancer (SCLC). We
retrospectively evaluated the incidence of BM, SRE and their treatment in a SCLC
patient cohort treated at our hospital. We further assessed the role of Lactate
Dehydrogenase (LDH), a possible predictor of BM development in SCLC patients.
Materials and Methods: We retrospectively analyzed patients with the diagnosis of
SCLC for BM, SRE, overall treatment patterns, outcome and established prognostic
parameters by record review. The prognostic role of LDH was tested using
univariate longitudinal regression analysis. Results: We identified 92
consecutive patients with SCLC diagnosed between 2000 and 2010 at our
institution. Overall, 36.9% presented with BM at first diagnosis. Median time to
BM from first diagnosis was 14.8 months (range) in limited disease (LD) and 0.9
months (range) in extensive disease (ED). The overall incidence of SRE was 18.4%.
Only 19.6% of patients with BM were initially treated with bisphosphonates.
Conclusions: Elevated LDH, as well as age >=75 years were independent predictors
for BM development in SCLC patients. Although SREs are relevant complications in
SCLC, early antiresorptive treatment of BM to reduce the risk of SREs was rare.
LDH served as a predictive factor for BM development in our SCLC cohort and
therefore should be taken into account in future randomized controlled trials.
PMID- 27877226
TI - Rac1 overexpression is correlated with epithelial mesenchymal transition and
predicts poor prognosis in non-small cell lung cancer.
AB - Objective: Ras-related C3 botulinum toxin substrate1(Rac1) and epithelial
mesenchymal transition (EMT) are key therapeutic targets in cancer. We
investigated the clinical significance of Rac1 and markers of EMT expression in
non-small cell lung cancer (NSCLC), and their possible correlation with EMT
phenotype. Methods: Immunohistochemistry was used to assess the expression of
Rac1, Snail1, Twist1, N-cadherin (N-cad), Vimentin (Vim), and E-cadherin (E-cad)
in 153 NSCLC paraffin-embedded specimens and 45 normal specimens adjacent to
tumors. The correlation of Rac1 and EMT markers with clinicopathological
characteristics and the relationship between the protein levels and progression
free survival (PFS) and overall survival (OS) were analyzed. Results: Compared
with non-tumor tissues, the NSCLC tissues showed marked elevation in the levels
of Rac1, Snail1, Twist1, N-cad, and Vim levels, whereas the E-cad levels were
significantly decreased (P < 0.05). The aberrant expression of Rac1 and EMT
markers was significantly associated with TNM stage and metastasis (P < 0.05).
Increased expression of Rac1 may be associated with poor OS and PFS compared with
low expression (P<0.001 and P=0.004). Significant correlations were observed
between the EMT markers expressed and OS or PFS(P<0.01). In addition,
multivariate analysis indicated that the expression of Rac1, Snail1, Twist1, N
cad, Vim, and E-cad was an independent prognostic factor in NSCLC. Interestingly,
Rac1 expression was positively correlated with Snail1, Twist1, N-cad, and Vim
levels (r=0.563, r=0.440, r=0.247 r=0.536, P<0.01, respectively) and negatively
correlated with E-cad levels (r=-0.464, P<0.001) in NSCLC tissues. Rac1, Twist,
Snail1, Vim and N-cad were highly expressed in lung cancer patients resistant to
radiotherapy, while E-cad was poorly expressed. Conclusion: Rac1 may promote
NSCLC progression and metastasis via EMT, which may be considered as a potential
therapeutic target.
PMID- 27877228
TI - Lymphangiogenesis in Classical Hodgkin Lymphoma - Preliminary Study with
Clinicopathological Correlations.
AB - A role for lymphangiogenesis in metastatic breast and prostate cancers has been
suggested recently. The relevance of lymphangiogenesis in cancer as a rule, and
more specifically in classical Hodgkin lymphoma, is poorly understood in
comparison with that of angiogenesis. In a preliminary (pilot) study we have
investigated the role of lymphatic vessels growth in 19 cases of classical
Hodgkin lymphoma stained with the D2-40 (podoplanin) antibody. In each case,
three lymphatic vessels hot spots were scrutinized twice. Of the 57 hot spots
thus identified, we chose 15 at random for photography, microvessel counting and
image analysis. We determined the mean perimeter, surface area, major axis length
and complexity factor for each hot spot and correlated them with clinical and
biological features of classical Hodgkin lymphoma. No correlations were found
with clinical features. No associations were noted with the standard immuno
markers of classical Hodgkin lymphoma. However, significant inverse correlations
were shown with pRb, BAX and IkappaB-alpha expression. The mean lymphatic major
axis length was inversely correlated with the complexity factor. Last, we carried
out an additional clinicopathological correlation of the expression of pRb, BAX
and IkappaB-alpha in a cohort of classical Hodgkin lymphoma patients previously
published.
PMID- 27877229
TI - Co-expression of Achaete-Scute Homologue-1 and Calcitonin Gene-Related Peptide
during NNK-Induced Pulmonary Neuroendocrine Hyperplasia and Carcinogenesis in
Hamsters.
AB - Achaete-scute homologue-1 or ASCL1 (MASH1, hASH1) plays roles in neural
development and pulmonary neuroendocrine (NE) differentiation, and it is
expressed in certain lung cancers. This study was aimed to assess whether and/or
how ASCL1 plays a role in 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanone (NNK)
induced pulmonary NE hyperplasia and carcinogenesis in hamsters. Hamsters were
injected 3 times weekly with either NNK or solvent alone (control) for treatment
periods of 6 and 24 weeks, both without and with 6-week recovery.
Immunohistochemical analysis was carried out to examine the expressions of ASCL1,
CGRP (calcitonin gene-related peptide), secretoglobin SCGB1A1 (club [Clara] cell
specific 10 kD protein, CC10, CCSP), synaptophysin (SYP), and PCNA (proliferating
cell nuclear antigen). The number of ASCL1-expressing NE foci per airway
increased from 0.8 in controls to 1.6 and 2.0 during NNK exposure for 6 and 24
weeks, respectively, and the number of cells per foci doubled after NNK exposure.
Most ASCL1-expressing cells in NEBs (neuroepithelial bodies) were also CGRP
immunoreactive; NNK enhanced this co-expression with CGRP, a NE marker with known
proliferation-promoting properties. NNK also increased PCNA expression within NE
foci. NNK-induced tumors showed no immunoreactivity for NE markers. This study
confirms ASCL1 as an excellent marker for pulmonary NE cells and demonstrates
CGRP co-expression in ASCL1-positive NEB cells participating in NNK-induced NE
hyperplasia.
PMID- 27877230
TI - Transcriptional cofactor Mask2 is required for YAP-induced cell growth and
migration in bladder cancer cell.
AB - The highly conserved Hippo signaling pathway is an important pathway involved in
tumorigenesis and development. In previous studies, YAP, the transcription
coactivator of Hippo pathway, is found to be highly expressed in many clinical
bladder cancer samples. To investigate the function of YAP and its cofactor Mask2
in bladder cancer, we overexpress YAP in bladder cancer cells and discover that
YAP is able to promote bladder cancer cell growth and migration. In addition, we
provide evidence that knockdown of Mask2 is able to repress bladder cancer cell
growth and migration. Furthermore, we demonstrate knockdown of Mask2 is able to
inhibit bladder cancer cell growth and migration induced by the excessive YAP. To
explain the function of YAP/Mask2 complex in bladder cancer, we check the target
genes' expression of Hippo signaling pathway involved in cell growth and
migration and find overexpressed YAP is able to upregulate the target genes'
expression while depletion of Mask2 downregulates them. Taken together, we
demonstrate that Mask2 is required for the function of bladder cancer cell growth
and migration induced by YAP via the target genes of Hippo pathway.
PMID- 27877231
TI - Chemomodulatory Potential of Bartogenic Acid Against DMBA/Croton Oil Induced Two
Step Skin Carcinogenesis in Mice.
AB - Barringtonia racemosa fruits are believed to be useful in cancer treatment in
Ayurveda, the Indian system of medicine. In present study, bartogenic acid (BA),
a triterpenoid constituent of Barringtonia fruits was evaluated for its
cytotoxicity property using the human skin carcinoma cell line (SCC-13) and human
peripheral blood mononuclear cells (PBMC). The chemopreventive efficacy of BA was
evaluated against the DMBA/Croton oil-induced skin carcinogenesis in mice.BA was
orally administered at the doses of 1, 2 or 4 mg/kg/day or applied topically
every day for 12 weeks following DMBA application. The in vitro data from cell
lines revealed that BA induces cytotoxicity against the SCC-13 cells (IC50=7.5
uM). It was found 4.05 times more selective to exert cytotoxicity against SCC-13
as compared to the PBMC (IC50=30.4 uM). The in vivo datacollected from mice model
of DMBA/Croton oil-induced skin carcinogenesis revealed that BA administered
orally or applied topically, both reduced the precancerous skin lesions andthe
incidence of tumor bearing. The oral doses of BA (2 and 4 mg/kg) and topical
treatment significantly reduced the incidence and number of skin papillomas. At
these doses, BA also increased the activities of catalase and superoxide
dismutase and induced an increase in glutathionecontent and inhibited lipid
peroxidation in the skin. These findings reveal the chemopreventive efficacy of
BA and also demonstrate that it contributes to the cytotoxic and antioxidative
effects of Barringtonia racemosa fruits. The study also validates the traditional
claims of Barringtonia fruits and provides a scientific basis of its
chemopreventive property.
PMID- 27877232
TI - A Novel Prognostic Score, Based on Preoperative Nutritional Status, Predicts
Outcomes of Patients after Curative Resection for Gastric Cancer.
AB - PURPOSE: We aimed to determine whether preoperative nutritional status (PNS) was
a valuable predictor of outcome in patients with gastric cancer (GC). METHODS: We
retrospectively evaluated 1320 patients with GC undergoing curative resection.
The PNS score was constructed based on four objective and easily measurable
criteria: prognostic nutritional index (PNI) score 1, serum albumin <35 g/L, body
mass index (BMI) <18.5 kg/m2, or preoperative weight loss >=5% of body weight.
The PNS score was 2 for patients who met three or four criteria, 1 for those who
met one or two criteria, and 0 for those who didn't meet all of these criteria.
RESULTS: The overall survival (OS) rates in patients with PNS scores 0, 1, and 2
were 59.1%, 42.4%, and 23.4%, respectively (P < 0.001). Multivariate analyses
revealed the PNS was an independent predictor for OS (HR for PNS 1 and PNS 2:
1.497, 95 % CI: 1.230-1.820 and 2.434, 95 % CI: 1.773-3.340, respectively; p <
0.001). Furthermore, 5-year OS ranged from 92% (stage I) to 37% (stage III),
while the combination of TNM and PNS stratified 5-year OS from 95% (TNM I, PNS 0)
to 19% (TNM III, PNS 3). Of note, the prognostic significance of PNS was still
maintained when stratified by TNM stage, age, sex, tumor size, anemia and
adjuvant chemotherapy (All P < 0.05). CONCLUSIONS: The PNS, a novel nutritional
based prognostic score, is independently associated with OS in GC. Prospective
studies are needed to validate its clinical utility.
PMID- 27877233
TI - The Impact of Clinical Stage on Radiation Doses to Organs at Risk Following
Intensity-modulated Radiotherapy in Nasopharyngeal Carcinoma: A Prospective
Analysis.
AB - Background: The development of intensity-modulated radiotherapy (IMRT) has
revolutionized the management of nasopharyngeal carcinoma (NPC). The purpose of
this study was to investigate the impact of clinical stage on radiation doses to
organs at risk (OARs) in NPC. Material and Methods: One hundred and forty-eight
patients with newly diagnosed and untreated NPC were prospectively enrolled.
Based on the anatomic definition and pathogenesis of radiation induced injury, a
total of 28 OARs surrounding the nasopharynx were contoured on axial computed
tomography (CT) planning images in each patient. Dose-volume histograms, as well
as the mean and maximal doses for each structure, were calculated. Results:
Radiation doses to 15 OARs (including the brain stem, temporal lobe and eye) were
positively correlated with T stage, the radiation doses to 13 OARs (including the
brachial plexus, parotid and thyroid) increased significantly with N stage, and
the radiation doses to the spinal cord and mandible had no association with T or
N stage. Based on the characteristic of excess rates, 9 OARs (e.g. spinal cord,
eye, trachea, and et al.) met tolerance doses easily in all stages, 9 OARs (e.g.
brain stem, temporal lobe, brachial plexus, and et al.) easily in early stages
but with difficulty in advanced stages, and 10 OARs (e.g. cochlea, parotid,
thyroid, and et al.) with difficulty in all stages. Conclusions: The radiation
doses to most of OARs are associated with T or N stage, and there are three kinds
of patterns for them: 1) meet tolerance doses easily in all stages; 2) meet
tolerance doses easily in early stages but with difficulty in advanced stages;
and 3) meet tolerance doses with difficulty in all stages.
PMID- 27877234
TI - Light-Mediated Deep-Tissue Theranostics.
AB - This theme issue provides an overview on recent developments of light-mediated
imaging and therapy approaches, with an emphasis on those that transcend the
shallow tissue penetration dogma.
PMID- 27877235
TI - X-Ray Induced Photodynamic Therapy: A Combination of Radiotherapy and
Photodynamic Therapy.
AB - Conventional photodynamic therapy (PDT)'s clinical application is limited by
depth of penetration by light. To address the issue, we have recently developed X
ray induced photodynamic therapy (X-PDT) which utilizes X-ray as an energy source
to activate a PDT process. In addition to breaking the shallow tissue penetration
dogma, our studies found more efficient tumor cell killing with X-PDT than with
radiotherapy (RT) alone. The mechanisms behind the cytotoxicity, however, have
not been elucidated. In the present study, we investigate the mechanisms of
action of X-PDT on cancer cells. Our results demonstrate that X-PDT is more than
just a PDT derivative but is essentially a PDT and RT combination. The two
modalities target different cellular components (cell membrane and DNA,
respectively), leading to enhanced therapy effects. As a result, X-PDT not only
reduces short-term viability of cancer cells but also their clonogenecity in the
long-run. From this perspective, X-PDT can also be viewed as a unique
radiosensitizing method, and as such it affords clear advantages over RT in tumor
therapy, especially for radioresistant cells. This is demonstrated not only in
vitro but also in vivo with H1299 tumors that were either subcutaneously
inoculated or implanted into the lung of mice. These findings and advances are of
great importance to the developments of X-PDT as a novel treatment modality
against cancer.
PMID- 27877236
TI - Core-Shell Nanostars for Multimodal Therapy and Imaging.
AB - The coupling of diagnostic capability and effective therapy in a single
multifunctional nanomedicine is desirable but remains challenging. Here, we
developed multifunctional nanoparticles consisting of a gold nanostar (AuNS) core
with a shell of metal-drug coordination polymer (CP). The AuNS core enabled
plasmonic photothermal effect and two-photon photoluminescence (TPL), while the
CP shell of gadolinium and gemcitabine monophosphate allowed chemotherapy and MRI
imaging. The AuNS@CP nanoparticles exhibited a strong T1 contrast signal and
could monitor the localization of nanoparticles in vivo through noninvasive MR
imaging, while intravital TPL imaging could be used to study nanoparticle
behavior in tumors at the microscopic level. The combination of photothermal
therapy and chemotherapy inhibited tumor growth in vivo.
PMID- 27877237
TI - Near Infrared Fluorescent Nanoparticles Derived from Hyaluronic Acid Improve
Tumor Contrast for Image-Guided Surgery.
AB - Tumor tissue that remains undetected at the primary surgical site can cause tumor
recurrence, repeat surgery, and treatment strategy alterations that impose a
significant patient and healthcare burden. Intraoperative near infrared
fluorescence (NIRF) imaging is one potential method to identify remaining tumor
by visualization of NIR fluorophores that are preferentially localized to the
tumor. This requires development of fluorophores that consistently identify tumor
tissue in different patients and tumor types. In this study we examined a panel
of NIRF contrast agents consisting of polymeric nanoparticle (NP) formulations
derived from hyaluronic acid (HA), with either physically entrapped indocyanine
green (ICG) or covalently conjugated Cy7.5. Using orthotopic human breast cancer
MDA-MB-231 xenografts in nude mice we identified two lead formulations. One,
NanoICGPBA, with physicochemically entrapped ICG, showed 2.3-fold greater tumor
contrast than ICG alone at 24 h (p < 0.01), and another, NanoCy7.5100-H, with
covalently conjugated Cy7.5, showed 74-fold greater tumor contrast than Cy7.5
alone at 24 h (p < 0.0001). These two lead formulations were then tested in
immune competent BALB/c mice bearing orthotopic 4T1 breast cancer tumors.
NanoICGPBA showed 2.2-fold greater contrast than ICG alone (p < 0.0001), and
NanoCy7.5100-H showed 14.8-fold greater contrast than Cy7.5 alone (p < 0.0001).
Furthermore, both NanoICGPBA and NanoCy7.5100-H provided strong tumor enhancement
using image-guided surgery in mice bearing 4T1 tumors. These studies demonstrate
the efficacy of a panel of HA-derived NPs in delineating tumors in vivo, and
identifies promising formulations that can be used for future in vivo tumor
removal efficacy studies.
PMID- 27877238
TI - Sphingomyelin Liposomes Containing Porphyrin-phospholipid for Irinotecan
Chemophototherapy.
AB - Porphyrin-phospholipid (PoP) liposomes can entrap anti-cancer agents and release
them in response to near infrared (NIR) light. Doxorubicin, when remotely loaded
via an ammonium sulfate gradient at a high drug-to-lipid ratio, formed elongated
crystals that altered liposome morphology and could not be loaded into liposomes
with higher PoP content. On the other hand, irinotecan could also be remotely
loaded but did not form large crystals and did not induce liposome elongation.
The loading, stability, and NIR light-triggered release of irinotecan in PoP
liposomes was altered by the types of lipids used and the presence of PEGylation.
Sphingomyelin, which has been explored previously for liposomal irinotecan, was
found to produce liposomes with relatively improved serum stability and rapid NIR
light-triggered drug release. PoP liposomes composed from sphingomyelin,
cholesterol and 2 molar percent PoP rapidly released irinotecan in vivo in
response to NIR irradiation as monitored by intravital microscopy and also
induced effective tumor eradication in mice bearing MIA Paca-2 subcutaneous tumor
xenografts.
PMID- 27877240
TI - ROS-Responsive Mitochondria-Targeting Blended Nanoparticles: Chemo- and
Photodynamic Synergistic Therapy for Lung Cancer with On-Demand Drug Release upon
Irradiation with a Single Light Source.
AB - Mitochondria in cancer cells maintain a more negative membrane potential than
normal cells. Mitochondria are the primary source of cellular reactive oxygen
species (ROS), which are necessary for photodynamic therapy. Thus, the strategy
of targeting mitochondria can maximize the photodynamic therapeutic efficiency
for cancer. Here we report, for the first time, synthesis of a new mitochondria
targeting drug delivery system, ZnPc/CPT-TPPNPs. To synthesize this novel
compound, polyethylene glycol was functionalized with thioketal linker-modified
camptothecin (TL-CPT) and triphenylphosphonium to form the block copolymer, TL
CPT-PEG1K-TPP. The ZnPc/CPT-TPPNPs was constructed for delivery of the
photosensitizer Zinc phthalocyanine (ZnPc) by blending the block copolymer TL-CPT
PEG1K-TPP with 1, 2-distearoyl-sn-glycero-3-phosphoethanolamine-N-[methoxy
(polyethylene glycol)] (DSPE-PEG).Triphenylphosphine can accumulate selectively
several hundred-fold within mitochondria. The thioketal linker is ROS-responsive
and CPT can be released upon ROS cleavage. We also show that the ZnPc loaded in
ZnPc/CPT-TPPNPs absorbed the 633 nm laser to produce ROS, which could be utilized
both in photodynamic therapy and to cleave the thioketal linker thereby releasing
camptothecin for chemotherapy. Thus, the mitochondria-targeting nanoparticles
could elevate photodynamic therapeutic efficacy. Our results showed that surface
modification of the nanoparticles with triphenylphosphine cations facilitated
efficient subcellular delivery of the photosensitizer to mitochondria. The
nanoparticles had a good ROS-responsive effect to release CPT, which could
transfer to the nucleus and interfere with DNA replication as a
topoisomeraseIinhibitor. Thus, the blended nanoparticles provide a new promising
approach as a mitochondria-targeting ROS-activated chemo- and photodynamic
therapy with a single light source for lung cancer.
PMID- 27877239
TI - NIR-Laser-Controlled Drug Release from DOX/IR-780-Loaded Temperature-Sensitive
Liposomes for Chemo-Photothermal Synergistic Tumor Therapy.
AB - NIR laser-induced photothermal therapy (PTT) through near-infrared agents has
demonstrated the great potential in solid tumor ablation. However, the nonuniform
heat distribution over tumors from PTT makes it insufficient to kill all tumor
cells, resulting in tumor recurrence and inferior outcomes. To improve the tumor
treatment efficacy, it is highly desirable to develop the combinational treatment
of PTT with other modalities, especially with chemotherapeutic agents. Here we
report a smart DOX/IR-780-loaded temperature-sensitive-liposome (DITSL) which can
achieve NIR-laser-controlled drug release for chemo-photothermal synergistic
tumor therapy. In this system, the liposoluble IR-780 was incorporated into the
temperature-sensitive lipid bilayer and the soluble chemotherapeutic doxorubicin
(DOX) was encapsulated in the hydrophilic core. The resulting DITSL is proved to
be physiologically stable and can provide a fast and laser irradiation
controllable DOX release in the PBS and cellular conditions. We further employed
this nanoparticle for tumor treatment, demonstrating significantly higher tumor
inhibition efficacy than that of DOX-loaded temperature-sensitive-liposome (DTSL)
or IR780-loaded temperature-sensitive-liposome (ITSL) in the in vitro cells and
in vivo animals. Histological analysis further revealed much more apoptotic
cells, confirming the advantageous anti-tumor effect of DITSL over DTSL or ITSL.
Our study provides a promising strategy to realize chemo-photothermal synergistic
combination therapy for breast tumors.
PMID- 27877241
TI - Photo-decomposable Organic Nanoparticles for Combined Tumor Optical Imaging and
Multiple Phototherapies.
AB - Combination of photodynamic therapy (PDT) with photothermal therapy (PTT) has
achieved significantly improved therapeutic efficacy compared to a single
phototherapy modality. However, most nanomaterials used for combined PDT/PTT are
made of non-biodegradable materials (e.g., gold nanorods, carbon nanotubes, and
graphenes) and may remain intact in the body for long time, raising concerns over
their potential long-term toxicity. Here we report a new combined PDT/PTT
nanomedicine, designated SP3NPs, that exhibit photo-decomposable, photodynamic
and photothermal properties. SP3NPs were prepared by self-assembly of PEGylated
cypate, comprising FDA-approved PEG and an ICG derivative. We confirmed the
ability of SP3NPs to generate both singlet oxygen for a photodynamic effect and
heat for photothermal therapy in response to NIR laser irradiation in vitro.
Also, the unique ability of SP3NPs to undergo irreversible decomposition upon NIR
laser irradiation was demonstrated. Further our experimental results demonstrated
that SP3NPs strongly accumulated in tumor tissue owing to their highly PEGylated
surface and relatively small size (~60 nm), offering subsequent imaging-guided
combined PDT/PTT treatment that resulted in tumor eradication and prolonged
survival of mice. Taken together, our SP3NPs described here may represent a novel
and facile approach for next-generation theranostics with great promise for
translation into clinical practice in the future.
PMID- 27877242
TI - Nanoscale "fluorescent stone": Luminescent Calcium Fluoride Nanoparticles as
Theranostic Platforms.
AB - Calcium Fluoride (CaF2) based luminescent nanoparticles exhibit unique,
outstanding luminescent properties, and represent promising candidates as
nanoplatforms for theranostic applications. There is an urgent need to facilitate
their further development and applications in diagnostics and therapeutics as a
novel class of nanotools. Here, in this critical review, we outlined the recent
significant progresses made in CaF2-related nanoparticles: Firstly, their
physical chemical properties, synthesis chemistry, and nanostructure fabrication
are summarized. Secondly, their applications in deep tissue bio-detection, drug
delivery, imaging, cell labeling, and therapy are reviewed. The exploration of
CaF2-based luminescent nanoparticles as multifunctional nanoscale carriers for
imaging-guided therapy is also presented. Finally, we discuss the challenges and
opportunities in the development of such CaF2-based platform for future
development in regard to its theranostic applications.
PMID- 27877243
TI - Recent Advances in Photoacoustic Imaging for Deep-Tissue Biomedical Applications.
AB - Photoacoustic imaging (PAI), a novel imaging modality based on photoacoustic
effect, shows great promise in biomedical applications. By converting pulsed
laser excitation into ultrasonic emission, PAI combines the advantages of optical
imaging and ultrasound imaging, which benefits rich contrast, high resolution and
deep tissue penetration. In this paper, we introduced recent advances of contrast
agents, applications, and signal enhancement strategies for PAI. The PA contrast
agents were categorized by their components, mainly including inorganic and
organic PA contrast agents. The applications of PAI were summarized as follows:
deep tumor imaging, therapeutic responses monitoring, metabolic imaging, pH
detection, enzyme detection, reactive oxygen species (ROS) detection, metal ions
detection, and so on. The enhancement strategies of PA signals were highlighted.
In the end, we elaborated on the challenges and provided perspectives of PAI for
deep-tissue biomedical applications.
PMID- 27877244
TI - Advances in Imaging Techniques and Genetically Encoded Probes for Photoacoustic
Imaging.
AB - Photoacoustic (PA) imaging is a rapidly emerging biomedical imaging modality that
is capable of visualizing cellular and molecular functions with high detection
sensitivity and spatial resolution in deep tissue. Great efforts and progress
have been made on the development of various PA imaging technologies with
improved resolution and sensitivity over the past two decades. Various PA probes
with high contrast have also been extensively developed, with many important
biomedical applications. In comparison with chemical dyes and nanoparticles,
genetically encoded probes offer easier labeling of defined cells within tissues
or proteins of interest within a cell, have higher stability in vivo, and
eliminate the need for delivery of exogenous substances. Genetically encoded
probes have thus attracted increasing attention from researchers in engineering
and biomedicine. In this review, we aim to provide an overview of the existing PA
imaging technologies and genetically encoded PA probes, and describe further
improvements in PA imaging techniques and the near-infrared photochromic protein
BphP1, the most sensitive genetically encoded probe thus far, as well as the
potential biomedical applications of BphP1-based PA imaging in vivo.
PMID- 27877245
TI - Imaging Nanotherapeutics in Inflamed Vasculature by Intravital Microscopy.
AB - Intravital microscopy (IVM) is the application of light microscopy to real time
study biology of live animal tissues in intact and physiological conditions with
the high spatial and temporal resolution. Advances in imaging systems, genetic
animal models and imaging probes, IVM has offered quantitative and dynamic
insight into cell biology, immunology, neurobiology and cancer. In this review,
we will focus on the targeting of nanotherapeutics to inflamed vasculature. We
will introduce the basic concept and principle of IVM and demonstrate that IVM is
a powerful tool used to quantitatively determine the molecular mechanisms of
interactions between nanotherapeutics and neutrophils or endothelium in living
mice. In the future, it is needed to develop new imaging systems and novel
imaging contrast agents to better understand molecular mechanisms of tissue
processing of nanotherapeutics in vivo.
PMID- 27877249
TI - Focus on materials analysis and processing in magnetic fields.
PMID- 27877250
TI - Hydrothermal growth of ZnO nanostructures.
AB - One-dimensional nanostructures exhibit interesting electronic and optical
properties due to their low dimensionality leading to quantum confinement
effects. ZnO has received lot of attention as a nanostructured material because
of unique properties rendering it suitable for various applications. Amongst the
different methods of synthesis of ZnO nanostructures, the hydrothermal method is
attractive for its simplicity and environment friendly conditions. This review
summarizes the conditions leading to the growth of different ZnO nanostructures
using hydrothermal technique. Doping of ZnO nanostructures through hydrothermal
method are also highlighted.
PMID- 27877251
TI - Texturing by cooling a metallic melt in a magnetic field.
AB - Processing in a magnetic field leads to the texturing of materials along an easy
magnetization axis when a minimum anisotropy energy exists at the processing
temperature; the magnetic field can be applied to a particle assembly embedded
into a liquid, or to a solid at a high diffusion temperature close to the melting
temperature or between the liquidus and the solidus temperatures in a region of
partial melting. It has been shown in many experiments that texturing is easy to
achieve in congruent and noncongruent compounds by applying the field above the
melting temperature Tm or above the liquidus temperature of alloys. Texturing
from a melt is successful when the overheating temperature is just a few degrees
above Tm and fails when the processing time above Tm is too long or when the
overheating temperature is too high; these observations indicate the presence of
unmelted crystals above Tm with a size depending on these two variables that act
as growth nuclei. A recent model that predicts the existence of unmelted crystals
above the melting temperature is used to calculate their radius in a bismuth
melt.
PMID- 27877248
TI - Chemically engineered persistent luminescence nanoprobes for bioimaging.
AB - Imaging nanoprobes are a group of nanosized agents developed for providing
improved contrast for bioimaging. Among various imaging probes, optical sensors
capable of following biological events or progresses at the cellular and
molecular levels are actually actively developed for early detection, accurate
diagnosis, and monitoring of the treatment of diseases. The optical activities of
nanoprobes can be tuned on demand by chemists by engineering their composition,
size and surface nature. This review will focus on researches devoted to the
conception of nanoprobes with particular optical properties, called persistent
luminescence, and their use as new powerful bioimaging agents in preclinical
assays.
PMID- 27877247
TI - Beyond the Barriers of Light Penetration: Strategies, Perspectives and
Possibilities for Photodynamic Therapy.
AB - Photodynamic therapy (PDT) is a photochemistry based treatment modality that
involves the generation of cytotoxic species through the interactions of a
photosensitizer molecule with light irradiation of an appropriate wavelength. PDT
is an approved therapeutic modality for several cancers globally and in several
cases has proved to be effective where traditional treatments have failed. The
key parameters that determine PDT efficacy are 1. the photosensitizer (nature of
the molecules, selectivity, and macroscopic and microscopic localization etc.),
2. light application (wavelength, fluence, fluence rate, irradiation regimes
etc.) and 3. the microenvironment (vascularity, hypoxic regions, stromal tissue
density, molecular heterogeneity etc.). Over the years, several groups aimed to
monitor and manipulate the components of these critical parameters to improve the
effectiveness of PDT treatments. However, PDT is still misconstrued to be a
surface treatment primarily due to the limited depths of light penetration. In
this review, we present the recent advances, strategies and perspectives in PDT
approaches, particularly in cancer treatment, that focus on increasing the
'damage zone' beyond the reach of light in the body. This is enabled by a
spectrum of approaches that range from innovative photosensitizer excitation
strategies, increased specificity of phototoxicity, and biomodulatory approaches
that amplify the biotherapeutic effects induced by photodynamic action. Along
with the increasing depth of understanding of the underlying physical, chemical
and physiological mechanisms, it is anticipated that with the convergence of
these strategies, the clinical utility of PDT will be expanded to a powerful
modality in the armamentarium for the management of cancer.
PMID- 27877253
TI - Fabrication of crystal-oriented barium-bismuth titanate ceramics in high magnetic
field and subsequent reaction sintering.
AB - High magnetic field was applied to fabricate novel lead-free piezoelectric
ceramics with a textured structure. A compact of crystallographically oriented
grains was prepared by dry forming in a high magnetic field from a mixed slurry
of bismuth titanate and barium titanate powders. Bismuth titanate particles with
a size of about 1 MU m were used as the host material. In the forming process,
the slurry was poured into a mold and set in a magnetic field of 10 T until
completely dried. Bismuth titanate particles were highly oriented in the slurry
under the magnetic field. The dried powder compact consisted of highly oriented
bismuth titanate particles and randomly oriented barium titanate particles.
Barium bismuth titanate ceramics with a- and b-axis orientations were
successfully produced from the dried compact by sintering at temperatures above
1100 degrees C.
PMID- 27877246
TI - Recent Advances of Light-Mediated Theranostics.
AB - Currently, precision theranostics have been extensively demanded for the
effective treatment of various human diseases. Currently, efficient therapy at
the targeted disease areas still remains challenging since most available drug
molecules lack of selectivity to the pathological sites. Among different
approaches, light-mediated therapeutic strategy has recently emerged as a
promising and powerful tool to precisely control the activation of therapeutic
reagents and imaging probes in vitro and in vivo, mostly attributed to its unique
properties including minimally invasive capability and highly spatiotemporal
resolution. Although it has achieved initial success, the conventional strategies
for light-mediated theranostics are mostly based on the light with short
wavelength (e.g., UV or visible light), which may usually suffer from several
undesired drawbacks, such as limited tissue penetration depth, unavoidable light
absorption/scattering and potential phototoxicity to healthy tissues, etc.
Therefore, a near-infrared (NIR) light-mediated approach on the basis of long
wavelength light (700-1000 nm) irradiation, which displays deep-tissue
penetration, minimized photo-damage and low autofluoresence in living systems,
has been proposed as an inspiring alternative for precisely phototherapeutic
applications in the last decades. Despite numerous NIR light-responsive molecules
have been currently proposed for clinical applications, several inherent
drawbacks, such as troublesome synthetic procedures, low water solubility and
limited accumulation abilities in targeted areas, heavily restrict their
applications in deep-tissue therapeutic and imaging studies. Thanks to the
amazing properties of several nanomaterials with large extinction coefficient in
the NIR region, the construction of NIR light responsive nanoplatforms with
multifunctions have become promising approaches for deep-seated diseases
diagnosis and therapy. In this review, we summarized various light-triggered
theranostic strategies and introduced their great advances in biomedical
applications in recent years. Moreover, some other promising light-assisted
techniques, such as photoacoustic and Cerenkov radiation, were also systemically
discussed. Finally, the potential challenges and future perspectives for light
mediated deep-tissue diagnosis and therapeutics were proposed.
PMID- 27877252
TI - Using magnetic birefringence to determine the molecular arrangement of
supramolecular nanostructures.
AB - Supramolecular aggregates can be aligned in solution using a magnetic field.
Because of the optical anisotropy of the molecular building blocks, the alignment
results in an anisotropic refractive index of the solution parallel and
perpendicular to the magnetic field. We present a model for calculating the
magnetic birefringence, using solely the magnetic susceptibilities and optical
polarizabilities of the molecules, for any molecular arrangement. We demonstrate
that magnetic birefringence is a very sensitive tool for determining the
molecular organization within supramolecular aggregates.
PMID- 27877254
TI - Simultaneous alignment and micropatterning of carbon nanotubes using modulated
magnetic field.
AB - We report simultaneous alignment and micropatterning of carbon nanotubes (CNTs)
using a high magnetic field. It is important to prepare well-dispersed CNTs for
alignment and patterning because CNT aggregation obstructs alignment. In magnetic
field, highly anisotropic CNTs rotate in the direction stabilized in energy.
Owing to their diamagnetic nature, CNTs suspended in a liquid medium are trapped
in a weak magnetic field generated by a field modulator; meanwhile, they align to
the applied strong magnetic field. The alignment has been achieved not only in
polymers but also in ceramic and silicone composites.
PMID- 27877255
TI - Magnetic orientation and magnetic anisotropy in paramagnetic layered oxides
containing rare-earth ions.
AB - The magnetic anisotropies and easy axes of magnetization at room temperature were
determined, and the effects of rare-earth (RE) ions were clarified for RE-based
cuprates, RE-doped bismuth-based cuprates and RE-doped Bi-based cobaltite
regarding the grain orientation by magnetic field. The easy axis, determined from
the powder orientation in a static field of 10 T, depended qualitatively on the
type of RE ion for all three systems. On the other hand, the magnetization
measurement of the c-axis oriented powders, aligned in static or rotating fields,
revealed that the type of RE ion strongly affected not only the directions of the
easy axis but also the absolute value of magnetic anisotropy, and an appropriate
choice of RE ion is required to minimize the magnetic field used for grain
orientation. We also studied the possibility of triaxial grain orientation in
high-critical-temperature superconductors by a modulated oval magnetic field. In
particular, triaxial orientation was attempted in a high-oxygen-pressure phase of
orthorhombic RE-based cuprates Y2Ba4Cu7O y . Although the experiment was
performed in epoxy resin, which is not practical, in-plane alignment within 3
degrees was achieved.
PMID- 27877256
TI - On the influence of magnetic field processing on the texture, phase assemblage
and properties of low aspect ratio Bi2Sr2CaCu2O x /AgMg wire.
AB - Bi2Sr2CaCu2O x /AgMg conductors are potentially important for many applications
up to 20 K, including magnets for cryogen-free magnetic resonance imaging and
high field nuclear magnetic resonance research. One promising approach to
increased critical current density is partial-melt processing in the presence of
a magnetic field which has been shown to enhance c-axis texturing of wide, thin
tape conductors. Here, we report on low aspect ratio rectangular conductors
processed in an 8 T magnetic field. The magnetic field is applied during
different stages of the heat treatment process. The conductors are electrically
characterized using four-point critical current measurements as a function of
magnetic field and magnetic field orientation relative to the conductor. The
superconductive transition and magnetization hysteresis are measured using a
SQUID magnetometer. The microstructures are characterized using scanning electron
microscopy and energy dispersive spectroscopy and analyzed using digital image
processing. It is found that the presence of a magnetic field during split melt
processing enhances the electrical transport and magnetic behavior, but that the
anisotropy is not consistently affected. The magnetic field also affects
development of interfilamentary Bi2212 bridges, and that this depends on the
initial shape of the Bi2212 filament. At least two behaviors are identified; one
impacts the oxide phase assemblage and the other impacts textured growth.
PMID- 27877257
TI - Effects of high magnetic fields on solidified structures of Mn-90.4 wt% Sb
hypoeutectic alloy.
AB - Mn-90.4 wt% Sb alloy specimens were solidified under both uniform magnetic field
and magnetic field gradient conditions. The solidification behavior was examined
to elucidate the effects of high magnetic fields on the solidified structure
evolution of this hypoeutectic alloy. The macrostructures on the longitudinal
section of the alloys were investigated by optical microscopy and x-ray
diffraction (XRD). The volume fraction of primary MnSb phases and the interrod
spacing of the eutectic were measured by metallographic analysis. It was found
that the segregation of the primary MnSb particles at the certain regions of the
specimens occurred under the influence of high magnetic field gradients. The MnSb
phases obtained under magnetic fields were oriented with their (h0 l) planes
along the direction of the magnetic field. Both the volume fraction of primary
MnSb phases and the interrod spacing of the eutectic were decreased upon the
application of the high magnetic fields.
PMID- 27877258
TI - Crystal growth nucleation and Fermi energy equalization of intrinsic spherical
nuclei in glass-forming melts.
AB - The energy saving resulting from the equalization of Fermi energies of a crystal
and its melt is added to the Gibbs free-energy change DeltaG2ls associated with a
crystal formation in glass-forming melts. This negative contribution being a
fraction epsilon ls(T) of the fusion heat is created by the electrostatic
potential energy -U0 resulting from the electron transfer from the crystal to the
melt and is maximum at the melting temperature Tm in agreement with a
thermodynamics constraint. The homogeneous nucleation critical temperature T2,
the nucleation critical barrier DeltaG2ls*/kBT and the critical radius R*2ls are
determined as functions of epsilonls(T). In bulk metallic glass forming melts,
epsilonls(T) and T2 only depend on the free-volume disappearance temperature T0l,
and epsilonls(Tm) is larger than 1 (T0l>Tm/3); in conventional undercooled melts
epsilonls(Tm) is smaller than 1 (T0l>Tm/3). Unmelted intrinsic crystals act as
growth nuclei reducing DeltaG2ls*/kBT and the nucleation time. The temperature
time transformation diagrams of Mg65Y10Cu25, Zr41.2Ti13.8Cu12.5Ni10Be22.5,
Pd43Cu27 Ni10P20, Fe83B17 and Ni melts are predicted using classic nucleation
models including time lags in transient nucleation, by varying the intrinsic
nucleus contribution to the reduction of DeltaG2ls*/kBT. The energy-saving
coefficient epsilon nm(T) of an unmelted crystal of radius Rnm is reduced when
Rnm ?R*2ls; epsilonnm is quantified and corresponds to the first energy level of
one s-electron moving in vacuum in the same spherical attractive potential -U0
despite the fact that the charge screening is built by many-body effects.
PMID- 27877259
TI - Control of lattice spacing in a triangular lattice of feeble magnetic particles
formed by induced magnetic dipole interactions.
AB - We studied methods of controlling the spacing between particles in the triangular
lattice formed by feeble magnetic particles through induced magnetic dipole
interaction. Formation of a triangular lattice is described by the balance
between the magnetic force and the interaction of induced magnetic dipoles. The
intensity of the magnetic force is proportional to the volume of particles V and
the difference in the magnetic susceptibilities between the particles and the
surrounding medium Deltachi. On the other hand, the intensity of the induced
magnetic dipole interaction depends on the square of V and Deltachi. Therefore,
altering the magnetic susceptibility difference by changing the susceptibility of
the surrounding medium, volume of the particles, and intensity and spatial
distribution of the applied magnetic field effectively controls the distance
between the particles. In this study, these three methods were evaluated through
experiment and molecular dynamics simulations. The distance between the
particles, i.e. the lattice constant of the triangular lattice, was varied from
1.7 to 4.0 in units of the particle diameter. Formation of self-organized
triangular lattice through the induced magnetic dipole interaction is based on
magnetism, a physical property that all materials have. Therefore, this
phenomenon is applicable to any materials of any size. Consequently, structure
formation through induced magnetic dipole interaction is a potential way of
fabricating materials with ordered structures.
PMID- 27877260
TI - Numerical simulation of chainlike cluster movement of feeble magnetic particles
by induced magnetic dipole moment under high magnetic fields.
AB - In this paper, the motion of a chainlike cluster of feeble magnetic particles
induced by high magnetic field is discussed on the basis of the results of
numerical simulations. The simulations were performed on glass particles with a
diameter of 0.8 mm; and the viscosity, applied magnetic field and magnetic
properties of the surrounding medium were changed. In addition to the magnetic
field and the difference in magnetic susceptibility between the particles and the
surrounding medium, the obtained results indicate that the viscosity is an
essential factor for the formation of the chainlike alignment of feeble magnetic
particles. We also carried out simulations using glass particles with a smaller
diameter of 0.1 mm. Chainlike clusters were produced similar to those of
ferromagnetic particles formed in a ferromagnetic fluid.
PMID- 27877261
TI - Study of flow fractionation characteristics of magnetic chromatography utilizing
high-temperature superconducting bulk magnet.
AB - We present numerical simulation of separating magnetic particles with different
magnetic susceptibilities by magnetic chromatography using a high-temperature
superconducting bulk magnet. The transient transport is numerically simulated for
two kinds of particles having different magnetic susceptibilities. The time
evolutions were calculated for the particle concentration in the narrow channel
of the spiral arrangement placed in the magnetic field. The field is produced by
the highly magnetized high-temperature superconducting bulk magnet. The numerical
results show the flow velocity difference of the particle transport corresponding
to the difference in the magnetic susceptibility, as well as the possible
separation of paramagnetic particles of 20 nm diameter.
PMID- 27877262
TI - On-chip diamagnetic repulsion in continuous flow.
AB - We explore the potential of a microfluidic continuous flow particle separation
system based on the repulsion of diamagnetic materials from a high magnetic
field. Diamagnetic polystyrene particles in paramagnetic manganese (II) chloride
solution were pumped into a microfluidic chamber and their deflection behaviour
in a high magnetic field applied by a superconducting magnet was investigated.
Two particle sizes (5 and 10 MUm) were examined in two concentrations of MnCl2 (6
and 10%). The larger particles were repelled to a greater extent than the smaller
ones, and the effect was greatly enhanced when the particles were suspended in a
higher concentration of MnCl2. These findings indicate that the system could be
viable for the separation of materials of differing size and/or diamagnetic
susceptibility, and as such could be suitable for the separation and sorting of
small biological species for subsequent studies.
PMID- 27877263
TI - X-ray diffraction measurements in high magnetic fields and at high temperatures.
AB - A system was developed measuring x-ray powder diffraction in high magnetic fields
up to 5 T and at temperatures from 283 to 473 K. The stability of the temperature
is within 1 K over 6 h. In order to examine the ability of the system, the high
field x-ray diffraction measurements were carried out for Si and a Ni-based
ferromagnetic shape-memory alloy. The results show that the x-ray powder
diffraction measurements in high magnetic fields and at high temperatures are
useful for materials research.
PMID- 27877264
TI - Phase selection controlled by sodium ions in the synthesis of FAU/LTA composite
zeolite.
AB - Zeolite faujasite (FAU), Linde type A (LTA) and FAU/LTA composite have been
synthesized using tetramethylammonium cation (TMA+) as template, by adjusting
only the concentration of Na+ ions in the initial solution (1.00 Al2O3 4.36 SiO2
: 2.39 (TMA)2 O : beta Na2 O : 249.00H2 O). Na+ ions alter the phase composition
of the product more than TMA+ or OH- ions. When Na2 O concentration [Na2 O]
increases from 0.024 to 0.168, the product gradually changes from pure FAU to
pure LTA via the formation of FAU/LTA composite with increasing LTA fraction.
Interestingly, the induction periods of FAU and LTA in the FAU/LTA composite
zeolite ([Na2 O] is 0.072) are both 13 h, quite different from the induction
periods of their individual pure phases-45 h for FAU and 4 h for LTA. During the
crystallization, the LTA/(FAU + LTA) fraction in the composite zeolite decreases
in a nearly linear fashion. Scanning electron microscopy, thermogravimetry and
differential thermal analysis indicate some difference between the properties of
the FAU/LTA composite zeolite and of the mechanical mixture.
PMID- 27877265
TI - Synthesis of polyacrylate/polyethylene glycol interpenetrating network hydrogel
and its sorption of heavy-metal ions.
AB - A simple two-step aqueous polymerization method was introduced to synthesize a
polyacrylate/polyethylene glycol (PAC/PEG) interpenetrating network (IPN)
hydrogel. On the basis of the effects of the ratio of PAC to PEG, neutralization
degree, heavy-metal ion concentration, and temperature on the adsorption behavior
of PAC/PEG IPN hydrogel toward Ni2 +, Cr3 + and Cd2 +, the preparation conditions
were optimized. In our system, the greatest amount of Ni2 +, Cr3 + and Cd2 +
adsorbed were 102.34, 49.38 and 33.41 mg g- 1, respectively. The adsorption
abilities of a dried PAC/PEG composite and a swollen PAC/PEG IPN hydrogel were
compared. It was found that the efficiency of removing metal ions using the
swollen hydrogel was greater than that using the dried composite. The adsorption
mechanism and model are also discussed.
PMID- 27877266
TI - Enhancement of antibacterial properties of Ag nanorods by electric field.
AB - The effect of an electric field on the antibacterial activity of columnar aligned
silver nanorods was investigated. Silver nanorods with a polygonal cross section,
a width of 20-60 nm and a length of 260-550 nm, were grown on a titanium
interlayer by applying an electric field perpendicular to the surface of a
Ag/Ti/Si(100) thin film during its heat treatment at 700 degrees C in an Ar+H2
environment. The optical absorption spectrum of the silver nanorods exhibited two
peaks at wavelengths of 350 and 395 nm corresponding to the main surface plasmon
resonance bands of the one-dimensional silver nanostructures. It was found that
the silver nanorods with an fcc structure were bounded mainly by {100} facets.
The antibacterial activity of the silver nanorods against Escherichia coli
bacteria was evaluated at various electric fields applied in the direction of the
nanorods without any electrical connection between the nanorods and the capacitor
plates producing the electric field. Increasing the electric field from 0 to 50 V
cm-1 resulted in an exponential increase in the relative rate of reduction of the
bacteria from 3.9*10-2 to 10.5*10-2 min-1. This indicates that the antibacterial
activity of silver nanorods can be enhanced by applying an electric field, for
application in medical and food-preserving fields.
PMID- 27877267
TI - Decomposition of Fe5C2 catalyst particles in carbon nanofibers during TEM
observation.
AB - The effect of an electron beam on nanoparticles of two Fe carbide catalysts
inside a carbon nanofiber was investigated in a transmission electron microscope.
Electron beam exposure does not result in significant changes for cementite
(theta-Fe3C). However, for Hagg carbide nanoparticles (chi-Fe5C2), explosive
decay is observed after exposure for 5-10 s. This produces small particles of
cementite and gamma-Fe, each covered with a multilayer carbon shell, and
significantly modifies the carbon-fiber structure. It is considered that the
decomposition of Hagg carbide is mostly due to the damage induced by high-energy
electron collisions with the crystal lattice, accompanied by the heating of the
particle and by mechanical stress provided by the carbon layers of the nanofiber.
PMID- 27877268
TI - Improved fire retardancy of thermoset composites modified with carbon nanofibers.
AB - Multifunctional thermoset composites were made from polyester resin, glass fiber
mats and carbon nanofiber sheets (CNS). Their flaming behavior was investigated
with cone calorimeter under well-controlled combustion conditions. The heat
release rate was lowered by pre-planting carbon nanofiber sheets on the sample
surface with the total fiber content of only 0.38 wt.%. Electron microscopy
showed that carbon nanofiber sheet was partly burned and charred materials were
formed on the combusting surface. Both the nanofibers and charred materials acted
as an excellent insulator and/or mass transport barrier, improving the fire
retardancy of the composite. This behavior agrees well with the general mechanism
of fire retardancy in various nanoparticle-thermoplastic composites.
PMID- 27877269
TI - Characterization of platinum-iron catalysts supported on MCM-41 synthesized with
rice husk silica and their performance for phenol hydroxylation.
AB - Mesoporous material RH-MCM-41 was synthesized with rice husk silica by a
hydrothermal method. It was used as a support for bimetallic platinum-iron
catalysts Pt-Fe/RH-MCM-41 for phenol hydroxylation. The catalysts were prepared
by co-impregnation with Pt and Fe at amounts of 0.5 and 5.0 wt.%, respectively.
The RH-MCM-41 structure in the catalysts was studied with x-ray diffraction, and
their surface areas were determined by nitrogen adsorption. The oxidation number
of Fe supported on RH-MCM-41 was + 3, as determined by x-ray absorption near edge
structure (XANES) analysis. Transmission electron microscopy (TEM) images of all
the catalysts displayed well-ordered structures, and metal nanoparticles were
observed in some catalysts. All the catalysts were active for phenol
hydroxylation using H2O2 as the oxidant at phenol : H2O2 mole ratios of 2 : 1, 2
: 2, 2 : 3 and 2 : 4. The first three ratios produced only catechol and
hydroquinone, whereas the 2 : 4 ratio also produced benzoquinone. The 2 : 3 ratio
gave the highest phenol conversion of 47% at 70 degrees C. The catalyst prepared
by co-impregnation with Pt and Fe was more active than that prepared using a
physical mixture of Pt/RH-MCM-41 and Fe/RH-MCM-41.
PMID- 27877270
TI - High-temperature resistivity and thermoelectric properties of coupled substituted
Ca3Co2O6.
AB - Polycrystalline samples of Ca3-x Na x Co2-x Mn x O6 (x=0.0-0.5) have been
prepared by the sol-gel cum combustion method using sucrose in order to
investigate the effects of the coupled substitution of Na and Mn on Ca and Co
sites on the transport properties of Ca3Co2O6(Co326). The products were
characterized by Fourier transform infrared spectroscopy, powder x-ray
diffraction (XRD), thermogravimetry (TGA), differential thermal analysis and
scanning electron microscopy. XRD patterns reveal the formation of single-phase
products up to x=0.5. Coupled substitution increases the solubility of both Na
and Mn on Ca and Co sites, respectively, in contrast to the limited solubility of
Na and Mn (x=0.2) when separately substituted. TGA confirms the formation of the
Ca3Co2O6 phase at temperatures ~720 degrees C. The grain size of the parent and
substituted products is in the range 150-250 nm. Electrical resistivity and
Seebeck coefficient were measured in the temperature range 300-800 K. Resistivity
shows semiconducting behavior for all the compositions, particularly in the low
temperature regime. The Seebeck coefficient increases with temperature throughout
the measured temperature range for all compositions. The maximum Seebeck
coefficient (200 MUV K-1) is observed for x=0.5 at 825 K, and this composition
may be optimal for high-temperature thermoelectric applications.
PMID- 27877271
TI - Mechanical properties of Ti-6Al-4V specimens produced by shaped metal deposition.
AB - Shaped metal deposition is a novel technique to build near net-shape components
layer by layer by tungsten inert gas welding. Especially for complex shapes and
small quantities, this technique can significantly lower the production cost of
components by reducing the buy-to-fly ratio and lead time for production,
diminishing final machining and preventing scrap. Tensile testing of Ti-6Al-4V
components fabricated by shaped metal deposition shows that the mechanical
properties are competitive to material fabricated by conventional techniques. The
ultimate tensile strength is between 936 and 1014 MPa, depending on the
orientation and location. Tensile testing vertical to the deposition layers
reveals ductility between 14 and 21%, whereas testing parallel to the layers
gives a ductility between 6 and 11%. Ultimate tensile strength and ductility are
inversely related. Heat treatment within the alpha+beta phase field does not
change the mechanical properties, but heat treatment within the beta phase field
increases the ultimate tensile strength and decreases the ductility. The
differences in ultimate tensile strength and ductility can be related to the
alpha lath size and orientation of the elongated, prior beta grains. The micro
hardness and Young's modulus are similar to conventional Ti-6Al-4V with low
oxygen content.
PMID- 27877272
TI - Focus on Organic Conductors.
PMID- 27877273
TI - Lyotropic liquid crystal directed synthesis of nanostructured materials.
AB - This review introduces and summarizes lyotropic liquid crystal (LLC) directed
syntheses of nanostructured materials consisting of porous nanostructures and
zero-dimensional (0-D), one-dimensional (1-D) and two-dimensional (2-D)
nanostructures. After a brief introduction to the liquid crystals, the LLCs used
to prepare mesoporous materials are discussed; in particular, recent advances in
controlling mesostructures are summarized. The LLC templates directing the
syntheses of nanoparticles, nanorods, nanowires and nanoplates are also
presented. Finally, future development in this field is discussed.
PMID- 27877274
TI - Tetrathiapentalene-based organic conductors.
AB - The synthesis, structure and properties of tetrathiapentalene-based (TTP) organic
conductors are reviewed. Among various TTP-type donors, bis-fused
tetrathiafulvalene, 2,5-bis(1,3-dithiol-2-ylidene)-1,3,4,6-tetrathiapentalene
(BDT-TTP) and its derivatives afford many metallic radical cation salts stable
down to low temperatures, regardless of the size and shape of the counter anions.
Most BDT-TTP conductors have a beta-type donor arrangement with almost uniform
stacks. Introduction of appropriate substituents results in molecular packing
that differs from the beta-type. A vinylogous TTP, 2-(1,3-dithiol-2-ylidene)-5-(2
ethanediylidene-1,3-dithiole)-1,3,4,6-tetrathiapentalene (DTEDT) has yielded an
organic superconductor (DTEDT)3Au(CN)2 as well as metallic radical cation salts,
regardless of the counter anions. (Thio)pyran analogs of TTP, namely (T)PDT-TTP
and its derivatives produce molecular conductors with novel molecular
arrangements. A TTP analog with reduced pi-electron system 2,5-bis(1,3-dithian-2
ylidene)-1,3,4,6-tetrathiapentalene (BDA-TTP) has afforded several organic
superconductors. Highly conducting molecular metals with unusual oxidation states
(+1, +5/3 and neutral) have been developed on the basis of 2,5-bis(1,3-dithiol-2
ylidene)-1,3,4,6-tetrathiapentalene (BDT-TTP) derivatives and analogous metal
derivatives M(dt)2 (M = Ni, Au).
PMID- 27877275
TI - New aspects of pi-d interactions in magnetic molecular conductors.
AB - The 2 : 1 cation radical salts of bent donor molecules of ethylenedithio
tetrathiafulvalenoquinone-1,3-dithiolemethide (EDT-TTFVO), ethylenedithio
diselenadithiafulvalenoquinone-1,3-dithiolemethide (EDT-DSDTFVO), ethylenedithio
diselenadithiafulvalenothioquinone-1,3-diselenolemethide (EDT-DSDTFVSDS),
ethylenedioxy-tetrathiafulvalenoquinone-1,3-dithiolemethide (EDO-TTFVO) and
ethylenedioxy-tetrathiafulvalenoquinone-1,3-diselenolemethide (EDO-TTFVODS) with
FeX4- (X = Cl, Br) ions are prepared by electrocrystallization. The crystal
structures of these salts are composed of alternately stacked donor molecule and
magnetic anion layers. The band structures of the donor molecule layers are
calculated using the overlap integrals between neighboring donor molecules and
are compared with the observed electronic transport properties. The magnetic
ordering of the Fe(III) d spins of FeX4- ions is determined from magnetization
and heat capacity measurements. The magnetic ordering temperatures are estimated
by considering a combination of a direct d-d interaction between the d spins and
an indirect pi-d interaction between the conduction pi electron and the d spins,
whose magnitudes are separately calculated from the crystal structures with an
extended Huckel molecular orbital method. The occurrence of a pi-d interaction is
proved by the negative magnetoresistance, and the magnitude of magnetoresistance
reflects the strength of the pi-d interaction. The effect of pressure on the
magnetoresistance is studied, and the result indicates that the magnitude of
magnetoresistance increases, namely, the pi-d interaction is enhanced with
increasing pressure. From these experimental results it is shown that (EDT
TTFVO)2*FeBr4 is a ferromagnetic semiconductor, (EDT-DSDTFVO)2*FeX4 (X = Cl, Br)
and (EDT-DSDTFVSDS)2*FeBr4 are metals exhibiting antiferromagnetic ordering of
the d spins, and (EDO-TTFVO)2*FeCl4 and (EDO-TTFVODS)2*FeBr4*(DCE)0.5 (DCE =
dichloroethane) are genuine antiferromagnetic metals. Among them, the (EDT
TTFVO)2*FeBr4 salt is the first pi-d molecular system where the d spins of FeBr4-
ions are ferromagnetically ordered through antiferromagnetic interaction with the
conduction pi electrons. Corresponding to this ferromagnetic ordering, an
anomalous dielectric slow-down phenomenon toward the ordering temperature is
observed. The pi-d interaction in (EDT-DSDTFVSDS)2*FeBr4 is very large and
comparable to that in lambda-(BETS)2*FeCl4, which has the highest reported value
so far, while the d-d interaction is fairly small. Concerning the ratio between
the magnitudes of pi-d and d-d interactions (Jpid/Jdd), this salt is currently
the best pi-d molecular system.
PMID- 27877276
TI - Organic superconductors with an incommensurate anion structure.
AB - Superconducting incommensurate organic composite crystals based on the
methylenedithio-tetraselenafulvalene (MDT-TSF) series donors, where the energy
band filling deviates from the usual 3/4-filled, are reviewed. The incommensurate
anion potential reconstructs the Fermi surface for both (MDT-TSF)(AuI2)0.436 and
(MDT-ST)(I3)0.417 neither by the fundamental anion periodicity q nor by 2 q , but
by 3 q , where MDT-ST is 5H-2-(1,3-dithiol-2-ylidene)-1,3-diselena-4,6
dithiapentalene, and q is the reciprocal lattice vector of the anion lattice. The
selection rule of the reconstructing vectors is associated with the magnitude of
the incommensurate potential. The considerably large interlayer transfer integral
and three-dimensional superconducting properties are due to the direct donor
donor interactions coming from the characteristic corrugated conducting sheet
structure. The materials with high superconducting transition temperature, Tc,
have large ratios of the observed cyclotron masses to the bare ones, which
indicates that the strength of the many-body effect is the major determinant of
Tc. (MDT-TS)(AuI2)0.441 shows a metal-insulator transition at TMI=50 K, where MDT
TS is 5H-2-(1,3-diselenol-2-ylidene)-1,3,4,6-tetrathiapentalene, and the
insulating phase is an antiferromagnet with a high Neel temperature (TN=50 K) and
a high spin-flop field (Bsf=6.9 T). There is a possibility that this material is
an incommensurate Mott insulator. Hydrostatic pressure suppresses the insulating
state and induces superconductivity at Tc=3.2 K above 1.05 GPa, where Tc rises to
the maximum, Tcmax=4.9 K at 1.27 GPa. This compound shows a usual temperature
pressure phase diagram, in which the superconducting phase borders on the
antiferromagnetic insulating phase, despite the unusual band filling.
PMID- 27877277
TI - Variety of valence bond states formed of frustrated spins on triangular lattices
based on a two-level system Pd(dmit)2.
AB - Recent studies on the physical properties of the triangular system based on the
Pd(dmit)2 salts (dmit=1,3-dithiole-2-thione-4,5-dithiolate) are reviewed. Quantum
chemical architectures of the Pd(dmit)2 molecule and its dimer are introduced
with emphasis on the strong dimerization of a two-level system, which provides
unique physical properties of the salts. The magnetic properties are outlined in
view of the magneto-structural correlation specific to the frustrated spin
systems. Some newly discovered ground states and their origins are discussed, for
which the valence bond formation plays a key role. Among them, the two-level
structure is crucial for the novel charge-separated state found in two salts. The
valence bond ordering, similar to the spin-Peierls transition, has been found in
a two-dimensional frustrated spin system. The physical aspects and possible
relation to the pressure-induced superconductivity are discussed.
PMID- 27877278
TI - Organic metal (EDO-TTF)2PF6 with multi-instability.
AB - The multi-instability of the electronic structure of (EDO-TTF)2PF6, where EDO-TTF
means ethylene-dioxytetrathiafulvalene, is reviewed. This complex showed the
metal-insulator transition at 280 K associated with distinct molecular
deformations. The mechanism is interpreted as the cooperation of Peierls
transition, charge ordering, and the order-disorder transition of the
countercomponent. The charge ordering pattern in the low-temperature phase is of
the novel [0, 0, 1, 1] type. The sensitivity of the electronic state to external
perturbations is demonstrated applying not only static but also instantaneous
stimuli. In the latter case, the photo-induced phase transition is ultrafast and
highly efficient. One photon causes the transition of several hundreds of donor
molecules in the low-temperature phase to relax into a highly conducting
metastable state within about 1.5 ps. In the early stage of the transient state,
the charge ordering of the [1, 0, 1, 0] type occurs. As for the chemical
modifications of this material, the partial deuteration of this complex increases
the metal-insulator transition temperature. The introduction of a methyl group
greatly modulates the electronic structure of the complex, i.e. (methyl-EDO
TTF)2X (X=BF4, ClO4) shows a two-dimensional electronic structure. The working
hypotheses for developing the systems with multi-instability are described.
PMID- 27877279
TI - Spatial mapping of electronic states in kappa-(BEDT-TTF)2X using infrared
reflectivity.
AB - We review our recent work on spatial inhomogeneity of the electronic states in
the strongly correlated molecular conductors kappa-(BEDT-TTF)2X. Spatial mapping
of infrared spectra (SMIS) is used for imaging the distribution of the local
electronic states. In molecular materials, the infrared response of the specific
molecular vibration mode with a strong electron-molecular vibration coupling can
reflect the electronic states via the change in the vibration frequency. By
spatially mapping the frequency shift of the molecular vibration mode, an
electronic phase separation has been visualized near the first-order Mott
transition in the bandwidth-controlled organic conductor kappa-(BEDT
TTF)2Cu[N(CN)2]Br. In addition to reviewing SMIS of the phase separation, we
briefly mention the electronic and optical properties of kappa-(BEDT-TTF)2X.
PMID- 27877280
TI - Recent progress in high-pressure studies on organic conductors.
AB - Recent high-pressure studies of organic conductors and superconductors are
reviewed. The discovery of the highest Tc superconductivity among organics under
high pressure has triggered the further progress of the high-pressure research.
Owing to this finding, various organic conductors with the strong electron
correlation were investigated under high pressures. This review includes the
pressure techniques using the cubic anvil apparatus, as well as high-pressure
studies of the organic conductors up to 10 GPa showing extraordinary temperature
and pressure dependent transport phenomena.
PMID- 27877281
TI - Experimental study of organic zero-gap conductor alpha-(BEDT-TTF)2I3.
AB - A zero-gap state with a Dirac cone type energy dispersion was discovered in the
organic conductor alpha-(BEDT-TTF)2I3 under high hydrostatic pressures. This is
the first two-dimensional (2D) zero-gap state discovered in bulk crystals with a
layered structure. In contrast to the case of graphene, the Dirac cone in this
system is highly anisotropic. The present system, therefore, provides a new type
of massless Dirac fermion system with anisotropic Fermi velocity. This system
exhibits remarkable transport phenomena characteristic to electrons on the Dirac
cone type energy structure. The carrier density, written as n?T2, is a
characteristic feature of the 2D zero-gap structure. On the other hand, the
resistivity per layer (sheet resistance RS) is given as RS=h/e2 and is
independent of temperature. The effect of a magnetic field on samples in the zero
gap system was examined. The difference between zero-gap conductors and
conventional conductors is the appearance of a Landau level called the zero mode
at the contact points when a magnetic field is applied normal to the conductive
layer. Zero-mode Landau carriers give rise to strong negative out-of-plane
magnetoresistance.
PMID- 27877283
TI - Magneto-optical studies of low-dimensional organic conductors.
AB - Our periodic orbit resonance (POR) results on quasi-two-dimensional (q2D), highly
anisotropic q2D and quasi-one-dimensional (q1D) organic conductors are reviewed
together with our rotational cavity magneto-optical measurement system. Higher
order POR up to seventh order has been observed in the q2D system (BEDT
TTF)2Br(DIA), and the experimental conditions to observe POR and the cyclotron
resonance (CR) are discussed. Highly anisotropic q2D Fermi surface (FS) in beta"
(BEDT-TTF)(TCNQ), which was considered to have q1D FS previously, is proposed by
our POR measurements, and the possible interpretations of other experimental
results of beta"-(BEDT-TTF)(TCNQ) are discussed assuming the highly anisotropic
q2D FS. Finally, detailed q1D FS of (DMET)2I3, obtained from our POR results, is
discussed in connection with the typical q1D system (TMTSF)2ClO4.
PMID- 27877282
TI - Theoretical study of the zero-gap organic conductor alpha-(BEDT-TTF)2I3.
AB - The quasi-two-dimensional molecular conductor alpha-(BEDT-TTF)2I3 exhibits
anomalous transport phenomena where the temperature dependence of resistivity is
weak but the ratio of the Hall coefficient at 10 K to that at room temperature is
of the order of 104. These puzzling phenomena were solved by predicting massless
Dirac fermions, whose motions are described using the tilted Weyl equation with
anisotropic velocity. alpha-(BEDT-TTF)2I3 is a unique material among several
materials with Dirac fermions, i.e. graphene, bismuth, and quantum wells such as
HgTe, from the view-points of both the structure and electronic states described
as follows. alpha-(BEDT-TTF)2I3 has the layered structure with highly two
dimensional massless Dirac fermions. The anisotropic velocity and incommensurate
momenta of the contact points, +/-k0, originate from the inequivalency of the
BEDT-TTF sites in the unit cell, where +/-k0 moves in the first Brillouin zone
with increasing pressure. The massless Dirac fermions exist in the presence of
the charge disproportionation and are robust against the increase in pressure.
The electron densities on those inequivalent BEDT-TTF sites exhibit anomalous
momentum distributions, reflecting the angular dependences of the wave functions
around the contact points. Those unique electronic properties affect the spatial
oscillations of the electron densities in the vicinity of an impurity. A marked
behavior of the Hall coefficient, where the sign of the Hall coefficient reverses
sharply but continuously at low temperatures around 5 K, is investigated by
treating the interband effects of the magnetic field exactly. It is shown that
such behavior is possible by assuming the existence of the extremely small amount
of electron doping. The enhancement of the orbital diamagnetism is also expected.
The results of the present research shed light on a new aspect of Dirac fermion
physics, i.e. the emergence of unique electronic properties owing to the
structure of the material.
PMID- 27877284
TI - First-principles electronic-band calculations on organic conductors.
AB - Predicting electronic-band structures is a key issue in understanding the
properties of materials or in materials design. In this review article,
application examples of first-principles calculations, which are not based on
adjustable empirical parameters, to study electronic structures of organic
conductors are described.
PMID- 27877285
TI - Theoretical aspects of charge correlations in theta-(BEDT-TTF)2X.
AB - A review is given on the theoretical studies of charge correlations in theta
(BEDT-TTF)2X. Various studies show that within a purely electronic model on the
theta-type lattice with on-site U and nearest neighbor Vp and Vc interactions,
the diagonal stripe, c-axis three-fold, and the vertical stripe charge
correlations are favored in the regime Vp Vc ,
respectively. In the realistic parameter regime of Vp ~Vc , there is competition
between the c-axis three fold state and diagonal stripe state. Since these are
different from the experimentally observed a-axis three fold and the horizontal
stripe charge correlations, additional effects have to be included in order to
understand the experiments. The electron-lattice coupling, which tends to distort
the lattice into the theta d -type, is found to favor the horizontal stripe
state, suggesting that the occurrence of this stripe ordering in the actual
materials may not be of purely electronic origin. On the other hand, distant
electron-electron interactions have to be considered in order to understand the a
axis three fold correlation, whose wave vector is close to the nesting vector of
the Fermi surface. These studies seem to suggest that the minimal model to
understand the charge correlation in theta-(BEDT-TTF)2X may be more complicated
than expected. Future problems regarding the competition between different types
of charge correlations are discussed.
PMID- 27877287
TI - Organic field-effect transistors using single crystals.
AB - Organic field-effect transistors using small-molecule organic single crystals are
developed to investigate fundamental aspects of organic thin-film transistors
that have been widely studied for possible future markets for 'plastic
electronics'. In reviewing the physics and chemistry of single-crystal organic
field-effect transistors (SC-OFETs), the nature of intrinsic charge dynamics is
elucidated for the carriers induced at the single crystal surfaces of molecular
semiconductors. Materials for SC-OFETs are first reviewed with descriptions of
the fabrication methods and the field-effect characteristics. In particular, a
benchmark carrier mobility of 20-40 cm2 Vs-1, achieved with thin platelets of
rubrene single crystals, demonstrates the significance of the SC-OFETs and
clarifies material limitations for organic devices. In the latter part of this
review, we discuss the physics of microscopic charge transport by using SC-OFETs
at metal/semiconductor contacts and along semiconductor/insulator interfaces.
Most importantly, Hall effect and electron spin resonance (ESR) measurements
reveal that interface charge transport in molecular semiconductors is properly
described in terms of band transport and localization by charge traps.
PMID- 27877288
TI - Assembly and electroanalytical performance of Prussian blue/polypyrrole composite
nanoparticles synthesized by the reverse micelle method.
AB - We report on the characterization, assembly and electroanalytical performance of
Prussian blue/polypyrrole (PBPPy) composite nanoparticles synthesized by the
reverse micelle method. Scanning electron microscopy suggests the formation of
nanosized PBPPy particles with diameters between 40 and 50 nm. Optical absorption
confirms that the particles are composed of Prussian blue (PB) and polypyrrole.
PB and PBPPy nanoparticles were anchored onto the surface of cysteine-modified Au
electrodes. Cyclic voltammetry experiments show that PB- or PBPPy-modified
electrodes exhibit intrinsic electrochemical properties and a high
electrocatalytic activity towards H2O2. PBPPy-modified electrodes exhibit a
higher sensitivity to H2O2 than PB-modified electrodes. A linear calibration
curve in the concentration range 0.99 MUM-8.26 mM H2O2 is constructed with a
detection limit of 0.23 MUM at a signal-to-noise ratio of 3. Excellent stability
is observed for PBPPy-composite-nanoparticle-modified electrodes even in a pH 6
phosphate buffer solution with a high H2O2 concentration (0.99 mM).
Glutaraldehyde and [Formula: see text] were also employed to immobilize glucose
oxidase for the development of PBPPy-based biosensors. The results show that
PBPPy composite nanoparticles can be used to develop oxidase-based biosensors.
PMID- 27877286
TI - Organic semiconductors for organic field-effect transistors.
AB - The advantages of organic field-effect transistors (OFETs), such as low cost,
flexibility and large-area fabrication, have recently attracted much attention
due to their electronic applications. Practical transistors require high
mobility, large on/off ratio, low threshold voltage and high stability.
Development of new organic semiconductors is key to achieving these parameters.
Recently, organic semiconductors have been synthesized showing comparable
mobilities to amorphous-silicon-based FETs. These materials make OFETs more
attractive and their applications have been attempted. New organic semiconductors
resulting in high-performance FET devices are described here and the relationship
between transistor characteristics and chemical structure is discussed.
PMID- 27877289
TI - Simple preparation of silica and alumina with a hierarchical pore system via the
dual-templating method.
AB - Silica and alumina with macro-meso-type hierarchical pore systems are synthesized
by dual templating using both surfactants and polystyrene (PS) spheres. After
calcination, scanning electron microscope images show uniform macropores with a
diameter of approximately 200 nm. This size coincides with that of the original
PS spheres. The density of the macropores increases with the amount of added PS
spheres in the precursor solutions. Transmission electron microscope images,
small-angle x-ray scattering spectra and N2 adsorption-desorption isotherms
reveal the formation of ordered mesoporous structures in the macropore walls.
Also, the existence of micropores (less than 2 nm in size) was confirmed from the
large N2 uptake at low relative pressures.
PMID- 27877290
TI - Porous hydroxyapatite and biphasic calcium phosphate ceramics promote ectopic
osteoblast differentiation from mesenchymal stem cells.
AB - Because calcium phosphate (Ca-P) ceramics have been used as bone substitutes, it
is necessary to investigate what effects the ceramics have on osteoblast
maturation. We prepared three types of Ca-P ceramics with different Ca-P ratios,
i.e. hydroxyapatite (HA), beta-tricalcium phosphate (beta-TCP), and biphasic
calcium phosphate (BCP) ceramics with dense-smooth and porous structures.
Comprehensive gene expression microarray analysis of mouse osteoblast-like cells
cultured on these ceramics revealed that porous Ca-P ceramics considerably
affected the gene expression profiles, having a higher potential for osteoblast
maturation. In the in vivo study that followed, porous Ca-P ceramics were
implanted into rat skeletal muscle. Sixteen weeks after the implantation, more
alkaline-phosphatase-positive cells were observed in the pores of hydroxyapatite
and BCP, and the expression of the osteocalcin gene (an osteoblast-specific
marker) in tissue grown in pores was also higher in hydroxyapatite and BCP than
in beta-TCP. In the pores of any Ca-P ceramics, 16 weeks after the implantation,
we detected the expressions of marker genes of the early differentiation stage of
chondrocytes and the complete differentiation stage of adipocytes, which
originate from mesenchymal stem cells, as well as osteoblasts. These marker gene
expressions were not observed in the muscle tissue surrounding the implanted Ca-P
ceramics. These observations indicate that porous hydroxyapatite and BCP had a
greater potential for promoting the differentiation of mesenchymal stem cells
into osteoblasts than beta-TCP.
PMID- 27877291
TI - Effect of starting powders on the sintering of nanostructured ZrO2 ceramics by
colloidal processing.
AB - The effect of starting powders on the sintering of nanostructured tetragonal
zirconia was evaluated. Suspensions were prepared with a concentration of 10
vol.% by mixing a bicomponent mixture of commercial powders (97 mol.% monoclinic
zirconia with 3 mol.% yttria) and by dispersing commercially available tetragonal
zirconia (3YTZ, Tosoh). The preparation of the slurry by bead-milling was
optimized. Colloidal processing using 50 MUm zirconia beads at 4000 rpm generated
a fully deagglomerated suspension leading to the formation of high-density
consolidated compacts (62% of the theoretical density (TD) for the bicomponent
suspension). Optimum colloidal processing of the bicomponent suspension followed
by the sintering of yttria and zirconia allowed us to obtain nanostructured
tetragonal zirconia. Three different sintering techniques were investigated:
normal sintering, two-step sintering and spark plasma sintering. The inhibition
of grain growth in the bicomponent mixed powders in comparison with 3YTZ was
demonstrated. The inhibition of the grain growth may have been caused by inter
diffusion of cations during the sintering.
PMID- 27877292
TI - Aerosol-assisted synthesis of mesoporous organosilica microspheres with
controlled organic contents.
AB - Periodic mesoporous organosilica (PMO) spherical particles with different organic
contents were synthesized in one pot by reacting 1,2-bis(triethoxysilyl)ethane
(BTSE) with tetraethylorthosilicate (TEOS) using a spray-drying technique. The
scanning electron microscopy observation of spray-dried products clearly showed
the formation of spherical particles. The 29Si magic angle spinning nuclear
magnetic resonance data revealed that the organic contents due to ethane
fragments embedded in the frameworks were controllable and consistent with the
BTSE/TEOS molar ratios of precursor solutions. Transmission electron microscopy,
small-angle x-ray scattering, and N2 adsorption data of PMO with controlled
organic contents indicated that the ethane fragments were embedded in the
frameworks with the formation of ordered mesostructures. PMO with a high organic
content (BTSE/TEOS=0.50) only showed a hydrophobic property. According to the
same procedure, benzene groups were also integrated to a similar degree in the
frameworks by using 1,4-bis(triethoxysilyl)benzene.
PMID- 27877293
TI - Focus on Novel Nanoelectromechanical 3D Structures: Fabrication and Properties.
PMID- 27877294
TI - Nanoelectromechanical device fabrications by 3-D nanotechnology using focused-ion
beams.
AB - Nanoelectromechanical devices, which can be used as nanotools in nanofactories,
were fabricated by focused ion beam chemical vapor deposition (FIB-CVD). The
devices are made of diamond-like carbon (DLC), deposited on a Si substrate using
gasified phenanthrene (C14H10) as a carbon source. The Young modulus and density
of the deposited DLC were measured as 190 GPa and 3.8 g cm-3, respectively. The
work function was smaller for DLC (2.9 eV) than for W (4.7 eV) and Fe (5.2 eV)
deposited by FIB-CVD. A nanomanipulator was manufactured by FIB-CVD and used for
actual manipulations. A glass capillary based local field emitter was developed
and produced as a tool for spot deposition, and its electron field emission was
confirmed. FIB-CVD is proven as an efficient fabrication technology of novel
nanoelectromechanical devices.
PMID- 27877295
TI - 3D heterostructures and systems for novel MEMS/NEMS.
AB - In this review, we consider the application of solid micro- and nanostructures of
various shapes as building blocks for micro-electro-mechanical or nano-electro
mechanical systems (MEMS/NEMS). We provide examples of practical applications of
structures created by MEMS/NEMS fabrication. Novel devices are briefly described,
such as a high-power electrostatic nanoactuator, a fast-response tubular
anemometer for measuring gas and liquid flows, a nanoprinter, a nanosyringe and
optical MEMS/NEMS. The prospects are described for achieving NEMS with tunable
quantum properties.
PMID- 27877296
TI - High-frequency micromechanical columnar resonators.
AB - High-frequency silicon columnar microresonators are fabricated using a simple but
effective technological scheme. An optimized fabrication scheme was invented to
obtain mechanically protected microcolumns with lateral dimensions controlled on
a scale of at least 1 MUm. In this paper, we investigate the influence of the
environmental conditions on the mechanical resonator properties. At ambient
conditions, we observed a frequency stability deltaf/f of less than 10-6 during 5
h of operation at almost constant temperature. However, varying the temperature
shifts the frequency by approximately -173 Hz degrees C- 1. In accordance with a
viscous damping model of the ambient gas, we perceived that the quality factor of
the first flexural mode decreased with the inverse of the square root of
pressure. However, in the low-pressure regime, a linear dependence was observed.
We also investigated the influence of the type of the immersing gas on the
resonant frequency.
PMID- 27877297
TI - Manganese oxide nanoparticle-assisted laser desorption/ionization mass
spectrometry for medical applications.
AB - We prepared and characterized manganese oxide magnetic nanoparticles (d =5.6 nm)
and developed nanoparticle-assited laser desorption/ionization (nano-PALDI) mass
spectrometry. The nanoparticles had MnO2 and Mn2O3 cores conjugated with hydroxyl
and amino groups, and showed paramagnetism at room temperature. The nanoparticles
worked as an ionization assisting reagent in mass spectroscopy. The mass spectra
showed no background in the low m/z. The nanoparticles could ionize samples of
peptide, drug and proteins (approx. 5000 Da) without using matrix, i.e., 2,5
dihydroxybenzoic acid (DHB), 4-hydroxy-alpha-cinnamic acid (CHCA) and liquid
matrix, as conventional ionization assisting reagents. Post source decay spectra
by nano-PALDI mass spectrometry will yield information of the chemical structure
of analytes.
PMID- 27877298
TI - SNP genotyping by DNA photoligation: application to SNP detection of genes from
food crops.
AB - We describe a simple and inexpensive single-nucleotide polymorphism (SNP) typing
method, using DNA photoligation with 5-carboxyvinyl-2'-deoxyuridine and two
fluorophores. This SNP-typing method facilitates qualitative determination of
genes from indica and japonica rice, and showed a high degree of single
nucleotide specificity up to 10 000. This method can be used in the SNP typing of
actual genomic DNA samples from food crops.
PMID- 27877299
TI - Immunochromatographic assay using gold nanoparticles for measuring salivary
secretory IgA in dogs as a stress marker.
AB - The concentration of salivary secretory immunoglobulin A (sIgA) is a well-known
stress marker for humans. The concentration of salivary sIgA in dogs has also
been reported as a useful stress marker. In addition, salivary sIgA in dogs has
been used to determine the adaptive ability of dogs for further training. There
are conventional procedures based on enzyme-linked immunosorbent assay (ELISA)
for measuring salivary sIgA in dogs. However, ELISA requires long assay time,
complicated operations and is costly. In the present study, we developed an
immunochromatographic assay for measuring salivary sIgA in dogs using a dilution
buffer containing a non-ionic surfactant. We determined 2500-fold dilution as the
optimum condition for dog saliva using a phosphate buffer (50 mM, pH 7.2)
containing non-ionic surfactant (3 wt% Tween 20). The results obtained from the
saliva samples of three dogs using immunochromatographic assay were compared with
those obtained from ELISA. It was found that the immunochromatographic assay is
applicable to judge the change in salivary sIgA in each dog. The
immunochromatographic assay for salivary sIgA in dogs is a promising tool, which
should soon become commercially available for predicting a dog's psychological
condition and estimating adaptive ability for training as guide or police dogs.
PMID- 27877300
TI - Sugar microarray via click chemistry: molecular recognition with lectins and
amyloid beta (1-42).
AB - Sugar microarrays were fabricated on various substrates via click chemistry.
Acetylene-terminated substrates were prepared by forming self-assembled
monolayers (SAMs) on a gold substrate with alkyl-disulfide and on silicon, quartz
and glass substrates with a silane-coupling reagent. The gold substrates were
subjected to surface plasmon resonance measurements, and the quartz and glass
substrates were subjected to spectroscopy measurements and optical microscopy
observation. The saccharide-immobilized substrate on the gold substrate showed
specific interaction with the corresponding lectin, and the saccharides showed
inert surface properties to other proteins with a high signal-to-noise ratio. We
also focused on the saccharide-protein interaction on protein amyloidosis of
Alzheimer amyloid beta. Amyloid beta peptide showed conformation transition on
the saccharide-immobilization substrate into a beta-sheet, and fibril formation
and amyloid aggregates were found on the specific saccharides.
PMID- 27877301
TI - An electrochemical sensing platform based on a new copper complex for the
determination of hydrogen peroxide and nitrite.
AB - A new copper(II) complex [Cu(C12H23N3)4Br2.2H2O] was synthesized and its
structure was characterized by x-ray crystallography and elemental analysis. The
copper atom had a distorted octahedron coordination involving two bromide anions
and four nitrogen atoms from the 1-decyl-1H-[1,2,4]triazole ligands. Moreover,
the electrochemical behavior and electrocatalysis of the carbon paste electrode
(Cu-CPE) bulk-modified by the complex have been studied in detail. The Cu-CPE
showed excellent electrocatalytic activities toward the reduction of hydrogen
peroxide and nitrite, and the detection limit was much lower than that mentioned
in earlier reports. This bulk-modified CPE has good reproducibility, long-term
stability and surface renewability, which appear promising for constructing
chemical sensors.
PMID- 27877302
TI - Preparation and properties of antibacterial TiO2@C/Ag core-shell composite.
AB - An environment-friendly hydrothermal method was used to prepare TiO2@C core-shell
composite using TiO2 as core and sucrose as carbon source. TiO2@C served as a
support for the immobilization of Ag by impregnation in silver nitrate aqueous
solution. The chemical structures and morphologies of TiO2@C and TiO2@C/Ag
composite were characterized by x-ray diffraction, transmission electron
microscopy, Fourier transform infrared spectroscopy, energy dispersive x-ray
spectroscopy and Brunauer-Emmett-Teller (BET) analysis. The antibacterial
properties of the TiO2@C/Ag core-shell composite against Escherichia coli (E.
coli) and Staphylococcus aureus (S. aureus) were examined by the viable cell
counting method. The results indicate that silver supported on the surface of
TiO2@C shows excellent antibacterial activity.
PMID- 27877303
TI - ZnO films grown by pulsed-laser deposition on soda lime glass substrates for the
ultraviolet inactivation of Staphylococcus epidermidis biofilms.
AB - We found that a ZnO film of 2 MUm thickness which was laser-deposited at room
temperature onto a plain soda lime glass substrate, exhibits notable
antibacterial activity against a biofilm of Staphylococcus epidermidis when back
illuminated by a UVA light source with a peak emission wavelength of about 365
nm. X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force
microscopy (AFM), UV-visible absorption spectroscopy, Raman spectroscopy and x
ray photoemission spectroscopy (XPS) were used to characterize the ZnO films
before and after the interactions with the biofilm and the ultraviolet light,
respectively. The as-deposited film was highly textured with the wurtzite (0002)
in-plane orientation (c-axis perpendicular to ZnO surface) and had a surface rms
roughness of 49.7 nm. In the as-deposited film, the Zn to O ratio was 1 to 0.95.
After the UV and biofilm treatments, the ZnO film surface had become rougher (rms
roughness 68.1 nm) and presented uniform micron-sized pitting randomly
distributed, while the zinc to oxygen ratio had become 1 to 2.2. In this case,
both the UV-visible and Raman spectra pointed to degradation of the structural
quality of the material. On the strength of these data, we propose a model for
the mediation of the bactericidal activity in which the photogeneration of highly
oxidizing species and the presence of active surface defect sites both play an
important role. This study is of particular interest for the acute problem of
disinfection of pathogenic biofilms which form on medical device/implant
surfaces.
PMID- 27877304
TI - Inherent tensile strength of molybdenum nanocrystals.
AB - The strength of Mo nanorods was measured under uniaxial tension. Tensile tests of
< 110>-oriented single-crystalline molybdenum rod-shaped specimens with diameters
from 25 to 90 nm at the apex were conducted inside a field-ion microscope (FIM).
The nanocrystals were free from dislocations, planar defects and microcracks, and
exhibited the plastic mode of failure under uniaxial tension with the formation
of a chisel-edge tip by multiple gliding in the [Formula: see text] and [Formula:
see text] deformation systems. The experimental values of tensile strength vary
between 6.3 and 19.8 GPa and show a decrease with increasing nanorod diameter. A
molecular dynamic simulation of Mo nanorod tension also suggests that the
strength decreases from 28.8 to 21.0 GPa when the rod diameter increases from 3.1
to 15.7 nm. The maximum values of experimental strength are thought to correspond
to the inherent strength of Mo nanocrystals under uniaxial tension (19.8 GPa, or
7.5% of Young's modulus).
PMID- 27877305
TI - Formation of molecular doping patterns in organic-inorganic hybrid films by a
capillary electrophoresis doping technique.
AB - A new technique is proposed for the fabrication of fine patterns of molecular
doping in organic-inorganic hybrid materials by the combination of capillary
electrophoresis doping (CED) and photolithography. The UV-induced polymerization
of [Formula: see text] bonds in organic groups yields a fine contrast of
structures with the desired pattern in organic-inorganic hybrid films, and CED
treatment introduces functional molecules only into unirradiated regions to form
the doping patterns of molecules inside the films. The fine patterning of
rhodamine-6G doping with from 2 to 4 MUm resolution is demonstrated in hybrid
films of 10 MUm thickness.
PMID- 27877306
TI - Water vapor effect on high-temperature oxidation behavior of Fe3Al
intermetallics.
AB - Fe3Al intermetallics (Fe3Al, Fe3Al-Zr, Fe3Al-Zr,Mo and Fe3Al-Zr, Mo, Nb) were
oxidized at 950 degrees C in dry and humid (11 vol% water) synthetic air.
Thermogravimetric measurements showed that the oxidation rates of the tested
intermetallics were lower in humid air than in dry air (especially for Fe3Al-Zr,
Mo and Fe3Al-Zr, Mo, Nb). The addition of small amounts of Zr, Mo or Nb improved
the kinetics compared with that of the undoped Fe3Al. Fe3Al showed massive
spallation, whereas Fe3Al-Zr, Fe3Al-Zr, Mo and Fe3Al-Zr, Mo, Nb produced a flat,
adherent oxide layer. The rapid transformation of transient alumina into alpha
alumina may explain the decrease in the oxidation rate in humid air.
PMID- 27877307
TI - Characterization of the uncertainties in the constitutive behavior of carbon
nanotube/cement composites.
AB - This paper addresses the uncertainties associated with using carbon nanotubes
(CNTs) as reinforcement for cement. These uncertainties emerge mainly from the
CNTs' wide range of mechanical properties and their interfacial behavior with
cement. This study sheds light on the basis of choosing the optimal combinations
of CNTs mechanical and interfacial parameters to improve the structural strength
and ductility of CNT-reinforced cementitious composites. The finite element
method (FEM) is employed to study the individual and interactive effects of five
parameters, including interfacial shear (bond) strength, allowable slip, CNT
Young's modulus, residual bond stress and aspect ratio. Numerical results show
that the parameters, at certain ranges of values, interact substantially and
greatly alter the mechanical properties of the composite. It is also found that
the governing parameter is the CNT Young's modulus, which determines whether the
composite is ductility critical or strength critical. Furthermore, the level of
residual bond stress substantially influences the effect of other parameters,
especially in the case of composite ductility.
PMID- 27877308
TI - Electric current activated/assisted sintering (ECAS): a review of patents 1906
2008.
AB - The electric current activated/assisted sintering (ECAS) is an ever growing class
of versatile techniques for sintering particulate materials. Despite the
tremendous advances over the last two decades in ECASed materials and products
there is a lack of comprehensive reviews on ECAS apparatuses and methods. This
paper fills the gap by tracing the progress of ECAS technology from 1906 to 2008
and surveys 642 ECAS patents published over more than a century. It is found that
the ECAS technology was pioneered by Bloxam (1906 GB Patent No. 9020) who
developed the first resistive sintering apparatus. The patents were searched by
keywords or by cross-links and were withdrawn from the Japanese Patent Office
(342 patents), the United States Patent and Trademark Office (175 patents), the
Chinese State Intellectual Property Office of P.R.C. (69 patents) and the World
Intellectual Property Organization (12 patents). A subset of 119 (out of 642)
ECAS patents on methods and apparatuses was selected and described in detail with
respect to their fundamental concepts, physical principles and importance in
either present ECAS apparatuses or future ECAS technologies for enhancing
efficiency, reliability, repeatability, controllability and productivity. The
paper is divided into two parts, the first deals with the basic concepts,
features and definitions of basic ECAS and the second analyzes the auxiliary
devices/peripherals. The basic ECAS is classified with reference to discharge
time (fast and ultrafast ECAS). The fundamental principles and definitions of
ECAS are outlined in accordance with the scientific and patent literature.
PMID- 27877309
TI - Engineering a light-emitting planar defect within three-dimensional photonic
crystals.
AB - Sandwich structures, constructed from a planar defect of rhodamine-B (RhB)-doped
titania (TiO2) and two photonic crystals, were synthesized via the self-assembly
method combined with spin-coating. The modification of the spontaneous emission
of RhB molecules in such structures was investigated experimentally. The
spontaneous emission of RhB-doped TiO2 film with photonic crystals was reduced by
a factor of 5.5 over a large bandwidth of 13% of the first-order Bragg
diffraction frequency when compared with that of RhB-doped TiO2 film without
photonic crystals. The angular dependence of the modification and the
photoluminescence lifetime of RhB molecules demonstrate that the strong and wide
suppression of the spontaneous emission of the RhB molecules is due to the
presence of the photonic band gap.
PMID- 27877310
TI - Theory of electrostatics and electrokinetics of soft particles.
AB - We investigate theoretically the electrostatics and electrokinetics of a soft
particle, i.e. a hard particle covered with an ion-penetrable surface layer of
polyelectrolytes. The electric properties of soft particles in an electrolyte
solution, which differ from those of hard particles, are essentially determined
by the Donnan potential in the surface layer. In particular, the Donnan potential
plays an essential role in the electrostatics and electrokinetics of soft
particles. Furthermore, the concept of zeta potential, which is important in the
electrokinetics of hard particles, loses its physical meaning in the
electrokinetics of soft particles. In this review, we discuss the potential
distribution around a soft particle, the electrostatic interaction between two
soft particles, and the motion of a soft particle in an electric field.
PMID- 27877311
TI - Fabrication and characteristics of porous germanium films.
AB - Porous germanium films with good adhesion to the substrate were produced by
annealing GeO2 ceramic films in H2 atmosphere. The reduction of GeO2 started at
the top of a film and resulted in a Ge layer with a highly porous surface. TEM
and Raman measurements reveal small Ge crystallites at the top layer and a higher
degree of crystallinity at the bottom part of the Ge film; visible
photoluminescence was detected from the small crystallites. Porous Ge films
exhibit high density of holes (1020 cm-3) and a maximum of Hall mobility at ~225
K. Their p-type conductivity is dominated by the defect scattering mechanism.
PMID- 27877312
TI - Carbon nanocones: wall structure and morphology.
AB - Large-scale production of conical carbon nanostructures is possible through
pyrolysis of hydrocarbons in a plasma torch process. The resulting carbon cones
occur in five distinctly different forms, and disc-shaped particles are produced
as well. The structure and properties of these carbon cones and discs have been
relatively little explored until now. Here we characterize the structure of these
particles using transmission electron microscopy, synchrotron x-ray and electron
diffraction. The carbon nanocones are found to exhibit several interesting
structural features; instead of having a uniform cross-section, the walls consist
of a relatively thin inner graphite-like layer with a non-crystalline envelope,
where the amount of the latter can be modified significantly by annealing. The
cones appear with a well-defined faceting along the cone edge, demonstrating
strict long-range atomic ordering; they also present occasional examples of
symmetry breaking, such as two apexes appearing in the same carbon nanocone.
PMID- 27877313
TI - STAM celebrates its 10th anniversary.
PMID- 27877314
TI - Focus on nanobiomaterials and technologies for breakthrough in future medicine.
PMID- 27877315
TI - Global gene expression analysis for evaluation and design of biomaterials.
AB - Comprehensive gene expression analysis using DNA microarrays has become a
widespread technique in molecular biological research. In the biomaterials field,
it is used to evaluate the biocompatibility or cellular toxicity of metals,
polymers and ceramics. Studies in this field have extracted differentially
expressed genes in the context of differences in cellular responses among
multiple materials. Based on these genes, the effects of materials on cells at
the molecular level have been examined. Expression data ranging from several to
tens of thousands of genes can be obtained from DNA microarrays. For this reason,
several tens or hundreds of differentially expressed genes are often present in
different materials. In this review, we outline the principles of DNA
microarrays, and provide an introduction to methods of extracting information
which is useful for evaluating and designing biomaterials from comprehensive gene
expression data.
PMID- 27877316
TI - Immobilization of biomolecules on the surface of inorganic nanoparticles for
biomedical applications.
AB - Various inorganic nanoparticles have been used for drug delivery, magnetic
resonance and fluorescence imaging, and cell targeting owing to their unique
properties, such as large surface area and efficient contrasting effect. In this
review, we focus on the surface functionalization of inorganic nanoparticles via
immobilization of biomolecules and the corresponding surface interactions with
biocomponents. Applications of surface-modified inorganic nanoparticles in
biomedical fields are also outlined.
PMID- 27877318
TI - Preparation of novel bioactive nano-calcium phosphate-hydrogel composites.
AB - Nano-sized hydroxyapatite (nHA) and carbonate-substituted hydroxyapatite (nCHA)
particles were incorporated into a poly-2
hydroxyethylmethacrylate/polycaprolactone (PHEMA/PCL) hydrogel at a filler
content of 10 wt%. Fourier transform infrared absorption, transmission electron
microscopy, x-ray diffraction and scanning electron microscopy were used to
analyse the physical and chemical characteristics of the calcium phosphate
fillers and resultant composites. Nano-sized calcium phosphate particles were
produced with a needle-like morphology, average length of 50 nm and an aspect
ratio of 3. The nanoparticles were uniformly distributed in the polymer matrix.
The addition of both HA and CHA in nano-form enhanced the bioactivity and
biocompatibility of the PHEMA/PCL matrix. The carbonate-substitution has allowed
for improved bioactivity and biocompatibility of the resultant composite,
indicating the potential of this material for use in bone tissue engineering.
PMID- 27877317
TI - Cell and biomolecule delivery for regenerative medicine.
AB - Regenerative medicine is an exciting field that aims to create regenerative
alternatives to harvest tissues for transplantation. In this approach, the
delivery of cells and biological molecules plays a central role. The scaffold
(synthetic temporary extracellular matrix) delivers cells to the regenerative
site and provides three-dimensional environments for the cells. To fulfil these
functions, we design biodegradable polymer scaffolds with structural features on
multiple size scales. To enhance positive cell-material interactions, we design
nano-sized structural features in the scaffolds to mimic the natural
extracellular matrix. We also integrate micro-sized pore networks to facilitate
mass transport and neo tissue regeneration. We also design novel polymer devices
and self-assembled nanospheres for biomolecule delivery to recapitulate key
events in developmental and wound healing processes. Herein, we present recent
work in biomedical polymer synthesis, novel processing techniques, surface
engineering and biologic delivery. Examples of enhanced cellular/tissue function
and regenerative outcomes of these approaches are discussed to demonstrate the
excitement of the biomimetic scaffold design and biologic delivery in
regenerative medicine.
PMID- 27877320
TI - Nickel-free austenitic stainless steels for medical applications.
AB - The adverse effects of nickel ions being released into the human body have
prompted the development of high-nitrogen nickel-free austenitic stainless steels
for medical applications. Nitrogen not only replaces nickel for austenitic
structure stability but also much improves steel properties. Here we review the
harmful effects associated with nickel in medical stainless steels, the
advantages of nitrogen in stainless steels, and emphatically, the development of
high-nitrogen nickel-free stainless steels for medical applications. By combining
the benefits of stable austenitic structure, high strength and good plasticity,
better corrosion and wear resistances, and superior biocompatibility compared to
the currently used 316L stainless steel, the newly developed high-nitrogen nickel
free stainless steel is a reliable substitute for the conventional medical
stainless steels.
PMID- 27877319
TI - Biopolymeric nanoparticles.
AB - This review on nanoparticles highlights the various biopolymers (proteins and
polysaccharides) which have recently revolutionized the world of biocompatible
and degradable natural biological materials. The methods of their fabrication,
including emulsification, desolvation, coacervation and electrospray drying are
described. The characterization of different parameters for a given nanoparticle,
such as particle size, surface charge, morphology, stability, structure, cellular
uptake, cytotoxicity, drug loading and drug release, is outlined together with
the relevant measurement techniques. Applications in the fields of medicine and
biotechnology are discussed along with a promising future scope.
PMID- 27877321
TI - Artificial extracellular matrix for embryonic stem cell cultures: a new frontier
of nanobiomaterials.
AB - Nanobiomaterials can play a central role in regenerative medicine and tissue
engineering by facilitating cellular behavior and function, such as those where
extracellular matrices (ECMs) direct embryonic stem (ES) cell morphogenesis,
proliferation, differentiation and apoptosis. However, controlling ES cell
proliferation and differentiation using matrices from natural sources is still
challenging due to complex and heterogeneous culture conditions. Moreover, the
systemic investigation of the regulation of self-renewal and differentiation to
lineage specific cells depends on the use of defined and stress-free culture
conditions. Both goals can be achieved by the development of biomaterial design
targeting ECM or growth factors for ES cell culture. This targeted application
will benefit from expansion of ES cells for transplantation, as well as the
production of a specific differentiated cell type either by controlling the
differentiation in a very specific pathway or by elimination of undesirable cell
types.
PMID- 27877322
TI - Conducting polymer-hydrogels for medical electrode applications.
AB - Conducting polymers hold significant promise as electrode coatings; however, they
are characterized by inherently poor mechanical properties. Blending or producing
layered conducting polymers with other polymer forms, such as hydrogels, has been
proposed as an approach to improving these properties. There are many challenges
to producing hybrid polymers incorporating conducting polymers and hydrogels,
including the fabrication of structures based on two such dissimilar materials
and evaluation of the properties of the resulting structures. Although both
fabrication and evaluation of structure-property relationships remain challenges,
materials comprised of conducting polymers and hydrogels are promising for the
next generation of bioactive electrode coatings.
PMID- 27877323
TI - Electrospun nanofibrous materials for tissue engineering and drug delivery.
AB - The electrospinning technique, which was invented about 100 years ago, has
attracted more attention in recent years due to its possible biomedical
applications. Electrospun fibers with high surface area to volume ratio and
structures mimicking extracellular matrix (ECM) have shown great potential in
tissue engineering and drug delivery. In order to develop electrospun fibers for
these applications, different biocompatible materials have been used to fabricate
fibers with different structures and morphologies, such as single fibers with
different composition and structures (blending and core-shell composite fibers)
and fiber assemblies (fiber bundles, membranes and scaffolds). This review
summarizes the electrospinning techniques which control the composition and
structures of the nanofibrous materials. It also outlines possible applications
of these fibrous materials in skin, blood vessels, nervous system and bone tissue
engineering, as well as in drug delivery.
PMID- 27877325
TI - Stem cell technology using bioceramics: hard tissue regeneration towards clinical
application.
AB - Mesenchymal stem cells (MSCs) are adult stem cells which show differentiation
capabilities toward various cell lineages. We have already used MSCs for
treatments of osteoarthritis, bone necrosis and bone tumor. For this purpose,
culture expanded MSCs were combined with various ceramics and then implanted.
Because of rejection response to allogeneic MSC implantation, we have utilized
patients' own MSCs for the treatment. Bone marrow is a good cell source of MSCs,
although the MSCs also exist in adipose tissue. When comparing osteogenic
differentiation of these MSCs, bone marrow MSCs show more extensive bone forming
capability than adipose MSCs. Thus, the bone marrow MSCs are useful for bone
tissue regeneration. However, the MSCs show limited proliferation and
differentiation capabilities that hindered clinical applications in some cases.
Recent advances reveal that transduction of plural transcription factors into
human adult cells results in generation of new type of stem cells called induced
pluripotent stem cells (iPS cells). A drawback of the iPS cells for clinical
applications is tumor formation after their in vivo implantation; therefore it is
difficult to use iPS cells for the treatment. To circumvent the problem, we
transduced a single factor of either SOX2 or NANOG into the MSCs and found high
proliferation as well as osteogenic differentiation capabilities of the MSCs. The
stem cells could be combined with bioceramics for clinical applications. Here, we
summarize our recent technologies using adult stem cells in viewpoints of bone
tissue regeneration.
PMID- 27877324
TI - Multifunctional nanoassemblies of block copolymers for future cancer therapy.
AB - Nanoassemblies from amphiphilic block copolymers are promising nanomedicine
platforms for cancer diagnosis and therapy due to their relatively small size,
high loading capacity of drugs, controlled drug release, in vivo stability and
prolonged blood circulation. Recent clinical trials with self-assembled polymeric
micelles incorporating anticancer drugs have shown improved antitumor activity
and decreased side effects encouraging the further development of nanoassemblies
for drug delivery. This review summarizes recent approaches considering stimuli
responsive, multifunctionality and more advanced architectures, such as vesicles
or worm-like micelles, for tumor-specific drug and gene delivery.
PMID- 27877327
TI - Trend report on international and Japanese standardization activities for
bioceramics and tissue engineered medical products.
AB - Since porous and injectable bioceramics have recently been utilized often as
scaffolds for bone regenerative medicine, the need for their standardization has
increased. One of the standard proposals in ISO/TC150 and JIS has been a draft
for characterization of the porous bioceramic scaffolds in both micro- and macro
scopic aspects. ISO/TC150/SC7 (Tissue engineered medical products) has been co
chaired by Professor J E Lemons, Department of Surgery, University of Alabama at
Birmingham and Dr R Nakaoka, Division of Medical Devices, National Institute of
Health Sciences, Japan. The scope of SC7 has been specified as 'Standardization
for the general requirements and performance of tissue engineered medical
products with the exclusion of gene therapy, transplantation and transfusion'.
PMID- 27877326
TI - Fabrication of a thermoresponsive cell culture dish: a key technology for cell
sheet tissue engineering.
AB - This article reviews the properties and characterization of an intelligent
thermoresponsive surface, which is a key technology for cell sheet-based tissue
engineering. Intelligent thermoresponsive surfaces grafted with poly(N
isopropylacrylamide) exhibit hydrophilic/hydrophobic alteration in response to
temperature change. Cultured cells are harvested on thermoresponsive cell culture
dishes by decreasing the temperature without the use of digestive enzymes or
chelating agents. Our group has developed cell sheet-based tissue engineering for
therapeutic uses with single layer or multilayered cell sheets, which were
recovered from the thermoresponsive cell culture dish. Using surface derivation
techniques, we developed a new generation of thermoresponsive cell culture dishes
to improve culture conditions. We also designed a new methodology for
constructing well-defined organs using microfabrication techniques.
PMID- 27877329
TI - Spatially resolved positron annihilation spectroscopy on friction stir weld
induced defects.
AB - A friction stir welded (FSW) Al alloy sample was investigated by Doppler
broadening spectroscopy (DBS) of the positron annihilation line. The spatially
resolved defect distribution showed that the material in the joint zone becomes
completely annealed during the welding process at the shoulder of the FSW tool,
whereas at the tip, annealing is prevailed by the deterioration of the material
due to the tool movement. This might be responsible for the increased probability
of cracking in the heat affected zone of friction stir welds. Examination of a
material pairing of steel S235 and the Al alloy Silafont36 by coincident Doppler
broadening spectroscopy (CDBS) indicates the formation of annealed steel clusters
in the Al alloy component of the sample. The clear visibility of Fe in the CDB
spectra is explained by the very efficient trapping at the interface between
steel cluster and bulk.
PMID- 27877328
TI - Raman effect in icosahedral boron-rich solids.
AB - We present Raman spectra of numerous icosahedral boron-rich solids having the
structure of alpha-rhombohedral, beta-rhombohedral, alpha-tetragonal, beta
tetragonal, YB66, orthorhombic or amorphous boron. The spectra were newly
measured and, in some cases, compared with reported data and discussed. We
emphasize the importance of a high signal-to-noise ratio in the Raman spectra for
detecting weak effects evoked by the modification of compounds, accommodation of
interstitial atoms and other structural defects. Vibrations of the icosahedra,
occurring in all the spectra, are interpreted using the description of modes in
alpha-rhombohedral boron by Beckel et al. The Raman spectrum of boron carbide is
largely clarified. Relative intra- and inter-icosahedral bonding forces are
estimated for the different structural groups and for vanadium-doped beta
rhombohedral boron. The validity of Badger's rule is demonstrated for the force
constants of inter-icosahedral B-B bonds, whereas the agreement is less
satisfactory for the intra-icosahedral B-B bonds.
PMID- 27877331
TI - Nanomechanical properties of silicon surfaces nanostructured by excimer laser.
AB - Excimer laser irradiation at ambient temperature has been employed to produce
nanostructured silicon surfaces. Nanoindentation was used to investigate the
nanomechanical properties of the deformed surfaces as a function of laser
parameters, such as the angle of incidence and number of laser pulses at a fixed
laser fluence of 5 J cm-2. A single-crystal silicon [311] surface was severely
damaged by laser irradiation and became nanocrystalline with an enhanced
porosity. The resulting laser-treated surface consisted of nanometer-sized
particles. The pore size was controlled by adjusting the angle of incidence and
the number of laser pulses, and varied from nanometers to microns. The extent of
nanocrystallinity was large for the surfaces irradiated at a small angle of
incidence and by a high number of pulses, as confirmed by x-ray diffraction and
Raman spectroscopy. The angle of incidence had a stronger effect on the structure
and nanomechanical properties than the number of laser pulses.
PMID- 27877330
TI - Structural analysis of anodic porous alumina used for resistive random access
memory.
AB - Anodic porous alumina with duplex layers exhibits a voltage-induced switching
effect and is a promising candidate for resistive random access memory. The
nanostructural analysis of porous alumina is important for understanding the
switching effect. We investigated the difference between the two layers of an
anodic porous alumina film using transmission electron microscopy and electron
energy-loss spectroscopy. Diffraction patterns showed that both layers are
amorphous, and the electron energy-loss spectroscopy indicated that the inner
layer contains less oxygen than the outer layer. We speculate that the conduction
paths are mostly located in the oxygen-depleted area.
PMID- 27877332
TI - Yield stress of duplex stainless steel specimens estimated using a compound Hall
Petch equation.
AB - In this study, the 0.2% yield stress of duplex stainless steel was evaluated
using a compound Hall-Petch equation. The compound Hall-Petch equation was
derived from four types of duplex stainless steel, which contained 0.2-64.4 wt%
delta-ferrite phase, had different chemical compositions and were annealed at
different temperatures. Intragranular yield stress was measured with an ultra
microhardness tester and evaluated with the yield stress model proposed by Dao et
al. Grain size, volume fraction and texture were monitored by electron
backscattering diffraction measurement. The kgamma constant in the compound
equation for duplex stainless steel agrees well with that for gamma-phase SUS316L
steel in the temperature range of 1323-1473 K. The derived compound Hall-Petch
equation predicts that the yield stress will be in good agreement with the
experimental results for the Cr, Mn, Si, Ni and N solid-solution states. We find
that the intragranular yield stress of the delta-phase of duplex stainless steel
is rather sensitive to the chemical composition and annealing conditions, which
is attributed to the size misfit parameter.
PMID- 27877333
TI - Hydrogen embrittlement property of a 1700-MPa-class ultrahigh-strength tempered
martensitic steel.
AB - The hydrogen embrittlement property of a prototype 1700-MPa-class ultrahigh
strength steel (NIMS17) containing hydrogen traps was evaluated using a slow
strain rate test (SSRT) after cathodic hydrogen precharging, cyclic corrosion
test (CCT) and atmospheric exposure. The hydrogen content in a fractured specimen
was measured after SSRT by thermal desorption spectroscopy (TDS). The
relationship between fracture stress and hydrogen content for the hydrogen
precharged specimens showed that the fracture stress of NIMS17 steel was higher,
at a given hydrogen content, than that of conventional AISI 4135 steels with
tensile strengths of 1300 and 1500 MPa. This suggests better resistance of NIMS17
steel to hydrogen embrittlement. However, hydrogen uptake to NIMS17 steel under
CCT and atmospheric exposure decreased the fracture stress. This is because of
the stronger hydrogen uptake to the steel containing hydrogen traps than to the
AISI 4135 steels. Although NIMS17 steel has a higher strength level than AISI
4135 steel with a tensile strength of 1500 MPa, the decrease in fracture stress
is similar between these steels.
PMID- 27877334
TI - Synthesis, characterization and swelling properties of guar gum-g-poly(sodium
acrylate-co-styrene)/muscovite superabsorbent composites.
AB - A series of novel guar gum-g-poly(sodium acrylate-co-styrene)/muscovite (GG-g
P(NaA-co-St)/MVT) superabsorbent composites were prepared by free-radical
grafting copolymerization of natural guar gum (GG), partially neutralized acrylic
acid (NaA), styrene (St) and muscovite (MVT) using ammonium persulfate (APS) as
the initiator and N,N-methylene-bis-acrylamide (MBA) as the crosslinker. Optical
absorption spectra confirmed that NaA and St had been grafted onto the GG main
chain and MVT participated in the polymerization reaction. The simultaneous
introduction of St and MVT into the GG-g-PNaA matrix could clearly improve the
surface morphologies of the composites, and MVT led to better dispersion in the
polymeric matrix without agglomeration, as revealed by electron microscopy. The
effects of St and MVT on the water absorption and swelling behavior in various
saline solutions, aqueous solutions of hydrophilic organic solvents and
surfactant solutions were investigated. Results indicated that the swelling rate
and capabilities of the composites were markedly enhanced by the incorporation of
the hydrophobic monomer St and inorganic MVT clay mineral. The superabsorbent
composite showed a clearer deswelling characteristic in solutions of multivalent
saline, acetone and ethanol, and cationic surfactant than that in the solutions
of multivalent saline, methanol and anionic surfactant.
PMID- 27877336
TI - Large-area fabrication of superhydrophobic surfaces for practical applications:
an overview.
AB - This review summarizes the key topics in the field of large-area fabrication of
superhydrophobic surfaces, concentrating on substrates that have been used in
commercial applications. Practical approaches to superhydrophobic surface
construction and hydrophobization are discussed. Applications of superhydrophobic
surfaces are described and future trends in superhydrophobic surfaces are
predicted.
PMID- 27877337
TI - Water distribution at solid/liquid interfaces visualized by frequency modulation
atomic force microscopy.
AB - Interfacial phenomena at solid/water interfaces play an important role in a wide
range of industrial technologies and biological processes. However, it has been a
great challenge to directly probe the molecular-scale behavior of water at
solid/water interfaces. Recently, there have been tremendous advancements in
frequency modulation atomic force microscopy (FM-AFM), enabling its operation in
liquids with atomic resolution. The high spatial and force resolutions of FM-AFM
have enabled the visualization of one-dimensional (1D) profiles of the hydration
force, two-dimensional (2D) images of hydration layers and three-dimensional (3D)
images of the water distribution at solid/water interfaces. Here I present an
overview of the recent advances in FM-AFM instrumentation and its applications to
the study of solid/water interfaces.
PMID- 27877338
TI - In vitro formation and thermal transition of novel hybrid fibrils from type I
fish scale collagen and type I porcine collagen.
AB - Novel type I collagen hybrid fibrils were fabricated by neutralizing a mixture of
type I fish scale collagen solution and type I porcine collagen solution with a
phosphate buffer saline at 28 degrees C. Their structure was discussed in terms
of the volume ratio of fish/porcine collagen solution. Scanning electron and
atomic force micrographs showed that the diameter of collagen fibrils derived
from the collagen mixture was larger than those derived from each collagen, and
all resultant fibrils exhibited a typical D-periodic unit of ~67 nm, irrespective
of volume ratio of both collagens. Differential scanning calorimetry revealed
only one endothermic peak for the fibrils derived from collagen mixture or from
each collagen solution, indicating that the resultant collagen fibrils were
hybrids of type I fish scale collagen and type I porcine collagen.
PMID- 27877335
TI - Field-effect detection using phospholipid membranes.
AB - The application of field-effect devices to biosensors has become an area of
intense research interest. An attractive feature of field-effect sensing is that
the binding or reaction of biomolecules can be directly detected from a change in
electrical signals. The integration of such field-effect devices into cell
membrane mimics may lead to the development of biosensors useful in clinical and
biotechnological applications. This review summarizes recent studies on the
fabrication and characterization of field-effect devices incorporating model
membranes. The incorporation of black lipid membranes and supported lipid
monolayers and bilayers into semiconductor devices is described.
PMID- 27877340
TI - Focus on innovation in ceramics research in East Asia.
PMID- 27877339
TI - Synthesis of Y2O2S:Eu3+, Mg2+, Ti4+ hollow microspheres via homogeneous
precipitation route.
AB - A phosphorescent material in the form of Y2O2S:Eu3+, Mg2+, Ti4+ hollow
microspheres was prepared by homogeneous precipitation using monodispersed carbon
spheres as hard templates. Y2O3:Eu3+ hollow microspheres were first synthesized
to serve as the precursor. Y2O2S:Eu3+, Mg2+, Ti4+ powders were obtained by
calcinating the precursor in a CS2 atmosphere. The crystal structure, morphology
and optical properties of the composites were characterized. X-ray diffraction
measurements confirmed the purity of the Y2O2S phase. Electron microscopy
observations revealed that the Y2O2S:Eu3+, Mg2+, Ti4+ particles inherited the
hollow spherical shape from the precursor after being calcined in a CS2
atmosphere and that they had a diameter of 350-450 nm and a wall thickness of
about 50-80 nm. After ultraviolet radiation at 265 or 325 nm for 5 min, the
particles emitted strong red long-lifetime phosphorescence originating from Eu3+
ions. This phosphorescence is associated with the trapping of charge carriers by
Ti4+ and Mg2+ ions.
PMID- 27877342
TI - Electrode materials: a challenge for the exploitation of protonic solid oxide
fuel cells.
AB - High temperature proton conductor (HTPC) oxides are attracting extensive
attention as electrolyte materials alternative to oxygen-ion conductors for use
in solid oxide fuel cells (SOFCs) operating at intermediate temperatures (400-700
degrees C). The need to lower the operating temperature is dictated by cost
reduction for SOFC pervasive use. The major stake for the deployment of this
technology is the availability of electrodes able to limit polarization losses at
the reduced operation temperature. This review aims to comprehensively describe
the state-of-the-art anode and cathode materials that have so far been tested
with HTPC oxide electrolytes, offering guidelines and possible strategies to
speed up the development of protonic SOFCs.
PMID- 27877341
TI - Low-energy cathodoluminescence microscopy for the characterization of
nanostructures.
AB - Spatially and spectrally resolved low-energy cathodoluminescence (CL) microscopy
was applied to the characterization of nanostructures. CL has the advantage of
revealing not only the presence of luminescence centers but also their spatial
distribution. The use of electrons as an excitation source allows a direct
comparison with other electron-beam techniques. Thus, CL is a powerful method to
correlate luminescence with the sample structure and to clarify the origin of the
luminescence. However, caution is needed in the quantitative analysis of CL
measurements. In this review, the advantages of cathodoluminescence for
qualitative analysis and disadvantages for quantitative analysis are presented on
the example of nanostructures.
PMID- 27877343
TI - Progress in engineering high strain lead-free piezoelectric ceramics.
AB - Environmental concerns are strongly driving the need to replace the lead-based
piezoelectric materials currently employed as multilayer actuators. The current
review describes both compositional and structural engineering approaches to
achieve enhanced piezoelectric properties in lead-free materials. The review of
the compositional engineering approach focuses on compositional tuning of the
properties and phase behavior in three promising families of lead-free perovskite
ferroelectrics: the titanate, alkaline niobate and bismuth perovskites and their
solid solutions. The 'structural engineering' approaches focus instead on
optimization of microstructural features including grain size, grain orientation
or texture, ferroelectric domain size and electrical bias field as potential
paths to induce large piezoelectric properties in lead-free piezoceramics. It is
suggested that a combination of both compositional and novel structural
engineering approaches will be required in order to realize viable lead-free
alternatives to current lead-based materials for piezoelectric actuator
applications.
PMID- 27877344
TI - Processing of polysiloxane-derived porous ceramics: a review.
AB - Because of the unique combination of their attractive properties, porous ceramics
are considered as candidate materials for several engineering applications. The
production of porous ceramics from polysiloxane precursors offers advantages in
terms of simple processing methodology, low processing cost, and easy control
over porosity and other properties of the resultant ceramics. Therefore,
considerable research has been conducted to produce various Si(O)C-based ceramics
from polysiloxane precursors by employing different processing strategies. The
complete potential of these materials can only be achieved when properties are
tailored for a specific application, whereas the control over these properties is
highly dependent on the processing route. This review deals with processing
strategies of polysiloxane-derived porous ceramics. The essential features of
processing strategies-replica, sacrificial template, direct foaming and reaction
techniques-are explained and the available literature reports are thoroughly
reviewed with particular regard to the critical issues that affect pore
characteristics. A short note on the cross-linking methods of polysiloxanes is
also provided. The potential of each processing strategy on porosity and strength
of the resultant SiC or SiOC ceramics is outlined.
PMID- 27877345
TI - Surface modification and characterization for dispersion stability of inorganic
nanometer-scaled particles in liquid media.
AB - Inorganic nanoparticles are indispensable for science and technology as
materials, pigments and cosmetics products. Improving the dispersion stability of
nanoparticles in various liquids is essential for those applications. In this
review, we discuss why it is difficult to control the stability of nanoparticles
in liquids. We also overview the role of surface interaction between
nanoparticles in their dispersion and characterization, e.g. by colloid probe
atomic force microscopy (CP-AFM). Two types of surface modification concepts,
post-synthesis and in situ modification, were investigated in many previous
studies. Here, we focus on post-synthesis modification using adsorption of
various kinds of polymer dispersants and surfactants on the particle surface, as
well as surface chemical reactions of silane coupling agents. We discuss CP-AFM
as a technique to analyze the surface interaction between nanoparticles and the
effect of surface modification on the nanoparticle dispersion in liquids.
PMID- 27877346
TI - Present status of amorphous In-Ga-Zn-O thin-film transistors.
AB - The present status and recent research results on amorphous oxide semiconductors
(AOSs) and their thin-film transistors (TFTs) are reviewed. AOSs represented by
amorphous In-Ga-Zn-O (a-IGZO) are expected to be the channel material of TFTs in
next-generation flat-panel displays because a-IGZO TFTs satisfy almost all the
requirements for organic light-emitting-diode displays, large and fast liquid
crystal and three-dimensional (3D) displays, which cannot be satisfied using
conventional silicon and organic TFTs. The major insights of this review are
summarized as follows. (i) Most device issues, such as uniformity, long-term
stability against bias stress and TFT performance, are solved for a-IGZO TFTs.
(ii) A sixth-generation (6G) process is demonstrated for 32" and 37" displays.
(iii) An 8G sputtering apparatus and a sputtering target have been developed.
(iv) The important effect of deep subgap states on illumination instability is
revealed. (v) Illumination instability under negative bias has been intensively
studied, and some mechanisms are proposed. (vi) Degradation mechanisms are
classified into back-channel effects, the creation of traps at an interface and
in the gate insulator, and the creation of donor states in annealed a-IGZO TFTs
by the Joule heating; the creation of bulk defects should also be considered in
the case of unannealed a-IGZO TFTs. (vii) Dense passivation layers improve the
stability and photoresponse and are necessary for practical applications. (viii)
Sufficient knowledge of electronic structures and electron transport in a-IGZO
has been accumulated to construct device simulation models.
PMID- 27877347
TI - Development of novel thermoelectric materials by reduction of lattice thermal
conductivity.
AB - Thermal conductivity is one of the key parameters in the figure of merit of
thermoelectric materials. Over the past decade, most progress in thermoelectric
materials has been made by reducing their thermal conductivity while preserving
their electrical properties. The phonon scattering mechanisms involved in these
strategies are reviewed here and divided into three groups, including (i)
disorder or distortion of unit cells, (ii) resonant scattering by localized
rattling atoms and (iii) interface scattering. In addition, we propose
construction of a 'natural superlattice' in thermoelectric materials by
intercalating an MX layer into the van der Waals gap of a layered TX2 structure
which has a general formula of (MX)1+x (TX2) n (M=Pb, Bi, Sn, Sb or a rare earth
element; T=Ti, V, Cr, Nb or Ta; X=S or Se and n=1, 2, 3). We demonstrate that one
of the intercalation compounds (SnS)1.2(TiS2)2 has better thermoelectric
properties compared with pure TiS2 in the direction parallel to the layers, as
the electron mobility is maintained while the phonon transport is significantly
suppressed owing to the reduction in the transverse phonon velocities.
PMID- 27877348
TI - Synthesis and characterization of Eu3+, Ti4+ @ ZnO organosols and nanocrystalline
c-ZnTiO3 thin films aiming at high transparency and luminescence.
AB - By exploiting colloidal properties, such as transparency, rheology and versatile
chemistry, we propose to synthesize new photonic nanomaterials based on colloidal
solutions and thin films. This contribution highlights our efforts to elaborate
and to characterize nanostructures based on the ZnO-TiO2 system. Using a recently
developed sol-gel route to synthesize new Ti4+@ZnO organosols, we were able to
prepare, at relatively low temperature (400 degrees C) and short annealing time
(15 min), highly transparent, luminescent, nanocrystalline Eu3+ doped c-ZnTiO3
thin films. The organosols and thin films were characterized with UV-visible-near
infrared absorption, ellipsometry, photoluminescence spectroscopy, dynamic light
scattering, x-ray diffraction and scanning electron microscopy.
PMID- 27877349
TI - Characterization of Bi and Fe co-doped PZT capacitors for FeRAM.
AB - Ferroelectric random access memory (FeRAM) has been in mass production for over
15 years. Higher polarization ferroelectric materials are needed for future
devices which can operate above about 100 degrees C. With this goal in mind, co
doping of thin Pb(Zr40,Ti60)O3 (PZT) films with 1 at.% Bi and 1 at.% Fe was
examined in order to enhance the ferroelectric properties as well as characterize
the doped material. The XRD patterns of PZT-5% BiFeO3 (BF) and PZT 140-nm thick
films showed (111) orientation on (111) platinized Si wafers and a 30 degrees C
increase in the tetragonal to cubic phase transition temperature, often called
the Curie temperature, from 350 to 380 degrees C with co-doping, indicating that
Bi and Fe are substituting into the PZT lattice. Raman spectra revealed decreased
band intensity with Bi and Fe co-doping of PZT compared to PZT. Polarization
hysteresis loops show similar values of remanent polarization, but square-shaped
voltage pulse-measured net polarization values of PZT-BF were higher and showed
higher endurance to repeated cycling up to 1010 cycles. It is proposed that Bi
and Fe are both in the +3 oxidation state and substituting into the perovskite A
and B sites, respectively. Substitution of Bi and Fe into the PZT lattice likely
creates defect dipoles, which increase the net polarization when measured by the
short voltage pulse positive-up-negative-down (PUND) method.
PMID- 27877350
TI - Theoretical investigation of methane adsorption onto boron nitride and carbon
nanotubes.
AB - Methane adsorption onto single-wall boron nitride nanotubes (BNNTs) and carbon
nanotubes (CNTs) was studied using the density functional theory within the
generalized gradient approximation. The structural optimization of several
bonding configurations for a CH4 molecule approaching the outer surface of the
(8,0) BNNT and (8,0) CNT shows that the CH4 molecule is preferentially adsorbed
onto the CNT with a binding energy of -2.84 kcal mol-1. A comparative study of
nanotubes with different diameters (curvatures) reveals that the methane
adsorptive capability for the exterior surface increases for wider CNTs and
decreases for wider BNNTs. The introduction of defects in the BNNT significantly
enhances methane adsorption. We also examined the possibility of binding a
bilayer or a single layer of methane molecules and found that methane molecules
preferentially adsorb as a single layer onto either BNNTs or CNTs. However,
bilayer adsorption is feasible for CNTs and defective BNNTs and requires binding
energies of -3.00 and -1.44 kcal mol-1 per adsorbed CH4 molecule, respectively.
Our first-principles findings indicate that BNNTs might be an unsuitable material
for natural gas storage.
PMID- 27877351
TI - Reusable hydroxyapatite nanocrystal sensors for protein adsorption.
AB - The repeatability of the adsorption and removal of fibrinogen and fetal bovine
serum on hydroxyapatite (HAp) nanocrystal sensors was investigated by Fourier
transform infrared (FTIR) spectroscopy and quartz crystal microbalance with
dissipation (QCM-D) monitoring technique. The HAp nanocrystals were coated on a
gold-coated quartz sensor by electrophoretic deposition. Proteins adsorbed on the
HAp sensors were removed by (i) ammonia/hydrogen peroxide mixture (APM), (ii)
ultraviolet light (UV), (iii) UV/APM, (iv) APM/UV and (v) sodium dodecyl sulfate
(SDS) treatments. FTIR spectra of the reused surfaces revealed that the APM and
SDS treatments left peptide fragments or the proteins adsorbed on the surfaces,
whereas the other methods successfully removed the proteins. The QCM-D
measurements indicated that in the removal treatments, fibrinogen was slowly
adsorbed in the first cycle because of the change in surface wettability revealed
by contact angle measurements. The SDS treatment was not effective in removing
proteins. The APM or UV treatment decreased the frequency shifts for the reused
HAp sensors. The UV/APM treatment did not induce the frequency shifts but
decreased the dissipation shifts. Therefore, we conclude that the APM/UV
treatment is the most useful method for reproducing protein adsorption behavior
on HAp sensors.
PMID- 27877352
TI - Synthesis of monodisperse spherical core-shell SiO2-SrAl2Si2O8: Eu2+ phosphors by
hydrothermal homogeneous precipitation method.
AB - Nanocrystalline SrAl2Si2O8 :Eu2+ phosphor layers were coated on nonaggregated,
monodisperse and spherical SiO2 particles using a hydrothermal homogeneous
precipitation. After annealing at 1100 degrees C, core-shell SiO2@SrAl2Si2O8
:Eu2+ particles were obtained. They were characterized with x-ray diffraction
(XRD), scanning electron microscopy, transmission electron microscopy and
photoluminescence techniques. XRD analysis confirmed the formation of SiO2
@SrAl2Si2O8 :Eu2+ particles; it indicated that the SrAl2Si2O8 :Eu2+ shells on
SiO2 particles consisted of hexagonal crystallites. The core-shell phosphors
obtained are well-dispersed submicron spherical particles with a narrow size
distribution. The thickness of the coated layer is approximately 20-40 nm. Under
ultraviolet excitation (361 nm), the particles emit blue light at about 440 nm
due to the Eu2+ ions in their shells.
PMID- 27877353
TI - Continuous production of flexible carbon nanotube-based transparent conductive
films.
AB - This work shows a simple, single-stage, scalable method for the continuous
production of high-quality carbon nanotube-polymer transparent conductive films
from carbon feedstock. Besides the ease of scalability, a particular advantage of
this process is that the concentration of nanotubes in the films, and thus
transparency and conductivity, can be adjusted by changing simple process
parameters. Therefore, films can be readily prepared for any application desired,
ranging from solar cells to flat panel displays. Our best results show a surface
resistivity of the order of 300 Omega square-1 for a film with 80% transparency,
which is promising at this early stage of process development.
PMID- 27877354
TI - A methodology to investigate the intrinsic effect of the pulsed electric current
during the spark plasma sintering of electrically conductive powders.
AB - A novel methodology is proposed for investigating the effect of the pulsed
electric current during the spark plasma sintering (SPS) of electrically
conductive powders without potential misinterpretation of experimental results.
First, ensemble configurations (geometry, size and material of the powder sample,
die, plunger and spacers) are identified where the electric current is forced to
flow only through either the sample or the die, so that the sample is heated
either through the Joule effect or by thermal conduction, respectively. These
ensemble configurations are selected using a recently proposed mathematical model
of an SPS apparatus, which, once suitably modified, makes it possible to carry
out detailed electrical and thermal analysis. Next, SPS experiments are conducted
using the ensemble configurations theoretically identified. Using aluminum
powders as a case study, we find that the temporal profiles of sample shrinkage,
which indicate densification behavior, as well as the final density of the sample
are clearly different when the electric current flows only through the sample or
through the die containing it, whereas the temperature cycle and mechanical load
are the same in both cases.
PMID- 27877355
TI - Degradation studies of transparent conductive electrodes on electroactive
poly(vinylidene fluoride) for uric acid measurements.
AB - Biochemical analysis of physiological fluids using, for example, lab-on-a-chip
devices requires accurate mixing of two or more fluids. This mixing can be
assisted by acoustic microagitation using a piezoelectric material, such as the
beta-phase of poly(vinylidene fluoride) (beta-PVDF). If the analysis is performed
using optical absorption spectroscopy and beta-PVDF is located in the optical
path, the material and its conductive electrodes must be transparent. Moreover,
if, to improve the transmission of the ultrasonic waves to the fluids, the
piezoelectric transducer is placed inside the fluidic structures, its degradation
must be assessed. In this paper, we report on the degradation properties of
transparent conductive oxides, namely, indium tin oxide (ITO) and aluminum-doped
zinc oxide, when they are used as electrodes for providing acoustic
microagitation. The latter promotes mixing of chemicals involved in the
measurement of uric acid concentration in physiological fluids. The results are
compared with those for aluminum electrodes. We find that beta-PVDF samples with
ITO electrodes do not degrade either with or without acoustic microagitation.
PMID- 27877356
TI - Focus on Advanced Nanomaterials.
PMID- 27877357
TI - Nanomaterials science.
PMID- 27877358
TI - Recent developments in inorganically filled carbon nanotubes: successes and
challenges.
AB - Carbon nanotubes (CNTs) are a unique class of nanomaterials that can be imagined
as rolled graphene sheets. The inner hollow of a CNT provides an extremely small,
one-dimensional space for storage of materials. In the last decade, enormous
effort has been spent to produce filled CNTs that combine the properties of both
the host CNT and the guest filling material. CNTs filled with various inorganic
materials such as metals, alloys, semiconductors and insulators have been
obtained using different synthesis approaches including capillary filling and
chemical vapor deposition. Recently, several potential applications have emerged
for these materials, such as the measurement of temperature at the nanoscale,
nano-spot welding, and the storage and delivery of extremely small quantities of
materials. A clear distinction between this class of materials and other
nanostructures is the existence of an enormous interfacial area between the CNT
and the filling matter. Theoretical investigations have shown that the lattice
mismatch and strong exchange interaction of CNTs with the guest material across
the interface should result in reordering of the guest crystal structure and
passivation of the surface dangling bonds and thus yielding new and interesting
physical properties. Despite preliminary successes, there remain many challenges
in realizing applications of CNTs filled with inorganic materials, such as a
comprehensive understanding of their growth and physical properties and control
of their structural parameters. In this article, we overview research on filled
CNT nanomaterials with special emphasis on recent progress and key achievements.
We also discuss the future scope and the key challenges emerging out of a decade
of intensive research on these fascinating materials.
PMID- 27877359
TI - A study of the synthetic methods and properties of graphenes.
AB - Graphenes with varying number of layers can be synthesized by using different
strategies. Thus, single-layer graphene is prepared by micromechanical cleavage,
reduction of single-layer graphene oxide, chemical vapor deposition and other
methods. Few-layer graphenes are synthesized by conversion of nanodiamond, arc
discharge of graphite and other methods. In this article, we briefly overview the
various synthetic methods and the surface, magnetic and electrical properties of
the produced graphenes. Few-layer graphenes exhibit ferromagnetic features along
with antiferromagnetic properties, independent of the method of preparation.
Aside from the data on electrical conductivity of graphenes and graphene-polymer
composites, we also present the field-effect transistor characteristics of
graphenes. Only single-layer reduced graphene oxide exhibits ambipolar
properties. The interaction of electron donor and acceptor molecules with few
layer graphene samples is examined in detail.
PMID- 27877360
TI - Ionic conductivity in oxide heterostructures: the role of interfaces.
AB - Rapidly growing attention is being directed to the investigation of ionic
conductivity in oxide film heterostructures. The main reason for this interest
arises from interfacial phenomena in these heterostructures and their
applications. Recent results revealed that heterophase interfaces have faster
ionic conduction pathways than the bulk or homophase interfaces. This finding can
open attractive opportunities in the field of micro-ionic devices. The influence
of the interfaces on the conduction properties of heterostructures is becoming
increasingly important with the miniaturization of solid-state devices, which
leads to an enhanced interface density at the expense of the bulk. This review
aims to describe the main evidence of interfacial phenomena in ion-conducting
film heterostructures, highlighting the fundamental and technological relevance
and offering guidelines to understanding the interface conduction mechanisms in
these structures.
PMID- 27877361
TI - Electronic states of graphene nanoribbons and analytical solutions.
AB - Graphene is a one-atom-thick layer of graphite, where low-energy electronic
states are described by the massless Dirac fermion. The orientation of the
graphene edge determines the energy spectrum of pi-electrons. For example, zigzag
edges possess localized edge states with energies close to the Fermi level. In
this review, we investigate nanoscale effects on the physical properties of
graphene nanoribbons and clarify the role of edge boundaries. We also provide
analytical solutions for electronic dispersion and the corresponding wavefunction
in graphene nanoribbons with their detailed derivation using wave mechanics based
on the tight-binding model. The energy band structures of armchair nanoribbons
can be obtained by making the transverse wavenumber discrete, in accordance with
the edge boundary condition, as in the case of carbon nanotubes. However, zigzag
nanoribbons are not analogous to carbon nanotubes, because in zigzag nanoribbons
the transverse wavenumber depends not only on the ribbon width but also on the
longitudinal wavenumber. The quantization rule of electronic conductance as well
as the magnetic instability of edge states due to the electron-electron
interaction are briefly discussed.
PMID- 27877362
TI - Engineering of poly(ethylene glycol) chain-tethered surfaces to obtain high
performance bionanoparticles.
AB - A poly(ethylene glycol)-b-poly[2-(N,N-dimethylamino)ethyl methacrylate] block
copolymer possessing a reactive acetal group at the end of the poly(ethylene
glycol) (PEG) chain, that is, acetal-PEG-b-PAMA, was synthesized by a proprietary
polymerization technique. Gold nanoparticles (GNPs) were prepared using the thus
synthesized acetal-PEG-b-PAMA block copolymer. The PEG-b-PAMA not only acted as a
reducing agent of aurate ions but also attached to the nanoparticle surface. The
GNPs obtained had controlled sizes and narrow size distributions. They also
showed high dispersion stability owing to the presence of PEG tethering chains on
the surface. The same strategy should also be applicable to the fabrication of
semiconductor quantum dots and inorganic porous nanoparticles. The preparation of
nanoparticles in situ, i.e. in the presence of acetal-PEG-b-PAMA, gave the most
densely packed polymer layer on the nanoparticle surface; this was not observed
when coating preformed nanoparticles. PEG/polyamine block copolymer was more
functional on the metal surface than PEG/polyamine graft copolymer, as confirmed
by angle-dependent x-ray photoelectron spectroscopy. We successfully solubilized
the C60 fullerene into aqueous media using acetal-PEG-b-PAMA. A C60/acetal-PEG-b
PAMA complex with a size below 5 nm was obtained by dialysis. The preparation and
characterization of these materials are described in this review.
PMID- 27877363
TI - Plasmons in nanoscale and atomic-scale systems.
AB - Plasmons in metallic nanomaterials exhibit very strong size and shape effects,
and thus have recently gained considerable attention in nanotechnology,
information technology, and life science. In this review, we overview the
fundamental properties of plasmons in materials with various dimensionalities and
discuss the optical functional properties of localized plasmon polaritons in
nanometer-scale to atomic-scale objects. First, the pioneering works on plasmons
by electron energy loss spectroscopy are briefly surveyed. Then, we discuss the
effects of atomistic charge dynamics on the dispersion relation of propagating
plasmon modes, such as those for planar crystal surface, atomic sheets and
straight atomic wires. Finally, standing-wave plasmons, or antenna resonances of
plasmon polariton, of some widely used nanometer-scale structures and atomic
scale wires (the smallest possible plasmonic building blocks) are exemplified
along with their applications.
PMID- 27877365
TI - Metal-free phthalocyanine (H2Pc) molecule adsorbed on the Au(111) surface:
formation of a wide domain along a single lattice direction.
AB - Using low-temperature scanning tunneling microscopy (STM), we observed the
bonding configuration of the metal-free phthalocyanine (H2Pc) molecule adsorbed
on the Au(111) surface. A local lattice formation started from a quasi-square
lattice aligned to the close-packed directions of the Au(111) surface. Although
we expected the lattice alignment to be equally distributed along the three
crystallographically equivalent directions, the domain aligned normal to the
ridge of the herringbone structure was missing in the STM images. We attribute
this effect to the uniaxial contraction of the reconstructed Au(111) surface that
can account for the formation of a large lattice domain along a single
crystallographical direction.
PMID- 27877364
TI - Fabrication of quantum-dot devices in graphene.
AB - We describe our recent experimental results on the fabrication of quantum-dot
devices in a graphene-based two-dimensional system. Graphene samples were
prepared by micromechanical cleavage of graphite crystals on a SiO2/Si substrate.
We performed micro-Raman spectroscopy measurements to determine the number of
layers of graphene flakes during the device fabrication process. By applying a
nanofabrication process to the identified graphene flakes, we prepared a double
quantum-dot device structure comprising two lateral quantum dots coupled in
series. Measurements of low-temperature electrical transport show the device to
be a series-coupled double-dot system with varied interdot tunnel coupling, the
strength of which changes continuously and non-monotonically as a function of
gate voltage.
PMID- 27877366
TI - Preparation of fine, uniform nitrogen- and sulfur-modified TiO2 nanoparticles
from titania nanotubes.
AB - TiO2 nanoparticles modified with nitrogen and sulfur were prepared from titania
nanotubes by a facile wet chemistry method. The samples synthesized with
different thiourea/TiO2 ratios showed a uniform nanoparticle size distribution
centred at approximately 10 nm with a developed specific surface area of 246 m2 g
1. These modified nanosized photocatalysts exhibited higher photocatalytic
activity for the degradation of gaseous isopropanol than unmodified titania
nanotubes under visible illumination. This could be attributed to the synergistic
effects of a large specific surface area, strong absorption in the visible
region, a redshift in the adsorption edge, and surface adsorption modification
induced by nitrogen and sulfur compounds.
PMID- 27877367
TI - Photocatalytic paper using zinc oxide nanorods.
AB - Zinc oxide (ZnO) nanorods were grown on a paper support prepared from soft wood
pulp. The photocatalytic activity of a sheet of paper with ZnO nanorods embedded
in its porous matrix has been studied. ZnO nanorods were firmly attached to
cellulose fibers and the photocatalytic paper samples were reused several times
with nominal decrease in efficiency. Photodegradation of up to 93% was observed
for methylene blue in the presence of paper filled with ZnO nanorods upon
irradiation with visible light at 963 Wm-2 for 120 min. Under similar conditions,
photodegradation of approximately 35% was observed for methyl orange.
Antibacterial tests revealed that the photocatalytic paper inhibits the growth of
Escherichia coli under room lighting conditions.
PMID- 27877368
TI - Potential use of only Yb2O3 in producing dense Si3N4 ceramics with high thermal
conductivity by gas pressure sintering.
AB - Yb2O3 is an efficient sintering additive for enhancing not only thermal
conductivity but also the high-temperature mechanical properties of Si3N4
ceramics. Here we report the fabrication of dense Si3N4 ceramics with high
thermal conductivity by the gas pressure sintering of alpha-Si3N4 powder
compacts, using only Yb2O3 as an additive, at 1900 degrees C under a nitrogen
pressure of 1 MPa. The effects of Yb2O3 content, sample packing condition and
sintering time on the densification, microstructure and thermal conductivity were
investigated. Curves of the density plotted against the Yb2O3 content exhibited a
characteristic 'N' shape with a local minimum at 3 mol% Yb2O3 and nearly complete
densification below and above this concentration. The effects of the sample
packing condition on the densification, microstructure and thermal conductivity
strongly depended on the Yb2O3 content. The embedded condition led to more
complete densification but also to a decrease in thermal conductivity from 119 to
94 W m-1 K-1 upon 1 mol% Yb2O3 addition. The sample packing condition had little
effect on the density and thermal conductivity (102-106 W m-1 K-1) at 7 mol%
Yb2O3. The thermal conductivity value was strongly related to the microstructure.
PMID- 27877369
TI - Voltage- and current-activated metal-insulator transition in VO2-based electrical
switches: a lifetime operation analysis.
AB - Vanadium dioxide is an intensively studied material that undergoes a temperature
induced metal-insulator phase transition accompanied by a large change in
electrical resistivity. Electrical switches based on this material show promising
properties in terms of speed and broadband operation. The exploration of the
failure behavior and reliability of such devices is very important in view of
their integration in practical electronic circuits. We performed systematic
lifetime investigations of two-terminal switches based on the electrical
activation of the metal-insulator transition in VO2 thin films. The devices were
integrated in coplanar microwave waveguides (CPWs) in series configuration. We
detected the evolution of a 10 GHz microwave signal transmitted through the CPW,
modulated by the activation of the VO2 switches in both voltage- and current
controlled modes. We demonstrated enhanced lifetime operation of current
controlled VO2-based switching (more than 260 million cycles without failure)
compared with the voltage-activated mode (breakdown at around 16 million
activation cycles). The evolution of the electrical self-oscillations of a VO2
based switch induced in the current-operated mode is a subtle indicator of the
material properties modification and can be used to monitor its behavior under
various external stresses in sensor applications.
PMID- 27877370
TI - Electrical quadruple hysteresis in Pd-doped vanadium pentoxide nanowires due to
water adsorption.
AB - Humidity-dependent current-voltage (I-V) characteristics of Pd-doped vanadium
pentoxide nanowires (Pd-VONs) were investigated. Electrical quadruple hysteresis
(QH) was observed and attributed to the large amount of water molecules adsorbed
on the nanowires. Using QH in Pd-VONs, the reaction of water with PdO was
interpreted as the water molecules are desorbed and then dissociated with
increasing bias voltage. Owing to the dissociated H+ and OH- ions, PdO is reduced
and oxidized. As a result, water molecules recombine as the bias voltage is
decreased.
PMID- 27877371
TI - Structural studies of a mixed-valence state in the incommensurate composite
crystal Sr1.261CoO3.
AB - The incommensurate modulated crystal structure of the hexagonal cobalt oxide
Sr1.261CoO3 has been studied using a four-dimensional (4D) superspace profile
analysis of neutron powder diffraction data. Sr1.261CoO3 is a composite crystal
that consists of the [CoO3] and [2Sr] subsystems. The [CoO3] subsystem forms 1D
chains that run parallel to the c-axis and consist of face-sharing CoO6 polyhedra
with octahedral (Oh) and trigonal prismatic (TP) coordinations. The structure
analysis reveals that the [CoO3] chains contain 73.9% Oh and 26.1% TP sites, and
that the TP sites have longer Co-O bonds than the Oh sites: dav. =2.039(4) A (TP)
and 1.895(3) A (Oh). The averaged Co bond valences are Co3.56(3)+ in the Oh sites
and Co2.45(3)+ in the TP sites, suggesting that a considerable amount of Co3+
ions are mixed with Co4+ions in the Oh sites and with Co2+ ions in the TP sites.
The observed magnetic susceptibility can be well explained assuming that the
compound has the Co mixed-valence state with the spin configurations of S=0 low
spin state for Co3+(depsilon6), S=1/2 low-spin state for Co4+(depsilon5) and
S=3/2 high-spin state for Co2+(depsilon5dgamma2). The Weiss temperature,
approximately 0.8 K, implies that Sr1.261CoO3 naturally assumes a Curie
paramagnetic state, probably owing to the obstruction of the intrachain magnetic
interaction by the nonmagnetic Co3+ ions. These results suggest that the
nonmagnetic Co3+ ions play an essential role in the magnetism of Sr2gammaCoO3
systems.
PMID- 27877372
TI - Effects of surfactants on spinning carbon nanotube fibers by an electrophoretic
method.
AB - Thin fibers were spun from a colloidal solution of single-walled carbon nanotubes
(SWNTs) using an electrophoretic method. Sodium dodecylbenzenesulfonate (NaDDBS)
was chosen as a surfactant and showed good performance owing to its special
chemical structure. The highest spinning velocity reached 0.5 mm s-1. The
resulting SWNT fibers had a tensile strength of 400 MPa and a conductivity of 355
S cm-1. Their mechanical and electrical properties were markedly improved after
adding NaDDBS as the dispersant in water.
PMID- 27877373
TI - Hybrid processing and anisotropic sintering shrinkage in textured ZnO ceramics.
AB - We have studied the combined effects of the templated grain growth and magnetic
alignment processes on sintering, anisotropic sintering shrinkage, microstructure
development and texture in ZnO ceramics. Suspensions of 0-10 vol % ZnO template
particles were slip cast in a 12 T rotating magnetic field. Sintering and texture
characteristics were investigated via thermomechanical analysis and electron
backscatter diffraction, respectively. Sintering as well as texture
characteristics depend on template concentration. For the studied ZnO system,
there is a critical template concentration (2 vol % in this study) above which
densification is limited by the templates owing to constrained sintering. Below
this limit, the densification is enhanced and the anisotropic shrinkage is
reduced, which is attributed to densifying characteristics of the templates.
PMID- 27877374
TI - 'Beautiful' unconventional synthesis and processing technologies of
superconductors and some other materials.
AB - Superconducting materials have contributed significantly to the development of
modern materials science and engineering. Specific technological solutions for
their synthesis and processing helped in understanding the principles and
approaches to the design, fabrication and application of many other materials. In
this review, we explore the bidirectional relationship between the general and
particular synthesis concepts. The analysis is mostly based on our studies where
some unconventional technologies were applied to different superconductors and
some other materials. These technologies include spray-frozen freeze-drying, fast
pyrolysis, field-assisted sintering (or spark plasma sintering), nanoblasting,
processing in high magnetic fields, methods of control of supersaturation and
migration during film growth, and mechanical treatments of composite wires. The
analysis provides future research directions and some key elements to define the
concept of 'beautiful' technology in materials science. It also reconfirms the
key position and importance of superconductors in the development of new
materials and unconventional synthesis approaches.
PMID- 27877375
TI - Technological advances in electrospinning of nanofibers.
AB - Progress in the electrospinning techniques has brought new methods for the
production and construction of various nanofibrous assemblies. The parameters
affecting electrospinning include electrical charges on the emerging jet, charge
density and removal, as well as effects of external perturbations. The solvent
and the method of fiber collection also affect the construction of the final
nanofibrous architecture. Various techniques of yarn spinning using solid and
liquid surfaces as well as surface-free collection are described and compared in
this review. Recent advances allow production of 3D nanofibrous scaffolds with a
desired microstructure. In the area of tissue regeneration and bioengineering, 3D
scaffolds should bring nanofibrous technology closer to clinical applications.
There is sufficient understanding of the electrospinning process and experimental
results to suggest that precision electrospinning is a real possibility.
PMID- 27877376
TI - Atomic switches: atomic-movement-controlled nanodevices for new types of
computing.
AB - Atomic switches are nanoionic devices that control the diffusion of metal cations
and their reduction/oxidation processes in the switching operation to
form/annihilate a metal atomic bridge, which is a conductive path between two
electrodes in the on-state. In contrast to conventional semiconductor devices,
atomic switches can provide a highly conductive channel even if their size is of
nanometer order. In addition to their small size and low on-resistance, their
nonvolatility has enabled the development of new types of programmable devices,
which may achieve all the required functions on a single chip. Three-terminal
atomic switches have also been developed, in which the formation and annihilation
of a metal atomic bridge between a source electrode and a drain electrode are
controlled by a third (gate) electrode. Three-terminal atomic switches are
expected to enhance the development of new types of logic circuits, such as
nonvolatile logic. The recent development of atomic switches that use a metal
oxide as the ionic conductive material has enabled the integration of atomic
switches with complementary metal-oxide-semiconductor (CMOS) devices, which will
facilitate the commercialization of atomic switches. The novel characteristics of
atomic switches, such as their learning and photosensing abilities, are also
introduced in the latter part of this review.
PMID- 27877377
TI - Zinc stannate nanostructures: hydrothermal synthesis.
AB - Nanostructured binary semiconducting metal oxides have received much attention in
the last decade owing to their unique properties rendering them suitable for a
wide range of applications. In the quest to further improve the physical and
chemical properties, an interest in ternary complex oxides has become noticeable
in recent times. Zinc stannate or zinc tin oxide (ZTO) is a class of ternary
oxides that are known for their stable properties under extreme conditions,
higher electron mobility compared to its binary counterparts and other
interesting optical properties. The material is thus ideal for applications from
solar cells and sensors to photocatalysts. Among the different methods of
synthesizing ZTO nanostructures, the hydrothermal method is an attractive green
process that is carried out at low temperatures. In this review, we summarize the
conditions leading to the growth of different ZTO nanostructures using the
hydrothermal method and delve into a few of its applications reported in the
literature.
PMID- 27877378
TI - Tailoring the morphology and crystallinity of poly(L-lactide acid) electrospun
membranes.
AB - Biodegradable poly(L-lactic acid) (PLLA) microfibers were prepared by
electrospinning by varying the applied potential, solution flow rate and
collector conditions. PLLA fibers with smoothly oriented and random morphologies
were obtained and characterized by scanning electron microscopy. The optimum
fiber orientation was obtained at 1000 rpm using a 20.3 cm diameter collecting
drum, while for higher and lower drum rotation speeds, the rapid random motion of
the jets resulted in a random fiber distribution. The deformation of the jet with
rapid solidification during electrospinning often results in a metastable phase.
PLLA electrospun fibers are amorphous but contain numerous crystal nuclei that
rapidly grow when the sample is heated to 70-140 degrees C. In this way, the
degree of crystallinity of the fibers can be tailored between 0 and 50% by
annealing. Infrared transmission spectra revealed that the processing conditions
do not affect the PLLA samples at the molecular level and that the crystallinity
of the samples is related to the presence of alpha-crystals.
PMID- 27877379
TI - Effect of electrical and mechanical poling history on domain orientation and
piezoelectric properties of soft and hard PZT ceramics.
AB - The superior piezoelectric properties of all polycrystalline ferroelectrics are
based on the extent of non-180 degrees domain wall motion under electrical and
mechanical poling loads. To distinguish between 180 degrees and non-180 degrees
domain wall motion in a soft-doped and a hard-doped lead zirconate titanate (PZT)
ceramic, domain texture measurements were performed using x-ray and neutron
diffraction after different loading procedures. Comparing the results to
measurements of the remanent strain and piezoelectric coefficient allowed the
differentiation between different microstructural contributions to the
macroscopic parameters. Both types of ceramic showed similar behavior under
electric field, but the hard-doped material was more susceptible to mechanical
load. A considerable fraction of the piezoelectric coefficient originated from
poling by the preferred orientation of 180 degrees domains.
PMID- 27877380
TI - A novel shortened electrospun nanofiber modified with a 'concentrated' polymer
brush.
AB - We report the fabrication of shortened electrospun polymer fibers with a well
defined concentrated polymer brush. We first prepared electrospun nanofibers from
a random copolymer of styrene and 4-vinylbenzyl 2-bromopropionate, with number
average molecular weight Mn=105 200 and weight-average molecular weight Mw=296
700 (Mw/Mn=2.82). The fibers had a diameter of 593+/-74 nm and contained
initiating sites for surface-initiated atom transfer radical polymerization (SI
ATRP). Then, SI-ATRP of hydrophilic styrene sodium sulfonate (SSNa) was carried
out in the presence of a free initiator and the hydrophobic fibers. Gel
permeation chromatography confirmed that Mn and Mw/Mn values were almost the same
for free polymers and graft polymers. Mn agreed well with the theoretical
prediction, and Mw/Mn was relatively low (<1.3) in all the examined cases,
indicating that this polymerization proceeded in a living manner. Using the
values of the graft amount measured by Fourier transform infrared spectroscopy,
the surface area, and Mn, we calculated the graft density sigma as 0.22 chains nm
2. This value was nearly equal to the density obtained on silicon wafers
(sigma=0.24 chains nm-2), which is categorized into the concentrated brush
regime. Finally, we mechanically cut the fibers with a concentrated poly(SSNa)
brush by a homogenizer. With increasing cutting time, the fiber length became
shorter and more homogenous (11+/-17 MUm after 3 h). The shortened fibers
exhibited excellent water dispersibility owing to the hydrophilic poly(SSNa)
brush layer.
PMID- 27877381
TI - Kinetics of martensitic transformations in magnetic field or under hydrostatic
pressure.
AB - We have recently constructed a phenomenological theory that provides a unified
explanation for athermal and isothermal martensitic transformation processes. On
the basis of this theory, we predict some properties of martensitic
transformation and confirm them experimentally using some Fe-based alloys and a
Ni-Co-Mn-In magnetic shape memory alloy.
PMID- 27877382
TI - Soft particle analysis of electrokinetics of biological cells and their model
systems.
AB - In this article, we review the applications of a novel theory (Ohshima 2009 Sci.
Technol. Adv. Mater.10 063001) to the analysis of electrokinetic data for various
soft particles, that is, particles covered with an ion-permeable surface layer of
polyelectrolytes. Soft particles discussed in this review include various
biological cells and hydrogel-coated particles as a model of biological cells.
Cellular transformations increase the concentration of sialic acid of
glycoproteins and are associated with blocked biosynthesis of glycolipids and
aberrant expression of the developmentally programmed biosynthetic pathway. The
change in shape or biological function of cells may affect their surface
properties and can be detected by electrokinetic measurements. The experimental
results were analyzed with Ohshima's electrokinetic formula for soft particles
and soft surfaces. As a model system, hydrogel surfaces that mimic biological
surfaces were also prepared and their surface properties were studied.
PMID- 27877383
TI - High-mobility solution-processed copper phthalocyanine-based organic field-effect
transistors.
AB - Solution-processed films of 1,4,8,11,15,18,22,25-octakis(hexyl) copper
phthalocyanine (CuPc6) were utilized as an active semiconducting layer in the
fabrication of organic field-effect transistors (OFETs) in the bottom-gate
configurations using chemical vapour deposited silicon dioxide (SiO2) as gate
dielectrics. The surface treatment of the gate dielectric with a self-assembled
monolayer of octadecyltrichlorosilane (OTS) resulted in values of 4*10-2 cm2 V-1
s-1 and 106 for saturation mobility and on/off current ratio, respectively. This
improvement was accompanied by a shift in the threshold voltage from 3 V for
untreated devices to -2 V for OTS treated devices. The trap density at the
interface between the gate dielectric and semiconductor decreased by about one
order of magnitude after the surface treatment. The transistors with the OTS
treated gate dielectrics were more stable over a 30-day period in air than
untreated ones.
PMID- 27877384
TI - Tuning optical properties of poly(3-hexylthiophene) nanoparticles through
hydrothermal processing.
AB - Poly(3-hexylthiophene) (P3HT) nanoparticles (NPs) were prepared by a
reprecipitation method. Hydrothermal processing applied external pressure to the
pristine P3HT NPs at temperatures ranging from 60 to 150 degrees C. Optical
absorption and photoluminescence (PL) spectra for the hydrothermally treated P3HT
NPs varied markedly with the processing temperature. With increasing treatment
temperature, the absorption peak broadened and the peak position shifted from 510
to 623 nm; moreover, the intensity ratio of the 0-1 to 0-0 emission varied. These
changes were caused by interactions between the P3HT main chains and alkyl side
groups and conformational modifications induced by the high pressure during the
hydrothermal process. The evolution of the optical absorption spectra of the P3HT
NPs during the hydrothermal processing was strongly correlated with the variation
of PL excitation spectra and with the PL emission spectra of a single NP.
PMID- 27877386
TI - Characterization of magnetic domain walls using electron magnetic chiral
dichroism.
AB - Domain walls and spin states of permalloy were investigated by electron magnetic
chiral dichroism (EMCD) technique in Lorentz imaging mode using a JEM-2100F
transmission electron microscope. EMCD signals from both Fe and Ni L3,2 edges
were detected from the Bloch lines but not from the adjacent main wall. The
magnetic polarity orientation of the circular Bloch line is opposite to that of
the cross Bloch line. The orientations of Fe and Ni spins are parallel rather
than antiparallel, both at the cross Bloch line and circular Bloch line.
PMID- 27877385
TI - Experimental study of the Ca-Mg-Zn system using diffusion couples and key alloys.
AB - Nine diffusion couples and 32 key samples were prepared to map the phase diagram
of the Ca-Mg-Zn system. Phase relations and solubility limits were determined for
binary and ternary compounds using scanning electron microscopy, electron probe
microanalysis and x-ray diffraction (XRD). The crystal structure of the ternary
compounds was studied by XRD and electron backscatter diffraction. Four ternary
intermetallic (IM) compounds were identified in this system: Ca3Mg x Zn15-x (4.6
? x ? 12 at 335 degrees C, IM1), Ca14.5Mg15.8Zn69.7 (IM2), Ca2Mg5Zn13 (IM3) and
Ca1.5Mg55.3Zn43.2 (IM4). Three binary compounds were found to have extended solid
solubility into ternary systems: CaZn11, CaZn13 and Mg2Ca form substitutional
solid solutions where Mg substitutes for Zn atoms in the first two compounds, and
Zn substitutes for both Ca and Mg atoms in Mg2Ca. The isothermal section of the
Ca-Mg-Zn phase diagram at 335 degrees C was constructed on the basis of the
obtained experimental results. The morphologies of the diffusion couples in the
Ca-Mg-Zn phase diagram at 335 degrees C were studied. Depending on the terminal
compositions of the diffusion couples, the two-phase regions in the diffusion
zone have either a tooth-like morphology or contain a matrix phase with isolated
and/or dendritic precipitates.
PMID- 27877388
TI - Focus on Advanced Ceramics.
PMID- 27877387
TI - Mesoporous tin-doped indium oxide thin films: effect of mesostructure on
electrical conductivity.
AB - We present a versatile method for the preparation of mesoporous tin-doped indium
oxide (ITO) thin films via dip-coating. Two poly(isobutylene)-b
poly(ethyleneoxide) (PIB-PEO) copolymers of significantly different molecular
weight (denoted as PIB-PEO 3000 and PIB-PEO 20000) are used as templates and are
compared with non-templated films to clarify the effect of the template size on
the crystallization and, thus, on the electrochemical properties of mesoporous
ITO films. Transparent, mesoporous, conductive coatings are obtained after
annealing at 500 degrees C; these coatings have a specific resistance of 0.5
Omega cm at a thickness of about 100 nm. Electrical conductivity is improved by
one order of magnitude by annealing under a reducing atmosphere. The two types of
PIB-PEO block copolymers create mesopores with in-plane diameters of 20-25 and 35
45 nm, the latter also possessing correspondingly thicker pore walls. Impedance
measurements reveal that the conductivity is significantly higher for films
prepared with the template generating larger mesopores. Because of the same size
of the primary nanoparticles, the enhanced conductivity is attributed to a higher
conduction path cross section. Prussian blue was deposited electrochemically
within the films, thus confirming the accessibility of their pores and their
functionality as electrode material.
PMID- 27877389
TI - Magnesium dititanate (MgTi2O5) with pseudobrookite structure: a review.
AB - Magnesium dititanate (MgTi2O5, MT2) has been synthesized since the early 1930s.
It has the pseudobrookite structure (general formula Me3O5), corresponding to the
Mg-enriched artificial endmember of the Fe2TiO5 (pseudobrookite)-FeTi2O5
(ferropseudobrookite)-Mg0.5Fe0.5Ti2O5 (armalcolite) solid solution. Since MgTi2O5
has relativity high thermal stability among pseudobrookite-type phases, it is
expected to be a well-balanced low-thermal-expansion material. Here we review
both the historical and recent studies on MgTi2O5, particularly on its crystal
structure, cation order-disorder, physical properties and synthesis methods.
PMID- 27877390
TI - Point defects in ZnO: an approach from first principles.
AB - Recent first-principles studies of point defects in ZnO are reviewed with a focus
on native defects. Key properties of defects, such as formation energies, donor
and acceptor levels, optical transition energies, migration energies and atomic
and electronic structure, have been evaluated using various approaches including
the local density approximation (LDA) and generalized gradient approximation
(GGA) to DFT, LDA+U/GGA+U, hybrid Hartree-Fock density functionals, sX and GW
approximation. Results significantly depend on the approximation to exchange
correlation, the simulation models for defects and the post-processes to correct
shortcomings of the approximation and models. The choice of a proper approach is,
therefore, crucial for reliable theoretical predictions. First-principles studies
have provided an insight into the energetics and atomic and electronic structures
of native point defects and impurities and defect-induced properties of ZnO.
Native defects that are relevant to the n-type conductivity and the non
stoichiometry toward the O-deficient side in reduced ZnO have been debated. It is
suggested that the O vacancy is responsible for the non-stoichiometry because of
its low formation energy under O-poor chemical potential conditions. However, the
O vacancy is a very deep donor and cannot be a major source of carrier electrons.
The Zn interstitial and anti-site are shallow donors, but these defects are
unlikely to form at a high concentration in n-type ZnO under thermal equilibrium.
Therefore, the n-type conductivity is attributed to other sources such as
residual impurities including H impurities with several atomic configurations, a
metastable shallow donor state of the O vacancy, and defect complexes involving
the Zn interstitial. Among the native acceptor-type defects, the Zn vacancy is
dominant. It is a deep acceptor and cannot produce a high concentration of holes.
The O interstitial and anti-site are high in formation energy and/or are
electrically inactive and, hence, are unlikely to play essential roles in
electrical properties. Overall defect energetics suggests a preference for the
native donor-type defects over acceptor-type defects in ZnO. The O vacancy, Zn
interstitial and Zn anti-site have very low formation energies when the Fermi
level is low. Therefore, these defects are expected to be sources of a strong
hole compensation in p-type ZnO. For the n-type doping, the compensation of
carrier electrons by the native acceptor-type defects can be mostly suppressed
when O-poor chemical potential conditions, i.e. low O partial pressure
conditions, are chosen during crystal growth and/or doping.
PMID- 27877391
TI - New functionalities in abundant element oxides: ubiquitous element strategy.
AB - While most ceramics are composed of ubiquitous elements (the ten most abundant
elements within the Earth's crust), many advanced materials are based on rare
elements. A 'rare-element crisis' is approaching owing to the imbalance between
the limited supply of rare elements and the increasing demand. Therefore, we
propose a 'ubiquitous element strategy' for materials research, which aims to
apply abundant elements in a variety of innovative applications. Creation of
innovative oxide materials and devices based on conventional ceramics is one
specific challenge. This review describes the concept of ubiquitous element
strategy and gives some highlights of our recent research on the synthesis of
electronic, thermionic and structural materials using ubiquitous elements.
PMID- 27877392
TI - Hydrogen production using zinc-doped carbon nitride catalyst irradiated with
visible light.
AB - Recently, graphitic carbon nitride (g-C3N4) has been investigated as a
photocatalyst for water splitting and organic dye degradation. In this study, we
have developed a simple soft-chemical method of doping Zn into g-C3N4 to prepare
a metal-containing carbon nitride. The doping was confirmed by x-ray
photoelectron spectroscopy, and diffusion reflectance spectra revealed a
significant red shift in the absorption edge of Zn/g-C3N4. This hybrid material
shows high photocatalytic activity and good stability for hydrogen evolution from
an aqueous methanol solution under visible light irradiation (lambda>=420 nm).
The hydrogen evolution rate was more than 10 times higher for a 10%-Zn/g-C3N4
sample (59.5 MUmol h-1) than for pure g-C3N4. The maximum quantum yield was 3.2%
at 420 nm.
PMID- 27877393
TI - Mechanochemically synthesized CsH2PO4-H3PW12O40 composites as proton-conducting
electrolytes for fuel cell systems in a dry atmosphere.
AB - Cesium dihydrogen phosphate (CsH2PO4, CDP) and dodecaphosphotungstic acid
(H3PW12O40.nH2O, WPA.nH2O) were mechanochemically milled to synthesize CDP-WPA
composites. The ionic conductivities of these composites were measured by an ac
impedance method under anhydrous conditions. Despite the synthesis temperatures
being much lower than the dehydration and phase-transition temperatures of CDP
under anhydrous conditions, the ionic conductivities of the studied composites
increased significantly. The highest ionic conductivity of 6.58*10-4 Scm-1 was
achieved for the 95CDP.5WPA composite electrolyte at 170 degrees C under
anhydrous conditions. The ionic conduction was probably induced in the percolated
interfacial phase between CDP and WPA. The phenomenon of high ionic conduction
differs for the CDP-WPA composite and pure CDP or pure WPA under anhydrous
conditions. The newly developed hydrogen interaction between CDP and WPA supports
anhydrous proton conduction in the composites.
PMID- 27877394
TI - Diffraction contrast analysis of 90 degrees and 180 degrees ferroelectric
domain structures of PbTiO3 thin films.
AB - The ferroelectric domain structure of a PbTiO3 thin film on (100) SrTiO3 has been
investigated by transmission electron microscopy (TEM). Two types of a-domain
were found: one extended through the film to the surface and another comprised
small a-domains confined within the film. Dark-field TEM (DFTEM) observation
revealed that 180 degrees domains formed near the substrate and stopped their
growth 100 nm away from the substrate. The DFTEM observation also revealed that
90 degrees domain boundaries had head-to-tail structures. To confirm the
polarization direction obtained by experiments, diffracted intensities under a
two-beam condition were simulated using the extended Darwin-Howie-Whelan
equations. On the basis of the obtained results, a ferroelectric domain structure
model of PbTiO3 thin films on SrTiO3 is proposed.
PMID- 27877395
TI - Photoluminescence properties of beta-SiAlON:Yb2+, a novel green-emitting phosphor
for white light-emitting diodes.
AB - We have synthesized Yb2+-activated Si6-z Al z O z N8-z (0.05?z?2.3, 0.03 mol%
?Yb2+?0.7 mol%) green phosphors by solid-state reaction at 1900 degrees C for 2
h under a nitrogen pressure of 1.0 MPa. Phase purity, photoluminescence and its
thermal quenching were investigated. A single phase was obtained for all values
of z and Yb2+ concentration. A distinct emission band was observed at 540 nm
originating from the 5d-4f electronic transition in Yb2+ under 480 nm excitation.
The photoluminescence properties mainly depended on the Yb2+ concentration and
chemical composition of the matrix. The resultant phosphor showed high thermal
stability, that is, the emission intensity at 150 degrees C was about 82% of
that measured at room temperature. The experimental results indicate that beta
SiAlON:Yb2+ is a potential green phosphor for white light-emitting diodes (LEDs),
which use blue LEDs as the primary light source.
PMID- 27877396
TI - Bone formation in vivo induced by Cbfa1-carrying adenoviral vectors released from
a biodegradable porous beta-tricalcium phosphate (beta-TCP) material.
AB - Overexpression of Cbfa1 (a transcription factor indispensable for osteoblastic
differentiation) is expected to induce the formation of bone directly and
indirectly in vivo by accelerating osteoblastic differentiation. Adenoviral
vectors carrying the cDNA of Cbfa1/til-1(Adv-Cbf1) were allowed to be adsorbed
onto porous blocks of beta-tricalcium phosphate (beta-TCP), a biodegradable
ceramic, which were then implanted subcutaneously and orthotopically into bone
defects. The adenoviral vectors were released sustainingly by biodegradation,
providing long-term expression of the genes. Results of the subcutaneous
implantation of Adv-Cbfa1-adsorbed beta-TCP/osteoprogenitor cells suggest that a
larger amount of bone formed in the pores of the implant than in the control
material. Regarding orthotopic implantation into bone defects, the released Adv
Cbfa1 accelerated regeneration in the cortical bone, whereas it induced bone
resorption in the marrow cavity. A safer gene transfer using a smaller amount of
the vector was achieved using biodegradable porous beta-TCP as a carrier.
PMID- 27877398
TI - Fabrication of silica glass containing yellow oxynitride phosphor by the sol-gel
process.
AB - We have prepared silica glass by the sol-gel method and studied its ability to
disperse the Ca-alpha-SiAlON:Eu2+ phosphor for application in white light
emitting diodes (LEDs). The emission color generated by irradiating doped glass
with a blue LED at 450 nm depended on the concentration of SiAlON and the glass
thickness, resulting in nearly white light. The luminescence efficiency of 1-mm
thick glass depended on the SiAlON concentration, and was highest at 4 wt%
SiAlON.
PMID- 27877397
TI - Fabrication of two-dimensional close-packed shell structure in ceramic thin
films.
AB - TiO2 thin films with a periodical two-dimensional close-packed hemispherical
structure were prepared on Si substrates using pulsed laser deposition and close
packed monolayer polystyrene colloidal crystals as a template. Compared with
conventional methods, which use a top-down approach, this route supports low-cost
production of a periodic structure. Additionally, it is applicable to various
ceramics for use in applications related to photonic crystals, surface self
cleaning materials, data storage media, bioassays, and so on.
PMID- 27877399
TI - Magnetic and optical properties of MgAl2O4-(Ni0.5Zn0.5)Fe2O4 thin films prepared
by pulsed laser deposition.
AB - Thin films composed of MgAl2O4 and (Ni0.5Zn0.5)Fe2O4 ([MA(100-x)-NZFx] films)
were grown on fused SiO2 substrates by pulsed laser deposition. X-ray diffraction
measurements revealed that the films were polycrystalline, and that their lattice
constant varied linearly with composition, indicating the formation of a solid
solution. The film with x=60 was paramagnetic and those with x >= 70 were
ferromagnetic. The films had a transparency above 75% in the visible range, but
the transparency decreased with the x value. The optical band gaps were 2.95,
2.55, 2.30 and 1.89 eV for x=20, 40, 60, 80 and 100, respectively. The Faraday
rotation angle increased with x in the visible range, and the film with x=70
exhibited a value of 2000 degrees cm-1 at 570 nm, which is comparable to the
rotation angle of Y3Fe5O12. Owing to their high transparency, which extends into
the visible range, the [MA(100-x)-NZFx] films can be used in novel magneto
optical devices.
PMID- 27877400
TI - Ultrafast zone-center coherent lattice dynamics in ferroelectric lithium
tantalate.
AB - Femtosecond time-resolved pump-probe experiments were carried out to study
ultrafast lattice dynamics of ferroelectric lithium tantalate. Both the fully
symmetric (A1 mode) and doubly degenerate (E mode) coherent phonons at the center
of the Brillouin zone were excited via impulsive stimulated Raman scattering, as
confirmed by the excitation intensity dependence.
PMID- 27877401
TI - Secondary electron emission and glow discharge properties of 12CaO.7Al2O3
electride for fluorescent lamp applications.
AB - 12CaO.7Al2O3 electride, a sub-nanoporous compound having a work function of 2.4
eV, was examined as a candidate cathode material in fluorescent lamps. The
electron emission yield was higher and the discharge voltage was lower for
12CaO.7Al2O3 than for existing cathode materials such as Ni, Mo or W; therefore,
the energy consumption of the fluorescent lamps can be improved using
12CaO.7Al2O3 cathodes. Prototype glow-discharge lamps using 12CaO.7Al2O3 were
constructed and exhibited reasonable durability.
PMID- 27877402
TI - Competitive adsorption of fibronectin and albumin on hydroxyapatite nanocrystals.
AB - Competitive adsorption of two-component solutions containing fibronectin (Fn) and
albumin (Ab) on hydroxyapatite (HAp) nanocrystals was analyzed in situ using the
quartz crystal microbalance with dissipation (QCM-D) technique. Adsorption of the
one-component protein (Fn or Ab) and the two-component proteins adjusted to
different molar ratios of Fn to Ab at a fixed Fn concentration was investigated.
The frequency shift (Deltaf; Hz) and the dissipation energy shift (DeltaD) were
measured with the QCM-D technique, and the viscoelastic changes of adlayers were
evaluated by the saturated DeltaD/Deltaf value and the Voigt-based viscoelastic
model. For the adsorption of the one-component protein, the Fn adlayer showed a
larger mass and higher viscoelasticity than the Ab adlayer, indicating the higher
affinity of Fn on HAp. For the adsorption of the two-component proteins, the
viscoelastic properties of the adlayers became elastic with increase in Ab
concentration, whereas the adsorption mass was similar to that of Fn in the one
component solution regardless of the Ab concentration. The specific binding mass
of the Ab antibody to the adlayers increased with increase in Ab concentration,
whereas that of the Fn antibody decreased. Therefore, Fn preferentially adsorbs
on HAp and Ab subsequently interacts with the adlayers, indicating that the
interfacial viscoelasticity of the adlayers was dominated by the interaction
between Fn and Ab.
PMID- 27877403
TI - Alkoxide-based precursors for direct drawing of metal oxide micro- and
nanofibres.
AB - The invention of electrospinning has solved the problem of producing micro- and
nanoscaled metal oxide fibres in bulk quantities. However, until now no methods
have been available for preparing a single nanofibre of a metal oxide. In this
work, the direct drawing method was successfully applied to produce metal oxide
(SnO2, TiO2, ZrO2, HfO2 and CeO2) fibres with a high aspect ratio (up to 10 000)
and a diameter as small as 200 nm. The sol-gel processing includes consumption of
precursors obtained from alkoxides by aqueous or non-aqueous polymerization.
Shear thinning of the precursors enables pulling a material into a fibre. This
rheological behaviour can be explained by sliding of particles owing to external
forces. Transmission (propagation) of light along microscaled fibres and their
excellent surface morphology suggest that metal oxide nanofibres can be directly
drawn from sol precursors for use in integrated photonic systems.
PMID- 27877404
TI - Configuration and local elastic interaction of ferroelectric domains and misfit
dislocation in PbTiO3/SrTiO3 epitaxial thin films.
AB - We have studied the strain field around the 90 degrees domains and misfit
dislocations in PbTiO3/SrTiO3 (001) epitaxial thin films, at the nanoscale, using
the geometric phase analysis (GPA) combined with high-resolution transmission
electron microscopy (HRTEM) and high-angle annular dark field--scanning
transmission electron microscopy (HAADF-STEM). The films typically contain a
combination of a/c-mixed domains and misfit dislocations. The PbTiO3 layer was
composed from the two types of the a-domain (90 degrees domain): a typical a/c
mixed domain configuration where a-domains are 20-30 nm wide and nano sized
domains with a width of about 3 nm. In the latter case, the nano sized a-domain
does not contact the film/substrate interface; it remains far from the interface
and stems from the misfit dislocation. Strain maps obtained from the GPA of HRTEM
images show the elastic interaction between the a-domain and the dislocations.
The normal strain field and lattice rotation match each other between them.
Strain maps reveal that the a-domain nucleation takes place at the misfit
dislocation. The lattice rotation around the misfit dislocation triggers the
nucleation of the a-domain; the normal strains around the misfit dislocation
relax the residual strain in a-domain; then, the a-domain growth takes place,
accompanying the introduction of the additional dislocation perpendicular to the
misfit dislocation and the dissociation of the dislocations into two pairs of
partial dislocations with an APB, which is the bottom boundary of the a-domain.
The novel mechanism of the nucleation and growth of 90 degrees domain in
PbTiO3/SrTiO3 epitaxial system has been proposed based on above the results.
PMID- 27877405
TI - Bimodal activated carbons derived from resorcinol-formaldehyde cryogels.
AB - Resorcinol-formaldehyde cryogels prepared at different dilution ratios have been
activated with phosphoric acid at 450 degrees C and compared with their
carbonaceous counterparts obtained by pyrolysis at 900 degrees C. Whereas the
latter were, as expected, highly mesoporous carbons, the former cryogels had very
different pore textures. Highly diluted cryogels allowed preparation of
microporous materials with high surface areas, but activation of initially dense
cryogels led to almost non-porous carbons, with much lower surface areas than
those obtained by pyrolysis. The optimal acid concentration for activation,
corresponding to stoichiometry between molecules of acid and hydroxyl groups, was
2 M l-1, and the acid-cryogel contact time also had an optimal value. Such
optimization allowed us to achieve surface areas and micropore volumes among the
highest ever obtained by activation with H3PO4, close to 2200 m2 g-1 and 0.7 cm3
g-1, respectively. Activation of diluted cryogels with a lower acid concentration
of 1.2 M l-1 led to authentic bimodal activated carbons, having a surface area as
high as 1780 m2 g-1 and 0.6 cm3 g-1 of microporous volume easily accessible
through a widely developed macroporosity.
PMID- 27877406
TI - Novel approach to the fabrication of an artificial small bone using a combination
of sponge replica and electrospinning methods.
AB - In this study, a novel artificial small bone consisting of ZrO2-biphasic calcium
phosphate/polymethylmethacrylate-polycaprolactone-hydroxyapatite (ZrO2-BCP/PMMA
PCL-HAp) was fabricated using a combination of sponge replica and electrospinning
methods. To mimic the cancellous bone, the ZrO2/BCP scaffold was composed of
three layers, ZrO2, ZrO2/BCP and BCP, fabricated by the sponge replica method.
The PMMA-PCL fibers loaded with HAp powder were wrapped around the ZrO2/BCP
scaffold using the electrospinning process. To imitate the Haversian canal region
of the bone, HAp-loaded PMMA-PCL fibers were wrapped around a steel wire of 0.3
mm diameter. As a result, the bundles of fiber wrapped around the wires imitated
the osteon structure of the cortical bone. Finally, the ZrO2/BCP scaffold was
surrounded by HAp-loaded PMMA-PCL composite bundles. After removal of the steel
wires, the ZrO2/BCP scaffold and bundles of HAp-loaded PMMA-PCL formed an
interconnected structure resembling the human bone. Its diameter, compressive
strength and porosity were approximately 12 mm, 5 MPa and 70%, respectively, and
the viability of MG-63 osteoblast-like cells was determined to be over 90% by the
MTT (3-(4, 5-dimethylthiazol-2-yl)-2, 5-diphenyltetrazolium bromide) assay. This
artificial bone shows excellent cytocompatibility and is a promising bone
regeneration material.
PMID- 27877407
TI - Thermodynamic criteria for the removal of impurities from end-of-life magnesium
alloys by evaporation and flux treatment.
AB - In this paper, the possibility of removing impurities during magnesium recycling
with pyrometallurgical techniques has been evaluated by using a thermodynamic
analysis. For 25 different elements that are likely to be contained in industrial
magnesium alloys, the equilibrium distribution ratios between the metal, slag and
gas phases in the magnesium remelting process were calculated assuming binary
systems of magnesium and an impurity element. It was found that calcium,
gadolinium, lithium, ytterbium and yttrium can be removed from the remelted end
of-life (EoL) magnesium products by oxidization. Calcium, cerium, gadolinium,
lanthanum, lithium, plutonium, sodium, strontium and yttrium can be removed by
chlorination with a salt flux. However, the other elements contained in magnesium
alloy scrap are scarcely removed and this may contribute toward future
contamination problems. The third technological option for the recycling of EoL
magnesium products is magnesium recovery by a distillation process. Based on
thermodynamic considerations, it is predicted that high-purity magnesium can be
recovered through distillation because of its high vapor pressure, yet there is a
limit on recoverability that depends on the equilibrium vapor pressure of the
alloying elements and the large energy consumption. Therefore, the sustainable
recycling of EoL magnesium products should be an important consideration in the
design of advanced magnesium alloys or the development of new refining processes.
PMID- 27877408
TI - Focus on Materials Nanoarchitectonics.
PMID- 27877410
TI - Fabrication and textural characterization of nanoporous carbon electrodes
embedded with CuO nanoparticles for supercapacitors.
AB - We introduce a novel strategy of fabricating nanoporous carbons loaded with
different amounts of CuO nanoparticles via a hard templating approach, using
copper-containing mesoporous silica as the template and sucrose as the carbon
source. The nature and dispersion of the CuO nanoparticles on the surface of the
nanoporous carbons were investigated by x-ray diffraction (XRD), high-resolution
scanning electron microscopy (HRSEM) and high-resolution transmission electron
microscopy (HRTEM). XRD results reveal that nanoporous carbons with embedded CuO
nanoparticles exhibit a well-ordered mesoporous structure, whereas the nitrogen
adsorption measurements indicate the presence of excellent textural
characteristics such as high surface area, large pore volume and uniform pore
size distribution. The amount of CuO nanoparticles in the nanochannels of the
nanoporous carbon could be controlled by simply varying the Si/Cu molar ratio of
the mesoporous silica template. Morphological characterization by SEM and TEM
reveals that high-quality CuO nanoparticles are distributed homogeneously within
the nanoporous carbon framework. The supercapacitance behavior of the CuO-loaded
nanoporous carbons was investigated. The material with a small amount of CuO in
the mesochannels and high surface area affords a maximum specific capacitance of
300 F g-1 at a 20 mV s-1 scan rate in an aqueous electrolyte solution. A
supercapacitor containing the CuO-loaded nanoporous carbon is highly stable and
exhibits a long cycle life with 91% specific capacitance retained after 1000
cycles.
PMID- 27877411
TI - Physical and mechanical properties of highly textured polycrystalline Nb4AlC3
ceramic.
AB - Highly textured polycrystalline Nb4AlC3 ceramic was fabricated by slip casting in
a strong magnetic field followed by spark plasma sintering. Its Lotgering
orientation factor was determined on the textured top and side surfaces as f(00l)
~1.0 and f(hk0)=0.36, respectively. This ceramic showed layered microstructure at
the scales ranging from nanometers to millimeters. The as-prepared ceramic had
excellent anisotropic physical properties. Along the c-axis direction, it showed
higher hardness, bending strength, and fracture toughness of 7.0 GPa, 881 MPa and
14.1 MPa m1/2, respectively, whereas higher values of electrical conductivity
(0.81*106 Omega-1 m-1), thermal conductivity (21.20 W m-1 K-1) and Young's
modulus (365 GPa) were obtained along the a- or b-axis direction.
PMID- 27877409
TI - A bona fide two-dimensional percolation model: an insight into the optimum
photoactivator concentration in La2/3-x Eu x Ta2O7 nanosheets.
AB - La-Eu solid solution nanosheets La2/3-x Eu x Ta2O7 have been synthesized, and
their photoluminescence properties have been investigated. La2/3-x Eu x Ta2O7
nanosheets were prepared from layered perovskite compounds Li2La2/3-x Eu x Ta2O7
as the precursors by soft chemical exfoliation reactions. Both the precursors and
the exfoliated nanosheets exhibit a decrease in intralayer lattice parameters as
the Eu contents increase. However, there is a discontinuity in this trend between
the nominal Eu content ranges x<= 0.3 and x >= 0.4. This discontinuity is
attributed to the difference in degree of TaO6 octahedra tilting for the La- and
Eu-rich phases. La2/3-x Eu x Ta2O7 nanosheets exhibit red emission,
characteristic of the f-f transitions in Eu3+ photoactivators. The
photoluminescence emission can be obtained from both host and direct
photoactivator excitation. However, photoluminescence emission through host
excitation is much more dominant than that through direct photoactivator
excitation, and this behavior is consistent with that of all the other rare-earth
photoactivated nanosheets reported previously. The absolute photoluminescence
quantum efficiency of the La2/3-x Eu x Ta2O7 nanosheets increases as the
experimentally determined Eu contents increase up to x=0.45 and decrease above
it. This result is in good agreement with the optimum photoactivator
concentration expected from the percolation theory. These solid solution La2/3-x
Eu x Ta2O7 nanosheets are excellent models for validating the theory of optimum
photoactivator concentration in the truly two-dimensional photoactivator matrix.
PMID- 27877412
TI - Nanoarchitectonics of a Au nanoprism array on WO3 film for synergistic
optoelectronic response.
AB - A layered photoelectrode consisting of a conductive indium tin oxide substrate, a
WO3 nanocrystalline film and an array of Au nanoprisms was fabricated via a
multistep process. Scanning electron microscopy and atomic force microscopy
showed that the Au nanoprisms had a uniform size and shape and formed periodic
hexagonal patterns on the WO3 film. The optical absorption of the photoelectrode
combined the intrinsic absorption of WO3 and plasmonic absorption of Au. Using
this photoelectrode, we investigated the effect of the Au nanoprism array on the
optoelectronic conversion performance of the WO3 film. Photoelectrochemical
measurement indicated that the array substantially enhanced the photocurrent in
the WO3 film. Electrochemical impedance measurements revealed that the Schottky
junctions formed between Au and WO3 can facilitate the separation of
photogenerated carriers as well as the interfacial carrier transfer. In this
study, we demonstrate that covering a semiconductor with plasmonic noble metal
nanoparticles can improve its optoelectronic conversion efficiency.
PMID- 27877413
TI - Thermal stability of carbon nanotubes probed by anchored tungsten nanoparticles.
AB - The thermal stability of multiwalled carbon nanotubes (CNTs) was studied in high
vacuum using tungsten nanoparticles as miniaturized thermal probes. The particles
were placed on CNTs inside a high-resolution transmission electron microscope
equipped with a scanning tunneling microscope unit. The setup allowed
manipulating individual nanoparticles and heating individual CNTs by applying
current to them. CNTs were found to withstand high temperatures, up to the
melting point of 60-nm-diameter W particles (~3400 K). The dynamics of W
particles on a hot CNT, including particle crystallization, quasimelting,
melting, sublimation and intradiffusion, were observed in real time and recorded
as a video. Graphite layers reel off CNTs when melted or premelted W particles
revolve along the tube axis.
PMID- 27877414
TI - Construction of multilayers of bare and Pd modified gold nanoclusters and their
electrocatalytic properties for oxygen reduction.
AB - Multilayers of gold nanoclusters (GNCs) coated with a thin Pd layer were
constructed using GNCs modified with self-assembled monolayers (SAMs) of
mercaptoundecanoic acid and a polyallylamine hydrochloride (PAH) multilayer
assembly, which has been reported to act as a three-dimensional electrode. SAMs
were removed from GNCs by electrochemical anodic decomposition and then a small
amount of Pd was electrochemically deposited on the GNCs. The kinetics of the
oxygen reduction reaction (ORR) on the Pd modified GNC/PAH multilayer assembly
was studied using a rotating disk electrode, and a significant increase in the
ORR rate was observed after Pd deposition. Electrocatalytic activities in
alkaline and acidic solutions were compared both for the GNC multilayer electrode
and Pd modified GNC electrode.
PMID- 27877415
TI - Gd@C82 metallofullerenes for neutron capture therapy-fullerene solubilization by
poly(ethylene glycol)-block-poly(2-(N, N-diethylamino)ethyl methacrylate) and
resultant efficacy in vitro.
AB - Poly(ethylene glycol)-block-poly(2-(N,N-diethylamino)ethyl methacrylate) (PEG-b
PAMA) was found to solubilize fullerenes such as C60, and this technique was
applied to metallofullerenes. Gd@C82 was easily dissolved in water in the
presence of PEG-b-PAMA without any covalent derivatization, forming a transparent
complex about 20-30 nm in diameter. Low cytotoxicity was confirmed in vitro.
Neutron irradiation of cultured cells (colon-26 adenocarcinoma) with Gd@C82-PEG-b
PAMA-complexed nanoparticles showed effective cytotoxicity, indicating the
effective emission of gamma rays and internal conversion electrons produced from
the neutron capture reaction of Gd. This result suggests a potentially valuable
approach to gadolinium-based neutron capture therapy.
PMID- 27877416
TI - Dynamic culture substrate that captures a specific extracellular matrix protein
in response to light.
AB - The development of methods for the off-on switching of immobilization or
presentation of cell-adhesive peptides and proteins during cell culture is
important because such surfaces are useful for the analysis of the dynamic
processes of cell adhesion and migration. This paper describes a chemically
functionalized gold substrate that captures a genetically tagged extracellular
matrix protein in response to light. The substrate was composed of mixed self
assembled monolayers (SAMs) of three disulfide compounds containing (i) a
photocleavable poly(ethylene glycol) (PEG), (ii) nitrilotriacetic acid (NTA) and
(iii) hepta(ethylene glycol) (EG7). Although the NTA group has an intrinsic high
affinity for oligohistidine tag (His-tag) sequences in its Ni2+-ion complex, the
interaction was suppressed by the steric hindrance of coexisting PEG on the
substrate surface. Upon photoirradiation of the substrate to release the PEG
chain from the surface, this interaction became possible and hence the protein
was captured at the irradiated regions, while keeping the non-specific adsorption
of non-His-tagged proteins blocked by the EG7 underbrush. In this way, we
selectively immobilized a His-tagged fibronectin fragment (FNIII7-10) to the
irradiated regions. In contrast, when bovine serum albumin-a major serum protein
was added as a non-His-tagged protein, the surface did not permit its capture,
with or without irradiation. In agreement with these results, cells were
selectively attached to the irradiated patterns only when a His-tagged FNIII7-10
was added to the medium. These results indicate that the present method is useful
for studying the cellular behavior on the specific extracellular matrix protein
in cell-culturing environments.
PMID- 27877417
TI - Surface design with self-heating smart polymers for on-off switchable traps.
AB - We have developed a novel self-heating, temperature-responsive chromatography
system for the effective separation of biomolecules. Temperature-responsive
poly(N-isopropylacrylamide-co-N-hydroxymethylacrylamide), poly(NIPAAm-co-HMAAm),
was covalently grafted onto the surface of magnetite/silica composites as 'on
off' switchable surface traps. The lower critical solution temperature (LCST) of
the poly(NIPAAm-co-HMAAm)s was controlled from 35 to 55 degrees C by varying the
HMAAm content. Using the heat generated by magnetic particles in an alternating
magnetic field (AMF) we were able to induce the hydrophilic to hydrophobic phase
separation of the grafted temperature-responsive polymers. To assess the
feasibility of the poly(NIPAAm-co-HMAAm)-grafted magnetite/silica particles as
the stationary phase for chromatography, we packed the particles into the glass
column of a liquid chromatography system and analyzed the elusion profiles for
steroids. The retention time for hydrophobic steroids markedly increased in the
AMF, because the hydrophobic interaction was enhanced via self-heating of the
grafted magnetite/silica particles, and this effect could be controlled by
changing the AMF irradiation time. Turning off the AMF shortened the total
analysis time for steroids. The proposed system is useful for separating
bioactive compounds because their elution profiles can be easily controlled by an
AMF.
PMID- 27877418
TI - Local distortions in multiferroic BiMnO3 as a function of doping.
AB - The structure of doped BiMnO3 was studied using synchrotron x-ray powder
diffraction. The dopants included isovalent magnetic and non-magnetic ions in the
magnetic Mn sublattice (BiMn1-xMx O3 with M=Cr, Fe and Ga), isovalent ions in the
Bi sublattice (Bi0.9La0.1MnO3) and isovalent self-dopants (Bi0.95MnO2.925). The
results indicate that at low doping levels, the orbitally ordered structure
(C2/c(I)) of BiMnO3 persists with strong Jahn-Teller distortions of two Mn1O6 and
Mn2O6 octahedra (e.g. in BiMn0.95Ga0.05O3 and Bi0.95MnO2.925); the distortion
parameters of the Mn1O6 and Mn2O6 octahedra are noticeably smaller in
BiMn0.95Ga0.05O3 and Bi0.95MnO2.925 than in BiMnO3. At higher doping levels, the
orbitally disordered structure (C2/c(II)) of BiMnO3 is realized with almost
identical Mn-O bond lengths for one of the Mn1O6 or Mn2O6 octahedra (e.g. in
BiMn0.85Cr0.15O3 and BiMn0.85Fe0.15O3). BiMn0.85Fe0.15O3 shows some anomalies in
the distortion of the MnO6 octahedra and in the magnetic properties. Rather
strong Jahn-Teller distortions were found for both the Mn1O6 and Mn2O6 octahedra
in Bi0.9La0.1MnO3. The Mn1O6 and Mn2O6 octahedra were compressed along one
direction in Bi0.9La0.1MnO3 whereas the octahedra were elongated in BiMnO3.
Magnetic measurements indicate that Bi0.9La0.1MnO3 adopts the orbitally
disordered C2/c(II) structure. The orbitally ordered state of BiMnO3 was found to
be very fragile to any type of doping. However, as long as the orbitally ordered
phase persists, the ferromagnetic transition temperature remains almost the same.
PMID- 27877419
TI - Nanoscale synthesis and characterization of graphene-based objects.
AB - Graphene-based nano-objects such as nanotrenches, nanowires, nanobelts and
nanoscale superstructures have been grown by surface segregation and
precipitation on carbon-doped mono- and polycrystalline nickel substrates in
ultrahigh vacuum. The dominant morphologies of the nano-objects were nanowire and
nanosheet. Nucleation of graphene sheets occurred at surface defects such as step
edges and resulted in the directional growth of nanowires. Surface analysis by
scanning tunneling microscopy (STM) has clarified the structure and functionality
of the novel nano-objects at atomic resolution. Nanobelts were detected
consisting of bilayer graphene sheets with a nanoscale width and a length of
several microns. Moire patterns and one-dimensional reconstruction were observed
on multilayer graphite terraces. As a useful functionality, application to
repairable high-resolution STM probes is demonstrated.
PMID- 27877420
TI - Metal-assisted chemical etching using sputtered gold: a simple route to black
silicon.
AB - We report an accessible and simple method of producing 'black silicon' with
aspect ratios as high as 8 using common laboratory equipment. Gold was sputtered
to a thickness of 8 nm using a low-vacuum sputter coater. The structures were
etched into silicon substrates using an aqueous H2O2/HF solution, and the gold
was then removed using aqua regia. Ultrasonication was necessary to produce
columnar structures, and an etch time of 24 min gave a velvety, non-reflective
surface. The surface features after 24 min etching were uniformly microstructured
over an area of square centimetres.
PMID- 27877421
TI - Electrically tunable sign of capacitance in planar W-doped vanadium dioxide micro
switches.
AB - Negative capacitance (NC) in a planar W-doped VO2 micro-switch was observed at
room temperature in the low-frequency range 1 kHz-10 MHz. The capacitance changed
from positive to negative values as the W-doped VO2 active layer switched from
semiconducting to metallic state under applied voltage. In addition, a
capacitance-voltage hysteresis was observed as the applied voltage was cycled
from -35 to 35 V. These observations suggest that NC results from the increase of
the electrically induced conductivity in the active layer. This NC phenomenon
could be exploited in advanced multifunctional devices including ultrafast
switches, field-effect transistors and memcapacitive systems.
PMID- 27877422
TI - Control of the pore architecture in three-dimensional hydroxyapatite-reinforced
hydrogel scaffolds.
AB - Hydrogels (gellan or agarose) reinforced with nanocrystalline carbonated
hydroxyapatite (nCHA) were prepared by the GELPOR3D technique. This simple method
is characterized by compositional flexibility; it does not require expensive
equipment, thermal treatment, or aggressive or toxic solvents, and yields a three
dimensional (3D) network of interconnected pores 300-900 MUm in size. In
addition, an interconnected porosity is generated, yielding a hierarchical porous
architecture from the macro to the molecular scale. This porosity depends on both
the drying/preservation technology (freeze drying or oven drying at 37 ?C) and on
the content and microstructure of the reinforcing ceramic. For freeze-dried
samples, the porosities were approximately 30, 66 and below 3% for pore sizes of
600-900 MUm, 100-200 MUm and 50-100 nm, respectively. The pore structure depends
much on the ceramic content, so that higher contents lead to the disappearance of
the characteristic honeycomb structure observed in low-ceramic scaffolds and to a
lower fraction of the 100-200-MUm-sized pores. The nature of the hydrogel did not
affect the pore size distribution but was crucial for the behavior of the
scaffolds in a hydrated medium: gellan-containing scaffolds showed a higher
swelling degree owing to the presence of more hydrophilic groups.
PMID- 27877423
TI - Acoustic emission monitoring of activation behavior of LaNi5 hydrogen storage
alloy.
AB - The acoustic emission technique is proposed for assessing the irreversible
phenomena occurring during hydrogen absorption/desorption cycling in LaNi5. In
particular, we have studied, through a parametric analysis of in situ detected
signals, the correlation between acoustic emission (AE) parameters and the
processes occurring during the activation of an intermetallic compound. Decreases
in the number and amplitude of AE signals suggest that pulverization due to
hydrogen loading involves progressively smaller volumes of material as the number
of cycles increases. This conclusion is confirmed by electron microscopy
observations and particle size distribution measurements.
PMID- 27877424
TI - Block-copolymer-assisted synthesis of hydroxyapatite nanoparticles with high
surface area and uniform size.
AB - We report the synthesis of hydroxyapatite nanoparticles (HANPs) by the
coprecipitation method using calcium D-gluconate and potassium hydrogen phosphate
as the sources of calcium and phosphate ions, respectively, and the triblock
copolymer F127 as a stabilizer. The HANPs were characterized using scanning
electron microscopy, x-ray diffraction, and nitrogen adsorption/desorption
isotherms. Removal of F127 by solvent extraction or calcination alters the
structure of HANPs. The solvent-extracted HANPs were single crystals with their
<001> axis oriented along the rod axis of the HANP, whereas the calcined HANPs
contained two crystal phases that resulted in a spherical morphology. The
calcined HANPs had much higher surface area (127 m2 g-1) than the solvent
extracted HANPs (44 m2 g-1).
PMID- 27877426
TI - Focus on Combinatorial Materials Science.
PMID- 27877425
TI - Biopolymer-based nanocomposites: effect of lignin acetylation in cellulose
triacetate films.
AB - We have prepared all-biopolymer nanocomposite films using lignin as a filler and
cellulose triacetate (CTA) as a polymer matrix, and characterized them by several
analytical methods. Three types of lignin were tested: organosolv, hydrolytic and
kraft, with or without acetylation. They were used in the form of nanoparticles
incorporated at 1 wt% in CTA. Self-supported films were prepared by vapor-induced
phase separation at controlled temperature (35-55 degrees C) and relative
humidity (10-70%). The efficiency of acetylation of each type of lignin was
studied and discussed, as well as its effects on film structure, homogeneity and
mechanical properties. The obtained results are explained in terms of
intermolecular filler-matrix interaction at the nanometer scale, for which the
highest mechanical resistance was reached using hydrolytic lignin in the
nanocomposite.
PMID- 27877428
TI - High-throughput characterization of film thickness in thin film materials
libraries by digital holographic microscopy.
AB - A high-throughput characterization technique based on digital holography for
mapping film thickness in thin-film materials libraries was developed. Digital
holographic microscopy is used for fully automatic measurements of the thickness
of patterned films with nanometer resolution. The method has several significant
advantages over conventional stylus profilometry: it is contactless and fast,
substrate bending is compensated, and the experimental setup is simple. Patterned
films prepared by different combinatorial thin-film approaches were characterized
to investigate and demonstrate this method. The results show that this technique
is valuable for the quick, reliable and high-throughput determination of the film
thickness distribution in combinatorial materials research. Importantly, it can
also be applied to thin films that have been structured by shadow masking.
PMID- 27877427
TI - High-throughput technology for novel SO2 oxidation catalysts.
AB - We review the state of the art and explain the need for better SO2 oxidation
catalysts for the production of sulfuric acid. A high-throughput technology has
been developed for the study of potential catalysts in the oxidation of SO2 to
SO3. High-throughput methods are reviewed and the problems encountered with their
adaptation to the corrosive conditions of SO2 oxidation are described. We show
that while emissivity-corrected infrared thermography (ecIRT) can be used for
primary screening, it is prone to errors because of the large variations in the
emissivity of the catalyst surface. UV-visible (UV-Vis) spectrometry was selected
instead as a reliable analysis method of monitoring the SO2 conversion.
Installing plain sugar absorbents at reactor outlets proved valuable for the
detection and quantitative removal of SO3 from the product gas before the UV-Vis
analysis. We also overview some elements used for prescreening and those
remaining after the screening of the first catalyst generations.
PMID- 27877429
TI - A novel high-throughput fatigue testing method for metallic thin films.
AB - Thin films are used in a wide variety of computing and communication applications
although their fatigue behavior and its dependence on alloying elements are not
very well known. In this paper, we present an experimental implementation of a
novel high-throughput fatigue testing method for metallic thin films. The
methodology uses the fact that the surface strain amplitude of a vibrating
cantilever decreases linearly from the fixed end to the free end. Therefore, a
thin film attached to a vibrating cantilever will experience a gradient of strain
and corresponding stress amplitudes along the cantilever. Each cantilever can be
used to extract a lifetime diagram by measuring the fatigue-induced damage front
that progresses along the cantilever during up to 108 load cycles.
PMID- 27877430
TI - Charge-discharge properties of a layered-type Li(Ni,Co,Ti)O2 powder library.
AB - A powder library of layered Li(Ni,Co,Ti)O2 (Ni <= 0.8, Ti <= 0.2) compounds was
prepared by electrostatic spray deposition. From powder x-ray diffraction
patterns, most of the powder library sintered at 700 ?C was indexed as a single
phase belonging to the space group R[Formula: see text]m. These results were
almost identical to those obtained from a study by combinatorial exploration. We
investigated the charge-discharge characteristics of the Li(Ni,Co,Ti)O2 powder
library in a voltage range from 4.2 to 2.8 V at 1 C and found favorable cycling
properties in the LiNi x Co0.9-x Ti0.1O2 (0 <=x <= 0.6) compounds.
PMID- 27877431
TI - High-throughput CW-IR laser deposition and laser microscope imaging of binary
ionic liquids in vacuum.
AB - A combinatorial library of binary mixtures of ionic liquids with various mixing
ratios was fabricated on a single sapphire substrate using the composition-spread
technique combined with a continuous-wave infrared (CW-IR) laser deposition
method; the mixtures were condensed in the form of micro-scale droplets. The
mixing ratio within the droplets was examined by Raman spectroscopy. The contact
angle of the droplets was found to systematically vary with the mixing ratio.
Their thermal behavior was characterized with an ultrahigh-vacuum laser
microscope, revealing the dependence of the evaporation rate on the mixing ratio.
PMID- 27877432
TI - Combinatorial synthesis of phosphors using arc-imaging furnace.
AB - We have applied a novel 'melt synthesis technique' rather than a conventional
solid-state reaction to rapidly synthesize phosphor materials. During a
synthesis, the mixture of oxides or their precursors is melted by light pulses
(10-60 s) in an arc-imaging furnace on a water-cooled copper hearth to form a
globule of 1-5 mm diameter, which is then rapidly cooled by turning off the
light. Using this method, we synthesized several phosphor compounds including
Y3Al5O12:Ce(YAG) and SrAl2O4:Eu,Dy. Complex phosphor oxides are difficult to
produce by conventional solid-state reaction techniques because of the slow
reaction rates among solid oxides; as a result, the oxides form homogeneous
compounds or solid solutions. On the other hand, melt reactions are very fast (10
60 s) and result in homogeneous compounds owing to rapid diffusion and mixing in
the liquid phase. Therefore, melt synthesis techniques are suitable for preparing
multi component homogeneous compounds and solid solutions.
PMID- 27877433
TI - Modular high-throughput test stand for versatile screening of thin-film materials
libraries.
AB - Versatile high-throughput characterization tools are required for the development
of new materials using combinatorial techniques. Here, we describe a modular,
high-throughput test stand for the screening of thin-film materials libraries,
which can carry out automated electrical, magnetic and magnetoresistance
measurements in the temperature range of -40 to 300 degrees C. As a proof of
concept, we measured the temperature-dependent resistance of Fe-Pd-Mn
ferromagnetic shape-memory alloy materials libraries, revealing reversible
martensitic transformations and the associated transformation temperatures.
Magneto-optical screening measurements of a materials library identify
ferromagnetic samples, whereas resistivity maps support the discovery of new
phases. A distance sensor in the same setup allows stress measurements in
materials libraries deposited on cantilever arrays. A combination of these
methods offers a fast and reliable high-throughput characterization technology
for searching for new materials. Using this approach, a composition region has
been identified in the Fe-Pd-Mn system that combines ferromagnetism and
martensitic transformation.
PMID- 27877434
TI - A combinatorial characterization scheme for high-throughput investigations of
hydrogen storage materials.
AB - In order to increase measurement throughput, a characterization scheme has been
developed that accurately measures the hydrogen storage properties of materials
in quantities ranging from 10 ng to 1 g. Initial identification of promising
materials is realized by rapidly screening thin-film composition spread and
thickness wedge samples using normalized IR emissivity imaging. The hydrogen
storage properties of promising samples are confirmed through measurements on
single-composition films with high-sensitivity (resolution <0.3 MUg) Sievert's
type apparatus. For selected samples, larger quantities of up to ~100 mg may be
prepared and their (de)hydrogenation and micro-structural properties probed via
parallel in situ Raman spectroscopy. Final confirmation of the hydrogen storage
properties is obtained on ~1 g powder samples using a combined Raman
spectroscopy/Sievert's apparatus.
PMID- 27877435
TI - Combinatorial investigation of Fe-B thin-film nanocomposites.
AB - Combinatorial magnetron sputter deposition from elemental targets was used to
create Fe-B composition spread type thin film materials libraries on thermally
oxidized 4-in. Si wafers. The materials libraries consisting of wedge-type
multilayer thin films were annealed at 500 or 700 degrees C to transform the
multilayers into multiphase alloys. The libraries were characterized by nuclear
reaction analysis, Rutherford backscattering, nanoindentation, vibrating sample
magnetometry, x-ray diffraction (XRD) and transmission electron microscopy (TEM).
Young's modulus and hardness values were related to the annealing parameters,
structure and composition of the films. The magnetic properties of the films were
improved by annealing in a H2 atmosphere, showing a more than tenfold decrease in
the coercive field values in comparison to those of the vacuum-annealed films.
The hardness values increased from 8 to 18 GPa when the annealing temperature was
increased from 500 to 700 degrees C. The appearance of Fe2B phases, as revealed
by XRD and TEM, had a significant effect on the mechanical properties of the
films.
PMID- 27877436
TI - Screening of metal flux for SiC solution growth by a thin-film combinatorial
method.
AB - 4H-SiC is a wide-bandgap semiconductor with potential applications in power
devices. The lack of a liquid phase in SiC hinders conventional crystal growth
from the melt; consequently, SiC wafers still have low quality and are nearly 100
times more expensive than Si wafers. To take advantage of the solution growth for
improving the quality and reducing the cost of SiC, Ni addition to Si-Ti flux has
been investigated. A combinatorial approach was employed to accelerate the
screening of metal flux for the SiC solution growth.
PMID- 27877437
TI - Development of compact CW-IR laser deposition system for high-throughput growth
of organic single crystals.
AB - We developed a compact continuous-wave infrared (CW-IR) laser deposition system
for the high-throughput growth of organic single crystals. In this system, two CW
IR lasers are used for the sample heating and thermal evaporation of materials.
The CW-IR laser heating is simple and allows good control of the deposition rate
and growth temperature, in response to the on/off laser switching. Six samples
can be loaded simultaneously in a chamber, which allows one-by-one sequential
deposition for high-throughput experiments, without breaking the vacuum. Using
this setup, we studied the effect of ionic liquids on the growth of C60 crystals
in vacuum.
PMID- 27877438
TI - Chemiluminescence analyzer of NO x as a high-throughput screening tool in
selective catalytic reduction of NO.
AB - A chemiluminescence-based analyzer of NO x gas species has been applied for high
throughput screening of a library of catalytic materials. The applicability of
the commercial NO x analyzer as a rapid screening tool was evaluated using
selective catalytic reduction of NO gas. A library of 60 binary alloys composed
of Pt and Co, Zr, La, Ce, Fe or W on Al2O3 substrate was tested for the
efficiency of NO x removal using a home-built 64-channel parallel and sequential
tubular reactor. The NO x concentrations measured by the NO x analyzer agreed
well with the results obtained using micro gas chromatography for a reference
catalyst consisting of 1 wt% Pt on gamma-Al2O3. Most alloys showed high
efficiency at 275 degrees C, which is typical of Pt-based catalysts for
selective catalytic reduction of NO. The screening with NO x analyzer allowed to
select Pt-Ce(X) (X=1-3) and Pt-Fe(2) as the optimal catalysts for NO x removal:
73% NO x conversion was achieved with the Pt-Fe(2) alloy, which was much better
than the results for the reference catalyst and the other library alloys. This
study demonstrates a sequential high-throughput method of practical evaluation of
catalysts for the selective reduction of NO.
PMID- 27877439
TI - Monodisperse colloidal spheres for (Y,Eu)2O3 red-emitting phosphors:
establishment of processing window and size-dependent luminescence behavior.
AB - The urea-based homogeneous precipitation method was introduced in the preparation
of monodisperse colloidal spheres for (Y0.95Eu0.05)2O3 red-emitting phosphors,
and the processing window was defined. Particle size and shape are significantly
affected by the ion concentration and the urea/RE3+ molar ratio R
(RE3+=Y3++Eu3+). A low ion concentration is beneficial in forming monodisperse
spheres and extending their formation domain. Increasing R results in a gradual
change in the composition of spherical particles from the core-shell
Eu(OH)CO3@Y(OH)CO3 structure to a homogeneous solid solution, thereby
significantly lowering the calcination temperature at which precursors convert to
oxides. Upon UV excitation into the charge-transfer band at 254 nm, the uniform
phosphor spheres of (Y0.95Eu0.05)2O3 exhibit typical red emissions at 613 nm; the
emission is stronger from larger particles mainly because of their smaller
surface area. Both the luminescence intensity and quantum efficiency of the oxide
phosphors increase with elevated calcination temperatures. The spherical shape
and excellent dispersion of the precursor particles (~450 nm in diameter) have
been well retained after calcination at 1000 ?C for 4 h, and the resultant oxide
phosphors exhibit external and internal quantum efficiencies of 50 and 82%,
respectively.
PMID- 27877440
TI - Physical characterization of functionalized spider silk: electronic and sensing
properties.
AB - This work explores functional, fundamental and applied aspects of naturally
harvested spider silk fibers. Natural silk is a protein polymer where different
amino acids control the physical properties of fibroin bundles, producing, for
example, combinations of beta-sheet (crystalline) and amorphous (helical)
structural regions. This complexity presents opportunities for functional
modification to obtain new types of material properties. Electrical conductivity
is the starting point of this investigation, where the insulating nature of neat
silk under ambient conditions is described first. Modification of the
conductivity by humidity, exposure to polar solvents, iodine doping, pyrolization
and deposition of a thin metallic film are explored next. The conductivity
increases exponentially with relative humidity and/or solvent, whereas only an
incremental increase occurs after iodine doping. In contrast, iodine doping,
optimal at 70 degrees C, has a strong effect on the morphology of silk bundles
(increasing their size), on the process of pyrolization (suppressing mass loss
rates) and on the resulting carbonized fiber structure (that becomes more robust
against bending and strain). The effects of iodine doping and other functional
parameters (vacuum and thin film coating) motivated an investigation with magic
angle spinning nuclear magnetic resonance (MAS-NMR) to monitor doping-induced
changes in the amino acid-protein backbone signature. MAS-NMR revealed a moderate
effect of iodine on the helical and beta-sheet structures, and a lesser effect of
gold sputtering. The effects of iodine doping were further probed by Fourier
transform infrared (FTIR) spectroscopy, revealing a partial transformation of
beta-sheet-to-amorphous constituency. A model is proposed, based on the findings
from the MAS-NMR and FTIR, which involves iodine-induced changes in the silk
fibroin bundle environment that can account for the altered physical properties.
Finally, proof-of-concept applications of functionalized spider silk are
presented for thermoelectric (Seebeck) effects and incandescence in iodine-doped
pyrolized silk fibers, and metallic conductivity and flexibility of micron-sized
gold-sputtered silk fibers. In the latter case, we demonstrate the application of
gold-sputtered neat spider silk to make four-terminal, flexible, ohmic contacts
to organic superconductor samples.
PMID- 27877441
TI - Effect of sintering temperature on optical properties and microstructure of
translucent zirconia prepared by high-pressure spark plasma sintering.
AB - Aiming to characterize the effect of sintering temperature on transparency of
zirconia, we have evaluated the optical properties and microstructure of
translucent cubic zirconia prepared by high-pressure spark plasma sintering (SPS)
at 1000-1200 ?C. Color centers (oxygen vacancies with trapped electrons) and
residual pores were primary defects in the samples. In SPS samples, the total
forward transmittance and in-line transmittance are mainly affected by color
centers with a limited contribution from residual pores; in contrast, the changes
in reflectance are only related to the porosity. The amounts of color centers and
residual pores increase with sintering temperature that reduces the total forward
and in-line transmittance of the as-sintered zirconia. Annealing in oxidizing
atmosphere improves the total forward and in-line transmittance. During the
annealing, the concentration of color centers decreases but the porosity
increases.
PMID- 27877442
TI - Multifunctional ZnO/Nylon 6 nanofiber mats by an electrospinning-electrospraying
hybrid process for use in protective applications.
AB - ZnO/Nylon 6 nanofiber mats were prepared by an electrospinning-electrospraying
hybrid process in which ZnO nanoparticles were dispersed on the surface of Nylon
6 nanofibers without becoming completely embedded. The prepared ZnO/Nylon 6
nanofiber mats were evaluated for their abilities to kill bacteria or inhibit
their growth and to catalytically detoxify chemicals. Results showed that these
ZnO/Nylon 6 nanofiber mats had excellent antibacterial efficiency (99.99%)
against both the Gram-negative Escherichia coli and Gram-positive Bacillus cereus
bacteria. In addition, they exhibited good detoxifying efficiency (95%) against
paraoxon, a simulant of highly toxic chemicals. ZnO/Nylon 6 nanofiber mats were
also deposited onto nylon/cotton woven fabrics and the nanofiber mats did not
significantly affect the moisture vapor transmission rates and air permeability
values of the fabrics. Therefore, ZnO/Nylon 6 nanofiber mats prepared by the
electrospinning-electrospraying hybrid process are promising material candidates
for protective applications.
PMID- 27877443
TI - Electrodeposition of zinc-silica composite coatings: challenges in incorporating
functionalized silica particles into a zinc matrix.
AB - Zinc is a well-known sacrificial coating material for iron and co-deposition of
suitable particles is of interest for further improving its corrosion protection
performance. However, incorporation of particles that are well dispersible in
aqueous electrolytes, such as silica particles, is extremely difficult. Here, we
report a detailed study of Zn-SiO2 nanocomposite coatings deposited from a zinc
sulfate solution at pH 3. The effect of functionalization of the silica particles
on the electro-codeposition was investigated. The best incorporation was achieved
for particles modified with SiO2-SH, dithiooxamide or cysteamine; these particles
have functional groups that can strongly interact with zinc and therefore
incorporate well into the metal matrix. Other modifications (SiO2-NH3+, SiO2-Cl
and N,N-dimethyldodecylamine) of the silica particles lead to adsorption and
entrapment only.
PMID- 27877444
TI - Hybrid nanocomposite based on cellulose and tin oxide: growth, structure, tensile
and electrical characteristics.
AB - A highly flexible nanocomposite was developed by coating a regenerated cellulose
film with a thin layer of tin oxide (SnO2) by liquid-phase deposition. Tin oxide
was crystallized in solution and formed nanocrystal coatings on regenerated
cellulose. The nanocrystalline layers did not exfoliate from cellulose.
Transmission electron microscopy and energy dispersive x-ray spectroscopy suggest
that SnO2 was not only deposited over the cellulose surface, but also nucleated
and grew inside the cellulose film. Current-voltage characteristics of the
nanocomposite revealed that its electrical resistivity decreases with deposition
time, with the lowest value obtained for 24 h of deposition. The cellulose-SnO2
hybrid nanocomposite can be used for biodegradable and disposable chemical,
humidity and biosensors.
PMID- 27877445
TI - S-Nitroso-N-acetyl-D-penicillamine covalently linked to polydimethylsiloxane
(SNAP-PDMS) for use as a controlled photoinitiated nitric oxide release polymer.
AB - Nitric oxide (NO) plays a critical role in the regulation of a wide variety of
physiological processes. It is a potent inhibitor of platelet adhesion and
aggregation, inhibits bacterial adhesion and proliferation, is implicated in
mediating the inflammatory response toward implanted devices, plays a role in
tumor growth and proliferation, and is a neurotransmitter. Herein, we describe
the synthesis and NO-release properties of a modified polydimethylsiloxane that
contains S-nitroso-N-acetyl-D-penicillamine covalently attached to the cross
linking agent (SNAP-DMS). Light from a C503B-BAN-CY0C0461 light-emitting diode
(470 nm) was used as an external trigger to allow precise control over level and
duration of NO release ranging from a surface flux of zero to approximately
3.5*10-10 mol cm-2 min-1. SNAP-PDMS films stored in the dark released NO after
297 days, indicating the long-term stability of SNAP-PDMS.
PMID- 27877446
TI - beta-TCP porous pellets as an orthopaedic drug delivery system: ibuprofen/carrier
physicochemical interactions.
AB - Calcium phosphate bone substitute materials can be loaded with active substances
for in situ, targeted drug administration. In this study, porous beta-TCP pellets
were investigated as an anti-inflammatory drug carrier. Porous beta-TCP pellets
were impregnated with an ethanolic solution of ibuprofen. The effects of contact
time and concentration of ibuprofen solution on drug adsorption were studied. The
ibuprofen adsorption equilibrium time was found to be one hour. The adsorption
isotherms fitted to the Freundlich model, suggesting that the interaction between
ibuprofen and beta-TCP is weak. The physicochemical characterizations of loaded
pellets confirmed that the reversible physisorption of ibuprofen on beta-TCP
pellets is due to Van der Waals forces, and this property was associated with the
100% ibuprofen release.
PMID- 27877447
TI - High-pressure high-temperature synthesis and structure of alpha-tetragonal boron.
AB - Microcrystals of alpha-tetragonal (alpha-t) boron with unit cell parameters
a=9.05077(6) and c=5.13409(6) A and measured density 2.16-2.22 g cm-3 were
obtained by pyrolysis of decaborane B10H14 at pressures of 8-9 GPa and
temperatures of 1100-1600 ?C. The crystal structure is in good agreement with the
model proposed by Hoard et al (1958 J. Am. Chem. Soc.80 4507). However, compared
to the original model, we found small deformations of icosahedra and changes in
the interatomic distances within the unit cell of the synthesized alpha-t boron.
PMID- 27877449
TI - Focus on New Materials Mimicking Nature.
PMID- 27877448
TI - Delayed onset of photochromism in molybdenum oxide films caused by photoinduced
defect formation.
AB - We report the photochromic properties of amorphous MoO3 films deposited by dc
sputtering with different O2 flow rates. The kinetics of film coloration under UV
light irradiation is determined using optical transmission spectroscopy. Changes
in the absorbance and refractive index were derived from the analysis of
transmittance spectra. The absorbance spectra exhibited a growing broad peak
centered around 830 nm, which was induced by the UV irradiation. In the early
stages of irradiation, the absorbance of the films did not change but their
refractive indices did change. This induction time was correlated with the O2
partial pressure during the film deposition, which was controlled by the O2 flow
rate. The origins of this observation are discussed.
PMID- 27877450
TI - The Portevin-Le Chatelier effect: a review of experimental findings.
AB - The Portevin-Le Chatelier (PLC) effect manifests itself as an unstable plastic
flow during tensile tests of some dilute alloys under certain regimes of strain
rate and temperature. The plastic strain becomes localized in the form of bands
which move along a specimen gauge in various ways as the PLC effect occurs.
Because the localization of strain causes degradation of the inherent structural
properties and surface quality of materials, understanding the effect is crucial
for the effective use of alloys. The characteristic behaviors of localized strain
bands and techniques commonly used to study the PLC effect are summarized in this
review. A brief overview of experimental findings, the effect of material
properties and test parameters on the PLC effect, and some discussion on the
mechanisms of the effect are included. Tests for predicting the early failure of
structural materials due to embrittlement induced by the PLC effect are also
discussed.
PMID- 27877451
TI - Porous ceramics mimicking nature-preparation and properties of microstructures
with unidirectionally oriented pores.
AB - Porous ceramics with unidirectionally oriented pores have been prepared by
various methods such as anodic oxidation, templating using wood, unidirectional
solidification, extrusion, etc. The templating method directly replicates the
porous microstructure of wood to prepare porous ceramics, whereas the extrusion
method mimics the microstructures of tracheids and xylems in trees. These two
methods are therefore the main focus of this review as they provide good examples
of the preparation of functional porous ceramics with properties replicating
nature. The well-oriented cylindrical through-hole pores prepared by the
extrusion method using fibers as the pore formers provide excellent permeability
together with high mechanical strength. Examples of applications of these porous
ceramics are given, including their excellent capillary lift of over 1 m height
which could be used to counteract urban heat island phenomena, and other
interesting properties arising from anisotropic unidirectional porous structures.
PMID- 27877452
TI - Monohydrocalcite: a promising remediation material for hazardous anions.
AB - The formation conditions, solubility and stability of monohydrocalcite (MHC,
CaCO3.H2O), as well as sorption behaviors of toxic anions on MHC, are reviewed to
evaluate MHC as a remediation material for hazardous oxyanions. MHC is a rare
mineral in geological settings that occurs in recent sediments in saline lakes.
Water temperature does not seem to be an important factor for MHC formation. The
pH of lake water is usually higher than 8 and the Mg/Ca ratio exceeds 4. MHC
synthesis experiments as a function of time indicate that MHC is formed from
amorphous calcium carbonate and transforms to calcite and/or aragonite. Most
studies show that MHC forms from solutions containing Mg, which inhibits the
formation of stable calcium carbonates. The solubility of MHC is higher than
those of calcite, aragonite and vaterite, but lower than those of ikaite and
amorphous calcium carbonate at ambient temperature. The solubility of MHC
decreases with temperature. MHC is unstable and readily transforms to calcite or
aragonite. The transformation consists of the dissolution of MHC and the
subsequent formation of stable phases from the solution. The rate-limiting steps
of the transformation of MHC are the nucleation and growth of stable crystalline
phases. Natural occurrences indicate that certain additives, particularly PO4 and
Mg, stabilize MHC. Laboratory studies confirm that a small amount of PO4 in
solution (>30 MUM) can significantly inhibit the transformation of MHC. MHC has a
higher sorption capacity for PO4 than calcite and aragonite. The modes of PO4
uptake are adsorption on the MHC surface at moderate phosphate concentrations and
precipitation of secondary calcium phosphate minerals at higher concentrations.
Arsenate is most likely removed from the solution during the transformation of
MHC. The proposed sorption mechanism of arsenate is coprecipitation during
crystallization of aragonite. The arsenic sorption capacity by MHC is
significantly higher than simple adsorption on calcite.
PMID- 27877453
TI - Assembly of cells and vesicles for organ engineering.
AB - The development of materials and technologies for the assembly of cells and/or
vesicles is a key for the next generation of tissue engineering. Since the
introduction of the tissue engineering concept in 1993, various types of
scaffolds have been developed for the regeneration of connective tissues in vitro
and in vivo. Cartilage, bone and skin have been successfully regenerated in
vitro, and these regenerated tissues have been applied clinically. However,
organs such as the liver and pancreas constitute numerous cell types, contain
small amounts of extracellular matrix, and are highly vascularized. Therefore,
organ engineering will require the assembly of cells and/or vesicles. In
particular, adhesion between cells/vesicles will be required for regeneration of
organs in vitro. This review introduces and discusses the key technologies and
materials for the assembly of cells/vesicles for organ regeneration.
PMID- 27877454
TI - Tunable structural color in organisms and photonic materials for design of
bioinspired materials.
AB - In this paper, the key topics of tunable structural color in biology and material
science are overviewed. Color in biology is considered for selected groups of
tropical fish, octopus, squid and beetle. It is caused by nanoplates in
iridophores and varies with their spacing, tilting angle and refractive index.
These examples may provide valuable hints for the bioinspired design of photonic
materials. 1D multilayer films and 3D colloidal crystals with tunable structural
color are overviewed from the viewpoint of advanced materials. The tunability of
structural color by swelling and strain is demonstrated on an example of opal
composites.
PMID- 27877455
TI - Geomaterials: their application to environmental remediation.
AB - Geomaterials are materials inspired by geological systems originating from the
billion years long history of the Earth. This article reviews three important
classes of geomaterials. The first one is smectites-layered silicates with a
cation-exchange capacity. Smectites are useful for removing pollutants and as
intercalation compounds, catalysts and polymer nanocomposites. The second class
is layered double hydroxides (LDHs). They have an anion-exchange capacity and are
used as catalysts, catalyst precursors, sorbents and scavengers for halogens. The
third class of geomaterials is zeolites-microporous materials with a cation
exchange capacity which are used for removing harmful cations. Zeolite composites
with LDHs can absorb ammonium and phosphate ions in rivers and lakes, whereas
zeolite/apatite composites can immobilize the radioactive iodine. These
geomaterials are essential for environmental remediation.
PMID- 27877457
TI - Hierarchical structures of ZnO spherical particles synthesized solvothermally.
AB - We review the solvothermal synthesis, using a mixture of ethylene glycol (EG) and
water as the solvent, of zinc oxide (ZnO) particles having spherical and flower
like shapes and hierarchical nanostructures. The preparation conditions of the
ZnO particles and the microscopic characterization of the morphology are
summarized. We found the following three effects of the ratio of EG to water on
the formation of hierarchical structures: (i) EG restricts the growth of ZnO
microcrystals, (ii) EG promotes the self-assembly of small crystallites into
spheroidal particles and (iii) the high water content of EG results in hollow
spheres.
PMID- 27877456
TI - Antifouling properties of hydrogels.
AB - Marine sessile organisms easily adhere to submerged solids such as rocks, metals
and plastics, but not to seaweeds and fishes, which are covered with soft and wet
'hydrogel'. Inspired by this fact, we have studied long-term antifouling
properties of hydrogels against marine sessile organisms. Hydrogels, especially
those containing hydroxy group and sulfonic group, show excellent antifouling
activity against barnacles both in laboratory assays and in the marine
environment. The extreme low settlement on hydrogels in vitro and in vivo is
mainly caused by antifouling properties against the barnacle cypris.
PMID- 27877459
TI - Material design and structural color inspired by biomimetic approach.
AB - Generation of structural color is one of the essential functions realized by
living organisms, and its industrial reproduction can result in numerous
applications. From this viewpoint, the mechanisms, materials, analytical methods
and fabrication technologies of the structural color are reviewed in this paper.
In particular, the basic principles of natural photonic materials, the ideas
developed from these principles, the directions of applications and practical
industrial realizations are presented by summarizing the recent research results.
PMID- 27877458
TI - X-ray diffraction as a promising tool to characterize bone nanocomposites.
AB - To understand the characteristics of bone at the tissue level, the structure,
organization and mechanical properties of the underlying levels down to the
nanoscale as well as their mutual interactions need to be investigated. Such
information would help understand changes in the bone properties including
stiffness, strength and toughness and provide ways to assess the aged and
diseased bones and the development of next generation of bio-inspired materials.
X-ray diffraction techniques have gained increased interest in recent years as
useful non-destructive tools for investigating the nanostructure of bone. This
review provides an overview on the recent progress in this field and briefly
introduces the related experimental approach. The application of x-ray
diffraction to elucidating the structural and mechanical properties of mineral
crystals in bone is reviewed in terms of characterization of in situ strain,
residual stress-strain and crystal orientation.
PMID- 27877461
TI - Novel redox nanomedicine improves gene expression of polyion complex vector.
AB - Gene therapy has generated worldwide attention as a new medical technology. While
non-viral gene vectors are promising candidates as gene carriers, they have
several issues such as toxicity and low transfection efficiency. We have
hypothesized that the generation of reactive oxygen species (ROS) affects gene
expression in polyplex supported gene delivery systems. The effect of ROS on the
gene expression of polyplex was evaluated using a nitroxide radical-containing
nanoparticle (RNP) as an ROS scavenger. When polyethyleneimine (PEI)/pGL3 or PEI
alone was added to the HeLa cells, ROS levels increased significantly. In
contrast, when (PEI)/pGL3 or PEI was added with RNP, the ROS levels were
suppressed. The luciferase expression was increased by the treatment with RNP in
a dose-dependent manner and the cellular uptake of pDNA was also increased.
Inflammatory cytokines play an important role in ROS generation in vivo. In
particular, tumor necrosis factor (TNF)-alpha caused intracellular ROS generation
in HeLa cells and decreased gene expression. RNP treatment suppressed ROS
production even in the presence of TNF-alpha and increased gene expression. This
anti-inflammatory property of RNP suggests that it may be used as an effective
adjuvant for non-viral gene delivery systems.
PMID- 27877460
TI - The toughening mechanism of nacre and structural materials inspired by nacre.
AB - The structure and the toughening mechanism of nacre have been the subject of
intensive research over the last 30 years. This interest originates from nacre's
excellent combination of strength, stiffness and toughness, despite its high, for
a biological material, volume fraction of inorganic phase, typically 95%. Owing
to the improvement of nanoscale measurement and observation techniques,
significant progress has been made during the last decade in understanding the
mechanical properties of nacre. The structure, microscopic deformation behavior
and toughening mechanism on the order of nanometers have been investigated, and
the importance of hierarchical structure in nacre has been recognized. This
research has led to the fabrication of multilayer composites and films inspired
by nacre with a layer thickness below 1 MUm. Some of these materials reproduce
the inorganic/organic interaction and hierarchical structure beyond mere
morphology mimicking. In the first part of this review, we focus on the
hierarchical architecture, macroscopic and microscopic deformation and fracture
behavior, as well as toughening mechanisms in nacre. Then we summarize recent
progress in the fabrication of materials inspired by nacre taking into
consideration its mechanical properties.
PMID- 27877462
TI - Improvement in device performance from a mixture of a liquid crystal and
photosensitive acrylic prepolymer with the photoinduced vertical alignment
method.
AB - In a multicomponent nematic liquid crystal (NLC) mixture of a liquid crystal
(negative-type NLC) and a photosensitive acrylic prepolymer, photopolymerization
upon UV irradiation induces the separation of the LC and photosensitive acrylic
prepolymer layers, thereby leading to a vertical arrangement of LC molecules. In
this study, we propose a simple vertical alignment method for LC molecules, by
adding a chiral smectic A (SmA*) liquid crystal having homeotropic texture
characteristics to an NLC mixture solution. Measurements of electro-optical
properties revealed that the addition of the SmA* LC not only strengthened the
anchoring force of the copolymer alignment film surface, but also significantly
enhanced the contrast ratio (~73%), response time and grayscale switching
performance of the device.
PMID- 27877463
TI - BMP-2-loaded silica nanotube fibrous meshes for bone generation.
AB - Silica nanotube fibrous meshes were fabricated as multiple functional matrices
for both delivering bone morphological protein-2 (BMP-2) and supporting
osteoblast attachment and proliferation. The meshes were fabricated via a
collagen-templated sol-gel route and consisted of tubular silica with open ends.
BMP-2 was loaded to the meshes by soaking in BMP-2 solution. The meshes
effectively enabled the attachment and proliferation of osteoblast MC3T3-E1 cells
and delivered bioactive BMP-2 to stimulate cell differentiation. These results
demonstrate the potential use of the meshes in bone generation applications.
PMID- 27877465
TI - Negative thermal expansion materials: technological key for control of thermal
expansion.
AB - Most materials expand upon heating. However, although rare, some materials
contract upon heating. Such negative thermal expansion (NTE) materials have
enormous industrial merit because they can control the thermal expansion of
materials. Recent progress in materials research enables us to obtain materials
exhibiting negative coefficients of linear thermal expansion over -30 ppm K-1.
Such giant NTE is opening a new phase of control of thermal expansion in
composites. Specifically examining practical aspects, this review briefly
summarizes materials and mechanisms of NTE as well as composites containing NTE
materials, based mainly on activities of the last decade.
PMID- 27877464
TI - Gate bias-dependent junction characteristics of silicon nanowires suspended
between polysilicon electrodes.
AB - Realistic integration of 1D materials into future nanodevices is limited by the
lack of a manipulation process that allows a large number of nanowires to be
arranged into an integrated circuit. In this work, we have grown Si nanowire
bridges using a thin-film catalyst in a batch process at 200 degrees C and
characterized the produced devices consisting of a p+-Si contact electrode, a
suspended Si nanochannel, and a polysilicon contact electrode. Both the
electrodes and connecting lines are made of Si-based materials by conventional
low-pressure chemical vapor deposition. The results indicate that these devices
can act as gate-controllable Schottky diodes in integrated nanocircuits.
PMID- 27877466
TI - Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy.
AB - In this article we review the fundamental properties and applications of sidewall
GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared
using molecular layer epitaxy (MLE), in which intermittent injections of
precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were
fabricated using a combination of device mesa wet etching of the GaAs MLE layer
and low-temperature area-selective regrowth. The fabricated tunnel junctions on
the GaAs sidewall with normal mesa orientation showed a record peak current
density of 35 000 A cm-2. They can potentially be used as terahertz devices such
as a tunnel injection transit time effect diode or an ideal static induction
transistor.
PMID- 27877467
TI - Recent progress in mesoporous titania materials: adjusting morphology for
innovative applications.
AB - This review article summarizes recent developments in mesoporous titania
materials, particularly in the fields of morphology control and applications. We
first briefly introduce the history of mesoporous titania materials and then
review several synthesis approaches. Currently, mesoporous titania nanoparticles
(MTNs) have attracted much attention in various fields, such as medicine,
catalysis, separation and optics. Compared with bulk mesoporous titania
materials, which are above a micrometer in size, nanometer-sized MTNs have
additional properties, such as fast mass transport, strong adhesion to substrates
and good dispersion in solution. However, it has generally been known that the
successful synthesis of MTNs is very difficult owing to the rapid hydrolysis of
titanium-containing precursors and the crystallization of titania upon thermal
treatment. Finally, we review four emerging fields including photocatalysis,
photovoltaic devices, sensing and biomedical applications of mesoporous titania
materials. Because of its high surface area, controlled porous structure,
suitable morphology and semiconducting behavior, mesoporous titania is expected
to be used in innovative applications.
PMID- 27877468
TI - Soft x-ray reflectometry, hard x-ray photoelectron spectroscopy and transmission
electron microscopy investigations of the internal structure of TiO2(Ti)/SiO2/Si
stacks.
AB - We developed a mathematical analysis method of reflectometry data and used it to
characterize the internal structure of TiO2/SiO2/Si and Ti/SiO2/Si stacks. Atomic
concentration profiles of all the chemical elements composing the samples were
reconstructed from the analysis of the reflectivity curves measured versus the
incidence angle at different soft x-ray reflection (SXR) photon energies. The
results were confirmed by the conventional techniques of hard x-ray photoelectron
spectroscopy (HXPES) and high-resolution transmission electron microscopy
(HRTEM). The depth variation of the chemical composition, thicknesses and
densities of individual layers extracted from SXR and HXPES measurements are in
close agreement and correlate well with the HRTEM images.
PMID- 27877469
TI - Synthesis, characterization and antibacterial activity of superparamagnetic
nanoparticles modified with glycol chitosan.
AB - Iron oxide nanoparticles (IONPs) were synthesized by coprecipitation of iron
salts in alkali media followed by coating with glycol chitosan (GC-coated IONPs).
Both bare and GC-coated IONPs were subsequently characterized and evaluated for
their antibacterial activity. Comparison of Fourier transform infrared spectra
and thermogravimetric data of bare and GC-coated IONPs confirmed the presence of
GC coating on IONPs. Magnetization curves showed that both bare and GC-coated
IONPs are superparamagnetic and have saturation magnetizations of 70.3 and 59.8
emu g-1, respectively. The IONP size was measured as ~8-9 nm by transmission
electron microscopy, and their crystal structure was assigned to magnetite from x
ray diffraction patterns. Both bare and GC-coated IONPs inhibited the growths of
Escherichia coli ATCC 8739 and Salmonella enteritidis SE 01 bacteria better than
the antibiotics linezolid and cefaclor, as evaluated by the agar dilution assay.
GC-coated IONPs showed higher potency against E. coli O157:H7 and Staphylococcus
aureus ATCC 10832 than bare IONPs. Given their biocompatibility and antibacterial
properties, GC-coated IONPs are a potential nanomaterial for in vivo
applications.
PMID- 27877470
TI - Transient charge-masking effect of applied voltage on electrospinning of pure
chitosan nanofibers from aqueous solutions.
AB - The processing of a polyelectrolyte (whose functionality is derived from its
ionized functional groups) into a nanofiber may improve its functionality and
yield multiple functionalities. However, the electrospinning of nanofibers from
polyelectrolytes is imperfect because polyelectrolytes differ considerably from
neutral polymers in their rheological properties. In our study, we attempt to
solve this problem by applying a voltage of opposite polarity to charges on a
polyelectrolyte. The application of this 'countervoltage' can temporarily mask or
screen a specific rheological property of the polyelectrolyte, making it behave
as a neutral polymer. This approach can significantly contribute to the
development of new functional nanofiber materials.
PMID- 27877472
TI - Can the use of pulsed direct current induce oscillation in the applied pressure
during spark plasma sintering?
AB - The spark plasma sintering (SPS) process is known for its rapid densification of
metals and ceramics. The mechanism behind this rapid densification has been
discussed during the last few decades and is yet uncertain. During our SPS
experiments we noticed oscillations in the applied pressure, related to a change
in electric current. In this study, we investigated the effect of pulsed
electrical current on the applied mechanical pressure and related changes in
temperature. We eliminated the effect of sample shrinkage in the SPS setup and
used a transparent quartz die allowing direct observation of the sample. We found
that the use of pulsed direct electric current in our apparatus induces pressure
oscillations with the amplitude depending on the current density. While sintering
Ti samples we observed temperature oscillations resulting from pressure
oscillations, which we attribute to magnetic forces generated within the SPS
apparatus. The described current-pressure-temperature relations might increase
understanding of the SPS process.
PMID- 27877471
TI - On the origin of fine structure in the photoluminescence spectra of the beta
sialon:Eu2+ green phosphor.
AB - The photoluminescence (PL) and PL excitation (PLE) spectra of Si6-z Al z O z N8-z
(beta-sialon):Eu2+ phosphors with small z values (z=0.025-0.24) were studied at
room temperature and 6 K. The PL and PLE spectra exhibit fine structure with the
PL lines being as sharp as 45-55 nm even at room temperature; this fine structure
was enhanced by decreasing the z value. These results can be used for expanding
the color gamut of liquid crystal displays, particularly in the blue-green
region. From low-temperature measurements, the fine PLE structure was ascribed to
discrete energy levels of 7FJ states. The 4f65d excited states of Eu2+ are
considered to be localized near the 4f orbital. This is because the bonding of
Eu2+ with surrounding atoms is ionic rather than covalent. Lattice phonon
absorptions were also observed in the PLE spectrum, revealing that the optically
active Eu2+ ions are located in the beta-sialon crystal. The PL spectrum of the
sample with the smallest z value (0.025) consists of a sharp zero-phonon line and
lattice phonon replicas, which results in a sharp and asymmetric spectral shape.
PMID- 27877473
TI - Feasibility study of polyurethane shape-memory polymer actuators for pressure
bandage application.
AB - The feasibility of laboratory-synthesized polyurethane-based shape-memory polymer
(SMPU) actuators has been investigated for possible application in medical
pressure bandages where gradient pressure is required between the ankle and the
knee for treatment of leg ulcers. In this study, using heat as the stimulant,
SMPU strip actuators have been subjected to gradual and cyclic stresses; their
recovery force, reproducibility and reusability have been monitored with respect
to changes in temperature and circumference of a model leg, and the stress
relaxation at various temperatures has been investigated. The findings suggest
that SMPU actuators can be used for the development of the next generation of
pressure bandages.
PMID- 27877474
TI - Lithium aluminosilicate reinforced with carbon nanofiber and alumina for
controlled-thermal-expansion materials.
AB - Materials with a very low or tailored thermal expansion have many applications
ranging from cookware to the aerospace industry. Among others, lithium
aluminosilicates (LAS) are the most studied family with low and negative thermal
expansion coefficients. However, LAS materials are electrical insulators and have
poor mechanical properties. Nanocomposites using LAS as a matrix are promising in
many applications where special properties are achieved by the addition of one or
two more phases. The main scope of this work is to study the sinterability of
carbon nanofiber (CNFs)/LAS and CNFs/alumina/LAS nanocomposites, and to adjust
the ratio among components for obtaining a near-zero or tailored thermal
expansion. Spark plasma sintering of nanocomposites, consisting of commercial
CNFs and alumina powders and an ad hoc synthesized beta-eucryptite phase, is
proposed as a solution to improving mechanical and electrical properties compared
with the LAS ceramics obtained under the same conditions. X-ray diffraction
results on phase compositions and microstructure are discussed together with
dilatometry data obtained in a wide temperature range (-150 to 450 degrees C).
The use of a ceramic LAS phase makes it possible to design a nanocomposite with a
very low or tailored thermal expansion coefficient and exceptional electrical and
mechanical properties.
PMID- 27877475
TI - Functionalized diatom silica microparticles for removal of mercury ions.
AB - Diatom silica microparticles were chemically modified with self-assembled
monolayers of 3-mercaptopropyl-trimethoxysilane (MPTMS), 3-aminopropyl
trimethoxysilane (APTES) and n-(2-aminoethyl)-3-aminopropyl-trimethoxysilane
(AEAPTMS), and their application for the adsorption of mercury ions (Hg(II)) is
demonstrated. Fourier transform infrared spectroscopy and x-ray photoelectron
spectroscopy analyses revealed that the functional groups (-SH or -NH2) were
successfully grafted onto the diatom silica surface. The kinetics and efficiency
of Hg(II) adsorption were markedly improved by the chemical functionalization of
diatom microparticles. The relationship among the type of functional groups, pH
and adsorption efficiency of mercury ions was established. The Hg(II) adsorption
reached equilibrium within 60 min with maximum adsorption capacities of 185.2,
131.7 and 169.5 mg g-1 for particles functionalized with MPTMS, APTES and
AEAPTMS, respectively. The adsorption behavior followed a pseudo-second-order
reaction model and Langmuirian isotherm. These results show that mercapto- or
amino-functionalized diatom microparticles are promising natural, cost-effective
and environmentally benign adsorbents suitable for the removal of mercury ions
from aqueous solutions.
PMID- 27877477
TI - Progress in thermomechanical control of steel plates and their commercialization.
AB - The water-cooled thermomechanical control process (TMCP) is a technology for
improving the strength and toughness of water-cooled steel plates, while allowing
control of the microstructure, phase transformation and rolling. This review
describes metallurgical aspects of the microalloying of steel, such as niobium
addition, and discusses advantages of TMCP, for example, in terms of weldability,
which is reduced upon alloying. Other covered topics include the development of
equipment, distortions in steel plates, peripheral technologies such as steel
making and casting, and theoretical modeling, as well as the history of property
control in steel plate production and some early TMCP technologies. We provide
some of the latest examples of applications of TMCP steel in various industries
such as shipbuilding, offshore structures, building construction, bridges,
pipelines, penstocks and cryogenic tanks. This review also introduces high heat
affected-zone toughness technologies, wherein the microstructure of steel is
improved by the addition of fine particles of magnesium-containing sulfides and
magnesium- or calcium-containing oxides. We demonstrate that thanks to ongoing
developments TMCP has the potential to meet the ever-increasing demands of steel
plates.
PMID- 27877476
TI - Quantitative comparison between poly(L-arginine) and poly(L-lysine) at each step
of polyplex-based gene transfection using a microinjection technique.
AB - Among the well-studied polypeptide-type gene carriers, transfection efficiency is
empirically known to be higher for poly(L-arginine) (PR) than poly(L-lysine)
(PK). The big difference between PR and PK should be determined at one of the
intracellular trafficking steps based on the different charge densities,
structures or PKa values. However, the endosomal escape and the intranuclear
transcription efficiency in living cells have not been clarified yet. In this
study, a novel method for quantifying the intranuclear transcription efficiency
and the nuclear transport of the polyplex is established based on the nuclear and
the cytosolic microinjection technique, and the results for PK and PR with
different molecular weights (MWs) are compared in living cells. The intranuclear
transcription efficiency is the same in PR and PK and it decreases rapidly with
increasing MW, in spite of the commonly measured transfection efficiency. The
transcription efficiency is strongly suppressed at high MW and strongly
correlates with the polyplex forming ability expressed as a critical ratio of the
number of polypeptide cationic groups to the number of pDNA anionic groups. When
considered with the results of the cellular uptake and in vitro transfection with
or without chloroquine, the rate-limiting step for their gene transfer is the
buffering effect-independent endosomal escape.
PMID- 27877478
TI - Surface science studies of metal hexaborides.
AB - Over 30 years of surface science research on metal hexaborides are reviewed. Of
this class of compounds, lanthanum hexaboride has been the subject of the
majority of the studies because of its outstanding properties as a thermionic
emitter. The use of LaB6 cathodes as an electron source stems from the unusually
low work function of ~2.5 eV for the (100) surface combined with a low
evaporation rate at high temperatures. Of particular interest has been the
determination of the surface geometric and electronic structure responsible for
the low work function and how the work function is affected by various
adsorbates. The low-index faces of single crystals of LaB6 and other hexaborides
have been studied with a variety of ultrahigh vacuum surface science methods to
gain a better understanding of the structure and properties of the clean surfaces
as well as their interactions with gases such as O2, H2O and CO.
PMID- 27877479
TI - Photoinduced superhydrophilicity of TiO2 thin film with hierarchical Cu doping.
AB - Hydrophilic Cu-TiO2 thin films with a gradient in the Cu concentration were
prepared on glass by layer-by-layer dip-coating from TiO2 precursors. The effects
of the Cu doping on the structure and properties of TiO2 self-cleaning thin films
are discussed. The Cu gradient markedly affects the hydrophilicity of the films,
with the water contact angle significantly reduced compared with those of the
pure or uniformly doped TiO2 thin films. This enhanced hydrophilicity is
explained by the more efficient absorption of the solar light and by the reduced
recombination of photoexcited electrons and holes in the TiO2 films containing a
gradient of Cu dopants.
PMID- 27877480
TI - Patterning titania with the conventional and modified micromolding in capillaries
technique from sol-gel and dispersion solutions.
AB - We report TiO2 patterns obtained by a soft-lithographic technique called
'micromolding in capillaries' using sol-gel and dispersion solutions. A
comparison between patterning with a sol-gel and dispersion solutions has been
performed. The patterns obtained from sol-gel solutions showed good adhesion to
the substrate and uniform shapes, but large shrinkage, whereas those obtained
from dispersion solution had high solid content, but exhibited poor adhesion and
non-uniform shapes. A fabrication method of a layer-by-layer structured pattern
is also demonstrated. This type of pattern may find application in sensors,
waveguides and other photonics elements. The occurrence of an undesirable residue
layer, which hinders the fabrication of isolated patterns, is highlighted and a
method of prevention is suggested.
PMID- 27877481
TI - Simple and cost-effective fabrication of size-tunable zinc oxide architectures by
multiple size reduction technique.
AB - We present a simple size reduction technique for fabricating 400 nm zinc oxide
(ZnO) architectures using a silicon master containing only microscale
architectures. In this approach, the overall fabrication, from the master to the
molds and the final ZnO architectures, features cost-effective UV
photolithography, instead of electron beam lithography or deep-UV
photolithography. A photosensitive Zn-containing sol-gel precursor was used to
imprint architectures by direct UV-assisted nanoimprint lithography (UV-NIL). The
resulting Zn-containing architectures were then converted to ZnO architectures
with reduced feature sizes by thermal annealing at 400 degrees C for 1 h. The
imprinted and annealed ZnO architectures were also used as new masters for the
size reduction technique. ZnO pillars of 400 nm diameter were obtained from a
silicon master with pillars of 1000 nm diameter by simply repeating the size
reduction technique. The photosensitivity and contrast of the Zn-containing
precursor were measured as 6.5 J cm-2 and 16.5, respectively. Interesting complex
ZnO patterns, with both microscale pillars and nanoscale holes, were demonstrated
by the combination of dose-controlled UV exposure and a two-step UV-NIL.
PMID- 27877482
TI - Turning refuse plastic into multi-walled carbon nanotube forest.
AB - A novel and effective method was devised for synthesizing a vertically aligned
carbon nanotube (CNT) forest on a substrate using waste plastic obtained from
commercially available water bottles. The advantages of the proposed method are
the speed of processing and the use of waste as a raw material. A mechanism for
the CNT growth was also proposed. The growth rate of the CNT forest was ~2.5 MUm
min-1. Transmission electron microscopy images indicated that the outer diameters
of the CNTs were 20-30 nm on average. The intensity ratio of the G and D Raman
bands was 1.27 for the vertically aligned CNT forest. The Raman spectrum showed
that the wall graphitization of the CNTs, synthesized via the proposed method was
slightly higher than that of commercially available multi-walled carbon nanotubes
(MWCNTs). We expect that the proposed method can be easily adapted to the
disposal of other refuse materials and applied to MWCNT production industries.
PMID- 27877484
TI - Weighing a single atom using a coupled plasmon-carbon nanotube system.
AB - We propose an optical weighing technique with a sensitivity down to a single
atom, using a surface plasmon and a doubly clamped carbon nanotube resonator. The
mass of a single atom is determined via the vibrational frequency shift of the
carbon nanotube while the atom attaches to the nanotube surface. Owing to the
ultralight mass and high quality factor of the carbon nanotube, and the spectral
enhancement by the use of surface plasmon, this method results in a narrow
linewidth (kHz) and high sensitivity (2.3*10-28 Hz. g-1), which is five orders of
magnitude more sensitive than traditional electrical mass detection techniques.
PMID- 27877483
TI - Paclitaxel-loaded poly(lactide-co-glycolide)/poly(ethylene vinyl acetate)
composite for stent coating by ultrasonic atomizing spray.
AB - The mixture of poly(lactide-co-glycolide) (PLGA) and poly(ethylene vinyl acetate)
(PEVA) forms a homogeneous liquid in an organic solvent such as tetrahydrofuran,
and a phase-separated PLGA/PEVA composite can be prepared from it by evaporating
the organic solvent. Exploiting this phenomenon, we designed a novel method of
preparing a drug-loaded PLGA/PEVA composite and used it for coating drug-eluting
stents (DESs). Paclitaxel (PTX), an anticancer drug, was chosen as a model drug.
PLGA acts as a microdepot for PTX, and PEVA provides mechanical strength to the
coating material. The presence of PLGA in the PLGA/PEVA composite suppressed PTX
crystallization in the coating material, and PTX showed a sustained release rate
over more than 30 days. The mechanical strength of the PLGA/PEVA composite was
better than that of PEVA used as a control. After coating the stent with a
PLGA/PEVA composite using ultrasonic atomizing spray, the morphology of the
coated material was observed by scanning electron microscopy, and the release
pattern of PTX was measured by high-performance liquid chromatography.
PMID- 27877486
TI - Rheological and micro-Raman time-series characterization of enzyme sol-gel
solution toward morphological control of electrospun fibers.
AB - Rheological and micro-Raman time-series characterizations were used to
investigate the chemical evolutionary changes of silica sol-gel mixtures for
electrospinning fibers to immobilize an enzyme (tyrosinase). Results of dynamic
rheological measurements agreed with the expected structural transitions
associated with reacting sol-gel systems. The electrospinning sols exhibited
shear-thinning behavior typical of a power law model. Ultrafine (200-300 nm
diameter) fibers were produced at early and late times within the reaction window
of approximately one hour from initial mixing of sol solutions with and without
enzyme; diameter distributions of these fibers showed much smaller deviations
than expected. The enzyme markedly increased magnitudes of both elastic and
viscous moduli but had no significant impact on final fiber diameters, suggesting
that the shear-thinning behavior of both sol-gel mixtures is dominant in the
fiber elongation process. The time course and scale for the electrospinning batch
fabrication show strong correlations between the magnitudes in rheological
property changes over time and the chemical functional group evolution obtained
from micro-Raman time-series analysis of the reacting sol-gel systems.
PMID- 27877485
TI - Versatile sputtering technology for Al2O3 gate insulators on graphene.
AB - We report a novel, sputtering-based fabrication method of Al2O3 gate insulators
on graphene. Electrical performance of dual-gated mono- and bilayer exfoliated
graphene devices is presented. Sputtered Al2O3 layers possess comparable quality
to oxides obtained by atomic layer deposition with respect to a high relative
dielectric constant of about 8, as well as low-hysteresis performance and high
breakdown voltage. We observe a moderate carrier mobility of about 1000 cm2 V-1 s
1 in monolayer graphene and 350 cm2 V-1 s-1 in bilayer graphene, respectively.
The mobility decrease can be attributed to the resonant scattering on atomic
scale defects, likely originating from the Al precursor layer evaporated prior to
sputtering.
PMID- 27877487
TI - Hydrogen generation from water using Mg nanopowder produced by arc plasma method.
AB - We report that hydrogen gas can be easily produced from water at room temperature
using a Mg nanopowder (30-1000 nm particles, average diameter 265 nm). The Mg
nanopowder was produced by dc arc melting of a Mg ingot in a chamber with mixed
gas atmosphere (20% N2-80% Ar) at 0.1 MPa using custom-built nanopowder
production equipment. The Mg nanopowder was passivated with a gas mixture of 1%
O2 in Ar for 12 h in the final step of the synthesis, after which the nanopowder
could be safely handled in ambient air. The nanopowder vigorously reacted with
water at room temperature, producing 110 ml of hydrogen gas per 1 g of powder in
600 s. This amount corresponds to 11% of the hydrogen that could be generated by
the stoichiometric reaction between Mg and water. Mg(OH)2 flakes formed on the
surface of the Mg particles as a result of this reaction. They easily peeled off,
and the generation of hydrogen continued until all the Mg was consumed.
PMID- 27877489
TI - One-step route to a hybrid TiO2/Ti x W1-x N nanocomposite by in situ selective
carbothermal nitridation.
AB - Metal oxide/nitride nanocomposites have many existing and potential applications,
e.g. in energy conversion or ammonia synthesis. Here, a hybrid oxide/nitride
nanocomposite (anatase/Ti x W1-x N) was synthesized by an ammonia-free sol-gel
route. Synchrotron x-ray diffraction, complemented with electron microscopy and
thermogravimetric analysis, was used to study the structure, composition and
mechanism of formation of the nanocomposite. The nanocomposite contained
nanoparticles (<5 nm diameter) of two highly intermixed phases. This was found to
arise from controlled nucleation and growth of a single oxide intermediate from
the gel precursor, followed by phase separation and in situ selective
carbothermal nitridation. Depending on the preparation conditions, the
composition varied from anatase/Ti x W1-x N at low W content to an isostructural
mixture of Ti-rich and W-rich Ti x W1-x N at high W content. In situ selective
carbothermal nitridation offers a facile route to the synthesis of nitride-oxide
nanocomposites. This conceptually new approach is a significant advance from
previous methods, which generally require ammonolysis of a pre-synthesized oxide.
PMID- 27877488
TI - Programmed self-assembly of large pi-conjugated molecules into electroactive one
dimensional nanostructures.
AB - Electroactive one-dimensional (1D) nano-objects possess inherent unidirectional
charge and energy transport capabilities along with anisotropic absorption and
emission of light, which are of great advantage for the development of nanometer
scale electronics and optoelectronics. In particular, molecular nanowires formed
by self-assembly of pi-conjugated molecules attract increasing attention for
application in supramolecular electronics. This review introduces recent topics
related to electroactive molecular nanowires. The nanowires are classified into
four categories with respect to the electronic states of the constituent
molecules: electron donors, acceptors, donor-acceptor pairs and miscellaneous
molecules that display interesting electronic properties. Although many
challenges still remain for practical use, state-of-the-art 1D supramolecular
nanomaterials have already brought significant advances to both fundamental
chemical sciences and technological applications.
PMID- 27877490
TI - The effect of cross-linking on the microstructure, mechanical properties and
biocompatibility of electrospun polycaprolactone-gelatin/PLGA-gelatin/PLGA
chitosan hybrid composite.
AB - In this study, multilayered scaffolds composed of polycaprolactone (PCL)
gelatin/poly(lactic-co-glycolic acid) (PLGA)-gelatin/PLGA-chitosan artificial
blood vessels were fabricated using a double-ejection electrospinning system. The
mixed fibers from individual materials were observed by scanning electron
microscopy. The effects of the cross-linking process on the microstructure,
mechanical properties and biocompatibility of the fibers were examined. The
tensile stress and liquid strength of the cross-linked artificial blood vessels
were 2.3 MPa and 340 mmHg, respectively, and were significantly higher than for
the non-cross-linked vessel (2.0 MPa and 120 mmHg). The biocompatibility of the
cross-linked artificial blood vessel scaffold was examined using the MTT assay
and by evaluating cell attachment and cell proliferation. The cross-linked PCL
gelatin/PLGA-gelatin/PLGA-chitosan artificial blood vessel scaffold displayed
excellent flexibility, was able to withstand high pressures and promoted cell
growth; thus, this novel material holds great promise for eventual use in
artificial blood vessels.
PMID- 27877491
TI - Low-cost and fast synthesis of nanoporous silica cryogels for thermal insulation
applications.
AB - Nanoporous silica cryogels with a high specific surface area of 1095 m2 g-1 were
fabricated using tert-butyl alcohol as a reaction solvent, via a cost-effective
sol-gel process followed by vacuum freeze drying. The total time of cryogel
production was reduced markedly to one day. The molar ratio of solvent/precursor,
which was varied from 5 to 13, significantly affected the porous structure and
thermal insulating properties of the cryogels. The silica cryogels with low
densities in the range of 0.08-0.18 g cm-3 and thermal conductivities as low as
6.7 mW (m.K)-1 at 100 Pa and 28.3 mW (m.K)-1 at 105 Pa were obtained using this
new technique.
PMID- 27877492
TI - Direct stamping of silver nanoparticles toward residue-free thick electrode.
AB - Direct stamping of functional materials has been developed for cost-effective and
process-effective manufacturing of nano/micro patterns. However, there remain
several challenging issues like the perfect removal of the residual layer and
realization of high aspect ratio. We have demonstrated facile fabrication of
flexible strain sensors that have microscale thick interdigitated capacitors with
no residual layer by a simple direct stamping with silver nanoparticles (AgNPs).
Polyurethane (PU) prepolymer was utilized as an adhesive layer to transfer AgNPs
more efficiently during the separation step of the flexible stamp from directly
stamped AgNPs. Scanning electron microscopy images and energy dispersive x-ray
spectroscopy analysis revealed residue-free transfer of microscale thick
interdigitated electrodes onto two different flexible substrates (elastomeric and
brittle) for the application to highly sensitive strain sensors.
PMID- 27877493
TI - Shape effect of ultrafine-grained structure on static fracture toughness in low
alloy steel.
AB - A 0.4C-2Si-1Cr-1Mo steel with an ultrafine elongated grain (UFEG) structure and
an ultrafine equiaxed grain (UFG) structure was fabricated by multipass caliber
rolling at 773 K and subsequent annealing at 973 K. A static three-point bending
test was conducted at ambient temperature and at 77 K. The strength-toughness
balance of the developed steels was markedly better than that of conventionally
quenched and tempered steel with a martensitic structure. In particular, the
static fracture toughness of the UFEG steel, having a yield strength of 1.86 GPa
at ambient temperature, was improved by more than 40 times compared with
conventional steel having a yield strength of 1.51 GPa. Furthermore, even at 77
K, the fracture toughness of the UFEG steel was about eight times higher than
that of the conventional and UFG steels, despite the high strength of the UFEG
steel (2.26 GPa). The UFG steel exhibited brittle fracture behavior at 77 K, as
did the conventional steel, and no dimple structure was observed on the fracture
surface. Therefore, it is difficult to improve the low-temperature toughness of
the UFG steel by grain refinement only. The shape of crystal grains plays an
important role in delamination toughening, as do their refinement and
orientation.
PMID- 27877494
TI - Design and preparation of stress-free epitaxial BaTiO3 polydomain films by RF
magnetron sputtering.
AB - Domain structures of BaTiO3 thick films grown on (100) SrTiO3 single-crystal
substrates were engineered using an RF magnetron sputtering deposition process.
By tuning the sputtering power and cooling rate and using an off-axis sputtering
technique to prepare conducting perovskite oxide bottom electrode with
heteroepitaxial quality, we have deposited epitaxial tetragonal single-domain and
polydomain BaTiO3 films with a self-assembled three-domain architecture. The
electrical properties and microstructure of the BaTiO3 films were characterized,
and a c/a1/a2 cellular polydomain structure was clearly observed in as-grown
films by optical microscopy. Such a polydomain structure was a consequence of a
complete relaxation of misfit stresses of the film. Engineering of this self
assembled microstructure has great potential in providing large, field-tunable
pyroelectric and electromechanical responses in next-generation microelectronic
devices and micro-electro-mechanical systems (MEMS).
PMID- 27877495
TI - Effective lattice stabilization of gadolinium aluminate garnet (GdAG) via Lu3+
doping and development of highly efficient (Gd,Lu)AG:Eu3+ red phosphors.
AB - The metastable garnet lattice of Gd3Al5O12 is stabilized by doping with smaller
Lu3+, which then allows an effective incorporation of larger Eu3+ activators. The
[(Gd1-x Lu x )1-y Eu y ]3Al5O12 (x = 0.1-0.5, y = 0.01-0.09) garnet solid
solutions, calcined from their precursors synthesized via carbonate
coprecipitation, exhibit strong luminescence at 591 nm (the 5D0 -> 7F1 magnetic
dipole transition of Eu3+) upon UV excitation into the charge transfer band (CTB)
at ~239 nm, with CIE chromaticity coordinates of x = 0.620 and y = 0.380 (orange
red). The quenching concentration of Eu3+ was estimated at ~5 at.% (y = 0.05),
and the quenching was attributed to exchange interactions. Partial replacement of
Gd3+ with Lu3+ up to 50 at.% (x = 0.5) while keeping Eu3+ at the optimal content
of 5 at.% does not significantly alter the peak positions of the CTB and 5D0 ->
7F1 emission bands but slightly weakens both bands owing to the higher
electronegativity of Lu3+. The effects of processing temperature (1000-1500
degrees C) and Lu/Eu contents on the intensity, quantum efficiency, lifetime and
asymmetry factor of luminescence were thoroughly investigated. The
[(Gd0.7Lu0.3)0.95Eu0.05]3Al5O12 phosphor processed at 1500 degrees C exhibits a
high internal quantum efficiency of ~83.2% under 239 nm excitation, which, in
combination with the high theoretical density, favors its use as a new type of
photoluminescent and scintillation material.
PMID- 27877496
TI - Electrospinning of aligned fibers with adjustable orientation using auxiliary
electrodes.
AB - A conventional electrospinning setup was upgraded by two turnable plate-like
auxiliary high-voltage electrodes that allowed aligned fiber deposition in
adjustable directions. Fiber morphology was analyzed by scanning electron
microscopy and attenuated total reflection Fourier transform infrared
spectroscopy (FTIR-ATR). The auxiliary electric field constrained the jet bending
instability and the fiber deposition became controllable. At target speeds of 0.9
m s-1 90% of the fibers had aligned within 2 degrees , whereas the angular spread
was 70 degrees without the use of auxiliary electrodes. It was even possible to
orient fibers perpendicular to the rotational direction of the target. The fiber
diameter became smaller and its distribution narrower, while according to the
FTIR-ATR measurement the molecular orientation of the polymer was unaltered. This
study comprehensively documents the feasibility of directed fiber deposition and
offers an easy upgrade to existing electrospinning setups.
PMID- 27877497
TI - Microwave sintering and in vitro study of defect-free stable porous multilayered
HAp-ZrO2 artificial bone scaffold.
AB - Continuously porous hydroxyapatite (HAp)/t-ZrO2 composites containing concentric
laminated frames and microchanneled bodies were fabricated by an extrusion
process. To investigate the mechanical properties of HAp/t-ZrO2 composites, the
porous composites were sintered at different temperatures using a microwave
furnace. The microstructure was designed to imitate that of natural bone,
particularly small bone, with both cortical and spongy bone sections. Each
microchannel was separated by alternating lamina of HAp, HAp-(t-ZrO2) and t-ZrO2.
HAp and ZrO2 phases existed on the surface of the microchannel and the core zone
to increase the biocompatibility and mechanical properties of the HAp-ZrO2
artificial bone. The sintering behavior was evaluated and the optimum sintering
temperature was found to be 1400 degrees C, which produced a stable scaffold.
The material characteristics, such as the microstructure, crystal structure and
compressive strength, were evaluated in detail for different sintering
temperatures. A detailed in vitro study was carried out using MTT assay, western
blot analysis, gene expression by polymerase chain reaction and laser confocal
image analysis of cell proliferation. The results confirmed that HAp-ZrO2
performs as an artificial bone, showing excellent cell growth, attachment and
proliferation behavior using osteoblast-like MG63 cells.
PMID- 27877498
TI - Production and properties of electrosprayed sericin nanopowder.
AB - Sericin is a proteinous substrate that envelops fibroin (silk) fiber, and its
recovery provides significant economical and social benefits. Sericin is an
antibacterial agent that resists oxidation and absorbs moisture and UV light. In
powder form, sericin has a wide range of applications in food, cosmetics and drug
delivery. Asides from other techniques of producing powder, such as precipitation
and spray drying, electrospraying can yield solid nanoparticles, particularly in
the submicron range. Here, we report the production of sericin nanopowder by
electrospraying. Sericin sponge was recovered from Bombyx mori cocoons through a
high-temperature, high-pressure process, followed by centrifugation and freeze
drying of the sericin solution. The electrospraying solution was prepared by
dissolving the sericin sponge in dimethyl sulfoxide. We demonstrate that
electrospraying is capable of producing sericin nanopowder with an average
particle size of 25 nm, which is by far smaller than the particles produced by
other techniques. The electrosprayed sericin nanopowder consists of small
crystallites and exhibits a high moisture absorbance.
PMID- 27877499
TI - Hierarchical adaptive nanostructured PVD coatings for extreme tribological
applications: the quest for nonequilibrium states and emergent behavior.
AB - Adaptive wear-resistant coatings produced by physical vapor deposition (PVD) are
a relatively new generation of coatings which are attracting attention in the
development of nanostructured materials for extreme tribological applications. An
excellent example of such extreme operating conditions is high performance
machining of hard-to-cut materials. The adaptive characteristics of such coatings
develop fully during interaction with the severe environment. Modern adaptive
coatings could be regarded as hierarchical surface-engineered nanostructural
materials. They exhibit dynamic hierarchy on two major structural scales: (a)
nanoscale surface layers of protective tribofilms generated during friction and
(b) an underlying nano/microscaled layer. The tribofilms are responsible for some
critical nanoscale effects that strongly impact the wear resistance of adaptive
coatings. A new direction in nanomaterial research is discussed: compositional
and microstructural optimization of the dynamically regenerating nanoscaled
tribofilms on the surface of the adaptive coatings during friction. In this
review we demonstrate the correlation between the microstructure, physical,
chemical and micromechanical properties of hard coatings in their dynamic
interaction (adaptation) with environment and the involvement of complex natural
processes associated with self-organization during friction. Major physical,
chemical and mechanical characteristics of the adaptive coating, which play a
significant role in its operating properties, such as enhanced mass transfer, and
the ability of the layer to provide dissipation and accumulation of frictional
energy during operation are presented as well. Strategies for adaptive
nanostructural coating design that enhance beneficial natural processes are
outlined. The coatings exhibit emergent behavior during operation when their
improved features work as a whole. In this way, as higher-ordered systems, they
achieve multifunctionality and high wear resistance under extreme tribological
conditions.
PMID- 27877501
TI - Hydrothermal synthesis and properties of NiFe2O4@BaTiO3 composites with well
matched interface.
AB - NiFe2O4@BaTiO3 multiferroic composite particles were produced by a simple
hydrothermal method in two steps: preparing NiFe2O4 nanoparticles and then
synthesizing core-shell nanocomposites. Multiferroic composite ceramics were
sintered from these powders. X-ray diffraction, Raman scattering and energy
dispersive x-ray analyses indicated that the core-shell composites with a NiFe2O4
core and BaTiO3 shell were formed in the hydrothermal environment. Different
types of sharp interfaces were self-assembled owing to the minimization of direct
elastic energy. The saturation magnetization of the composites linearly increased
with the NiFe2O4 content while the dielectric constant decreased. A dielectric
peak appeared at around 460 degrees C because of the oxygen vacancies in the
BaTiO3 ceramics. It resulted in an enhancement of magnetic permeability in the
composites, indicating magnetoelectric coupling that was also observed by direct
magnetoelectric measurements.
PMID- 27877502
TI - Effects of vertically aligned carbon nanotubes on shear performance of laminated
nanocomposite bonded joints.
AB - The main objective is to improve the most commonly addressed weakness of the
laminated composites (i.e. delamination due to poor interlaminar strength) using
carbon nanotubes (CNTs) as reinforcement between the laminae and in the
transverse direction. In this work, a chemical vapor deposition technique has
been used to grow dense vertically aligned arrays of CNTs over the surface of
chemically treated two-dimensionally woven cloth and fiber tows. The nanoforest
like fabrics can be used to fabricate three-dimensionally reinforced laminated
nanocomposites. The presence of CNTs aligned normal to the layers and in-between
the layers of laminated composites is expected to considerably enhance the
properties of the laminates. To demonstrate the effectiveness of our approach,
composite single lap-joint specimens were fabricated for interlaminar shear
strength testing. It was observed that the single lap-joints with through-the
thickness CNT reinforcement can carry considerably higher shear stresses and
strains. Close examination of the test specimens showed that the failure of
samples with CNT nanoforests was completely cohesive, while the samples without
CNT reinforcement failed adhesively. This concludes that the adhesion of adjacent
carbon fabric layers can be considerably improved owing to the presence of
vertically aligned arrays of CNT nanoforests.
PMID- 27877504
TI - Hydrogen plasma treatment of very thin p-type nanocrystalline Si films grown by
RF-PECVD in the presence of B(CH3)3.
AB - We have characterized the structure and electrical properties of p-type
nanocrystalline silicon films prepared by radio-frequency plasma-enhanced
chemical vapor deposition and explored optimization methods of such layers for
potential applications in thin-film solar cells. Particular attention was paid to
the characterization of very thin (~20 nm) films. The cross-sectional morphology
of the layers was studied by fitting the ellipsometry spectra using a multilayer
model. The results suggest that the crystallization process in a high-pressure
growth regime is mostly realized through a subsurface mechanism in the absence of
the incubation layer at the substrate-film interface. Hydrogen plasma treatment
of a 22-nm-thick film improved its electrical properties (conductivity increased
more than ten times) owing to hydrogen insertion and Si structure rearrangements
throughout the entire thickness of the film.
PMID- 27877505
TI - Development of a simple method for fabrication of transparent conductive films
with high mechanical strength.
AB - We have developed a simple method of fabricating transparent conductive films
with a high mechanical strength on glass and indium tin oxide substrates. It does
not require a large excess of organic solvents and polymerization catalysts and
can yield smooth films by spin-coating of a mixture of a commercially available
aqueous dispersion of poly(3,4-ethylenedioxythiophene)-poly(4-styrene sulfonate)
and a neat liquid of tetraethyl orthosilicate. Preparation conditions such as
feed ratio, kinds of additives, and annealing temperature and time were optimized
to give highly conductive, transparent and mechanically strong films.
PMID- 27877500
TI - Progress of key strategies in development of electrospun scaffolds: bone tissue.
AB - There has been unprecedented development in tissue engineering (TE) over the last
few years owing to its potential applications, particularly in bone
reconstruction or regeneration. In this article, we illustrate several advantages
and disadvantages of different approaches to the design of electrospun TE
scaffolds. We also review the major benefits of electrospun fibers for three
dimensional scaffolds in hard connective TE applications and identify the key
strategies that can improve the mechanical properties of scaffolds for bone TE
applications. A few interesting results of recent investigations have been
explained for future trends in TE scaffold research.
PMID- 27877503
TI - 3D polylactide-based scaffolds for studying human hepatocarcinoma processes in
vitro.
AB - We evaluated the combination of leaching techniques and melt blending of polymers
and particles for the preparation of highly interconnected three-dimensional
polymeric porous scaffolds for in vitro studies of human hepatocarcinoma
processes. More specifically, sodium chloride and poly(ethylene glycol) (PEG)
were used as water-soluble porogens to form porous and solvent-free poly(L,D
lactide) (PLA)-based scaffolds. Several characterization techniques, including
porosimetry, image analysis and thermogravimetry, were combined to improve the
reliability of measurements and mapping of the size, distribution and
microarchitecture of pores. We also investigated the effect of processing, in PLA
based blends, on the simultaneous bulk/surface modifications and pore
architectures in the scaffolds, and assessed the effects on human hepatocarcinoma
viability and cell adhesion. The influence of PEG molecular weight on the
scaffold morphology and cell viability and adhesion were also investigated.
Morphological studies indicated that it was possible to obtain scaffolds with
well-interconnected pores of assorted sizes. The analysis confirmed that SK-Hep1
cells adhered well to the polymeric support and emitted surface protrusions
necessary to grow and differentiate three-dimensional systems. PEGs with higher
molecular weight showed the best results in terms of cell adhesion and viability.
PMID- 27877506
TI - Phase relationships in the quasi-ternary LaO1.5-SiO2-MgO system at 1773 K.
AB - Phase relationships in the LaO1.5-SiO2-MgO quasi-ternary system at 1773 K were
investigated by powder x-ray diffraction (XRD) analysis applying single- and
multiple-phase Rietveld methods. Most of the formed phases satisfied the Gibbs'
phase rule, except for the samples containing LaO1.5 and a liquid phase at 1773
K. The detection of segregated MgO phases was difficult in the XRD profiles of
the compositional samples around the oxyapatite single phase because the MgO
peaks were weak and heavily overlapped by peaks from the oxyapatite and La(OH)3
phases. The solid solubility limit of MgO in oxyapatite was determined not only
from the chemical composition of the oxyapatite phase, which was confirmed by
XRD, but also from several phase boundary compositions among the two-phase and
three-phase regions based on the Gibbs' phase rule. Formation of a liquid phase
at 1773 K was observed in a wide range of compositions and considered when
constructing the phase diagram.
PMID- 27877507
TI - High-strength zirconium diboride-based ceramic composites consolidated by low
temperature hot pressing.
AB - Two compositions of ZrB2-based ceramic composites containing Si3N4, Al2O3 and
Y2O3 have been hot-pressed at different temperatures between 1673 and 1773 K for
60 min in vacuum. The densification behavior of the composites was examined
during the sintering process. The microstructures of the composites were
characterized by scanning electron microscopy, and the crystalline phases were
identified by x-ray diffraction. The effects of Al2O3 and Y2O3 additives on the
densification behavior and flexural strength were assessed. A relative density of
~95% was obtained after sintering at 1723 K or higher temperatures. The
microstructures of the composites consisted of (Zr,Y)B2, alpha-Si3N4 and
Y3(Al,Si)5O12 phases. The room-temperature flexural strength increased with the
amount of additives and approached 1 GPa.
PMID- 27877508
TI - Synthesis of biocompatible multicolor luminescent carbon dots for bioimaging
applications.
AB - Water-soluble carbon dots (C-dots) were prepared through microwave-assisted
pyrolysis of an aqueous solution of dextrin in the presence of sulfuric acid. The
C-dots produced showed multicolor luminescence in the entire visible range,
without adding any surface-passivating agent. X-ray diffraction and Fourier
transform infrared spectroscopy studies revealed the graphitic nature of the
carbon and the presence of hydrophilic groups on the surface, respectively. The
formation of uniformly distributed C-dots and their luminescent properties were,
respectively, revealed from transmission electron microscopy and confocal laser
scanning microscopy. The biocompatible nature of C-dots was confirmed by a
cytotoxicity assay on MDA-MB-468 cells and their cellular uptake was assessed
through a localization study.
PMID- 27877510
TI - Focus on superconducting properties of iron chalcogenides.
PMID- 27877509
TI - Chemical stabilization of porous silicon for enhanced biofunctionalization with
immunoglobulin.
AB - Porous silicon (PSi) is widely used in biological experiments, owing to its
biocompatibility and well-established fabrication methods that allow tailoring
its surface. Nevertheless, there are some unresolved issues such as deciding
whether the stabilization of PSi is necessary for its biological applications and
evaluating the effects of PSi stabilization on the surface biofunctionalization
with proteins. In this work we demonstrate that non-stabilized PSi is prone to
detachment owing to the stress induced upon biomolecular adsorption.
Biofunctionalized non-stabilized PSi loses the interference properties
characteristic of a thin film, and groove-like structures resulting from a final
layer collapse were observed by scanning electron microscopy. Likewise, direct
PSi derivatization with 3-aminopropyl-triethoxysilane (APTS) does not stabilize
PSi against immunoglobulin biofunctionalization. To overcome this problem, we
developed a simple chemical process of stabilizing PSi (CoxPSi) for biological
applications, which has several advantages over thermal stabilization (ToxPSi).
The process consists of chemical oxidation in H2O2, surface derivatization with
APTS and a curing step at 120 degrees C. This process offers integral
homogeneous PSi morphology, hydrophilic surface termination (contact angle theta
= 26 degrees ) and highly efficient derivatized and biofunctionalized PSi
surfaces (six times more efficient than ToxPSi). All these features are highly
desirable for biological applications, such as biosensing, where our results can
be used for the design and optimization of the biomolecular immobilization
cascade on PSi surfaces.
PMID- 27877512
TI - Photonic metamaterials: a new class of materials for manipulating light waves.
AB - A decade of research on metamaterials (MMs) has yielded great progress in
artificial electromagnetic materials in a wide frequency range from microwave to
optical frequencies. This review outlines the achievements in photonic MMs that
can efficiently manipulate light waves from near-ultraviolet to near-infrared in
subwavelength dimensions. One of the key concepts of MMs is effective refractive
index, realizing values that have not been obtained in ordinary solid materials.
In addition to the high and low refractive indices, negative refractive indices
have been reported in some photonic MMs. In anisotropic photonic MMs of high
contrast refractive indices, the polarization and phase of plane light waves were
efficiently transformed in a well-designed manner, enabling remarkable
miniaturization of linear optical devices such as polarizers, wave plates and
circular dichroic devices. Another feature of photonic MMs is the possibility of
unusual light propagation, paving the way for a new subfield of transfer optics.
MM lenses having super-resolution and cloaking effects were introduced by
exploiting novel light-propagating modes. Here, we present a new approach to
describing photonic MMs definitely by resolving the electromagnetic eigenmodes.
Two representative photonic MMs are addressed: the so-called fishnet MM slabs,
which are known to have effective negative refractive index, and a three
dimensional MM based on a multilayer of a metal and an insulator. In these
photonic MMs, we elucidate the underlying eigenmodes that induce unusual light
propagations. Based on the progress of photonic MMs, the future potential and
direction are discussed.
PMID- 27877513
TI - Revisiting some chalcogenides for thermoelectricity.
AB - Thermoelectric materials that are efficient well above ambient temperature are
needed to convert waste-heat into electricity. Many thermoelectric oxides were
investigated for this purpose, but their power factor (PF) values were too small
(~10-4 W m-1 K-2) to yield a satisfactory figure of merit zT. Changing the anions
from O2- to S2- and then to Se2- is a way to increase the covalency. In this
review, some examples of sulfides (binary Cr-S or derived from layered TiS2) and
an example of selenides, AgCrSe2, have been selected to illustrate the
characteristic features of their physical properties. The comparison of the only
two semiconducting binary chromium sulfides and of a layered AgCrSe2 selenide
shows that the PF values are also in the same order of magnitude as those of
transition metal oxides. In contrast, the PF values of the layered sulfides TiS2
and Cu0.1TiS2 are higher, reaching ~10-3 W m-1 K-2. Apparently the magnetism
related to the Cr-S network is detrimental for the PF when compared to the d0
character of the Ti4+ based sulfides. Finally, the very low PF in AgCrSe2 (PF =
2.25 * 10-4 W m1 K-2 at 700 K) is compensated by a very low thermal conductivity
(kappa = 0.2 W m-1 K-1 from the measured Cp) leading to the highest zT value
among the reviewed compounds (zT700K = 0.8). The existence of a glassy-like state
for the Ag+ cations above 475 K is believed to be responsible for this result.
This result demonstrates that the phonon engineering in open frameworks is a very
interesting way to generate efficient thermoelectric materials.
PMID- 27877511
TI - Paradigm shift from self-assembly to commanded assembly of functional materials:
recent examples in porphyrin/fullerene supramolecular systems.
AB - Current nanotechnology based on top-down nanofabrication may encounter a variety
of drawbacks in the near future so that development of alternative methods,
including the so-called bottom-up approach, has attracted considerable attention.
However, the bottom-up strategy, which often relies on spontaneous self-assembly,
might be inefficient in the development of the requisite functional materials and
systems. Therefore, assembly processes controlled by external stimuli might be a
plausible strategy for the development of bottom-up nanotechnology. In this
review, we demonstrate a paradigm shift from self-assembly to commanded assembly
by describing several examples of assemblies of typical functional molecules,
i.e. porphyrins and fullerenes. In the first section, we describe recent progress
in the design and study of self-assembled and co-assembled supramolecular
architectures of porphyrins and fullerenes. Then, we show examples of assembly
induced by external stimuli. We emphasize the paradigm shift from self-assembly
to commanded assembly by describing the recently developed electrochemical
coupling layer-by-layer (ECC-LbL) methodology.
PMID- 27877514
TI - Superconducting properties of iron chalcogenide thin films.
AB - Iron chalcogenides, binary FeSe, FeTe and ternary FeTe x Se1-x , FeTe x S1-x and
FeTe:O x , are the simplest compounds amongst the recently discovered iron-based
superconductors. Thin films of iron chalcogenides present many attractive
features that are covered in this review, such as: (i) easy fabrication and
epitaxial growth on common single-crystal substrates; (ii) strong enhancement of
superconducting transition temperature with respect to the bulk parent compounds
(in FeTe0.5Se0.5, zero-resistance transition temperature Tc0bulk = 13.5 K, but
Tc0film = 19 K on LaAlO3 substrate); (iii) high critical current density (Jc ~
0.5 *106 A cm2 at 4.2 K and 0 T for FeTe0.5Se0.5 film deposited on CaF2, and
similar values on flexible metallic substrates (Hastelloy tapes buffered by ion
beam assisted deposition) with a weak dependence on magnetic field; (iv) high
upper critical field (~50 T for FeTe0.5Se0.5, Bc2(0), with a low anisotropy,
gamma ~ 2). These highlights explain why thin films of iron chalcogenides have
been widely studied in recent years and are considered as promising materials for
applications requiring high magnetic fields (20-50 T) and low temperatures (2-10
K).
PMID- 27877516
TI - Physics and chemistry of layered chalcogenide superconductors.
AB - Structural and physical properties of layered chalcogenide superconductors are
summarized. In particular, we review the remarkable properties of the Fe
chalcogenide superconductors, FeSe and FeTe-based materials. Furthermore, we
introduce the recently discovered BiS2-based layered superconductors and discuss
their prospects.
PMID- 27877515
TI - Magnetic excitations in iron chalcogenide superconductors.
AB - Nuclear magnetic resonance and neutron scattering experiments in iron
chalcogenide superconductors are reviewed to make a survey of the magnetic
excitations in FeSe, FeSe1-x Te x and alkali-metal-doped Ax Fe2-y Se2 (A = K, Rb,
Cs, etc). In FeSe, the intimate relationship between the spin fluctuations and
superconductivity can be seen universally for the variations in the off
stoichiometry, the Co-substitution and applied pressure. The isovalent compound
FeTe has a magnetic ordering with different wave vector from that of other Fe
based magnetic materials. The transition temperature Tc of FeSe increases with Te
substitution in FeSe1-x Te x with small x, and decreases in the vicinity of the
end member FeTe. The spin fluctuations are drastically modified by the Te
substitution. In the vicinity of the end member FeTe, the low-energy part of the
spin fluctuation is dominated by the wave vector of the ordered phase of FeTe;
however, the reduction of Tc shows that it does not support superconductivity.
The presence of same wave vector as that of other Fe-based superconductors in
FeSe1-x Te x and the observation of the resonance mode demonstrate that FeSe1-x
Te x belongs to the same group as most of other Fe-based superconductors in the
entire range of x, where superconductivity is mediated by the spin fluctuations
whose wave vector is the same as the nesting vector between the hole pockets and
the electron pockets. On the other hand, the spin fluctuations differ for alkali
metal-doped Ax Fe2-y Se2 and FeSe or other Fe-based superconductors in their wave
vector and strength in the low-energy part, most likely because of the different
Fermi surfaces. The resonance mode with different wave vector suggests that Ax
Fe2-y Se2 has an exceptional superconducting symmetry among Fe-based
superconductors.
PMID- 27877518
TI - Iron chalcogenide superconductors at high magnetic fields.
AB - Iron chalcogenide superconductors have become one of the most investigated
superconducting materials in recent years due to high upper critical fields,
competing interactions and complex electronic and magnetic phase diagrams. The
structural complexity, defects and atomic site occupancies significantly affect
the normal and superconducting states in these compounds. In this work we review
the vortex behavior, critical current density and high magnetic field pair
breaking mechanism in iron chalcogenide superconductors. We also point to
relevant structural features and normal-state properties.
PMID- 27877517
TI - Superconductivity and magnetism in 11-structure iron chalcogenides in relation to
the iron pnictides.
AB - This is a review of the magnetism and superconductivity in '11'-type Fe
chalcogenides, as compared to the Fe-pnictide materials. The chalcogenides show
many differences from the pnictides, as might be anticipated from their very
varied chemistries. These differences include stronger renormalizations that
might imply stronger correlation effects as well as different magnetic ordering
patterns. Nevertheless the superconducting state and mechanism for
superconductivity are apparently similar for the two classes of materials.
Unanswered questions and challenges to theory are emphasized.
PMID- 27877520
TI - Theoretical and experimental investigation of magnetotransport in iron
chalcogenides.
AB - We explore the electronic, transport and thermoelectric properties of Fe1+y Se x
Te1-x compounds to clarify the mechanisms of superconductivity in Fe-based
compounds. We carry out first-principles density functional theory (DFT)
calculations of structural, electronic, magnetic and transport properties and
measure resistivity, Hall resistance and Seebeck effect curves. All the transport
properties exhibit signatures of the structural/magnetic transitions, such as
discontinuities and sign changes of the Seebeck coefficient and of the Hall
resistance. These features are reproduced by calculations provided that
antiferromagnetic correlations are taken into account and experimental values of
lattice constants are considered in DFT calculations. On the other hand, the
temperature dependences of the transport properties can not be fully reproduced,
and to improve the agreement between experiment and DFT calculations it is
necessary to go beyond the constant relaxation time approximation and take into
account correlation effects.
PMID- 27877519
TI - High-pressure studies on Tc and crystal structure of iron chalcogenide
superconductors.
AB - The superconducting transition temperature, Tc, in iron-based solids can be
enhanced by applied pressure: Tc increases from 8 to 37 K for the 11-type FeSe
when the pressure is raised from 0 to 4 GPa. High-pressure studies can elucidate
the mechanism of superconductivity in such novel materials. In this paper, we
present a high-pressure study of Fe(Se1-x Te x ) and Fe(Se1-x S x ). In the case
of Fe(Se1-x Te x ), the maximum Tc under high pressure did not exceed the Tc of
FeSe, which can be attributed to the structural transition to the monoclinic
phase. For Fe(Se1-x S x ) (0 < x < 0.3), Tc exhibited a significant increase with
pressure; however, the maximum Tc under high pressure did not exceed the Tc of
FeSe. This may be due to the disorder induced by substituting S for Se, which is
similar to the pressure effect on Tc for the 1111-type superconductor Ca(Fe1-x Co
x )AsF. The Tc of Fe(Se1-x S x ) showed a complex behavior below 1 GPa, first
decreasing and then increasing with increasing pressure. From high-pressure x-ray
diffraction measurements, the Tc (P) curve was correlated with the local
structural parameter.
PMID- 27877521
TI - Te concentration dependent photoemission and inverse-photoemission study of FeSe1
xTex.
AB - We have characterized the electronic structure of FeSe1-x Te x for various x
values using soft x-ray photoemission spectroscopy (SXPES), high-resolution
photoemission spectroscopy (HRPES) and inverse photoemission spectroscopy (IPES).
The SXPES valence band spectral shape shows that the 2 eV feature in FeSe, which
was ascribed to the lower Hubbard band in previous theoretical studies, becomes
less prominent with increasing x. HRPES exhibits systematic x dependence of the
structure near the Fermi level (EF): its splitting near EF and filling of the
pseudogap in FeSe. IPES shows two features, near EF and approximately 6 eV above
EF; the former may be related to the Fe 3d states hybridized with chalcogenide p
states, while the latter may consist of plane-wave-like and Se d components. In
the incident electron energy dependence of IPES, the density of states near EF
for FeSe and FeTe has the Fano lineshape characteristic of resonant behavior.
These compounds exhibit different resonance profiles, which may reflect the
differences in their electronic structures. By combining the PES and IPES data
the on-site Coulomb energy was estimated at 3.5 eV for FeSe.
PMID- 27877523
TI - Electrical isolation of dislocations in Ge layers on Si(001) substrates through
CMOS-compatible suspended structures.
AB - Suspended crystalline Ge semiconductor structures are created on a Si(001)
substrate by a combination of epitaxial growth and simple patterning from the
front surface using anisotropic underetching. Geometric definition of the surface
Ge layer gives access to a range of crystalline planes that have different etch
resistance. The structures are aligned to avoid etch-resistive planes in making
the suspended regions and to take advantage of these planes to retain the
underlying Si to support the structures. The technique is demonstrated by forming
suspended microwires, spiderwebs and van der Pauw cross structures. We finally
report on the low-temperature electrical isolation of the undoped Ge layers. This
novel isolation method increases the Ge resistivity to 280 Omega cm at 10 K, over
two orders of magnitude above that of a bulk Ge on Si(001) layer, by removing
material containing the underlying misfit dislocation network that otherwise
provides the main source of electrical conduction.
PMID- 27877524
TI - From biomedical-engineering research to clinical application and
industrialization.
PMID- 27877522
TI - Photoassisted fabrication of zinc indium oxide/oxysulfide composite for enhanced
photocatalytic H2 evolution under visible-light irradiation.
AB - A photoassisted approach has been developed to synthesize a zinc indium oxide
(Zn5In2O8)/oxysulfide composite through in situ sulfuration of vacancy-rich
Zn5In2O8. It was found that vacancies have a considerable impact on the formation
of the composite. The composite exhibited an increased photocatalytic H2
evolution activity under visible-light irradiation, which probably resulted from
the enhanced ability to separate photoinduced electrons and holes. The H2
evolution rate over the composite was about 17 times higher when using vacancy
rich rather than conventional Zn5In2O8. This study provides a new method of
improving the activity of photocatalysts.
PMID- 27877526
TI - Research and development of metals for medical devices based on clinical needs.
AB - The current research and development of metallic materials used for medicine and
dentistry is reviewed. First, the general properties required of metals used in
medical devices are summarized, followed by the needs for the development of
alpha + beta type Ti alloys with large elongation and beta type Ti alloys with a
low Young's modulus. In addition, nickel-free Ni-Ti alloys and austenitic
stainless steels are described. As new topics, we review metals that are
bioabsorbable and compatible with magnetic resonance imaging. Surface treatment
and modification techniques to improve biofunctions and biocompatibility are
categorized, and the related problems are presented at the end of this review.
The metal surface may be biofunctionalized by various techniques, such as dry and
wet processes. These techniques make it possible to apply metals to scaffolds in
tissue engineering.
PMID- 27877525
TI - Cell membrane-inspired phospholipid polymers for developing medical devices with
excellent biointerfaces.
AB - This review article describes fundamental aspects of cell membrane-inspired
phospholipid polymers and their usefulness in the development of medical devices.
Since the early 1990s, polymers composed of 2-methacryloyloxyethyl
phosphorylcholine (MPC) units have been considered in the preparation of
biomaterials. MPC polymers can provide an artificial cell membrane structure at
the surface and serve as excellent biointerfaces between artificial and
biological systems. They have also been applied in the surface modification of
some medical devices including long-term implantable artificial organs. An MPC
polymer biointerface can suppress unfavorable biological reactions such as
protein adsorption and cell adhesion - in other words, specific biomolecules
immobilized on an MPC polymer surface retain their original functions. MPC
polymers are also being increasingly used for creating biointerfaces with
artificial cell membrane structures.
PMID- 27877528
TI - Processing of nanolitre liquid plugs for microfluidic cell-based assays.
AB - Plugs, i.e. droplets formed in a microchannel, may revolutionize microfluidic
cell-based assays. This study describes a microdevice that handles nanolitre
scale liquid plugs for the preparation of various culture setups and subsequent
cellular assays. An important feature of this mode of liquid operation is that
the recirculation flow generated inside the plug promotes the rapid mixing of
different solutions after plugs are merged, and it keeps cell suspensions
homogeneous. Thus, serial dilutions of reagents and cell suspensions with
different cell densities and cell types were rapidly performed using nanolitres
of solution. Cells seeded through the plug processing grew well in the
microdevice, and subsequent plug processing was used to detect the glucose
consumption of cells and cellular responses to anticancer agents. The plug-based
microdevice may provide a useful platform for cell-based assay systems in various
fields, including fundamental cell biology and drug screening applications.
PMID- 27877529
TI - A smart hydrogel-based time bomb triggers drug release mediated by pH-jump
reaction.
AB - We demonstrate a timed explosive drug release from smart pH-responsive hydrogels
by utilizing a phototriggered spatial pH-jump reaction. A photoinitiated proton
releasing reaction of o-nitrobenzaldehyde (o-NBA) was integrated into poly(N
isopropylacrylamide-co-2-carboxyisopropylacrylamide) (P(NIPAAm-co-CIPAAm))
hydrogels. o-NBA-hydrogels demonstrated the rapid release of protons upon UV
irradiation, allowing the pH inside the gel to decrease to below the pKa value of
P(NIPAAm-co-CIPAAm). The generated protons diffused gradually toward the non
illuminated area, and the diffusion kinetics could be controlled by adjusting the
UV irradiation time and intensity. After irradiation, we observed the enhanced
release of entrapped L-3,4-dihydroxyphenylalanine (DOPA) from the gels, which was
driven by the dissociation of DOPA from CIPAAm. Local UV irradiation also
triggered the release of DOPA from the non-illuminated area in the gel via the
diffusion of protons. Conventional systems can activate only the illuminated
region, and their response is discontinuous when the light is turned off. The
ability of the proposed pH-jump system to permit gradual activation via proton
diffusion may be beneficial for the design of predictive and programmable devices
for drug delivery.
PMID- 27877530
TI - Temperature-responsive electrospun nanofibers for 'on-off' switchable release of
dextran.
AB - We propose a new type of 'smart' nanofiber (NF) with dynamically and reversibly
tunable properties for the 'on-off' controlled release of the polysaccharide
dextran. The fibers are produced by electrospinning copolymers of N
isopropylacrylamide (NIPAAm) and N-hydroxymethylacrylamide (HMAAm). The OH groups
of HMAAm are subsequently crosslinked by thermal curing. The copolymers were
successfully fabricated into a well-defined nanofibrous structure with a diameter
of about 600-700 nm, and the fibers preserved their morphology even after thermal
curing. The resulting crosslinked NFs showed rapid and reversible volume changes
in aqueous media in response to cycles of temperature alternation. The fibrous
morphology was maintained for the crosslinked NFs even after the cycles of
temperature alternation, while non-crosslinked NFs collapsed and dispersed
quickly in the aqueous solution. Dextran-containing NFs were prepared by
electrospinning the copolymers blended with fluorescein isothiocyanate (FITC)
dextran, and the 'on-off' switchable release of FITC-dextran from the crosslinked
NFs was observed. Almost all the FITC-dextran was released from the NFs after six
heating cycles, whereas only a negligible amount of FITC-dextran was evolved
during the cooling process. The reported incorporation of smart properties into
NFs takes advantage of their extremely large surface area and porosity and is
expected to provide a simple platform for on-off drug delivery.
PMID- 27877527
TI - Hydroxylapatite nanoparticles: fabrication methods and medical applications.
AB - Hydroxylapatite (or hydroxyapatite, HAp) exhibits excellent biocompatibility with
various kinds of cells and tissues, making it an ideal candidate for tissue
engineering, orthopedic and dental applications. Nanosized materials offer
improved performances compared with conventional materials due to their large
surface-to-volume ratios. This review summarizes existing knowledge and recent
progress in fabrication methods of nanosized (or nanostructured) HAp particles,
as well as their recent applications in medical and dental fields. In section 1,
we provide a brief overview of HAp and nanoparticles. In section 2, fabrication
methods of HAp nanoparticles are described based on the particle formation
mechanisms. Recent applications of HAp nanoparticles are summarized in section 3.
The future perspectives in this active research area are given in section 4.
PMID- 27877531
TI - Fabrication of DNA-antibody-apatite composite layers for cell-targeted gene
transfer.
AB - Surface-mediated gene transfer systems using apatite (Ap)-based composite layers
have received increased attention in tissue engineering applications owing to
their safety, biocompatibility and relatively high efficiency. In this study, DNA
antibody-apatite composite layers (DA-Ap layers), in which DNA and antibody
molecules are immobilized within a matrix of apatite nanocrystals, were
fabricated using a biomimetic coating process. They were then assayed for their
gene transfer capability for application in a specific cell-targeted gene
transfer. A DA-Ap layer that was fabricated with an anti-CD49f antibody showed a
higher gene transfer capability to the CD49f-positive CHO-K1 cells than a DNA
apatite composite layer (D-Ap layer). The antibody facilitated the gene transfer
capability of the DA-Ap layer only to the specific cells that were expressing
corresponding antigens. When the DA-Ap layer was fabricated with an anti-N
cadherin antibody, a higher gene transfer capability compared with the D-Ap layer
was found in the N-cadherin-positive P19CL6 cells, but not in the N-cadherin
negative UV?2 cells or in the P19CL6 cells that were pre-blocked with anti-N
cadherin. Therefore, the antigen-antibody binding that takes place at the cell
layer interface should be responsible for the higher gene transfer capability of
the DA-Ap than D-Ap layer. These results suggest that the DA-Ap layer works as a
mediator in a specific cell-targeted gene transfer system.
PMID- 27877533
TI - Novel temperature-responsive polymer brushes with carbohydrate residues
facilitate selective adhesion and collection of hepatocytes.
AB - Temperature-responsive glycopolymer brushes were designed to investigate the
effects of grafting architectures of the copolymers on the selective adhesion and
collection of hypatocytes. Homo, random and block sequences of N
isopropylacrylamide and 2-lactobionamidoethyl methacrylate were grafted on glass
substrates via surface-initiated atom transfer radical polymerization. The
galactose/lactose-specific lectin RCA120 and HepG2 cells were used to test for
specific recognition of the polymer brushes containing galactose residues over
the lower critical solution temperatures (LCSTs). RCA120 showed a specific
binding to the brush surfaces at 37 degrees C. These brush surfaces also
facilitated the adhesion of HepG2 cells at 37 degrees C under nonserum
conditions, whereas no adhesion was observed for NIH-3T3 fibroblasts. When the
temperature was decreased to 25 degrees C, almost all the HepG2 cells detached
from the block copolymer brush, whereas the random copolymer brush did not
release the cells. The difference in releasing kinetics of cells from the
surfaces with different grafting architectures can be explained by the correlated
effects of significant changes in LCST, mobility, hydrophilicity and mechanical
properties of the grafted polymer chains. These findings are important for
designing 'on-off' cell capture/release substrates for various biomedical
applications such as selective cell separation.
PMID- 27877532
TI - Mesenchymal stem cell adhesion but not plasticity is affected by high substrate
stiffness.
AB - The acknowledged ability of synthetic materials to induce cell-specific responses
regardless of biological supplies provides tissue engineers with the opportunity
to find the appropriate materials and conditions to prepare tissue-targeted
scaffolds. Stem and mature cells have been shown to acquire distinct morphologies
in vitro and to modify their phenotype when grown on synthetic materials with
tunable mechanical properties. The stiffness of the substrate used for cell
culture is likely to provide cells with mechanical cues mimicking given
physiological or pathological conditions, thus affecting the biological
properties of cells. The sensitivity of cells to substrate composition and
mechanical properties resides in multiprotein complexes called focal adhesions,
whose dynamic modification leads to cytoskeleton remodeling and changes in gene
expression. In this study, the remodeling of focal adhesions in human mesenchymal
stem cells in response to substrate stiffness was followed in the first phases of
cell-matrix interaction, using poly-epsilon-caprolactone planar films with
similar chemical composition and different elasticity. As compared to mature
dermal fibroblasts, mesenchymal stem cells showed a specific response to
substrate stiffness, in terms of adhesion, as a result of differential focal
adhesion assembly, while their multipotency as a bulk was not significantly
affected by matrix compliance. Given the sensitivity of stem cells to matrix
mechanics, the mechanobiology of such cells requires further investigations
before preparing tissue-specific scaffolds.
PMID- 27877534
TI - Design of super-elastic biodegradable scaffolds with longitudinally oriented
microchannels and optimization of the channel size for Schwann cell migration.
AB - We newly designed super-elastic biodegradable scaffolds with longitudinally
oriented microchannels for repair and regeneration of peripheral nerve defects.
Four-armed poly(epsilon-caprolactone-co-D,L-lactide)s (P(CL-co-DLLA)s) were
synthesized by ring-opening copolymerization of CL and DLLA from terminal
hydroxyl groups of pentaerythritol, and acryloyl chloride was then reacted with
the ends of the chains. The end-functionalized P(CL-co-DLLA) was crosslinked in a
cylindrical mold in the presence of longitudinally oriented silica fibers as the
templates, which were later dissolved by hydrofluoric acid. The elastic moduli of
the crosslinked P(CL-co-DLLA)s were controlled between 10-1 and 102 MPa at 37
degrees C, depending on the composition. The scaffolds could be elongated to 700%
of their original size without fracture or damage ('super-elasticity'). Scanning
electron microscopy images revealed that well-defined and highly aligned multiple
channels consistent with the mold design were produced in the scaffolds. Owing to
their elastic nature, the microchannels in the scaffolds did not collapse when
they were bent to 90 degrees . To evaluate the effect of the channel diameter on
Schwann cell migration, microchannels were also fabricated in transparent
poly(dimethylsiloxane), allowing observation of cell migration. The migration
speed increased with channel size, but the Young's modulus of the scaffold
decreased as the channel diameter increased. These findings may serve as the
basis for designing tissue-engineering scaffolds for nerve regeneration and
investigating the effects of the geometrical and dimensional properties on axonal
outgrowth.
PMID- 27877535
TI - Tamibarotene-loaded citric acid-crosslinked alkali-treated collagen matrix as a
coating material for a drug-eluting stent.
AB - Tamibarotene-loaded biodegradable matrices with antithrombogenic and drug
releasing properties were prepared in a crosslinking reaction between amino
groups of alkali-treated collagen (AlCol) and active ester groups of
trisuccinimidyl citrate. The resulting matrices were characterized by their
residual amino group concentrations, swelling ratios and thermal,
antithrombogenic and drug-releasing properties. It was clarified that the
addition of tamibarotene does not inhibit matrix formation. After immersion in
water, the swelling ratio of a matrix became lower than that prior to immersion.
Thermal analysis indicated that AlCol interacted with tamibarotene. The addition
of tamibarotene to the matrix did not influence the antithrombogenic property of
the resulting matrix. A matrix with a high crosslinking density had a prolonged
tamibarotene elution time. These results demonstrate that tamibarotene-loaded
matrices have great potential as a coating material for drug-eluting stents.
PMID- 27877536
TI - Development of an early estimation method for predicting later osteogenic
differentiation activity of rat mesenchymal stromal cells from their attachment
areas.
AB - Cell morphology has received considerable attention in recent years owing to its
possible relationship with cell functions, including proliferation,
differentiation, and migration. Recent evidence suggests that extracellular
environments can also mediate cell functions, particularly cell adhesion. The
aims of this study were to investigate the correlation between osteogenic
differentiation activity and the morphology of rat mesenchymal stromal cells
(MSCs), and to develop a method of estimating osteogenic differentiation
capability of MSCs on biomaterials. We measured the attachment areas of MSCs on
substrates with various types of surface after 2 h of seeding, and quantified the
amount of osteocalcin secreted from MSCs after 3 weeks of culture under
osteogenic differentiation conditions. MSCs with small attachment areas showed a
high osteogenic differentiation activity. These findings indicate that cell
attachment areas correlate well with the osteogenic differentiation activity of
MSCs. They also suggest that the measurement of cell attachment areas is useful
for estimating the osteogenic differentiation activity of MSCs and is a practical
tool for applications of MSCs in regenerative medicine.
PMID- 27877537
TI - PLLA-collagen and PLLA-gelatin hybrid scaffolds with funnel-like porous structure
for skin tissue engineering.
AB - In skin tissue engineering, a three-dimensional porous scaffold is necessary to
support cell adhesion and proliferation and to guide cells moving into the repair
area in the wound healing process. Structurally, the porous scaffold should have
an open and interconnected porous architecture to facilitate homogenous cell
distribution. Moreover, the scaffolds should be mechanically strong to protect
deformation during the formation of new skin. In this study, the hybrid scaffolds
were prepared by forming funnel-like collagen or gelatin sponge on a woven poly(l
lactic acid) (PLLA) mesh. The hybrid scaffolds combined the advantages of both
collagen or gelatin (good cell-interactions) and PLLA mesh (high mechanical
strength). The hybrid scaffolds were used to culture dermal fibroblasts for
dermal tissue engineering. The funnel-like porous structure promoted homogeneous
cell distribution and extracellular matrix production. The PLLA mesh reinforced
the scaffold to avoid deformation. Subcutaneous implantation showed that the PLLA
collagen and PLLA-gelatin scaffolds promoted the regeneration of dermal tissue
and epidermis and reduced contraction during the formation of new tissue. These
results indicate that funnel-like hybrid scaffolds can be used for skin tissue
regeneration.
PMID- 27877539
TI - In vitro evaluation of tissue adhesives composed of hydrophobically modified
gelatins and disuccinimidyl tartrate.
AB - The effect of the hydrophobic group content in gelatin on the bonding strength of
novel tissue-penetrating tissue adhesives was evaluated. The hydrophobic groups
introduced into gelatin were the saturated hexanoyl, palmitoyl, and stearoyl
groups, and the unsaturated oleoyl group. A collagen casing was employed as an
adherend to model soft tissue for the in vitro determination of bonding strength
of tissue adhesives composed of various hydrophobically modified gelatins and
disuccinimidyl tartrate. The adhesive composed of stearoyl-modified gelatin (7.4%
stearoyl; 10Ste) and disuccinimidyl tartrate showed the highest bonding strength.
The bonding strength of the adhesives decreased as the degree of substitution of
the hydrophobic groups increased. Cell culture experiments demonstrated that
fluorescein isothiocyanate-labeled 10Ste was integrated onto the surface of
smooth muscle cells and showed no cytotoxicity. These results suggest that 10Ste
interacted with the hydrophobic domains of collagen casings, such as hydrophobic
amino acid residues and cell membranes. Therefore, 10Ste-disuccinimidyl tartrate
is a promising adhesive for use in aortic dissection.
PMID- 27877538
TI - Substrate stiffness affects skeletal myoblast differentiation in vitro.
AB - To maximize the therapeutic efficacy of cardiac muscle constructs produced by
stem cells and tissue engineering protocols, suitable scaffolds should be
designed to recapitulate all the characteristics of native muscle and mimic the
microenvironment encountered by cells in vivo. Moreover, so not to interfere with
cardiac contractility, the scaffold should be deformable enough to withstand
muscle contraction. Recently, it was suggested that the mechanical properties of
scaffolds can interfere with stem/progenitor cell functions, and thus careful
consideration is required when choosing polymers for targeted applications. In
this study, cross-linked poly-epsilon-caprolactone membranes having similar
chemical composition and controlled stiffness in a supra-physiological range were
challenged with two sources of myoblasts to evaluate the suitability of
substrates with different stiffness for cell adhesion, proliferation and
differentiation. Furthermore, muscle-specific and non-related feeder layers were
prepared on stiff surfaces to reveal the contribution of biological and
mechanical cues to skeletal muscle progenitor differentiation. We demonstrated
that substrate stiffness does affect myogenic differentiation, meaning that
softer substrates can promote differentiation and that a muscle-specific feeder
layer can improve the degree of maturation in skeletal muscle stem cells.
PMID- 27877540
TI - Preparation and biological evaluation of hydroxyapatite-coated nickel-free high
nitrogen stainless steel.
AB - Calcium phosphate was formed on nickel-free high-nitrogen stainless steel (HNS)
by chemical solution deposition. The calcium phosphate deposition was enhanced by
glutamic acid covalently immobilized on the surface of HNS with trisuccinimidyl
citrate as a linker. X-ray diffraction patterns and Fourier transform infrared
spectra showed that the material deposited on glutamic acid-immobilized HNS
within 24 h was low-crystallinity calcium-deficient carbonate-containing
hydroxyapatite (HAp). The biological activity of the resulting HAp-coated HNS was
investigated by using a human osteoblast-like MG-63 cell culture. The HAp-coated
HNS stimulated the alkaline-phosphate activity of the MG-63 culture after 7 days.
Therefore, HAp-coated HNS is suitable for orthopedic devices and soft tissue
adhesion materials.
PMID- 27877541
TI - Surface characterization and cytocompatibility evaluation of silanized magnesium
alloy AZ91 for biomedical applications.
AB - Mg alloys with high Al contents have superior corrosion resistance in aqueous
environments, but poor cytocompatibility compared to that of pure Mg. We have
silanized the cast AZ91 alloy to improve its cytocompatibility using five
different silanes: ethyltriethoxysilane (S1), 3-aminopropyltriethoxysilane (S2),
3-isocyanatopyltriethoxysilane (S3), phenyltriethoxysilane (S4) and
octadecyltriethoxysilane (S5). The surface hydrophilicity/hydrophobicity was
evaluated by water contact angle measurements. X-ray photoelectron analysis was
performed to investigate the changes in surface states and chemical composition.
All silane reagents increased adsorption of the albumin to the modified surface.
In vitro cytocompatibility evaluation revealed that silanization improved cell
growth on AZ91 modified by silane S1. Measurement of the concentration of Mg2+
ions released during the cell culture indicated that silanization does not affect
substrate degradation.
PMID- 27877542
TI - Biodegradable organic acid-crosslinked alkali-treated gelatins with anti
thrombogenic and endothelialization properties.
AB - Gelatins were crosslinked with organic acids and treated with alkali to impart to
them endothelialization and anti-thrombogenic properties. These matrices were
characterized by biochemical and physicochemical techniques. The amounts of
residual amino groups in the matrices decreased with increasing crosslinker
concentration. The matrices with the highest crosslinking densities showed
excellent endothelial cell adhesion and proliferation. In addition, the adhesion
of platelets and formation of fibrin networks on the matrices were suppressed
with increasing crosslinker concentration. The matrices also exhibited excellent
biodegradability, and the degradation rate decreased with increasing crosslinking
density. All the organic acid-crosslinked alkali-treated gelatins showed
excellent anti-thrombogenic and endothelialization properties, superior to those
of glutaraldehyde-crosslinked alkali-treated gelatins.
PMID- 27877543
TI - Comparisons of immersion and electrochemical properties of highly biocompatible
Ti-15Zr-4Nb-4Ta alloy and other implantable metals for orthopedic implants.
AB - Metal release from implantable metals and the properties of oxide films formed on
alloy surfaces were analyzed, focusing on the highly biocompatible Ti-15Zr-4Nb
4Ta alloy. The thickness and electrical resistance (Rp) of the oxide film on such
an alloy were compared with those of other implantable metals. The quantity of
metal released during a 1-week immersion test was considerably smaller for the Ti
15Zr-4Nb-4Ta than the Ti-6Al-4V alloy. The potential (E10) indicating a current
density of 10 MUA cm-2 estimated from the anodic polarization curve was
significantly higher for the Ti-15Zr-4Nb-4Ta than the Ti-6Al-4V alloy and other
metals. Moreover, the oxide film (4-7 nm thickness) formed on the Ti-15Zr-4Nb-4Ta
surface is electrochemically robust. The oxide film mainly consisted of TiO2 with
small amounts of ZrO2, Nb2O5 and Ta2O5 that made the film electrochemically
stable. The Rp of Ti-15Zr-4Nb-4Ta was higher than that of Ti-6Al-4V, i.e. 0.9
Omega cm2 in 0.9% NaCl and 1.3 Omega cm2 in Eagle's medium. This Rp was
approximately five-fold higher than that of stainless steel, which has a history
of more than 40 years of clinical use in the human body. Ti-15Zr-4Nb-4Ta is a
potential implant material for long-term clinical use. Moreover, E10 and Rp were
found to be useful parameters for assessing biological safety.
PMID- 27877544
TI - Chondrocyte spheroids on microfabricated PEG hydrogel surface and their
noninvasive functional monitoring.
AB - A two-dimensional microarray of 10 000 (100 * 100) chondrocyte spheroids was
constructed with a 100 MUm spacing on a micropatterned gold electrode that was
coated with poly(ethylene glycol) (PEG) hydrogels. The PEGylated surface as a
cytophobic region was regulated by controlling the gel structure through
photolithography. In this way, a PEG hydrogel was modulated enough to inhibit
outgrowth of chondrocytes from a cell adhering region in the horizontal
direction, which is critical for inducing formation of three-dimensional
chondrocyte aggregations (spheroids) within 24 h. We further report noninvasive
monitoring of the cellular functional change at the cell membrane using a
chondrocyte-based field effect transistor. This measurement is based on detection
of extracellular potential change induced as a result of the interaction between
extracellular matrix protein secreted from spheroid and substrate at the cell
membrane. The interface potential change at the cell membrane/gate interface can
be monitored during the differentiation of spheroids without any labeling
materials. Our measurements of the time evolution of the interface potential
provide important information for understanding the uptake kinetics for cellular
differentiation.
PMID- 27877545
TI - Nickel-free stainless steel avoids neointima formation following coronary stent
implantation.
AB - SUS316L stainless steel and cobalt-chromium and platinum-chromium alloys are
widely used platforms for coronary stents. These alloys also contain nickel (Ni),
which reportedly induces allergic reactions in some subjects and is known to have
various cellular effects. The effects of Ni on neointima formation after stent
implantation remain unknown, however. We developed coronary stents made of Ni
free high-nitrogen austenitic stainless steel prepared using a N2-gas pressurized
electroslag remelting (P-ESR) process. Neointima formation and inflammatory
responses following stent implantation in porcine coronary arteries were then
compared between the Ni-free and SUS316L stainless steel stents. We found
significantly less neointima formation and inflammation in arteries implanted
with Ni-free stents, as compared to SUS316L stents. Notably, Ni2+ was eluted into
the medium from SUS316L but not from Ni-free stainless steel. Mechanistically,
Ni2+ increased levels of hypoxia inducible factor protein-1alpha (HIF-1alpha) and
its target genes in cultured smooth muscle cells. HIF-1alpha and their target
gene levels were also increased in the vascular wall at SUS316L stent sites but
not at Ni-free stent sites. The Ni-free stainless steel coronary stent reduces
neointima formation, in part by avoiding activation of inflammatory processes via
the Ni-HIF pathway. The Ni-free-stainless steel stent is a promising new coronary
stent platform.
PMID- 27877547
TI - Editorial.
PMID- 27877548
TI - Recent global trends in structural materials research.
PMID- 27877546
TI - Effectiveness and biocompatibility of a novel biological adhesive application for
repair of meniscal tear on the avascular zone.
AB - We have investigated the effectiveness and safety of a newly developed biological
adhesive for repair of meniscal tear. The adhesive was composed of disuccinimidyl
tartrate (DST) as a crosslinker and human serum albumin (HSA) as a hardener. To
determine adequate concentration, bonding strength was measured using a
tensiometer 5 min after applying the adhesive on the avascular zone tear of
porcine meniscus; it was compared with the strengths of commercially available
cyanoacrylate-based and fibrin-based adhesives. In vivo examination was performed
using Japanese white rabbits, creating longitudinal tears on the avascular zone
of meniscus and applying DST-HSA adhesive. Three months after operation the
rabbits were sacrificed and tension test and histological evaluation were
performed. Bonding strength was measured in three porcine meniscus groups: (i)
only suturing, (ii) suturing after applying the adhesive on surface and (iii)
suturing using an adhesive-soaked suture. The optimum concentrations were 0.1
mmol of DST and 42 w/v% of HAS. Bonding strength was greatest with cyanoacrylate
based adhesive, followed by DST-HSA adhesive, and fibrin-based adhesive. No
inflammation was observed in the synovium or surrounding tissues 3 months after
using the DST-HSA adhesive. Bonding strength was greatest with DST-HSA adhesive
soaked suturing group (77 +/- 6 N), followed by suturing only group (61 +/- 5 N)
and surface adhesive application group (60 +/- 8 N). The newly developed DST-HSA
adhesive is considered safe and may be effective in enforcement of bonding of
avascular zone tear of the meniscus.
PMID- 27877549
TI - Hydrogen detection in metals: a review and introduction of a Kelvin probe
approach.
AB - Hydrogen in materials is an important topic for many research fields in materials
science. Hence in the past quite a number of different techniques for determining
the amount of hydrogen in materials and for measuring hydrogen permeation through
them have been developed. Some of these methods have found widespread
application. But for many problems the achievable sensitivity is usually not high
enough and ready-to-use techniques providing also good spatial resolution,
especially in the submicron range, are very limited, and mostly not suitable for
widespread application. In this work this situation will be briefly reviewed and
a novel scanning probe technique based method introduced.
PMID- 27877550
TI - The first bulk nanostructured metal.
AB - Nanotechnology has become an overused adjective, but there has been justified
excitement in the context of structural materials. A class of iron alloys has
been discovered in which a high density of strong interfaces can be created by
heat-treatment alone. The packing of interfaces is so large, and the fact that
there is an intrinsic work hardening mechanism in the structure, leads to
remarkable properties. The genesis of this structure, its commercialization, the
new science associated with the discovery, and its limitations are all explored
in this short review.
PMID- 27877551
TI - Creep behavior and in-depth microstructural characterization of dissimilar
joints.
AB - The 700 degrees C power plants currently under development will utilize Ni-base
alloys such as alloy 617 for components to be operated at temperatures >650
degrees C. Due to economic reasons for components or parts of components which
are subjected to temperatures <650 degrees C, 2% Cr or 9-12% Cr steels is used,
depending on the required mechanical properties. This makes the dissimilar
joining of Ni-base alloys and Cr steels a necessity in these plants. Experimental
investigations show that these joints have to be identified as weak points with
regard to damage development under creep and creep-fatigue loading. The present
investigation focuses on welds between the alloy 617 and 2% Cr steel. Under creep
load the fracture occurs near the fusion line between the 2% Cr steel base metal
and alloy 617 weld metal. To explain the reasons for this fracture location, the
microstructure of this fusion line was investigated using TEM and FIB techniques
after welding and after creep loading. The TEM investigations have shown a small
zone in the weld metal near the fusion line exhibiting chromium depletion and
clearly reduced amounts of chromium carbides, leading to a weakening of this
zone.
PMID- 27877552
TI - Deformation behavior of duplex austenite and epsilon-martensite high-Mn steel.
AB - Deformation and work hardening behavior of Fe-17Mn-0.02C steel containing epsilon
martensite within the austenite matrix have been investigated by means of in situ
microstructural observations and x-ray diffraction analysis. During deformation,
the steel shows the deformation-induced transformation of austenite -> epsilon
martensite -> alpha'-martensite as well as the direct transformation of austenite
-> alpha'-martensite. Based on the calculation of changes in the fraction of each
constituent phase, we found that the phase transformation of austenite -> epsilon
martensite is more effective in work hardening than that of epsilon-martensite ->
alpha'-martensite. Moreover, reverse transformation of epsilon-martensite ->
austenite has also been observed during deformation. It originates from the
formation of stacking faults within the deformed epsilon-martensite, resulting in
the formation of 6H-long periodic ordered structure.
PMID- 27877553
TI - Fe-Al-Mn-C lightweight structural alloys: a review on the microstructures and
mechanical properties.
AB - Adding a large amount of light elements such as aluminum to steels is not a new
concept recalling that several Fe-Al-Mn-C alloys were patented in 1950s for
replacement of nickel or chromium in corrosion resistance steels. However, the so
called lightweight steels or low-density steels were revisited recently, which is
driven by demands from the industry where steel has served as a major structural
material. Strengthening without loss of ductility has been a triumph in steel
research, but lowering the density of steel by mixing with light elements will be
another prospect that may support the competitiveness against emerging
alternatives such as magnesium alloys. In this paper, we review recent studies on
lightweight steels, emphasizing the concept of alloy design for microstructures
and mechanical properties. The influence of alloying elements on the phase
constituents, mechanical properties and the change of density is critically
reviewed. Deformation mechanisms of various lightweight steels are discussed as
well. This paper provides a reason why the success of lightweight steels is
strongly dependent on scientific achievements even though alloy development is
closely related to industrial applications. Finally, we summarize some of the
main directions for future investigations necessary for vitalizing this field of
interest.
PMID- 27877555
TI - A thermo-mechanical correlation with driving forces for hcp martensite and twin
formations in the Fe-Mn-C system exhibiting multicomposition sets.
AB - The thermodynamic properties of the Fe-Mn-C system were investigated by using an
analytical model constructed by a CALPHAD approach. The stacking fault energy
(SFE) of the fcc structure with respect to the hcp phase was always constant at
T0, independent of the composition and temperature when other related parameters
were assumed to be constant. Experimental limits for the thermal hcp formation
and the mechanical (deformation-induced) hcp formation were separated by the SFE
at T0. The driving force for the fcc to hcp transition, defined as a
dimensionless value -dGm/(RT), was determined in the presence of Fe-rich and Mn
rich composition sets in each phase. Carbon tended to partition to the Mn-rich
phase rather than to the Fe-rich phase for the compositions studied. The results
obtained revealed a thermo-mechanical correlation with empirical yield strength,
maximum true stress and maximum true strain. The proportionality between
thermodynamics and mechanical properties is discussed.
PMID- 27877554
TI - Advanced three-dimensional electron microscopy techniques in the quest for better
structural and functional materials.
AB - After a short review of electron tomography techniques for materials science,
this overview will cover some recent results on different shape memory and
nanostructured metallic systems obtained by various three-dimensional (3D)
electron imaging techniques. In binary Ni-Ti, the 3D morphology and distribution
of Ni4Ti3 precipitates are investigated by using FIB/SEM slice-and-view yielding
3D data stacks. Different quantification techniques will be presented including
the principal ellipsoid for a given precipitate, shape classification following a
Zingg scheme, particle distribution function, distance transform and water
penetration. The latter is a novel approach to quantifying the expected matrix
transformation in between the precipitates. The different samples investigated
include a single crystal annealed with and without compression yielding layered
and autocatalytic precipitation, respectively, and a polycrystal revealing
different densities and sizes of the precipitates resulting in a multistage
transformation process. Electron tomography was used to understand the
interaction between focused ion beam-induced Frank loops and long dislocation
structures in nanobeams of Al exhibiting special mechanical behaviour measured by
on-chip deposition. Atomic resolution electron tomography is demonstrated on Ag
nanoparticles in an Al matrix.
PMID- 27877556
TI - Microstructure and cleavage in lath martensitic steels.
AB - In this paper we discuss the microstructure of lath martensitic steels and the
mechanisms by which it controls cleavage fracture. The specific experimental
example is a 9Ni (9 wt% Ni) steel annealed to have a large prior austenite grain
size, then examined and tested in the as-quenched condition to produce a
relatively coarse lath martensite. The microstructure is shown to approximate the
recently identified 'classic' lath martensite structure: prior austenite grains
are divided into packets, packets are subdivided into blocks, and blocks contain
interleaved laths whose variants are the two Kurjumov-Sachs relations that share
the same Bain axis of the transformation. When the steel is fractured in brittle
cleavage, the laths in the block share {100} cleavage planes and cleave as a
unit. However, cleavage cracks deflect or blunt at the boundaries between blocks
with different Bain axes. It follows that, as predicted, the block size governs
the effective grain size for cleavage.
PMID- 27877557
TI - Nanoscale structure and atomic disorder in the iron-based chalcogenides.
AB - The multiband iron-based superconductors have layered structure with a phase
diagram characterized by a complex interplay of charge, spin and lattice
excitations, with nanoscale atomic structure playing a key role in their
fundamental electronic properties. In this paper, we briefly review nanoscale
structure and atomic disorder in iron-based chalcogenide superconductors. We
focus on the Fe(Se,S)1-x Te x (11-type) and K0.8Fe1.6Se2 (122-type) systems,
discussing their local structure obtained by extended x-ray absorption fine
structure. Local structure studies on the Fe(Se,S)1-x Te x system reveal clear
nanoscale phase separation characterized by coexisting components of different
atomic configurations, similar to the case of random alloys. In fact, the Fe-Se/S
and Fe-Te distances in the ternary Fe(Se,S)1-x Te x are found to be closer to the
respective distances in the binary FeSe/FeS and FeTe systems, showing significant
divergence of the local structure from the average one. The observed features are
characteristic of ternary random alloys, indicating breaking of the local
symmetry in these materials. On the other hand, K0.8Fe1.6Se2 is known for phase
separation in an iron-vacancy ordered phase and an in-plane compressed lattice
phase. The local structure of these 122-type chalcogenides shows that this system
is characterized by a large local disorder. Indeed, the experiments suggest a
nanoscale glassy phase in K0.8Fe1.6Se2, with the superconductivity being similar
to the granular materials. While the 11-type structure has no spacer layer, the
122-type structure contains intercalated atoms unlike the 1111-type REFeAsO (RE =
rare earth) oxypnictides, having well-defined REO spacer layers. It is clear that
the interlayer atomic correlations in these iron-based superconducting structures
play an important role in structural stability as well as superconductivity and
magnetism.
PMID- 27877558
TI - Recent advances in beta-FeSe1-x and related superconductors.
AB - It has been more than four years since the discovery of beta-FeSe1-x
superconductors. Through the efforts of many outstanding research groups,
unprecedented advances in the field have been achieved. High-quality single
crystals of beta-FeSe1-x and related compounds have been prepared by various
techniques, allowing us to explore in detail the physical properties of this
class of materials. Detailed characterizations of the structure and properties of
these crystals have helped us to understand the origin of superconductivity in
beta-FeSe1-x . The occurrence of superconductivity is associated with the low
temperature structure distortion, which is accompanied by several anomalies.
Recent measurements on quasiparticle and acoustic phonon dynamics with respect to
the orbital modification in beta-FeSe1-x suggest the opening of an energy gap
below 130-140 K, accompanied by a coincident transfer of optical spectral weight
in the visible range and alterations in transport properties. These observations
provide convincing evidence that the modification of the electronic structure
occurs prior to the lattice distortion. They further suggest that the high
temperature gap and the lattice symmetry breaking are driven by short-range
orbital and/or charge orders.
PMID- 27877559
TI - Systematic studies of tannin-formaldehyde aerogels: preparation and properties.
AB - Gelation of tannin-formaldehyde (TF) solutions was systematically investigated by
changing pH and concentration of TF resin in water. In this way we constructed
the TF phase diagram, from which chemical hydrogels could be described, and also
synthesized thermoreversible tannin-based hydrogels. Conditions of non-gelation
were also determined. Hydrogels were dried in supercritical CO2, leading to a
broad range of TF aerogels. The latter were investigated for volume shrinkage,
total porosity, micro-, meso- and macropore volumes, Brunauer-Emmett-Teller (BET)
surface area, microscopic texture, mechanical and thermal properties. All these
properties are discussed in relation to each other, leading to an accurate and
self-consistent description of these bioresource-based highly porous materials.
The conditions for obtaining the highest BET surface area or mesopore volume were
determined and explained in relation to the preparation conditions. The highest
BET surface area, 880 m2 g-1, is remarkably high for organic aerogels derived
from a natural resource.
PMID- 27877561
TI - Synthesis of Au microwires by selective oxidation of Au-W thin-film composition
spreads.
AB - We report on the stress-induced growth of Au microwires out of a surrounding Au-W
matrix by selective oxidation, in view of a possible application as 'micro
Velcro'. The Au wires are extruded due to the high compressive stress in the
tungsten oxide formed by oxidation of elemental W. The samples were fabricated as
a thin-film materials library using combinatorial sputter deposition followed by
thermal oxidation. Sizes and shapes of the Au microwires were investigated as a
function of the W to Au ratio. The coherence length and stress state of the Au
microwires were related to their shape and plastic deformation. Depending on the
composition of the Au-W precursor, the oxidized samples showed regions with
differently shaped Au microwires. The Au48W52 composition yielded wires with the
maximum length to diameter ratio due to the high compressive stress in the
tungsten oxide matrix. The values of wire length (35 MUm) and diameter (2 MUm)
achieved at the Au48W52 composition are suitable for micro-Velcro applications.
PMID- 27877560
TI - Micrometer-level naked-eye detection of caesium particulates in the solid state.
AB - Large amounts of radioactive material were released from the Fukushima Daiichi
nuclear plant in Japan, contaminating the local environment. During the early
stages of such nuclear accidents, iodine I-131 (half-life 8.02 d) is usually
detectable in the surrounding atmosphere and bodies of water. On the other hand,
in the long-term, soil and water contamination by Cs-137, which has a half-life
of 30.17 years, is a serious problem. In Japan, the government is planning and
carrying out radioactive decontamination operations not only with public agencies
but also non-governmental organizations, making radiation measurements within
Japan. If caesium (also radiocaesium) could be detected by the naked eye then its
environmental remediation would be facilitated. Supramolecular material
approaches, such as host-guest chemistry, are useful in the design of high
resolution molecular sensors and can be used to convert molecular-recognition
processes into optical signals. In this work, we have developed molecular
materials (here, phenols) as an optical probe for caesium cation-containing
particles with implementation based on simple spray-on reagents and a commonly
available fluorescent lamp for naked-eye detection in the solid state. This
chemical optical probe provides a higher spatial resolution than existing
radioscopes and gamma-ray cameras.
PMID- 27877562
TI - Local strains, calorimetry, and magnetoresistance in adaptive martensite
transition in multiple nanostrips of Ni39+x Mn50Sn11-x (x ? 2) alloys.
AB - Ni39+x Mn50Sn11-x (x = 0.5, 1.0, 1.5 and 2) alloys comprise multiple martensite
nanostrips of nanocrystallites when cast in small discs, for example, ~15 mm
diameter and 8 mm width. A single martensite phase with a L10 tetragonal crystal
structure at room temperature can be formed at a critical Sn content of 9.0 at.%
(x = 2), whereas an austenite cubic L21 phase turns up at smaller x ? 1.5. The
decrease in the Sn content from x = 2 to 0.5 also results in a gradual increase
in the crystallite size from 11 to 17 nm. Scanning electron microscopy images
reveal arrays of regularly displaced multiple martensite strips (x ? 1.5) with an
average thickness of 20 nm. As forced oscillators, these strips carry over the
local strains, magnetic dipoles, and thermions simultaneously in a martensite
austenite (or reverse) phase transition. A net residual enthalpy change DeltaHM<
>A = -0.12 J g-1 arises in the process that lacks reversibility between the
cooling and heating cycles. A large magnetoresistance of (-)26% at 10 T is
observed together with a large entropy change of 11.8 mJ g-1 K-1, nearly twice
the value ever reported in such alloys, in the isothermal magnetization at 311 K.
The DeltaHM<->A irreversibility accounts for a thermal hysteresis in the
electrical resistivity. Strain induced in the martensite strips leads them to
have a higher electrical resistivity than that of the higher-temperature
austenite phase. A model considering time-dependent enthalpy relaxation explains
the irreversibility features.
PMID- 27877563
TI - Generation of microgrooved silica nanotube membranes with sustained drug delivery
and cell contact guidance ability by using a Teflon microfluidic chip.
AB - Silica nanotubes have been extensively applied in the biomedical field. However,
very little attention has been paid to the fabrication and application of
micropatterned silica nanotubes. In the present study, microgrooved silica
nanotube membranes were fabricated in situ by microgrooving silica-coated
collagen hybrid fibril hydrogels in a Teflon microfluidic chip followed by
calcination for removal of collagen fibrils. Scanning electron microscopy images
showed that the resulting silica nanotube membranes displayed a typical
microgroove/ridge surface topography with ~50 MUm microgroove width and ~120 MUm
ridge width. They supported adsorption of bone morphogenetic protein 2 (BMP-2)
and exhibited a sustained release behavior for BMP-2. After culturing with
osteoblast MC3T3-E1 cells, they induced an enhanced osteoblast differentiation
due to the release of biologically active BMP-2 and a strong contact guidance
ability to directly align and elongate osteoblasts due to the presence of
microgrooved surface topography, indicating their potential application as a
multi-functional cell-supporting matrix for tissue generation.
PMID- 27877564
TI - Layered rare-earth hydroxide and oxide nanoplates of the Y/Tb/Eu system: phase
controlled processing, structure characterization and color-tunable
photoluminescence via selective excitation and efficient energy transfer.
AB - Well-crystallized (Y0.97-x Tb0.03Eu x )2(OH)5NO3.nH2O (x = 0-0.03) layered rare
earth hydroxide (LRH) nanoflakes of a pure high-hydration phase have been
produced by autoclaving from the nitrate/NH4OH reaction system under the
optimized conditions of 100 degrees C and pH ~7.0. The flakes were then
converted into (Y0.97-x Tb0.03Eu x )2O3 phosphor nanoplates with color-tunable
photoluminescence. Detailed structural characterizations confirmed that LRH solid
solutions contained NO3- anions intercalated between the layers. Characteristic
Tb3+ and Eu3+ emissions were detected in the ternary LRHs by selectively exciting
the two types of activators, and the energy transfer from Tb3+ to Eu3+ was
observed. Annealing the LRHs at 1100 degrees C produced cubic-lattice (Y0.97-x
Tb0.03Eu x )2O3 solid-solution nanoplates with exposed 222 facets. Multicolor,
intensity-adjustable luminescence was attained by varying the excitation
wavelength from ~249 nm (the charge transfer excitation band of Eu3+) to 278 nm
(the 4f8-4f75d1 transition of Tb3+). Unitizing the efficient Tb3+ to Eu3+ energy
transfer, the emission color of (Y0.97-x Tb0.03Eu x )2O3 was tuned from
approximately green to yellowish-orange by varying the Eu3+/Tb3+ ratio. At the
optimal Eu3+ content of x = 0.01, the efficiency of energy transfer was ~91% and
the transfer mechanism was suggested to be electric multipole interactions. The
phosphor nanoplates developed in this work may be incorporated in luminescent
films and find various lighting and display applications.
PMID- 27877566
TI - Role of toll-like receptors 3, 4 and 7 in cellular uptake and response to
titanium dioxide nanoparticles.
AB - Innate immune response is believed to be among the earliest provisional cellular
responses, and mediates the interactions between microbes and cells. Toll-like
receptors (TLRs) are critical to these interactions. We hypothesize that TLRs
also play an important role in interactions between nanoparticles (NPs) and
cells, although little information has been reported concerning such an
interaction. In this study, we investigated the role of TLR3, TLR4 and TLR7 in
cellular uptake of titanium dioxide NP (TiO2 NP) agglomerates and the resulting
inflammatory responses to these NPs. Our data indicate that TLR4 is involved in
the uptake of TiO2 NPs and promotes the associated inflammatory responses. The
data also suggest that TLR3, which has a subcellular location distinct from that
of TLR4, inhibits the denaturation of cellular protein caused by TiO2 NPs. In
contrast, the unique cellular localization of TLR7 has middle-ground functional
roles in cellular response after TiO2 NP exposure. These findings are important
for understanding the molecular interaction mechanisms between NPs and cells.
PMID- 27877565
TI - Determination of the surface band bending in In x Ga1-x N films by hard x-ray
photoemission spectroscopy.
AB - Core-level and valence band spectra of In x Ga1-x N films were measured using
hard x-ray photoemission spectroscopy (HX-PES). Fine structure, caused by the
coupling of the localized Ga 3d and In 4d with N 2s states, was experimentally
observed in the films. Because of the large detection depth of HX-PES (~20 nm),
the spectra contain both surface and bulk information due to the surface band
bending. The In x Ga1-x N films (x = 0-0.21) exhibited upward surface band
bending, and the valence band maximum was shifted to lower binding energy when
the mole fraction of InN was increased. On the other hand, downward surface band
bending was confirmed for an InN film with low carrier density despite its n-type
conduction. Although the Fermi level (EF) near the surface of the InN film was
detected inside the conduction band as reported previously, it can be concluded
that EF in the bulk of the film must be located in the band gap below the
conduction band minimum.
PMID- 27877567
TI - In vitro and in vivo evaluation of electrospun PCL/PMMA fibrous scaffolds for
bone regeneration.
AB - Scaffolds were fabricated by electrospinning using polycaprolactone (PCL) blended
with poly(methyl methacrylate) (PMMA) in ratios of 10/0, 7/3, 5/5 and 3/7. The
PCL/PMMA ratio affected the fiber diameter, contact angle, tensile strength and
biological in vitro and in vivo properties of the scaffolds, and the 7/3 ratio
resulted in a higher mechanical strength than 5/5 and 3/7. In vitro cytotoxicity
and proliferation of MG-63 osteoblast cells on these blended scaffolds were
examined by MTT assay, and it was found that PCL/PMMA blends are suitable for
osteoblast cell proliferation. Confocal images and expression of proliferating
cell nuclear antigen confirmed the good proliferation and expression of cells on
the 7/3 PCL/PMMA fibrous scaffolds. In vivo bone formation was examined using rat
models, and bone formation was observed on the 7/3 PCL/PMMA scaffold within 2
months. In vitro and in vivo results suggest that 7/3 PCL/PMMA scaffolds can be
used for bone tissue regeneration.
PMID- 27877570
TI - Ab initio identified design principles of solid-solution strengthening in Al.
AB - Solid-solution strengthening in six Al-X binary systems is investigated using
first-principle methods. The volumetric mismatch parameter and the solubility
enthalpy per solute were calculated. We derive three rules for designing solid
solution strengthened alloys: (i) the solubility enthalpy per solute is related
to the volumetric mismatch by a power law; (ii) for each annealing temperature,
there exists an optimal solute-volume mismatch to achieve maximum strength; and
(iii) the strengthening potential of high volumetric mismatch solutes is severely
limited by their low solubility. Our results thus show that the thermodynamic
properties of the system (here Al-X alloys) set clear upper bounds to the
achievable strengthening effects owing to the reduced solubility with increasing
volume mismatch.
PMID- 27877569
TI - Oxide-based inorganic/organic and nanoporous spherical particles: synthesis and
functional properties.
AB - This paper reviews the recent progress in the preparation of oxide-based and
heteroatom-doped particles. Surfactant-templated oxide particles, e.g. silica and
titania, are possible candidates for various potential applications such as
adsorbents, photocatalysts, and optoelectronic and biological materials. We
highlight nanoporous oxides of one element, such as silicon or titanium, and
those containing multiple elements, which exhibit properties that are not
achieved with individual components. Although the multicomponent nanoporous
oxides possess a number of attractive functions, the origin of their properties
is hard to determine due to compositional/structural complexity. Particles with a
well-defined size and shape are keys for a quantitative and detailed discussion
on the unique complex properties of the particles. From this viewpoint, we review
the synthesis techniques of the oxide particles, which are functionalized with
organic molecules or doped with heteroatoms, the physicochemical properties of
the particles and the possibilities for their photofunctional applications as
complex systems.
PMID- 27877568
TI - Dispersion and surface functionalization of oxide nanoparticles for transparent
photocatalytic and UV-protecting coatings and sunscreens.
AB - This review describes recent efforts on the synthesis, dispersion and surface
functionalization of the three dominating oxide nanoparticles used for
photocatalytic, UV-blocking and sunscreen applications: titania, zinc oxide, and
ceria. The gas phase and liquid phase synthesis is described briefly and examples
are given of how weakly aggregated photocatalytic or UV-absorbing oxide
nanoparticles with different composition, morphology and size can be generated.
The principles of deagglomeration are reviewed and the specific challenges for
nanoparticles highlighted. The stabilization of oxide nanoparticles in both
aqueous and non-aqueous media requires a good understanding of the magnitude of
the interparticle forces and the surface chemistry of the materials. Quantitative
estimates of the Hamaker constants in various media and measurements of the
isoelectric points for the different oxide nanoparticles are presented together
with an overview of different additives used to prepare stable dispersions. The
structural and chemical requirements and the various routes to produce
transparent photocatalytic and nanoparticle-based UV-protecting coatings, and UV
blocking sunscreens are described and discussed.
PMID- 27877571
TI - Fretting fatigue behaviour of Ni-free high-nitrogen stainless steel in a
simulated body fluid.
AB - Fretting fatigue behaviour of Ni-free high-nitrogen steel (HNS) with a yield
strength of about 800 MPa, which was prepared by nitrogen gas pressurized
electroslag remelting, was studied in air and in phosphate-buffered saline (PBS(
)). For comparison, fretting fatigue behaviour of cold-rolled SUS316L steel
(SUS316L(CR)) with similar yield strength was examined. The plain fatigue limit
of HNS was slightly lower than that of SUS316L(CR) although the former had a
higher tensile strength than the latter. The fretting fatigue limit of HNS was
higher than that of SUS316L(CR) both in air and in PBS(-). A decrease in fatigue
limit of HNS by fretting was significantly smaller than that of SUS316L(CR) in
both environments, indicating that HNS has better fretting fatigue resistance
than SUS316L(CR). The decrease in fatigue limit by fretting is discussed taking
into account the effect of friction stress due to fretting and the additional
influences of wear, tribocorrosion and plastic deformation in the fretted area.
PMID- 27877572
TI - One-pot synthesis of magnetic, macro/mesoporous bioactive glasses for bone tissue
engineering.
AB - Magnetic and macro/mesoporous bioactive glasses were synthesized by a one-pot
method via a handy salt leaching technique. It was identified to be an effective
and simple synthetic strategy. The non-ionic triblock copolymer, poly(ethylene
glycol)-block-poly(propylene glycol)-block-poly(ethylene glycol) (P123), was used
as the structure directing agent for mesoporous structure but also as the
reductant to reduce the iron source into magnetic iron oxide. The prepared
materials exhibited excellent super-paramagnetic property with interconnected
macroporous (200-300 MUm) and mesoporous (3.4 nm) structure. Furthermore, their
outstanding drug storage/release properties and rapid (5) induction of
hydroxyapatite growth ability were investigated after immersing in simulated body
fluid solution at 37 degrees C. Notably, the biocompatibility assessment
confirmed that the materials obtained presented good biocompatibility and
enhanced adherence of HeLa cells. Herein, the novel materials are expected to
have potential application for bone tissue engineering.
PMID- 27877573
TI - Nitrogen in chromium-manganese stainless steels: a review on the evaluation of
stacking fault energy by computational thermodynamics.
AB - Nitrogen in austenitic stainless steels and its effect on the stacking fault
energy (SFE) has been the subject of intense discussions in the literature. Until
today, no generally accepted method for the SFE calculation exists that can be
applied to a wide range of chemical compositions in these systems. Besides
different types of models that are used from first-principle to thermodynamics
based approaches, one main reason is the general lack of experimentally measured
SFE values for these steels. Moreover, in the respective studies, not only
different alloying systems but also different domains of nitrogen contents were
analyzed resulting in contrary conclusions on the effect of nitrogen on the SFE.
This work gives a review on the current state of SFE calculation by computational
thermodynamics for the Fe-Cr-Mn-N system. An assessment of the thermodynamic
effective Gibbs free energy, [Formula: see text], model for the [Formula: see
text] phase transformation considering existing data from different literature
and commercial databases is given. Furthermore, we introduce the application of a
non-constant composition-dependent interfacial energy, b gamma/epsilon , required
to consider the effect of nitrogen on SFE in these systems.
PMID- 27877574
TI - Nanocomposite for methanol oxidation: synthesis and characterization of cubic Pt
nanoparticles on graphene sheets.
AB - We present our recent results on Pt nanoparticles on graphene sheets (Pt-NPs/G),
a nanocomposite prepared with microwave assistance in ionic liquid 2
hydroxyethanaminiumformate. Preparation of Pt-NPs/G was achieved without the
addition of extra reductant such as hydrazine or ethylene glycol. The Pt
nanoparticles on graphene have a cubic-like shape (about 60 wt% Pt loading, Pt
NPs/G) and the particle size is 6 +/- 3 nm from transmission electron microscopy
results. Electrochemical cyclic voltammetry studies in 0.5 M aqueous H2SO4 were
performed using Pt-NPs/G and separately, for comparison, using a commercially
available electrocatalyst (60 wt% Pt loading, Pt/C). The electrochemical surface
ratio of Pt-NPs/G to Pt/C is 0.745. The results of a methanol oxidation reaction
(MOR) in 0.5 M aqueous H2SO4 + 1.0 M methanol for the two samples are presented.
The MOR results show that the ratios of the current density of oxidation (If) to
the current density of reduction (Ib) are 3.49 (Pt-NPs/G) and 1.37 (Pt/C),
respectively, with a preference by 2.55 times favoring Pt-NPs/G. That is, the
tolerance CO poisoning of Pt-NPs/G is better than that of commercial Pt/C.
PMID- 27877575
TI - Tissue-engineered endothelial cell layers on surface-modified Ti for inhibiting
in vitro platelet adhesion.
AB - A tissue-engineered endothelial layer was prepared by culturing endothelial cells
on a fibroblast growth factor-2 (FGF-2)-l-ascorbic acid phosphate magnesium salt
n-hydrate (AsMg)-apatite (Ap) coated titanium plate. The FGF-2-AsMg-Ap coated Ti
plate was prepared by immersing a Ti plate in supersaturated calcium phosphate
solutions supplemented with FGF-2 and AsMg. The FGF-2-AsMg-Ap layer on the Ti
plate accelerated proliferation of human umbilical vein endothelial cells
(HUVECs), and showed slightly higher, but not statistically significant, nitric
oxide release from HUVECs than on as-prepared Ti. The endothelial layer
maintained proper function of the endothelial cells and markedly inhibited in
vitro platelet adhesion. The tissue-engineered endothelial layer formed on the
FGF-2-AsMg-Ap layer is promising for ameliorating platelet activation and
thrombus formation on cardiovascular implants.
PMID- 27877576
TI - Probing the electronic properties of ternary A n M3n-1B2n (n = 1: A = Ca, Sr; M =
Rh, Ir and n = 3: A = Ca, Sr; M = Rh) phases: observation of superconductivity.
AB - We follow the evolution of the electronic properties of the titled homologous
series when n as well as the atomic type of A and M are varied where for n = 1, A
= Ca, Sr and M = Rh, Ir while for n = 3, A = Ca, Sr and M = Rh. The crystal
structure of n = 1 members is known to be CaRh2B2-type (Fddd), while that of n =
3 is Ca3Rh8B6-type (Fmmm); the latter can be visualized as a stacking of
structural fragments from AM3B2 (P6/mmm) and AM2B2. The metallic properties of
the n = 1 and 3 members are distinctly different: on the one hand, the n = 1
members are characterized by a linear coefficient of the electronic specific heat
gamma ~ 3 mJ mol-1 K-2, a Debye temperature thetaD ~ 300 K, a normal conductivity
down to 2 K and a relatively strong linear magnetoresistivity for fields up to
150 kOe. The n = 3 family, on the other hand, exhibits gamma ~ 18 mJ mol-1 K-2,
thetaD ~ 330 K, a weak linear magnetoresistivity and an onset of
superconductivity (for Ca3Rh8B6, Tc = 4.0 K and Hc2 = 14.5 kOe, while for Sr3Rh8
B6, Tc = 3.4 K and Hc2 ~ 4.0 kOe). These remarkable differences are consistent
with the findings of the electronic band structures and density of state (DOS)
calculations. In particular, satisfactory agreement between the measured and
calculated gamma was obtained. Furthermore, the Fermi level, EF, of Ca3Rh8B6 lies
at almost the top of a pronounced local DOS peak, while that of CaRh2B2 lies at a
local valley: this is the main reason behind the differences between the, e.g.,
superconducting properties. Finally, although all atoms contribute to the DOS at
EF, the contribution of the Rh atoms is the strongest.
PMID- 27877577
TI - Highly conductive interwoven carbon nanotube and silver nanowire transparent
electrodes.
AB - Electrodes fabricated using commercially available silver nanowires (AgNWs) and
single walled carbon nanotubes (SWCNTs) produced sheet resistances in the range 4
24 Omega ?-1 with specular transparencies up to 82 %. Increasing the aqueous
dispersibility of SWCNTs decreased the bundle size present in the film resulting
in improved SWCNT surface dispersion in the films without compromising
transparency or sheet resistance. In addition to providing conduction pathways
between the AgNW network, the SWCNTs also provide structural support, creating
stable self-supporting films. Entanglement of the AgNWs and SWCNTs was
demonstrated to occur in solution prior to deposition by monitoring the
transverse plasmon resonance mode of the AgNWs during processing. The interwoven
AgNW/SWCNT structures show potential for use in optoelectronic applications as
transparent electrodes and as an ITO replacement.
PMID- 27877578
TI - Sb-mediated Ge quantum dots in Ti-oxide-Si diode: negative differential
capacitance.
AB - The negative differential capacitance (NDC) effect is observed on a titanium
oxide-silicon structure, formed on n-type silicon with embedded germanium quantum
dots (QDs). The Ge QDs were grown by an Sb-mediated technique. The NDC effect was
observed for temperatures below 200 K. We found that approximately six to eight
electrons can be trapped in the valence band states of Ge QDs. We explain the NDC
effect in terms of the emission of electrons from valence band states in the very
narrow QD layer under reverse bias.
PMID- 27877579
TI - Extruded blend films of poly(vinyl alcohol) and polyolefins: common and hard
elastic nanostructure evolution in the polyolefin during straining as monitored
by SAXS.
AB - Straining of PVA/PE and PVA/PP blends (70:30) is monitored by small-angle x-ray
scattering (SAXS). Sheet-extruded films with different predraw ratio are
investigated. The discrete SAXS of predrawn samples originates from polyolefin
nanofibrils inside of polyolefin microfibrils immersed in a PVA matrix. PE
nanofibrils deform less than the macroscopic strain without volume change. PP
nanofibrils experience macroscopic strain. They lengthen but their diameter does
not decrease. This is explained by strain-induced crystallization of PP from an
amorphous depletion shell around the core of the nanofibril. The undrawn PVA/PE
film exhibits isotropic semicrystalline nanostructure. Undrawn PVA/PP holds PP
droplets containing oriented stacks of semicrystalline PP like neat precursors of
hard-elastic thermoplasts. Respective predrawn films are softer than the undrawn
material, indicating conversion into the hard-elastic state. Embedding of the
polyolefin significantly retards neck formation. The polyolefin microfibrils can
easily be extracted from the water-soluble matrix.
PMID- 27877580
TI - 18O-tracer diffusion along nanoscaled Sc2O3/yttria stabilized zirconia (YSZ)
multilayers: on the influence of strain.
AB - The oxygen tracer diffusion coefficient describing transport along nano
/microscaled YSZ/Sc2O3 multilayers as a function of the thick-ness of the ion
conducting YSZ layers has been measured by isotope exchange depth profiling
(IEDP), using secondary ion mass spec-trometry (SIMS). The multilayer samples
were prepared by pulsed laser deposition (PLD) on (0001) Al2O3 single crystalline
substrates. The values for the oxygen tracer diffusion coefficient were analyzed
as a combination of contributions from bulk and interface contributions and
compared with results from YSZ/Y2O3-multilayers with similar microstructure.
Using the Nernst-Einstein equation as the relation between diffusivity and
electrical conductivity we find very good agreement between conductivity and
diffusion data, and we exclude substantial electronic conductivity in the
multilayers. The effect of hetero-interface transport can be well explained by a
simple interface strain model. As the multilayer samples consist of columnar film
crystallites with a defined inter-face structure and texture, we also discuss the
influence of this particular microstructure on the interfacial strain.
PMID- 27877581
TI - Evolution of the tetragonal to rhombohedral transition in (1 - x)(Bi1/2Na1/2)TiO3
- xBaTiO3 (x <= 7%).
AB - (1 - x)(Bi1/2Na1/2)TiO3 - xBaTiO3 has been the most studied Pb-free piezoelectric
material in the last decade; however, puzzles still remain about its phase
transitions, especially around the important morphotropic phase boundary (MPB).
By introducing the strain glass transition concept from the ferroelastic field,
it was found that the phase transition from tetragonal (T, P4bm) to rhombohedral
(R, R3c) was affected by a strain glass transition at higher temperature for x ?
4%. In these compositions, the T-R transition was delayed or even totally
suppressed and displayed huge thermal hysteresis upon cooling and heating. Also,
isothermal phase transitions were predicted and realized successfully in the
crossover region, where the interaction between the T-R transition and the strain
glass transition was strong. Our results revealed the strain glass nature in
compositions around the MPB in this important material, and also provide new
clues for understanding the transition complexity in other (Bi1/2Na1/2)TiO3-based
Pb-free piezoelectric materials.
PMID- 27877583
TI - Nanomaterials and nanofabrication for biomedical applications.
PMID- 27877582
TI - Influence of surface features on the adhesion of Staphylococcus epidermidis to Ag
TiCN thin films.
AB - Staphylococcus epidermidis has emerged as one of the major nosocomial pathogens
associated with infections of implanted medical devices. The initial adhesion of
these organisms to the surface of biomaterials is assumed to be an important
stage in their colonization. The main objective of this work is to assess the
influence of surface features on the adhesion of S. epidermidis to Ag-TiCN
coatings deposited by dc reactive magnetron sputtering. The structural results
obtained by x-ray diffraction show that the coatings crystallize in a B1-NaCl
crystal structure typical of TiC0.3N0.7. The increase of Ag content promoted the
formation of Ag crystalline phases. According to the results obtained with atomic
force microscopy, a decrease on the surface roughness of the films from 39 to 7
nm is observed as the Ag content increases from 0 to 15 at.%. Surface energy
results show that the increase of Ag promotes an increase in hydrophobicity.
Bacterial adhesion and biofilm formation on coatings were assessed by the
enumeration of the number of viable cells. The results showed that the surface
with lower roughness and higher hydrophobicity leads to greater bacterial
adhesion and biofilm formation, highlighting that surface morphology and
hydrophobicity rule the colonization of materials.
PMID- 27877584
TI - Synthesis of MoS2 and MoO2 for their applications in H2 generation and lithium
ion batteries: a review.
AB - Scientists increasingly witness the applications of MoS2 and MoO2 in the field of
energy conversion and energy storage. On the one hand, MoS2 and MoO2 have been
widely utilized as promising catalysts for electrocatalytic or photocatalytic
hydrogen evolution in aqueous solution. On the other hand, MoS2 and MoO2 have
also been verified as efficient electrode material for lithium ion batteries. In
this review, the synthesis, structure and properties of MoS2 and MoO2 are briefly
summarized according to their applications for H2 generation and lithium ion
batteries. Firstly, we overview the recent advancements in the morphology control
of MoS2 and MoO2 and their applications as electrocatalysts for hydrogen
evolution reactions. Secondly, we focus on the photo-induced water splitting for
H2 generation, in which MoS2 acts as an important co-catalyst when combined with
other semiconductor catalysts. The newly reported research results of the
significant functions of MoS2 nanocomposites in photo-induced water splitting are
presented. Thirdly, we introduce the advantages of MoS2 and MoO2 for their
enhanced cyclic performance and high capacity as electrode materials of lithium
ion batteries. Recent key achievements in MoS2- and MoO2-based lithium ion
batteries are highlighted. Finally, we discuss the future scope and the important
challenges emerging from these fascinating materials.
PMID- 27877585
TI - Solid State Ionics: from Michael Faraday to green energy-the European dimension.
AB - Solid State Ionics has its roots essentially in Europe. First foundations were
laid by Michael Faraday who discovered the solid electrolytes Ag2S and PbF2 and
coined terms such as cation and anion, electrode and electrolyte. In the 19th and
early 20th centuries, the main lines of development toward Solid State Ionics,
pursued in Europe, concerned the linear laws of transport, structural analysis,
disorder and entropy and the electrochemical storage and conversion of energy.
Fundamental contributions were then made by Walther Nernst, who derived the
Nernst equation and detected ionic conduction in heterovalently doped zirconia,
which he utilized in his Nernst lamp. Another big step forward was the discovery
of the extraordinary properties of alpha silver iodide in 1914. In the late 1920s
and early 1930s, the concept of point defects was established by Yakov Il'ich
Frenkel, Walter Schottky and Carl Wagner, including the development of point
defect thermodynamics by Schottky and Wagner. In terms of point defects, ionic
(and electronic) transport in ionic crystals became easy to visualize. In an
'evolving scheme of materials science', point disorder precedes structural
disorder, as displayed by the AgI-type solid electrolytes (and other ionic
crystals), by ion-conducting glasses, polymer electrolytes and nano-composites.
During the last few decades, much progress has been made in finding and
investigating novel solid electrolytes and in using them for the preservation of
our environment, in particular in advanced solid state battery systems, fuel
cells and sensors. Since 1972, international conferences have been held in the
field of Solid State Ionics, and the International Society for Solid State Ionics
was founded at one of them, held at Garmisch-Partenkirchen, Germany, in 1987.
PMID- 27877586
TI - The influence of different nanostructured scaffolds on fibroblast growth.
AB - Skin serves as a protective barrier, modulating body temperature and waste
discharge. It is therefore desirable to be able to repair any damage that occurs
to the skin as soon as possible. In this study, we demonstrate a relatively easy
and cost-effective method for the fabrication of nanostructured scaffolds, to
shorten the time taken for a wound to heal. Various scaffolds consisting of
nanohemisphere arrays of poly(lactic-co-glycolic acid) (PLGA), polylactide and
chitosan were fabricated by casting using a nickel (Ni) replica mold. The Ni
replica mold is electroformed using the highly ordered nanohemisphere array of
the barrier-layer surface of an anodic aluminum oxide membrane as the template.
Mouse fibroblast cells (L929s) were cultured on the nanostructured polymer
scaffolds to investigate the effect of these different nanohemisphere arrays on
cell proliferation. The concentration of collagen type I on each scaffold was
then measured through enzyme-linked immunosorbent assay to find the most
effective scaffold for shortening the wound-healing process. The experimental
data indicate that the proliferation of L929 is superior when a nanostructured
PLGA scaffold with a feature size of 118 nm is utilized.
PMID- 27877587
TI - pH-responsive polymeric micelles with core-shell-corona architectures as
intracellular anti-cancer drug carriers.
AB - Polymeric micelles with core-shell-corona nanoarchitecture were designed for
intracellular therapeutic anti-cancer drug carriers. Poly(styrene-b-acrylic acid
b-ethylene glycol) (PS-b-PAA-b-PEG) asymmetric triblock copolymer underwent self
assembly in aqueous solution to form spherical micelles with hydrophobic PS core,
anionic PAA shell and hydrophilic PEG corona. The anti-cancer drug (doxorubicin,
DOX) was successfully incorporated into the polymeric micelles. The in vitro
release experiment confirmed that the release of DOX from the micelles was
inhibited at pH 7.4. In contrast, an accelerated release of DOX was observed at
mildly acidic conditions such as pH 4.5. The excellent biocompatibility of our PS
b-PAA-b-PEG-based micelles made the synthesized nano-carrier best suited for the
delivery of anti-cancer drugs.
PMID- 27877589
TI - Paper-based tuberculosis diagnostic devices with colorimetric gold nanoparticles.
AB - A colorimetric sensing strategy employing gold nanoparticles and a paper assay
platform has been developed for tuberculosis diagnosis. Unmodified gold
nanoparticles and single-stranded detection oligonucleotides are used to achieve
rapid diagnosis without complicated and time-consuming thiolated or other surface
modified probe preparation processes. To eliminate the use of sophisticated
equipment for data analysis, the color variance for multiple detection results
was simultaneously collected and concentrated on cellulose paper with the data
readout transmitted for cloud computing via a smartphone. The results show that
the 2.6 nM tuberculosis mycobacterium target sequences extracted from patients
can easily be detected, and the turnaround time after the human DNA is extracted
from clinical samples was approximately 1 h.
PMID- 27877588
TI - Accelerated colorimetric immunosensing using surface-modified porous monoliths
and gold nanoparticles.
AB - A rapid and sensitive immunoassay platform integrating polymerized monoliths and
gold nanoparticles (AuNPs) has been developed. The porous monoliths are
photopolymerized in situ within a silica capillary and serve as solid support for
high-mass transport and high-density capture antibody immobilization to create a
shorter diffusion length for antibody-antigen interactions, resulting in a rapid
assay and low reagent consumption. AuNPs are modified with detection antibodies
and are utilized as signals for colorimetric immunoassays without the need for
enzyme, substrate and sophisticated equipment for quantitative measurements. This
platform has been verified by performing a human IgG sandwich immunoassay with a
detection limit of 0.1 ng ml-1. In addition, a single assay can be completed in 1
h, which is more efficient than traditional immunoassays that require several
hours to complete.
PMID- 27877590
TI - Prussian blue nanoparticles as nanocargoes for delivering DNA drugs to cancer
cells.
AB - We studied the use of Prussian blue nanoparticles (PBNPs) as novel nanocarriers
for sending DNA drugs into cancer cells. 11-mercaptoundecanoic acid (MUA) was
used to functionalize the surfaces of PBNPs (nanocubes with an average dimension
of 75 nm) for subsequent covalent grafting of a 33-mer DNA drug with a FAM
reporter at the 3' end. The PBNPs synthesis and DNA drug conjugation were
characterized by transmission electron microscopy (TEM) and Fourier-transform
infrared absorption (FTIR), respectively. The drug was a decoy
oligodeoxynucleotide (dODN) that inhibits the signal transducer and activator of
transcription 3 (STAT3). The DNA-PBNPs drug (dODN@MUA-PBNPs) was delivered into
human prostate carcinoma 22rv1 cells by endocytosis in vitro as confirmed by
confocal fluorescence microscopy. MTT cell viability assays were carried out to
assess the effect of the DNA-PBNPs drug. The results showed that the dODN
molecules were successfully conjugated to the MUA modified PBNPs via amide and/or
disulfide bond formation and could thus be successfully delivered into the cancer
cells. The control experiments showed that the unconjugated dODN molecules were
not able to enter the cancer cells no matter whether non-functionalized PBNPs
were present or not. It was also found that the DNA-PBNPs drugs were internalized
and then distributed homogeneously throughout the cell, including cytoplasmic and
nucleic regions, after endocytosis. The cancer cell-killing ability increased
with the amount of dODN conjugated on PBNPs and the dosage of DNA-PBNPs drug
internalized.
PMID- 27877591
TI - Probing cellular behaviors through nanopatterned chitosan membranes.
AB - This paper describes a high-throughput method for developing physically modified
chitosan membranes to probe the cellular behavior of MDCK epithelial cells and
HIG-82 fibroblasts adhered onto these modified membranes. To prepare chitosan
membranes with micro/nanoscaled features, we have demonstrated an easy-to-handle,
facile approach that could be easily integrated with IC-based manufacturing
processes with mass production potential. These physically modified chitosan
membranes were observed by scanning electron microscopy to gain a better
understanding of chitosan membrane surface morphology. After MDCK cells and HIG
82 fibroblasts were cultured on these modified chitosan membranes for various
culture durations (i.e. 1, 2, 4, 12 and 24 h), they were investigated to decipher
cellular behavior. We found that both cells preferred to adhere onto a flat
surface rather than on a nanopatterned surface. However, most (> 80%) of the MDCK
cells showed rounded morphology and would suspend in the cultured medium instead
of adhering onto the planar surface of negatively nanopatterned chitosan
membranes. This means different cell types (e.g. fibroblasts versus epithelia)
showed distinct capabilities/preferences of adherence for materials of varying
surface roughness. We also showed that chitosan membranes could be re-used at
least nine times without significant contamination and would provide us
consistency for probing cell-material interactions by permitting reuse of the
same substrate. We believe these results would provide us better insight into
cellular behavior, specifically, microscopic properties and characteristics of
cells grown under unique, nanopatterned cell-interface conditions.
PMID- 27877593
TI - Using crosslinkable diacetylene phospholipids to construct two-dimensional packed
beds in supported lipid bilayer separation platforms.
AB - Separating and purifying cell membrane-associated biomolecules has been a
challenge owing to their amphiphilic property. Taking these species out of their
native lipid membrane environment usually results in biomolecule degradation. One
of the new directions is to use supported lipid bilayer (SLB) platforms to
separate the membrane species while they are protected in their native
environment. Here we used a type of crosslinkable diacetylene phospholipids,
diynePC (1,2-bis(10,12-tricosadiynoyl)-sn-glycero-3-phosphocholine), as a packed
material to create a 'two-dimensional (2D) packed bed' in a SLB platform. After
the diynePC SLB is exposed to UV light, some of the diynePC lipids in the SLB can
crosslink and the non-crosslinked monomer lipids can be washed away, leaving a 2D
porous solid matrix. We incorporated the lipid vesicle deposition method with a
microfluidic device to pattern the location of the packed-bed region and the feed
region with species to be separated in a SLB platform. Our atomic force
microscopy result shows that the nano-scaled structure density of the '2D packed
bed' can be tuned by the UV dose applied to the diynePC membrane. When the model
membrane biomolecules were forced to transport through the packed-bed region,
their concentration front velocities were found to decrease linearly with the UV
dose, indicating the successful creation of packed obstacles in these 2D lipid
membrane separation platforms.
PMID- 27877594
TI - Room temperature redox reaction by oxide ion migration at carbon/Gd-doped CeO2
heterointerface probed by an in situ hard x-ray photoemission and soft x-ray
absorption spectroscopies.
AB - In situ hard x-ray photoemission spectroscopy (HX-PES) and soft x-ray absorption
spectroscopy (SX-XAS) have been employed to investigate a local redox reaction at
the carbon/Gd-doped CeO2 (GDC) thin film heterointerface under applied dc bias.
In HX-PES, Ce3d and O1s core levels show a parallel chemical shift as large as
3.2 eV, corresponding to the redox window where ionic conductivity is
predominant. The window width is equal to the energy gap between donor and
acceptor levels of the GDC electrolyte. The Ce M-edge SX-XAS spectra also show a
considerable increase of Ce3+ satellite peak intensity, corresponding to
electrochemical reduction by oxide ion migration. In addition to the reversible
redox reaction, two distinct phenomena by the electrochemical transport of oxide
ions are observed as an irreversible reduction of the entire oxide film by O2
evolution from the GDC film to the gas phase, as well as a vigorous precipitation
of oxygen gas at the bottom electrode to lift off the GDC film. These in situ
spectroscopic observations describe well the electrochemical polarization
behavior of a metal/GDC/metal capacitor-like two-electrode cell at room
temperature.
PMID- 27877595
TI - Exchange coupling controlled ferrite with dual magnetic resonance and broad
frequency bandwidth in microwave absorption.
AB - Ti-doped barium ferrite powders BaFe12-x Ti x O19 (x = 0, 0.2, 0.3, 0.4, 0.5,
0.6, 0.7 and 0.8) were synthesized by the sol-gel method. The phase structure and
morphology were analyzed by x-ray diffraction (XRD) and scanning electron
microscopy, respectively. The powders were also studied for their magnetic
properties and microwave absorption. Results show that the Ti-doped barium
ferrites (BFTO) exist in single phase and exhibit hexagonal plate-like structure.
The anisotropy field Ha of the BFTO decreases almost linearly with the increase
in Ti concentration, which leads to a shift of the natural resonance peak toward
low frequency. Two natural resonance peaks appear, which can be assigned to the
double values of the Lande factor g that are found to be ~2.0 and ~2.3 in the
system and can be essentially attributed to the existence of Fe3+ ions and the
exchange coupling effect between Fe3+ and Fe2+ ions, respectively. Such a dual
resonance effect contributes a broad magnetic loss peak and thus a high
attenuation constant, and leads to a dual reflection loss (RL) peak over the
frequency range between 26.5 and 40 GHz. The high attenuation constants are
between 350 and 500 at peak position. The optimal RL reaches around -45 dB and
the practicable frequency bandwidth is beyond 11 GHz. This suggests that the BFTO
powders could be used as microwave absorbing materials with extraordinary
properties.
PMID- 27877596
TI - Nanoscale magneto-structural coupling in as-deposited and freestanding single
crystalline Fe7Pd3 ferromagnetic shape memory alloy thin films.
AB - Ferromagnetic shape memory alloys are characterized by strong magneto-mechanical
coupling occurring at the atomic scale causing large magnetically inducible
strains at the macroscopic level. Employing combined atomic and magnetic force
microscopy studies at variable temperature, we systematically explore the
relation between the magnetic domain pattern and the underlying structure for as
deposited and freestanding single-crystalline Fe7Pd3 thin films across the
martensite-austenite transition. We find experimental evidence that magnetic
domain appearance is strongly affected by the presence and absence of
nanotwinning. While the martensite-austenite transition upon temperature
variation of as-deposited films is clearly reflected in topography by the
presence and absence of a characteristic surface corrugation pattern, the
magnetic domain pattern is hardly affected. These findings are discussed
considering the impact of significant thermal stresses arising in the austenite
phase. Freestanding martensitic films reveal a hierarchical structure of micro-
and nanotwinning. The associated domain organization appears more complex, since
the dominance of magnetic energy contributors alters within this length scale
regime.
PMID- 27877592
TI - Non-metallic nanomaterials in cancer theranostics: a review of silica- and carbon
based drug delivery systems.
AB - The rapid development in nanomaterials has brought great opportunities to cancer
theranostics, which aims to combine diagnostics and therapy for cancer treatment
and thereby improve the healthcare of patients. In this review we focus on the
recent progress of several cancer theranostic strategies using mesoporous silica
nanoparticles and carbon-based nanomaterials. Silicon and carbon are both group
IV elements; they have been the most abundant and significant non-metallic
substances in human life. Their intrinsic physical/chemical properties are of
critical importance in the fabrication of multifunctional drug delivery systems.
Responsive nanocarriers constructed using these nanomaterials have been promising
in cancer-specific theranostics during the past decade. In all cases, either a
controlled texture or the chemical functionalization is coupled with adaptive
properties, such as pH-, light-, redox- and magnetic field- triggered responses.
Several studies in cells and mice models have implied their underlying
therapeutic efficacy; however, detailed and long-term in vivo clinical
evaluations are certainly required to make these bench-made materials compatible
in real bedside circumstances.
PMID- 27877597
TI - Anisotropic mobility and carrier dynamics in the beta-type BEDT-TTF salts as
studied by inter-layer transverse magnetoresistance.
AB - A new method to estimate an in-plane conduction anisotropy in a quasi-two
dimensional (q2D) layered conductor by measuring the inter-layer transverse
magnetoresistance is proposed. We applied this method to layered organic
conductors beta-(BEDT-TTF)2X (BEDT-TTF = bis(ethylenedithio)tetrathiafulvalene,
C10H8S8; X = IBr2, I2Br) by applying magnetic field rotating within the basal
plane at 4.2 K. We found the anisotropic behaviour of carrier mobility MU. From
this, anomalous distribution of carrier lifetime tau on the Fermi surface is
derived, by the use of Fermi surface data reported for the materials.
Calculations of the non-uniform susceptibility chi0( q ) suggest that carrier
scattering is enhanced at specific k -points related to partial nesting of the
Fermi surface. The present method is thus demonstrated to be an efficient
experimental tool to elucidate anisotropic carrier dynamics in q2D conductors.
PMID- 27877599
TI - Intrinsic stability of ferroelectric and piezoelectric properties of epitaxial
PbZr0.45Ti0.55O3 thin films on silicon in relation to grain tilt.
AB - Piezoelectric thin films of PbZr0.45Ti0.55O3 were grown on Si substrates in four
different ways, resulting in different crystalline structures, as determined by x
ray analysis. The crystalline structures were different in the spread in tilt
angle and the in-plane alignment of the crystal planes between different grains.
It is found that the deviations of the ferroelectric polarization loop from that
of the ideal rectangular loop (reduction of the remanent polarization with
respect to the saturation polarization, dielectric constant of the film, slanting
of the loop, coercive field value) all scale with the average tilt angle. A model
is derived based on the assumption that the tilted grain boundaries between
grains affect the film properties locally. This model describes the observed
trends. The effective piezoelectric coefficient d33,eff shows also a weak
dependence on the average tilt angle for films grown in a single layer, whereas
it is strongly reduced for the films deposited in multiple layers. The least
affected properties are obtained for the most epitaxial films, i.e. grown on a
SrTiO3 epitaxial seed layer, by pulsed laser deposition. These films are
intrinsically stable and do not require poling to acquire these stable
properties.
PMID- 27877598
TI - Preparation of chitosan/mesoporous silica nanoparticle composite hydrogels for
sustained co-delivery of biomacromolecules and small chemical drugs.
AB - We have developed composite hydrogels of chitosan (CS) and mesoporous silica
nanoparticles (MSNs) in this study. The gelation rate, gel strength, drug
delivery behavior and chondrocyte proliferation properties were investigated. The
introduction of MSNs into CS accelerated the gelation process at body temperature
and also increased the elastic modulus G' from 1000 to 1800 Pa. When we used
gentamicin (GS) and bovine serum albumin (BSA) as model small chemical drugs and
biomacromolecules, respectively, the CS/MSN hydrogels released GS and BSA in a
sustained manner simultaneously, but the CS hydrogels only showed sustained BSA
release. Furthermore, in vitro chondrocyte culture showed that the CS/MSN
composite hydrogels indeed performed much better in supporting chondrocyte growth
and maintaining chondrocytic phenotype compared to the CS hydrogels. Therefore,
the results suggest that the CS/MSN composite hydrogels can be potentially very
useful for cartilage regeneration.
PMID- 27877600
TI - Preparation of a hierarchically porous AlPO4 monolith via an epoxide-mediated sol
gel process accompanied by phase separation.
AB - Monolithic aluminum phosphate (AlPO4) with a macro-mesoporous structure has been
successfully prepared via the sol-gel process accompanied by phase separation in
the presence of poly(ethylene oxide) (PEO). Gelation of the system has been
mediated by propylene oxide (PO), while PEO induces a phase separation. The dried
gel is amorphous, whereas the crystalline tridymite phase precipitates upon
heating above 1000 degrees C. Heat treatment does not spoil the macroporous
morphology of the AlPO4 monoliths. Nitrogen adsorption-desorption measurements
revealed that the skeletons of the dried gels possess a mesostructure with a
median pore size of about 30 nm and a surface area as high as 120 m2 g-1.
Hydrothermal treatment before heat treatment can increase the surface area to 282
m2 g-1.
PMID- 27877601
TI - Biosilicate(r)-gelatine bone scaffolds by the foam replica technique: development
and characterization.
AB - The development of bioactive glass-ceramic materials has been a topic of great
interest aiming at enhancing the mechanical strength of traditional bioactive
scaffolds. In the present study, we test and demonstrate the use of
Biosilicate(r) glass-ceramic powder to fabricate bone scaffolds by the foam
replica method. Scaffolds possessing the main requirements for use in bone tissue
engineering (95% porosity, 200-500 MUm pore size) were successfully produced.
Gelatine coating was investigated as a simple approach to increase the mechanical
competence of the scaffolds. The gelatine coating did not affect the
interconnectivity of the pores and did not significantly affect the bioactivity
of the Biosilicate(r) scaffold. The gelatine coating significantly improved the
compressive strength (i.e. 0.80 +/- 0.05 MPa of coated versus 0.06 +/- 0.01 MPa
of uncoated scaffolds) of the Biosilicate(r) scaffold. The combination of
Biosilicate(r) glass-ceramic and gelatine is attractive for producing novel
scaffolds for bone tissue engineering.
PMID- 27877602
TI - Role of a disperse carbon interlayer on the performances of tandem a-Si solar
cells.
AB - We report the effect of a disperse carbon interlayer between the n-a-Si:H layer
and an aluminium zinc oxide (AZO) back contact on the performance of amorphous
silicon solar cells. Carbon was incorporated to the AZO film as revealed by x-ray
photoelectron spectroscopy and energy-dispersive x-ray analysis. Solar cells
fabricated on glass substrates using AZO in the back contact performed better
when a disperse carbon interlayer was present in their structure. They exhibited
an initial efficiency of 11%, open-circuit voltage Voc = 1.6 V, short-circuit
current JSC = 11 mA cm-2 and a filling factor of 63%, that is, a 10% increase in
the JSC and 20% increase in the efficiency compared to a standard solar cell.
PMID- 27877604
TI - The development of Ce3+-activated (Gd,Lu)3Al5O12 garnet solid solutions as
efficient yellow-emitting phosphors.
AB - Ce3+-activated Gd3Al5O12 garnet, effectively stabilized by Lu3+ doping, has been
developed for new yellow-emitting phosphors. The powder processing of [(Gd1-x Lu
x ) 1-y Ce y ]3Al5O12 solid solutions was achieved through precursor synthesis
via carbonate precipitation, followed by annealing. The resultant (Gd,Lu)AG:Ce3+
phosphor particles exhibit typical yellow emission at ~570 nm (5d-4f transition
of Ce3+) upon blue-light excitation at ~457 nm (the 2F5/2-5d transition of Ce3+).
The quenching concentration of Ce3+ was determined to be ~1.0 at% (y = 0.01) and
the quenching mechanism was suggested to be driven by exchange interactions. The
best luminescent [(Gd0.9Lu0.1)0.99Ce0.01]AG phosphor is comparative to the well
known YAG:Ce3+ in emission intensity but has a substantially red-shifted emission
band that is desired for warm-white lighting. The effects of processing
temperature (1000-1500 degrees C) on the spectroscopic properties of the
phosphors, especially those of Lu3+/Ce3+, were thoroughly investigated and
discussed from the centroid position and crystal field splitting of the Ce3+ 5d
energy levels.
PMID- 27877603
TI - Bi-layered calcium phosphate cement-based composite scaffold mimicking natural
bone structure.
AB - In this study, a core/shell bi-layered calcium phosphate cement (CPC)-based
composite scaffold with adjustable compressive strength, which mimicked the
structure of natural cortical/cancellous bone, was fabricated. The dense tubular
CPC shell was prepared by isostatic pressing CPC powder with a specially designed
mould. A porous CPC core with unidirectional lamellar pore structure was
fabricated inside the cavity of dense tubular CPC shell by unidirectional freeze
casting, followed by infiltration of poly(lactic-co-glycolic acid) and
immobilization of collagen. The compressive strength of bi-layered CPC-based
composite scaffold can be controlled by varying thickness ratio of dense layer to
porous layer. Compared to the scaffold without dense shell, the pore
interconnection of bi-layered scaffold was not obviously compromised because of
its high unidirectional interconnectivity but poor three dimensional
interconnectivity. The in vitro results showed that the rat bone marrow stromal
cells attached and proliferated well on the bi-layered CPC-based composite
scaffold. This novel bi-layered CPC-based composite scaffold is promising for
bone repair.
PMID- 27877605
TI - Nanotechnology in the regulation of stem cell behavior.
AB - Stem cells are known for their potential to repair damaged tissues. The adhesion,
growth and differentiation of stem cells are likely controlled by the surrounding
microenvironment which contains both chemical and physical cues. Physical cues in
the microenvironment, for example, nanotopography, were shown to play important
roles in stem cell fate decisions. Thus, controlling stem cell behavior by
nanoscale topography has become an important issue in stem cell biology.
Nanotechnology has emerged as a new exciting field and research from this field
has greatly advanced. Nanotechnology allows the manipulation of sophisticated
surfaces/scaffolds which can mimic the cellular environment for regulating
cellular behaviors. Thus, we summarize recent studies on nanotechnology with
applications to stem cell biology, including the regulation of stem cell
adhesion, growth, differentiation, tracking and imaging. Understanding the
interactions of nanomaterials with stem cells may provide the knowledge to apply
to cell-scaffold combinations in tissue engineering and regenerative medicine.
PMID- 27877607
TI - A comparative study of the chondrogenic potential between synthetic and natural
scaffolds in an in vivo bioreactor.
AB - The clinical demand for cartilage tissue engineering is potentially large for
reconstruction defects resulting from congenital deformities or degenerative
disease due to limited donor sites for autologous tissue and donor site
morbidities. Cartilage tissue engineering has been successfully applied to the
medical field: a scaffold pre-cultured with chondrocytes was used prior to
implantation in an animal model. We have developed a surgical approach in which
tissues are engineered by implantation with a vascular pedicle as an in vivo
bioreactor in bone and adipose tissue engineering. Collagen type II, chitosan,
poly(lactic-co-glycolic acid) (PLGA) and polycaprolactone (PCL) were four
commonly applied scaffolds in cartilage tissue engineering. To expand the
application of the same animal model in cartilage tissue engineering, these four
scaffolds were selected and compared for their ability to generate cartilage with
chondrocytes in the same model with an in vivo bioreactor. Gene expression and
immunohistochemistry staining methods were used to evaluate the chondrogenesis
and osteogenesis of specimens. The result showed that the PLGA and PCL scaffolds
exhibited better chondrogenesis than chitosan and type II collagen in the in vivo
bioreactor. Among these four scaffolds, the PCL scaffold presented the most
significant result of chondrogenesis embedded around the vascular pedicle in the
long-term culture incubation phase.
PMID- 27877606
TI - A microfluidic paper-based electrochemical biosensor array for multiplexed
detection of metabolic biomarkers.
AB - Paper-based microfluidic devices have emerged as simple yet powerful platforms
for performing low-cost analytical tests. This paper reports a microfluidic paper
based electrochemical biosensor array for multiplexed detection of
physiologically relevant metabolic biomarkers. Different from existing paper
based electrochemical devices, our device includes an array of eight
electrochemical sensors and utilizes a handheld custom-made electrochemical
reader (potentiostat) for signal readout. The biosensor array can detect several
analytes in a sample solution and produce multiple measurements for each analyte
from a single run. Using the device, we demonstrate simultaneous detection of
glucose, lactate and uric acid in urine, with analytical performance comparable
to that of the existing commercial and paper-based platforms. The paper-based
biosensor array and its electrochemical reader will enable the acquisition of
high-density, statistically meaningful diagnostic information at the point of
care in a rapid and cost-efficient way.
PMID- 27877608
TI - Promotion of osteogenic differentiation of stem cells and increase of bone
bonding ability in vivo using urease-treated titanium coated with calcium
phosphate and gelatin.
AB - Because of its excellent biocompatibility and low allergenicity, titanium has
been widely used for bone replacement and tissue engineering. To produce a
desirable composite with enhanced bone response and mechanical strength, in this
study bioactive calcium phosphate (CaP) and gelatin composites were coated onto
titanium (Ti) via a novel urease technique. The cellular responses to the
CaP/gelatin/Ti (CaP/gel/Ti) and bone bonding ability were evaluated with
proliferation and osteogenic differentiation of mesenchymal stem cells (MSCs) on
CaP/gel/Ti and CaP/Ti in vitro. The results showed that the optical density
values, alkaline phosphatase expression and genes expression of MSCs on
CaP/gel/Ti were similar to those on CaP/Ti, yet significantly higher than those
on pure Ti (p < 0.05). CaP/gel/Ti and CaP/Ti rods (2 mm in diameter, 10 mm in
length) were also implanted into femoral shaft of rabbits and pure Ti rods served
as control (n = 10). Histological examination, scanning electron microscopy (SEM)
and energy dispersive spectroscopy (EDS) measurements were performed at 4 and 8
weeks after the operation. The histological and SEM observations demonstrated
clearly that more new bone formed on the surface of CaP/gel/Ti than in the other
two groups at each time point. The CaP/gel/Ti bonded to the surrounding bone
directly with no intervening soft tissue layer. An interfacial layer, containing
Ti, Ca and P, was found to form at the interface between bone and the implant on
all three groups by EDS analysis. However, the content of Ca, P in the surface of
CaP/gel/Ti implants was more than in the other two groups at each time point. The
CaP/gel/Ti modified by the urease method was not only beneficial for MSCs
proliferation and osteogenic differentiation, but also favorable for bone bonding
ability on Ti implants in vivo, suggesting that Ti functionalized with CaP and
gelatin might have a great potential in clinical joint replacement or dental
implants.
PMID- 27877609
TI - Correlation between properties and microstructure of laser sintered porous beta
tricalcium phosphate bone scaffolds.
AB - A porous beta-tricalcium phosphate (beta-TCP) bioceramic scaffold was
successfully prepared with our homemade selective laser sintering system.
Microstructure observation by a scanning electron microscope showed that the
grains grew from 0.21 to 1.32 MUm with the decrease of laser scanning speed from
250 to 50 mm min-1. The mechanical properties increased mainly due to the
improved apparent density when the laser scanning speed decreased to 150 mm min
1. When the scanning speed was further decreased, the grain size became larger
and the mechanical properties severely decreased. The highest Vickers hardness
and fracture toughness of the scaffold were 3.59 GPa and 1.16 MPa m1/2,
respectively, when laser power was 11 W, spot size was 1 mm in diameter, layer
thickness was 0.1-0.2 mm and laser scanning speed was 150 mm min-1. The
biocompatibility of these scaffolds was assessed in vitro with MG63 osteoblast
like cells and human bone marrow mesenchymal stem cells. The results showed that
all the prepared scaffolds are suitable for cell attachment and differentiation.
Moreover, the smaller the grain size, the better the cell biocompatibility. The
porous scaffold with a grain size of 0.71 MUm was immersed in a simulated body
fluid for different days to assess the bioactivity. The surface of the scaffold
was covered by a bone-like apatite layer, which indicated that the beta-TCP
scaffold possesses good bioactivity. These discoveries demonstrated the evolution
rule between grain microstructure and the properties that give a useful reference
for the fabrication of beta-TCP bone scaffolds.
PMID- 27877610
TI - Alkaline earth lead and tin compounds Ae2Pb, Ae2Sn, Ae = Ca, Sr, Ba, as
thermoelectric materials.
AB - We present a detailed theoretical study of three alkaline earth compounds Ca2Pb,
Sr2Pb and Ba2Pb, which have undergone little previous study, calculating
electronic band structures and Boltzmann transport and bulk moduli using density
functional theory. We also study the corresponding tin compounds Ca2Sn, Sr2Sn and
Ba2Sn. We find that these are all narrow band gap semiconductors with an
electronic structure favorable for thermoelectric performance, with substantial
thermopowers for the lead compounds at temperature ranges from 300 to 800 K. For
the lead compounds, we further find very low calculated bulk moduli-roughly half
of the values for the lead chalcogenides, suggestive of soft phonons and hence
low lattice thermal conductivity. All these facts indicate that these materials
merit experimental investigation as potential high performance thermoelectrics.
We find good potential for thermoelectric performance in the environmentally
friendly stannide materials, particularly at high temperature.
PMID- 27877611
TI - Thermal stability and phase transformations of martensitic Ti-Nb alloys.
AB - Aiming at understanding the governing microstructural phenomena during heat
treatments of Ni-free Ti-based shape memory materials for biomedical
applications, a series of Ti-Nb alloys with Nb concentrations up to 29 wt% was
produced by cold-crucible casting, followed by homogenization treatment and water
quenching. Despite the large amount of literature available concerning the
thermal stability and ageing behavior of Ti-Nb alloys, only few studies were
performed dealing with the isochronal transformation behavior of initially
martensitic Ti-Nb alloys. In this work, the formation of martensites (alpha' and
alpha") and their stability under different thermal processing conditions were
investigated by a combination of x-ray diffraction, differential scanning
calorimetry, dilatometry and electron microscopy. The effect of Nb additions on
the structural competition in correlation with stable and metastable phase
diagrams was also studied. Alloys with 24 wt% Nb or less undergo a [Formula: see
text] transformation sequence on heating from room temperature to 1155 K. In
alloys containing >24 wt% Nb alpha" martensitically reverts back to beta0, which
is highly unstable against chemical demixing by formation of isothermal omegaiso.
During slow cooling from the single phase beta domain alpha precipitates and only
very limited amounts of alpha" martensite form.
PMID- 27877612
TI - Material design of plasma-enhanced chemical vapour deposition SiCH films for low
k cap layers in the further scaling of ultra-large-scale integrated devices-Cu
interconnects.
AB - Cap layers for Cu interconnects in ultra-large-scale integrated devices (ULSIs),
with a low dielectric constant (k-value) and strong barrier properties against Cu
and moisture diffusion, are required for the future further scaling of ULSIs.
There is a trade-off, however, between reducing the k-value and maintaining
strong barrier properties. Using quantum mechanical simulations and other
theoretical computations, we have designed ideal dielectrics: SiCH films with Si
C2H4-Si networks. Such films were estimated to have low porosity and low k; thus
they are the key to realizing a cap layer with a low k and strong barrier
properties against diffusion. For fabricating these ideal SiCH films, we designed
four novel precursors: isobutyl trimethylsilane, diisobutyl dimethylsilane, 1, 1
divinylsilacyclopentane and 5-silaspiro [4,4] noname, based on quantum chemical
calculations, because such fabrication is difficult by controlling only the
process conditions in plasma-enhanced chemical vapor deposition (PECVD) using
conventional precursors. We demonstrated that SiCH films prepared using these
newly designed precursors had large amounts of Si-C2H4-Si networks and strong
barrier properties. The pore structure of these films was then analyzed by
positron annihilation spectroscopy, revealing that these SiCH films actually had
low porosity, as we designed. These results validate our material and precursor
design concepts for developing a PECVD process capable of fabricating a low-k cap
layer.
PMID- 27877613
TI - Functionalization of polydimethylsiloxane membranes to be used in the production
of voice prostheses.
AB - The voice is produced by the vibration of vocal cords which are located in the
larynx. Therefore, one of the major consequences for patients subjected to
laryngectomy is losing their voice. In these cases, a synthetic one-way valve set
(voice prosthesis) can be implanted in order to allow restoration of speech. Most
voice prostheses are produced with silicone-based materials such as
polydimethylsiloxane (PDMS). This material has excellent properties, such as
optical transparency, chemical and biological inertness, non-toxicity,
permeability to gases and excellent mechanical resistance that are fundamental
for its application in the biomedical field. However, PDMS is very hydrophobic
and this property causes protein adsorption which is followed by microbial
adhesion and biofilm formation. To overcome these problems, surface modification
of materials has been proposed in this study. A commercial silicone elastomer,
SylgardTM 184 was used to prepare membranes whose surface was modified by
grafting 2-hydroxyethylmethacrylate and methacrylic acid by low-pressure plasma
treatment. The hydrophilicity, hydrophobic recovery and surface energy of the
produced materials were determined. Furthermore, the cytotoxicity and
antibacterial activity of the materials were also assessed. The results obtained
revealed that the PDMS surface modification performed did not affect the
material's biocompatibility, but decreased their hydrophobic character and
bacterial adhesion and growth on its surface.
PMID- 27877614
TI - Toughened and machinable glass matrix composites reinforced with graphene and
graphene-oxide nano platelets.
AB - The processing conditions for preparing well dispersed silica-graphene
nanoplatelets and silica-graphene oxide nanoplatelets (GONP) composites were
optimized using powder and colloidal processing routes. Fully dense silica-GONP
composites with up to 2.5 vol% loading were consolidated using spark plasma
sintering. The GONP aligned perpendicularly to the applied pressure during
sintering. The fracture toughness of the composites increased linearly with
increasing concentration of GONP and reached a value of ~0.9 MPa m1/2 for 2.5
vol% loading. Various toughening mechanisms including GONP necking, GONP pull
out, crack bridging, crack deflection and crack branching were observed. GONP
decreased the hardness and brittleness index (BI) of the composites by ~30 and
~50% respectively. The decrease in BI makes silica-GONP composites machinable
compared to pure silica. When compared to silica-Carbon nanotube composites,
silica-GONP composites show better process-ability and enhanced mechanical
properties.
PMID- 27877615
TI - Growth and characterizations of Ba2Ti2Fe2As4O single crystals.
AB - Single crystals of a new iron-based superconductor Ba2Ti2Fe2As4O have been grown
successfully via a Ba2As3-flux method in a sealed evacuated quartz tube. Bulk
superconductivity with Tc ~ 21.5 K was demonstrated in resistivity and magnetic
susceptibility measurements after the as-grown crystals were annealed at 500
degrees C in vacuum for a week. X-ray diffraction patterns confirm that the
annealed and the as-grown crystals possess the identical crystallographic
structure of Ba2Ti2Fe2As4O. Energy-dispersive x-ray spectra indicate that partial
Ti/Fe substitution exists in the [Fe2As2] layers and the annealing process
redistributes the Ti within the Fe-plane. The ordered Fe-plane stabilized by
annealing exhibits superconductivity with magnetic vortex pinned by Ti.
PMID- 27877616
TI - Preparation and characterization of multifunctional magnetic mesoporous calcium
silicate materials.
AB - We have prepared multifunctional magnetic mesoporous Fe-CaSiO3 materials using
triblock copolymer (P123) as a structure-directing agent. The effects of Fe
substitution on the mesoporous structure, in vitro bioactivity, magnetic heating
ability and drug delivery property of mesoporous CaSiO3 materials were
investigated. Mesoporous Fe-CaSiO3 materials had similar mesoporous channels (5-6
nm) with different Fe substitution. When 5 and 10% Fe were substituted for Ca in
mesoporous CaSiO3 materials, mesoporous Fe-CaSiO3 materials still showed good
apatite-formation ability and had no cytotoxic effect on osteoblast-like MC3T3-E1
cells evaluated by the elution cell culture assay. On the other hand, mesoporous
Fe-CaSiO3 materials could generate heat to raise the temperature of the
surrounding environment in an alternating magnetic field due to their
superparamagnetic property. When we use gentamicin (GS) as a model drug,
mesoporous Fe-CaSiO3 materials release GS in a sustained manner. Therefore,
magnetic mesoporous Fe-CaSiO3 materials would be a promising multifunctional
platform with bone regeneration, local drug delivery and magnetic hyperthermia.
PMID- 27877617
TI - The strengthening mechanism of a nickel-based alloy after laser shock processing
at high temperatures.
AB - We investigated the strengthening mechanism of laser shock processing (LSP) at
high temperatures in the K417 nickel-based alloy. Using a laser-induced shock
wave, residual compressive stresses and nanocrystals with a length of 30-200 nm
and a thickness of 1 MUm are produced on the surface of the nickel-based alloy
K417. When the K417 alloy is subjected to heat treatment at 900 degrees C after
LSP, most of the residual compressive stress relaxes while the microhardness
retains good thermal stability; the nanocrystalline surface has not obviously
grown after the 900 degrees C per 10 h heat treatment, which shows a
comparatively good thermal stability. There are several reasons for the good
thermal stability of the nanocrystalline surface, such as the low value of cold
hardening of LSP, extreme high-density defects and the grain boundary pinning of
an impure element. The results of the vibration fatigue experiments show that the
fatigue strength of K417 alloy is enhanced and improved from 110 to 285 MPa after
LSP. After the 900 degrees C per 10 h heat treatment, the fatigue strength is
225 MPa; the heat treatment has not significantly reduced the reinforcement
effect. The feature of the LSP strengthening mechanism of nickel-based alloy at a
high temperature is the co-working effect of the nanocrystalline surface and the
residual compressive stress after thermal relaxation.
PMID- 27877618
TI - Tunable and highly reproducible surface-enhanced Raman scattering substrates made
from large-scale nanoparticle arrays based on periodically poled LiNbO3
templates.
AB - This work describes novel surface-enhanced Raman scattering (SERS) substrates
based on ferroelectric periodically poled LiNbO3 templates. The templates
comprise silver nanoparticles (AgNPs), the size and position of which are
tailored by ferroelectric lithography. The substrate has uniform and large
sampling areas that show SERS effective with excellent signal reproducibility,
for which the fabrication protocol is advantageous in its simplicity. We
demonstrate ferroelectric-based SERS substrates with particle sizes ranging from
30 to 70 nm and present tunable SERS effect from Raman active 4-mercaptopyridine
molecules attached to AgNPs when excited by a laser source at 514 nm.
PMID- 27877619
TI - Local analysis of Eu2+ emission in CaAlSiN3.
AB - We have investigated the local luminescence properties of Eu-doped CaAlSiN3 by
using low-energy electron beam (e-beam) techniques. The particles yield broad
emission centered at 655 nm with a shoulder at higher wavelength under light
excitation, and a broad band around 643 nm with a tail at 540 nm under e-beam
excitation. Using cathodoluminescence (CL) in a scanning electron microscope
(SEM), we have observed small and large particles, which, although with different
compositions, exhibit Eu2+-related emissions at 645 and 635 nm, respectively.
Local CL measurements reveal that the Eu2+ emission may actually consist of
several bands. In addition to the red broad band, regularly spaced sharp peaks
have been occasionally observed. These luminescence variations may originate from
a variation in the composition inside CaAlSiN3.
PMID- 27877620
TI - Controlled processing of (Gd,Ln)2O3:Eu (Ln = Y, Lu) red phosphor particles and
compositional effects on photoluminescence.
AB - Synthesis of (Gd0.95-x Ln x Eu0.05)2O3 (Ln = Y and Lu, x = 0-0.95) powders via
ammonium hydrogen carbonate (AHC) precipitation has been systematically studied.
The best synthesis parameters are found to be an AHC/total cation molar ratio of
4.5 and an ageing time of 3 h. The effects of Y3+ and Lu3+ substitution for Gd3+,
on the nucleation kinetics of the precursors and structural features and optical
properties of the oxides, have been investigated. The results show that (i)
different nucleation kinetics exist in the Gd-Y-Eu and Gd-Lu-Eu ternary systems,
which lead to various morphologies and particle sizes of the precipitated
precursors. The (Gd,Y)2O3:Eu precursors display spherical particle morphologies
and the particle sizes increase along with more Y3+ addition. The (Gd,Lu)2O3:Eu
precursors, on the other hand, are hollow spheres and the particle sizes increase
with increasing Lu3+ incorporation, (ii) the resultant oxide powders are
ultrafine, narrow in size distribution, well dispersed and rounded in particle
shape, (iii) lattice parameters of the two kinds of oxide solid solutions
linearly decrease at a higher Y3+ or Lu3+ content. Their theoretical densities
linearly decrease with increasing Y3+ incorporation, but increase along with more
Lu3+ addition and (iv) the two kinds of phosphors exhibit typical red emissions
at ~613 nm and their charge-transfer bands blue shift at a higher Y3+ or Lu3+
content. Photoluminescence/photoluminescence excitation intensities and external
quantum efficiency are found to decrease with increasing value of x, and the
fluorescence lifetime mainly depends on the specific surface areas of the
powders.
PMID- 27877622
TI - Effect of zinc addition and vacuum annealing time on the properties of spin
coated low-cost transparent conducting 1 at% Ga-ZnO thin films.
AB - Pure and 1 at% gallium (Ga)-doped zinc oxide (ZnO) thin films have been prepared
with a low-cost spin coating technique on quartz substrates and annealed at 500
degrees C in vacuum ~10-3 mbar to create anion vacancies and generate charge
carriers for photovoltaic application. Also, 0.5-1.5 at% extra zinc species were
added in the precursor sol to investigate changes in film growth, morphology,
optical absorption, electrical properties and photoluminescence. It is shown that
1 at% Ga-ZnO thin films with 0.5 at% extra zinc content after vacuum annealing
for 60 min correspond to wurtzite-type hexagonal structure with (0001) preferred
orientation, electrical resistivity of ~9 * 10-3 Omega cm and optical
transparency of ~65-90% in the visible range. Evidence has been advanced for the
presence of defect levels within bandgap such as zinc vacancy (VZn), zinc
interstitial (Zni), oxygen vacancy (Vo) and oxygen interstitial (Oi). Further,
variation in ZnO optical bandgap occurring with Ga doping and insertion of
additional zinc species has been explained by invoking two competing phenomena,
namely bandgap widening and renormalization, usually observed in semiconductors
with increasing carrier concentration.
PMID- 27877621
TI - Tuning the mechanical properties of glass fiber-reinforced bismaleimide-triazine
resin composites by constructing a flexible bridge at the interface.
AB - We demonstrate a new method that can simultaneously improve the strength and
toughness of the glass fiber-reinforced bismaleimide-triazine (BT) resin
composites by using polyethylene glycol (PEG) to construct a flexible bridge at
the interface. The mechanical properties, including the elongation, ultimate
tensile stress, Young's modulus, toughness and dynamical mechanical properties
were studied as a function of the length of PEG molecular chain. It was found
that the PEG molecule acts as a bridge to link BT resin and glass fiber through
covalent and non-covalent bondings, respectively, resulting in improved
interfacial bonding. The incorporation of PEG produces an increase in elongation,
ultimate tensile stress and toughness. The Young's modulus and Tg were slightly
reduced when the length of the PEG molecular chain was high. The elongation of
the PEG-modified glass fiber-reinforced composites containing 5 wt% PEG-8000
increased by 67.1%, the ultimate tensile stress by 17.9% and the toughness by
78.2% compared to the unmodified one. This approach provides an efficient way to
develop substrate material with improved strength and toughness for integrated
circuit packaging applications.
PMID- 27877623
TI - Micropatterned co-culture of hepatocyte spheroids layered on non-parenchymal
cells to understand heterotypic cellular interactions.
AB - Microfabrication and micropatterning techniques in tissue engineering offer great
potential for creating and controlling cellular microenvironments including cell
matrix interactions, soluble stimuli and cell-cell interactions. Here, we present
a novel approach to generate layered patterning of hepatocyte spheroids on
micropatterned non-parenchymal feeder cells using microfabricated poly(ethylene
glycol) (PEG) hydrogels. Micropatterned PEG-hydrogel-treated substrates with two
dimensional arrays of gelatin circular domains (phi = 100 MUm) were prepared by
photolithographic method. Only on the critical structure of PEG hydrogel with
perfect protein rejection, hepatocytes were co-cultured with non-parenchymal
cells to be led to enhanced hepatocyte functions. Then, we investigated the
mechanism of the functional enhancement in co-culture with respect to the
contributions of soluble factors and direct cell-cell interactions. In
particular, to elucidate the influence of soluble factors on hepatocyte function,
hepatocyte spheroids underlaid with fibroblasts (NIH/3T3 mouse fibroblasts) or
endothelial cells (BAECs: bovine aortic endothelial cells) were compared with
physically separated co-culture of hepatocyte monospheroids with NIH3T3 or BAEC
using trans-well culture systems. Our results suggested that direct heterotypic
cell-to-cell contact and soluble factors, both of these between hepatocytes and
fibroblasts, significantly enhanced hepatocyte functions. In contrast, direct
heterotypic cell-to-cell contact between hepatocytes and endothelial cells only
contributed to enhance hepatocyte functions. This patterning technique can be a
useful experimental tool for applications in basic science, drug screening and
tissue engineering, as well as in the design of artificial liver devices.
PMID- 27877626
TI - Particle processing technology.
PMID- 27877625
TI - MoO x thin films deposited by magnetron sputtering as an anode for aqueous micro
supercapacitors.
AB - In order to examine the potential application of non-stoichiometric molybdenum
oxide as anode materials for aqueous micro-supercapacitors, conductive MoO x
films (2 ? x ? 2.3) deposited via RF magnetron sputtering at different
temperatures were systematically studied for composition, structure and
electrochemical properties in an aqueous solution of Li2SO4. The MoO x (x ~ 2.3)
film deposited at 150 degrees C exhibited a higher areal capacitance (31 mF cm-2
measured at 5 mV s-1), best rate capability and excellent stability at potentials
below -0.1 V versus saturated calomel electrode, compared to the films deposited
at room temperature and at higher temperatures. These superior properties were
attributed to the multi-valence composition and mixed-phase microstructure, i.e.,
the coexistence of MoO2 nanocrystals and amorphous MoO x (2.3 < x ? 3). A
mechanism combining Mo(IV) oxidation/reduction on the hydrated MoO2 grain
surfaces and cation intercalation/extrusion is proposed to illustrate the pseudo
capacitive process.
PMID- 27877624
TI - Concurrent zero-dimensional and one-dimensional biomineralization of gold from a
solution of Au3+ and bovine serum albumin.
AB - A technique was developed for preparing a novel material that consists of gold
nanoparticles trapped within a fiber of unfolded proteins. These fibers are made
in an aqueous solution that contains HAuCl4 and the protein, bovine serum albumin
(BSA). By changing the ratio of gold to BSA in solution, two different types of
outcomes are observed. At lower gold to BSA ratios (30-120), a purple solution
results after heating the mixture at 80 degrees C for 4 h. At higher gold to BSA
ratios (130-170), a clear solution containing purple fibers results after heating
the mixture at 80 degrees C for 4 h. UV-Vis spectroscopy and light scattering
techniques show growth in nanocolloid size as gold to BSA ratio rises above 100.
Data indicate that, for the higher gold to BSA ratios, the gold is sequestered
within the solid material. The material mass, visible by eye, appears to be an
aggregation of smaller individual fibers. Scanning electron microscopy and
transmission electron microscopy indicate that these fibers are primarily one
dimensional aggregates, which can display some branching, and can be as narrow as
400 nm in size. The likely mechanism for the synthesis of the novel material is
discussed.
PMID- 27877627
TI - NIMS and Empa announce STAM collaboration.
PMID- 27877629
TI - Microstructure and high-temperature strength of textured and non-textured ZrB2
ceramics.
AB - Zirconium diboride (ZrB2) ceramic possesses a unique combination of nice
mechanical performance, high melting point (> 3000 degrees C) and great high
temperature oxidation resistance (up to 1600 degrees C), which makes it a
promising material system for ever-increasing ultra-high temperature (UHT)
applications. However, ZrB2 suffers from poor mechanical performance at UHTs,
which could strongly limit its applications at UHT. Here, we successfully
demonstrate that texturing is an effective strategy to greatly enhance the
flexural strength of monolithic ZrB2, reaching a high value of 810 +/- 60 MPa at
1600 degrees C when loaded in c-axis direction. We thoroughly discuss the
strengthening mechanism by in-depth microstructural observations and analysis.
Our discovery has technological and scientific implications for other UHT ceramic
systems, especially those using ZrB2 as a matrix.
PMID- 27877628
TI - Mechanically reliable thermoelectric (TE) nanocomposites by dispersing and
embedding TE-nanostructures inside a tetragonal ZrO2 matrix: the concept and
experimental demonstration in graphene oxide-3YSZ system.
AB - Novel low-dimensional thermoelectric (TE) materials suffer from poor mechanical
reliability, which limits their applications, especially in mechanically harsh
environments. Here, we propose a new concept, in which the novel, abundant,
thermally stable TE-nanostructures are dispersed and then intimately embedded
inside a protective, mechanically reliable tetragonal ZrO2 (TZP) ceramic matrix
with a low thermal conductivity. We also demonstrate an experimental proof-of
principle verification of our concept in reduced-graphene oxide (GO)-3 mol% Y2O3
ZrO2 (3YSZ or 3Y-TZP) nanocomposite system. TE characterizations suggest that our
protective TZP matrix does not degrade the intrinsic TE property of the reduced
GO network. These preliminary results are promising and encouraging to start
research on similar TZP-matrix TE-nanocomposites, which contain more effective TE
nanostructures with larger intrinsic power factors. In this regard, we propose a
scalable approach for fabrication of similar dense TE-nanocomposites composed of
other one-dimensional and/or two-dimensional TE-nanostructures, which involves an
aqueous colloidal approach and a subsequent spark plasma sintering. These new TZP
matrix TE-nanocomposites could be used for sustainable clean power generation,
especially in mechanically harsh environments with thermal/mechanical shocks and
vibrations, where energy availability, reliability and durability are more
important than the energy efficiency. Considering the excellent biocompatibility
of TZP matrix, they could even be used inside the body to power implanted medical
devices.
PMID- 27877630
TI - Tens of micron-sized unilamellar nanosheets of Y/Eu layered rare-earth hydroxide:
efficient exfoliation via fast anion exchange and their self-assembly into
oriented oxide film with enhanced photoluminescence.
AB - Layered rare-earth hydroxide (LRH) crystals of (Y0.95Eu0.05)2(OH)5NO3.nH2O with a
lateral size of ~ 300 MUm and a thickness of ~ 9 MUm have been synthesized via a
hydrothermal reaction of mixed nitrate solutions in the presence of mineralizer
NH4NO3 at 200 degrees C for 24 h. LRH exhibits the ability to undergo
intercalation and anion exchange with DS- (C12H25OSO3-) via hydrothermal
treatment. Compared with traditional anion exchange at room temperature,
hydrothermal processing not only shortens the anion exchange time from 720 to 24
h but also increases the basal spacing. The arrangements of DS- in the interlayer
of LRH are significantly affected by the DS- concentration and reaction
temperature, and the basal spacing of the LRH-DS sample in the crystal edge is
assumed to be larger than that in the crystal center. A higher DS- concentration
and reaction temperature both induce more intercalation of DS- anions into the
interlayer gallery, thus yielding a larger basal spacing. Unilamellar nanosheets
with a lateral size of ?60 MUm and a thickness of ~ 1.6 nm can be obtained by
delaminating LRH-DS in formamide. The resultant unilamellar nanosheets are single
crystalline. Transparent (Y0.95Eu0.05)2O3 phosphor films with a uniform [111]
orientation and a layer thickness of ~ 90 nm were constructed with the nanosheets
as building blocks via spin-coating, followed by proper annealing. The oriented
oxide film exhibits a strong red emission at 614 nm (the 5D0-7F2 transition of
Eu3+), whose intensity is ~ 2 times that of the powder form owing to the
significant exposure of the (222) facets.
PMID- 27877631
TI - Facile and green synthesis of (La0.95Eu0.05)2O2S red phosphors with sulfate-ion
pillared layered hydroxides as a new type of precursor: controlled hydrothermal
processing, phase evolution and photoluminescence.
AB - This study presents a facile and green route for the synthesis of
(La0.95Eu0.05)2O2S red phosphors of controllable morphologies, with the sulfate
type layered hydroxides of Ln2(OH)4SO4.2H2O (Ln = La and Eu) as a new type of
precursor. The technique takes advantage of the fact that the precursor has had
the exact Ln:S molar ratio of the targeted phosphor, thus saving the hazardous
sulfurization reagents indispensable to traditional synthesis. Controlled
hydrothermal processing at 120 degrees C yielded phase-pure Ln2(OH)4SO4.2H2O
crystallites in the form of either nanoplates or microprisms, which can both be
converted into Ln2O2S phosphor via a Ln2O2SO4 intermediate upon annealing in
flowing H2 at a minimum temperature of ~ 700 degrees C. The nanoplates collapse
into relatively rounded Ln2O2S particles while the microprisms retain well their
initial morphologies at 1 200 degrees C, thus yielding two types of red
phosphors. Photoluminescence excitation (PLE) studies found two distinct charge
transfer (CT) excitation bands of O2- -> Eu3+ at ~ 270 nm and S2- -> Eu3+ at ~
340 nm for the Ln2O2S phosphors, with the latter being stronger and both
significantly stronger than the intrinsic intra-f transitions of Eu3+. The two
types of phosphors share high similarities in the positions of PLE/PL
(photoluminescence) bands and both show the strongest red emission at 627 nm (5D0
-> 7F2 transition of Eu3+) under S2- -> Eu3+ CT excitation at 340 nm. The PLE/PL
intensities show clear dependence on particle morphology and calcination
temperature, which were investigated in detail. Fluorescence decay analysis
reveals that the 627 nm red emission has a lifetime of ~ 0.5 ms for both types of
the phosphors.
PMID- 27877632
TI - Luminescent metal nanoclusters: controlled synthesis and functional applications.
AB - Luminescent metal nanoclusters that consist of only several, to tens of, metal
atoms and which possess sizes comparable to the Fermi wavelength of electrons
have recently attracted significant attention. This new class of luminescent
materials not only provides the missing link between atomic and nanoparticle
behaviors in metals but also they present abundant novel information for the
development of new applicable material systems to meet urgent needs in many areas
(such as ultrasensitive sensors for heavy metals, bioimaging, as well as
information technology) mainly because of their attractive characteristics,
including ultra-small size, good dispersibility, excellent biocompatibility and
photostability. In this review, we summarize recent advances in the controlled
synthesis and application of luminescent metal nanoclusters, with a particular
emphasis on Pt, Mo, Bi and alloy clusters. We also speculate on their future and
discuss potential developments for their use in sensors, bioimaging and energy
harvesting and conversion.
PMID- 27877633
TI - Co(OH)2 nanosheet-decorated graphene-CNT composite for supercapacitors of high
energy density.
AB - A composite of graphene and carbon nanotubes has been synthesized and
characterized for application as supercapacitor electrodes. By coating the
nanostructured active material of Co(OH)2 onto one electrode, the asymmetric
supercapacitor has exhibited a high specific capacitance of 310 F g-1, energy
density of 172 Wh kg-1 and maximum power density of 198 kW kg-1 in ionic liquid
electrolyte EMI-TFSI.
PMID- 27877634
TI - Colloidal silicon quantum dots: synthesis and luminescence tuning from the near
UV to the near-IR range.
AB - This review describes a series of representative synthesis processes, which have
been developed in the last two decades to prepare silicon quantum dots (QDs). The
methods include both top-down and bottom-up approaches, and their methodological
advantages and disadvantages are presented. Considerable efforts in surface
functionalization of QDs have categorized it into (i) a two-step process and (ii)
in situ surface derivatization. Photophysical properties of QDs are summarized to
highlight the continuous tuning of photoluminescence color from the near-UV
through visible to the near-IR range. The emission features strongly depend on
the silicon nanostructures including QD surface configurations. Possible
mechanisms of photoluminescence have been summarized to ascertain the future
challenges toward industrial use of silicon-based light emitters.
PMID- 27877635
TI - The effect of the interlayer element on the exfoliation of layered Mo2AC (A = Al,
Si, P, Ga, Ge, As or In) MAX phases into two-dimensional Mo2C nanosheets.
AB - The experimental exfoliation of layered, ternary transition-metal carbide and
nitride compounds, known as MAX phases, into two-dimensional (2D) nanosheets, is
a great development in the synthesis of novel low-dimensional inorganic systems.
Among the MAX phases, Mo-containing ones might be considered as the source for
obtaining Mo2C nanosheets with potentially unique properties, if they could be
exfoliated. Here, by using a set of first-principles calculations, we discuss the
effect of the interlayer 'A' element on the exfoliation of Mo2AC (A = Al, Si, P,
Ga, Ge, As or In) MAX phases into the 2D Mo2C nanosheets. Based on the calculated
exfoliation energies and the elastic constants, we propose that Mo2InC with the
lowest exfoliation energy and the highest elastic constant anisotropy between C11
and C33 might be a suitable compound for exfoliation into 2D Mo2C nanosheets.
PMID- 27877636
TI - Fabrication of alumina films with laminated structures by ac anodization.
AB - Anodization techniques by alternating current (ac) are introduced in this review.
By using ac anodization, laminated alumina films are fabricated. Different types
of alumina films consisting of 50-200 nm layers were obtained by varying both the
ac power supply and the electrolyte. The total film thickness increased with an
increase in the total charge transferred. The thickness of the individual layers
increased with the ac voltage; however, the anodization time had little effect on
the film thickness. The laminated alumina films resembled the nacre structure of
shells, and the different morphologies exhibited by bivalves and spiral shells
could be replicated by controlling the rate of increase of the applied
potentials.
PMID- 27877638
TI - Effects of surface coating on reducing friction and wear of orthopaedic implants.
AB - Coatings such as diamond-like carbon (DLC) and titanium nitride (TiN) are
employed in joint implants due to their excellent tribological properties.
Recently, graphite-like carbon (GLC) and tantalum (Ta) have been proven to have
good potential as coating as they possess mechanical properties similar to bones
high hardness and high flexibility. The purpose of this systematic literature
review is to summarize the coating techniques of these four materials in order to
compare their mechanical properties and tribological outcomes. Eighteen studies
published between January 2000 and February 2013 have met the inclusion criteria
for this review. Details of their fabrication parameters, material and mechanical
properties along with the tribological outcomes, such as friction and wear rate,
were identified and are presented in a systematic way. Although experiment
conditions varied, we conclude that Ta has the lowest wear rate compared to DLC,
GLC and TiN because it has a lower wear rate with high contact pressure as well
as higher hardness to elasticity ratio. However, a further tribology test is
needed in an environment which replicates artificial joints to confirm the
acceptability of these findings.
PMID- 27877639
TI - Recent progress in GeSi electro-absorption modulators.
AB - Electro-absorption from GeSi heterostructures is receiving growing attention as a
high performance optical modulator for short distance optical interconnects. Ge
incorporation with Si allows strong modulation mechanism using the Franz-Keldysh
effect and the quantum-confined Stark effect from bulk and quantum well
structures at telecommunication wavelengths. In this review, we discuss the
current state of knowledge and the on-going challenges concerning the development
of high performance GeSi electro-absorption modulators. We also provide feasible
future prospects concerning this research topic.
PMID- 27877640
TI - Magneto-optical non-reciprocal devices in silicon photonics.
AB - Silicon waveguide optical non-reciprocal devices based on the magneto-optical
effect are reviewed. The non-reciprocal phase shift caused by the first-order
magneto-optical effect is effective in realizing optical non-reciprocal devices
in silicon waveguide platforms. In a silicon-on-insulator waveguide, the low
refractive index of the buried oxide layer enhances the magneto-optical phase
shift, which reduces the device footprints. A surface activated direct bonding
technique was developed to integrate a magneto-optical garnet crystal on the
silicon waveguides. A silicon waveguide optical isolator based on the magneto
optical phase shift was demonstrated with an optical isolation of 30 dB and
insertion loss of 13 dB at a wavelength of 1548 nm. Furthermore, a four port
optical circulator was demonstrated with maximum isolations of 15.3 and 9.3 dB in
cross and bar ports, respectively, at a wavelength of 1531 nm.
PMID- 27877637
TI - Creating biological nanomaterials using synthetic biology.
AB - Synthetic biology is a new discipline that combines science and engineering
approaches to precisely control biological networks. These signaling networks are
especially important in fields such as biomedicine and biochemical engineering.
Additionally, biological networks can also be critical to the production of
naturally occurring biological nanomaterials, and as a result, synthetic biology
holds tremendous potential in creating new materials. This review introduces the
field of synthetic biology, discusses how biological systems naturally produce
materials, and then presents examples and strategies for incorporating synthetic
biology approaches in the development of new materials. In particular, strategies
for using synthetic biology to produce both organic and inorganic nanomaterials
are discussed. Ultimately, synthetic biology holds the potential to dramatically
impact biological materials science with significant potential applications in
medical systems.
PMID- 27877642
TI - Activation of Al-Cu-Fe quasicrystalline surface: fabrication of a fine
nanocomposite layer with high catalytic performance.
AB - A fine layered nanocomposite with a total thickness of about 200 nm was formed on
the surface of an Al63Cu25Fe12 quasicrystal (QC). The nanocomposite was found to
exhibit high catalytic performance for steam reforming of methanol. The
nanocomposite was formed by a self-assembly process, by leaching the Al-Cu-Fe QC
using a 5 wt% Na2CO3 aqueous solution followed by calcination in air at 873 K.
The quasiperiodic nature of theQC played an important role in the formation of
such a structure. Its high catalytic activity originated from the presence of
highly dispersed copper and iron species, which also suppressed the sintering of
nanoparticles.
PMID- 27877641
TI - Mid-infrared materials and devices on a Si platform for optical sensing.
AB - In this article, we review our recent work on mid-infrared (mid-IR) photonic
materials and devices fabricated on silicon for on-chip sensing applications.
Pedestal waveguides based on silicon are demonstrated as broadband mid-IR
sensors. Our low-loss mid-IR directional couplers demonstrated in SiN x
waveguides are useful in differential sensing applications. Photonic crystal
cavities and microdisk resonators based on chalcogenide glasses for high
sensitivity are also demonstrated as effective mid-IR sensors. Polymer-based
functionalization layers, to enhance the sensitivity and selectivity of our
sensor devices, are also presented. We discuss the design of mid-IR chalcogenide
waveguides integrated with polycrystalline PbTe detectors on a monolithic silicon
platform for optical sensing, wherein the use of a low-index spacer layer enables
the evanescent coupling of mid-IR light from the waveguides to the detector.
Finally, we show the successful fabrication processing of our first prototype mid
IR waveguide-integrated detectors.
PMID- 27877643
TI - Interface effects and the evolution of ferromagnetism in La2/3Sr1/3MnO3 ultrathin
films.
AB - Pulse laser deposited La2/3Sr1/3MnO3 ultrathin films on SrTiO3 substrates were
characterized by polar and longitudinal Kerr magneto-optical spectroscopy.
Experimental data were confronted with theoretical simulations based on the
transfer matrix formalism. An excellent agreement was achieved for a 10.7 nm
thick film, while a distinction in the Kerr effect amplitudes was obtained for a
5 nm thick film. This demonstrated the suppression of ferromagnetism due to the
layer/substrate interface effects. A revised, depth-sensitive theoretical model
with monolayer resolution described the experimental data well, and provided
clear cross-section information about the evolution of ferromagnetism inside the
film. It was found that the full restoration of the double-exchange mechanism,
responsible for the ferromagnetic ordering in La2/3Sr1/3MnO3, occurs within the
first nine monolayers of the film. Moreover, all the studied films exhibited
magneto-optical properties similar to bulk crystals and thick films. This
confirmed a fully developed perovskite structure down to 5 nm.
PMID- 27877644
TI - A simple method for the quantification of molecular decorations on silica
particles.
AB - A simple, rapid quantitative approach to determining attachment density on silica
nanoparticles has been demonstrated using attenuated total reflectance Fourier
transform infrared spectroscopy and verified by thermogravimetric analysis. A
very high attachment of approximately 5 attachments per nm2 has been achieved
through photoinduced thiol-ene click reaction of 11-bromo-1-undecene with a thiol
functionalized silica nanoparticle formed from mercaptopropyltrimethoxysilane as
the sole precursor. Attachment density with concentration of alkene and reaction
time is shown to be highly nonlinear and appears to be limited by accessability
of thiols on the surface of the particle. This method opens the opportunity to
form nanoparticles with controlled functionality including multifunctional
particles, which have been produced in this work.
PMID- 27877645
TI - Comparison of the cohesive and delamination fatigue properties of atomic-layer
deposited alumina and titania ultrathin protective coatings deposited at 200
degrees C.
AB - The fatigue properties of ultrathin protective coatings on silicon thin films
were investigated. The cohesive and delamination fatigue properties of 22 nm
thick atomic-layered-deposited (ALD) titania were characterized and compared to
that of 25 nm-thick alumina. Both coatings were deposited at 200 degrees C. The
fatigue rates are comparable at 30 degrees C, 50% relative humidity (RH) while
they are one order of magnitude larger for alumina compared to titania at 80
degrees C, 90% RH. The improved fatigue performance is believed to be related to
the improved stability of the ALD titania coating with water compared to ALD
alumina, which may in part be related to the fact that ALD titania is
crystalline, while ALD alumina is amorphous. Static fatigue crack nucleation and
propagation was not observed. The underlying fatigue mechanism is different from
previously documented mechanisms, such as stress corrosion cracking, and appears
to result from the presence of compressive stresses and a rough coating-substrate
interface.
PMID- 27877646
TI - Studying nanostructure gradients in injection-molded
polypropylene/montmorillonite composites by microbeam small-angle x-ray
scattering.
AB - The core-shell structure in oriented cylindrical rods of polypropylene (PP) and
nanoclay composites (NCs) from PP and montmorillonite (MMT) is studied by
microbeam small-angle x-ray scattering (SAXS). The structure of neat PP is almost
homogeneous across the rod showing regular semicrystalline stacks. In the NCs the
discrete SAXS of arranged crystalline PP domains is limited to a skin zone of 300
MUm thickness. Even there only frozen-in primary lamellae are detected. The core
of the NCs is dominated by diffuse scattering from crystalline domains placed at
random. The SAXS of the MMT flakes exhibits a complex skin-core gradient. Both
the direction of the symmetry axis and the apparent perfection of flake
orientation are varying. Thus there is no local fiber symmetry, and the structure
gradient cannot be reconstructed from a scan across the full rod. To overcome the
problem the rods are machined. Scans across the residual webs are performed. For
the first time webs have been carved out in two principal directions. Comparison
of the corresponding two sets of SAXS patterns demonstrates the complexity of the
MMT orientation. Close to the surface (< 1 mm) the flakes cling to the wall. The
variation of the orientation distribution widths indicates the presence of both
MMT flakes and grains. The grains have not been oriented in the flowing melt. An
empirical equation is presented which describes the variation from skin to core
of one component of the inclination angle of flake-shaped phyllosilicate filler
particles.
PMID- 27877647
TI - Transmission electron microscopy/electron energy loss spectroscopy measurements
and ab initio calculation of local magnetic moments at nickel grain boundaries.
AB - We have determined local magnetic moments at nickel grain boundaries using a
transmission electron microscopy/electron energy loss spectroscopy method
assuming that the magnetic moment of Ni atoms is a linear function of the L3/L2
(white-line ratio) in the energy loss spectrum. The average magnetic moment
measured in the grain interior was 0.55 MUB, which agrees well with the
calculated magnetic moment of pure nickel (0.62 MUB). The local magnetic moments
at the grain boundaries increased up to approximately 1.0 MUB as the mis
orientation angle increased, and showed a maximum around 50 degrees . The
respective enhancement of local magnetic moments at the Sigma5 (0.63 MUB) and
random (0.90 MUB) grain boundaries in pure nickel was approximately 14 and 64% of
the grain interior. In contrast, the average local magnetic moment at the (111)
Sigma3 grain boundary was found to be 0.55 MUB and almost the same as that of the
grain interior. These results are in good agreement with available ab initio
calculations.
PMID- 27877648
TI - Properties of anodic oxides grown on a hafnium-tantalum-titanium thin film
library.
AB - A ternary thin film combinatorial materials library of the valve metal system Hf
Ta-Ti obtained by co-sputtering was studied. The microstructural and
crystallographic analysis of the obtained compositions revealed a crystalline and
textured surface, with the exception of compositions with Ta concentration above
48 at.% which are amorphous and show a flat surface. Electrochemical anodization
of the composition spread thin films was used for analysing the growth of the
mixed surface oxides. Oxide formation factors, obtained from the potentiodynamic
anodization curves, as well as the dielectric constants and electrical
resistances, obtained from electrochemical impedance spectroscopy, were mapped
along two dimensions of the library using a scanning droplet cell microscope. The
semiconducting properties of the anodic oxides were mapped using Mott-Schottky
analysis. The degree of oxide mixing was analysed qualitatively using x-ray
photoelectron spectroscopy depth profiling. A quantitative analysis of the
surface oxides was performed and correlated to the as-deposited metal thin film
compositions. In the concurrent transport of the three metal cations during oxide
growth a clear speed order of Ti > Hf > Ta was proven.
PMID- 27877649
TI - Immobilization of carbon nanotubes on functionalized graphene film grown by
chemical vapor deposition and characterization of the hybrid material.
AB - We report the surface functionalization of graphene films grown by chemical vapor
deposition and fabrication of a hybrid material combining multi-walled carbon
nanotubes and graphene (CNT-G). Amine-terminated self-assembled monolayers were
prepared on graphene by the UV-modification of oxidized groups introduced onto
the film surface. Amine-termination led to effective interaction with
functionalized CNTs to assemble a CNT-G hybrid through covalent bonding.
Characterization clearly showed no defects of the graphene film after the
immobilization reaction with CNT. In addition, the hybrid graphene material
revealed a distinctive CNT-G structure and p-n type electrical properties. The
introduction of functional groups on the graphene film surface and fabrication of
CNT-G hybrids with the present technique could provide an efficient, novel route
to device fabrication.
PMID- 27877650
TI - Atomic force microscopy visualization of hard segment alignment in stretched
polyurethane nanofibers prepared by electrospinning.
AB - Molecular-level orientation within nanofibers has been attracting attention as a
tool for controlling and designing highly functional nanofibers. In this study,
we used atomic force microscopy to visualize the phase separation between soft
and hard segments on a polyurethane (PU) nanofiber surface prepared by
electrospinning. Furthermore, the stretched nanofibers prepared with a high-speed
rotating collector were found to have a different phase distribution in the phase
separated structure, with the hard segment domains aligned to the fiber axis. In
contrast, unstretched PU nanofibers prepared without rotation were observed to
have nonuniformly distributed segments. These results indicate that the
application of an intense elongation force along the nanofiber axis with a
rotating mandrel collector changed the distribution of segment alignments.
PMID- 27877651
TI - Magnetovolume effects in manganese nitrides with antiperovskite structure.
AB - Magnetostructural correlations in antiperovskite manganese nitrides were
investigated systematically for stoichiometric and solid solution Mn3Cu1-x A x N
(A = Co, Ni, Zn, Ga, Ge, Rh, Pd, Ag, In, Sn or Sb). This class of nitrides is
attracting great attention because of their giant negative thermal expansion,
which is achieved by doping Ge or Sn into the A site as a relaxant of the sharp
volume contraction on heating (spontaneous volume magnetostriction omegas)
because of the magnetovolume effects. The physical background of large omegas and
mechanism of how the volume contraction becomes gradual with temperature are
central concerns for the physics and applications of these nitrides. An entire
dataset of thermal expansion, crystal structure and magnetization demonstrates
that the cubic triangular antiferromagnetic state is crucial for large omegas.
The intimate relationship between omegas and the magnetic structure is discussed
in terms of geometrical frustration related to the Mn6N octahedron and magnetic
stress concept. The results presented herein also show that omegas depends on the
number of d electrons in the A atom, suggesting the important role of the d
orbitals of the A atom. Not all the dopants in the A site, but the elements that
disturb the cubic triangular antiferromagnetic state, are effective in broadening
the volume change. This fact suggests that instability neighboring the phase
boundary is related to the broadening. The relation between the gradual volume
change and the local structure anomaly is suggested by recent microprobe studies.
PMID- 27877653
TI - Focus on silicon photonics.
PMID- 27877652
TI - Electric-field-induced phase transitions in co-doped Pb(Zr1-xTix)O3 at the
morphotropic phase boundary.
AB - The strain- and polarization-electric field behavior was characterized at room
temperature for Pb0.98Ba0.01(Zr1-x Ti x )0.98Nb0.02O3, 0.40 ? x ? 0.60. The
investigated compositions were located in the vicinity of the morphotropic phase
boundary, giving insight into the influence of crystal structure on the
hysteretic ferroelectric behavior. The remanent strain of particular compositions
is shown to be larger than theoretically allowed by ferroelectric switching
alone, indicating the presence of additional remanent strain mechanisms. A
phenomenological free energy analysis was used to simulate the effect of an
applied electric field on the initial equilibrium phase. It is shown that
electric-field-induced phase transitions in polycrystalline ferroelectrics can
account for the experimental observations. The experimental and simulation
results are contrasted to neutron diffraction measurements performed on
representative compositions in the virgin and remanent states.
PMID- 27877654
TI - 5, 10-linked naphthodithiophenes as the building block for semiconducting
polymers.
AB - We present new semiconducting polymers incorporating naphtho[1, 2-b:5, 6-b']
dithiophene (NDT3) and naphtho[2, 1-b:6, 5-b'] dithiophene (NDT4), which are
linked at the naphthalene positions, in the polymer backbone. It is interesting
that the trend in the ordering structure and thus charge transport properties are
quite different from what were observed in the isomeric polymers where the NDT3
and NDT4 cores are linked at the thiophene alpha-positions. In the thiophene
linked NDT system, the NDT3-based polymer (PNDT3BT) gave the better ordering in
thin films and thus the high charge carrier mobility compared to the NDT4-based
polymer (PNDT4BT). In the meantime, in the naphthalene-linked NDT system, the
NDT4-based polymer (PNDT4iBT) provided the superior properties. Considering that
PNDT4iBT has relatively low highest occupied molecular orbital (HOMO) energy
level (-5.2 eV) and moderately high mobilities in the order of 10-2 cm2 V-1 s-1,
the NDT4 core, when linked at the naphthalene positions, can be a good building
unit for the development of high-performance semiconducting polymers for both
organic field-effect transistors and photovoltaic devices.
PMID- 27877655
TI - Recent progress in photoactive organic field-effect transistors.
AB - Recent progress in photoactive organic field-effect transistors (OFETs) is
reviewed. Photoactive OFETs are divided into light-emitting (LE) and light
receiving (LR) OFETs. In the first part, LE-OFETs are reviewed from the viewpoint
of the evolution of device structures. Device performances have improved in the
last decade with the evolution of device structures from single-layer unipolar to
multi-layer ambipolar transistors. In the second part, various kinds of LR-OFETs
are featured. These are categorized according to their functionalities:
phototransistors, non-volatile optical memories, and photochromism-based
transistors. For both, various device configurations are introduced: thin-film
based transistors for practical applications, single-crystalline transistors to
investigate fundamental physics, nanowires, multi-layers, and vertical
transistors based on new concepts.
PMID- 27877657
TI - Germanium epitaxy on silicon.
AB - With the rapid development of on-chip optical interconnects and optical computing
in the past decade, silicon-based integrated devices for monolithic and hybrid
optoelectronic integration have attracted wide attention. Due to its narrow
pseudo-direct gap behavior and compatibility with Si technology, epitaxial Ge-on
Si has become a significant material for optoelectronic device applications. In
this paper, we describe recent research progress on heteroepitaxy of Ge flat
films and self-assembled Ge quantum dots on Si. For film growth, methods of
strain modification and lattice mismatch relief are summarized, while for dot
growth, key process parameters and their effects on the dot density, dot
morphology and dot position are reviewed. The results indicate that epitaxial Ge
on-Si materials will play a bigger role in silicon photonics.
PMID- 27877656
TI - Patterning technology for solution-processed organic crystal field-effect
transistors.
AB - Organic field-effect transistors (OFETs) are fundamental building blocks for
various state-of-the-art electronic devices. Solution-processed organic crystals
are appreciable materials for these applications because they facilitate large
scale, low-cost fabrication of devices with high performance. Patterning organic
crystal transistors into well-defined geometric features is necessary to develop
these crystals into practical semiconductors. This review provides an update on
recentdevelopment in patterning technology for solution-processed organic
crystals and their applications in field-effect transistors. Typical
demonstrations are discussed and examined. In particular, our latest research
progress on the spin-coating technique from mixture solutions is presented as a
promising method to efficiently produce large organic semiconducting crystals on
various substrates for high-performance OFETs. This solution-based process also
has other excellent advantages, such as phase separation for self-assembled
interfaces via one-step spin-coating, self-flattening of rough interfaces, and in
situ purification that eliminates the impurity influences. Furthermore,
recommendations for future perspectives are presented, and key issues for further
development are discussed.
PMID- 27877658
TI - Slow-light Mach-Zehnder modulators based on Si photonic crystals.
AB - Mach-Zehnder optical modulators are the key devices for high-speed electrical-to
optical conversion in Si photonics. Si rib waveguides with a p-n diode structure
operated in the carrier depletion mode have mainly been developed as their phase
shifters. Their length is usually longer than millimeters due to the limited
change in the refractive index due to the carrier depletion in a Si p-n diode.
This length is shorter than commercial LiNbO3 modulators, but still much shorter
devices are desired for large-scale integration and for simplifying the high
speed RF modulation. A promising solution is to use slow light in photonic
crystal waveguides, which enhances the modulation efficiency in proportion to the
group-velocity refractive index ng. In particular, dispersion-engineered slow
light allows more than five-fold enhancement, maintaining a wide working spectrum
as well as large temperature tolerance. The devices with a phase shifter length
of around 100 MUm are fabricated by a standard process compatible with
complementary metal-oxide semiconductors. The operation at 10 Gbps and higher
speeds are obtained in the wavelength range of 16.9 nm and temperature range of
105 K.
PMID- 27877659
TI - High-performance silicon photonics technology for telecommunications
applications.
AB - By way of a brief review of Si photonics technology, we show that significant
improvements in device performance are necessary for practical telecommunications
applications. In order to improve device performance in Si photonics, we have
developed a Si-Ge-silica monolithic integration platform, on which compact Si-Ge
based modulators/detectors and silica-based high-performance wavelength filters
are monolithically integrated. The platform features low-temperature silica film
deposition, which cannot damage Si-Ge-based active devices. Using this platform,
we have developed various integrated photonic devices for broadband
telecommunications applications.
PMID- 27877660
TI - Quasicrystal-reinforced Mg alloys.
AB - The formation of the icosahedral phase (I-phase) as a secondary solidification
phase in Mg-Zn-Y and Mg-Zn-Al base systems provides useful advantages in
designing high performance wrought magnesium alloys. The strengthening in two
phase composites (I-phase + alpha-Mg) can be explained by dispersion hardening
due to the presence of I-phase particles and by the strong bonding property at
the I-phase/matrix interface. The presence of an additional secondary
solidification phase can further enhance formability and mechanical properties.
In Mg-Zn-Y alloys, the co-presence of I and Ca2Mg6Zn3 phases by addition of Ca
can significantly enhance formability, while in Mg-Zn-Al alloys, the co-presence
of the I-phase and Mg2Sn phase leads to the enhancement of mechanical properties.
Dynamic and static recrystallization are significantly accelerated by addition of
Ca in Mg-Zn-Y alloy, resulting in much smaller grain size and more random
texture. The high strength of Mg-Zn-Al-Sn alloys is attributed to the presence of
finely distributed Mg2Sn and I-phase particles embedded in the alpha-Mg matrix.
PMID- 27877661
TI - Complex metallic alloys as new materials for additive manufacturing.
AB - Additive manufacturing processes allow freeform fabrication of the physical
representation of a three-dimensional computer-aided design (CAD) data model.
This area has been expanding rapidly over the last 20 years. It includes several
techniques such as selective laser sintering and stereolithography. The range of
materials used today is quite restricted while there is a real demand for
manufacturing lighter functional parts or parts with improved functional
properties. In this article, we summarize recent work performed in this field,
introducing new composite materials containing complex metallic alloys. These are
mainly Al-based quasicrystalline alloys whose properties differ from those of
conventional alloys. The use of these materials allows us to produce light-weight
parts consisting of either metal-matrix composites or of polymer-matrix
composites with improved properties. Functional parts using these alloys are now
commercialized.
PMID- 27877662
TI - Modulation of human dermal microvascular endothelial cell and human gingival
fibroblast behavior by micropatterned silica coating surfaces for zirconia dental
implant applications.
AB - Dental ceramic implants have shown superior esthetic behavior and the absence of
induced allergic disorders when compared to titanium implants. Zirconia may
become a potential candidate to be used as an alternative to titanium dental
implants if surface modifications are introduced. In this work, bioactive
micropatterned silica coatings were produced on zirconia substrates, using a
combined methodology of sol-gel processing and soft lithography. The aim of the
work was to compare the in vitro behavior of human gingival fibroblasts (HGFs)
and human dermal microvascular endothelial cells (HDMECs) on three types of
silica-coated zirconia surfaces: flat and micropatterned (with pillars and with
parallel grooves). Our results showed that cells had a higher metabolic activity
(HGF, HDMEC) and increased gene expression levels of fibroblast-specific protein
1 (FSP-1) and collagen type I (COL I) on surfaces with pillars. Nevertheless,
parallel grooved surfaces were able to guide cell growth. Even capillary tube
like networks of HDMEC were oriented according to the surface geometry. Zirconia
and silica with different topographies have shown to be blood compatible and
silica coating reduced bacteria adhesion. All together, the results indicated
that microstructured bioactive coating seems to be an efficient strategy to
improve soft tissue integration on zirconia implants, protecting implants from
peri-implant inflammation and improving long-term implant stabilization. This new
approach of micropatterned silica coating on zirconia substrates can generate
promising novel dental implants, with surfaces that provide physical cues to
guide cells and enhance their behavior.
PMID- 27877664
TI - Preparation and characterization of self-assembled percolative BaTiO3-CoFe2O4
nanocomposites via magnetron co-sputtering.
AB - BaTiO3-CoFe2O4 composite films were prepared on (100) SrTiO3 substrates by using
a radio-frequency magnetron co-sputtering method at 750 degrees C. These films
contained highly (001)-oriented crystalline phases of perovskite BaTiO3 and
spinel CoFe2O4, which can form a self-assembled nanostructure with BaTiO3 well
dispersed into CoFe2O4 under optimized sputtering conditions. A prominent
dielectric percolation behavior was observed in the self-assembled nanocomposite.
Compared with pure BaTiO3 films sputtered under similar conditions, the
nanocomposite film showed higher dielectric constants and lower dielectric losses
together with a dramatically suppressed frequency dispersion. This dielectric
percolation phenomenon can be explained by the 'micro-capacitor' model, which was
supported by measurement results of the electric polarization and leakage
current.
PMID- 27877663
TI - Nanoalloying and phase transformations during thermal treatment of physical
mixtures of Pd and Cu nanoparticles.
AB - Nanoscale alloying and phase transformations in physical mixtures of Pd and Cu
ultrafine nanoparticles are investigated in real time with in situ synchrotron
based x-ray diffraction complemented by ex situ high-resolution transmission
electron microscopy. The combination of metal-support interaction and
reactive/non-reactive environment was found to determine the thermal evolution
and ultimate structure of this binary system. At 300 degrees C, the
nanoparticles supported on silica and carbon black intermix to form a chemically
ordered CsCl-type (B2) alloy phase. The B2 phase transforms into a disordered fcc
alloy at higher temperature (> 450 degrees C). The alloy nanoparticles supported
on silica and carbon black are homogeneous in volume, but evidence was found of
Pd surface enrichment. In sharp contrast, when supported on alumina, the two
metals segregated at 300 degrees C to produce almost pure fcc Cu and Pd phases.
Upon further annealing of the mixture on alumina above 600 degrees C, the two
metals interdiffused, forming two distinct disordered alloys of compositions 30%
and 90% Pd. The annealing atmosphere also plays a major role in the structural
evolution of these bimetallic nanoparticles. The nanoparticles annealed in
forming gas are larger than the nanoparticles annealing in helium due to
reduction of the surface oxides that promotes coalescence and sintering.
PMID- 27877665
TI - Laser-vibrometric ultrasonic characterization of resonant modes and quality
factors of Ge membranes.
AB - The vibrations of a single-crystal germanium (Ge) membrane are studied in air and
vacuum using laser vibrometry, in order to determine mechanical properties such
as Q-factors, tensile stress, anisotropy, and robustness to shock. Resonance
modes up to 3:2 are identified, giving a residual stress measurement of 0.22 GPa,
consistent with the value obtained from x-ray relaxation studies. The membrane is
found to be isotropic, with Q-factors ranging from around 40 at atmospheric
pressure to over 3200 at [Formula: see text] mbar, significantly lower than those
found in polycrystalline Ge micromechanical devices. The robustness to shock is
explained through the high resonance mode frequencies and the dissipation
mechanism into the substrate, which is a direct consequence of having a high
quality film with low residual tensile stress, giving the potential for such
films to be used in optoelectronic devices.
PMID- 27877666
TI - Fabrication of flexible transparent conductive films from long double-walled
carbon nanotubes.
AB - The fabrication of flexible transparent conducting films (TCFs) is important for
the development of the next-generation flexible devices. In this study, we used
double-walled carbon nanotubes (DWCNTs) as the starting material and described a
fabrication method of flexible TCFs. We have determined in a quantitative way
that the key factors are the length and the dispersion states of the DWCNTs as
well as the weight-ratios of dispersant polymer/DWCNTs. By controlling such
factors, we have readily fabricated a flexible highly transparent (94%
transmittance) and conductive (surface resistivity = 320 Omega sq-1) DWCNT film
without adding any chemical doping that is often used to reduce the surface
resistivity. By applying a wet coating, we have succeeded in the fabrication of
large-scale conducting transparent DWCNT films based on the role-to-role method.
PMID- 27877667
TI - High throughput production of nanocomposite SiO x powders by plasma spray
physical vapor deposition for negative electrode of lithium ion batteries.
AB - Nanocomposite Si/SiO x powders were produced by plasma spray physical vapor
deposition (PS-PVD) at a material throughput of 480 g h-1. The powders are
fundamentally an aggregate of primary ~20 nm particles, which are composed of a
crystalline Si core and SiO x shell structure. This is made possible by complete
evaporation of raw SiO powders and subsequent rapid condensation of high
temperature SiO x vapors, followed by disproportionation reaction of nucleated
SiO x nanoparticles. When CH4 was additionally introduced to the PS-PVD, the
volume of the core Si increases while reducing potentially the SiO x shell
thickness as a result of the enhanced SiO reduction, although an unfavorable SiC
phase emerges when the C/Si molar ratio is greater than 1. As a result of the
increased amount of Si active material and reduced source for irreversible
capacity, half-cell batteries made of PS-PVD powders with C/Si = 0.25 have
exhibited improved initial efficiency and maintenance of capacity as high as 1000
mAh g-1 after 100 cycles at the same time.
PMID- 27877668
TI - First-principles quantum molecular dynamics study of Ti x Zr1-x N(111)/SiN y
heterostructures and comparison with experimental results.
AB - The heterostructures of five monolayers B1-Ti x Zr1-x N(111), x = 1.0, 0.6, 0.4
and 0.0 (where B1 is a NaCl-type structure) with one monolayer of a Si3N4-like
Si2N3 interfacial layer were investigated by means of first-principles quantum
molecular dynamics and a structure optimization procedure using the Quantum
ESPRESSO code. Slabs consisting of stoichiometric TiN and ZrN and random, as well
as segregated, B1-Ti x Zr1-x N(111) solutions were considered. The calculations
of the B1-Ti x Zr1-x N solid solutions, as well as of the heterostructures,
showed that the pseudo-binary TiN-ZrN system exhibits a miscibility gap. The
segregated heterostructures in which Zr atoms surround the Si y N z interface
were found to be the most stable. For the Zr-rich heterostructures, the total
energy of the random solid solution was lower compared to that of the segregated
one, whereas for the Ti-rich heterostructures the opposite tendency was observed.
Hard and super hard Zr-Ti-Si-N coatings with thicknesses from 2.8 to 3.5 MUm were
obtained using a vacuum arc source with high frequency stimulation. The samples
were annealed in a vacuum and in air at 1200 degrees C. Experimental
investigations of Zr-Ti-N, Zr-Ti-Si-N and Ti-Si-N coatings with different Zr, Ti
and Si concentrations were carried out for comparison with results obtained from
Ti x Zr 1-x N(111)/SiN y systems. During annealing, the hardness of the best
series samples was increased from (39.6 +/- 1.4) to 53.6 GPa, which seemed to
indicate that a spinodal segregation along grain interfaces was finished. A
maximum hardness of 40.8 GPa before and 55 GPa after annealing in air at 500
degrees C was observed for coatings with a concentration of elements of Si? (7-8)
at.%, Ti ? 22 at.% and Zr ? 70 at.%.
PMID- 27877669
TI - Blue fluorescent OLED materials and their application for high-performance
devices.
AB - The authors applied two technologies to improve the efficiency of fluorescent
blue organic light-emitting diodes (OLEDs). First, an efficiency-enhancement
layer (EEL) was introduced to boost triplet-triplet fusion (TTF). Second, new
blue dopants with a higher orientation factor in the emitting layer were
developed. Consequently, the external quantum efficiency (EQE) was increased up
to 11.5% with Commission Internationale de l'Eclairage (CIE) 1931 color
coordinates of (0.138, 0.092). The reported results may lead to EQEs that exceed
14% with fluorescent blue emitters.
PMID- 27877670
TI - Donor-acceptor-structured 1,4-diazatriphenylene derivatives exhibiting thermally
activated delayed fluorescence: design and synthesis, photophysical properties
and OLED characteristics.
AB - A new series of luminescent 1,4-diazatriphenylene (ATP) derivatives with various
peripheral donor units, including phenoxazine, 9,9-dimethylacridane and 3
(diphenylamino)carbazole, is synthesized and characterized as thermally activated
delayed fluorescence (TADF) emitters. The influence of the donor substituents on
the electronic and photophysical properties of the materials is investigated by
theoretical calculations and experimental spectroscopic measurements. These ATP
based molecules with donor-acceptor-donor (D-A-D) structures can reduce the
singlet-triplet energy gap (0.04-0.26 eV) upon chemical modification of the ATP
core, and thus exhibit obvious TADF characteristics in solution and doped thin
films. As a demonstration of the potential of these materials, organic light
emitting diodes containing the D-A-D-structured ATP derivatives as emitters are
fabricated and tested. External electroluminescence quantum efficiencies above
12% and 8% for green- and sky-blue-emitting devices, respectively, are achieved.
PMID- 27877671
TI - Recent progress of high performance polymer OLED and OPV materials for organic
printed electronics.
AB - The development of organic printed electronics has been expanding to a variety of
applications and is expected to bring innovations to our future life. Along with
this trend, high performance organic materials with cost-efficient fabrication
processes and specific features such as thin, light weight, bendable, and low
power consumption are required. A variety of organic materials have been
investigated in the development of this field. The basic guidelines for material
design and the recent progress of polymer-based organic light-emitting diodes
(OLEDs) and organic photovoltaic cells (OPVs) are reported.
PMID- 27877672
TI - Deformation at ambient and high temperature of in situ Laves phases-ferrite
composites.
AB - The mechanical behavior of a Fe80Zr10Cr10 alloy has been studied at ambient and
high temperature. This Fe80Zr10Cr10 alloy, whoose microstructure is formed by
alternate lamellae of Laves phase and ferrite, constitutes a very simple example
of an in situ CMA phase composite. The role of the Laves phase type was
investigated in a previous study while the present work focuses on the influence
of the microstructure length scale owing to a series of alloys cast at different
cooling rates that display microstructures with Laves phase lamellae width
ranging from ~50 nm to ~150 nm. Room temperature compression tests have revealed
a very high strength (up to 2 GPa) combined with a very high ductility (up to
35%). Both strength and ductility increase with reduction of the lamella width.
High temperature compression tests have shown that a high strength (900 MPa) is
maintained up to 873 K. Microstructural study of the deformed samples suggests
that the confinement of dislocations in the ferrite lamellae is responsible for
strengthening at both ambient and high temperature. The microstructure scale in
addition to CMA phase structural features stands then as a key parameter for
optimization of mechanical properties of CMA in situ composites.
PMID- 27877673
TI - Surfaces of Al-based complex metallic alloys: atomic structure, thin film growth
and reactivity.
AB - We present a review on recent work performed on periodic complex metallic alloy
(CMA) surfaces. The electronic and crystallographic structures of clean pseudo
tenfold, pseudo-twofold, sixfold surfaces will be presented along with the recent
findings on CMA of lower structural complexity, i.e. with a smaller unit cell.
The use of CMA surfaces as templates for thin film growth and the formation of
surface alloy will also be introduced. The reactivity of these complex surfaces
and their impact in the field of heterogeneous catalysis will be discussed.
Finally, common trends among these systems will be highlighted when possible and
future challenges will be examined.
PMID- 27877674
TI - Intermetallic compounds in heterogeneous catalysis-a quickly developing field.
AB - The application of intermetallic compounds for understanding in heterogeneous
catalysis developed in an excellent way during the last decade. This review
provides an overview of concepts and developments revealing the potential of
intermetallic compounds in fundamental as well as applied catalysis research.
Intermetallic compounds may be considered as platform materials to address
current and future catalytic challenges, e.g. in respect to the energy
transition.
PMID- 27877675
TI - Friction and solid-solid adhesion on complex metallic alloys.
AB - The discovery in 1987 of stable quasicrystals in the Al-Cu-Fe system was soon
exploited to patent specific coatings that showed reduced friction in ambient air
against hard antagonists. Henceforth, it was possible to develop a number of
applications, potential or commercially exploited to date, that will be alluded
to in this topical review. A deeper understanding of the characteristics of
complex metallic alloys (CMAs) may explain why material made of metals like Al,
Cu and Fe offers reduced friction; low solid-solid adhesion came later. It is
linked to the surface energy being significantly lower on those materials, in
which translational symmetry has become a weak property, that is determined by
the depth of the pseudo-gap at the Fermi energy. As a result, friction is
anisotropic in CMAs that builds up according to the translation symmetry along
one direction, but is aperiodic along the other two directions. A review is given
in this article of the most salient data found along these lines during the past
two decades or so.
PMID- 27877676
TI - Photonic crystals, amorphous materials, and quasicrystals.
AB - Photonic crystals consist of artificial periodic structures of dielectrics, which
have attracted much attention because of their wide range of potential
applications in the field of optics. We may also fabricate artificial amorphous
or quasicrystalline structures of dielectrics, i.e. photonic amorphous materials
or photonic quasicrystals. So far, both theoretical and experimental studies have
been conducted to reveal the characteristic features of their optical properties,
as compared with those of conventional photonic crystals. In this article, we
review these studies and discuss various aspects of photonic amorphous materials
and photonic quasicrystals, including photonic band gap formation, light
propagation properties, and characteristic photonic states.
PMID- 27877677
TI - High-rate and wide-area deposition of epitaxial Si films by mesoplasma chemical
vapor deposition.
AB - Homoepitaxial Si films have been deposited at a high rate of 200 nm s-1 over a
wide area of 20 mm * 80 mm by cluster-assisted mesoplasma chemical vapor
deposition (MPCVD) on a moving substrate. The obtained epitaxial Si films
exhibited a uniform roughness of 0.1-0.3 nm (1 * 1 MUm2) and a Hall mobility of
~240 cm2 V-1 s-1. The results suggested that under the MPCVD the deposition
precursors formed at the plasma edge could be small enough not to influence
either epitaxial film structure or the film quality provided the substrate
temperature is maintained above 500 degrees C.
PMID- 27877678
TI - Development of nitroxide radicals-containing polymer for scavenging reactive
oxygen species from cigarette smoke.
AB - We developed a nitroxide radicals-containing polymer (NRP), which is composed of
poly(4-methylstyrene) possessing nitroxide radicals as a side chain via amine
linkage, to scavenge reactive oxygen species (ROS) from cigarette smoke. In this
study, the NRP was coated onto cigarette filters and its ROS-scavenging activity
from streaming cigarette smoke was evaluated. The intensity of electron spin
resonance signals of the NRP in the filter decreased after exposure to cigarette
smoke, indicating consumption of nitroxide radicals. To evaluate the ROS
scavenging activity of the NRP-coated filter, the amount of peroxy radicals in an
extract of cigarette smoke was measured using UV-visible spectrophotometry and
1,1-diphenyl-2-picrylhydrazyl (DPPH). The absorbance of DPPH at 517 nm decreased
with exposure to cigarette smoke. When NRP-coated filters were used, the decrease
in the absorbance of DPPH was prevented. In contrast, both poly[4
(cyclohexylamino)methylstyrene]- and poly(acrylic acid)-coated filters, which
have no nitroxide radical, did not show any effect, indicating that the nitroxide
radicals in the NRP scavenge the ROS in cigarette smoke. As a result, the extract
of cigarette smoke passed through the NRP-coated filter has a lower cellular
toxicity than smoke passed through poly[4-(cyclohexylamino)methylstyrene]- and
poly(acrylic acid)-coated filters. Accordingly, NRP is a promising material for
ROS scavenging from cigarette smoke.
PMID- 27877679
TI - Detwining in Mg alloy with a high density of twin boundaries.
AB - To investigate the role of preexisting twin boundaries in magnesium alloys during
the deformation process, a large number of {10-12} tensile twins were introduced
by a radial compression at room temperature before hot compressive tests with
both low and high strain rates. Unlike the stable twins in Cu-based alloys with
low stacking fault energies, {10-12} twins in Mg alloy are extremely unstable or
easy to detwin through {10-12}-{10-12} re-twinning. As a result, non-lenticular
residual twins and twin traces with misorientation of 5 degrees -7 degrees were
present, as confirmed by electron backscatter diffraction. The extreme
instability of the twins during compression indicates that both twin and
detwinning require extremely low resolved shear stresses under our experimental
conditions.
PMID- 27877680
TI - Improvement of the mode II interface fracture toughness of glass fiber reinforced
plastics/aluminum laminates through vapor grown carbon fiber interleaves.
AB - The effects of acid treatment, vapor grown carbon fiber (VGCF) interlayer and the
angle, i.e., 0 degrees and 90 degrees , between the rolling stripes of an
aluminum (Al) plate and the fiber direction of glass fiber reinforced plastics
(GFRP) on the mode II interlaminar mechanical properties of GFRP/Al laminates
were investigated. The experimental results of an end notched flexure test
demonstrate that the acid treatment and the proper addition of VGCF can
effectively improve the critical load and mode II fracture toughness of GFRP/Al
laminates. The specimens with acid treatment and 10 g m-2 VGCF addition possess
the highest mode II fracture toughness, i.e., 269% and 385% increases in the 0
degrees and 90 degrees specimens, respectively compared to those corresponding
pristine ones. Due to the induced anisotropy by the rolling stripes on the
aluminum plate, the 90 degrees specimens possess 15.3%-73.6% higher mode II
fracture toughness compared to the 0 degrees specimens. The improvement
mechanisms were explored by the observation of crack propagation path and
fracture surface with optical, laser scanning and scanning electron microscopies.
Moreover, finite element analyses were carried out based on the cohesive zone
model to verify the experimental fracture toughness and to predict the interface
shear strength between the aluminum plates and GFRP laminates.
PMID- 27877682
TI - Energetic alignment in nontoxic SnS quantum dot-sensitized solar cell employing
spiro-OMeTAD as the solid-state electrolyte.
AB - An environmentally friendly solid-state quantum dot sensitized solar cell (ss
QDSSC) was prepared by combining colloidal SnS QDs as the sensitizer and organic
hole scavenger spiro-OMeTAD (2,2',7,7'-tetrakis-(N,N-di-p-methoxyphenylamine)9,9'
spirobifluorene) as the solid-state electrolyte, and the energy alignment of SnS
and TiO2 was investigated. The bandgap of colloidal SnS QDs increased with
decreasing particle size from 14 to 4 nm due to an upshift of the conduction band
and a downshift of the valence band. In TiO2/SnS heterojunctions, the conduction
band minimum (CBM) difference between TiO2 and SnS was as large as ~0.8 eV; this
difference decreased with decreasing particle size, but was sufficient for
electron injection from SnS nanoparticles of any size into TiO2. Meanwhile, the
sensitizer regeneration driving force, that is, the difference between the
valence band maximum (VBM) of SnS and the work function of the electrolyte,
showed an opposite behaviour with the SnS size due to a downward shift of the SnS
VB. Consequently, smaller SnS QDs should result in a more efficient charge
transfer in heterojunctions, revealing the advantages of QDs vs larger particles
as sensitizers. This prediction was confirmed by the improved photovoltaic
performance of ss-QDSSCs modified with SnS nanoparticles, which peaked for 5-6 nm
sized SnS nanoparticles due to the balance between electron injection and
sunlight absorption.
PMID- 27877681
TI - Amperometric catechol biosensor based on laccase immobilized on nitrogen-doped
ordered mesoporous carbon (N-OMC)/PVA matrix.
AB - A functionalized nitrogen-containing ordered mesoporous carbon (N-OMC), which
shows good electrical properties, was synthesized by the carbonization of
polyaniline inside a SBA-15 mesoporous silica template. Based on this, through
entrapping laccase onto the N-OMC/polyvinyl alcohol (PVA) film a facilely
fabricated amperometric biosensor was developed. Laccase from Trametes versicolor
was assembled on a composite film of a N-OMC/PVA modified Au electrode and the
electrochemical behavior was investigated. The results indicated that the N-OMC
modified electrode exhibits electrical properties towards catechol. The optimum
experimental conditions of a biosensor for the detection of catechol were studied
in detail. Under the optimal conditions, the sensitivity of the biosensor was
0.29 A*M-1 with a detection limit of 0.31 MUM and a linear detection range from
0.39 MUM to 8.98 MUM for catechol. The calibration curve followed the Michaelis
Menten kinetics and the apparent Michaelis-Menten [Formula: see text] was 6.28
MUM. This work demonstrated that the N-OMC/PVA composite provides a suitable
support for laccase immobilization and the construction of a biosensor.
PMID- 27877683
TI - Detection of segmentation cracks in top coat of thermal barrier coatings during
plasma spraying by non-contact acoustic emission method.
AB - Numerous cracks can be observed in the top coat of thermal barrier coatings
(TBCs) deposited by the atmospheric plasma spraying (APS) method. These cracks
can be classified into vertical and horizontal ones and they have opposite impact
on the properties of TBCs. Vertical cracks reduce the residual stress in the top
coat and provide strain tolerance. On the contrary, horizontal cracks trigger
delamination of the top coat. However, monitoring methods of cracks generation
during APS are rare even though they are strongly desired. Therefore, an in situ,
non-contact and non-destructive evaluation method for this objective was
developed in this study with the laser acoustic emission (AE) technique by using
laser interferometers as a sensor. More AE events could be detected by
introducing an improved noise reduction filter and AE event detection procedures
with multiple thresholds. Generation of vertical cracks was successfully
separated from horizontal cracks by a newly introduced scanning pattern of a
plasma torch. Thus, generation of vertical cracks was detected with certainty by
this monitoring method because AE events were detected only during spraying and a
positive correlation was observed between the development degree of vertical
cracks and the total AE energy in one experiment.
PMID- 27877684
TI - Crafting ferromagnetism in Mn-doped MgO surfaces with p-type defects.
AB - We have employed first-principles calculations based on density functional theory
(DFT) to investigate the underlying physics of unusual magnetism in Mn-doped MgO
surface. We have studied two distinct scenarios. In the first one, two Mn atoms
are substitutionally added to the surface, occupying the Mg sites. Both are
stabilized in the Mn[Formula: see text] valence state carrying a local moment of
4.3 [Formula: see text] having a high-spin configuration. The magnetic
interaction between the local moments display a very short-ranged characteristic,
decaying very quickly with distance, and having antiferromagnetic ordering lower
in energy. The energetics analysis also indicates that the Mn ions prefer to stay
close to each other with an oxygen atom bridging the local interaction. In the
second scenario, we started exploring the effect of native defects on the
magnetism by crafting both Mg and O vacancies, which are p- and n-type defects,
respectively. It is found that the electrons and holes affect the magnetic
interaction between Mn ions in a totally different manner. The n-type defect
leads to very similar magnetism, with the AFM configuration being energetically
preferred. However, in the presence of Mg vacancy, the situation is quite
different. The Mn atoms are further oxidized, giving rise to mixed Mn(d) ionic
states. As a consequence, the Mn atoms couple ferromagnetically, when placed in
the close configuration, and the obtained electronic structure is coherent with
the double-exchange type of magnetic interaction. To guarantee the robustness of
our results, we have benchmarked our calculations with three distinct theory
levels, namely DFT-GGA, DFT-GGA+U and DFT-hybrid functionals. On the surface, the
Mg vacancy displays lower formation energy occurring at higher concentrations.
Therefore, our model systems can be the basis to explain a number of
controversial results regarding transition metal doped oxides.
PMID- 27877685
TI - Intermethod comparison of the particle size distributions of colloidal silica
nanoparticles.
AB - There can be a large variation in the measured diameter of nanoparticles
depending on which method is used. In this work, we have strived to accurately
determine the mean particle diameter of 30-40 nm colloidal silica particles by
using six different techniques. A quantitative agreement between the particle
size distributions was obtained by scanning electron microscopy (SEM), and
electrospray-scanning mobility particle sizer (ES-SMPS). However, transmission
electron microscopy gave a distribution shifted to smaller sizes. After
confirming that the magnification calibration was consistent, this was attributed
to sample preparation artifacts. The hydrodynamic diameter, dh , was determined
by dynamic light scattering (DLS) both in batch mode, and hyphenated with
sedimentation field flow fractionation. Surprisingly the dh were smaller than the
SEM, and ES-SMPS diameters. A plausible explanation for the smaller sizes found
with DLS is that a permeable gel layer forms on the particle surface. Results
from nanoparticle tracking analysis were strongly biased towards larger
diameters, most likely because the silica particles provide low refractive index
contrast. Calculations confirmed that the sensitivity is, depending on the shape
of the laser beam, strongly size dependent for particles with diameters close to
the visualization limit.
PMID- 27877687
TI - Controlling the length scale and distribution of the ductile phase in metallic
glass composites through friction stir processing.
AB - We demonstrate the refinement and uniform distribution of the crystalline
dendritic phase by friction stir processing (FSP) of titanium based in situ
ductile-phase reinforced metallic glass composite. The average size of the
dendrites was reduced by almost a factor of five (from 24 MUm to 5 MUm) for the
highest tool rotational speed of 900 rpm. The large inter-connected dendrites
become more fragmented with increased circularity after processing. The changes
in thermal characteristics were measured by differential scanning calorimetry.
The reduction in crystallization enthalpy after processing suggests partial
devitrification due to the high strain plastic deformation. FSP resulted in
increased hardness and modulus for both the amorphous matrix and the crystalline
phase. This is explained by interaction of shear bands in amorphous matrix with
the strain-hardened dendritic phase. Our approach offers a new strategy for
microstructural design in metallic glass composites.
PMID- 27877686
TI - Mo polyoxometalate nanoparticles inhibit tumor growth and vascular endothelial
growth factor induced angiogenesis.
AB - Tumor growth depends on angiogenesis, which can furnish the oxygen and nutrients
that proliferate tumor cells. Thus, blocking angiogenesis can be an effective
strategy to inhibit tumor growth. In this work, three typical nanoparticles based
on polyoxometalates (POMs) have been prepared; we investigated their capability
as antitumor and anti-angiogenesis agents. We found that Mo POM nanoparticles,
especially complex 3, inhibited the growth of human hepatocellular liver
carcinoma cells (HepG2) through cellular reactive oxygen species levels'
elevation and mitochondrial membrane potential damage. Complex 3 also suppressed
the proliferation, migration, and tube formation of endothelial cells in vitro
and chicken chorioallantoic membrane development ex vivo. Furthermore, western
blot analysis of cell signaling molecules indicated that Mo POMs blocked the
vascular endothelial growth factor receptor 2-mediated ERK1/2 and AKT signaling
pathways in endothelial cells. Using transmission electron microscopy, we
demonstrated their cellular uptake and localization within the cytoplasm of HepG2
cells. These results indicate that, owing to the extraordinary physical and
chemical properties, Mo POM nanoparticles can significantly inhibit tumor growth
and angiogenesis, which makes them potential drug candidates in anticancer and
anti-angiogenesis therapies.
PMID- 27877688
TI - Theoretical and experimental investigation of the excellent p-n control in
yttrium aluminoborides.
AB - First-principles calculations were carried out to elucidate the excellent control
of p-n characteristics recently reported for yttrium aluminoborides YxAlyB14
[Formula: see text] with different occupancies of Al sites [Formula: see text].
Such control of the occupancy of metal sites in borides is unusual. Calculations
based on detailed x-ray diffraction data reveal a stable configuration of the
atomic sites, indicating that such variation in occupancy is possible. A shift
from positive through zero to negative values of the Seebeck coefficient is also
clearly illustrated by determining the density of states for different
configurations.
PMID- 27877689
TI - Silica based polishing of {100} and {111} single crystal diamond.
AB - Diamond is one of the hardest and most difficult to polish materials. In this
paper, the polishing of {111} and {100} single crystal diamond surfaces by
standard chemical mechanical polishing, as used in the silicon industry, is
demonstrated. A Logitech Tribo Chemical Mechanical Polishing system with Logitech
SF1 Syton and a polyurethane/polyester polishing pad was used. A reduction in
roughness from 0.92 to 0.23 nm root mean square and 0.31 to 0.09 nm rms for {100}
and {111} samples respectively was observed.
PMID- 27877690
TI - First-principles study of electronic structures and stability of body-centered
cubic Ti-Mo alloys by special quasirandom structures.
AB - The electronic structures and structural properties of body-centered cubic Ti-Mo
alloys were studied by first-principles calculations. The special quasirandom
structures (SQS) model was adopted to emulate the solid solution state of the
alloys. The valence band electronic structures of Ti-Mo and Ti-Mo-Fe alloys were
measured by hard x-ray photoelectron spectroscopy. The structural parameters and
valence band photoelectron spectra were calculated using first-principles
calculations. The results obtained with the SQS models showed better agreement
with the experimental results than those obtained using the conventional ordered
structure models. This indicates that the SQS model is effective for predicting
the various properties of solid solution alloys by means of first-principles
calculations.
PMID- 27877691
TI - Drastic influence of minor Fe or Co additions on the glass forming ability,
martensitic transformations and mechanical properties of shape memory Zr-Cu-Al
bulk metallic glass composites.
AB - The microstructure and mechanical properties of Zr48Cu48 - x Al4M x (M = Fe or
Co, x = 0, 0.5, 1 at.%) metallic glass (MG) composites are highly dependent on
the amount of Fe or Co added as microalloying elements in the parent Zr48Cu48Al4
material. Addition of Fe and Co promotes the transformation from austenite to
martensite during the course of nanoindentation or compression experiments,
resulting in an enhancement of plasticity. However, the presence of Fe or Co also
reduces the glass forming ability, ultimately causing a worsening of the
mechanical properties. Owing to the interplay between these two effects, the
compressive plasticity for alloys with x = 0.5 (5.5% in Zr48Cu47.5Al4Co0.5 and
6.2% in Zr48Cu47.5Al4Fe0.5) is considerably larger than for Zr48Cu48Al4 or the
alloys with x = 1. Slight variations in the Young's modulus (around 5-10%) and
significant changes in the yield stress (up to 25%) are also observed depending
on the composition. The different microstructural factors that have an influence
on the mechanical behavior of these composites are investigated in detail: (i) co
existence of amorphous and crystalline phases in the as-cast state, (ii) nature
of the crystalline phases (austenite versus martensite content), and (iii)
propensity for the austenite to undergo a mechanically-driven martensitic
transformation during plastic deformation. Evidence for intragranular nanotwins
likely generated in the course of the austenite-martensite transformation is
provided by transmission electron microscopy. Our results reveal that fine-tuning
of the composition of the Zr-Cu-Al-(Fe,Co) system is crucial in order to optimize
the mechanical performance of these bulk MG composites, to make them suitable
materials for structural applications.
PMID- 27877692
TI - Mechanical response of melt-spun amorphous filaments.
AB - High-speed melt spinning of a cyclo-olefin polymer (COP) and a copolyamide (CoPA)
have been performed. Differential scanning calorimetry curves of the resulting
monofilaments show that they remain in an amorphous state even after hot drawing.
Wide angle x-ray diffraction patterns of undrawn and drawn COP filaments show
that although the material remains in an amorphous state, a degree of orientation
is induced in the polymer after drawing. The amorphous filaments show an enhanced
bending recovery with respect to different semi-crystalline monofilaments
commercially available. However, single fiber axial compressive testing indicates
that the amorphous filaments exhibit a compressive modulus value which is 50%
lower than what is observed for a reference semi-crystalline PET filament.
Analysis of the compressive strains applied by the bending recovery test
indicates that while the maximum applied strains remain well within the region of
elastic deformation of the amorphous materials, the threshold between elastic and
plastic deformation is reached for the semi-crystalline materials.
PMID- 27877693
TI - Focus on organic electronics.
PMID- 27877694
TI - Recent research progress on iron- and manganese-based positive electrode
materials for rechargeable sodium batteries.
AB - Large-scale high-energy batteries with electrode materials made from the Earth
abundant elements are needed to achieve sustainable energy development. On the
basis of material abundance, rechargeable sodium batteries with iron- and
manganese-based positive electrode materials are the ideal candidates for large
scale batteries. In this review, iron- and manganese-based electrode materials,
oxides, phosphates, fluorides, etc, as positive electrodes for rechargeable
sodium batteries are reviewed. Iron and manganese compounds with sodium ions
provide high structural flexibility. Two layered polymorphs, O3- and P2-type
layered structures, show different electrode performance in Na cells related to
the different phase transition and sodium migration processes on sodium
extraction/insertion. Similar to layered oxides, iron/manganese phosphates and
pyrophosphates also provide the different framework structures, which are used as
sodium insertion host materials. Electrode performance and reaction mechanisms of
the iron- and manganese-based electrode materials in Na cells are described and
the similarities and differences with lithium counterparts are also discussed.
Together with these results, the possibility of the high-energy battery system
with electrode materials made from only Earth-abundant elements is reviewed.
PMID- 27877695
TI - Current advances in precious metal core-shell catalyst design.
AB - Precious metal nanoparticles are commonly used as the main active components of
various catalysts. Given their high cost, limited quantity, and easy loss of
catalytic activity under severe conditions, precious metals should be used in
catalysts at low volumes and be protected from damaging environments.
Accordingly, reducing the amount of precious metals without compromising their
catalytic performance is difficult, particularly under challenging conditions. As
multifunctional materials, core-shell nanoparticles are highly important owing to
their wide range of applications in chemistry, physics, biology, and
environmental areas. Compared with their single-component counterparts and other
composites, core-shell nanoparticles offer a new active interface and a potential
synergistic effect between the core and shell, making these materials highly
attractive in catalytic application. On one hand, when a precious metal is used
as the shell material, the catalytic activity can be greatly improved because of
the increased surface area and the closed interfacial interaction between the
core and the shell. On the other hand, when a precious metal is applied as the
core material, the catalytic stability can be remarkably improved because of the
protection conferred by the shell material. Therefore, a reasonable design of the
core-shell catalyst for target applications must be developed. We summarize the
latest advances in the fabrications, properties, and applications of core-shell
nanoparticles in this paper. The current research trends of these core-shell
catalysts are also highlighted.
PMID- 27877697
TI - A density functional theory investigation of the electronic structure and spin
moments of magnetite.
AB - We present the results of density functional theory (DFT) calculations on
magnetite, Fe3O4, which has been recently considered as electrode in the emerging
field of organic spintronics. Given the nature of the potential applications, we
evaluated the magnetite room-temperature cubic [Formula: see text] phase in terms
of structural, electronic, and magnetic properties. We considered GGA (PBE), GGA
+ U (PBE + U), and range-separated hybrid (HSE06 and HSE(15%)) functionals.
Calculations using HSE06 and HSE(15%) functionals underline the impact that
inclusion of exact exchange has on the electronic structure. While the modulation
of the band gap with exact exchange has been seen in numerous situations, the
dramatic change in the valence band nature and states near the Fermi level has
major implications for even a qualitative interpretation of the DFT results. We
find that HSE06 leads to highly localized states below the Fermi level while
HSE(15%) and PBE + U result in delocalized states around the Fermi level. The
significant differences in local magnetic moments and atomic charges indicate
that describing room-temperature bulk materials, surfaces and interfaces may
require different functionals than their low-temperature counterparts.
PMID- 27877698
TI - Helically assembled pi-conjugated polymers with circularly polarized
luminescence.
AB - We review the recent progress in the field of helically assembled pi-conjugated
polymers, focusing on aromatic conjugated polymers with interchain helical pi
stacking that exhibit circularly polarized luminescence (CPL). In Part 1, we
discuss optically active polymers with white-colored CPL and the amplification of
the circular polarization through liquid crystallinity. In Part 2, we focus on
the stimuli-responsive CPL that results from changes in the conformation and
aggregation state of pi-conjugated molecules and polymers. In Part 3, we discuss
the self-assembly of achiral cationic pi-conjugated polymers into circularly
polarized luminescent supramolecular nanostructures with the aid of other chiral
molecules.
PMID- 27877699
TI - Magnetism in icosahedral quasicrystals: current status and open questions.
AB - Progress in our understanding of the magnetic properties of R-containing
icosahedral quasicrystals (R = rare earth element) from over 20 years of
experimental effort is reviewed. This includes the much studied R-Mg-Zn and R-Mg
Cd ternary systems, as well as several magnetic quasicrystals that have been
discovered and investigated more recently including Sc-Fe-Zn, R-Ag-In, Yb-Au-Al,
the recently synthesized R-Cd binary quasicrystals, and their periodic
approximants. In many ways, the magnetic properties among these quasicrystals are
very similar. However, differences are observed that suggest new experiments and
promising directions for future research.
PMID- 27877696
TI - Pd- and Ni-catalyzed cross-coupling reactions in the synthesis of organic
electronic materials.
AB - Organic molecules and polymers with extended pi-conjugation are appealing as
advanced electronic materials, and have already found practical applications in
thin-film transistors, light emitting diodes, and chemical sensors. Transition
metal (TM)-catalyzed cross-coupling methodologies have evolved over the past four
decades into one of the most powerful and versatile methods for C-C bond
formation, enabling the construction of a diverse and sophisticated range of pi
conjugated oligomers and polymers. In this review, we focus our discussion on
recent synthetic developments of several important classes of pi-conjugated
systems using TM-catalyzed cross-coupling reactions, with a perspective on their
utility for organic electronic materials.
PMID- 27877700
TI - Metallic-covalent bonding conversion and thermoelectric properties of Al-based
icosahedral quasicrystals and approximants.
AB - In this article, we review the characteristic features of icosahedral cluster
solids, metallic-covalent bonding conversion (MCBC), and the thermoelectric
properties of Al-based icosahedral quasicrystals and approximants. MCBC is
clearly distinguishable from and closely related to the well-known metal
insulator transition. This unique bonding conversion has been experimentally
verified in 1/1-AlReSi and 1/0-Al12Re approximants by the maximum entropy method
and Rietveld refinement for powder x-ray diffraction data, and is caused by a
central atom inside the icosahedral clusters. This helps to understand pseudogap
formation in the vicinity of the Fermi energy and establish a guiding principle
for tuning the thermoelectric properties. From the electron density distribution
analysis, rigid heavy clusters weakly bonded with glue atoms are observed in the
1/1-AlReSi approximant crystal, whose physical properties are close to
icosahedral Al-Pd-TM (TM: Re, Mn) quasicrystals. They are considered to be an
intermediate state among the three typical solids: metals, covalently bonded
networks (semiconductor), and molecular solids. Using the above picture and
detailed effective mass analysis, we propose a guiding principle of weakly bonded
rigid heavy clusters to increase the thermoelectric figure of merit (ZT) by
optimizing the bond strengths of intra- and inter-icosahedral clusters. Through
element substitutions that mainly weaken the inter-cluster bonds, a dramatic
increase of ZT from less than 0.01 to 0.26 was achieved. To further increase ZT,
materials should form a real gap to obtain a higher Seebeck coefficient.
PMID- 27877701
TI - Tailoring microstructure of Mg-Zn-Y alloys with quasicrystal and related phases
for high mechanical strength.
AB - The occurrence of a stable icosahedral (i-) phase, which is quasicrystalline with
an icosahedral (fivefold) symmetry, on the equilibrium phase diagram of Mg-Zn-RE
(RE = Y, Gd, Tb, Dy, Ho or Er) alloys opened up an interesting possibility of
developing a new series of magnesium alloys for structural applications. Alloys
based on the i-phase have been studied for the past 14 years. Ultra-high
strengths combined with good ductility have been shown. Here we show two
strategies for tailoring microstructures for very high strengths in Mg-Zn-Y
alloys. One of them involves strengthening by a fine distribution of rod-like
[Formula: see text] precipitates, where the matrix grain size is not critical.
The alloy is solutionized at a high temperature of 480 degrees C to dissolve a
large part of the i-phase, followed by a high temperature extrusion (~430
degrees C) and a low temperature ageing to reprecipitate phases with fine size
distribution. At first, phase transformations involved in this procedure are
described. The closeness of the structure of the [Formula: see text] precipitates
to the i-phase is brought out. By this procedure, tensile yield strengths of over
370 MPa are obtained in grain sizes of 20 MUm. In another strategy, the alloys
are chill cast and then extruded at low temperatures of about 250 degrees C.
Ultra-fine grains are produced by enhanced recrystallization due to presence of
the i-phase. At the same time nano-sized precipitates are precipitated
dynamically during extrusion from the supersaturated matrix. Ultra-high tensile
strengths of up to 400 MPa are obtained in combination with ductility of 12 to
16%. Analysis of the microstructure shows that strengthening by the i-phase
occurs by enhanced recrystallization during extrusion. It produces ultra-fine
grain sizes to give very high strengths, and moderate texture for good ductility.
Fine distribution of the i-phase and precipitates contribute to strengthening and
provide microstructre stability. Ultra-high strength over a very wide range of
grain sizes is thus demonstrated, by utilizing different strengthening effects.
PMID- 27877702
TI - Ambience-sensitive optical refraction in ferroelectric nanofilms of NaNbO3.
AB - Optical index of refraction n is studied by spectroscopic ellipsometry in
epitaxial nanofilms of NaNbO3 with thickness ~10 nm grown on different single
crystal substrates. The index n in the transparency spectral range (n ~ 2.1 -
2.2) exhibits a strong sensitivity to atmospheric-pressure gas ambience. The
index n in air exceeds that in an oxygen ambience by deltan ~ 0.05 - 0.2. The
thermo-optical behaviour n(T) indicates ferroelectric state in the nanofilms. The
ambience-sensitive optical refraction is discussed in terms of fundamental
connection between refraction and ferroelectric polarization in perovskites,
screening of depolarizing field on surfaces of the nanofilms, and
thermodynamically stable surface reconstructions of NaNbO3.
PMID- 27877703
TI - Manipulation of cell membrane using carbon nanotube scaffold as a photoresponsive
stimuli generator.
AB - We describe, for the first time, the perforation of the cell membrane in the
targeted single cell based on the nanosecond pulsed near-infrared (NIR) laser
irradiation of a thin film of carbon nanotubes that act as an effective photon
absorber as well as stimuli generator. When the power of NIR laser is over 17.5
MUJ/pulse, the cell membrane after irradiation is irreversibly disrupted and
results in cell death. In sharp contrast, the perforation of the cell membrane
occurs at suitable laser power (~15 MUJ/pulse) without involving cell
termination.
PMID- 27877704
TI - Rapid sintering of silicon nitride foams decorated with one-dimensional
nanostructures by intense thermal radiation.
AB - Silicon nitride foams were prepared by direct foaming and subsequent rapid
sintering at 1600 degrees C. The intense thermal radiation generated under the
pressureless spark plasma sintering condition facilitated necking of Si3N4
grains. The prepared foams possessed a porosity of ~80 vol% and a compressive
strength of ~10 MPa, which required only ~30 min for the entire sintering
processes. Rapid growth of one-dimensional SiC nanowires from the cell walls was
also observed. Thermodynamic calculations indicated that the vapor-liquid-solid
model is applicable to the formation of SiC nanowires under vacuum.
PMID- 27877705
TI - Free-standing alumina nanobottles and nanotubes pre-integrated into nanoporous
alumina membranes.
AB - A novel interfacial structure consisting of long (up to 5 MUm), thin (about 300
nm), highly-ordered, free-standing, highly-reproducible aluminum oxide
nanobottles and long tubular nanocapsules attached to a rigid, thin (less than 1
MUm) nanoporous anodic alumina membrane is fabricated by simple, fast, catalyst
free, environmentally friendly voltage-pulse anodization. A growth mechanism is
proposed based on the formation of straight channels in alumina membrane by
anodization, followed by neck formation due to a sophisticated voltage control
during the process. This process can be used for the fabrication of alumina
nanocontainers with highly controllable geometrical size and volume, vitally
important for various applications such as material and energy storage, targeted
drug and diagnostic agent delivery, controlled drug and active agent release,
gene and biomolecule reservoirs, micro-biologically protected platforms, nano
bioreactors, tissue engineering and hydrogen storage.
PMID- 27877706
TI - Ratchet effect study in Si/SiGe heterostructures in the presence of asymmetrical
antidots for different polarizations of microwaves.
AB - In this work, we studied the photovoltage response of an antidot lattice to
microwave radiation for different antidot parameters. The study was carried out
in a Si/SiGe heterostructure by illuminating the antidot lattice with linearly
polarized microwaves and recording the polarity of induced photovoltage for
different angles of incidence. Our study revealed that with increased antidot
density and etching depth, the polarity of induced photovoltage changed when the
angle of incidence was rotated 90 degrees. In samples with large antidot density
and/or a deeply etched antidot lattice, scattering was dominated by electron
interaction with the asymmetrical potential created by semicircular antidots. The
strong electron-electron interaction prevailed in other cases. Our study provides
insight into the mechanism of interaction between microwaves and electrons in an
antidot lattice, which is the key for developing an innovative ratchet-based
device. Moreover, we present an original and fundamental example of antidot
lattice etching through the use of a two-dimensional electron gas. This system
deals with a hole lattice instead of an electron depletion in the antidot lattice
region.
PMID- 27877709
TI - Preface: Focus on complex metallic phases.
PMID- 27877708
TI - A soluble biocompatible guanidine-containing polyamidoamine as promoter of
primary brain cell adhesion and in vitro cell culturing.
AB - This paper reports on a novel application of an amphoteric water-soluble
polyamidoamine named AGMA1 bearing 4-butylguanidine pendants. AGMA1 is an
amphoteric, prevailingly cationic polyelectrolyte with isoelectric point of about
10. At pH 7.4 it is zwitterionic with an average of 0.55 excess positive charges
per unit, notwithstanding it is highly biocompatible. In this work, it was found
that AGMA1 surface-adsorbed on cell culturing coverslips exhibits excellent
properties as adhesion and proliferation promoter of primary brain cells such as
microglia, as well as of hippocampal neurons and astrocytes. Microglia cells
cultured on AGMA1-coated coverslips substrate displayed the typical resting,
ramified morphology of those cultured on poly-L-lysine and poly-L-ornithine,
employed as reference substrates. Mixed cultures of primary astrocytes and
neuronal cells grown on AGMA1- and poly-L-lysine coated coverslips were
morphologically undistinguishable. On both substrates, neurons differentiated
axon and dendrites and eventually established perfectly functional synaptic
contacts. Quantitative immunocytochemical staining revealed no difference between
AGMA1 and poly-L-lysine. Electrophysiological experiments allowed recording
neuron spontaneous activity on AGMA1. In addition, cell cultures on both AGMA1
and PLL displayed comparable excitatory and inhibitory neurotransmission,
demonstrating that the synaptic contacts formed were fully functional.
PMID- 27877710
TI - Foreword: Focus on complex metallic phases.
AB - I am glad to learn that a focus issue, Complex Metallic Phases, will be published
in Science and Technology of Advanced Materials (STAM). The articles in this
special issue contain original and review papers on fundamentals and applications
contributed by experts in the field. The topics cover various approaches to
practical applications including catalysts, photonics, high specific strength
materials, thermal storage materials and thermal rectification for quasicrystals,
as well as related complex compounds. It is exciting to see the great potential
of these complex phases, and I look forward to seeing their industrial
applications in the foreseeable future. [Formula: see text] Professor Dan
Shechtman Recepient of the Nobel Prize in Chemistry 2011 for his discovery of
'quasi-crystals' in 1984.
PMID- 27877707
TI - Preparation of epigallocatechin gallate-loaded nanoparticles and characterization
of their inhibitory effects on Helicobacter pylori growth in vitro and in vivo.
AB - A variety of approaches have been proposed for overcoming the unpleasant side
effects associated with antibiotics treatment of Helicobacter pylori (H. pylori)
infections. Research has shown that epigallocatechin-3-gallate (EGCG), a major
ingredient in green tea, has antibacterial activity for antiurease activity
against H. pylori. Oral EGCG is not good because of its digestive instability and
the fact that it often cannot reach the targeted site of antibacterial activity.
To localize EGCG to H. pylori infection site, this study developed a fucose
chitosan/gelatin nanoparticle to encapsulate EGCG at the target and make direct
contact with the region of microorganisms on the gastric epithelium. Analysis of
a simulated gastrointestinal medium indicated that the proposed in vitro
nanocarrier system effectively controls the release of EGCG, which interacts
directly with the intercellular space at the site of H. pylori infection.
Meanwhile, results of in vivo clearance assays indicated that our prepared fucose
chitosan/gelatin/EGCG nanoparticles had a significantly greater H. pylori
clearance effect and more effectively reduced H. pylori-associated gastric
inflammation in the gastric-infected mouse model than the EGCG solution alone.
PMID- 27877711
TI - Localized photovoltaic investigations on organic semiconductors and bulk
heterojunction solar cells.
AB - Newly synthesized organic electronics materials are often available in
submicrogram amounts only. Photoelectrochemical scanning droplet cell microscopy
is a powerful method that allows a comprehensive characterisation of such small
amounts including oxidation, reduction potentials, doping, determination of
charge carriers, band gap, charge capacity, over-oxidation sensitivity and many
more. Localized photoelectrochemical characterization of the poly[4,8-bis
substituted-benzo[1,2-b:4,5-b0]dithiophene-2,6-diyl-alt-4-substituted-thieno [3,4
b] thiophene-2,6-diyl] (PBDTTT-c) and PBDTTT-c:PCBM bulk heterojunction was
performed using photoelectrochemical scanning droplet cell microscopy (PE-SDCM).
The optical properties and the real and imaginary part of the dielectric
function, of the polymer were determined using spectroscopic ellipsometry. The
photoelectrochemical characterizations were performed in a three and two
electrode configuration of PE-SDCM under laser and white light illumination. The
effect of illumination was characterized using dark/illumination sequences. The
stability of the photocurrent was studied using longer term (600 s) illumination.
Finally the effect of cell configuration and illumination conditions on the
photovoltage was studied.
PMID- 27877712
TI - Phosphorescent cyclometalated complexes for efficient blue organic light-emitting
diodes.
AB - Phosphorescent emitters are extremely important for efficient organic light
emitting diodes (OLEDs), which attract significant attention. Phosphorescent
emitters, which have a high phosphorescence quantum yield at room temperature,
typically contain a heavy metal such as iridium and have been reported to emit
blue, green and red light. In particular, the blue cyclometalated complexes with
high efficiency and high stability are being developed. In this review, we focus
on blue cyclometalated complexes. Recent progress of computational analysis
necessary to design a cyclometalated complex is introduced. The prediction of the
radiative transition is indispensable to get an emissive cyclometalated complex.
We summarize four methods to control phosphorescence peak of the cyclometalated
complex: (i) substituent effect on ligands, (ii) effects of ancillary ligands on
heteroleptic complexes, (iii) design of the ligand skeleton, and (iv) selection
of the central metal. It is considered that novel ligand skeletons would be
important to achieve both a high efficiency and long lifetime in the blue OLEDs.
Moreover, the combination of an emitter and a host is important as well as the
emitter itself. According to the dependences on the combination of an emitter and
a host, the control of exciton density of the triplet is necessary to achieve
both a high efficiency and a long lifetime, because the annihilations of the
triplet state cause exciton quenching and material deterioration.
PMID- 27877713
TI - Synthesis of N-acetyl-L-cysteine-capped ZnCdSe quantum dots via hydrothermal
method and their characterization.
AB - Compared with the most studied green-red emitting (530-650 nm) quantum dots
(QDs), the preparation of short-wavelength-emitting QDs remains difficult.
Besides, one of the representative short-wavelength QDs materials, ZnCdSe, has a
shortcoming of high content of toxic cadmium metal. In this paper, we report the
synthesis of high-quality water-soluble ZnCdSe QDs via optimized one-step
hydrothermal method with a new thiol as ligand, within a short time of 65 min.
The emission wavelength of prepared QDs is tunable in the range of 425-540 nm by
merely controlling the molar ratio of Cd:Zn or Se:Zn, and the quantum yield
reaches 35%. More importantly, the maximum Cd:Zn molar ratio has been reduced to
0.04:1.0, much lower than that reported in the literature (0.5:1.0), resulting in
excellent biological compatibility of prepared QDs and thus their promising
applications in biological fields. Moreover, the transmission electron microscopy
was employed to examine the effect of Cd:Zn ratio on the size of prepared ZnCdSe
QDs, which were also characterized by x-ray photoelectron spectroscopy and
electron diffraction spectroscopy.
PMID- 27877714
TI - Modification of the structural and electrical properties of graphene layers by Pt
adsorbates.
AB - The properties of graphene are strongly affected by metal adsorbates and clusters
on graphene. Here, we study the effect of a thin layer of platinum (Pt) metal on
exfoliated single, bi- and trilayer graphene and on chemical vapor deposition
grown single-layer graphene by using Raman spectroscopy and transport
measurements. The Raman spectra and transport measurements show that Pt affects
the structure as well as the electronic properties of graphene. The shift of peak
frequencies, intensities and widths of the Raman bands were analyzed after the
deposition of Pt with different thicknesses (1, 3, 5 nm) on the graphene. The
shifts in the G and 2D peak positions of the Raman spectra indicate the n-type
doping effect by the Pt metal. The doping effect was also confirmed by gate
voltage dependent resistivity measurements. The doping effect by the Pt metal is
stable under ambient conditions, and the doping intensity increases with the
increasing Pt deposition without inducing a severe degradation of the charge
carrier mobility.
PMID- 27877715
TI - Effects of ozone nano-bubble water on periodontopathic bacteria and oral cells -
in vitro studies.
AB - The aims of the present study were to evaluate the bactericidal activity of a new
antiseptic agent, ozone nano-bubble water (NBW3), against periodontopathogenic
bacteria and to assess the cytotoxicity of NBW3 against human oral cells. The
bactericidal activities of NBW3 against representative periodontopathogenic
bacteria, Porphyromonas gingivalis (P. gingivalis) and Aggregatibacter
actinomycetemcomitans (A. actinomycetemcomitans) were evaluated using in vitro
time-kill assays. The cytotoxicity of NBW3 was evaluated using three-dimensional
human buccal and gingival tissue models. The numbers of colony forming units
(CFUs)/mL of P. gingivalis and A. actinomycetemcomitans exposed to NBW3 dropped
to below the lower limit of detection (<10 CFUs mL-1) after only 0.5 min of
exposure. There were only minor decreases in the viability of oral tissue cells
after 24 h of exposure to NBW3. These results suggest that NBW3 possesses potent
bactericidal activity against representative periodontopathogenic bacteria and is
not cytotoxic to cells of human oral tissues. The use of NBW3 as an adjunct to
periodontal therapy would be promising.
PMID- 27877716
TI - Improving the electrical properties of graphene layers by chemical doping.
AB - Although the electronic properties of graphene layers can be modulated by various
doping techniques, most of doping methods cost degradation of structural
uniqueness or electrical mobility. It is matter of huge concern to develop a
technique to improve the electrical properties of graphene while sustaining its
superior properties. Here, we report the modification of electrical properties of
single- bi- and trilayer graphene by chemical reaction with potassium nitrate
(KNO3) solution. Raman spectroscopy and electrical transport measurements showed
the n-doping effect of graphene by KNO3. The effect was most dominant in single
layer graphene, and the mobility of single layer graphene was improved by the
factor of more than 3. The chemical doping by using KNO3 provides a facile
approach to improve the electrical properties of graphene layers sustaining their
unique characteristics.
PMID- 27877717
TI - Photoluminescence and doping mechanism of theranostic Eu3+/Fe3+ dual-doped
hydroxyapatite nanoparticles.
AB - Theranostic nanoparticles currently have been regarded as an emerging concept of
'personalized medicine' with diagnostic and therapeutic dual-functions. Eu3+
doped hydroxyapatite (HAp) has been regarded as a promising fluorescent probe for
in vivo imaging applications. Additionally, substitution of Ca2+ with Fe3+ in HAp
crystal may endow the capability of producing heat upon exposure to a magnetic
field. Here we report a preliminary study of doping mechanism and
photoluminescence of Eu3+ and Fe3+ doped HAp nanoparticles (Eu/Fe:HAp). HAp with
varied concentration of Eu3+ and Fe3+ doping are presented as Eu(10 mol%):HAp,
Eu(7 mol%)-Fe(3 mol%):HAp, Eu(5 mol%)-Fe(5 mol%):HAp, Eu(3 mol%)-Fe(7 mol%):HAp,
and Fe(10 mol%):HAp in the study. The results showed that the HAp particles, in
nano-size with rod-like morphology, were successfully doped with Eu3+ and Fe3+,
and the particles can be well suspended in cell culture medium. Photoluminescence
analysis revealed that particles have prominent emissions at 536 nm, 590 nm, 615
nm, 650 nm and 695 nm upon excitation at a wavelength of 397 nm. Moreover, these
Eu/Fe:HAp nanoparticles belonged to B-type carbonated HAp, which has been
considered an effective biodegradable and biocompatible drug/gene carrier in
biological applications.
PMID- 27877718
TI - Enhanced photoelectrochemical properties of TiO2 nanorod arrays decorated with
CdS nanoparticles.
AB - TiO2 nanorod arrays (TiO2 NRAs) sensitized with CdS nanoparticles were fabricated
via successive ion layer adsorption and reaction (SILAR), and TiO2 NRAs were
obtained by oxidizing Ti NRAs obtained through oblique angle deposition. The TiO2
NRAs decorated with CdS nanoparticles exhibited excellent photoelectrochemical
and photocatalytic properties under visible light, and the one decorated with 20
SILAR cycles CdS nanoparticles shows the best performance. This can be attributed
to the enhanced separation of electrons and holes by forming heterojunctions of
CdS nanoparticles and TiO2 NRAs. This provides a promising way to fabricate the
material for solar energy conversion and wastewater degradation.
PMID- 27877719
TI - Pressure-dependent magnetization and magnetoresistivity studies on tetragonal FeS
(mackinawite): revealing its intrinsic metallic character.
AB - The transport and magnetic properties of the tetragonal Fe[Formula: see text]S
were investigated using magnetoresistivity and magnetization within [Formula: see
text] K, [Formula: see text] 70 kOe and [Formula: see text] 3.0 GPa. In addition,
room-temperature x-ray diffraction and photoelectron spectroscopy were also
applied. In contrast to previously reported nonmetallic character, Fe[Formula:
see text]S is intrinsically metallic but due to a presence of a weak localization
such metallic character is not exhibited below room temperature. An applied
pressure reduces strongly this additional resistive contribution and as such
enhances the temperature range of the metallic character which, for ~3 GPa, is
evident down to 75 K. The absence of superconductivity as well as the mechanism
behind the weak localization will be discussed.
PMID- 27877720
TI - The electro-structural behaviour of yarn-like carbon nanotube fibres immersed in
organic liquids.
AB - Yarn-like carbon nanotube (CNT) fibres are a hierarchically-structured material
with a variety of promising applications such as high performance composites,
sensors and actuators, smart textiles, and energy storage and transmission.
However, in order to fully realize these possibilities, a more detailed
understanding of their interactions with the environment is required. In this
work, we describe a simplified representation of the hierarchical structure of
the fibres from which several mathematical models are constructed to explain
electro-structural interactions of fibres with organic liquids. A balance between
the elastic and surface energies of the CNT bundle network in different media
allows the determination of the maximum lengths that open junctions can sustain
before collapsing to minimize the surface energy. This characteristic length
correlates well with the increase of fibre resistance upon immersion in organic
liquids. We also study the effect of charge accumulation in open interbundle
junctions and derive expressions to describe experimental data on the non-ohmic
electrical behaviour of fibres immersed in polar liquids. Our analyses suggest
that the non-ohmic behaviour is caused by progressively shorter junctions
collapsing as the voltage is increased. Since our models are not based on any
property unique to carbon nanotubes, they should also be useful to describe other
hierarchical structures.
PMID- 27877721
TI - Vertically-aligned graphene flakes on nanoporous templates: morphology,
thickness, and defect level control by pre-treatment.
AB - Various morphologies of the vertically-aligned graphene flakes were fabricated on
the nanoporous templates treated with metal ions in solutions, as well as coated
with a thin gold layer and activated in the low-temperature Ar plasma. The
thickness and level of structural defects in the graphene flakes could be
effectively controlled by a proper selection of the pre-treatment method. We have
also demonstrated that various combinations of the flake thickness and defect
levels can be obtained, and the morphology and density of the graphene pattern
can be effectively controlled. The result obtained could be of interest for
various applications requiring fabrication of large graphene networks with
controllable properties.
PMID- 27877722
TI - Precise control over shape and size of iron oxide nanocrystals suitable for
assembly into ordered particle arrays.
AB - Here we demonstrate how monodisperse iron oxide nanocubes and nanospheres with
average sizes between 5 and 27 nm can be synthesized by thermal decomposition.
The relative importance of the purity of the reactants, the ratio of oleic acid
and sodium oleate, the maximum temperature, and the rate of temperature increase,
on robust and reproducible size and shape-selective iron oxide nanoparticle
synthesis are identified and discussed. The synthesis conditions that generate
highly monodisperse iron oxide nanocubes suitable for producing large ordered
arrays, or mesocrystals are described in detail.
PMID- 27877723
TI - Atomically precise cluster catalysis towards quantum controlled catalysts.
AB - Catalysis of atomically precise clusters supported on a substrate is reviewed in
relation to the type of reactions. The catalytic activity of supported clusters
has generally been discussed in terms of electronic structure. Several lines of
evidence have indicated that the electronic structure of clusters and the
geometry of clusters on a support, including the accompanying cluster-support
interaction, are strongly correlated with catalytic activity. The electronic
states of small clusters would be easily affected by cluster-support
interactions. Several studies have suggested that it is possible to tune the
electronic structure through atomic control of the cluster size. It is promising
to tune not only the number of cluster atoms, but also the hybridization between
the electronic states of the adsorbed reactant molecules and clusters in order to
realize a quantum-controlled catalyst.
PMID- 27877724
TI - Anomalous transport phenomena in Weyl metal beyond the Drude model for Landau's
Fermi liquids.
AB - Landau's Fermi-liquid theory is the standard model for metals, characterized by
the existence of electron quasiparticles near a Fermi surface as long as Landau's
interaction parameters lie below critical values for instabilities. Recently this
fundamental paradigm has been challenged by the physics of strong spin-orbit
coupling, although the concept of electron quasiparticles remains valid near the
Fermi surface, where Landau's Fermi-liquid theory fails to describe the
electromagnetic properties of this novel metallic state, referred to as Weyl
metal. A novel ingredient is that such a Fermi surface encloses a Weyl point with
definite chirality, referred to as a chiral Fermi surface, which can arise from
breaking of either time reversal or inversion symmetry in systems with strong
spin-orbit coupling, responsible for both the Berry curvature and the chiral
anomaly. As a result, electromagnetic properties of the Weyl metallic state are
described not by conventional Maxwell equations but by axion electrodynamics,
where Maxwell equations are modified with a topological-in-origin spatially
modulated [Formula: see text] term. This novel metallic state was realized
recently in Bi[Formula: see text]Sb x around [Formula: see text] under magnetic
fields, where the Dirac spectrum appears around the critical point between the
normal semiconducting ([Formula: see text]) and topological semiconducting phases
([Formula: see text]) and the time reversal symmetry breaking perturbation causes
the Dirac point to split into a pair of Weyl points along the direction of the
applied magnetic field for a very strong spin-orbit coupled system. In this
review article, we discuss how the topological structure of both the Berry
curvature and the chiral anomaly (axion electrodynamics) gives rise to anomalous
transport phenomena in [Formula: see text]Sb x around [Formula: see text] under
magnetic fields, thus modifying the Drude model of Landau's Fermi liquids.
PMID- 27877725
TI - New scheme for braiding Majorana fermions.
AB - Non-Abelian statistics can be achieved by exchanging two vortices in topological
superconductors with each grabbing a Majorana fermion (MF) as zero-energy quasi
particle at the cores. However, in experiments it is difficult to manipulate
vortices. In the present work, we propose a way to braid MFs without moving
vortices. The only operation required in the present scheme is to turn on and off
local gate voltages, which liberates a MF from its original host vortex and
transports it along the prepared track. We solve the time-dependent Bogoliubov-de
Gennes equation numerically, and confirm that the MFs are protected provided the
switching of gate voltages for exchanging MFs are adiabatic, which takes only
several nano seconds given reasonable material parameters. By monitoring the time
evolution of MF wave-functions, we show that non-Abelian statistics is achieved.
PMID- 27877726
TI - Adiabatic photo-steering theory in topological insulators.
AB - Feasible external control of material properties is a crucial issue in condensed
matter physics. A new approach to achieving this aim, named adiabatic photo
steering, is reviewed. The core principle of this scheme is that several material
constants are effectively turned into externally tunable variables by irradiation
of monochromatic laser light. Two-dimensional topological insulators are selected
as the optimal systems that exhibit a prominent change in their properties
following the application of this method. Two specific examples of photo-steered
quantum phenomena, which reflect topological aspects of the electronic systems at
hand, are presented. One is the integer quantum Hall effect described by the
Haldane model, and the other is the quantum spin Hall effect described by the
Kane-Mele model. The topological quantities associated with these phenomena are
the conventional Chern number and spin Chern number, respectively. A recent
interesting idea, time-reversal symmetry breaking via a temporary periodic
external stimulation, is also discussed.
PMID- 27877727
TI - Progress in the materials science of silicene.
AB - In its freestanding, yet hypothetical form, the Si counterpart of graphene called
silicene is predicted to possess massless Dirac fermions and to exhibit an
experimentally accessible quantum spin Hall effect. Such interesting electronic
properties are not realized in two-dimensional (2D) Si honeycomb lattices
prepared recently on metallic substrates where the crystal and hybrid electronic
structures of these 'epitaxial silicene' phases are strongly influenced by the
substrate, and thus different from those predicted for isolated 2D structures.
While the realization of such low-dimensional Si pi materials has hardly been
imagined previously, it is evident that the materials science behind silicene
remains challenging. In this contribution, we will review our recent results that
lead to an enhanced understanding of epitaxial silicene formed on diboride thin
films, and discuss the remaining challenges that must be addressed in order to
turn Si 2D nanostructures into technologically interesting nanoelectronic
materials.
PMID- 27877728
TI - Very large thermal rectification in bulk composites consisting partly of
icosahedral quasicrystals.
AB - The bulk thermal rectifiers usable at a high temperature above 300 K were
developed by making full use of the unusual electron thermal conductivity of
icosahedral quasicrystals. The unusual electron thermal conductivity was caused
by a synergy effect of quasiperiodicity and by a narrow pseudogap at the Fermi
level. The rectification ratio, defined by TRR = [Formula: see text], reached
vary large values exceeding 2.0. This significant thermal rectification would
lead to new practical applications for the heat management.
PMID- 27877729
TI - High-pressure synthesis, crystal structure, and magnetic properties of KSbO3-type
5d oxides K0.84OsO3 and Bi2.93Os3O11.
AB - 5d Solid-state oxides K0.84OsO3 (Os5.16+; 5d2.84) and Bi2.93Os3O11 (Os4.40+;
5d3.60) were synthesized under high-pressure and high-temperature conditions (6
GPa and 1500-1700 degrees C). Their crystal structures were determined by
synchrotron x-ray diffraction and their 5d electronic properties and tunnel-like
structure motifs were investigated. A KSbO3-type structure with a space group of
Im-3 and Pn-3 was determined for K0.84OsO3 and Bi2.93Os3O11, respectively. The
magnetic and electronic transport properties of the polycrystalline compounds
were compared with those obtained theoretically. It was revealed that the 5d
tunnel-like structures are paramagnetic with metallic charge conduction at
temperatures above 2 K. This was similar to what was observed for structurally
relevant 5d oxides, including Bi3Re3O11 (Re4.33+; 5d2.66) and Ba2Ir3O9 (Ir4.66+;
5d4.33). The absence of long-range magnetic order seems to be common among 5d
KSbO3-like oxides, regardless of the number of 5d electrons (between 2.6 and 4.3
per 5d atom).
PMID- 27877730
TI - Recent advances in understanding the reinforcing ability and mechanism of carbon
nanotubes in ceramic matrix composites.
AB - Since the discovery of carbon nanotubes (CNTs), commonly referred to as ultimate
reinforcement, the main purpose for fabricating CNT-ceramic matrix composites has
been mainly to improve the fracture toughness and strength of the ceramic matrix
materials. However, there have been many studies reporting marginal improvements
or even the degradation of mechanical properties. On the other hand, those
studies claiming noticeable toughening measured using indentation, which is an
indirect/unreliable characterization method, have not demonstrated the
responsible mechanisms applicable to the nanoscale, flexible CNTs; instead, those
studies proposed those classical methods applicable to microscale fiber/whisker
reinforced ceramics without showing any convincing evidence of load transfer to
the CNTs. Therefore, the ability of CNTs to directly improve the macroscopic
mechanical properties of structural ceramics has been strongly questioned and
debated in the last ten years. In order to properly discuss the reinforcing
ability (and possible mechanisms) of CNTs in a ceramic host material, there are
three fundamental questions to our knowledge at both the nanoscale and macroscale
levels that need to be addressed: (1) does the intrinsic load-bearing ability of
CNTs change when embedded in a ceramic host matrix?; (2) when there is an
intimate atomic-level interface without any chemical reaction with the matrix,
could one expect any load transfer to the CNTs along with effective load bearing
by them during crack propagation?; and (3) considering their nanometer-scale
dimensions, flexibility and radial softness, are the CNTs able to improve the
mechanical properties of the host ceramic matrix at the macroscale when
individually, intimately and uniformly dispersed? If so, how? Also, what is the
effect of CNT concentration in such a defect-free composite system? Here, we
briefly review the recent studies addressing the above fundamental questions. In
particular, we discuss the new reinforcing mechanism at the nanoscale responsible
for unprecedented, simultaneous mechanical improvements and highlight the
scalable processing method enabling the fabrication of defect-free CNT
concentered ceramics and CNT-graded composites with unprecedented properties.
Finally, possible future directions will be briefly presented.
PMID- 27877732
TI - Palladium nanoparticle deposition via precipitation: a new method to
functionalize macroporous silicon.
AB - We present an original two-step method for the deposition via precipitation of Pd
nanoparticles into macroporous silicon. The method consists in immersing a
macroporous silicon sample in a PdCl2/DMSO solution and then in annealing the
sample at a high temperature. The impact of composition and concentration of the
solution and annealing time on the nanoparticle characteristics is investigated.
This method is compared to electroless plating, which is a standard method for
the deposition of Pd nanoparticles. Scanning electron microscopy and computerized
image processing are used to evaluate size, shape, surface density and deposition
homogeneity of the Pd nanoparticles on the pore walls. Energy-dispersive x-ray
spectroscopy (EDX) and x-ray photoelectron spectroscopy (XPS) analyses are used
to evaluate the composition of the deposited nanoparticles. In contrast to
electroless plating, the proposed method leads to homogeneously distributed Pd
nanoparticles along the macropores depth with a surface density that increases
proportionally with the PdCl2 concentration. Moreover EDX and XPS analysis showed
that the nanoparticles are composed of Pd in its metallic state, while
nanoparticles deposited by electroless plating are composed of both metallic Pd
and PdO x .
PMID- 27877731
TI - A comparison study of different physical treatments on cartilage matrix derived
porous scaffolds for tissue engineering applications.
AB - Native cartilage matrix derived (CMD) scaffolds from various animal and human
sources have drawn attention in cartilage tissue engineering due to the
demonstrable presence of bioactive components. Different chemical and physical
treatments have been employed to enhance the micro-architecture of CMD scaffolds.
In this study we have assessed the typical effects of physical cross-linking
methods, namely ultraviolet (UV) light, dehydrothermal (DHT) treatment, and
combinations of them on bovine articular CMD porous scaffolds with three
different matrix concentrations (5%, 15% and 30%) to assess the relative
strengths of each treatment. Our findings suggest that UV and UV-DHT treatments
on 15% CMD scaffolds can yield architecturally optimal scaffolds for cartilage
tissue engineering.
PMID- 27877735
TI - Focus on materials science of topological insulators and superconductors.
PMID- 27877734
TI - Single-step co-deposition of nanostructured tungsten oxide supported gold
nanoparticles using a gold-phosphine cluster complex as the gold precursor.
AB - The use of a molecular gold organometallic cluster in chemical vapour deposition
is reported, and it is utilized, together with a tungsten oxide precursor, for
the single-step co-deposition of (nanostructured) tungsten oxide supported gold
nanoparticles (NPs). The deposited gold-NP and tungsten oxide supported gold-NP
are highly active catalysts for benzyl alcohol oxidation; both show higher
activity than SiO2 supported gold-NP synthesized via a solution-phase method, and
tungsten oxide supported gold-NP show excellent selectivity for conversion to
benzaldehyde.
PMID- 27877733
TI - Superhydrophobic silica wool-a facile route to separating oil and hydrophobic
solvents from water.
AB - Silica microfiber wool was systematically functionalized in order to provide an
extremely water repellent and oleophilic material. This was carried out using a
two-step functionalization that was shown to be a highly effective method for
generating an intense water repulsion and attraction for oil. A demonstration of
the silica wools application is shown through the highly efficient separation of
oils and hydrophobic solvents from water. Water is confined to the extremities of
the material, while oil is absorbed into the voids within the wool. The effect of
surface functionalization is monitored though observing the interaction of the
material with both oils and water, in addition to scanning electron microscope
images, x-ray photoelectron spectroscopy and energy dispersive x-ray analysis.
The material can be readily utilized in many applications, including the cleaning
of oil spills and filtering during industrial processes, as well as further water
purification tasks-while not suffering the losses of efficiency observed in
current leading polymeric materials.
PMID- 27877736
TI - Nanoarchitectonics + future leaders = bright success in materials science and
technology.
PMID- 27877737
TI - Informatics derived materials databases for multifunctional properties.
AB - In this review, we provide an overview of the development of quantitative
structure-property relationships incorporating the impact of data uncertainty
from small, limited knowledge data sets from which we rapidly develop new and
larger databases. Unlike traditional database development, this informatics based
approach is concurrent with the identification and discovery of the key metrics
controlling structure-property relationships; and even more importantly we are
now in a position to build materials databases based on design 'intent' and not
just design parameters. This permits for example to establish materials databases
that can be used for targeted multifunctional properties and not just one
characteristic at a time as is presently done. This review provides a summary of
the computational logic of building such virtual databases and gives some
examples in the field of complex inorganic solids for scintillator applications.
PMID- 27877738
TI - Synthesis of fullerene nanowhiskers using the liquid-liquid interfacial
precipitation method and their mechanical, electrical and superconducting
properties.
AB - Fullerene nanowhiskers (FNWs) are thin crystalline fibers composed of fullerene
molecules, including C60, C70, endohedral, or functionalized fullerenes. FNWs
display n-type semiconducting behavior and are used in a diverse range of
applications, including field-effect transistors, solar cells, chemical sensors,
and photocatalysts. Alkali metal-doped C60 (fullerene) nanowhiskers (C60NWs)
exhibit superconducting behavior. Potassium-doped C60NWs have realized the
highest superconducting volume fraction of the alkali metal-doped C60 crystals
and display a high critical current density (Jc) under a high magnetic field of
50 kOe. The growth control of FNWs is important for their success in practical
applications. This paper reviews recent FNWs research focusing on their
mechanical, electrical and superconducting properties and growth mechanisms in
the liquid-liquid interfacial precipitation method.
PMID- 27877739
TI - Non-reciprocity and topology in optics: one-way road for light via surface magnon
polariton.
AB - We show how non-reciprocity and topology are used to construct an optical one-way
waveguide in the Voigt geometry. First, we present a traditional approach of the
one-way waveguide of light using surface polaritons under a static magnetic
field. Second, we explain a recent discovery of a topological approach using
photonic crystals with the magneto-optical coupling. Third, we present a
combination of the two approaches, toward a broadband one-way waveguide in the
microwave range.
PMID- 27877741
TI - Engineering Dirac electrons emergent on the surface of a topological insulator.
AB - The concept of the topological insulator (TI) has introduced a new point of view
to condensed-matter physics, relating a priori unrelated subfields such as
quantum (spin, anomalous) Hall effects, spin-orbit coupled materials, some
classes of nodal superconductors, superfluid 3He, etc. From a technological point
of view, TIs are expected to serve as platforms for realizing dissipationless
transport in a non-superconducting context. The TI exhibits a gapless surface
state with a characteristic conic dispersion (a surface Dirac cone). Here, we
review peculiar finite-size effects applicable to such surface states in TI
nanostructures. We highlight the specific electronic properties of TI nanowires
and nanoparticles, and in this context we contrast the cases of weak and strong
TIs. We study the robustness of the surface and the bulk of TIs against disorder,
addressing the physics of Dirac and Weyl semimetals as a new research perspective
in the field.
PMID- 27877740
TI - Giant multiferroic effects in topological GeTe-Sb2Te3 superlattices.
AB - Multiferroics, materials in which both magnetic and electric fields can induce
each other, resulting in a magnetoelectric response, have been attracting
increasing attention, although the induced magnetic susceptibility and dielectric
constant are usually small and have typically been reported for low temperatures.
The magnetoelectric response usually depends on d-electrons of transition metals.
Here we report that in [(GeTe)2(Sb2Te3) l ] m superlattice films (where l and m
are integers) with topological phase transition, strong magnetoelectric response
may be induced at temperatures above room temperature when the external fields
are applied normal to the film surface. By ab initio computer simulations, it is
revealed that the multiferroic properties are induced due to the breaking of
spatial inversion symmetry when the p-electrons of Ge atoms change their bonding
geometry from octahedral to tetrahedral. Finally, we demonstrate the existence in
such structures of spin memory, which paves the way for a future hybrid device
combining nonvolatile phase-change memory and magnetic spin memory.
PMID- 27877742
TI - A short guide to topological terms in the effective theories of condensed matter.
AB - This article is meant as a gentle introduction to the topological terms that
often play a decisive role in effective theories describing topological quantum
effects in condensed matter systems. We first take up several prominent examples,
mainly from the area of quantum magnetism and superfluids/superconductors. We
then briefly discuss how these ideas are now finding incarnations in the studies
of symmetry-protected topological phases, which are in a sense a generalization
of the concept of topological insulators to a wider range of materials, including
magnets and cold atoms.
PMID- 27877743
TI - Synthesis and characterization of 3D topological insulators: a case TlBi(S1-x Se
x )2.
AB - In this article, practical methods for synthesizing Tl-based ternary III-V-VI2
chalcogenide TlBi(S[Formula: see text]Se x )2 are described in detail, along with
characterization by x-ray diffraction and charge transport properties. The
TlBi(S[Formula: see text]Se x )2 system is interesting because it shows a
topological phase transition, where a topologically nontrivial phase changes to a
trivial phase without changing the crystal structure qualitatively. In addition,
Dirac semimetals whose bulk band structure shows a Dirac-like dispersion are
considered to exist near the topological phase transition. The technique shown
here is also generally applicable for other chalcogenide topological insulators,
and will be useful for studying topological insulators and related materials.
PMID- 27877744
TI - Facile synthesis of alpha-Fe2O3 nanodisk with superior photocatalytic performance
and mechanism insight.
AB - Intrinsic short hole diffusion length is a well-known problem for alpha-Fe2O3 as
a visible-light photocatalytic material. In this paper, a nanodisk morphology was
designed to remarkably enhance separation of electron-hole pairs of alpha-Fe2O3.
As expected, alpha-Fe2O3 nanodisks presented superior photocatalytic activity
toward methylene blue degradation: more than 90% of the dye could be
photodegraded within 30 min in comparison with a degradation efficiency of 50%
for conventional Fe2O3 powder. The unique multilayer structure is thought to play
a key role in the remarkably improved photocatalytic performance. Further
experiments involving mechanism investigations revealed that instead of high
surface area, .OH plays a crucial role in methylene blue degradation and that O.2
may also contribute effectively to the degradation process. This paper
demonstrates a facile and energy-saving route to fabricating homogenous alpha
Fe2O3 nanodisks with superior photocatalytic activity that is suitable for the
treatment of contaminated water and that meets the requirement of mass
production.
PMID- 27877745
TI - Nano and micro architectures for self-propelled motors.
AB - Self-propelled micromotors are emerging as important tools that help us
understand the fundamentals of motion at the microscale and the nanoscale.
Development of the motors for various biomedical and environmental applications
is being pursued. Multiple fabrication methods can be used to construct the
geometries of different sizes of motors. Here, we present an overview of
appropriate methods of fabrication according to both size and shape requirements
and the concept of guiding the catalytic motors within the confines of wall.
Micromotors have also been incorporated with biological systems for a new type of
fabrication method for bioinspired hybrid motors using three-dimensional (3D)
printing technology. The 3D printed hybrid and bioinspired motors can be
propelled by using ultrasound or live cells, offering a more biocompatible
approach when compared to traditional catalytic motors.
PMID- 27877746
TI - N- and S-doped high surface area carbon derived from soya chunks as scalable and
efficient electrocatalysts for oxygen reduction.
AB - Highly stable, cost-effective electrocatalysts facilitating oxygen reduction are
crucial for the commercialization of membrane-based fuel cell and battery
technologies. Herein, we demonstrate that protein-rich soya chunks with a high
content of N, S and P atoms are an excellent precursor for heteroatom-doped
highly graphitized carbon materials. The materials are nanoporous, with a surface
area exceeding 1000 m2 g-1, and they are tunable in doping quantities. These
materials exhibit highly efficient catalytic performance toward oxygen reduction
reaction (ORR) with an onset potential of -0.045 V and a half-wave potential of
0.211 V (versus a saturated calomel electrode) in a basic medium, which is
comparable to commercial Pt catalysts and is better than other recently developed
metal-free carbon-based catalysts. These exhibit complete methanol tolerance and
a performance degradation of merely ~5% as compared to ~14% for a commercial Pt/C
catalyst after continuous use for 3000 s at the highest reduction current. We
found that the fraction of graphitic N increases at a higher graphitization
temperature, leading to the near complete reduction of oxygen. It is believed
that due to the easy availability of the precursor and the possibility of genetic
engineering to homogeneously control the heteroatom distribution, the synthetic
strategy is easily scalable, with further improvement in performance.
PMID- 27877747
TI - Shape-memory surfaces for cell mechanobiology.
AB - Shape-memory polymers (SMPs) are a new class of smart materials, which have the
capability to change from a temporary shape 'A' to a memorized permanent shape
'B' upon application of an external stimulus. In recent years, SMPs have
attracted much attention from basic and fundamental research to industrial and
practical applications due to the cheap and efficient alternative to well-known
metallic shape-memory alloys. Since the shape-memory effect in SMPs is not
related to a specific material property of single polymers, the control of
nanoarchitecture of polymer networks is particularly important for the smart
functions of SMPs. Such nanoarchitectonic approaches have enabled us to further
create shape-memory surfaces (SMSs) with tunable surface topography at nano
scale. The present review aims to bring together the exciting design of SMSs and
the ever-expanding range of their uses as tools to control cell functions. The
goal for these endeavors is to mimic the surrounding mechanical cues of
extracellular environments which have been considered as critical parameters in
cell fate determination. The untapped potential of SMSs makes them one of the
most exciting interfaces of materials science and cell mechanobiology.
PMID- 27877750
TI - Recent progress in advanced optical materials based on gadolinium aluminate
garnet (Gd3Al5O12).
AB - This review article summarizes the recent achievements in stabilization of the
metastable lattice of gadolinium aluminate garnet (Gd3Al5O12, GAG) and the
related developments of advanced optical materials, including down-conversion
phosphors, up-conversion phosphors, transparent ceramics, and single crystals.
Whenever possible, the materials are compared with their better known YAG and
LuAG counterparts to demonstrate the merits of the GAG host. It is shown that
novel emission features and significantly improved luminescence can be attained
for a number of phosphor systems with the more covalent GAG lattice and the
efficient energy transfer from Gd3+ to the activator. Ce3+ doped GAG-based single
crystals and transparent ceramics are also shown to simultaneously possess the
advantages of high theoretical density, fast scintillation decay, and high light
yields, and hold great potential as scintillators for a wide range of
applications. The unresolved issues are also pointed out.
PMID- 27877749
TI - Combination of supported bimetallic rhodium-molybdenum catalyst and cerium oxide
for hydrogenation of amide.
AB - Hydrogenation of cyclohexanecarboxamide to aminomethylcyclohexane was conducted
with silica-supported bimetallic catalysts composed of noble metal and group 6-7
elements. The combination of rhodium and molybdenum with molar ratio of 1:1
showed the highest activity. The effect of addition of various metal oxides was
investigated on the catalysis of Rh-MoO x /SiO2, and the addition of CeO2 much
increased the activity and selectivity. Higher hydrogen pressure and higher
reaction temperature in the tested range of 2-8 MPa and 393-433 K, respectively,
were favorable in view of both activity and selectivity. The highest yield of
aminomethylcyclohexane obtained over Rh-MoO x /SiO2 + CeO2 was 63%. The effect of
CeO2 addition was highest when CeO2 was not calcined, and CeO2 calcined at >773 K
showed a smaller effect. The use of CeO2 as a support rather decreased the
activity in comparison with Rh-MoO x /SiO2. The weakly-basic nature of CeO2
additive can affect the surface structure of Rh-MoO x /SiO2, i.e. reducing the
ratio of Mo-OH/Mo-O- sites.
PMID- 27877748
TI - Alkyl-pi engineering in state control toward versatile optoelectronic soft
materials.
AB - Organic pi-conjugated molecules with extremely rich and tailorable electronic and
optical properties are frequently utilized for the fabrication of optoelectronic
devices. To achieve high solubility for facile solution processing and desirable
softness for flexible device fabrication, the rigid pi units were in most cases
attached by alkyl chains through chemical modification. Considerable numbers of
alkylated-pi molecular systems with versatile applications have been reported.
However, a profound understanding of the molecular state control through proper
alkyl chain substitution is still highly demanded because effective applications
of these molecules are closely related to their physical states. To explore the
underlying rule, we review a large number of alkylated-pi molecules with emphasis
on the interplay of van der Waals interactions (vdW) of the alkyl chains and pi
pi interactions of the pi moieties. Based on our comprehensive investigations of
the two interactions' impacts on the physical states of the molecules, a clear
guidance for state control by alkyl-pi engineering is proposed. Specifically,
either with proper alkyl chain substitution or favorable additives, the vdW and
pi-pi interactions can be adjusted, resulting in modulation of the physical
states and optoelectronic properties of the molecules. We believe the strategy
summarized here will significantly benefit the alkyl-pi chemistry toward wide
spread applications in optoelectronic devices.
PMID- 27877751
TI - Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering.
AB - Highly textured thin films with small grain boundary regions can be used as model
systems to directly measure the bulk conductivity of oxygen ion conducting
oxides. Ionic conducting thin films and epitaxial heterostructures are also
widely used to probe the effect of strain on the oxygen ion migration in oxide
materials. For the purpose of these investigations a good lattice matching
between the film and the substrate is required to promote the ordered film
growth. Moreover, the substrate should be a good electrical insulator at high
temperature to allow a reliable electrical characterization of the deposited
film. Here we report the fabrication of an epitaxial heterostructure made with a
double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills
both requirements. Based on such template platform, highly ordered (001)
epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized
ZrO2 are grown. Bulk conductivities as well as activation energies are measured
for both materials, confirming the success of the approach. The reported
insulating template platform promises potential application also for the
electrical characterization of other novel electrolyte materials that still need
a thorough understanding of their ionic conductivity.
PMID- 27877752
TI - Dynamic probe of ZnTe(110) surface by scanning tunneling microscopy.
AB - The reconstructed surface structure of the II-VI semiconductor ZnTe (110), which
is a promising material in the research field of semiconductor spintronics, was
studied by scanning tunneling microscopy/spectroscopy (STM/STS). First, the
surface states formed by reconstruction by the charge transfer of dangling bond
electrons from cationic Zn to anionic Te atoms, which are similar to those of IV
and III-V semiconductors, were confirmed in real space. Secondly, oscillation in
tunneling current between binary states, which is considered to reflect a
conformational change in the topmost Zn-Te structure between the reconstructed
and bulk-like ideal structures, was directly observed by STM. Third, using the
technique of charge injection, a surface atomic structure was successfully
fabricated, suggesting the possibility of atomic-scale manipulation of this
widely applicable surface of ZnTe.
PMID- 27877754
TI - Highly efficient in vitro biosynthesis of silver nanoparticles using
Lysinibacillus sphaericus MR-1 and their characterization.
AB - Silver nanoparticles (AgNPs) have been widely used in diverse fields due to their
superior properties. Currently the biosynthesis of AgNPs is in the limelight of
modern nanotechnology because of its green properties. However, relatively low
yield and inefficiency diminish the prospect of applying these biosynthesized
AgNPs. In this work, a rapid mass AgNP biosynthesis method using the cell-free
extract of a novel bacterial strain, Lysinibacillus sphaericus MR-1, which has
been isolated from a chemical fertilizer plant, is reported. In addition, the
optimum synthesis conditions of AgNPs were investigated. The optimum pH,
temperature, dosage, and reaction time were 12, 70 degrees C, 20 mM AgNO3, and
75 min, respectively. Finally, AgNPs were characterized by optical absorption
spectroscopy, zeta potential and size distribution analysis, x-ray diffraction,
electron microscopy, and energy-dispersive x-ray spectroscopy. The results
revealed that these biosynthesized AgNPs were bimolecular covered, stable, well
dispersed face centered cubic (fcc) spherical crystalline particles with
diameters in the range 5-20 nm. The advantages of this approach are its
simplicity, high efficiency, and eco-friendly and cost-effective features.
PMID- 27877753
TI - Highly elastic conductive polymeric MEMS.
AB - Polymeric structures with integrated, functional microelectrical mechanical
systems (MEMS) elements are increasingly important in various applications such
as biomedical systems or wearable smart devices. These applications require
highly flexible and elastic polymers with good conductivity, which can be
embedded into a matrix that undergoes large deformations. Conductive
polydimethylsiloxane (PDMS) is a suitable candidate but is still challenging to
fabricate. Conductivity is achieved by filling a nonconductive PDMS matrix with
conductive particles. In this work, we present an approach that uses new mixing
techniques to fabricate conductive PDMS with different fillers such as carbon
black, silver particles, and multiwalled carbon nanotubes. Additionally, the
electrical properties of all three composites are examined under continuous
mechanical stress. Furthermore, we present a novel, low-cost, simple three-step
molding process that transfers a micro patterned silicon master into a
polystyrene (PS) polytetrafluoroethylene (PTFE) replica with improved release
features. This PS/PTFE mold is used for subsequent structuring of conductive PDMS
with high accuracy. The non sticking characteristics enable the fabrication of
delicate structures using a very soft PDMS, which is usually hard to release from
conventional molds. Moreover, the process can also be applied to polyurethanes
and various other material combinations.
PMID- 27877755
TI - Active vacuum brazing of CNT films to metal substrates for superior electron
field emission performance.
AB - The joining of macroscopic films of vertically aligned multiwalled carbon
nanotubes (CNTs) to titanium substrates is demonstrated by active vacuum brazing
at 820 degrees C with a Ag-Cu-Ti alloy and at 880 degrees C with a Cu-Sn-Ti-Zr
alloy. The brazing methodology was elaborated in order to enable the production
of highly electrically and thermally conductive CNT/metal substrate contacts. The
interfacial electrical resistances of the joints were measured to be as low as
0.35 Omega. The improved interfacial transport properties in the brazed films
lead to superior electron field-emission properties when compared to the as-grown
films. An emission current of 150 MUA was drawn from the brazed nanotubes at an
applied electric field of 0.6 V MUm-1. The improvement in electron field-emission
is mainly attributed to the reduction of the contact resistance between the
nanotubes and the substrate. The joints have high re-melting temperatures up to
the solidus temperatures of the alloys; far greater than what is achievable with
standard solders, thus expanding the application potential of CNT films to high
current and high-power applications where substantial frictional or resistive
heating is expected.
PMID- 27877756
TI - Facile preparation of core@shell and concentration-gradient spinel particles for
Li-ion battery cathode materials.
AB - Core@shell and concentration-gradient particles have attracted much attention as
improved cathodes for Li-ion batteries (LIBs). However, most of their preparation
routes have employed a precisely-controlled co-precipitation method. Here, we
report a facile preparation route of core@shell and concentration-gradient spinel
particles by dry powder processing. The core@shell particles composed of the MnO2
core and the Li(Ni,Mn)2O4 spinel shell are prepared by mechanical treatment using
an attrition-type mill, whereas the concentration-gradient spinel particles with
an average composition of LiNi0.32Mn1.68O4 are produced by calcination of their
core@shell particles as a precursor. The concentration-gradient LiNi0.32Mn1.68O4
spinel cathode exhibits the high discharge capacity of 135.3 mA h g-1, the wide
range plateau at a high voltage of 4.7 V and the cyclability with a capacity
retention of 99.4% after 20 cycles. Thus, the facile preparation route of the
core@shell and concentration-gradient particles may provide a new opportunity for
the discovery and investigation of functional materials as well as for the
cathode materials for LIBs.
PMID- 27877757
TI - Low temperature and cost-effective growth of vertically aligned carbon nanofibers
using spin-coated polymer-stabilized palladium nanocatalysts.
AB - We describe a fast and cost-effective process for the growth of carbon nanofibers
(CNFs) at a temperature compatible with complementary metal oxide semiconductor
technology, using highly stable polymer-Pd nanohybrid colloidal solutions of
palladium catalyst nanoparticles (NPs). Two polymer-Pd nanohybrids, namely
poly(lauryl methacrylate)-block-poly((2-acetoacetoxy)ethyl methacrylate)/Pd
(LauMA x -b-AEMA y /Pd) and polyvinylpyrrolidone/Pd were prepared in organic
solvents and spin-coated onto silicon substrates. Subsequently, vertically
aligned CNFs were grown on these NPs by plasma enhanced chemical vapor deposition
at different temperatures. The electrical properties of the grown CNFs were
evaluated using an electrochemical method, commonly used for the characterization
of supercapacitors. The results show that the polymer-Pd nanohybrid solutions
offer the optimum size range of palladium catalyst NPs enabling the growth of
CNFs at temperatures as low as 350 degrees C. Furthermore, the CNFs grown at
such a low temperature are vertically aligned similar to the CNFs grown at 550
degrees C. Finally the capacitive behavior of these CNFs was similar to that of
the CNFs grown at high temperature assuring the same electrical properties thus
enabling their usage in different applications such as on-chip capacitors,
interconnects, thermal heat sink and energy storage solutions.
PMID- 27877759
TI - Focus on properties and applications of perovskites.
PMID- 27877758
TI - High-sensitivity piezoelectric perovskites for magnetoelectric composites.
AB - A highly topical set of perovskite oxides are high-sensitivity piezoelectric
ones, among which Pb(Zr,Ti)O3 at the morphotropic phase boundary (MPB) between
ferroelectric rhombohedral and tetragonal polymorphic phases is reckoned a case
study. Piezoelectric ceramics are used in a wide range of mature,
electromechanical transduction technologies like piezoelectric sensors, actuators
and ultrasound generation, to name only a few examples, and more recently for
demonstrating novel applications like magnetoelectric composites. In this case,
piezoelectric perovskites are combined with magnetostrictive materials to provide
magnetoelectricity as a product property of the piezoelectricity and
piezomagnetism of the component phases. Interfaces play a key issue, for they
control the mechanical coupling between the piezoresponsive phases. We present
here main results of our investigation on the suitability of the high sensitivity
MPB piezoelectric perovskite BiScO3-PbTiO3 in combination with ferrimagnetic
spinel oxides for magnetoelectric composites. Emphasis has been put on the
processing at low temperature to control reactions and interdiffusion between the
two oxides. The role of the grain size effects is extensively addressed.
PMID- 27877760
TI - Focus on advanced inorganic materials science: non-traditional concepts and
approaches.
PMID- 27877762
TI - Structure and cation distribution in perovskites with small cations at the A
site: the case of ScCoO3.
AB - We synthesize ScCoO3 perovskite and its solid solutions, ScCo1-x Fe x O3 and
ScCo1-x Cr x O3, under high pressure (6 GPa) and high temperature (1570 K)
conditions. We find noticeable shifts from the stoichiometric compositions,
expressed as (Sc1-xMx )MO3 with x = 0.05-0.11 and M = Co, (Co, Fe) and (Co, Cr).
The crystal structure of (Sc0.95Co0.05)CoO3 is refined using synchrotron x-ray
powder diffraction data: space group Pnma (No. 62), Z = 4 and lattice parameters
a = 5.26766(1) A, b = 7.14027(2) A and c = 4.92231(1) A. (Sc0.95Co0.05)CoO3
crystallizes in the GdFeO3-type structure similar to other members of the
perovskite cobaltite family, ACoO3 (A3+ = Y and Pr-Lu). There is evidence that
(Sc0.95Co0.05)CoO3 has non-magnetic low-spin Co3+ ions at the B site and
paramagnetic high-spin Co3+ ions at the A site. In the iron-doped samples (Sc1
xMx )MO3 with M = (Co, Fe), Fe3+ ions have a strong preference to occupy the A
site of such perovskites at small doping levels.
PMID- 27877764
TI - Photoluminescent lead(II) coordination polymers stabilised by bifunctional
organoarsonate ligands.
AB - Four lead(II) coordination polymers were isolated under hydro(solvo)thermal
conditions. The applied synthetic methodology takes advantage of the coordination
behaviour of a new bifunctional organoarsonate ligand, 4-(1, 2, 4-triazol-4
yl)phenylarsonic acid (H2TPAA) and involves the variation of lead(II) reactants,
metal/ligand mole ratios, and solvents. The constitutional composition of the
four lead(II) coordination polymers can be formulated as
[Pb2(TPAA)(HTPAA)(NO3)].6H2O (1), [Pb2(TPAA)(HTPAA)2].DMF.0.5H2O (DMF = N, N
Dimethylformamide) (2), [Pb2Cl2(TPAA)H2O] (3), and [Pb3Cl(TPAA)(HTPAA)2H2O]Cl
(4). The compounds were characterized by single-crystal and powder x-ray
diffraction techniques, thermogravimetric analyses, infra-red spectroscopy, and
elemental analyses. Single-crystal x-ray diffraction reveals that 1 and 2
represent two-dimensional (2D) layered structures whilst 3 and 4 form three
dimensional (3D) frameworks. The structures of 1, 2, and 4 contain one
dimensional (1D) {PbII/AsO3} substructures, while 3 is composed of 2D {PbII/AsO3}
arrays. Besides their interesting topologies, 1-4 all exhibit photoluminescence
properties in the solid state at room temperature.
PMID- 27877765
TI - Preparation of a platinum electrocatalyst by coaxial pulse arc plasma deposition.
AB - We have developed a new method of preparing Pt electrocatalysts through a dry
process. By coaxial pulse arc plasma deposition (CAPD), highly ionized metal
plasma can be generated from a target rod without any discharged gases, and Pt
nanoparticles can be deposited on a carbon support. The small-sized Pt
nanoparticles are distributed over the entire carbon surface. From transmission
electron microscopy (TEM), the average size of the deposited Pt nanoparticles is
estimated to be 2.5 nm, and their size distribution is narrow. Our
electrocatalyst shows considerably improved catalytic activity and stability
toward methanol oxidation reaction (MOR) compared with commercially available Pt
catalysts such as Pt black and Pt/carbon (PtC). Inspired by its very high
efficiency toward MOR, we also measured the catalytic performance for oxygen
reduction reaction (ORR). Our PtC catalyst shows a better performance with half
wave potential of 0.87 V, which is higher than those of commercially available Pt
catalysts. The higher performance is also supported by a right-shifted onset
potential. Our preparation is simple and could be applied to other metallic
nanocrystals as a novel platform in catalysis, fuel cells and biosensors.
PMID- 27877763
TI - Non-covalent polymer wrapping of carbon nanotubes and the role of wrapped
polymers as functional dispersants.
AB - Carbon nanotubes (CNTs) have been recognized as a promising material in a wide
range of applications from biotechnology to energy-related devices. However, the
poor solubility in aqueous and organic solvents hindered the applications of
CNTs. As studies have progressed, the methodology for CNT dispersion was
established. In this methodology, the key issue is to covalently or non
covalently functionalize the surfaces of the CNTs with a dispersant. Among the
various types of dispersions, polymer wrapping through non-covalent interactions
is attractive in terms of the stability and homogeneity of the functionalization.
Recently, by taking advantage of their stability, the wrapped-polymers have been
utilized to support and/or reinforce the unique functionality of the CNTs,
leading to the development of high-performance devices. In this review, various
polymer wrapping approaches, together with the applications of the polymer
wrapped CNTs, are summarized.
PMID- 27877768
TI - Effects of SO2 on selective catalytic reduction of NO with NH3 over a TiO2
photocatalyst.
AB - The effect of SO2 gas was investigated on the activity of the photo-assisted
selective catalytic reduction of nitrogen monoxide (NO) with ammonia (NH3) over a
TiO2 photocatalyst in the presence of excess oxygen (photo-SCR). The introduction
of SO2 (300 ppm) greatly decreased the activity of the photo-SCR at 373 K. The
increment of the reaction temperature enhanced the resistance to SO2 gas, and at
553 K the conversion of NO was stable for at least 300 min of the reaction. X-ray
diffraction, FTIR spectroscopy, thermogravimetry and differential thermal
analysis, x-ray photoelectron spectroscopy (XPS), elemental analysis and N2
adsorption measurement revealed that the ammonium sulfate species were generated
after the reaction. There was a strong negative correlation between the
deposition amount of the ammonium sulfate species and the specific surface area.
Based on the above relationship, we concluded that the deposition of the ammonium
sulfate species decreased the specific surface area by plugging the pore
structure of the catalyst, and the decrease of the specific surface area resulted
in the deactivation of the catalyst.
PMID- 27877766
TI - Controllable assembly of silver nanoparticles induced by femtosecond laser direct
writing.
AB - We report controllable assembly of silver nanoparticles (Ag NPs) for patterning
of silver microstructures. The assembly is induced by femtosecond laser direct
writing (FsLDW). A tightly focused femtosecond laser beam is capable of trapping
and driving Ag NPs to form desired micropatterns with a high resolution of ~190
nm. Taking advantage of the 'direct writing' feature, three microelectrodes have
been integrated with a microfluidic chip; two silver-based microdevices including
a microheater and a catalytic reactor have been fabricated inside a microfluidic
channel for chip functionalization. The FsLDW-induced programmable assembly of Ag
NPs may open up a new way to the designable patterning of silver microstructures
toward flexible fabrication and integration of functional devices.
PMID- 27877767
TI - Quick high-temperature hydrothermal synthesis of mesoporous materials with 3D
cubic structure for the adsorption of lysozyme.
AB - Three-dimensional cage-like mesoporous FDU-12 materials with large tuneable pore
sizes ranging from 9.9 to 15.6 nm were prepared by varying the synthesis
temperature from 100 to 200 degrees C for the aging time of just 2 h using a tri
block copolymer F-127(EO106PO70EO106) as the surfactant and 1,3,5-trimethyl
benzene as the swelling agent in an acidic condition. The mesoporous structure
and textural features of FDU-12-HX (where H denotes the hydrothermal method and X
denotes the synthesis temperature) samples were elucidated and probed using x-ray
diffraction, N2 adsorption, 29Si magic angle spinning nuclear magnetic resonance,
scanning electron microscopy and transmission electron microscopy. It has been
demonstrated that the aging time can be significantly reduced from 72 to 2 h
without affecting the structural order of the FDU-12 materials with a simple
adjustment of the synthesis temperature from 100 to 200 degrees C. Among the
materials prepared, the samples prepared at 200 degrees C had the highest pore
volume and the largest pore diameter. Lysozyme adsorption experiments were
conducted over FDU-12 samples prepared at different temperatures in order to
understand their biomolecule adsorption capacity, where the FDU-12-HX samples
displayed high adsorption performance of 29 MUmol g-1 in spite of shortening the
actual synthesis time from 72 to 2 h. Further, the influence of surface area,
pore volume and pore diameter on the adsorption capacity of FDU-12-HX samples has
been investigated and results are discussed in correlation with the textural
parameters of the FDU-12-HX and other mesoporous adsorbents including SBA-15, MCM
41, KIT-5, KIT-6 and CMK-3.
PMID- 27877769
TI - Wurtzite-derived ternary I-III-O2 semiconductors.
AB - Ternary zincblende-derived I-III-VI2 chalcogenide and II-IV-V2 pnictide
semiconductors have been widely studied and some have been put to practical use.
In contrast to the extensive research on these semiconductors, previous studies
into ternary I-III-O2 oxide semiconductors with a wurtzite-derived beta-NaFeO2
structure are limited. Wurtzite-derived beta-LiGaO2 and beta-AgGaO2 form alloys
with ZnO and the band gap of ZnO can be controlled to include the visible and
ultraviolet regions. beta-CuGaO2, which has a direct band gap of 1.47 eV, has
been proposed for use as a light absorber in thin film solar cells. These ternary
oxides may thus allow new applications for oxide semiconductors. However,
information about wurtzite-derived ternary I-III-O2 semiconductors is still
limited. In this paper we review previous studies on beta-LiGaO2, beta-AgGaO2 and
beta-CuGaO2 to determine guiding principles for the development of wurtzite
derived I-III-O2 semiconductors.
PMID- 27877761
TI - Recent progress on magnetic iron oxide nanoparticles: synthesis, surface
functional strategies and biomedical applications.
AB - This review focuses on the recent development and various strategies in the
preparation, microstructure, and magnetic properties of bare and surface
functionalized iron oxide nanoparticles (IONPs); their corresponding biological
application was also discussed. In order to implement the practical in vivo or in
vitro applications, the IONPs must have combined properties of high magnetic
saturation, stability, biocompatibility, and interactive functions at the
surface. Moreover, the surface of IONPs could be modified by organic materials or
inorganic materials, such as polymers, biomolecules, silica, metals, etc. The new
functionalized strategies, problems and major challenges, along with the current
directions for the synthesis, surface functionalization and bioapplication of
IONPs, are considered. Finally, some future trends and the prospects in these
research areas are also discussed.
PMID- 27877771
TI - Planar silver nanowire, carbon nanotube and PEDOT:PSS nanocomposite transparent
electrodes.
AB - Highly conductive, transparent and flexible planar electrodes were fabricated
using interwoven silver nanowires and single-walled carbon nanotubes (AgNW:SWCNT)
in a PEDOT:PSS matrix via an epoxy transfer method from a silicon template. The
planar electrodes achieved a sheet resistance of 6.6 +/- 0.0 Omega/? and an
average transmission of 86% between 400 and 800 nm. A high figure of merit of 367
Omega-1 is reported for the electrodes, which is much higher than that measured
for indium tin oxide and reported for other AgNW composites. The
AgNW:SWCNT:PEDOT:PSS electrode was used to fabricate low temperature (annealing
free) devices demonstrating their potential to function with a range of organic
semiconducting polymer:fullerene bulk heterojunction blend systems.
PMID- 27877770
TI - Anisotropic multi-step etching for large-area fabrication of surface
microstructures on stainless steel to control thermal radiation.
AB - Controlling the thermal radiation spectra of materials is one of the promising
ways to advance energy system efficiency. It is well known that the thermal
radiation spectrum can be controlled through the introduction of periodic surface
microstructures. Herein, a method for the large-area fabrication of periodic
microstructures based on multi-step wet etching is described. The method consists
of three main steps, i.e., resist mask fabrication via photolithography,
electrochemical wet etching, and side wall protection. Using this method, high
aspect micro-holes (0.82 aspect ratio) arrayed with hexagonal symmetry were
fabricated on a stainless steel substrate. The conventional wet etching process
method typically provides an aspect ratio of 0.3. The optical absorption peak
attributed to the fabricated micro-hole array appeared at 0.8 MUm, and the peak
absorbance exceeded 0.8 for the micro-holes with a 0.82 aspect ratio. While argon
plasma etching in a vacuum chamber was used in the present study for the
formation of the protective layer, atmospheric plasma etching should be possible
and will expand the applicability of this new method for the large-area
fabrication of high-aspect materials.
PMID- 27877773
TI - Preferred growth orientation and microsegregation behaviors of eutectic in a
nickel-based single-crystal superalloy.
AB - A nickel-based single-crystal superalloy was employed to investigate the
preferred growth orientation behavior of the (gamma + gamma') eutectic and the
effect of these orientations on the segregation behavior. A novel solidification
model for the eutectic island was proposed. At the beginning of the eutectic
island's crystallization, the core directly formed from the liquid by the
eutectic reaction, and then preferably grew along [100] direction. The
crystallization of the eutectic along [110] always lagged behind that in [100]
direction. The eutectic growth in [100] direction terminated on impinging the
edge of the dendrites or another eutectic island. The end of the eutectic
island's solidification terminates due to the encroachment of the eutectic
liquid/solid interface at the dendrites or another eutectic island in [110]
direction. The distribution of the alloying elements depended on the crystalline
axis. The degree of the alloying elements' segregation was lower along [100] than
[110] direction with increasing distance from the eutectic island's center.
PMID- 27877772
TI - Preparation of macroporous zirconia monoliths from ionic precursors via an
epoxide-mediated sol-gel process accompanied by phase separation.
AB - Monolithic macroporous zirconia (ZrO2) derived from ionic precursors has been
successfully fabricated via the epoxide-mediated sol-gel route accompanied by
phase separation in the presence of propylene oxide (PO) and poly(ethylene oxide)
(PEO). The addition of PO used as an acid scavenger mediates the gelation,
whereas PEO enhances the polymerization-induced phase separation. The appropriate
choice of the starting compositions allows the production of a macroporous
zirconia monolith with a porosity of 52.9% and a Brunauer-Emmett-Teller (BET)
surface area of 171.9 m2 . g-1. The resultant dried gel is amorphous, whereas
tetragonal ZrO2 and monoclinic ZrO2 are precipitated at 400 and 600 degrees C,
respectively, without spoiling the macroporous morphology. After solvothermal
treatment with an ethanol solution of ammonia, tetragonal ZrO2 monoliths with
smooth skeletons and well-defined mesopores can be obtained, and the BET surface
area is enhanced to 583.8 m2 . g-1.
PMID- 27877774
TI - Long-term aging of Ag/a-C:H:O nanocomposite coatings in air and in aqueous
environment.
AB - Nanocomposite coatings of silver particles embedded in a plasma polymer matrix
possess interesting properties depending on their microstructure. The film
microstructure is affected among others also by the RF power supplied during the
deposition, as shown by transmission electron microscopy. The optical properties
are characterized by UV-vis-NIR spectroscopy. An anomalous optical absorption
peak from the Ag nanoparticles is observed and related to the microstructure of
the nanocomposite films. Furthermore, a long-term aging of the coatings is
studied in-depth in ambient air and in aqueous environments. It is shown that the
studied films are not entirely stable. The deposition conditions and the
microstructure of the films affect the processes taking place during their aging
in both environments.
PMID- 27877775
TI - Novel PEPA-functionalized graphene oxide for fire safety enhancement of
polypropylene.
AB - Polypropylene (PP) is a general-purpose plastic, but some applications are
constrained by its high flammability. Thus, flame retardant PP is urgently
demanded. In this article, intumescent flame retardant PP (IFRPP) composites with
enhanced fire safety were prepared using 1-oxo-4-hydroxymethyl-2,6,7-trioxa-1
phosphabicyclo [2.2.2] octane (PEPA) functionalized graphene oxide (PGO) as
synergist. The PGO was prepared through a mild chemical reaction by the covalent
attachment of a caged-structure organic compound, PEPA, onto GO nanosheets using
toluene diisocynate (TDI) as the intermediary agent. The novel PEPA
functionalized graphene oxide not only improves the heat resistance of GO but
also converts GO and PEPA from hydrophobic to hydrophilic materials, which leads
to even distribution in PP. In our case, 7 wt% addition of PGO as one of the
fillers for IFRPP composites significantly reduces its inflammability and fire
hazards when compared with PEPA, by the improvement of first release rate peak
(PHRR), total heat release, first smoke release rate peak (PSRR) and total smoke
release, suggesting its great potential as the IFR synergist in industry. The
reason is mainly attributed to the barrier effect of the unburned graphene
sheets, which protects by the decomposition products of PEPA and TDI, promotes
the formation of graphitized carbon and inhibits the heat and gas release.
PMID- 27877776
TI - Energy dispersive x-ray spectroscopy for nanostructured thin film density
evaluation.
AB - In this paper, we report on two fast and non-destructive methods for
nanostructured film density evaluation based on a combination of energy
dispersive x-ray spectroscopy for areal density measurement and scanning electron
microscopy (SEM) for thickness evaluation. These techniques have been applied to
films with density ranging from the density of a solid down to a few [Formula:
see text], with different compositions and morphologies. The high resolution of
an electron microprobe has been exploited to characterize non-uniform films both
at the macroscopic scale and at the microscopic scale.
PMID- 27877777
TI - Improved compaction of ZnO nano-powder triggered by the presence of acetate and
its effect on sintering.
AB - The retention of nanocrystallinity in dense ceramic materials is still a
challenge, even with the application of external pressure during sintering. The
compaction behavior of high purity and acetate enriched zinc oxide (ZnO) nano
powders was investigated. It was found that acetate in combination with water
plays a key role during the compaction into green bodies at moderate
temperatures. Application of constant pressure resulted in a homogeneous green
body with superior packing density (86% of theoretical value) at moderate
temperature (85 degrees C) in the presence of water. In contrast, no improvement
in density could be achieved if pure ZnO powder was used. This compaction
behavior offers superior packing of the particles, resulting in a high relative
density of the consolidated compact with negligible coarsening. Dissolution
accompanying creep diffusion based matter transport is suggested to strongly
support reorientation of ZnO particles towards densities beyond the theoretical
limit for packing of ideal monosized spheres. Finally, the sintering trajectory
reveals that grain growth is retarded compared to conventional processing up to
90% of theoretical density. Moreover, nearly no radial shrinkage was observed
after sinter-forging for bodies performed with this advanced processing method.
PMID- 27877778
TI - Electronic conduction in La-based perovskite-type oxides.
AB - A systematic study of La-based perovskite-type oxides from the viewpoint of their
electronic conduction properties was performed. LaCo0.5Ni0.5O3+/-delta was found
to be a promising candidate as a replacement for standard metals used in oxide
electrodes and wiring that are operated at temperatures up to 1173 K in air
because of its high electrical conductivity and stability at high temperatures.
LaCo0.5Ni0.5O3+/-delta exhibits a high conductivity of 1.9 * 103 S cm-1 at room
temperature (R.T.) because of a high carrier concentration n of 2.2 * 1022 cm-3
and a small effective mass m* of 0.10 me. Notably, LaCo0.5Ni0.5O3+/-delta
exhibits this high electrical conductivity from R.T. to 1173 K, and little change
in the oxygen content occurs under these conditions. LaCo0.5Ni0.5O3+/-delta is
the most suitable for the fabrication of oxide electrodes and wiring, though La1
x Sr x CoO3+/-delta and La1-x Sr x MnO3+/-delta also exhibit high electronic
conductivity at R.T., with maximum electrical conductivities of 4.4 * 103 S cm-1
for La0.5Sr0.5CoO3+/-delta and 1.5 * 103 S cm-1 for La0.6Sr0.4MnO3+/-delta
because oxygen release occurs in La1-x Sr x CoO3+/-delta as elevating temperature
and the electrical conductivity of La0.6Sr0.4MnO3+/-delta slightly decreases at
temperatures above 400 K.
PMID- 27877779
TI - Concurrent bandgap narrowing and polarization enhancement in epitaxial
ferroelectric nanofilms.
AB - Perovskite-type ferroelectric (FE) crystals are wide bandgap materials with
technologically valuable optical and photoelectric properties. Here, versatile
engineering of electronic transitions is demonstrated in FE nanofilms of KTaO3,
KNbO3 (KNO), and NaNbO3 (NNO) with a thickness of 10-30 unit cells. Control of
the bandgap is achieved using heteroepitaxial growth of new structural phases on
SrTiO3 (001) substrates. Compared to bulk crystals, anomalous bandgap narrowing
is obtained in the FE state of KNO and NNO films. This effect opposes
polarization-induced bandgap widening, which is typically found for FE materials.
Transmission electron microscopy and spectroscopic ellipsometry measurements
indicate that the formation of higher-symmetry structural phases of KNO and NNO
produces the desirable red shift of the absorption spectrum towards visible
light, while simultaneously stabilizing robust FE order. Tuning of optical
properties in FE films is of interest for nanoscale photonic and optoelectronic
devices.
PMID- 27877780
TI - Magnetic properties of solid solutions between BiCrO3 and BiGaO3 with perovskite
structures.
AB - Magnetic properties of BiCr1-x Ga x O3 perovskite-type solid solutions are
reported, and a magnetic phase diagram is established. As-synthesized BiCrO3 and
BiCr0.9Ga0.1O3 crystallize in a monoclinic (m) C2/c structure. The Neel
temperature (TN) decreases from 111 K in BiCrO3 to 98 K in BiCr0.9Ga0.1O3, and
spin-reorientation transition temperature increases from 72 K in BiCrO3 to 83 K
in BiCr0.9Ga0.1O3. o-BiCr0.9Ga0.1O3 with a PbZrO3-type orthorhombic structure is
obtained by heating m-BiCr0.9Ga0.1O3 up to 573 K in air; it shows similar
magnetic properties with those of m-BiCr0.9Ga0.1O3. TN of BiCr0.8Ga0.2O3 is 81 K,
and TN of BiCr0.7Ga0.3O3 is 63 K. Samples with x = 0.4, 0.5, 0.6 and 0.7
crystallize in a polar R3c structure. Long-range antiferromagnetic order with
weak ferromagnetism is observed below TN = 56 K in BiCr0.6Ga0.4O3, TN = 36 K in
BiCr0.5Ga0.5O3 and TN = 18 K in BiCr0.4Ga0.6O3. BiCr0.3Ga0.7O3 shows a
paramagnetic behaviour because the Cr concentration is below the percolation
threshold of 31%.
PMID- 27877781
TI - Focus on materials challenges for protection - environment and health.
PMID- 27877782
TI - Blue phase liquid crystal: strategies for phase stabilization and device
development.
AB - The blue phase liquid crystal (BPLC) is a highly ordered liquid crystal (LC)
phase found very close to the LC-isotropic transition. The BPLC has demonstrated
potential in next-generation display and photonic technology due to its
exceptional properties such as sub-millisecond response time and wide viewing
angle. However, BPLC is stable in a very small temperature range (0.5-1 degrees
C) and its driving voltage is very high (~100 V). To overcome these challenges
recent research has focused on solutions which incorporate polymers or
nanoparticles into the blue phase to widen the temperature range from around few
degrees C to potentially more than 60 degrees C. In order to reduce the driving
voltage, strategies have been attempted by modifying the device structure by
introducing protrusion or corrugated electrodes and vertical field switching
mechanism has been proposed. In this paper the effectiveness of the proposed
solution will be discussed, in order to assess the potential of BPLC in display
technology and beyond.
PMID- 27877783
TI - A review on powder-based additive manufacturing for tissue engineering: selective
laser sintering and inkjet 3D printing.
AB - Since most starting materials for tissue engineering are in powder form, using
powder-based additive manufacturing methods is attractive and practical. The
principal point of employing additive manufacturing (AM) systems is to fabricate
parts with arbitrary geometrical complexity with relatively minimal tooling cost
and time. Selective laser sintering (SLS) and inkjet 3D printing (3DP) are two
powerful and versatile AM techniques which are applicable to powder-based
material systems. Hence, the latest state of knowledge available on the use of AM
powder-based techniques in tissue engineering and their effect on mechanical and
biological properties of fabricated tissues and scaffolds must be updated.
Determining the effective setup of parameters, developing improved
biocompatible/bioactive materials, and improving the mechanical/biological
properties of laser sintered and 3D printed tissues are the three main concerns
which have been investigated in this article.
PMID- 27877786
TI - Influence of nanoparticle addition on the formation and growth of intermetallic
compounds (IMCs) in Cu/Sn-Ag-Cu/Cu solder joint during different thermal
conditions.
AB - Nanocomposite lead-free solders are gaining prominence as replacements for
conventional lead-free solders such as Sn-Ag-Cu solder in the electronic
packaging industry. They are fabricated by adding nanoparticles such as metallic
and ceramic particles into conventional lead-free solder. It is reported that the
addition of such nanoparticles could strengthen the solder matrix, refine the
intermetallic compounds (IMCs) formed and suppress the growth of IMCs when the
joint is subjected to different thermal conditions such as thermal aging and
thermal cycling. In this paper, we first review the fundamental studies on the
formation and growth of IMCs in lead-free solder joints. Subsequently, we discuss
the effect of the addition of nanoparticles on IMC formation and their growth
under several thermal conditions. Finally, an outlook on the future growth of
research in the fabrication of nanocomposite solder is provided.
PMID- 27877785
TI - Tangible nanocomposites with diverse properties for heart valve application.
AB - Cardiovascular disease claims millions of lives every year throughout the world.
Biomaterials are used widely for the treatment of this fatal disease. With the
advent of nanotechnology, the use of nanocomposites has become almost inevitable
in the field of biomaterials. The versatile properties of nanocomposites, such as
improved durability and biocompatibility, make them an ideal choice for various
biomedical applications. Among the various nanocomposites, polyhedral oligomeric
silsesquioxane-poly(carbonate-urea)urethane, bacterial cellulose with polyvinyl
alcohol, carbon nanotubes, graphene oxide and nano-hydroxyapatite nanocomposites
have gained popularity as putative choices for biomaterials in cardiovascular
applications owing to their superior properties. In this review, various studies
performed utilizing these nanocomposites for improving the mechanical strength,
anti-calcification potential and hemocompatibility of heart valves are reviewed
and summarized. The primary motive of this work is to shed light on the emerging
nanocomposites for heart valve applications. Furthermore, we aim to promote the
prospects of these nanocomposites in the campaign against cardiovascular
diseases.
PMID- 27877787
TI - Recent progress in oxynitride photocatalysts for visible-light-driven water
splitting.
AB - Photocatalytic water splitting into hydrogen and oxygen is a method to directly
convert light energy into storable chemical energy, and has received considerable
attention for use in large-scale solar energy utilization. Particulate
semiconductors are generally used as photocatalysts, and semiconductor properties
such as bandgap, band positions, and photocarrier mobility can heavily impact
photocatalytic performance. The design of active photocatalysts has been
performed with the consideration of such semiconductor properties. Photocatalysts
have a catalytic aspect in addition to a semiconductor one. The ability to
control surface redox reactions in order to efficiently produce targeted
reactants is also important for photocatalysts. Over the past few decades,
various photocatalysts for water splitting have been developed, and a recent main
concern has been the development of visible-light sensitive photocatalysts for
water splitting. This review introduces the study of water-splitting
photocatalysts, with a focus on recent progress in visible-light induced overall
water splitting on oxynitride photocatalysts. Various strategies for designing
efficient photocatalysts for water splitting are also discussed herein.
PMID- 27877784
TI - Exploration of new superconductors and functional materials, and fabrication of
superconducting tapes and wires of iron pnictides.
AB - This review shows the highlights of a 4-year-long research project supported by
the Japanese Government to explore new superconducting materials and relevant
functional materials. The project found several tens of new superconductors by
examining ~1000 materials, each of which was chosen by Japanese experts with a
background in solid state chemistry. This review summarizes the major
achievements of the project in newly found superconducting materials, and the
fabrication wires and tapes of iron-based superconductors; it incorporates a list
of ~700 unsuccessful materials examined for superconductivity in the project. In
addition, described are new functional materials and functionalities discovered
during the project.
PMID- 27877788
TI - Material research for environmental sustainability in Thailand: current trends.
AB - This article covers recent developments of material research in Thailand with a
focus on environmental sustainability. Data on Thailand's consumption and
economic growth are briefly discussed to present a relevant snapshot of its
economy. A selection of research work is classified into three topics, namely,
(a) resource utilization, (b) material engineering and manufacturing, and (c)
life cycle efficiency. Material technologies have been developed and implemented
to reduce the consumption of materials, energy, and other valuable resources,
thus reducing the burden we place on our ecological system. At the same time,
product life cycle study allows us to understand the extent of the environmental
impact we impart to our planet.
PMID- 27877789
TI - Physico-chemical properties of biodiesel manufactured from waste frying oil using
domestic adsorbents.
AB - We have evaluated the efficiency of sugar cane bagasse ash (SCBA), date palm seed
carbon (DPSC), and rice husk ash (RHA) as natural adsorbents and compared them
with the synthetic adsorbent Magnesol XL for improving the quality of waste
frying oil (WFO) and for the impact on the physicochemical properties of the
obtained biodiesel. We measured moisture content, refractive index (RI), density,
acid value (AV), iodine value (IV), peroxide value (PV), and saponification value
(SV), as well as fatty acid profile. Purification treatments with various levels
of adsorbents caused significant (P <= 0.05) decreases in free fatty acids
(FFAs), PVs, and IVs. The highest yields (86.45 and 87.80%) were observed for
biodiesel samples produced from WFO treated with 2% Magnesol and 3% of RHA,
respectively, followed by samples treated with 2 and 3% of DPSC or RHA. Pre
treatments caused a significant decrease in the content of C 18:2 linoleic acids,
consistent with a significant increase in the content of monounsaturated and
saturated fatty acids (MUFA) in the treated samples. The highest oxidation value
(COX) (1.30) was observed for biodiesel samples produced from WFO without
purification treatments. However, the lowest values (0.44-0.73) were observed for
biodiesel samples produced from WFO treated with different levels of adsorbents.
Our results indicate that pre-treatments with different levels of adsorbents
regenerated the quality of WFO and improved the quality of the obtained
biodiesel.
PMID- 27877790
TI - How meaningful are risk determinations in the absence of a complete dataset?
Making the case for publishing standardized test guideline and 'no effect'
studies for evaluating the safety of nanoparticulates versus spurious 'high
effect' results from single investigative studies.
AB - A recent review article critically assessed the effectiveness of published
research articles in nanotoxicology to meaningfully address health and safety
issues for workers and consumers. The main conclusions were that, based on a
number of flaws in study designs, the potential risk from exposures to
nanomaterials is highly exaggerated, and that no 'nano-specific' adverse effects,
different from exposures to bulk particles, have been convincingly demonstrated.
In this brief editorial we focus on a related tangential issue which potentially
compromises the integrity of basic risk science. We note that some single
investigation studies report specious toxicity findings, which make the
conclusions more alarming and attractive and publication worthy. In contrast, the
standardized, carefully conducted, 'guideline study results' are often ignored
because they can frequently report no adverse effects; and as a consequence are
not considered as novel findings for publication purposes, and therefore they are
never considered as newsworthy in the popular press. Yet it is the Organization
for Economic Cooperation and Development (OECD) type test guideline studies that
are the most reliable for conducting risk assessments. To contrast these styles
and approaches, we present the results of a single study which reports high
toxicological effects in rats following low-dose, short-term oral exposures to
nanoscale titanium dioxide particles concomitant with selective investigative
analyses. Alternatively, the findings of OECD test guideline 408, standardized
guideline oral toxicity studies conducted for 90 days at much higher doses (1000
mg kg-1) in male and female rats demonstrated no adverse effects following a very
thorough and complete clinical chemical, as well as histopathological evaluation
of all of the relevant organs in the body. This discrepancy in study findings is
not reconciled by the fact that several biokinetic studies in rats and humans
demonstrate little or no uptake of nanoscale or pigment-grade TiO2 particles
following oral exposures. We conclude that to develop a competent risk assessment
profile, results derived from standardized, guideline-type studies, and even 'no
effect' study findings provide critically useful input for assessing safe levels
of exposure; and should, in principle, be readily acceptable for publication in
peer-reviewed toxicology journals. This is a necessary prerequisite for
developing a complete dataset for risk assessment determinations.
PMID- 27877791
TI - ATRP-based synthesis and characterization of light-responsive coatings for
transdermal delivery systems.
AB - The grafting of poly(hydroxyethylmethacrylate) on polymeric porous membranes via
atom transfer radical polymerization (ATRP) and subsequent modification with a
photo-responsive spiropyran derivative is described. This method leads to photo
responsive membranes with desirable properties such as light-controlled
permeability changes, exceptional photo-stability and repeatability of the photo
responsive switching. Conventional track etched polyester membranes were first
treated with plasma polymer coating introducing anchoring groups, which allowed
the attachment of ATRP-initiator molecules on the membrane surface. Surface
initiated ARGET-ATRP of hydroxyethylmethacrylate (where ARGET stands for
activator regenerated by electron transfer) leads to a membrane covered with a
polymer layer, whereas the controlled polymerization procedure allows good
control over the thickness of the polymer layer in respect to the polymerization
conditions. Therefore, the final permeability of the membranes could be tailored
by choice of pore diameter of the initial membranes, applied monomer
concentration or polymerization time. Moreover a remarkable switch in
permeability (more than 1000%) upon irradiation with UV-light could be achieved.
These properties enable possible applications in the field of transdermal drug
delivery, filtration, or sensing.
PMID- 27877792
TI - Geosynthetics in geoenvironmental engineering.
AB - Geosynthetics are planar polymeric products, which are used in connection with
soil, rock or other soil-like materials to fulfill various functions in
geoenvironmental engineering. Geosynthetics are of ever-growing importance in the
construction industry. Sealing of waste storage facilities to safely prevent the
emission of wastewater, landfill gas and contaminated dust as well as the
diffusion of pollutants into the environment and coastal protection against
storms and floods and reconstruction after natural disaster are important fields
of application. We will give an overview of the various geosynthetic products.
Two examples of the material problems related to geosynthetics are discussed in
detail: the effect of creep on the long-term performance of geocomposite drains
and the numerical simulation of the interaction of soil with geogrids. Both
issues are of importance for the use of these products in landfill capping
systems. The various functions, which geosynthetics may fulfill in the protection
of coastal lines, are illustrated by case studies. The geosynthetic market is
evaluated and economical and environmental benefits, as well as environmental
side effects related to the use of geosynthetics, are discussed.
PMID- 27877793
TI - In vitro investigations of a novel wound dressing concept based on biodegradable
polyurethane.
AB - Non-healing and partially healing wounds are an important problem not only for
the patient but also for the public health care system. Current treatment
solutions are far from optimal regarding the chosen material properties as well
as price and source. Biodegradable polyurethane (PUR) scaffolds have shown great
promise for in vivo tissue engineering approaches, but accomplishment of the goal
of scaffold degradation and new tissue formation developing in parallel has not
been observed so far in skin wound repair. In this study, the mechanical
properties and degradation behavior as well as the biocompatibility of a low-cost
synthetic, pathogen-free, biocompatible and biodegradable extracellular matrix
mimicking a PUR scaffold was evaluated in vitro. The novel PUR scaffolds were
found to meet all the requirements for optimal scaffolds and wound dressings.
These three-dimensional scaffolds are soft, highly porous, and form-stable and
can be easily cut into any shape desired. All the material formulations
investigated were found to be nontoxic. One formulation was able to be defined
that supported both good fibroblast cell attachment and cell proliferation to
colonize the scaffold. Tunable biodegradation velocity of the materials could be
observed, and the results additionally indicated that calcium plays a crucial
role in PUR degradation. Our results suggest that the PUR materials evaluated in
this study are promising candidates for next-generation wound treatment systems
and support the concept of using foam scaffolds for improved in vivo tissue
engineering and regeneration.
PMID- 27877794
TI - Towards sensor array materials: can failure be delayed?
AB - Further to prior development in enhancing structural health using smart
materials, an innovative class of materials characterized by the ability to feel
senses like humans, i.e. 'nervous materials', is discussed. Designed at all
scales, these materials will enhance personnel and public safety, and secure
greater reliability of products. Materials may fail suddenly, but any system
wishes that failure is known in good time and delayed until safe conditions are
reached. Nervous materials are expected to be the solution to this statement.
This new class of materials is based on the novel concept of materials capable of
feeling multiple structural and external stimuli, e.g. stress, force, pressure
and temperature, while feeding information back to a controller for appropriate
real-time action. The strain-stress state is developed in real time with the
identified and characterized source of stimulus, with optimized time response to
retrieve initial specified conditions, e.g. shape and strength. Sensors are
volumetrically embedded and distributed, emulating the human nervous system.
Immediate applications are in aircraft, cars, nuclear energy and robotics. Such
materials will reduce maintenance costs, detect initial failures and delay them
with self-healing. This article reviews the common aspects and challenges
surrounding this new class of materials with types of sensors to be embedded
seamlessly or inherently, including appropriate embedding manufacturing
techniques with modeling and simulation methods.
PMID- 27877796
TI - Long-term exposure of bacterial and protozoan communities to TiO2 nanoparticles
in an aerobic-sequencing batch reactor.
AB - Titanium dioxide (TiO2) nanopowders at different concentrations (0-50 mg L-1)
were injected into an aerobic-sequencing batch reactor (SBR) to investigate the
effects of long-term exposure to nanoparticles on bacterial and protozoan
communities. The detection of nanoparticles in the bioflocs was analyzed by
scanning electron microscopy, transmission electron microscopy, and energy
dispersive x-ray spectroscopy. The SBR wastewater experiments were conducted
under the influence of ultraviolet light with photocatalytic TiO2. The intrusion
of TiO2 nanoparticles was found both on the surface and inside of the bioflocs.
The change of microbial population in terms of mixed liquor-suspended solids and
the sludge volume index was monitored. The TiO2 nanoparticles tentatively exerted
an adverse effect on the microbial population, causing the reduction of
microorganisms (both bacteria and protozoa) in the SBR. The respiration
inhibition rate of the bacteria was increased, and the viability of the microbial
population was reduced at the high concentration (50 mg L-1) of TiO2. The
decreasing number of protozoa in the presence of TiO2 nanoparticles during 20
days of treatment with 0.5 and 1.0 mg L-1 TiO2 is clearly demonstrated. The
measured chemical oxygen demand (COD) in the effluent tends to increase with a
long-term operation. The increase of COD in the system suggests a decrease in the
efficiency of the wastewater treatment plant. However, the SBR can effectively
remove the TiO2 nanoparticles (up to 50 mg L-1) from the effluent.
PMID- 27877795
TI - Cellular uptake and cell-to-cell transfer of polyelectrolyte microcapsules within
a triple co-culture system representing parts of the respiratory tract.
AB - Polyelectrolyte multilayer microcapsules around 3.4 micrometers in diameter were
added to epithelial cells, monocyte-derived macrophages, and dendritic cells in
vitro and their uptake kinetics were quantified. All three cell types were
combined in a triple co-culture model, mimicking the human epithelial alveolar
barrier. Hereby, macrophages were separated in a three-dimensional model from
dendritic cells by a monolayer of epithelial cells. While passing of small
nanoparticles has been demonstrated from macrophages to dendritic cells across
the epithelial barrier in previous studies, for the micrometer-sized capsules,
this process could not be observed in a significant amount. Thus, this barrier is
a limiting factor for cell-to-cell transfer of micrometer-sized particles.
PMID- 27877798
TI - Cupric oxide inclusions in cuprous oxide crystals grown by the floating zone
method.
AB - Phase-pure cuprous oxide (Cu2O) crystals are difficult to grow since cupric oxide
can form within the crystal as the crystal is cooled to ambient conditions.
Vacancies are the solute which causes precipitation of macroscopic defects.
Therefore, even when a mostly phase-pure single crystal is used as a feed rod,
cupric oxide inclusions persist in the recrystallized solid. Control of the
thermal profile during crystal growth, however, can improve phase-purity; a slow
counter-rotation rate of the feed and seed rods results in fewer inclusions.
Cupric oxide can be removed by annealing, which produces a factor of 540 +/- 70
increase in phase-purity.
PMID- 27877799
TI - The effects of electric field and gate bias pulse on the migration and stability
of ionized oxygen vacancies in amorphous In-Ga-Zn-O thin film transistors.
AB - Oxygen vacancies have been considered as the origin of threshold voltage
instability under negative bias illumination stress in amorphous oxide thin film
transistors. Here we report the results of first-principles molecular dynamics
simulations for the drift motion of oxygen vacancies. We show that oxygen
vacancies, which are initially ionized by trapping photoexcited hole carriers,
can easily migrate under an external electric field. Thus, accumulated hole traps
near the channel/dielectric interface cause negative shift of the threshold
voltage, supporting the oxygen vacancy model. In addition, we find that ionized
oxygen vacancies easily recover their neutral defect configurations by capturing
electrons when the Fermi level increases. Our results are in good agreement with
the experimental observation that applying a positive gate bias pulse of short
duration eliminates hole traps and thus leads to the recovery of device stability
from persistent photoconductivity.
PMID- 27877797
TI - Interaction of gold nanoparticles with proteins and cells.
AB - Gold nanoparticles (Au NPs) possess many advantages such as facile synthesis,
controllable size and shape, good biocompatibility, and unique optical
properties. Au NPs have been widely used in biomedical fields, such as
hyperthermia, biocatalysis, imaging, and drug delivery. The broad application
range may result in hazards to the environment and human health. Therefore, it is
important to predict safety and evaluate therapeutic efficiency of Au NPs. It is
necessary to establish proper approaches for the study of toxicity and biomedical
effects. In this review, we first focus on the recent progress in biological
effects of Au NPs at the molecular and cellular levels, and then introduce key
techniques to study the interaction between Au NPs and proteins. Knowledge of the
biomedical effects of Au NPs is significant for the rational design of functional
nanomaterials and will help predict their safety and potential applications.
PMID- 27877801
TI - Negative thermal expansion induced by intermetallic charge transfer.
AB - Suppression of thermal expansion is of great importance for industry. Negative
thermal expansion (NTE) materials which shrink on heating and expand on cooling
are therefore attracting keen attention. Here we provide a brief overview of NTE
induced by intermetallic charge transfer in A-site ordered double perovskites
SaCu3Fe4O12 and LaCu3Fe4-x Mn x O12, as well as in Bi or Ni substituted BiNiO3.
The last compound shows a colossal dilatometric linear thermal expansion
coefficient exceeding -70 * 10-6 K-1 near room temperature, in the temperature
range which can be controlled by substitution.
PMID- 27877800
TI - Recent progress in the development of solid catalysts for biomass conversion into
high value-added chemicals.
AB - In recent decades, the substitution of non-renewable fossil resources by
renewable biomass as a sustainable feedstock has been extensively investigated
for the manufacture of high value-added products such as biofuels, commodity
chemicals, and new bio-based materials such as bioplastics. Numerous solid
catalyst systems for the effective conversion of biomass feedstocks into value
added chemicals and fuels have been developed. Solid catalysts are classified
into four main groups with respect to their structures and substrate activation
properties: (a) micro- and mesoporous materials, (b) metal oxides, (c) supported
metal catalysts, and (d) sulfonated polymers. This review article focuses on the
activation of substrates and/or reagents on the basis of groups (a)-(d), and the
corresponding reaction mechanisms. In addition, recent progress in chemocatalytic
processes for the production of five industrially important products (5
hydroxymethylfurfural, lactic acid, glyceraldehyde, 1,3-dihydroxyacetone, and
furan-2,5-dicarboxylic acid) as bio-based plastic monomers and their
intermediates is comprehensively summarized.
PMID- 27877802
TI - Silica/quercetin sol-gel hybrids as antioxidant dental implant materials.
AB - The development of biomaterials with intrinsic antioxidant properties could
represent a valuable strategy for preventing the onset of peri-implant diseases.
In this context, quercetin, a naturally occurring flavonoid, has been entrapped
at different weight percentages in a silica-based inorganic material by a sol-gel
route. The establishment of hydrogen bond interactions between the flavonol and
the solid matrix was ascertained by Fourier transform infrared spectroscopy. This
technique also evidenced changes in the stretching frequencies of the quercetin
dienonic moiety, suggesting that the formation of a secondary product occurs.
Scanning electron microscopy was applied to detect the morphology of the
synthesized materials. Their bioactivity was shown by the formation of a
hydroxyapatite layer on sample surface soaked in a fluid that simulates the
composition of human blood plasma. When the potential release of flavonol was
determined by liquid chromatography coupled with ultraviolet and electrospray
ionization tandem mass spectrometry techniques, the eluates displayed a retention
time that was 0.5 min less than quercetin. Collision-activated dissociation mass
spectrometry and untraviolet-visible spectroscopy were in accordance with the
release of a quercetin derivative. The antiradical properties of the investigated
systems were evaluated by DPPH and ABTS methods, whereas the 2,7
dichlorofluorescein diacetate assay highlighted their ability to inhibit the H2O2
induced intracellular production of reactive oxygen species in NIH-3T3 mouse
fibroblast cells. Data obtained, along with data gathered from the MTT
cytotoxicity test, revealed that the materials that entrapped the highest amount
of quercetin showed notable antioxidant effectiveness.
PMID- 27877804
TI - Influence of chemically p-type doped active organic semiconductor on the film
thickness versus performance trend in cyanine/C60 bilayer solar cells.
AB - Simple bilayer organic solar cells rely on very thin coated films that allow for
effective light absorption and charge carrier transport away from the
heterojunction at the same time. However, thin films are difficult to coat on
rough substrates or over large areas, resulting in adverse shorting and low
device fabrication yield. Chemical p-type doping of organic semiconductors can
reduce Ohmic losses in thicker transport layers through increased conductivity.
By using a Co(III) complex as chemical dopant, we studied doped cyanine dye/C60
bilayer solar cell performance for increasing dye film thickness. For films
thicker than 50 nm, doping increased the power conversion efficiency by more than
30%. At the same time, the yield of working cells increased to 80%. We addressed
the fate of the doped cyanine dye, and found no influence of doping on solar cell
long term stability.
PMID- 27877803
TI - Tribological investigation of diamond-like carbon coated micro-dimpled surface
under bovine serum and osteoarthritis oriented synovial fluid.
AB - Osteoarthritis-oriented synovial fluid (OASF), i.e., that typical of a patient
with osteoarthritis, has different physical and biological characteristics than
bovine serum (BS), a lubricant widely used in biotribological investigations.
Micro-dimpled and diamond-like carbon- (DLC) coated surfaces are key emerging
interfaces for orthopedic implants. In this study, tribological performances of
dimpled surfaces, with and without DLC coating, have been investigated under both
BS and OASF. The friction tests were performed utilizing a pin on a disk
tribometer, whereas contact pressure, speed, and temperature were simulated to a
'medium walking gait' of hip joint conditions. The mechanical properties of the
specimen and the physical properties of the lubricant were characterized before
the friction test. Raman analysis was conducted to identify the coating condition
both before and after the test. The DLC-coated dimpled surface showed maximum
hardness and residual stress. A DLC-coated dimpled surface under an OASF
lubricated condition yielded a lower friction coefficient and wear compared to
those of plain and dimpled specimens. The higher graphitization of coated
materials with increasing load was confirmed by Raman spectroscopy.
PMID- 27877805
TI - Density-tunable conjugation of cyclic RGD ligands with polyion complex vesicles
for the neovascular imaging of orthotopic glioblastomas.
AB - Introduction of ligands into 100 nm scaled hollow capsules has great potential
for diagnostic and therapeutic applications in drug delivery systems.
Polyethylene glycol-conjugated (PEGylated) polyion complex vesicles (PICsomes)
are promising hollow nano-capsules that can survive for long periods in the blood
circulation and can be used to deliver water-soluble macromolecules to target
tissues. In this study, cyclic RGD (cRGD) peptide, which is specifically
recognized by alphaVbeta3 and alphavbeta5 integrins that are expressed at high
levels in the neovascular system, was conjugated onto the distal end of PEG
strands on PICsomes for active neovascular targeting. Density-tunable cRGD
conjugation was achieved using PICsomes with definite fraction of end
functionalized PEG, to substitute 20, 40, and 100% of PEG distal end of the
PICsomes to cRGD moieties. Compared with control-PICsomes without cRGD, cRGD
PICsomes exhibited increased uptake into human umbilical vein endothelial cells.
Intravital confocal laser scanning microscopy revealed that the 40%-cRGD-PICsomes
accumulated mainly in the tumor neovasculature and remained in the perivascular
region even after 24 h. Furthermore, we prepared superparamagnetic iron oxide
(SPIO)-loaded cRGD-PICsomes for magnetic resonance imaging (MRI) and successfully
visualized the neovasculature in an orthotopic glioblastoma model, which suggests
that SPIO-loaded cRGD-PICsomes might be useful as a MRI contrast reagent for
imaging of the tumor microenvironment, including neovascular regions that
overexpress alphaVbeta3 integrins.
PMID- 27877806
TI - Ultraviolet-nanoimprinted packaged metasurface thermal emitters for infrared CO2
sensing.
AB - Packaged dual-band metasurface thermal emitters integrated with a resistive
membrane heater were manufactured by ultraviolet (UV) nanoimprint lithography
followed by monolayer lift-off based on a soluble UV resist, which is mass
producible and cost-effective. The emitters were applied to infrared CO2 sensing.
In this planar Au/Al2O3/Au metasurface emitter, orthogonal rectangular Au patches
are arrayed alternately and exhibit nearly perfect blackbody emission at 4.26 and
3.95 MUm necessary for CO2 monitoring at the electric power reduced by 31%. The
results demonstrate that metasurface infrared thermal emitters are almost ready
for commercialization.
PMID- 27877807
TI - Effects of fluoridation of porcine hydroxyapatite on osteoblastic activity of
human MG63 cells.
AB - Biological hydroxyapatite, derived from animal bones, is the most widely used
bone substitute in orthopedic and dental treatments. Fluorine is the trace
element involved in bone remodeling and has been confirmed to promote
osteogenesis when administered at the appropriate dose. To take advantage of this
knowledge, fluorinated porcine hydroxyapatite (FPHA) incorporating increasing
levels of fluoride was derived from cancellous porcine bone through
straightforward chemical and thermal treatments. Physiochemical characteristics,
including crystalline phases, functional groups and dissolution behavior, were
investigated on this novel FPHA. Human osteoblast-like MG63 cells were cultured
on the FPHA to examine cell attachment, cytoskeleton, proliferation and
osteoblastic differentiation for in vitro cellular evaluation. Results suggest
that fluoride ions released from the FPHA play a significant role in stimulating
osteoblastic activity in vitro, and appropriate level of fluoridation (1.5 to 3.1
atomic percents of fluorine) for the FPHA could be selected with high potential
for use as a bone substitute.
PMID- 27877808
TI - Tribological and thermal stability study of nanoporous amorphous boron carbide
films prepared by pulsed plasma chemical vapor deposition.
AB - In this work, the thermal stability and the oxidation and tribological behavior
of nanoporous a-BC:H films are studied and compared with those in conventional
diamond-like carbon (DLC) films. a-BC:H films were deposited by pulsed plasma
chemical vapor deposition using B(CH3)3 gas as the boron source. A DLC interlayer
was used to prevent the a-BC:H film delamination produced by oxidation. Thermal
stability of a-BC:H films, with no delamination signs after annealing at 500
degrees C for 1 h, is better than that of the DLC films, which completely
disappeared under the same conditions. Tribological test results indicate that
the a-BC:H films, even with lower nanoindentation hardness than the DLC films,
show an excellent boundary oil lubricated behavior, with lower friction
coefficient and reduce the wear rate of counter materials than those on the DLC
film. The good materials properties such as low modulus of elasticity and the
formation of micropores from the original nanopores during boundary regimes
explain this better performance. Results show that porous a-BC:H films may be an
alternative for segmented DLC films in applications where severe tribological
conditions and complex shapes exist, so surface patterning is unfeasible.
PMID- 27877809
TI - Texture design for microwave dielectric (Ca0.7Nd0.3)0.87TiO3 ceramics through
reactive-templated grain growth.
AB - Plate-like Ca3Ti2O7 (CT) and Nd2Ti2O7 (NT) particles were synthesized in molten
salts and used as reactive templates for the preparation of highly textured
(Ca0.7Nd0.3)0.87TiO3 bulk ceramics (CNT) with preferred pseudocubic <100> and
<110> orientations, respectively. During flux growth CT and NT particles
developed facets parallel to the pseudocubic {100} and {110} planes,
respectively, in a perovskite unit cell, since those planes correspond to the
interlayers of the layered perovskite-type crystal structures. Complementary
reactants for the CNT stoichiometry were wet-mixed with the reactive templates
and the slurries were tape-cast. Then stacked tapes were heat-treated for dense
single-phase CNT ceramics with a distorted and A-site deficient regular
perovskite-type structure. The CNT ceramics prepared with CT and NT reactive
templates exhibited strong pseudocubic 100- and 110-family x-ray diffraction
peaks, respectively, with other peaks drastically suppressed when non-perovskite
sources were used as complementary reactants. The textured ceramics possess
unique microstructures; as either parallel or obliquely stacked block structures
with a pseudocubic {100} plane faceted. The pseudocubic {100}-and {110}-textured
CNT ceramics exhibited ~10 and ~20% higher products of the dielectric quality
factor and frequency, Q . f, respectively, than conventional ceramic sintered at
the same temperature. When Q . f is compared based on the same grain size, the
{100}-textured CNT exhibited 27% higher values than non-textured while relative
permittivity and temperature coefficient of resonant frequency were of similar
values. Simple geometrical relationships between electric field and penetrated
pseudocubic {hk0}-type grain boundaries must lead to the reduced scattering and
dielectric loss.
PMID- 27877810
TI - Chemical doping of MoS2 multilayer by p-toluene sulfonic acid.
AB - We report the tailoring of the electrical properties of mechanically exfoliated
multilayer (ML) molybdenum disulfide (MoS2) by chemical doping. Electrical charge
transport and Raman spectroscopy measurements revealed that the p-toluene
sulfonic acid (PTSA) imposes n-doping in ML MoS2. The shift of threshold voltage
for ML MoS2 transistor was analyzed as a function of reaction time. The threshold
voltage shifted toward more negative gate voltages with increasing reaction time,
which indicates an n-type doping effect. The shift of the Raman peak positions
was also analyzed as a function of reaction time. PTSA treatment improved the
field-effect mobility by a factor of ~4 without degrading the electrical
characteristics of MoS2 devices.
PMID- 27877811
TI - Lanthanide sorbent based on magnetite nanoparticles functionalized with
organophosphorus extractants.
AB - In this work, an adsorbent was prepared based on the attachment of
organophosphorus acid extractants, namely, D2EHPA, CYANEX 272, and CYANEX 301, to
the surface of superparamagnetic magnetite (Fe3O4) nanoparticles. The synthesized
nanoparticles were coated with oleic acid, first by a chemisorption mechanism and
later by the respective extractant via physical adsorption. The obtained core
shell functionalized magnetite nanoparticle composites were characterized by
dynamic light scattering, scanning electron microscopy, transmission electron
microscopy, thermogravimetry, infrared absorption and vibrating sample
magnetometry. All the prepared nanoparticles exhibited a high saturation
magnetization capacity that varied between 72 and 46 emu g-1 and decreased as the
magnetite nanoparticle was coated with oleic acid and functionalized. The scope
of this study also included adsorption tests for lanthanum, cerium, praseodymium,
and neodymium and the corresponding analysis of their results. Sorption tests
indicated that the functionalized nanoparticles were able to extract the four
studied lanthanide metal ions, although the best extraction performance was
observed when the sorbent was functionalized with CYANEX 272, which resulted in a
loading capacity of approximately 12-14 mgLa/gMNP. The magnetization of the
synthesized nanoparticles was verified during the separation of the lanthanide
loaded sorbent from the raffinate by using a conventional magnet.
PMID- 27877812
TI - Some current problems in perovskite nano-ferroelectrics and multiferroics:
kinetically-limited systems of finite lateral size.
AB - We describe some unsolved problems of current interest; these involve quantum
critical points in ferroelectrics and problems which are not amenable to the
usual density functional theory, nor to classical Landau free energy approaches
(they are kinetically limited), nor even to the Landau-Kittel relationship for
domain size (they do not satisfy the assumption of infinite lateral diameter)
because they are dominated by finite aperiodic boundary conditions.
PMID- 27877814
TI - Varied roles of Pb in transition-metal PbMO3 perovskites (M = Ti, V, Cr, Mn, Fe,
Ni, Ru).
AB - Different structural chemistries resulting from the Pb2+ lone-pair electrons in
the PbMO3 perovskites are reviewed. The Pb2+ lone-pair electrons enhance the
ferroelectric transition temperature in PbTiO3, stabilize vanadyl formation in
PbVO3, and induce a disproportionation reaction of CrIV in PbCrO3. A Pb2+ + NiIV
= Pb4+ + NiII reaction in PbNiO3 stabilizes the LiNbO3 structure at ambient
pressure, but an A-site Pb4+ in an orthorhombic perovskite PbNiO3 is stabilized
at modest pressures at room temperature. In PbMnO3, a ferroelectric displacement
due to the lone pair electron effect is minimized by the spin-spin exchange
interaction and the strong octahedral site preference of the MnIV/III cation.
PbRuO3 is converted under pressure from the defective pyrochlore to the
orthorhombic (Pbnm) perovskite structure where Pb-Ru interactions via a common O
2p orbital stabilize at low temperature a metallic Imma phase at ambient
pressure. Above Pc [Formula: see text] a covalent Pb-Ru bond is formed by Pb2+ +
RuIV = Pb4+ + RuII electron sharing.
PMID- 27877813
TI - Perovskite-type catalytic materials for environmental applications.
AB - Perovskites are mixed-metal oxides that are attracting much scientific and
application interest owing to their low price, adaptability, and thermal
stability, which often depend on bulk and surface characteristics. These
materials have been extensively explored for their catalytic, electrical,
magnetic, and optical properties. They are promising candidates for the
photocatalytic splitting of water and have also been extensively studied for
environmental catalysis applications. Oxygen and cation non-stoichiometry can be
tailored in a large number of perovskite compositions to achieve the desired
catalytic activity, including multifunctional catalytic properties. Despite the
extensive uses, the commercial success for this class of perovskite-based
catalytic materials has not been achieved for vehicle exhaust emission control or
for many other environmental applications. With recent advances in synthesis
techniques, including the preparation of supported perovskites, and increasing
understanding of promoted substitute perovskite-type materials, there is a
growing interest in applied studies of perovskite-type catalytic materials. We
have studied a number of perovskites based on Co, Mn, Ru, and Fe and their
substituted compositions for their catalytic activity in terms of diesel soot
oxidation, three-way catalysis, N2O decomposition, low-temperature CO oxidation,
oxidation of volatile organic compounds, etc. The enhanced catalytic activity of
these materials is attributed mainly to their altered redox properties, the
promotional effect of co-ions, and the increased exposure of catalytically active
transition metals in certain preparations. The recent lowering of sulfur content
in fuel and concerns over the cost and availability of precious metals are
responsible for renewed interest in perovskite-type catalysts for environmental
applications.
PMID- 27877815
TI - Recent progress in efficient hybrid lead halide perovskite solar cells.
AB - The efficiency of perovskite solar cells (PSCs) has been improved from 9.7 to
19.3%, with the highest value of 20.1% achieved in 2014. Such a high photovoltaic
performance can be attributed to optically high absorption characteristics and
balanced charge transport properties with long diffusion lengths of the hybrid
lead halide perovskite materials. In this review, some fundamental details of
hybrid lead iodide perovskite materials, various fabrication techniques and
device structures are described, aiming for a better understanding of these
materials and thus highly efficient PSC devices. In addition, some advantages and
open issues are discussed here to outline the prospects and challenges of using
perovskites in commercial photovoltaic devices.
PMID- 27877817
TI - A meta-analysis of the mechanical properties of ice-templated ceramics and
metals.
AB - Ice templating, also known as freeze casting, is a popular shaping route for
macroporous materials. Over the past 15 years, it has been widely applied to
various classes of materials, and in particular ceramics. Many formulation and
process parameters, often interdependent, affect the outcome. It is thus
difficult to understand the various relationships between these parameters from
isolated studies where only a few of these parameters have been investigated. We
report here the results of a meta analysis of the structural and mechanical
properties of ice templated materials from an exhaustive collection of records.
We use these results to identify which parameters are the most critical to
control the structure and properties, and to derive guidelines for optimizing the
mechanical response of ice templated materials. We hope these results will be a
helpful guide to anyone interested in such materials.
PMID- 27877818
TI - Growth and applications of GeSn-related group-IV semiconductor materials.
AB - We review the technology of Ge1-x Sn x -related group-IV semiconductor materials
for developing Si-based nanoelectronics. Ge1-x Sn x -related materials provide
novel engineering of the crystal growth, strain structure, and energy band
alignment for realising various applications not only in electronics, but also in
optoelectronics. We introduce our recent achievements in the crystal growth of
Ge1-x Sn x -related material thin films and the studies of the electronic
properties of thin films, metals/Ge1-x Sn x , and insulators/Ge1-x Sn x
interfaces. We also review recent studies related to the crystal growth, energy
band engineering, and device applications of Ge1-x Sn x -related materials, as
well as the reported performances of electronic devices using Ge1-x Sn x related
materials.
PMID- 27877816
TI - A review of molecular beam epitaxy of ferroelectric BaTiO3 films on Si, Ge and
GaAs substrates and their applications.
AB - SrTiO3 epitaxial growth by molecular beam epitaxy (MBE) on silicon has opened up
the route to the monolithic integration of various complex oxides on the
complementary metal-oxide-semiconductor silicon platform. Among functional
oxides, ferroelectric perovskite oxides offer promising perspectives to improve
or add functionalities on-chip. We review the growth by MBE of the ferroelectric
compound BaTiO3 on silicon (Si), germanium (Ge) and gallium arsenide (GaAs) and
we discuss the film properties in terms of crystalline structure, microstructure
and ferroelectricity. Finally, we review the last developments in two areas of
interest for the applications of BaTiO3 films on silicon, namely integrated
photonics, which benefits from the large Pockels effect of BaTiO3, and low power
logic devices, which may benefit from the negative capacitance of the
ferroelectric.
PMID- 27877819
TI - Carbon fluoroxide nanoparticles as fluorescent labels and sonosensitizers for
theranostic applications.
AB - Carbon fluoroxide (CFO) nanoparticles (NPs) produced from silicon carbide wafers
are used as both fluorescent probes and sonosensitizers for theranostic
application. In vitro cell tests were carried out to investigate the feasibility
of ultrasound-based therapy with the use of the CFO NPs. The NPs that penetrated
inside the cells were shown to provoke cell destruction after application of an
ultrasound treatment. No significant toxic effect was observed when the cells
were treated with NP concentrations up to 0.5 mg ml-1 without applying ultrasound
treatment. The obtained results open a new way toward cancer therapy strategies.
PMID- 27877820
TI - Transfer studies of polystyrene nanoparticles in the ex vivo human placenta
perfusion model: key sources of artifacts.
AB - Nanotechnology is a rapidly expanding and highly promising new technology with
many different fields of application. Consequently, the investigation of
engineered nanoparticles in biological systems is steadily increasing. Questions
about the safety of such engineered nanoparticles are very important and the most
critical subject with regard to the penetration of biological barriers allowing
particle distribution throughout the human body. Such translocation studies are
technically challenging and many issues have to be considered to obtain
meaningful and comparable results. Here we report on the transfer of polystyrene
nanoparticles across the human placenta using an ex vivo human placenta perfusion
model. We provide an overview of several challenges that can potentially occur in
any translocation study in relation to particle size distribution,
functionalization and stability of labels. In conclusion, a careful assessment of
nanoparticle properties in a physiologically relevant milieu is as challenging
and important as the actual study of nanoparticle-cell interactions itself.
PMID- 27877821
TI - Evaluation of synovium-derived mesenchymal stem cells and 3D printed
nanocomposite scaffolds for tissue engineering.
AB - Stem cells and scaffolds play a very important role in tissue engineering. Here,
we isolated synovium-derived mesenchymal stem cells (SMSCs) from synovial
membrane tissue and characterized stem-cell properties. Gelatin nanoparticles
(NP) were prepared using a two-step desolvation method and then pre-mixed into
different host matrix (silk fibroin (SF), gelatin (Gel), or SF-Gel mixture) to
generate various 3D printed nanocomposite scaffolds (NP/SF, NP/SF-Gel, NP/Gel-1,
and NP/Gel-2). The microstructure was examined by scanning electron microscopy.
Biocompatibility assessment was performed through CCK-8 assay by coculturing with
SMSCs at 1, 3, 7 and 14 days. According to the results, SMSCs are similar to
other MSCs in their surface epitope expression, which are negative for CD45 and
positive for CD44, CD90, and CD105. After incubation in lineage-specific medium,
SMSCs could differentiate into chondrocytes, osteocytes and adipocytes. 3D
printed nanocomposite scaffolds exhibited a good biocompatibility in the process
of coculturing with SMSCs and had no negative effect on cell behavior. The study
provides a strategy to obtain SMSCs and fabricate 3D printed nanocomposite
scaffolds, the combination of which could be used for practical applications in
tissue engineering.
PMID- 27877822
TI - Tribological performance of the biological components of synovial fluid in
artificial joint implants.
AB - The concentration of biological components of synovial fluid (such as albumin,
globulin, hyaluronic acid, and lubricin) varies between healthy persons and
osteoarthritis (OA) patients. The aim of the present study is to compare the
effects of such variation on tribological performance in a simulated hip joint
model. The study was carried out experimentally by utilizing a pin-on-disk
simulator on ceramic-on-ceramic (CoC) and ceramic-on-polyethylene (CoP) hip joint
implants. The experimental results show that both friction and wear of artificial
joints fluctuate with the concentration level of biological components. Moreover,
the performance also varies between material combinations. Wear debris sizes and
shapes produced by ceramic and polyethylene were diverse. We conclude that the
biological components of synovial fluid and their concentrations should be
considered in order to select an artificial hip joint to best suit that patient.
PMID- 27877824
TI - Multistate resistive switching in silver nanoparticle films.
AB - Resistive switching devices have garnered significant consideration for their
potential use in nanoelectronics and non-volatile memory applications. Here we
investigate the nonlinear current-voltage behavior and resistive switching
properties of composite nanoparticle films comprising a large collective of metal
insulator-metal junctions. Silver nanoparticles prepared via the polyol process
and coated with an insulating polymer layer of tetraethylene glycol were
deposited onto silicon oxide substrates. Activation required a forming step
achieved through application of a bias voltage. Once activated, the nanoparticle
films exhibited controllable resistive switching between multiple discrete low
resistance states that depended on operational parameters including the applied
bias voltage, temperature and sweep frequency. The films' resistance switching
behavior is shown here to be the result of nanofilament formation due to
formative electromigration effects. Because of their tunable and distinct
resistance states, scalability and ease of fabrication, nanoparticle films have a
potential place in memory technology as resistive random access memory cells.
PMID- 27877823
TI - Thermo-responsive cell culture carriers based on poly(vinyl methyl ether)-the
effect of biomolecular ligands to balance cell adhesion and stimulated
detachment.
AB - Two established material systems for thermally stimulated detachment of adherent
cells were combined in a cross-linked polymer blend to merge favorable
properties. Through this approach poly(N-isopropylacrylamide) (PNiPAAm) with its
superior switching characteristic was paired with a poly(vinyl methyl ether)
based composition that allows adjusting physico-chemical and biomolecular
properties in a wide range. Beyond pure PNiPAAm, the proposed thermo-responsive
coating provides thickness, stiffness and swelling behavior, as well as an
apposite density of reactive sites for biomolecular functionalization, as
effective tuning parameters to meet specific requirements of a particular cell
type regarding initial adhesion and ease of detachment. To illustrate the
strength of this approach, the novel cell culture carrier was applied to generate
transplantable sheets of human corneal endothelial cells (HCEC). Sheets were
grown, detached, and transferred onto planar targets. Cell morphology, viability
and functionality were analyzed by immunocytochemistry and determination of
transepithelial electrical resistance (TEER) before and after sheet detachment
and transfer. HCEC layers showed regular morphology with appropriate TEER. Cells
were positive for function-associated marker proteins ZO-1, Na+/K+-ATPase, and
paxillin, and extracellular matrix proteins fibronectin, laminin and collagen
type IV before and after transfer. Sheet detachment and transfer did not impair
cell viability. Subsequently, a potential application in ophthalmology was
demonstrated by transplantation onto de-endothelialized porcine corneas in vitro.
The novel thermo-responsive cell culture carrier facilitates the generation and
transfer of functional HCEC sheets. This paves the way to generate tissue
engineered human corneal endothelium as an alternative transplant source for
endothelial keratoplasty.
PMID- 27877825
TI - Impact of monoolein on aquaporin1-based supported lipid bilayer membranes.
AB - Aquaporin (AQP) based biomimetic membranes have attracted considerable attention
for their potential water purification applications. In this paper, AQP1
incorporated biomimetic membranes were prepared and characterized. The morphology
and structure of the biomimetic membranes were characterized by in situ atomic
force microscopy (AFM), infrared absorption spectroscopy, fluorescence
microscopy, and contact angle measurements. The nanofiltration performance of the
AQP1 incorporated membranes was investigated at 4 bar by using 2 g l-1 NaCl as
feed solution. Lipid mobility plays an important role in the performance of the
AQP1 incorporated supported lipid bilayer (SLB) membranes. We demonstrated that
the lipid mobility is successfully tuned by the addition of monoolein (MO).
Through in situ AFM and fluorescence recovery after photo-bleaching (FRAP)
measurements, the membrane morphology and the molecular mobility were studied.
The lipid mobility increased in the sequence DPPC < DPPC/MO (RMO = 5/5) < DOPC/MO
(RMO = 5/5) < DOPC, which is consistent with the flux increment and salt
rejection. This study may provide some useful insights for improving the water
purification performance of biomimetic membranes.
PMID- 27877827
TI - Glory of piezoelectric perovskites.
AB - This article reviews the history of piezoelectric perovskites and forecasts
future development trends, including Uchino's discoveries such as the
Pb(Mg1/3Nb2/3)O3-PbTiO3 electrostrictor, Pb(Zn1/3Nb2/3)O3-PbTiO3 single crystal,
(Pb, La)(Zr, Ti)O3 photostriction, and Pb(Zr, Ti)O3-Terfenol magnetoelectric
composites. We discuss five key trends in the development of piezomaterials:
performance to reliability, hard to soft, macro to nano, homo to hetero, and
single to multi-functional.
PMID- 27877828
TI - Focus on nanospace materials.
PMID- 27877826
TI - Effect of amino groups of mesoporous silica nanoparticles on CpG
oligodexynucleotide delivery.
AB - In this study, we proposed to modify mesoporous silica nanoparticles (MSNs) with
3-aminopropyltriethoxysilane (NH2-TES), aminoethylaminopropyltriethoxysilane
(2NH2-TES) and 3-[2-(2-aminoethylamino)ethylamino] propyl-trimethoxysilane (3NH2
TES) for binding of cytosine-phosphate-guanosine oligodexynucleotides (CpG ODN),
and investigated the effect of different amino groups of MSNs on the CpG ODN
delivery. Serum stability, in vitro cytotoxicity, and cytokine interleukin-6 (IL
6) induction by MSN-NH2/CpG, MSN-2NH2/CpG and MSN-3NH2/CpG complexes were
investigated in detail. The results showed that three kinds of aminated-MSN-based
CpG ODN delivery systems had no cytotoxicity to RAW264.7 cells, and binding of
CpG ODN to MSN-NH2, MSN-2NH2 and MSN-3NH2 nanoparticles enhanced the serum
stability of CpG ODN due to protection by the nanoparticles. However, three
aminated MSN-based CpG ODN delivery systems exhibited different CpG ODN delivery
efficiency, and MSN-NH2/CpG complexes had the highest ability to induce IL-6
secretion.
PMID- 27877829
TI - Surface treatments for controlling corrosion rate of biodegradable Mg and Mg
based alloy implants.
AB - Due to their excellent biodegradability characteristics, Mg and Mg-based alloys
have become an emerging material in biomedical implants, notably for repair of
bone as well as coronary arterial stents. However, the main problem with Mg-based
alloys is their rapid corrosion in aggressive environments such as human bodily
fluids. Previously, many approaches such as control of alloying materials,
composition and surface treatments, have been attempted to regulate the corrosion
rate. This article presents a comprehensive review of recent research focusing on
surface treatment techniques utilised to control the corrosion rate and surface
integrity of Mg-based alloys in both in vitro and in vivo environments. Surface
treatments generally involve the controlled deposition of thin film coatings
using various coating processes, and mechanical surfacing such as machining, deep
rolling or low plasticity burnishing. The aim is to either make a protective thin
layer of a material or to change the micro-structure and mechanical properties at
the surface and sub-surface levels, which will prevent rapid corrosion and thus
delay the degradation of the alloys. We have organised the review of past works
on coatings by categorising the coatings into two classes-conversion and
deposition coatings-while works on mechanical treatments are reviewed based on
the tool-based processes which affect the sub-surface microstructure and
mechanical properties of the material. Various types of coatings and their
processing techniques under two classes of coating and mechanical treatment
approaches have been analysed and discussed to investigate their impact on the
corrosion performance, biomechanical integrity, biocompatibility and cell
viability. Potential challenges and future directions in designing and developing
the improved biodegradable Mg/Mg-based alloy implants were addressed and
discussed. The literature reveals that no solutions are yet complete and hence
new and innovative approaches are required to leverage the benefit of Mg-based
alloys. Hybrid treatments combining innovative biomimetic coating and mechanical
processing would be regarded as a potentially promising way to tackle the
corrosion problem. Synergetic cutting-burnishing integrated with cryogenic
cooling may be another encouraging approach in this regard. More studies focusing
on rigorous testing, evaluation and characterisation are needed to assess the
efficacy of the methods.
PMID- 27877830
TI - Host-guest chemistry of mesoporous silicas: precise design of location, density
and orientation of molecular guests in mesopores.
AB - Mesoporous solids, which were prepared from inorganic-surfactant mesostructured
materials, have been investigated due to their very large surface area and high
porosity, pore size uniformity and variation, periodic pore arrangement and
possible pore surface modification. Morphosyntheses from macroscopic morphologies
such as bulk monolith and films, to nanoscopic ones, nanoparticles and their
stable suspension, make mesoporous materials more attractive for applications and
detailed characterization. This class of materials has been studied for such
applications as adsorbents and catalysts, and later on, for optical, electronic,
environmental and bio-related ones. This review summarizes the studies on the
chemistry of mesoporous silica and functional guest species (host-guest
chemistry) to highlight the present status and future applications of the host
guest hybrids.
PMID- 27877832
TI - Carbonization and oxidation of metal-organic frameworks based on 1,4-naphthalene
dicarboxylates.
AB - Three new isostructural metal-organic frameworks (MOFs), [V(OH)(NDC)] (1),
[Cr(OH)(NDC)] (2), and [Ga(OH)(NDC)] (3) have been synthesized hydrothermally
using 1,4-naphthalene dicarboxylate (NDC) as the linker. These MOFs (1, 2 and 3)
have been used as a template for the synthesis of metal-oxide-inserted nanoporous
carbon materials. The newly synthesized MOFs and the resulting porous carbon
hybrid functional materials have been characterized using powder x-ray
diffraction, scanning electron microscopy, transmission electron microscopy, and
energy dispersive x-ray spectroscopic analysis. Results show that compounds 2 and
3 form their respective metal oxide nanoparticles on the surface of the carbon
materials during carbonization at 800 degrees C. The gas sorption properties of
the new MOFs and their corresponding carbon frameworks have been reported.
PMID- 27877833
TI - Metal-organic frameworks for electronics: emerging second order nonlinear optical
and dielectric materials.
AB - Metal-organic frameworks (MOFs) have been intensively studied over the past
decade because they represent a new category of hybrid inorganic-organic
materials with extensive surface areas, ultrahigh porosity, along with the
extraordinary tailorability of structure, shape and dimensions. In this
highlight, we summarize the current state of MOF research and report on structure
property relationships for nonlinear optical (NLO) and dielectric applications.
We focus on the design principles and structural elements needed to develop
potential NLO and low dielectric (low-kappa) MOFs with an emphasis on enhancing
material performance. In addition, we highlight experimental evidence for the
design of devices for low-dielectric applications. These results motivate us to
develop better low-dielectric and NLO materials and to perform in-depth studies
related to deposition techniques, patterning and the mechanical performance of
these materials in the future.
PMID- 27877834
TI - Encapsulation of palladium porphyrin photosensitizer in layered metal oxide
nanoparticles for photodynamic therapy against skin melanoma.
AB - We designed a biodegradable nanocarrier of layered double hydroxide (LDH) for
photodynamic therapy (PDT) based on the intercalation of a palladium porphyrin
photosensitizer (PdTCPP) in the gallery of LDH for melanoma theragnosis. Physical
and chemical characterizations have demonstrated the photosensitizer was stable
in the layered structures. In addition, the synthesized nanocomposites rendered
extremely efficacious therapy in the B16F10 melanoma cell line by improving the
solubility of the hydrophobic PdTCPP photosensitizer. The detection of singlet
oxygen generation under irradiation at the excitation wavelength of a 532 nm
laser was indeed impressive. Furthermore, the in vivo results using a tumour
xenograft model in mice indicated the apparent absence of body weight loss and
relative organ weight variation to the liver and kidney demonstrated that the
nanocomposites were biosafe with a significant reduction in tumour volume for the
anti-cancer efficacy of PDT. This drug delivery system using the nanoparticle
photosensitizer hybrid has great potential in melanoma theragnosis.
PMID- 27877835
TI - Hard-templating of chiral TiO2 nanofibres with electron transition-based optical
activity.
AB - The fabrication of optically active inorganic nanomaterials with chiral
superstructures attracts attention because of their potential applications in
chemical sensing and non-linear optics. Here, we present a facile way to prepare
TiO2 nanofibres, in which the nanocrystals are helically arranged into a chiral
superstructure. Notably, the chiral superstructure shows strong optical activity
due to the difference of absorbing left- and right-handed circularly polarized
light. This special optical activity resulted from electron transition from the
valence band to the conduction band of TiO2 through a vicinal effect of helically
arranged TiO2 nanocrystals.
PMID- 27877831
TI - Recent progress in the synthesis of metal-organic frameworks.
AB - Metal-organic frameworks (MOFs) have attracted considerable attention for various
applications due to their tunable structure, porosity and functionality. In
general, MOFs have been synthesized from isolated metal ions and organic linkers
under hydrothermal or solvothermal conditions via one-spot reactions. The
emerging precursor approach and kinetically tuned dimensional augmentation
strategy add more diversity to this field. In addition, to speed up the
crystallization process and create uniform crystals with reduced size, many
alternative synthesis routes have been explored. Recent advances in microwave
assisted synthesis and electrochemical synthesis are presented in this review. In
recent years, post-synthetic approaches have been shown to be powerful tools to
synthesize MOFs with modified functionality, which cannot be attained via de novo
synthesis. In this review, some current accomplishments of post-synthetic
modification (PSM) based on covalent transformations and coordinative
interactions as well as post-synthetic exchange (PSE) in robust MOFs are
provided.
PMID- 27877836
TI - Experimental study and thermodynamic modeling of the Al-Co-Cr-Ni system.
AB - A thermodynamic database for the Al-Co-Cr-Ni system is built via the Calphad
method by extrapolating re-assessed ternary subsystems. A minimum number of
quaternary parameters are included, which are optimized using experimental phase
equilibrium data obtained by electron probe micro-analysis and x-ray diffraction
analysis of NiCoCrAlY alloys spanning a wide compositional range, after annealing
at 900 degrees C, 1100 degrees C and 1200 degrees C, and water quenching.
These temperatures are relevant to oxidation and corrosion resistant MCrAlY
coatings, where M corresponds to some combination of nickel and cobalt.
Comparisons of calculated and measured phase compositions show excellent
agreement for the beta-gamma equilibrium, and good agreement for three-phase beta
gamma-sigma and beta-gamma-alpha equilibria. An extensive comparison with
existing Ni-base databases (TCNI6, TTNI8, NIST) is presented in terms of phase
compositions.
PMID- 27877837
TI - Absorbing TiO x thin film enabling laser welding of polyurethane membranes and
polyamide fibers.
AB - We report on the optical properties of thin titanium suboxide (TiO x ) films for
applications in laser transmission welding of polymers. Non-absorbing fibers were
coated with TiO x coatings by reactive magnetron sputtering. Plasma process
parameters influencing the chemical composition and morphology of the deposited
thin films were investigated in order to optimize their absorption properties.
Optical absorption spectroscopy showed that the oxygen content of the TiO x
coatings is the main parameter influencing the optical absorbance. Overtreatment
(high power plasma input) of the fiber surface leads to high surface roughness
and loss of mechanical stability of the fiber. The study shows that thin
substoichiometric TiO x films enable the welding of very thin polyurethane
membranes and polyamide fibers with improved adhesion properties.
PMID- 27877839
TI - A biosensor based on Coriolopsis gallica laccase immobilized on nitrogen-doped
multiwalled carbon nanotubes and graphene oxide for polyphenol detection.
AB - The use of nanomaterials allows the design of ultrasensitive biosensors with
advantages in the detection of organic molecules. Catechol and catechin are
molecules that occur naturally in fruits, and their presence in products like
dyes and wines affects quality standards. In this study, catechol and catechin
were measured at the nanoscale by means of cyclic voltammetry. The oxidation of
Coriolopsis gallica laccase immobilized on nitrogen-doped multiwalled carbon
nanotubes (Lac/CN x -MWCNT) and on graphene oxide (Lac/GO) was used to measure
the concentrations of catechol and catechin. Nitrogen-doped multiwalled carbon
nanotubes (CN x -MWCNT) were synthesized by spray pyrolysis and characterized by
scanning electron microscopy (SEM), transmission electron microscopy (TEM), and x
ray photoelectron spectroscopy (XPS). Covalently bonded hybrids with laccase
(Lac/CN x -MWCNT and Lac/GO) were generated. Catalytic activity of free enzymes
determined with syringaldazine yielded 14 584 UmL-1. With Lac/CN x -MWCNT at
concentrations of 6.4 mmol L-1 activity was 9326 U mL-1, while enzyme activity
measured with Lac/GO at concentration of 6.4 mmol L-1 was 9 234 U mL-1. The
Lac/CN x -MWCNT hybrid showed higher stability than Lac/GO at different ethyl
alcohol concentrations. The Lac/CN x -MWCNT hybrid can measure concentrations,
not previously reported, as low as 1 * 10-8 mol L-1 by measuring the electric
current responses.
PMID- 27877838
TI - Synthesis of nanoparticle CT contrast agents: in vitro and in vivo studies.
AB - Water-soluble and biocompatible D-glucuronic acid coated Na2WO4 and BaCO3
nanoparticles were synthesized for the first time to be used as x-ray computed
tomography (CT) contrast agents. Their average particle diameters were 3.2 +/-
0.1 and 2.8 +/- 0.1 nm for D-glucuronic acid coated Na2WO4 and BaCO3
nanoparticles, respectively. All the nanoparticles exhibited a strong x-ray
attenuation. In vivo CT images were obtained after intravenous injection of an
aqueous sample suspension of D-glucuronic acid coated Na2WO4 nanoparticles, and
positive contrast enhancements in the kidney were clearly shown. These findings
indicate that the nanoparticles reported in this study may be promising CT
contrast agents.
PMID- 27877840
TI - Engineered silica nanoparticles as additives in lubricant oils.
AB - Silica nanoparticles (SiO2 NPs) synthesized by the sol-gel approach were
engineered for size and surface properties by grafting hydrophobic chains to
prevent their aggregation and facilitate their contact with the phase boundary,
thus improving their dispersibility in lubricant base oils. The surface
modification was performed by covalent binding of long chain alkyl
functionalities using lauric acid and decanoyl chloride to the SiO2 NP surface.
The hybrid SiO2 NPs were characterized by scanning electron microscopy,
transmission electron microscopy, Fourier transform infrared spectroscopy,
simultaneous differential thermal analysis, nuclear magnetic resonance and
dynamic light scattering, while their dispersion in two base oils was studied by
static multiple light scattering at low (0.01% w/v) and high (0.50%w/v)
concentrations. The nature of the functional layer and the functionalization
degree seemed to be directly involved in the stability of the suspensions. The
potential use of the functional SiO2 NPs as lubricant additives in base oils,
specially designed for being used in hydraulic circuits, has been outlined by
analyzing the tribological properties of the dispersions. The dendritic structure
of the external layer played a key role in the tribological characteristics of
the material by reducing the friction coefficient and wear. These nanoparticles
reduce drastically the waste of energy in friction processes and are more
environmentally friendly than other additives.
PMID- 27877841
TI - Fabrication of optimized oil-water separation devices through the targeted
treatment of silica meshes.
AB - Efficient oil-water separation is achieved using an optimized superhydrophobic
material, generated by the zeolitic roughening and subsequent hydrophobic surface
treatment of silica filter membranes. The material is both highly rough and
intrinsically hydrophobic, resulting in superhydrophobic membranes which show a
substantial affinity for hydrophobic solvents and oils. The membranes are syringe
mounted, suction pressure is applied and the selective collection of oil is
achieved. The membranes are extremely robust, which is a result of the zeolitic
roughening process, they possess small pores (0.7 MUm), as a result these devices
can perform complete separation and operate at a range of suction pressures. The
devices could be readily used in a range of real-world applications, including
oil spill clean-up and industrial filters.
PMID- 27877842
TI - Tuning light emission of PbS nanocrystals from infrared to visible range by
cation exchange.
AB - Colloidal semiconductor nanocrystals, with intense and sharp-line emission
between red and near-infrared spectral regions, are of great interest for
optoelectronic and bio-imaging applications. The growth of an inorganic
passivation layer on nanocrystal surfaces is a common strategy to improve their
chemical and optical stability and their photoluminescence quantum yield. In
particular, cation exchange is a suitable approach for shell growth at the
expense of the nanocrystal core size. Here, the cation exchange process is used
to promote the formation of a CdS passivation layer on the surface of very small
PbS nanocrystals (2.3 nm in diameter), blue shifting their optical spectra and
yielding luminescent and stable nanostructures emitting in the range of 700-850
nm. Structural, morphological and compositional investigation confirms the
nanocrystal size contraction after the cation-exchange process, while the PbS
rock-salt crystalline phase is retained. Absorption and photoluminescence
spectroscopy demonstrate the growth of a passivation layer with a decrease of the
PbS core size, as inferred by the blue-shift of the excitonic peaks. The surface
passivation strongly increases the photoluminescence intensity and the excited
state lifetime. In addition, the nanocrystals reveal increased stability against
oxidation over time. Thanks to their absorption and emission spectral range and
the slow recombination dynamics, such highly luminescent nano-objects can find
interesting applications in sensitized photovoltaic cells and light-emitting
devices.
PMID- 27877843
TI - Thermally conductive polyamide 6/carbon filler composites based on a hybrid
filler system.
AB - We explored the use of a hybrid filler consisting of graphite nanoplatelets
(GNPs) and single walled carbon nanotubes (SWCNTs) in a polyamide 6 (PA 6)
matrix. The composites containing PA 6, powdered GNP, and SWCNT were melt
processed and the effect of filler content in the single filler and hybrid filler
systems on the thermal conductivity of the composites was examined. The thermal
diffusivities of the composites were measured by the standard laser flash method.
Composites containing the hybrid filler system showed enhanced thermal
conductivity with values as high as 8.8 W (m . K)-1, which is a 35-fold increase
compared to the thermal conductivity of pure PA 6. Thermographic images of heat
conduction and heat release behaviors were consistent with the thermal
conductivity results, and showed rapid temperature jumps and drops, respectively,
for the composites. A composite model based on the Lewis-Nielsen theory was
developed to treat GNP and SWCNT as two separate types of fillers. Two
approaches, the additive and multiplicative approaches, give rather good
quantitative agreement between the predicted values of thermal conductivity and
those measured experimentally.
PMID- 27877844
TI - Identifying and rationalizing the morphological, structural, and optical
properties of [Formula: see text]-Ag2MoO4 microcrystals, and the formation
process of Ag nanoparticles on their surfaces: combining experimental data and
first-principles calculations.
AB - We present a combined theoretical and experimental study on the morphological,
structural, and optical properties of beta-Ag2MoO4 microcrystals. beta-Ag2MoO4
samples were prepared by a co-precipitation method. The nucleation and formation
of Ag nanoparticles on beta-Ag2MoO4 during electron beam irradiation were also
analyzed as a function of electron beam dose. These events were directly
monitored in real-time using in situ field emission scanning electron microscopy
(FE-SEM). The thermodynamic equilibrium shape of the beta-Ag2MoO4 crystals was
built with low-index surfaces (001), (011), and (111) through a Wulff
construction. This shape suggests that the (011) face is the dominating surface
in the ideal morphology. A significant increase in the values of the surface
energy for the (011) face versus those of the other surfaces was observed, which
allowed us to find agreement between the experimental and theoretical
morphologies. Our investigation of the different morphologies and structures of
the beta-Ag2MoO4 crystals provided insight into how the crystal morphology can be
controlled so that the surface chemistry of beta-Ag2MoO4 can be tuned for
specific applications. The presence of structural disorder in the tetrahedral
[MoO4] and octahedral [AgO6] clusters, the building blocks of beta-Ag2MoO4, was
used to explain the experimentally measured optical properties.
PMID- 27877845
TI - Modulated interaction in double-layer shape memory-based micro-designed
actuators.
AB - The effect of superposed transitions in actuators with layered shape memory alloy
(SMA) films undergoing martensitic phase transformation is analyzed in terms of a
model developed for two layers of different composition, deposited at the same
temperature on a substrate. A significant difference is observed in the actuation
versus temperature relationship, depending on the thermal and elastic properties
of the SMA layers and their martensitic transformation temperature. The
prediction of the actuation is exemplified using a multilayer model and is
verified for a cantilever actuator with NiTi and NiMnGa layers deposited on a Si
substrate. The model sets the ground for a smart selection of SMAs in order to
achieve a modulated actuation.
PMID- 27877847
TI - Adsorption of amino acids by fullerenes and fullerene nanowhiskers.
AB - We have investigated the adsorption of some amino acids and an oligopeptide by
fullerene (C60) and fullerene nanowhiskers (FNWs). C60 and FNWs hardly adsorbed
amino acids. Most of the amino acids used have a hydrophobic side chain. Ala and
Val, with an alkyl chain, were not adsorbed by the C60 or FNWs. Trp, Phe and Pro,
with a cyclic structure, were not adsorbed by them either. The aromatic group of
C60 did not interact with the side chain. The carboxyl or amino group, with the
frame structure of an amino acid, has a positive or negative charge in solution.
It is likely that the C60 and FNWs would not prefer the charged carboxyl or amino
group. Tri-Ala was adsorbed slightly by the C60 and FNWs. The carboxyl or amino
group is not close to the center of the methyl group of Tri-Ala. One of the
methyl groups in Tri-Ala would interact with the aromatic structure of the C60
and FNWs. We compared our results with the theoretical interaction of 20 bio
amino acids with C60. The theoretical simulations showed the bonding distance
between C60 and an amino acid and the dissociation energy. The dissociation
energy was shown to increase in the order, Val < Phe < Pro < Asp < Ala < Trp <
Tyr < Arg < Leu. However, the simulation was not consistent with our experimental
results. The adsorption of albumin (a protein) by C60 showed the effect on the
side chains of Try and Trp. The structure of albumin was changed a little by C60.
In our study Try and Tyr were hardly adsorbed by C60 and FNWs. These amino acids
did not show a different adsorption behavior compared with other amino acids. The
adsorptive behavior of mono-amino acids might be different from that of
polypeptides.
PMID- 27877848
TI - Towards sensible toxicity testing for nanomaterials: proposal for the
specification of test design.
AB - During the last decade, nanomaterials (NM) were extensively tested for potential
harmful effects towards humans and environmental organisms. However, a sound
hazard assessment was so far hampered by uncertainties and a low comparability of
test results. The reason for the low comparability is a high variation in the (1)
type of NM tested with regard to raw material, size and shape and (2) procedures
before and during the toxicity testing. This calls for tailored, nanomaterial
specific protocols. Here, a structured approach is proposed, intended to lead to
test protocols not only tailored to specific types of nanomaterials, but also to
respective test system for toxicity testing. There are existing standards on
single procedures involving nanomaterials, however, not all relevant procedures
are covered by standards. Hence, our approach offers a detailed way of weighting
several plausible alternatives for e.g. sample preparation, in order to decide on
the procedure most meaningful for a specific nanomaterial and toxicity test. A
framework of several decision trees (DT) and flow charts to support testing of NM
is proposed as a basis for further refinement and in-depth elaboration. DT and
flow charts were drafted for (1) general procedure-physicochemical
characterisation, (2) choice of test media, (3) decision on test scenario and
application of NM to liquid media, (4) application of NM to the gas phase, (5)
application of NM to soil and sediments, (6) dose metrics, (S1) definition of a
nanomaterial, and (S2) dissolution. The applicability of the proposed approach
was surveyed by using experimental data retrieved from studies on nanoscale CuO.
This survey demonstrated the DT and flow charts to be a convenient tool to
systematically decide upon test procedures and processes, and hence pose an
important step towards harmonisation of NM testing.
PMID- 27877846
TI - A label-free G-quadruplex-based mercury detection assay employing the exonuclease
III-mediated cleavage of T-Hg2+-T mismatched DNA.
AB - We report herein the use of an exonuclease III and G-quadruplex probe to
construct a G-quadruplex-based luminescence detection platform for Hg2+. Unlike
common DNA-based Hg2+ detection methods, when using the dsDNA probe to monitor
the hairpin formation, the intercalation of the dsDNA probe may be influenced by
the distortion of dsDNA. This 'mix-and-detect' methodology utilized the G
quadruplex probe as the signal transducer and is simple, rapid, convenient to use
and can detect down to 20 nM of Hg2+.
PMID- 27877849
TI - Three-dimensional reconstruction of anomalous eutectic in laser remelted Ni-30
wt.% Sn alloy.
AB - Laser remelting has been performed on Ni-30 wt.% Sn hypoeutectic alloy. An
anomalous eutectic formed at the bottom of the molten pool when the sample was
remelted thoroughly. 3D morphologies of the alpha-Ni and Ni3Sn phases in the
anomalous eutectic region were obtained and investigated using serial sectioning
reconstruction technology. It is found that the Ni3Sn phase has a continuous
interconnected network structure and the alpha-Ni phase is distributed as
separate particles in the anomalous eutectic, which is consistent with the
electron backscatter diffraction pattern examinations. The alpha-Ni particles in
the anomalous eutectic are supersaturated with Sn element as compared with the
equilibrium phase diagram. Meanwhile, small wavy lamella eutectics coexist with
anomalous eutectics. The Trivedi-Magnin-Kurz model was used to estimate
undercooling with lamellar spacing. The results suggest that the critical
undercooling found in undercooling solidification is not a sufficient condition
for anomalous eutectic formation. Besides, alpha-Ni particles in the anomalous
eutectic do not exhibit a completely random misorientation and some neighboring
alpha-Ni particles have the same orientation. It is shown that both the coupled
and decoupled growth of the eutectic two phases can generate the alpha-Ni + Ni3Sn
anomalous eutectic structure.
PMID- 27877851
TI - New STAM, new horizon.
PMID- 27877850
TI - Turning an organic semiconductor into a low-resistance material by ion
implantation.
AB - We report on the effects of low energy ion implantation on thin films of
pentacene, carried out to investigate the efficacy of this process in the
fabrication of organic electronic devices. Two different ions, Ne and N, have
been implanted and compared, to assess the effects of different reactivity within
the hydrocarbon matrix. Strong modification of the electrical conductivity,
stable in time, is observed following ion implantation. This effect is
significantly larger for N implants (up to six orders of magnitude), which are
shown to introduce stable charged species within the hydrocarbon matrix, not only
damage as is the case for Ne implants. Fully operational pentacene thin film
transistors have also been implanted and we show how a controlled N ion
implantation process can induce stable modifications in the threshold voltage,
without affecting the device performance.
PMID- 27877852
TI - Magnetic microparticle-polydimethylsiloxane composite for reversible microchannel
bonding.
AB - In this study, an iron oxide magnetic microparticles and poly(dimethylsiloxane)
(MMPs-PDMS) composite material was employed to demonstrate a simple high-strength
reversible magnetic bonding method. This paper presents the casting of opaque
view (where optical inspection through the microchannels was impossible) and
clear-view (where optical inspection through the microchannel was possible) MMPs
PDMS. The influence of the microchannel geometries on the casting of the opaque
view casting was limited, which is similar to standard PDMS casting. Clear-view
casting performance was highly associated with the microchannel geometries. The
effects of the microchannel layout and the gap between the PDMS cover layer and
the micromold substrate were thoroughly investigated. Compared with the native
PDMS bonding strength of 31 kPa, the MMPs-PDMS magnetic bonding experiments
showed that the thin PDMS film with an MMPs-PDMS layer effectively reduced the
surface roughness and enhanced MMPs-PDMS reversible magnetic bonding strength. A
thin PDMS film-coated opaque-view MMPs-PDMS device exhibited the greatest bonding
strength of 110 kPa, and a clear-view MMPs-PDMS device with a thin PDMS film
attained a magnetic bonding strength of 81 kPa.
PMID- 27877853
TI - Removal of B from Si by Hf addition during Al-Si solvent refining process.
AB - A small amount of Hf was employed as a new additive to improve B removal in the
electromagnetic solidification refinement of Si with an Al-Si melt, because Hf
has a very strong affinity for B. The segregation ratio of Hf between the solid
Si and Al-Si melt was estimated to range from 4.9 * 10-6 to 8.8 * 10-7 for Al
concentrations of 0 to 64 at.%, respectively. The activity coefficient of Hf in
solid Si at its infinite dilution was also estimated. A small addition of Hf
(<1025 parts per million atoms, ppma) significantly improved the B removal. It
was confirmed that the use of an increased Hf addition, slower cooling rate, and
Al-rich Al-Si melt as the refining solvent removed B more efficiently. B in Si
could be removed as much as 98.2% with 410 ppma Hf addition when the liquidus
temperature of the Al-Si melt was 1173 K and the cooling rate was 4.5-7.6 K min
1. The B content in Si could be controlled from 153 ppma to 2.7 ppma, which meets
the acceptable level for solar-grade Si.
PMID- 27877854
TI - Silicon etch with chromium ions generated by a filtered or non-filtered cathodic
arc discharge.
AB - The pre-treatment of substrate surfaces prior to deposition is important for the
adhesion of physical vapour deposition coatings. This work investigates Si
surfaces after the bombardment by energetic Cr ions which are created in cathodic
arc discharges. The effect of the pre-treatment is analysed by X-ray diffraction,
Rutherford backscattering spectroscopy, scanning electron microscopy and in-depth
X-ray photoemission spectroscopy and compared for Cr vapour produced from a
filtered and non-filtered cathodic arc discharge. Cr coverage as a function of
ion energy was also predicted by TRIDYN Monte Carlo calculations. Discrepancies
between measured and simulated values in the transition regime between layer
growth and surface removal can be explained by the chemical reactions between Cr
ions and the Si substrate or between the substrate surface and the residual
gases. Simulations help to find optimum and more stable parameters for specific
film and substrate combinations faster than trial-and-error procedure.
PMID- 27877855
TI - Plastic accommodation at homophase interfaces between nanotwinned and
recrystallized grains in an austenitic duplex-microstructured steel.
AB - The plastic co-deformation behavior at the homophase interfaces between the hard
nanotwinned grain inclusions and the soft recrystallized matrix grains in a
duplex-microstructured AISI 316L austenitic stainless steel is examined through
the analysis of long-range orientation gradients within the matrix grains by
electron backscatter diffraction and transmission electron microcopy. Our
analysis reveals that the mechanical accommodation of homophase interfaces until
a macroscopic strain of 22% is realized within a small area of soft grains (about
four grains) adjacent to the homophase interface. The activation of deformation
twinning in the first two grain layers results in the occurrence of a 'hump' in
the orientation gradient profile. We ascribe this effect to the role of
deformation twinning on the generation of geometrically necessary dislocations.
The smooth profile of the orientation gradient amplitude within the first 10
grain layers indicates a gradual plastic accommodation of the homophase
interfaces upon straining. As a consequence, damage nucleation at such interfaces
is impeded, resulting in an enhanced ductility of the single phase duplex
microstructured steel.
PMID- 27877856
TI - A simple synthesis method for nanostructured Co-WC/carbon composites with
enhanced oxygen reduction reaction activity.
AB - Co nanoparticles (Co NPs) and nanoscale tungsten carbide (WC) are successfully
synthesized simultaneously with mesoporous structured carbon black (C) using an
innovative simple method, which is known as solution plasma processing (SPP), and
NPs are also loaded onto carbon black at the same time by SPP. The introduction
of Co NPs led to not only superior oxygen reduction reaction (ORR) activity in
terms of onset potential and peak potential, but also to a more efficient
electron transfer process compared to that of pure WC. Co-WC/C also showed
durability for long-term operation better than that of commercial Pt/C. These
results clearly demonstrate that the presence of Co NPs significantly enhanced
the ORR and charge transfer number of neighboring WC NPs in ORR activities. In
addition, it was proved that SPP is a simple method (from synthesis of NPs and
carbon black to loading on carbon black) for the large-scale synthesis of NP
carbon composite. Therefore, SPP holds great potential as a candidate for next
generation synthetic methods for the production of NP-carbon composites.
PMID- 27877857
TI - Properties of epitaxial, (001)- and (110)-oriented (PbMg1/3Nb2/3O3)2/3
(PbTiO3)1/3 films on silicon described by polarization rotation.
AB - Epitaxial (PbMg1/3Nb2/3O3)2/3-(PbTiO3)1/3 (PMN-PT) films with different out-of
plane orientations were prepared using a CeO2/yttria stabilized ZrO2 bilayer
buffer and symmetric SrRuO3 electrodes on silicon substrates by pulsed laser
deposition. The orientation of the SrRuO3 bottom electrode, either (110) or
(001), was controlled by the deposition conditions and the subsequent PMN-PT
layer followed the orientation of the bottom electrode. The ferroelectric,
dielectric and piezoelectric properties of the (SrRuO3/PMN-PT/SrRuO3)
ferroelectric capacitors exhibit orientation dependence. The properties of the
films are explained in terms of a model based on polarization rotation. At low
applied fields domain switching dominates the polarization change. The model
indicates that polarization rotation is easier in the (110) film, which is
ascribed to a smaller effect of the clamping on the shearing of the pseudo-cubic
unit cell compared to the (001) case.
PMID- 27877858
TI - Shape-controlled synthesis of porous AuPt nanoparticles and their superior
electrocatalytic activity for oxygen reduction reaction.
AB - Control of structure and morphology of Pt-based nanomaterials is of great
importance for electrochemical energy conversions. In this work, we report an
efficient one-step synthesis of bimetallic porous AuPt nanoparticles (PAuPt NPs)
in an aqueous solution. The proposed synthesis is performed by a simple stirring
treatment of an aqueous reactive mixture including K2PtCl4, HAuCl4, Pluronic F127
and ascorbic acid at a pH value of 1 without organic solvent or high temperature.
Due to their porous structure and bimetallic composition, as-made PAuPt NPs
exhibit excellent electrocatalytic activity for oxygen reduction reaction.
PMID- 27877859
TI - Self-lubricating, low-friction, wear-resistant Al-based quasicrystalline
coatings.
AB - After gas atomization, a quasicrystalline powder based on aluminium was used to
prepare a thick coating by high-velocity oxygen-fuel flame torch spraying. This
layer was deposited on top of a bond-coat layer on a steel plate. A post-spraying
annealing treatment turned the two layers to their stable state, a gamma-brass
crystal and an icosahedral quasicrystal, respectively. The projection parameters
were selected in such a way that the coating behaved like a self-lubricating
material, which offered very good wear resistance (duration of pin-on-disk tests
superior to 5 km with negligible material loss) and low friction (u <= 6% against
sintered tungsten carbide), in contrast to the state of the art. This property
was achieved thanks to, on the one hand, excellent bonding to the substrate via
the bound coat, and on the other hand, presence at the boundaries between
quasicrystalline flakes of a mixture of both threefold and fourfold coordinated
carbon originating from spray processing. Application to hard materials used in
mechanical devices is appealing, especially because soft, lubricating additives
may not be needed, thus considerably increasing the lifetime of the devices and
reducing waste of materials.
PMID- 27877860
TI - Facile preparation of acid-resistant magnetite particles for removal of Sb(III)
from strong acidic solution.
AB - A new facile coating strategy based on the hydrophobicity of methyl groups was
developed to prevent nano-sized magnetite particles from strong acid corrosion.
In this method, three steps of hydrolysis led to three layers of protection shell
coating Fe3O4 nanoparticles. Filled with hydrophobic methyl groups, the middle
layer mainly prevented the magnetic core from strong acid corrosion. These
magnetite particles managed to resist 1 M HCl solution and 2.5 M H2SO4 solution.
The acid resistant ability was higher than those reported previously. After
further modification with amino-methylene-phosphonic groups, these magnetite
particles successfully adsorbed Sb(III) in strong acid solution. This new
strategy can also be applied to protect other materials from strong acid
corrosion.
PMID- 27877863
TI - Microstructure study of a severely plastically deformed Mg-Zn-Y alloy by
application of low angle annular dark field diffraction contrast imaging.
AB - Microstructural investigation of extremely strained samples, such as severely
plastically deformed (SPD) materials, by using conventional transmission electron
microscopy techniques is very challenging due to strong image contrast resulting
from the high defect density. In this study, low angle annular dark field (LAADF)
imaging mode of scanning transmission electron microscope (STEM) has been applied
to study the microstructure of a Mg-3Zn-0.5Y (at%) alloy processed by high
pressure torsion (HPT). LAADF imaging advantages for observation of twinning,
grain fragmentation, nucleation of recrystallized grains and precipitation on
second phase particles in the alloy processed by HPT are highlighted. By using
STEM-LAADF imaging with a range of incident angles, various microstructural
features have been imaged, such as nanoscale subgrain structure and
recrystallization nucleation even from the thicker region of the highly strained
matrix. It is shown that nucleation of recrystallized grains starts at a strain
level of revolution [Formula: see text] (earlier than detected by conventional
bright field imaging). Occurrence of recrystallization of grains by nucleating
heterogeneously on quasicrystalline particles is also confirmed. Minimizing all
strain effects by LAADF imaging facilitated grain size measurement of [Formula:
see text] nm in fully recrystallized HPT specimen after [Formula: see text].
PMID- 27877861
TI - Fabrication of photoactive heterostructures based on quantum dots decorated with
Au nanoparticles.
AB - Silica based multifunctional heterostructures, exhibiting near infrared (NIR)
absorption (650-1200 nm) and luminescence in the visible region, represent
innovative nanosystems useful for diagnostic or theranostic applications. Herein,
colloidal synthetic procedures are applied to design a photoactive
multifunctional nanosystem. Luminescent silica (SiO2) coated quantum dots (QDs)
have been used as versatile nanoplatforms to assemble on their surface gold (Au)
seeds, further grown into Au spackled structures. The synthesized nanostructures
combine the QD emission in the visible region, and, concomitantly, the
distinctive NIR absorption of Au nanodomains. The possibility of having multiple
QDs in a single heterostructure, the SiO2 shell thickness, and the extent of Au
deposition onto SiO2 surface have been carefully controlled. The work shows that
a single QD entrapped in 16 nm thick SiO2 shell, coated with Au speckles,
represents the most suitable geometry to preserve the QD emission in the visible
region and to generate NIR absorption from metal NPs. The resulting architectures
present a biomedical potential as an effective optical multimodal probes and as
promising therapeutic agents due to the Au NP mediated photothermal effect.
PMID- 27877862
TI - An Ir(III) complex chemosensor for the detection of thiols.
AB - In this study, we report the use of a cyclometalated luminescent iridium(III)
complex for the visualization of thiols. The detection of glutathione (GSH) by
complex 1 is achieved through the reduction of its phendione N^N donor, which
influences the metal-to-ligand charge-transfer (MLCT) of the complex. Complex 1
produced a maximum threefold luminescence enhancement at 587 nm in response to
GSH. The linear detection range of 1 for GSH is between 0.2 and 2 M equivalents
of GSH, with a detection limit of 1.67 MUM. Complex 1 also displays good
selectivity for thiols over other amino acids.
PMID- 27877864
TI - The effect of wall thickness distribution on mechanical reliability and strength
in unidirectional porous ceramics.
AB - Macroporous ceramics exhibit an intrinsic strength variability caused by the
random distribution of defects in their structure. However, the precise role of
microstructural features, other than pore volume, on reliability is still
unknown. Here, we analyze the applicability of the Weibull analysis to
unidirectional macroporous yttria-stabilized-zirconia (YSZ) prepared by ice
templating. First, we performed crush tests on samples with controlled
microstructural features with the loading direction parallel to the porosity. The
compressive strength data were fitted using two different fitting techniques,
ordinary least squares and Bayesian Markov Chain Monte Carlo, to evaluate whether
Weibull statistics are an adequate descriptor of the strength distribution. The
statistical descriptors indicated that the strength data are well described by
the Weibull statistical approach, for both fitting methods used. Furthermore, we
assess the effect of different microstructural features (volume, size,
densification of the walls, and morphology) on Weibull modulus and strength. We
found that the key microstructural parameter controlling reliability is wall
thickness. In contrast, pore volume is the main parameter controlling the
strength. The highest Weibull modulus ([Formula: see text]) and mean strength
(198.2 MPa) were obtained for the samples with the smallest and narrowest wall
thickness distribution (3.1 [Formula: see text]m) and lower pore volume (54.5%).
PMID- 27877866
TI - Unconventional fractional quantum Hall effect in monolayer and bilayer graphene.
AB - The commensurability condition is applied to determine the hierarchy of
fractional fillings of Landau levels in monolayer and in bilayer graphene. The
filling rates for fractional quantum Hall effect (FQHE) in graphene are found in
the first three Landau levels in one-to-one agreement with the experimental data.
The presence of even denominator filling fractions in the hierarchy for FQHE in
bilayer graphene is explained. Experimentally observed hierarchy of FQHE in the
first and second Landau levels in monolayer graphene and in the zeroth Landau
level in bilayer graphene is beyond the conventional composite fermion
interpretation but fits to the presented nonlocal topology commensurability
condition.
PMID- 27877865
TI - Three dimensional printed macroporous polylactic acid/hydroxyapatite composite
scaffolds for promoting bone formation in a critical-size rat calvarial defect
model.
AB - We have explored the applicability of printed scaffold by comparing osteogenic
ability and biodegradation property of three resorbable biomaterials. A
polylactic acid/hydroxyapatite (PLA/HA) composite with a pore size of 500 MUm and
60% porosity was fabricated by three-dimensional printing. Three-dimensional
printed PLA/HA, beta-tricalcium phosphate (beta-TCP) and partially demineralized
bone matrix (DBM) seeded with bone marrow stromal cells (BMSCs) were evaluated by
cell adhesion, proliferation, alkaline phosphatase activity and osteogenic gene
expression of osteopontin (OPN) and collagen type I (COL-1). Moreover, the
biocompatibility, bone repairing capacity and degradation in three different bone
substitute materials were estimated using a critical-size rat calvarial defect
model in vivo. The defects were evaluated by micro-computed tomography and
histological analysis at four and eight weeks after surgery, respectively. The
results showed that each of the studied scaffolds had its own specific merits and
drawbacks. Three-dimensional printed PLA/HA scaffolds possessed good
biocompatibility and stimulated BMSC cell proliferation and differentiation to
osteogenic cells. The outcomes in vivo revealed that 3D printed PLA/HA scaffolds
had good osteogenic capability and biodegradation activity with no difference in
inflammation reaction. Therefore, 3D printed PLA/HA scaffolds have potential
applications in bone tissue engineering and may be used as graft substitutes in
reconstructive surgery.
PMID- 27877867
TI - Electrical and photo-electrical properties of MoS2 nanosheets with and without an
Al2O3 capping layer under various environmental conditions.
AB - The electrical and photo-electrical properties of exfoliated MoS2 were
investigated in the dark and in the presence of deep ultraviolet (DUV) light
under various environmental conditions (vacuum, N2 gas, air, and O2 gas). We
examined the effects of environmental gases on MoS2 flakes in the dark and after
DUV illumination through Raman spectroscopy and found that DUV light induced red
and blue shifts of peaks (E12 g and A1 g) position in the presence of N2 and O2
gases, respectively. In the dark, the threshold voltage in the transfer
characteristics of few-layer (FL) MoS2 field-effect transistors (FETs) remained
almost the same in vacuum and N2 gas but shifted toward positive gate voltages in
air or O2 gas because of the adsorption of oxygen atoms/molecules on the MoS2
surface. We analyzed light detection parameters such as responsivity,
detectivity, external quantum efficiency, linear dynamic range, and relaxation
time to characterize the photoresponse behavior of FL-MoS2 FETs under various
environmental conditions. All parameters were improved in their performances in
N2 gas, but deteriorated in O2 gas environment. The photocurrent decayed with a
large time constant in N2 gas, but decayed with a small time constant in O2 gas.
We also investigated the characteristics of the devices after passivating by
Al2O3 film on the MoS2 surface. The devices became almost hysteresis-free in the
transfer characteristics and stable with improved mobility. Given its outstanding
performance under DUV light, the passivated device may be potentially used for
applications in MoS2-based integrated optoelectronic circuits, light sensing
devices, and solar cells.
PMID- 27877868
TI - Room-temperature synthesis of three-dimensional porous ZnO@CuNi hybrid magnetic
layers with photoluminescent and photocatalytic properties.
AB - A facile synthetic approach to prepare porous ZnO@CuNi hybrid films is presented.
Initially, magnetic CuNi porous layers (consisting of phase separated CuNi
alloys) are successfully grown by electrodeposition at different current
densities using H2 bubbles as a dynamic template to generate the porosity. The
porous CuNi alloys serve as parent scaffolds to be subsequently filled with a
solution containing ZnO nanoparticles previously synthesized by sol-gel. The
dispersed nanoparticles are deposited dropwise onto the CuNi frameworks and the
solvent is left to evaporate while the nanoparticles impregnate the interior of
the pores, rendering ZnO-coated CuNi 3D porous structures. No thermal annealing
is required to obtain the porous films. The synthesized hybrid porous layers
exhibit an interesting combination of tunable ferromagnetic and photoluminescent
properties. In addition, the aqueous photocatalytic activity of the composite is
studied under UV-visible light irradiation for the degradation of Rhodamine B.
The proposed method represents a fast and inexpensive approach towards the
implementation of devices based on metal-semiconductor porous systems, avoiding
the use of post-synthesis heat treatment steps which could cause deleterious
oxidation of the metallic counterpart, as well as collapse of the porous
structure and loss of the ferromagnetic properties.
PMID- 27877870
TI - Luminescence of delafossite-type CuAlO2 fibers with Eu substitution for Al
cations.
AB - CuAlO2 has been examined as a potential luminescent material by substituting Eu
for Al cations in the delafossite structure. CuAlO2:Eu3+ nanofibers have been
prepared via electrospinning for the ease of mitigating synthesis requirements
and for future optoelectronics and emerging applications. Single-phase CuAlO2
fibers could be obtained at a temperature of 1100 degrees C in air. The Eu was
successfully doped in the delafossite structure and two strong emission bands at
~405 and 610 nm were observed in the photoluminescence spectra. These bands are
due to the intrinsic near-band-edge transition of CuAlO2 and the f-f transition
of the Eu3+ activator, respectively. Further electrical characterization
indicated that these fibers exhibit semiconducting behavior and the introduction
of Eu could act as band-edge modifiers, thus changing the thermal activation
energies. In light of this study, CuAlO2:Eu3+ fibers with both strong
photoluminescence and p-type conductivity could be produced by tailoring the rare
earth doping concentrations.
PMID- 27877869
TI - Experimental study and modeling of atomic-scale friction in zigzag and armchair
lattice orientations of MoS2.
AB - Physical properties of two-dimensional materials, such as graphene, black
phosphorus, molybdenum disulfide (MoS2) and tungsten disulfide, exhibit
significant dependence on their lattice orientations, especially for zigzag and
armchair lattice orientations. Understanding of the atomic probe motion on
surfaces with different orientations helps in the study of anisotropic materials.
Unfortunately, there is no comprehensive model that can describe the probe motion
mechanism. In this paper, we report a tribological study of MoS2 in zigzag and
armchair orientations. We observed a characteristic power spectrum and friction
force values. To explain our results, we developed a modified, two-dimensional,
stick-slip Tomlinson model that allows simulation of the probe motion on MoS2
surfaces by combining the motion in the Mo layer and S layer. Our model fits well
with the experimental data and provides a theoretical basis for tribological
studies of two-dimensional materials.
PMID- 27877871
TI - Modeling of metastable phase formation diagrams for sputtered thin films.
AB - A method to model the metastable phase formation in the Cu-W system based on the
critical surface diffusion distance has been developed. The driver for the
formation of a second phase is the critical diffusion distance which is dependent
on the solubility of W in Cu and on the solubility of Cu in W. Based on
comparative theoretical and experimental data, we can describe the relationship
between the solubilities and the critical diffusion distances in order to model
the metastable phase formation. Metastable phase formation diagrams for Cu-W and
Cu-V thin films are predicted and validated by combinatorial magnetron sputtering
experiments. The correlative experimental and theoretical research strategy
adopted here enables us to efficiently describe the relationship between the
solubilities and the critical diffusion distances in order to model the
metastable phase formation during magnetron sputtering.
PMID- 27877872
TI - Study of {332}<113> twinning in a multilayered Ti-10Mo-xFe (x = 1-3) alloy by
ECCI and EBSD.
AB - We have investigated the propagation of {332}<113> twins in a multilayered Ti
10Mo-xFe (x = 1-3) alloy fabricated by multi-pass hot rolling. The material
contains a macroscopic Fe-graded structure (about 130 MUm width) between 1 and 3
wt% Fe in the direction perpendicular to rolling. We observe strong influence of
the Fe-graded structure in the twin propagation behavior. The propagation of
{332}<113> twins that are nucleated in Fe-lean regions (~1 wt% Fe) is interrupted
in the grain interiors at a specific Fe content, namely, about 2 wt% Fe. We
ascribe this effect to the role of Fe content in solid solution on the stress for
twin propagation. The interruption of twins in the grain interiors results in the
development of characteristic dislocation configurations such as highly dense
dislocation walls (HDDWs) associated to strain localization phenomena. The
nucleation and propagation of these dislocation configurations is ascribed to the
underlying plastic accommodation mechanisms of the stress field at the twin tips.
We find that the crystallographic alignment of HDDWs is determined by the stress
field at the twin tips and the deformation texture. The excellent plastic
accommodation at the interrupted twin tips allows attaining the good ductility of
the present material (total elongation of 28%).
PMID- 27877873
TI - Preparation of magnetic mesoporous silica nanoparticles as a multifunctional
platform for potential drug delivery and hyperthermia.
AB - We report the preparation of magnetic mesoporous silica (MMS) nanoparticles with
the potential multifunctionality of drug delivery and magnetic hyperthermia.
Carbon-encapsulated magnetic colloidal nanoparticles (MCN@C) were used to coat
mesoporous silica shells for the formation of the core-shell structured MMS
nanoparticles (MCN@C/mSiO2), and the rattle-type structured MMS nanoparticles
(MCN/mSiO2) were obtained after the removal of the carbon layers from MCN@C/mSiO2
nanoparticles. The morphology, structure, magnetic hyperthermia ability, drug
release behavior, in vitro cytotoxicity and cellular uptake of MMS nanoparticles
were investigated. The results revealed that the MCN@C/mSiO2 and MCN/mSiO2
nanoparticles had spherical morphology and average particle sizes of 390 and 320
nm, respectively. The MCN@C/mSiO2 nanoparticles exhibited higher magnetic
hyperthermia ability compared to the MCN/mSiO2 nanoparticles, but the MCN/mSiO2
nanoparticles had higher drug loading capacity. Both MCN@C/mSiO2 and MCN/mSiO2
nanoparticles had similar drug release behavior with pH-controlled release and
temperature-accelerated release. Furthermore, the MCN@C/mSiO2 and MCN/mSiO2
nanoparticles showed low cytotoxicity and could be internalized into HeLa cells.
Therefore, the MCN@C/mSiO2 and MCN/mSiO2 nanoparticles would be promising for the
combination of drug delivery and magnetic hyperthermia treatment in cancer
therapy.
PMID- 27877874
TI - Growth condition dependence of unintentional oxygen incorporation in epitaxial
GaN.
AB - Growth conditions have a tremendous impact on the unintentional background
impurity concentration in gallium nitride (GaN) synthesized by molecular beam
epitaxy and its resulting chemical and physical properties. In particular for
oxygen identified as the dominant background impurity we demonstrate that under
optimized growth stoichiometry the growth temperature is the key parameter to
control its incorporation and that an increase by 55 degrees C leads to an
oxygen reduction by one order of magnitude. Quantitatively this reduction and the
resulting optical and electrical properties are analyzed by secondary ion mass
spectroscopy, photoluminescence, capacitance versus voltage measurements, low
temperature magneto-transport and parasitic current paths in lateral transistor
test structures based on two-dimensional electron gases. At a growth temperature
of 665 degrees C the residual charge carrier concentration is decreased to below
1015 cm-3, resulting in insulating behavior and thus making the material suitable
for beyond state-of-the-art device applications.
PMID- 27877875
TI - Focus on nanomedicine molecular science.
PMID- 27877876
TI - Enhanced cellular uptake of lactosomes using cell-penetrating peptides.
AB - Polymeric micelles that are composed of synthetic polymers are generally size
controllable and can be easily modified for various applications. Lactosomes (A3B
type) are biodegradable polymeric micelles composed of an amphipathic polymer,
including three poly(sarcosine) blocks and a poly(l-lactic acid) block.
Lactosomes accumulate in tumors in vivo through the enhanced permeability and
retention (EPR) effect, even on frequently administering them. However,
lactosomes cannot be efficiently internalized by cells. To improve cellular
uptake of lactosomes, cell-penetrating peptide (CPP)-modified lactosomes were
prepared. Seven CPPs (including EB1 and Pep1) were used, and most of them
improved the cellular uptake efficiency of lactosomes. In particular, EB1- and
Pep1-modified lactosomes were efficiently internalized by cells. In addition, by
using CPP-modified and photosensitizer-loaded lactosomes, we demonstrated the
photoinduced killing of mammalian cells, including human cancer cells.
Accumulation of the EB1-modified lactosomes in NCI-N87 tumors was shown by in
vivo imaging. Thus, this study demonstrated that the CPP-modified lactosome is a
promising drug carrier.
PMID- 27877877
TI - Materials inspired by mathematics.
AB - Our world is transforming into an interacting system of the physical world and
the digital world. What will be the materials science in the new era? With the
rising expectations of the rapid development of computers, information science
and mathematical science including statistics and probability theory, 'data
driven materials design' has become a common term. There is knowledge and
experience gained in the physical world in the form of know-how and recipes for
the creation of material. An important key is how we establish vocabulary and
grammar to translate them into the language of the digital world. In this
article, we outline how materials science develops when it encounters
mathematics, showing some emerging directions.
PMID- 27877878
TI - A transparent, solvent-free laminated top electrode for perovskite solar cells.
AB - A simple lamination process of the top electrode for perovskite solar cells is
demonstrated. The laminate electrode consists of a transparent and conductive
plastic/metal mesh substrate, coated with an adhesive mixture of poly(3,4
ethylenedioxythiophene):poly(styrenesulfonate), PEDOT:PSS, and sorbitol. The
laminate electrode showed a high degree of transparency of 85%. Best cell
performance was achieved for laminate electrodes prepared with a sorbitol
concentration of ~30 wt% per milliliter PEDOT:PSS dispersion, and using a pre
annealing temperature of 120 degrees C for 10 min before lamination. Thereby,
perovskite solar cells with stabilized power conversion efficiencies of (7.6 +/-
1.0)% were obtained which corresponds to 80% of the reference devices with
reflective opaque gold electrodes.
PMID- 27877879
TI - A stem-less probe using spontaneous pairing between Cy3 and quencher for RNA
detection.
AB - We herein report a stem-less probe for the detection of RNA that depends on
pairing between Cy3 and nitro methyl red. In our design, two Cy3 residues and two
nitro methyl red residues were introduced into an oligonucleotide. In the absence
of the target, these dyes formed a complex, and emission of Cy3 was efficiently
quenched. Hybridization with the target RNA disrupted this interaction and
resulted in Cy3 emission. Under optimized conditions, the signal to background
ratio was as high as 180. We demonstrated specific detection of target RNA in
cells using a wash-free FISH protocol.
PMID- 27877880
TI - NEXAFS study of electronic and atomic structure of active layer in Al/indium tin
oxide/TiO2 stack during resistive switching.
AB - We have studied the stability of the resistive switching process in the
Al/(In2O3)0.9(SnO2)0.1/TiO2 assembly grown by atomic layer deposition. Besides
electrical characterization the effect of electric field on the atomic electronic
structure of the TiO2 layer was studied using near edge X-ray absorption fine
structure (NEXAFS) spectroscopy. The region of the current instability in the I-V
characteristics was revealed. Presumably this current instability is supported by
the amorphous structure of the TiO2 film but is initiated by the surface
morphology of the Al substrate. A formation of the O2 molecules was established
which occurs specifically in the region of the current instability that is a
result of electrical Joule heating manifestation.
PMID- 27877881
TI - Crosslinked duplex DNA nanogels that target specified proteins.
AB - Specific detection of protein biomarkers plays an important role in diagnostics
and therapeutics. We have fabricated polymeric nanogels, which can specifically
interact with the cancer biomarker thrombin to serve as a model. Two types of 2
methacryloyloxyethyl phosphorylcholine (MPC) copolymers bearing a thrombin
binding oligonucleotide aptamer and its complementary chain were independently
synthesized by redox-initiated radical polymerization. These MPC polymers
associate in a complimentary fashion due to double strand formation of the
oligonucleotides in aqueous media, leading to the spontaneous formation of
spherical nanogels. Nanogel formation was confirmed by dynamic light scattering
(DLS) and transmittance microscopy. The average size of nanogel particles was 124
+/- 2 nm and the nanogels were mono-dispersed (polydispersity index 0.21).
Functional intercalators could be stably incorporated into nanogels through the
physical interaction between the intercalators and the oligonucleotides. The
ethidium bromide (EtBr)-incorporating nanogels were used as detectors for
thrombin. The fluorescence intensity of solutions containing the EtBr
incorporating nanogels was decreased with an increase in the concentration of
thrombin. The transformation of quadruplex-thrombin structure from complementary
double-stranded structures resulted in the decrease in fluorescence intensity. In
contrast, the intensity did not change when the nanogels were incubated with
albumin. Thrombin is only one such model used to demonstrate this technique;
oligonucleotide aptamers can be freely designed to interact with versatile bio
substances. Therefore, aptamer-crosslinked nanogels can be appropriate
nanomaterials for disease diagnosis and therapy.
PMID- 27877882
TI - Focal calcium monitoring with targeted nanosensors at the cytosolic side of
endoplasmic reticulum.
AB - Ca2+ distribution is spatially and temporally non-uniform inside cells due to
cellular compartmentalization. However, Ca2+ sensing with small organic dyes,
such as fura-2 and fluo-4, has been practically applied at a single cell level
where the averaged signal from freely diffusing dye molecules is acquired. In
this study, we aimed to target azide-functionalized fura-2 (N3-fura-2) to a
specific site of subcellular compartments to realize focal Ca2+ sensing. Using
scAVD (single-chain avidin)-biotin interaction and a copper-free click reaction
system, we linked N3-fura-2 to specifically-targeted scAVD protein fused with a
red fluorescent protein mCherry, so that Ca2+ sensors conjugated with four N3
fura-2 dyes with dibenzocyclooctyne (DBCO)-PEG4-biotin as a linker were generated
at subcellular compartments in living cells. In cytoplasm, N3-fura-2 showed a
prolonged retention period after binding to scAVD. Furthermore, the reacted N3
fura-2 was retained inside cells even after free dyes were washed out by methanol
fixation. When scAVD was overexpressed on endoplasmic reticulum (ER) membranes,
N3-fura-2 was accumulated on ER membranes. Upon histamine stimulation, which
increases cytosolic Ca2+ concentration, ER-localized N3-fura-2 successfully
sensed the Ca2+ level changes at the cytosolic side of ER membrane. Our study
demonstrated specific targeting of N3-fura-2 to subcellular compartments and the
ability of sensing focal Ca2+ level changes with the specifically targeted Ca2+
sensors.
PMID- 27877884
TI - Gas permeability of ice-templated, unidirectional porous ceramics.
AB - We investigate the gas flow behavior of unidirectional porous ceramics processed
by ice-templating. The pore volume ranged between 54% and 72% and pore size
between 2.9 [Formula: see text]m and 19.1 [Formula: see text]m. The maximum
permeability ([Formula: see text] [Formula: see text] m[Formula: see text]) was
measured in samples with the highest total pore volume (72%) and pore size (19.1
[Formula: see text]m). However, we demonstrate that it is possible to achieve a
similar permeability ([Formula: see text] [Formula: see text] m[Formula: see
text]) at 54% pore volume by modification of the pore shape. These results were
compared with those reported and measured for isotropic porous materials
processed by conventional techniques. In unidirectional porous materials
tortuosity ([Formula: see text]) is mainly controlled by pore size, unlike in
isotropic porous structures where [Formula: see text] is linked to pore volume.
Furthermore, we assessed the applicability of Ergun and capillary model in the
prediction of permeability and we found that the capillary model accurately
describes the gas flow behavior of unidirectional porous materials. Finally, we
combined the permeability data obtained here with strength data for these
materials to establish links between strength and permeability of ice-templated
materials.
PMID- 27877883
TI - Cytocompatible and multifunctional polymeric nanoparticles for transportation of
bioactive molecules into and within cells.
AB - Multifunctional polymeric nanoparticles are materials with great potential for a
wide range of biomedical applications. For progression in this area of research,
unfavorable interactions of these nanoparticles with proteins and cells must be
avoided in biological environments, for example, through treatment of the
nanoparticle surfaces. Construction of an artificial cell membrane structure
based on polymers bearing the zwitterionic phosphorylcholine group can prevent
biological reactions at the surface effectively. In addition, certain bioactive
molecules can be immobilized on the surface of the polymer to generate enough
affinity to capture target biomolecules. Furthermore, entrapment of inorganic
nanoparticles inside polymeric matrices enhances the nanoparticle functionality
significantly. This review summarizes the preparation and characterization of
cytocompatible and multifunctional polymeric nanoparticles; it analyzes the
efficiency of their fluorescence function, the nature of the artificial cell
membrane structure, and their performance as in-cell devices; and finally, it
evaluates both their chemical reactivity and effects in cells.
PMID- 27877885
TI - Investigation of microstructure in additive manufactured Inconel 625 by spatially
resolved neutron transmission spectroscopy.
AB - Non-destructive testing techniques based on neutron imaging and diffraction can
provide information on the internal structure of relatively thick metal samples
(up to several cm), which are opaque to other conventional non-destructive
methods. Spatially resolved neutron transmission spectroscopy is an extension of
traditional neutron radiography, where multiple images are acquired
simultaneously, each corresponding to a narrow range of energy. The analysis of
transmission spectra enables studies of bulk microstructures at the spatial
resolution comparable to the detector pixel. In this study we demonstrate the
possibility of imaging (with ~100 MUm resolution) distribution of some
microstructure properties, such as residual strain, texture, voids and impurities
in Inconel 625 samples manufactured with an additive manufacturing method called
direct metal laser melting (DMLM). Although this imaging technique can be
implemented only in a few large-scale facilities, it can be a valuable tool for
optimization of additive manufacturing techniques and materials and for
correlating bulk microstructure properties to manufacturing process parameters.
In addition, the experimental strain distribution can help validate finite
element models which many industries use to predict the residual stress
distributions in additive manufactured components.
PMID- 27877886
TI - Oleyl group-functionalized insulating gate transistors for measuring
extracellular pH of floating cells.
AB - The extracellular ionic microenvironment has a close relationship to biological
activities such as by cellular respiration, cancer development, and immune
response. A system composed of ion-sensitive field-effect transistors (ISFET),
cells, and program-controlled fluidics has enabled the acquisition of real-time
information about the integrity of the cell membrane via pH measurement. Here we
aimed to extend this system toward floating cells such as T lymphocytes for
investigating complement activation and pharmacokinetics through alternations in
the plasma membrane integrity. We functionalized the surface of tantalum oxide
gate insulator of ISFET with oleyl-tethered phosphonic acid for interacting with
the plasma membranes of floating cells without affecting the cell signaling. The
surface modification was characterized by X-ray photoelectron spectroscopy and
water contact angle measurements. The Nernst response of -37.8 mV/pH was obtained
for the surface-modified ISFET at 37 degrees C. The oleyl group-functionalized
gate insulator successfully captured Jurkat T cells in a fluidic condition
without acute cytotoxicity. The system was able to record the time course of pH
changes at the cells/ISFET interface during the process of instant addition and
withdrawal of ammonium chloride. Further, the plasma membrane injury of floating
cells after exposure by detergent TritonTM X-100 was successfully determined
using the modified ISFET with enhanced sensitivity as compared with conventional
hemolysis assays.
PMID- 27877887
TI - In vitro biomechanical properties, fluorescence imaging, surface-enhanced Raman
spectroscopy, and photothermal therapy evaluation of luminescent functionalized
CaMoO4:Eu@Au hybrid nanorods on human lung adenocarcinoma epithelial cells.
AB - Highly dispersible Eu3+-doped CaMoO4@Au-nanorod hybrid nanoparticles (HNPs)
exhibit optical properties, such as plasmon resonances in the near-infrared
region at 790 nm and luminescence at 615 nm, offering multimodal capabilities:
fluorescence imaging, surface-enhanced Raman spectroscopy (SERS) detection and
photothermal therapy (PTT). HNPs were conjugated with a Raman reporter (4
mercaptobenzoic acid), showing a desired SERS signal (enhancement factor 5.0 *
105). The HNPs have a heat conversion efficiency of 25.6%, and a hyperthermia
temperature of 42 degrees C could be achieved by adjusting either concentration
of HNPs, or laser power, or irradiation time. HNPs were modified with antibody
specific to cancer biomarker epidermal growth factor receptor, then applied to
human lung cancer (A549) and mouse hepatocyte cells (AML12), and in vitro PTT
effect was studied. In addition, the biomechanical properties of A549 cells were
quantified using atomic force microscopy. This study shows the potential
applications of these HNPs in fluorescence imaging, SERS detection, and PTT with
good photostability and biocompatibility.
PMID- 27877888
TI - Lysosomal pH-inducible supramolecular dissociation of polyrotaxanes possessing
acid-labile N-triphenylmethyl end groups and their therapeutic potential for
Niemann-Pick type C disease.
AB - Niemann-Pick type C (NPC) disease is characterized by the accumulation of
cholesterol in lysosomes. We have previously reported that biocleavable
polyrotaxanes (PRXs) composed of beta-cyclodextrins (beta-CDs) threaded onto a
linear polymer capped with bulky stopper molecules via intracellularly cleavable
linkers show remarkable cholesterol reducing effects in NPC disease patient
derived fibroblasts owing to the stimuli-responsive intracellular dissociation of
PRXs and subsequent beta-CD release from the PRXs. Herein, we describe a series
of novel acid-labile 2-(2-hydroxyethoxy)ethyl group-modified PRXs (HEE-PRXs)
bearing terminal N-triphenylmethyl (N-Trt) groups as a cleavable component for
the treatment of NPC disease. The N-Trt end groups of the HEE-PRXs underwent
acidic pH-induced cleavage and led to the dissociation of their supramolecular
structure. A kinetic study revealed that the number of HEE groups on the PRX did
not affect the cleavage kinetics of the N-Trt end groups of the HEE-PRXs. The
effect of the number of HEE groups of the HEE-PRXs, which was modified to impart
water solubility to the PRXs, on cellular internalization efficiency, lysosomal
localization efficiency, and cholesterol reduction ability in NPC disease-derived
fibroblasts (NPC1 fibroblasts) was also investigated. The cellular uptake and
lysosomal localization efficiency were almost equivalent for HEE-PRXs with
different numbers of HEE groups. However, the cholesterol reducing ability of the
HEE-PRXs in NPC1 fibroblasts was affected by the number of HEE groups, and HEE
PRXs with a high number of HEE groups were unable to reduce lysosomal cholesterol
accumulation. This deficiency is most likely due to the cholesterol-solubilizing
ability of HEE-modified beta-CDs released from the HEE-PRXs. We conclude that the
N-Trt group acts as a cleavable component to induce the lysosomal dissociation of
HEE-PRXs, and acid-labile HEE-PRXs with an optimal number of HEE groups (4.1 to
5.4 HEE groups per single beta-CD threaded onto the PRX) have great therapeutic
potential for treating NPC disease.
PMID- 27877889
TI - Facile synthesis of reduced graphene oxide-gold nanohybrid for potential use in
industrial waste-water treatment.
AB - Here, we report a facile approach, by the photochemical reduction technique, for
in situ synthesis of Au-reduced graphene oxide (Au-RGO) nanohybrids, which
demonstrate excellent adsorption capacities and recyclability for a broad range
of dyes. High-resolution transmission electron microscopy (HRTEM), X-ray
diffraction (XRD), and X-ray photoelectron spectroscopy (XPS) data confirm the
successful synthesis of Au-RGO nanohybrids. The effect of several experimental
parameters (temperature and pH) variation can effectively control the dye
adsorption capability. Furthermore, kinetic adsorption data reveal that the
adsorption process follows a pseudo second-order model. The negative value of
Gibbs free energy (DeltaG0) confirms spontaneity while the positive enthalpy
(DeltaH0) indicates the endothermic nature of the adsorption process. Picosecond
resolved fluorescence technique unravels the excited state dynamical processes of
dye molecules adsorbed on the Au-RGO surface. Time resolved fluorescence
quenching of Rh123 after adsorption on Au-RGO nanohybrids indicates efficient
energy transfer from Rh123 to Au nanoparticles. A prototype device has been
fabricated using Au-RGO nanohybrids on a syringe filter (pore size: 0.220 MUm)
and the experimental data indicate efficient removal of dyes from waste water
with high recyclability. The application of this nanohybrid may lead to the
development of an efficient reusable adsorbent in portable water purification.
PMID- 27877890
TI - X-ray computed tomography imaging of a tumor with high sensitivity using gold
nanoparticles conjugated to a cancer-specific antibody via polyethylene glycol
chains on their surface.
AB - Contrast agents are often used to enhance the contrast of X-ray computed
tomography (CT) imaging of tumors to improve diagnostic accuracy. However,
because the iodine-based contrast agents currently used in hospitals are of low
molecular weight, the agent is rapidly excreted from the kidney or moves to
extravascular tissues through the capillary vessels, depending on its
concentration gradient. This leads to nonspecific enhancement of contrast images
for tissues. Here, we created gold (Au) nanoparticles as a new contrast agent to
specifically image tumors with CT using an enhanced permeability and retention
(EPR) effect. Au has a higher X-ray absorption coefficient than does iodine. Au
nanoparticles were supported with polyethylene glycol (PEG) chains on their
surface to increase the blood retention and were conjugated with a cancer
specific antibody via terminal PEG chains. The developed Au nanoparticles were
injected into tumor-bearing mice, and the distribution of Au was examined with CT
imaging, transmission electron microscopy, and elemental analysis using
inductively coupled plasma optical emission spectrometry. The results show that
specific localization of the developed Au nanoparticles in the tumor is affected
by a slight difference in particle size and enhanced by the conjugation of a
specific antibody against the tumor.
PMID- 27877891
TI - Bloch surface wave structures for high sensitivity detection and compact
waveguiding.
AB - Resonant propagating waves created on the surface of a dielectric multilayer
stack, called Bloch surface waves (BSW), can be designed for high sensitivity
monitoring of the adjacent refractive index as an alternative platform to the
metal-based surface plasmon resonance (SPR) sensing. The resonant wavelength and
polarization can be designed by engineering of the dielectric layers unlike the
fixed resonance of SPR, while the wide bandwidth low loss of dielectrics permits
sharper resonances, longer propagation lengths and thus their use in waveguiding
devices. The transparency of the dielectrics allows the excitation and monitoring
of surface-bound fluorescent molecules. We review the recent developments in this
technology. We show the advantages that can be obtained by using high index
contrast layered structures. Operating at 1550 nm wavelengths will allow the BSW
sensors to be implemented in the silicon photonics platform where active
waveguiding can be used in the realization of compact planar integrated circuits
for multi-parameter sensing.
PMID- 27877892
TI - Towards a metadata scheme for the description of materials - the description of
microstructures.
AB - The property of any material is essentially determined by its microstructure.
Numerical models are increasingly the focus of modern engineering as helpful
tools for tailoring and optimization of custom-designed microstructures by
suitable processing and alloy design. A huge variety of software tools is
available to predict various microstructural aspects for different materials. In
the general frame of an integrated computational materials engineering (ICME)
approach, these microstructure models provide the link between models operating
at the atomistic or electronic scales, and models operating on the macroscopic
scale of the component and its processing. In view of an improved
interoperability of all these different tools it is highly desirable to establish
a standardized nomenclature and methodology for the exchange of microstructure
data. The scope of this article is to provide a comprehensive system of metadata
descriptors for the description of a 3D microstructure. The presented descriptors
are limited to a mere geometric description of a static microstructure and have
to be complemented by further descriptors, e.g. for properties, numerical
representations, kinetic data, and others in the future. Further attributes to
each descriptor, e.g. on data origin, data uncertainty, and data validity range
are being defined in ongoing work. The proposed descriptors are intended to be
independent of any specific numerical representation. The descriptors defined in
this article may serve as a first basis for standardization and will simplify the
data exchange between different numerical models, as well as promote the
integration of experimental data into numerical models of microstructures. An
HDF5 template data file for a simple, three phase Al-Cu microstructure being
based on the defined descriptors complements this article.
PMID- 27877893
TI - Latent pH-responsive ratiometric fluorescent cluster based on self-assembled
photoactivated SNARF derivatives.
AB - We have developed a self-assembled fluorescent cluster comprising a
seminaphthorhodafluor (SNARF) derivative protected by a photoremovable o
nitrobenzyl group. Prior to UV irradiation, a colorless and nonfluorescent
cluster was spontaneously assembled in aqueous solution. After UV irradiation,
the self-assembled cluster remained intact and showed a large enhancement in pH
responsive fluorescence. The unique pH responsive fluorescent cluster could be
used as a dual-emissive ratiometric fluorescent pH probe not only in the test
tube but also in HeLa cell cultures.
PMID- 27877894
TI - Cooperative enhancement of deoxyribozyme activity by chemical modification and
added cationic copolymer.
AB - Deoxyribozymes (DNAzymes) having RNA-cleaving activity have widely been explored
as tools for therapeutic and diagnostic purposes. Both the chemical cleaving step
and the turnover step should be improved for enhancing overall activity of
DNAzymes. We have shown that cationic copolymer enhanced DNAzyme activity by
increasing turnover efficacy. In this paper, effects of the copolymer on DNAzymes
modified with locked nucleic acids (LNA) or 2'-O-methylated (2'-OMe) nucleic
acids were studied. The copolymer increased activity of these chemically modified
DNAzymes. More than 30-fold enhancement in multiple-turnover catalytic activity
was observed with 2'-OMe-modified DNAzyme in the presence of the copolymer.
DNAzyme catalytic activity was successfully enhanced by cooperation of the added
copolymer and chemical modification of DNAzyme.
PMID- 27877896
TI - Magnetization reversal and microstructure in polycrystalline Fe50Pd50 dot arrays
by self-assembling of polystyrene nanospheres.
AB - Nanoscale magnetic materials are the basis of emerging technologies to develop
novel magnetoelectronic devices. Self-assembly of polystyrene nanospheres is here
used to generate 2D hexagonal dot arrays on Fe50Pd50 thin films. This simple
technique allows a wide-area patterning of a magnetic thin film. The role of
disorder on functional magnetic properties with respect to conventional
lithographic techniques is studied. Structural and magnetic characteristics have
been investigated in arrays having different geometry (i.e. dot diameters, inter
dot distances and thickness). The interplay among microstructure and
magnetization reversal is discussed. Magnetic measurements reveal a vortex domain
configuration in all as-prepared films. The original domain structure changes
drastically upon thermal annealing performed to promote the transformation of
disordered A1 phase into the ordered, tetragonal L10 phase. First-order reversal
magnetization curves have been measured to rule out the role of magnetic
interaction among crystalline phases characterized by different magnetic
coercivity.
PMID- 27877895
TI - Visible tunable lighting system based on polymer composites embedding ZnO and
metallic clusters: from colloids to thin films.
AB - The development of phosphor devices free of heavy metal or rare earth elements is
an important issue for environmental reasons and energy efficiency. Different
mixtures of ZnO nanocrystals with Cs2Mo6I8(OOC2F5)6 cluster compound (CMIF)
dispersed into polyvinylpyrrolidone matrix have been prepared by very simple and
low cost solution chemistry. The resulting solutions have been used to fabricate
highly transparent and luminescent films by dip coating free of heavy metal or
rare earth elements. The luminescence properties of solution and dip-coated films
were investigated. The luminescence of such a system is strongly dependent on the
ratios between ZnO and CMIF amounts, the excitation wavelength and the nature of
the system. By varying these two parameters (ratio and wavelength), a large
variety of colors, from blue to red as well as white, can be achieved. In
addition, differences in the luminescence properties have been observed between
solutions and thin films as well as changes of CMIF emission band maximum
wavelength. This may suggest some possible interactions between the different
luminophore centers, such as energy transfer or ligands exchange on the Mo6
clusters.
PMID- 27877897
TI - Quantitative evaluation of malignant gliomas damage induced by photoactivation of
IR700 dye.
AB - The processes involved in malignant gliomas damage were quantitatively evaluated
by microscopy. The near-infrared fluorescent dye IR700 that is conjugated to an
anti-CD133 antibody (IR700-CD133) specifically targets malignant gliomas (U87MG)
and stem cells (BT142) and is endocytosed into the cells. The gliomas are then
photodamaged by the release of reactive oxygen species (ROS) and the heat induced
by illumination of IR700 by a red laser, and the motility of the vesicles within
these cells is altered as a result of cellular damage. To investigate these
changes in motility, we developed a new method that measures fluctuations in the
intensity of phase-contrast images obtained from small areas within cells. The
intensity fluctuation in U87MG cells gradually decreased as cell damage
progressed, whereas the fluctuation in BT142 cells increased. The endocytosed
IR700 dye was co-localized in acidic organelles such as endosomes and lysosomes.
The pH in U87MG cells, as monitored by a pH indicator, was decreased and then
gradually increased by the illumination of IR700, while the pH in BT142 cells
increased monotonically. In these experiments, the processes of cell damage were
quantitatively evaluated according to the motility of vesicles and changes in pH.
PMID- 27877898
TI - Nanoporous carbon materials with enhanced supercapacitance performance and non
aromatic chemical sensing with C1/C2 alcohol discrimination.
AB - We have investigated the textural properties, electrochemical supercapacitances
and vapor sensing performances of bamboo-derived nanoporous carbon materials
(NCM). Bamboo, an abundant natural biomaterial, was chemically activated with
phosphoric acid at 400 degrees C and the effect of impregnation ratio of
phosphoric acid on the textural properties and electrochemical performances was
systematically investigated. Fourier transform-infrared (FTIR) spectroscopy
confirmed the presence of various oxygen-containing surface functional groups
(i.e. carboxyl, carboxylate, carbonyl and phenolic groups) in NCM. The prepared
NCM are amorphous in nature and contain hierarchical micropores and mesopores.
Surface areas and pore volumes were found in the range 218-1431 m2 g-1 and 0.26
1.26 cm3 g-1, respectively, and could be controlled by adjusting the impregnation
ratio of phosphoric acid and bamboo cane powder. NCM exhibited electrical double
layer supercapacitor behavior giving a high specific capacitance of c.256 F g-1
at a scan rate of 5 mV s-1 together with high cyclic stability with capacitance
retention of about 92.6% after 1000 cycles. Furthermore, NCM exhibited excellent
vapor sensing performance with high sensitivity for non-aromatic chemicals such
as acetic acid. The system would be useful to discriminate C1 and C2 alcohol
(methanol and ethanol).
PMID- 27877900
TI - Plastic deformation of directionally solidified ingots of binary and some ternary
MoSi2/Mo5Si3 eutectic composites.
AB - The high-temperature mechanical properties of directionally solidified (DS)
ingots of binary and some ternary MoSi2/Mo5Si3 eutectic composites with a script
lamellar structure have been investigated as a function of loading axis
orientation and growth rate in a temperature range from 900 to 1500 degrees C.
These DS ingots are plastically deformed above 1000 and 1100 degrees C when the
compression axis orientations are parallel to [1[Formula: see text]0]MoSi2
(nearly parallel to the growth direction) and [001]MoSi2, respectively.
[1[Formula: see text]0]MoSi2-oriented DS eutectic composites are strengthened so
much by forming a script lamellar microstructure and they exhibit yield stress
values several times higher than those of MoSi2 single crystals of the
corresponding orientation. The yield stress values increase with the decrease in
the average thickness of MoSi2 phase in the script lamellar structure, indicating
that microstructure refinement is effective in obtaining better high-temperature
strength of these DS eutectic composites. Among the four ternary alloying
elements tested (V, Nb, Ta and W), Ta is found to be the most effective in
obtaining higher yield strength at 1400 degrees C.
PMID- 27877899
TI - Carbon nanotube based transparent conductive films: progress, challenges, and
perspectives.
AB - Developments in the manufacturing technology of low-cost, high-quality carbon
nanotubes (CNTs) are leading to increased industrial applications for this
remarkable material. One of the most promising applications, CNT based
transparent conductive films (TCFs), are an alternative technology in future
electronics to replace traditional TCFs, which use indium tin oxide. Despite
significant price competition among various TCFs, CNT-based TCFs have good
potential for use in emerging flexible, stretchable and wearable optoelectronics.
In this review, we summarize the recent progress in the fabrication, properties,
stability and applications of CNT-based TCFs. The challenges of current CNT-based
TCFs for industrial use, in comparison with other TCFs, are considered. We also
discuss the potential of CNT-based TCFs, and give some possible strategies to
reduce the production cost and improve their conductivity and transparency.
PMID- 27877901
TI - Transfer-printing of active layers to achieve high quality interfaces in
sequentially deposited multilayer inverted polymer solar cells fabricated in air.
AB - Polymer solar cells (PSCs) are greatly influenced by both the vertical
concentration gradient in the active layer and the quality of the various
interfaces. To achieve vertical concentration gradients in inverted PSCs, a
sequential deposition approach is necessary. However, a direct approach to
sequential deposition by spin-coating results in partial dissolution of the
underlying layers which decreases the control over the process and results in not
well-defined interfaces. Here, we demonstrate that by using a transfer-printing
process based on polydimethylsiloxane (PDMS) stamps we can obtain increased
control over the thickness of the various layers while at the same time
increasing the quality of the interfaces and the overall concentration gradient
within the active layer of PSCs prepared in air. To optimize the process and
understand the influence of various interlayers, our approach is based on surface
free energy, spreading parameters and work of adhesion calculations. The key
parameter presented here is the insertion of high quality hole transporting and
electron transporting layers, respectively above and underneath the active layer
of the inverted structure PSC which not only facilitates the transfer process but
also induces the adequate vertical concentration gradient in the device to
facilitate charge extraction. The resulting non-encapsulated devices (active
layer prepared in air) demonstrate over 40% increase in power conversion
efficiency with respect to the reference spin-coated inverted PSCs.
PMID- 27877902
TI - Grafting the surface of carbon nanotubes and carbon black with the chemical
properties of hyperbranched polyamines.
AB - Controlling the chemistry on the surface of new carbon materials is a key factor
to widen the range of their applicability. In this paper we show a grafting
methodology of polyalkylamines to the surface of carbon nanomaterials, in
particular, carbon nanotubes and a carbon black. The aim of this work is to reach
large degrees of covalent functionalization with hyperbranched polyethyleneimines
(HBPEIs) and to efficiently preserve the strong chelating properties of the
HBPEIs when they are fixed to the surface of these carbon materials. This
functionalization opens new possibilities of using these carbon nanotubes-based
hybrids. The results show that the HBPEIs are covalently attached to the carbon
materials, forming hybrids. These hybrids emerge from the reaction of amine
functions of the HBPEIs with carbonyls and carboxylic anhydrides of the carbon
surface which become imine and imide bonds. Thus, due to the nature of these
bonds, the pre-oxidized samples with relevant number of C=O groups showed an
increase in the degree of functionalization with the HBPEIs. Furthermore, both
the acid-base properties and the coordination capacity for metal ions of the
hybrids are equivalent to that of the free HBPEIs in solution. This means that
the chemical characteristics of the HBPEIs have been efficiently transferred to
the hybrids. To reach this conclusion we have developed a novel procedure to
assess the acid-base and the coordination properties of the hybrids (solids) by
means of potentiometric titration. The good agreement of the values obtained for
the hybrids and for the free HBPEIs in aqueous solution supports the reliability
of the procedure. Moreover, the high capacity of the hybrids to capture Ni2+ by
complexation opens new possibilities of using these hybrids to capture high-value
metal ions such as Pd2+ and Pt2+.
PMID- 27877903
TI - The novel functional nucleic acid iRed effectively regulates target genes
following cytoplasmic delivery by faint electric treatment.
AB - An intelligent shRNA expression device (iRed) contains the minimum essential
components needed for shRNA production in cells, and could be a novel tool to
regulate target genes. However, general delivery carriers consisting of cationic
polymers/lipids could impede function of a newly generated shRNA via
electrostatic interaction in the cytoplasm. Recently, we found that faint
electric treatment (fET) of cells enhanced delivery of siRNA and functional
nucleic acids into the cytoplasm in the absence of delivery carriers. Here, we
examined fET of cells stably expressing luciferase in the presence of iRed
encoding anti-luciferase shRNA. Transfection of lipofectamine 2000 (LFN)/iRed
lipoplexes showed an RNAi effect, but fET-mediated iRed transfection did not,
likely because of the endosomal localization of iRed after delivery. However, fET
in the presence of lysosomotropic agent chloroquine significantly improved the
RNAi effect of iRed/fET to levels that were higher than those for the LFN/iRed
lipoplexes. Furthermore, the amount of lipid droplets in adipocytes significantly
decreased following fET with iRed against resistin in the presence of
chloroquine. Thus, iRed could be a useful tool to regulate target genes following
fET-mediated cytoplasmic delivery with endosomal escape devices.
PMID- 27877904
TI - 2D and 3D photonic crystal materials for photocatalysis and electrochemical
energy storage and conversion.
AB - This perspective reviews recent advances in inverse opal structures, how they
have been developed, studied and applied as catalysts, catalyst support
materials, as electrode materials for batteries, water splitting applications,
solar-to-fuel conversion and electrochromics, and finally as photonic
photocatalysts and photoelectrocatalysts. Throughout, we detail some of the
salient optical characteristics that underpin recent results and form the basis
for light-matter interactions that span electrochemical energy conversion systems
as well as photocatalytic systems. Strategies for using 2D as well as 3D
structures, ordered macroporous materials such as inverse opals are summarized
and recent work on plasmonic-photonic coupling in metal nanoparticle-infiltrated
wide band gap inverse opals for enhanced photoelectrochemistry are provided.
PMID- 27877905
TI - Recent progress in the structure control of Pd-Ru bimetallic nanomaterials.
AB - Pd and Ru are two key elements of the platinum-group metals that are invaluable
to areas such as catalysis and energy storage/transfer. To maximize the potential
of the Pd and Ru elements, significant effort has been devoted to synthesizing Pd
Ru bimetallic materials. However, most of the reports dealing with this subject
describe phase-separated structures such as near-surface alloys and physical
mixtures of monometallic nanoparticles (NPs). Pd-Ru alloys with homogenous
structure and arbitrary metallic ratio are highly desired for basic scientific
research and commercial material design. In the past several years, with the
development of nanoscience, Pd-Ru bimetallic alloys with different architectures
including heterostructure, core-shell structure and solid-solution alloy were
successfully synthesized. In particular, we have now reached the stage of being
able to obtain Pd-Ru solid-solution alloy NPs over the whole composition range.
These Pd-Ru bimetallic alloys are better catalysts than their parent metal NPs in
many catalytic reactions, because the electronic structures of Pd and Ru are
modified by alloying. In this review, we describe the recent development in the
structure control of Pd-Ru bimetallic nanomaterials. Aiming for a better
understanding of the synthesis strategies, some fundamental details including
fabrication methods and formation mechanisms are discussed. We stress that the
modification of electronic structure, originating from different nanoscale
geometry and chemical composition, profoundly affects material properties.
Finally, we discuss open issues in this field.
PMID- 27877906
TI - Structural behavior of laser-irradiated gamma-Fe2O3 nanocrystals dispersed in
porous silica matrix : gamma-Fe2O3 to alpha-Fe2O3 phase transition and formation
of epsilon-Fe2O3.
AB - The effects of laser irradiation on gamma-Fe2O3 4 +/- 1 nm diameter maghemite
nanocrystals synthesized by co-precipitation and dispersed into an amorphous
silica matrix by sol-gel methods have been investigated as function of iron oxide
mass fraction. The structural properties of gamma-Fe2O3 phase were carefully
examined by X-ray diffraction and transmission electron microscopy. It has been
shown that gamma-Fe2O3 nanocrystals are isolated from each other and uniformly
dispersed in silica matrix. The phase stability of maghemite nanocrystals was
examined in situ under laser irradiation by Raman spectroscopy and compared with
that resulting from heat treatment by X-ray diffraction. It was concluded that
epsilon-Fe2O3 is an intermediate phase between gamma-Fe2O3 and alpha-Fe2O3 and a
series of distinct Raman vibrational bands were identified with the epsilon-Fe2O3
phase. The structural transformation of gamma-Fe2O3 into alpha-Fe2O3 occurs
either directly or via epsilon-Fe2O3, depending on the rate of nanocrystal
agglomeration, the concentration of iron oxide in the nanocomposite and the
properties of silica matrix. A phase diagram is established as a function of
laser power density and concentration.
PMID- 27877907
TI - Studying the electronic and phononic structure of penta-graphane.
AB - In this paper, we theoretically consider a two dimensional nanomaterial which is
a form of hydrogenated penta-graphene; we call it penta-graphane. This structure
is obtained by adding hydrogen atoms to the sp2 bonded carbon atoms of penta
graphene. We investigate the thermodynamic and mechanical stability of penta
graphane. We also study the electronic and phononic structure of penta-graphane.
Firstly, we use density functional theory with the revised Perdew-Burke-Ernzerhof
approximation to compute the band structure. Then one-shot GW (G0W0) approach for
estimating accurate band gap is applied. The indirect band gap of penta-graphane
is 5.78 eV, which is close to the band gap of diamond. Therefore, this new
structure is a good electrical insulator. We also investigate the structural
stability of penta-graphane by computing the phonon structure. Finally, we
calculate its specific heat capacity from the phonon density of states. Penta
graphane has a high specific heat capacity, and can potentially be used for
storing and transferring energy.
PMID- 27877908
TI - An immuno-wall microdevice exhibits rapid and sensitive detection of IDH1-R132H
mutation specific to grade II and III gliomas.
AB - World Health Organization grade II and III gliomas most frequently occur in the
central nervous system (CNS) in adults. Gliomas are not circumscribed; tumor
edges are irregular and consist of tumor cells, normal brain tissue, and
hyperplastic reactive glial cells. Therefore, the tumors are not fully
resectable, resulting in recurrence, malignant progression, and eventual death.
Approximately 69-80% of grade II and III gliomas harbor mutations in the
isocitrate dehydrogenase 1 gene (IDH1), of which 83-90% are found to be the IDH1
R132H mutation. Detection of the IDH1-R132H mutation should help in the
differential diagnosis of grade II and III gliomas from other types of CNS tumors
and help determine the boundary between the tumor and normal brain tissue. In
this study, we established a highly sensitive antibody-based device, referred to
as the immuno-wall, to detect the IDH1-R132H mutation in gliomas. The immuno-wall
causes an immunoreaction in microchannels fabricated using a photo-polymerizing
polymer. This microdevice enables the analysis of the IDH1 status with a small
sample within 15 min with substantially high sensitivity. Our results suggested
that 10% content of the IDH1-R132H mutation in a sample of 0.33 MUl volume, with
500 ng protein, or from 500 cells is theoretically sufficient for the analysis.
The immuno-wall device will enable the rapid and highly sensitive detection of
the IDH1-R132H mutation in routine clinical practice.
PMID- 27877910
TI - Identifying DNA methylation in a nanochannel.
AB - DNA methylation is a stable epigenetic modification, which is well known to be
involved in gene expression regulation. In general, however, analyzing DNA
methylation requires rather time consuming processes (24-96 h) via DNA
replication and protein modification. Here we demonstrate a methodology to
analyze DNA methylation at a single DNA molecule level without any protein
modifications by measuring the contracted length and relaxation time of DNA
within a nanochannel. Our methodology is based on the fact that methylation makes
DNA molecules stiffer, resulting in a longer contracted length and a longer
relaxation time (a slower contraction rate). The present methodology offers a
promising way to identify DNA methylation without any protein modification at a
single DNA molecule level within 2 h.
PMID- 27877909
TI - Chitosan nanocomposites based on distinct inorganic fillers for biomedical
applications.
AB - Chitosan (CHI), a biocompatible and biodegradable polysaccharide with the ability
to provide a non-protein matrix for tissue growth, is considered to be an ideal
material in the biomedical field. However, the lack of good mechanical properties
limits its applications. In order to overcome this drawback, CHI has been
combined with different polymers and fillers, leading to a variety of chitosan
based nanocomposites. The extensive research on CHI nanocomposites as well as
their main biomedical applications are reviewed in this paper. An overview of the
different fillers and assembly techniques available to produce CHI nanocomposites
is presented. Finally, the properties of such nanocomposites are discussed with
particular focus on bone regeneration, drug delivery, wound healing and
biosensing applications.
PMID- 27877911
TI - A perspective on the recent progress in solution-processed methods for highly
efficient perovskite solar cells.
AB - Perovskite solar cells (PSCs) were developed in 2009 and have led to a number of
significant improvements in clean energy technology. The power conversion
efficiency (PCE) of PSCs has increased exponentially and currently stands at 22%.
PSCs are transforming photovoltaic (PV) technology, outpacing many established PV
technologies through their versatility and roll-to-roll manufacturing
compatibility. The viability of low-temperature and solution-processed
manufacturing has further improved their viability. This article provides a brief
overview of the stoichiometry of perovskite materials, the engineering behind
various modes of manufacturing by solution processing methods, and
recommendations for future research to achieve large-scale manufacturing of high
efficiency PSCs.
PMID- 27877912
TI - Photocatalytic activities of coke carbon/g-C3N4 and Bi metal/Bi mixed oxides/g
C3N4 nanohybrids for the degradation of pollutants in wastewater.
AB - Different g-C3N4 composite systems (coke carbon/g-C3N4, Bi/Bi2WO6/g-C3N4 and
Bi/Bi2MoO6/g-C3N4) have been assessed as photocatalysts for wastewater pollutants
removal. The coke carbon/g-C3N4 hybrid, produced by thermal treatment at 550
degrees C of a composite made from melamine cyanurate and coke, only showed
activity under UV-light irradiation. On the other hand, inorganic Bi spheres/Bi
mixed oxides/g-C3N4 nanohybrids (Bi/Bi2WO6/g-C3N4 and Bi/Bi2MoO6/g-C3N4
composites), produced by thermal reduction of Bi2WO6 or Bi2MoO6 by g-C3N4,
exhibited a remarkable red-shift, up to 620 nm, and allowed the visible-light
driven degradation of the contaminant, albeit in combination with some
adsorption.
PMID- 27877913
TI - Low doses of TiO2-polyethylene glycol nanoparticles stimulate proliferation of
hepatocyte cells.
AB - This paper describes the effect of low concentrations of 100 nm polyethylene
glycol-modified TiO2 nanoparticles (TiO2-PEG NPs) on HepG2 hepatocellular
carcinoma cells. Proliferation of HepG2 cells increased significantly when the
cells were exposed to low doses (<100 MUg ml-1) of TiO2-PEG NPs. These results
were further confirmed by cell counting experiments and cell cycle assays.
Cellular uptake assays were performed to determine why HepG2 cells proliferate
with low-dose exposure to TiO2-PEG NPs. The results showed that exposure to lower
doses of NPs led to less cellular uptake, which in turn decreased cytotoxicity.
We therefore hypothesized that TiO2-PEG NPs could affect the activity of
hepatocyte growth factor receptors (HGFRs), which bind to hepatocyte growth
factor and stimulate cell proliferation. The localization of HGFRs on the surface
of the cell membrane was detected via immunofluorescence staining and confocal
microscopy. The results showed that HGFRs aggregate after exposure to TiO2-PEG
NPs. In conclusion, our results indicate that TiO2-PEG NPs have the potential to
promote proliferation of HepG2 cells through HGFR aggregation and suggest that
NPs not only exhibit cytotoxicity but also affect cellular responses.
PMID- 27877914
TI - Interaction of poly(ethylene glycol)-conjugated phospholipids with supported
lipid membranes and their influence on protein adsorption.
AB - We studied real-time interaction between poly(ethylene glycol)-conjugated
phospholipids (PEG-lipids) and a supported lipid membrane by surface plasmon
resonance (SPR) spectroscopy to understand dynamic behaviors of PEG-lipids on
living cell membranes. Supported lipid membranes formed on a hydrophobic surface
were employed as a model of living cell membrane. We prepared three kinds of PEG
lipids that carried alkyl chains of different lengths for SPR measurements and
also performed fluorescence recovery after photobleaching (FRAP) to study the
influence of acyl chain length on dynamics on the supported membrane. PEG-lipids
were uniformly anchored to lipid membranes with high fluidity without clustering.
Incorporation and dissociation rates of PEG-lipids into supported membranes
strongly depended on the length of acyl chains; longer acyl chains reduced the
incorporation rate and the dissociation rate of PEG-lipid. Furthermore, protein
adsorption experiment with bovine serum albumin indicated that PEG modification
prevented the adsorption of bovine serum albumin on such supported membrane.
PMID- 27877915
TI - Size control and vacuum-ultraviolet fluorescence of nanosized KMgF3 single
crystals prepared using femtosecond laser pulses.
AB - We fabricated nanosized KMgF3 single crystals via a dry pulsed laser ablation
process using femtosecond laser pulses. The sizes, shapes, and crystallographic
properties of the crystals were evaluated by transmission electron microscopy
(TEM). Almost all of the particles were spherical with diameters of less than 100
nm, and they were not highly agglomerated. Selected-area electron diffraction and
high-resolution TEM analyses showed that the particles were single crystals.
Particle diameter was controlled within a wide range by adjusting the Ar ambient
gas pressure. Under low gas pressures (1 and 10 Pa), relatively small particles
(primarily 10 nm or less) were observed with a high number density. With
increasing pressure, the mean diameter increased and the number density
drastically decreased. Vacuum-ultraviolet cathodoluminescence was observed at 140
230 nm with blue shift and broadening of spectrum.
PMID- 27877917
TI - A new start: towards a leading open access journal in materials science.
PMID- 27877918
TI - Focus on Recent Breakthroughs in Materials Science and Technology.
PMID- 27877916
TI - Study of local intracellular signals regulating axonal morphogenesis using a
microfluidic device.
AB - The establishment and maintenance of axonal patterning is crucial for neuronal
function. To identify the molecular systems that operate locally to control
axonal structure, it is important to manipulate molecular functions in restricted
subcellular areas for a long period of time. Microfluidic devices can be powerful
tools for such purposes. In this study, we demonstrate the application of a
microfluidic device to clarify the function of local Ca2+ signals in axons.
Membrane depolarization significantly induced axonal branch-extension in cultured
cerebellar granule neurons (CGNs). Local application of nifedipine using a
polydimethylsiloxane (PDMS)-based microfluidic device demonstrated that Ca2+
entry from the axonal region via L-type voltage-dependent calcium channels (L
VDCC) is required for branch extension. Furthermore, we developed a method for
locally controlling protein levels by combining genetic techniques and use of a
microfluidic culture system. A vector for enhanced green fluorescent protein
(EGFP) fused to a destabilizing domain derived from E. coli dihydrofolate
reductase (ecDHFR) is introduced in neurons by electroporation. By local
application of the DHFR ligand, trimethoprim (TMP) using a microfluidic device,
we were able to manipulate differentially the level of fusion protein between
axons and somatodendrites. The present study revealed the effectiveness of
microfluidic devices to address fundamental biological issues at subcellular
levels, and the possibility of their development in combination with molecular
techniques.
PMID- 27877919
TI - Software package for structure analysis of quasicrystals.
AB - Recently a software package for the structure analysis of quasicrystals has been
released, giving a better environment for determining quasicrystal structures.
Therefore we can analyze their structures if we know data collection and indexing
methods and a theory of structure analysis. For the use of the package, the
structure analysis method and several techniques used in the package are shortly
reviewed. How to treat key information in the input files of the programs is
described in detail.
PMID- 27877920
TI - Precipitate design for creep strengthening of 9% Cr tempered martensitic steel
for ultra-supercritical power plants.
AB - It is crucial for the carbon concentration of 9% Cr steel to be reduced to a very
low level, so as to promote the formation of MX nitrides rich in vanadium as very
fine and thermally stable particles to enable prolonged periods of exposure at
elevated temperatures and also to eliminate Cr-rich carbides M23C6. Sub-boundary
hardening, which is inversely proportional to the width of laths and blocks, is
shown to be the most important strengthening mechanism for creep and is enhanced
by the fine dispersion of precipitates along boundaries. The suppression of
particle coarsening during creep and the maintenance of a homogeneous
distribution of M23C6 carbides near prior austenite grain boundaries, which
precipitate during tempering and are less fine, are effective for preventing the
long-term degradation of creep strength and for improving long-term creep
strength. This can be achieved by the addition of boron. The steels considered in
this paper exhibit higher creep strength at 650 degrees C than existing high
strength steels used for thick section boiler components.
PMID- 27877921
TI - Active nanocharacterization of nanofunctional materials by scanning tunneling
microscopy.
AB - Recent developments in the application of scanning tunneling microscopy (STM) to
nanofabrication and nanocharacterization are reviewed. The main focus of this
paper is to outline techniques for depositing and manipulating nanometer-scale
structures using STM tips. Firstly, the transfer of STM tip material through the
application of voltage pulses is introduced. The highly reproducible fabrication
of metallic silver nanodots and nanowires is discussed. The mechanism is thought
to be spontaneous point-contact formation caused by field-enhanced diffusion to
the apex of the tip. Transfer through the application of z-direction pulses is
also introduced. Sub-nanometer displacement pulses along the z-direction form
point contacts that can be used for reproducible nanodot deposition. Next, the
discovery of the STM structural manipulation of surface phases is discussed. It
has been demonstrated that superstructures on Si(001) surfaces can be reverse
manipulated by controlling the injected carriers. Finally, the fabrication of an
atomic-scale one-dimensional quantum confinement system by single-atom deposition
using a controlled point contact is presented. Because of its combined
nanofabrication and nanocharacterization capabilities, STM is a powerful tool for
exploring the nanotechnology and nanoscience fields.
PMID- 27877922
TI - Structural control of Fe-based alloys through diffusional solid/solid phase
transformations in a high magnetic field.
AB - A magnetic field has a remarkable influence on solid/solid phase transformations
and it can be used to control the structure and function of materials during
phase transformations. The effects of magnetic fields on diffusional solid/solid
phase transformations, mainly from austenite to ferrite, in Fe-based alloys are
reviewed. The effects of magnetic fields on the transformation temperature and
phase diagram are explained thermodynamically, and the transformation behavior
and transformed structures in magnetic fields are discussed.
PMID- 27877923
TI - Corrosion resistance of Si-Al-bearing ultrafine-grained weathering steel.
AB - In the Ultra-steel project at the National Institute for Materials Science
(NIMS), which run from 1996 to 2005, high-Si-Al-content ultrafine-grained (UFG)
weathering steel was developed by grain refinement and weathering guidance. It
was found that this steel has excellent strength, toughness and corrosion
resistance. Samples were prepared by multi pass warm rolling at temperatures
between 773 and 873 K. The grain size of steel rolled at 873 K was about 1 MU m,
and the tensile strength (TS) and elongation (EL) had excellent values of 800 MPa
and 20%, respectively. In general, steels with high Si and Al contents exhibit
inferior toughness to carbon steel (SM); however, the toughness of the developed
sample was markedly improved by grain refinement. Cyclic corrosion tests in the
presence of chloride ions confirmed that the developed steel exhibited excellent
corrosion resistance, superior to that of SM. Electron probe microanalysis (EPMA)
and transmission electron microscopy (TEM) analyses showed that Si and Al mainly
exist in the inner rust layer. Si and Al were identified as existing in the Si2 +
and Al3 + states in the nanoscale complex oxides constituting the inner rust
layer. Electrochemical impedance spectroscopy(EIS) measurement showed that the
corrosion reaction resistance (Rt) of the developed steel was much greater than
that of SM. In the developed steel, the nanoscale complex oxides were formed in
the inner rust layer, which increased Rt, and resulted in the excellent corrosion
resistance.
PMID- 27877924
TI - Phase-field modeling of microstructure evolutions in magnetic materials.
AB - Recently, the phase-field method has been extended and utilized across many
fields of materials science. Since this method can incorporate, systematically,
the effect of the coherency induced by lattice mismatch and the applied stress as
well as the external electrical and magnetic fields, it has been applied to many
material processes including solidification, solid-state phase transformations
and various types of complex microstructure changes. In this paper, we focus on
the recent phase-field simulations of real magnetic materials, and the simulation
method for magnetic materials is explained comprehensively. Several applications
of the phase-field method to clarifying the microstructure changes in magnetic
materials, such as Ni2MnGa ferromagnetic shape memory alloy, FePt nanogranular
thin film, Co-Sm-Cu rare-earth magnet, Fe-Cr-Co spinodal magnet, and Fe-C steel
with external magnetic field, are demonstrated. Furthermore, the general concept
of the effective strategy for controlling microstructure in magnetic materials is
proposed.
PMID- 27877925
TI - Advances in principal factors influencing carbon dioxide adsorption on zeolites.
AB - We report the advances in the principal structural and experimental factors that
might influence the carbon dioxide (CO2) adsorption on natural and synthetic
zeolites. The CO2 adsorption is principally govern by the inclusion of
exchangeable cations (countercations) within the cavities of zeolites, which
induce basicity and an electric field, two key parameters for CO2 adsorption.
More specifically, these two parameters vary with diverse factors including the
nature, distribution and number of exchangeable cations. The structure of
framework also determines CO2 adsorption on zeolites by influencing the basicity
and electric field in their cavities. In fact, the basicity and electric field
usually vary inversely with the Si/Al ratio. Furthermore, the CO2 adsorption
might be limited by the size of pores within zeolites and by the carbonates
formation during the CO2 chemisorption. The polarity of molecules adsorbed on
zeolites represents a very important factor that influences their interaction
with the electric field. The adsorbates that have the most great quadrupole
moment such as the CO2, might interact strongly with the electric field of
zeolites and this favors their adsorption. The pressure, temperature and presence
of water seem to be the most important experimental conditions that influence the
adsorption of CO2. The CO2 adsorption increases with the gas phase pressure and
decreases with the rise of temperature. The presence of water significantly
decreases adsorption capacity of cationic zeolites by decreasing strength and
heterogeneity of the electric field and by favoring the formation of
bicarbonates. The optimization of the zeolites structural characteristics and the
experimental conditions might enhance substantially their CO2 adsorption capacity
and thereby might give rise to the excellent adsorbents that may be used to
capturing the industrial emissions of CO2.
PMID- 27877926
TI - Icosahedral clusters, icosaheral order and stability of quasicrystals-a view of
metallurgy.
AB - We review the stability of various icosahedral quasicrystals (iQc) from a
metallurgical viewpoint. The stability of stable iQcs is well interpreted in
terms of Hume-Rothery rules, i.e. atomic size factor and valence electron
concentration, e/a. For metastable iQcs, we discuss the role of phason disorder
introduced by rapid solidification, in structural stability and its interplay
with chemical order and composition.
PMID- 27877927
TI - Highly spin-polarized materials and devices for spintronics*.
AB - The performance of spintronics depends on the spin polarization of the current.
In this study half-metallic Co-based full-Heusler alloys and a spin filtering
device (SFD) using a ferromagnetic barrier have been investigated as highly spin
polarized current sources. The multilayers were prepared by magnetron sputtering
in an ultrahigh vacuum and microfabricated using photolithography and Ar ion
etching. We investigated two systems of Co-based full-Heusler alloys, Co2Cr1 - x
Fe x Al (CCFA(x)) and Co2FeSi1 - x Al x (CFSA(x)) and revealed the structure and
magnetic and transport properties. We demonstrated giant tunnel magnetoresistance
(TMR) of up to 220% at room temperature and 390% at 5 K for the magnetic tunnel
junctions (MTJs) using Co2FeSi0.5Al0.5 (CFSA(0.5)) Heusler alloy electrodes. The
390% TMR corresponds to 0.81 spin polarization for CFSA(0.5) at 5 K. We also
investigated the crystalline structure and local structure around Co atoms by x
ray diffraction (XRD) and nuclear magnetic resonance (NMR) analyses,
respectively, for CFSA films sputtered on a Cr-buffered MgO (001) substrate
followed by post-annealing at various temperatures in an ultrahigh vacuum. The
disordered structures in CFSA films were clarified by NMR measurements and the
relationship between TMR and the disordered structure was discussed. We clarified
that the TMR of the MTJs with CFSA(0.5) electrodes depends on the structure, and
is significantly higher for L21 than B2 in the crystalline structure. The second
part of this paper is devoted to a SFD using a ferromagnetic barrier. The Co
ferrite is investigated as a ferromagnetic barrier because of its high Curie
temperature and high resistivity. We demonstrate the strong spin filtering effect
through an ultrathin insulating ferrimagnetic Co-ferrite barrier at a low
temperature. The barrier was prepared by the surface plasma oxidization of a
CoFe2 film deposited on a MgO (001) single crystal substrate, wherein the spinel
structure of CoFe2O4 (CFO) and an epitaxial relationship of MgO(001)[100]/CoFe2
(001)]110]/CFO(001)[100] were induced. A SFD consisting of CoFe2 /CFO/Ta on a MgO
(001) substrate exhibits the inverse TMR of - 124% at 10 K when the configuration
of the magnetizations of CFO and CoFe2 changes from parallel to antiparallel. The
inverse TMR suggests the negative spin polarization of CFO, which is consistent
with the band structure of CFO obtained by first principle calculation. The -
124% TMR corresponds to the spin filtering efficiency of 77% by the CFO barrier.
PMID- 27877928
TI - Rational design of new materials for spintronics: Co2FeZ (Z=Al, Ga, Si, Ge).
AB - Spintronic is a multidisciplinary field and a new research area. New materials
must be found for satisfying the different types of demands. The search for
stable half-metallic ferromagnets and ferromagnetic semiconductors with Curie
temperatures higher than room temperature is still a challenge for solid state
scientists. A general understanding of how structures are related to properties
is a necessary prerequisite for material design. Computational simulations are an
important tool for a rational design of new materials. The new developments in
this new field are reported from the point of view of material scientists. The
development of magnetic Heusler compounds specifically designed as material for
spintronic applications has made tremendous progress in the very recent past.
Heusler compounds can be made as half-metals, showing a high spin polarization of
the conduction electrons of up to 100% in magnetic tunnel junctions. High Curie
temperatures were found in Co2-based Heusler compounds with values up to 1120 K
in Co2FeSi. The latest results at the time of writing are a tunnelling magnet
resistance (TMR) device made from the Co2FeAl0.5Si0.5 Heusler compound and
working at room temperature with a (TMR) effect higher than 200%. Good interfaces
and a well-ordered compound are the precondition to realize the predicted half
metallic properties. The series Co2FeAl1- x Si x is found to exhibit half
metallic ferromagnetism over a broad range, and it is shown that electron doping
stabilizes the gap in the minority states for x=0.5. This might be a reason for
the exceptional temperature behaviour of Co2FeAl0.5Si0.5 TMR devices. Using x-ray
diffraction (XRD), it was shown conclusively that Co2FeAl crystallizes in the B2
structure whereas Co2FeSi crystallizes in the L21 structure. For the compounds
Co2FeGa or Co2FeGe, with Curie temperatures expected higher than 1000 K, the
standard XRD technique using laboratory sources cannot be used to easily
distinguish between the two structures. For this reason, the EXAFS technique was
used to elucidate the structure of these two compounds. Analysis of the data
indicated that both compounds crystallize in the L21 structure which makes these
two compounds suitable new candidates as materials in magnetic tunnel junctions.
PMID- 27877930
TI - Electrochromic materials using mechanically interlocked molecules.
AB - Recent investigations on the design and synthesis of electrochromic materials
based on switchable three-station [2]catenanes are summarized. The reasoning and
preliminary experiments behind the design of electrochemically controllable red
green-blue (RGB), donor-acceptor [2]catenanes are presented. A basis for color
generation is discussed in which the tetracationic cyclophane, cyclobis(paraquat
p-phenylene), serves as the pi-electron deficient ring which circumrotates
between three pi-electron rich recognition sites within a macrocyclic polyether,
generating the three different colors (RGB) based on the different charge
transfer interactions between the tetracationic cyclophane and recognition sites
based on 1,5-dioxynaphthalene (R), tetrathiafulvalene (G) and benzidine (B).
Issues relating to the realization of an RGB [2]catenane are raised and
discussed: they include (i) color tuning, (ii) thermodynamic considerations,
(iii) electrochemistry on model compounds, (iv) molecular design, (v) the
electrochemical behavior of three-station [2]catenanes and (vi) electrochromism
in polymer gel matrices. Finally, the challenges that need to be met in the
future if the ideal RGB catenane is to be prepared, are outlined.
PMID- 27877929
TI - Metallo-supramolecular modules as a paradigm for materials science.
AB - Metal ion coordination in discrete or extended metallo-supramolecular assemblies
offers ample opportunity to fabricate and study devices and materials that are
equally important for fundamental research and new technologies. Metal ions
embedded in a specific ligand field offer diverse thermodynamic, kinetic,
chemical, physical and structural properties that make these systems promising
candidates for active components in functional materials. A key challenge is to
improve and develop methodologies for placing these active modules in suitable
device architectures, such as thin films or mesophases. This review highlights
recent developments in extended, polymeric metallo-supramolecular systems and
discrete polyoxometalates with an emphasis on materials science.
PMID- 27877932
TI - Tunneling magnetoresistance from a symmetry filtering effect.
AB - This paper provides a brief overview of the young, but rapidly growing field of
spintronics. Its primary objective is to explain how as electrons tunnel through
simple insulators such as MgO, wavefunctions of certain symmetries are
preferentially transmitted. This symmetry filtering property can be converted
into a spin-filtering property if the insulator is joined epitaxially to a
ferromagnetic electrode with the same two-dimensional symmetry parallel to the
interface. A second requirement of the ferromagnetic electrodes is that a
wavefunction with the preferred symmetry exists in one of the two spin channels
but not in the other. These requirements are satisfied for electrons traveling
perpendicular to the interface for Fe-MgO-Fe tunnel barriers. This leads to a
large change in the resistance when the magnetic moment of one of the electrodes
is rotated relative to those of the other electrode. This large tunneling
magnetoresistance effect is being used as the read sensor in hard drives and may
form the basis for a new type of magnetic memory.
PMID- 27877931
TI - Spin current, spin accumulation and spin Hall effect.
AB - Nonlocal spin transport in nanostructured devices with ferromagnetic injector
(F1) and detector (F2) electrodes connected to a normal conductor (N) is studied.
We reveal how the spin transport depends on interface resistance, electrode
resistance, spin polarization and spin diffusion length, and obtain the
conditions for efficient spin injection, spin accumulation and spin current in
the device. It is demonstrated that the spin Hall effect is caused by spin-orbit
scattering in nonmagnetic conductors and gives rise to the conversion between
spin and charge currents in a nonlocal device. A method of evaluating spin-orbit
coupling in nonmagnetic metals is proposed.
PMID- 27877933
TI - Optics of high-performance electron microscopes.
AB - During recent years, the theory of charged particle optics together with advances
in fabrication tolerances and experimental techniques has lead to very
significant advances in high-performance electron microscopes. Here, we will
describe which theoretical tools, inventions and designs have driven this
development. We cover the basic theory of higher-order electron optics and of
image formation in electron microscopes. This leads to a description of different
methods to correct aberrations by multipole fields and to a discussion of the
most advanced design that take advantage of these techniques. The theory of
electron mirrors is developed and it is shown how this can be used to correct
aberrations and to design energy filters. Finally, different types of energy
filters are described.
PMID- 27877934
TI - Chemistry and application of flexible porous coordination polymers.
AB - Porous coordination polymers (PCPs), which are microporous materials, have been
given much attention from both scientific and commercial aspects regarding their
application to gas storage, gas separation and catalytic reaction because of the
regularity of their pore shape and pore size, accompanied with the functionality.
Moreover, in recent years, flexible PCPs, which are structurally transformable
depending upon external stimuli, have been attractive because they provide unique
properties, dissimilar to those of zeolites. In this review, the chemistry and
application of flexible crystalline PCPs are summarized and discussed.
PMID- 27877936
TI - Nanofabrication by advanced electron microscopy using intense and focused beam*.
AB - The nanogrowth and nanofabrication of solid substances using an intense and
focused electron beam are reviewed in terms of the application of scanning and
transmission electron microscopy (SEM, TEM and STEM) to control the size,
position and structure of nanomaterials. The first example discussed is the
growth of freestanding nanotrees on insulator substrates by TEM. The growth
process of the nanotrees was observed in situ and analyzed by high-resolution TEM
(HRTEM) and was mainly controlled by the intensity of the electron beam. The
second example is position- and size-controlled nanofabrication by STEM using a
focused electron beam. The diameters of the nanostructures grown ranged from 4 to
20 nm depending on the size of the electron beam. Magnetic nanostructures were
also obtained using an iron-containing precursor gas, Fe(CO)5. The freestanding
iron nanoantennas were examined by electron holography. The magnetic field was
observed to leak from the nanostructure body which appeared to act as a
'nanomagnet'. The third example described is the effect of a vacuum on the size
and growth process of fabricated nanodots containing W in an ultrahigh-vacuum
field-emission TEM (UHV-FE-TEM). The size of the dots can be controlled by
changing the dose of electrons and the partial pressure of the precursor. The
smallest particle size obtained was about 1.5 nm in diameter, which is the
smallest size reported using this method. Finally, the importance of a smaller
probe and a higher electron-beam current with atomic resolution is emphasized and
an attempt to develop an ultrahigh-vacuum spherical aberration corrected STEM (Cs
corrected STEM) at NIMS is reported.
PMID- 27877937
TI - Present status and future prospects of spherical aberration corrected TEM/STEM
for study of nanomaterials*.
AB - The present status of Cs-corrected TEM/STEM is described from the viewpoint of
the observation of nanomaterials. Characteristic features in TEM and STEM are
explained using the experimental data obtained by our group and other research
groups. Cs correction up to the 3rd-order aberration of an objective lens has
already been established and research interest is focused on correcting the 5th
order spherical aberration and the chromatic aberration in combination with the
development of a monochromator below an electron gun for smaller point-to-point
resolution in optics. Another fundamental area of interest is the limitation of
TEM and STEM resolution from the viewpoint of the scattering of electrons in
crystals. The minimum size of the exit-wave function below samples undergoing TEM
imaging is determined from the calculation of scattering around related atomic
columns in the crystals. STEM does not have this limitation because the
resolution is, in principle, determined by the probe size. One of the future
prospects of Cs-corrected TEM/STEM is the possibility of extending the space
around the sample holder by correcting the chromatic and spherical aberrations.
This wider space will contribute to the ease of performing in situ experiments
and various combinations of TEM and other analysis methods. High-resolution, in
situ dynamic and 3D observations/analysis are the most important keywords in the
next decade of high-resolution electron microscopy.
PMID- 27877938
TI - Investigation of ordering phenomenon in Me-Pt (Me=Fe,Ni) liquid alloys.
AB - The phase diagrams of Fe-Pt and Ni-Pt liquid alloy systems show the existence of
FePt and NiPt intermetallic compounds, respectively, in their solid intermediate
states, and the associative tendency between unlike atoms in these liquid alloys
has been analysed using the self-association model. The concentration dependences
of mixing properties such as the free energy of mixing, GM; the concentration
fluctuations, Scc(0), in the long-wavelength limits; the chemical short-range
order (CSRO) parameter, alpha1; as well as the chemical diffusion, enthalpy and
entropy of the mixing of Fe-Pt and Ni-Pt liquid alloys have been investigated to
determine the nature of ordering in the liquid alloys. The results show that
heterocoordination occurs in the alloys at all concentrations. The effect of CSRO
on Scc(0), chemical diffusion, D, and the order parameter, alpha1, has been
considered. The ordering phenomenon in the liquid alloys is also related to the
effect of the atomic size mismatch volume on Scc(0).
PMID- 27877939
TI - A novel method for synthesis of alpha-Si3N4 nanowires by sol-gel route.
AB - Silicon nitride (Si3 N4) nanowires have been prepared by carbothermal reduction
followed by the nitridation (CTRN) of silica gel containing ultrafine excess
carbon obtained by the decomposition of dextrose over the temperature range of
1200-1350 degrees C. This innovative process involves repeated evacuation
followed by purging of nitrogen gas so that the interconnected nanopores of the
gel are filled with nitrogen gas prior to heat treatment. During heat treatment
at higher temperatures, the presence of nitrogen gas in the nanopores of the gel
starts the CTRN reaction simultaneously throughout the bulk of the gel, leading
to the formation of Si3 N4 nanowires. The in situ generated ultrafine carbon
obtained by the decomposition of dextrose decreases the partial pressure of
oxygen in the system to stabilize the nanowires. The nanowires synthesized by
this process are of ~500 nm diameter and ~0.2 mm length. The product was
characterized by scanning electron microscope (SEM), energy dispersive x-ray
analysis (EDX), x-ray diffraction (XRD) and infrared (IR) spectra.
PMID- 27877940
TI - Modeling the dependence of strength on grain sizes in nanocrystalline materials.
AB - A model was developed to describe the grain size dependence of hardness (or
strength) in nanocrystalline materials by combining the Hall-Petch relationship
for larger grains with a coherent polycrystal model for nanoscale grains and
introducing a log-normal distribution of grain sizes. The transition from the
Hall-Petch relationship to the coherent polycrystal mechanism was shown to be a
gradual process. The hardness in the nanoscale regime was observed to increase
with decreasing grain boundary affected zone (or effective grain boundary
thickness, Delta) in the form of Delta-1/2. The critical grain size increased
linearly with increasing Delta. The variation of the calculated hardness value
with the grain size was observed to be in agreement with the experimental data
reported in the literature.
PMID- 27877941
TI - Microstructural development in Al/MgAl2O4in situ metal matrix composite using
value-added silica sources.
AB - Al/MgAl2O4in situ metal matrix composites have been synthesized using value-added
silica sources (microsilica and rice husk ash) containing ~97% SiO2 in Al-5 wt.%
Mg alloy. The thermodynamics and kinetics of MgAl2O4 formation are discussed in
detail. The MgO and MgAl2O4 phases were found to dominate in microsilica (MS) and
rice husk ash (RHA) value-added composites, respectively, during the initial
stage of holding the composites at 750 degrees C. A transition phase between MgO
and MgAl2O4 was detected by the scanning electron microscopy and energy
dispersive spectroscopy (SEM-EDS) analysis of the particles extracted from the
composite using 25% NaOH solution. This confirms that MgO is gradually
transformed to MgAl2O4 by the reaction 3SiO2(s)+2MgO(s)+4Al(l)
>2MgAl2O4(s)+3Si(l). The stoichiometry of MgAl2O4, n, computed by a new
methodology is between 0.79 and 1.18. The reaction between the silica sources and
the molten metal stopped after 55% of the silica source was consumed. A gradual
increase in mean MgAl2O4 crystallite size, D, from 24 to 36 nm was observed in
the samples held for 10 h.
PMID- 27877942
TI - Preparation of PV dF-based electrospun membranes and their application as
separators.
AB - A one-step method preparing of poly(vinylidene fluoride)-based electrospun
membranes (PEMs) containing TiO2 has been developed. The effect of TiO2 on the
morphology, degree of crystallization and electrochemical behavior of PEMs was
investigated by scanning electron microscopy (SEM), atomic force microscopy
(AFM), differential scanning calorimetry (DSC) and electrochemical measurements.
The PEMs containing TiO2 show improved ionic conductivity and cycling performance
compared with pure PEMs.
PMID- 27877943
TI - Surface characteristics and antibacterial activity of a silver-doped carbon
monolith.
AB - A carbon monolith with a silver coating was prepared and its antimicrobial
behaviour in a flow system was examined. The functional groups on the surface of
the carbon monolith were determined by temperature-programmed desorption and
Boehm's method, and the point of zero charge was determined by mass titration.
The specific surface area was examined by N2 adsorption using the Brunauer,
Emmett and Teller (BET) method. As a test for the surface activity, the
deposition of silver from an aqueous solution of a silver salt was used. The
morphology and structure of the silver coatings were characterized by scanning
electron microscopy and x-ray diffraction. The resistance to the attrition of the
silver deposited on the carbon monolith was tested. The antimicrobial activity of
the carbon monolith with a silver coating was determined using standard
microbiological methods. Carbon monolith samples with a silver coating showed
good antimicrobial activity against Escherichia coli, Staphylococcus aureus and
Candida albicans, and are therefore suitable for water purification, particularly
as personal disposable water filters with a limited capacity.
PMID- 27877944
TI - Quartz crystal microbalance and spectroscopy measurements for acid doping in
polyaniline films.
AB - We investigated the doping of thin polyaniline (PANI) films, prepared by the
chemical oxidation of aniline, with different acids. The initial step in the
investigation is the preparation of PANI films from aqueous hydrochloric acid
solution. This is followed by dedoping with ammonia to obtain a PANI base, which
is subsequently doped with strong acids (e.g. hydrochloric, sulfuric, phosphoric
and trichloroacetic acids) and with a weak acid (acetic acid). The dopant weight
fraction ( w ), which is connected with the gain of mass during the doping of
PANI, was determined in situ using a quartz crystal microbalance (QCM). The
behavior of PANI upon doping with different anions derived from strong acids
indicates that both proton and the anion uptake into the polymer chains occur
sharply, rapidly, completely, and reversibly. However the uptake in the case in
acetic acid is characterized by slow diffusion. The doping was studied at
different concentrations of acetic acid. A second cycle of dedoping-redoping was
also performed. The kinetics of the doping reaction is dominated by Fickian
diffusion kinetics. The diffusion coefficients (D) of the dopant ions into the
PANI chains were determined using the QCM and by UV-Vis absorption spectroscopy
in the range of (0.076-1.64)* 10-15 cm2 s-1. It was found that D in the second
cycle of doping is larger than that evaluated from the first cycle of doping for
high concentrations of acetic acid. D for the diffusion and for the dopant ion
expulsion from the PANI chains was also determined during the redoping process.
It was found that D for acetic acid ions in the doping process is larger than
that calculated for the dedoping process.
PMID- 27877945
TI - Parallel glide: flow of dislocations with internal stress source/sink
distribution.
AB - The unexpected glide of dislocations on a plane parallel to the film/substrate
interface in ultrathin copper films, which has been called parallel glide (Balk
et al 2003 Acta Metall. 51 447), is described using an analytical model. The
phenomenon is observed as a problem involving inlet/outlet flow from different
positions of a grain boundary into the grain channel. In this sense, parallel
glide is presented as the flow of dislocations with an internal stress
source/sink distribution.
PMID- 27877946
TI - Shape recovery and irrecoverable strain control in polyurethane shape-memory
polymer.
AB - In shape-memory polymers, large strain can be fixed at a low temperature and
thereafter recovered at a high temperature. If the shape-memory polymer is held
at a high temperature for a long time, the irrecoverable strain can attain a new
intermediate shape between the shape under the maximum stress and the primary
shape. Irrecoverable strain control can be applied to the fabrication of a shape
memory polymer element with a complex shape in a simple method. In the present
study, the influence of the strain-holding conditions on the shape recovery and
the irrecoverable strain control in polyurethane shape-memory polymer is
investigated by tension test of a film and three-point bending test of a sheet.
The higher the shape-holding temperature and the longer the shape-holding time,
the higher the irrecoverable strain rate. The equation that expresses the
characteristics of the irrecoverable strain control is formulated.
PMID- 27877947
TI - Focus on Magneto-Science.
PMID- 27877948
TI - Focus on the Degradation and Stability of Polymers.
PMID- 27877949
TI - Progress in cold roll bonding of metals.
AB - Layered composite materials have become an increasingly interesting topic in
industrial development. Cold roll bonding (CRB), as a solid phase method of
bonding same or different metals by rolling at room temperature, has been widely
used in manufacturing large layered composite sheets and foils. In this paper, we
provide a brief overview of a technology using layered composite materials
produced by CRB and discuss the suitability of this technology in the fabrication
of layered composite materials. The effects of process parameters on bonding,
mainly including process and surface preparation conditions, have been analyzed.
Bonding between two sheets can be realized when deformation reduction reaches a
threshold value. However, it is essential to remove surface contamination layers
to produce a satisfactory bond in CRB. It has been suggested that the degreasing
and then scratch brushing of surfaces create a strong bonding between the layers.
Bonding mechanisms, in which the film theory is expressed as the major mechanism
in CRB, as well as bonding theoretical models, have also been reviewed. It has
also been showed that it is easy for bcc structure metals to bond compared with
fcc and hcp structure metals. In addition, hardness on bonding same metals plays
an important part in CRB. Applications of composites produced by CRB in
industrial fields are briefly reviewed and possible developments of CRB in the
future are also described.
PMID- 27877950
TI - Fabrication and characterization of nanostructures on insulator substrates by
electron-beam-induced deposition.
AB - The fabrication, characterization, and decoration with metallic nanoparticles of
nanostructures such as nanowhiskers, nanodendrites, and fractal-like nanotrees on
insulator substrates by electron-beam-induced deposition (EBID) are reviewed.
Nanostructures with different morphologies of whiskers, dendrites, or trees are
fabricated on insulator (Al2O3 or SiO2) substrates by EBID in transmission
electron microscopes by controlling the irradiation conditions such as the
electron beam intensity. The growth of the nanostructure is related to the
accumulation of charges on the surface of a substrate during electron-beam
irradiation. A high concentration of the target metallic element and nanocrystal
grains of the element are contained in the fabricated nanostructures. The process
of growth of the nanostructures is explained qualitatively on the basis of
mechanisms in which the formation of the nanostructures is considered to be
related to the nanoscaled unevenness of the charge distribution on the surface of
the substrate, the movement of the charges to the convex surface of the
substrate, and the accumulation of charges at the tip of the grown nanostructure.
Novel composite structures of Pt nanoparticle/tungsten (W) nanodendrite or Au
nanoparticle/W nanodendrite are fabricated by the decoration of W nanodendrites
with metallic elements. Because they have superior features, such as a large
specific surface area, a freestanding structure on substrates, a typical size of
several nanometers of the tip or the branch, and high purity, the nanostructures
may have applications in technologies such as catalysts, sensors, and electron
emitters. However, there are still some subjects that should be further studied
before their application.
PMID- 27877951
TI - On the glass forming ability of liquid alloys.
AB - By using the concepts of the short-range order (SRO) and middle-range order (MRO)
characterizing structures, an attempt has been made to describe the glass forming
ability (GFA) of liquid alloys. This includes the effect of more than two kinds
of SRO in the liquid caused by the addition of second and third elements to a
metallic solvent. The minimum solute concentration is related to the atomic
volume mismatch estimated from the cube of the atomic radius. The optimum solute
concentration for good glass formability in several binary and some ternary
alloys is discussed on the basis of the empirical guideline. A new approach to
obtaining good GFA of liquid alloys is based on four main factors: (i) formation
of new SRO and coexistence of two or more kinds of SRO, (ii) stabilization of
dense random packing structure by restraining the atomic redistribution for
initiating the nucleation and growth process, (iii) formation of a stable cluster
(SC) or the MRO by the harmonious coupling of SROs, and (iv) difference between
SRO characterizing the liquid structure and the near-neighbor environment in the
corresponding equilibrium crystalline phases. The use of interaction parameters,
widely used in the thermodynamics of multicomponent metallic solutions, is
proposed for effectively selecting the third solute element (X3) for enhancing
the GFA of a metallic liquid (M) containing the second solute (X2). Fe70-B20
(X3)10 alloys (X3=Cr, W, Nb, Zr and Hf) are used for illustration. Two typical
model structures denoted by the Bernal and chemical-order types are used in
describing the new glass structure as a function of solute concentration.
PMID- 27877952
TI - 3D physical modeling of anisotropic grain growth at high temperature in local
strong magnetic force field.
AB - A new mechanism based on the effect of local magnetic forces on diffusing ions
around a growing ferromagnetic precipitate is proposed. A 3D simulation based
only on physical parameters is undertaken in which main assumption is of
interface limited growth controlled by the effect of both curvature and local
magnetic field distortion. Although usually neglected in magnetic field effect
mechanisms, it is shown that these local magnetic forces acting on a single
paramagnetic ion can change markedly affect the growth process and induce strong
shape anisotropy.
PMID- 27877953
TI - Effects of a high-gradient magnetic field on the migratory behavior of primary
crystal silicon in hypereutectic Al-Si alloy.
AB - The migration of primary Si grains during the solidification of Al-18 wt%Si alloy
under a high-gradient magnetic field has been investigated experimentally. It was
found that under a gradient magnetic field, the primary Si grains migrated toward
one end of the specimen, forming a Si-rich layer, and the thickness of the Si
rich layer increased with increasing magnetic flux density. No movement of Si
grains was apparent under a magnetic field below 2.3 T. For magnetic fields above
6.6 T, however, the thickness of the Si-rich layer was almost constant. It was
shown that the static field also played a role in impeding the movement of the
grains. The primary Si grains were refined in the Si layer, even though the
primary silicon grains were very dense. The effect of the magnetic flux density
on the migratory behavior is discussed.
PMID- 27877954
TI - Control of nonmagnetic particles using a magnetic field.
AB - A force and/or torque are induced in a material under the imposition of a
magnetic field. Their magnitudes are different from those acting on the
surrounding materials because of the difference in their physical properties.
Therefore, a magnetic field is a powerful tool for controlling a second phase in
a mother phase such as particles suspended in a liquid. In this paper, we focus
on two processes. The first one is a novel method of magnetic filtration in which
schwertmannite particles in wastewater are controlled using a magnetic field. The
second one is a refining process for a metallic alloy structure during
solidification in which solid particles suspended in the liquid phase are
controlled using the force excited by the simultaneous imposition of a magnetic
field and alternating current.
PMID- 27877935
TI - Challenges and breakthroughs in recent research on self-assembly.
AB - The controlled fabrication of nanometer-scale objects is without doubt one of the
central issues in current science and technology. However, existing fabrication
techniques suffer from several disadvantages including size-restrictions and a
general paucity of applicable materials. Because of this, the development of
alternative approaches based on supramolecular self-assembly processes is
anticipated as a breakthrough methodology. This review article aims to
comprehensively summarize the salient aspects of self-assembly through the
introduction of the recent challenges and breakthroughs in three categories: (i)
types of self-assembly in bulk media; (ii) types of components for self-assembly
in bulk media; and (iii) self-assembly at interfaces.
PMID- 27877955
TI - Magnetic and structural phase transitions of MnBi under high magnetic fields.
AB - High-field x-ray diffraction and magnetization measurements and differential
thermal analysis (DTA) were carried out for polycrystalline MnBi with an NiAs
type hexagonal structure to investigate its magnetic and structural phase
transitions. The lattice parameter a rapidly decreases below the spin
reorientation temperature TSR(=90 K) in a zero magnetic field. The parameter c
decreases gradually with decreasing temperature and exhibits an anomaly in the
vicinity of TSR. By applying a magnetic field of 5 T, the parameter a increases
by ~0.05% when T acetone > ethyl acetate. A kinetic
analysis using the stopped-flow method revealed that addition of the poisoning
materials decreased the activity through the reduction of the number of active
sites, whereas the catalyst isospecificity was hardly affected by these
materials.
PMID- 27877968
TI - Degradation behavior of polymer blend of isotactic polypropylenes with and
without unsaturated chain end group.
AB - In this work, the relationship between the unsaturated chain end group content
and the thermal oxidative degradation rate was systematically studied with binary
polymer blends of isotactic polypropylene (iPP) with and without the unsaturated
chain end group. The iPPs with and without the unsaturated chain end group were
synthesized by a metallocene catalyst in the absence of hydrogen and by a Ziegler
catalyst in the presence of one, respectively. The thermal oxidative degradation
rate of the binary iPP blends was estimated from the molecular weight and the
apparent activation energy (DeltaE), which were obtained through size exclusion
chromatography (SEC) and thermogravimetric analysis (TGA) measurements,
respectively. These values exhibited a negative correlation against the mole
content of the unsaturated chain end group. The thermal oxidative degradation
rate apparently depends on the content of the unsaturated chain end group. This
tendency suggests that the unsaturated chain end acts as a radical initiator of
the iPP degradation reaction.
PMID- 27877970
TI - The stability of polysiloxanes incorporating nano-scale physical property
modifiers.
AB - Reported here is the synthesis and subsequent characterization of the physical
and chemical properties of novel polysiloxane elastomers modified with a series
of polyhedraloligomericsilsequioxane (POSS) molecular silicas. The physical
properties of the formulated nanocomposite systems have been characterized with a
combination of dynamic mechanical analysis (DMA), broadband dielectric
spectroscopy (BDS) and confocal Raman microscopy. The results of the physical
property characterization demonstrate that the incorporation of low levels (1-4%
by wt.) of POSS particles into the polysiloxane network leads to significant
improvements in the mechanical properties of the elastomer and significantly
alters the motional chain dynamics of the system as a whole. The results of
studies performed to assess the long-term stability of these novel nanocomposite
systems have demonstrated that POSS physical property modifiers can significantly
alter the thermal stability of polysiloxane elastomers. Physically dispersed POSS
has also been shown in some cases to be both mobile and disruptive within the
polysiloxane networks, agglomerating into domains on a micron scale and migrating
to the surface of the elastomers. This work demonstrates both the potential of
POSS nanoparticles as physical property modifiers and describes the effects of
POSS on the physical and chemical stability of polysiloxane systems.
PMID- 27877971
TI - Effect of microstructure on the thermo-oxidation of solid isotactic polypropylene
based polyolefins.
AB - In the present work we aim to clarify the role of the microstructure and the
crystalline distribution from the thermo-oxidation of solid isotactic PP (iPP)
and ethylene-propylene (EP) copolymers. The effects of the content and quality of
the isotacticity interruptions, together with the associated average isotactic
length, on the induction time (ti) as well as on the activation energy (Eact) of
the thermo-oxidation are analysed. Both parameters have been found to change
markedly at an average isotactic length (n1) of 30 propylene units. While ti
reaches a minimum when n1 is approximately 30 units, Eact increases quasi
exponentially as the number of units decreases from 30. This variation can be
explained in terms of changes induced in the crystalline interphase, i.e. local
molecular dynamics, which are closely linked to the initiation of the thermo
oxidation of isotactic PP-based polyolefins.
PMID- 27877972
TI - Electronic structures of one-dimensional poly-fused selenophene radical cations:
density functional theory study.
AB - Hybrid density functional theory (DFT) calculations have been carried out for
neutral and radical cation species of a fused selenophene oligomer, denoted by
Se(n), where n represents the number of selenophene rings in the oligomer, to
elucidate the electronic structures at ground and low-lying excited states. A
polymer of fused selenophene was also investigated using one-dimensional periodic
boundary conditions (PBC) for comparison. It was found that the reorganization
energy of a radical cation of Se(n) from a vertical hole trapping point to its
relaxed structure is significantly small. Also, the reorganization energy
decreased gradually with increasing n, indicating that Se(n) has an effective
intramolecular hole transport property. It was found that the radical cation
species of Se(n) has a low-energy band in the near-IR region, which is strongly
correlated to hole conductivity. The relationship between the electronic states
and intramolecular hole conductivity was discussed on the basis of theoretical
calculations.
PMID- 27877973
TI - Durability of crosslinked polydimethylsyloxanes: the case of composite
insulators.
AB - Most applications of silicones are linked to their hydrophobic properties and
(or) their high resistance to ageing (e.g. thermal ageing and photoageing).
However, when placed in extreme environments, these materials can fail as in the
case of epoxy/fiber glass composite powerlines insulators, where crosslinked
polymethylsyloxanes (PDMSs) are used as the protective envelope (housing) of the
insulator. We report on the behavior of both pure/noncrosslinked PDMSs and
typical formulations used in industrial insulators, i.e. containing peroxide
crosslinked PDMS, alumina trioxide hydrated (ATH) and silica. Special attention
is paid on both (i) the sources of potential degradation and (ii) the best
analytical methods that can be applied to the study of very complex formulations.
(i) Aside from conventional types of ageing such as photo-ageing and thermal,
hydrolytic, and service life ageings, treatments with acidic vapors, plasma and
ozone possibly generating species from the reaction of a high electric field with
air were also performed, which allowed to accelerate electrical and out-door
ageings and to obtain differently aged materials. (ii) Aside from conventional
analytical methods of polymer degradation such as FTIR/ATR spectroscopy and SEC,
TG, hardness measurements, more specific methods like photo/DSC, TG/IR,
thermoporosimetry, resistivity and density measurements were also performed to
characterize the chemical and physical evolutions of polymer materials. In
particular, it was found that treatment with nitric acid vapor has detrimental
effects on the properties of both fire retardants (e.g. ATH) and PDMSs, affecting
the hardness and resistivity of the formulated material.
PMID- 27877974
TI - Inhibition of Alzheimer amyloid beta aggregation by polyvalent trehalose.
AB - A glycopolymer carrying trehalose was found to suppress the formation of amyloid
fibrils from the amyloid beta peptide (1-42) (Abeta), as evaluated by thioflavin
T assay and atomic force microscopy. Glycopolymers carrying sugar alcohols also
changed the aggregation properties of Abeta, and the inhibitory effect depended
on the type of sugar and alkyl side chain. Neutralization activity was confirmed
by in vitro assay using HeLa cells. The glycopolymer carrying trehalose strongly
inhibited amyloid formation and neutralized cytotoxicity.
PMID- 27877975
TI - Effect of clays on the fire-retardant properties of a polyethylenic copolymer
containing intumescent formulation.
AB - Organophilic clay particles were added to a standard intumescent formulation and,
since the role of clay expansion or intercalation is still a matter of much
controversy, several clays with varying degrees of interlayer distances were
evaluated. The composites were obtained by blending the nanostructured clay and
the intumescent system with a polyethylenic copolymer. The flame-retardant
properties of the materials were evaluated by the limiting oxygen index (LOI),
the UL-94 rating and thermogravimetric analysis (TGA). The results showed that
the addition of highly expanded clays to the ammonium polyphosphate and
pentaerythritol formulation does not significantly increase the flame retardancy
of the mixture, when measured by the LOI and UL-94. However, when clays with
smaller basal distances were added to the intumescent formulation, a synergistic
effect was observed. In contrast, the simple addition of clays to the copolymer,
without the intumescent formulation, did not increase the fire retardance of the
materials.
PMID- 27877976
TI - Investigation of the liquid crystal alignment layer: effect on electrical
properties.
AB - We investigate the electrical behavior of a symmetric liquid crystal (LC) cell:
elecrode-silane-LC-silane-electrode. The silane (chlorodimethyloctadecyl-silane)
layer induces a homeotropic orientation of the nematic liquid crystal (NLC)
molecules. The wettability technique is used to detect the change of the surface
energy of the electrode upon cleaning and silane layer deposition. We report on
the dynamic impedance measurements of the nematic liquid crystal cell. It is
found that the silane alignment layer has a blocking effect on the liquid crystal
(LC) cell. We also study the relaxation behavior of the cell which is later
assimilated as an electrical equivalent circuit.
PMID- 27877977
TI - Microstructure and corrosion characteristics of laser-alloyed magnesium alloy
AZ91D with Al-Si powder.
AB - Blown-powder laser surface alloying was performed on the magnesium alloy AZ91D
with Al-Si alloy powder to improve corrosion resistance. Characterization by
scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS) and x
ray diffraction (XRD) analysis revealed that intermetallic compounds (IMCs) of
Mg2Si, Al12Mg17 and Al3Mg2 were formed in the matrix of alpha-Mg and Al solid
solutions in Al-Si alloyed layers. The anodic polarization test in 3.5% NaCl
aqueous solution showed that preferential corrosion occurred in the alpha-Mg
matrix of the AZ91D base metal. The Al-Si alloyed layers exhibited a lower
corrosion rate and a higher polarization resistance than AZ91D. The compactly
dispersed dendritic Mg2Si phase, and the dendritic and angular phases of Al12Mg17
and Al3Mg2 in the alloyed microstructure were observed to be corrosion-resistant,
constituting a barrier that retards corrosion. Corrosion initiated at the
interface between IMCs and the solid solution matrix, and at substructures of the
matrix, subsequently pervaded into the surrounding microstructure.
PMID- 27877978
TI - Textural, structural and electrical properties of TiO2 nanoparticles using Brij
35 and P123 as surfactants.
AB - The effect of the use of the triblock copolymer Pluronic
P123[(PEO)20(PPO)70(PEO)20, Aldrich] and the non-ionic polyoxyethylene-lauryl
ether Brij 35 as surfactants on the textural, structural and electrical
properties of nanosized TiO2 is analyzed in this work. The as-obtained samples
were thermally treated at 400 degrees C to eliminate the surfactant, promote
dehydroxylation and crystallize the sample. The TiO2 samples were characterized
by thermal analysis, N2 physisorption, x-ray diffraction analysis, micro-Raman
spectroscopy and scanning electron microscopy. To evaluate the TiO2 electrical
features, I-V data were obtained. The x-ray diffraction results show that in the
chemical synthesis using surfactants, the crystallite size is smaller than that
of the commercial sample. The Raman spectroscopy results clearly indicate that,
when P123 is used, the anatase phase of TiO2 is obtained, whereas when Brij 35 is
used a mixture of the anatase and brookite phases is obtained. The specific
surface area and crystallite size of the TiO2 prepared as indicated above are
higher and smaller, respectively, than the corresponding properties found in
commercial TiO2. The I-V plot showed a nonlinear behavior of the nanosized TiO2.
The samples obtained with P123 showed the best electrical conductivity.
PMID- 27877979
TI - BiFeO3-doped (Na0.5K0.5)NbO3 lead-free piezoelectric ceramics.
AB - Lead-free piezoelectric ceramics (1-x)(Na0.5K0.5)NbO3-xBiFeO3 (x=0~0.07) were
synthesized by the solid-state reaction. Differential scanning calorimetry (DSC)
measurements revealed that an increase in the amount of BiFeO3 dopant resulted in
a decrease in the orthorhombic-tetragonal and tetragonal-cubic phase transition
temperature of the material. One percent BiFeO3 additive suppressed grain growth,
which not only benefits the sintering of ceramics but also enhances the
piezoelectric and ferroelectric properties, where d33=145pC/N, kp=0.31, Qm=80,
Pr=11.3 MUC cm-2 and Ec=16.5 kV cm-1. As xBF>0.01, both piezoelectric and
ferroelectric properties decreased rapidly with an increasing amount of dopant.
PMID- 27877980
TI - Effect of environment on corrosion characteristics of newly developed DMR-1700
structural steel.
AB - The corrosion resistance of any metallic material depends on the environment to
which it is exposed. DMR-1700 steel is a material for structural applications
that has been recently developed at Defence Metallurgical Research Laboratory by
changing the chemistry of alloying elements. Therefore, a detailed understanding
of its corrosion characteristics under different environmental conditions is
essential. In the present paper, we report the results of a systematic corrosion
study that was carried out on the new steel to determine the effect of the
environment on the protective nature of the oxide scale that forms on its surface
under different environmental conditions. Furthermore, the oxide scale as well as
the resistance to pitting and crevice corrosion were studied in various
environments. The surface morphologies of the corroded steels were observed under
a scanning electron microscope (SEM) to determine the nature of the corrosion. On
the basis of studies by different techniques, DMR-1700 steel is recommended for
the manufacture of components used in various systems in conjunction with the
application of an appropriate protective coating to improve its resistivity to
corrosion.
PMID- 27877981
TI - Stable superhydrophobic surface: fabrication of interstitial cottonlike structure
of copper nanocrystals by magnetron sputtering.
AB - A stable superhydrophobic copper surface was obtained by radio-frequency magnetic
sputtering on Si (100) and quartz substrates. The water contact angle and sliding
angle of the superhydrophobic copper surface were 160.5 degrees and 3+/-1.9
degrees , respectively. Scanning electron microscopy (SEM) photos show that the
superhydrophobic surface structure comprises many uniform nanocrystals with a
diameter of about 100 nm. A brief explanation of the formation of this special
microstructure and the mechanism of its wettability were proposed.
PMID- 27877982
TI - Phase formation in selected surface-roughened plasma-nitrided 304 austenite
stainless steel.
AB - Direct current (DC) glow discharge plasma nitriding was carried out on three
selected surface-roughened AISI 304 stainless steel samples at 833 K under 4 mbar
pressures for 24 h in the presence of N2:H2 gas mixtures of 50 : 50 ratios. After
plasma nitriding, the phase formation, case depth, surface roughness, and
microhardness of a plasma-nitrided layer were evaluated by glancing angle x-ray
diffractogram, optical microscope, stylus profilometer, and Vickers microhardness
tester techniques. The case depth, surface hardness, and phase formation
variations were observed with a variation in initial surface roughness. The
diffraction patterns of the plasma-nitrided samples showed the modified
intensities of the alpha and gamma phases along with those of the CrN, Fe4N, and
Fe3N phases. Hardness and case depth variations were observed with a variation in
surface roughness. A maximum hardness of 1058 Hv and a case depth of 95 MUm were
achieved in least surface-roughened samples.
PMID- 27877983
TI - On cavitation and macroscopic behaviour of amorphous polymer-rubber blends.
AB - The macroscopic behaviour of rubber-modified polymethyl methacrylate (PMMA) was
investigated by taking into account the microdeformation mechanisms of rubber
cavitation. The dependence of the macroscopic stress-strain behaviour of matrix
deformation on the cavitation of rubber particles was discussed. A
phenomenological elastic-viscoplastic model was used to model the behaviour of
the matrix material, while the rubber particles were modelled with the
hyperelasticity theory. A two-phase composite material with a periodic
arrangement of reinforcing particles of a circular unit cell section was
considered. Finite-element analysis was used to determine the local stresses and
strains in the two-phase composite. In order to describe the cavitation of the
rubber particles, a criterion of void nucleation is implemented in the finite
element (FE) code. A comparison of the numerically predicted response with
experimental result indicates that the numerical homogenisation analysis gives
satisfactory prediction results.
PMID- 27877984
TI - Growth of ZnO nanowires on nonwoven polyethylene fibers.
AB - We report the growth of ZnO nanowires on nonwoven polyethylene fibers using a
simple hydrothermal method at a temperature below the boiling point of water. The
ZnO nanowires were grown from seed ZnO nanoparticles affixed onto the fibers. The
seed ZnO nanoparticles, with diameters of about 6-7 nm, were synthesized in
isopropanol by reducing zinc acetate hydrate with sodium hydroxide. The growth
process was carried out in a sealed chemical bath containing an equimolar
solution of zinc nitrate hexahydrate and hexamethylene tetramine at a temperature
of 95 degrees C over a period of up to 20 h. The thickness and length of the
nanowires can be controlled by using different concentrations of the starting
reactants and growth durations. A 0.5 mM chemical bath yielded nanowires with an
average diameter of around 50 nm, while a 25 mM bath resulted in wires with a
thickness of up to about 1 MUm. The length of the wires depends both on the
concentration of the precursor solution as well as the growth duration, and in 20
h, nanowires as long as 10 MUm can be grown. The nonwoven mesh of polyethylene
fibers covered with ZnO nanowires can be used for novel applications such as
water treatment by degrading pollutants by photocatalysis. Photocatalysis tests
carried out on standard test contaminants revealed that the polyethylene fibers
with ZnO nanowires grown on them could accelerate the photocatalytic degradation
process by a factor of 3.
PMID- 27877985
TI - Synthesis, characterization and properties of polyaniline/expanded vermiculite
intercalated nanocomposite.
AB - The synthesis characterization and conductivities of polyaniline/expanded
vermiculite intercalated nanocomposite are presented in this paper. The
conductive emeraldine salt form of polyaniline is inserted into the interlayer of
expanded vermiculite to produce the nanocomposite with high conductivity. The
structures and properties are characterized by transmission electron microscopy x
ray diffraction spectroscopy fourier transform infrared spectroscopy
thermogravimetry analysis and by the measurements of conductivity and stability.
The results show that an intercalated nanocomposite with high conductivity and
stability is obtained. The synthesis conditions are optimized to obtain the
highest conductivity which is 6.80 S cm-1.
PMID- 27877986
TI - Application of microcapsulation technology to the preparation of carbon foam.
AB - Microcapsules were prepared by in situ polymerization and microcapsulation.
Tetraethyl orthosilicate was used as the core material and phenolic resin was
used as the wall material in an emulsion system of polyacrylic and tetraethyl
orthosilicate. The obtained microcapsules were slowly heated such that the core
material was released by evaporation, leaving hollow-core spheres. The spheres
were mixed with a phenolic resin-derived binder and molded to obtain a carbon
foam precursor, which was carbonized at 1100 degrees C under the protection of
N2 gas and graphitized at 2300 degrees C under the protection of Ar gas. Thus,
the carbon foam of hollow closed-shelled microspheres with a graphitic structure
was prepared. The properties and structure of this foam were discussed.
PMID- 27877987
TI - Crystal growth and structure of L-methionine L-methioninium hydrogen maleate-a
new NLO material.
AB - A new organic nonlinear optical (NLO) crystal from the amino acid family, viz., L
methionine L-methioninium hydrogen maleate (LMMM), has been grown by slow
evaporation method from aqueous solution. Bulk crystals were grown using
submerged seed solution method. The structure was elucidated using the single
crystal x-ray diffraction data. The compound crystallized in the space group P21
and the unit cell contains a protonated L-methioninium cation and a zwitterionic
methionine residue plus a maleate anion. The backbone conformation angles Psi1
and Psi2 are in cis and trans configurations for both the methionine and
methioninium residues, respectively. Amino and carboxyl groups of the
methioninium and methionine residues are connected through N-H...O hydrogen bonds
leading to a ring R22(10) motif.
PMID- 27877988
TI - An innovative energy-saving in-flight melting technology and its application to
glass production.
AB - The conventional method used for glass melting is air-fuel firing, which is
inefficient, energy-intensive and time-consuming. In this study, an innovative in
flight melting technology was developed and applied to glass production for the
purposes of energy conservation and environmental protection. Three types of
heating sources, radio-frequency (RF) plasma, a 12-phase alternating current (ac)
arc and an oxygen burner, were used to investigate the in-flight melting behavior
of granulated powders. Results show that the melted particles are spherical with
a smooth surface and compact structure. The diameter of the melted particles is
about 50% of that of the original powders. The decomposition and vitrification
degrees of the prepared powders decrease in the order of powders prepared by RF
plasma, the 12-phase ac arc and the oxygen burner. The largest heat transfer is
from RF plasma to particles, which results in the highest particle temperature
(1810 degrees C) and the greatest vitrification degree of the raw material. The
high decomposition and vitrification degrees, which are achieved in milliseconds,
shorten the melting and fining times of the glass considerably. Our results
indicate that the proposed in-flight melting technology is a promising method for
use in the glass industry.
PMID- 27877989
TI - Investigation of low-resistivity from hydrogenated lightly B-doped diamond by ion
implantation.
AB - We have implanted boron (B) ions (dosage: 5*1014 cm-2) into diamond and then
hydrogenated the sample by implantating hydrogen ions at room temperature. A p
type diamond material with a low resistivity of 7.37 mOmega cm has been obtained
in our experiment, which suggests that the hydrogenation of B-doped diamond
results in a low-resistivity p-type material. Interestingly, inverse annealing,
in which carrier concentration decreased with increasing annealing temperature,
was observed at annealing temperatures above 600 degrees C. In addition, the
formation mechanism of a low-resistivity material has been studied by density
functional theory calculation using a plane wave method.
PMID- 27877990
TI - Fine structure characterization of zero-valent iron nanoparticles for
decontamination of nitrites and nitrates in wastewater and groundwater.
AB - The main objectives of the present study were to investigate the chemical
reduction of nitrate or nitrite species by zero-valent iron nanoparticle (ZVIN)
in aqueous solution and related reaction kinetics or mechanisms using fine
structure characterization. This work also exemplifies the utilization of field
emission-scanning electron microscope (FE-SEM), transmission electron microscopy
(TEM), and x-ray diffraction (XRD) to reveal the speciation and possible reaction
pathway in a very complex adsorption and redox reaction process. Experimentally,
ZVIN of this study was prepared by sodium borohydride reduction method at room
temperature and ambient pressure. The morphology of as-synthesized ZVIN shows
that the nearly ball and ultrafine particles ranged of 20-50 nm were observed
with FE-SEM or TEM analysis. The kinetic model of nitrites or nitrates reductive
reaction by ZVIN is proposed as a pseudo first-order kinetic equation. The
nitrite and nitrate removal efficiencies using ZVIN were found 65-83% and 51-68%,
respectively, based on three different initial concentrations. Based on the XRD
pattern analyses, it is found that the quantitative relationship between nitrite
and Fe(III) or Fe(II) is similar to the one between nitrate and Fe(III) in the
ZVIN study. The possible reason is due to the faster nitrite reduction by ZVIN.
In fact, the occurrence of the relative faster nitrite reductive reaction
suggested that the passivation of the ZVIN have a significant contribution to
iron corrosion. The extended x-ray absorption fine structure (EXAFS) or x-ray
absorption near edge structure (XANES) spectra show that the nitrites or nitrates
reduce to N2 or NH3 while oxidizing the ZVIN to Fe2O3 or Fe3O4 electrochemically.
It is also very clear that decontamination of nitrate or nitrite species in
groundwater via the in-situ remediation with a ZVIN permeable reactive barrier
would be environmentally attractive.
PMID- 27877991
TI - Characterization of ITO/CdO/glass thin films evaporated by electron beam
technique.
AB - A thin buffer layer of cadmium oxide (CdO) was used to enhance the optical and
electrical properties of indium tin oxide (ITO) films prepared by an electron
beam evaporation technique. The effects of the thickness and heat treatment of
the CdO layer on the structural, optical and electrical properties of ITO films
were carried out. It was found that the CdO layer with a thickness of 25 nm
results in an optimum transmittance of 70% in the visible region and an optimum
resistivity of 5.1*10-3 Omega cm at room temperature. The effect of heat
treatment on the CdO buffer layer with a thickness of 25 nm was considered to
improve the optoelectronic properties of the formed ITO films. With increasing
annealing temperature, the crystallinity of ITO films seemed to improve,
enhancing some physical properties, such as film transmittance and conductivity.
ITO films deposited onto a CdO buffer layer heated at 450 degrees C showed a
maximum transmittance of 91% in the visible and near-infrared regions of the
spectrum associated with the highest optical energy gap of 3.61 eV and electrical
resistivity of 4.45*10-4 Omega cm at room temperature. Other optical parameters,
such as refractive index, extinction coefficient, dielectric constant, dispersion
energy, single effective oscillator energy, packing density and free carrier
concentration, were also studied.
PMID- 27877992
TI - Study of superconducting state parameters of ternary metallic glasses through
pseudopotential approach.
AB - A theoretical investigation on the screening dependence of the superconducting
state parameters (SSPs) viz. the electron-phonon coupling strength lambda, the
Coulomb pseudopotential MU*, the transition temperature TC, the isotope effect
exponent alpha and the effective interaction strength N0V of some ternary
metallic glasses such as Ti50Be34Zr10, (Mo0.6Ru0.4)78B22, (Mo0.6Ru0.4)80B20,
(Mo0.4Ru0.6)80P20, (Mo0.6Ru0.4)70Si30, (Mo0.6Ru0.4)84B16, (Mo0.6Ru0.4)72Si28,
(Mo0.6Ru0.4)86B14, (Mo0.6Ru0.4)76Si24, (Mo0.6Ru0.4)78Si22, (Mo0.6Ru0.4)80Si20,
(Mo0.6Ru0.4)82Si18 and (Mo0.6Ru0.4)80P20 is reported for the first time using
Ashcroft's empty core (EMC) model potential. Five local field correction
functions proposed by Hartree (H), Taylor (T), Ichimaru-Utsumi (IU), Farid et al
(F) and Sarkar et al (S) are used in the present investigation to study the
effect of screening on the aforesaid properties. It is observed that lambda and
TC are reasonably sensitive to the selection of the local field correction
functions, whereas MU*, alpha and N0V show weak dependences on the local field
correction functions. The transition temperature TC obtained from the H-local
field correction function is found to be in excellent agreement with available
experimental data. Also, the present results are found to be in qualitative
agreement with other earlier reported data, which confirms the existence of the
superconducting phase in the above ternary metallic glasses.
PMID- 27877993
TI - Layer-by-layer assembly of imogolite nanotubes and polyelectrolytes into core
shell particles and their conversion to hierarchically porous spheres.
AB - Core-shell particles were prepared by the layer-by-layer (LbL) assembly of
imogolite (IMO) nanotubes and poly(sodium 4-styrenesulfonate) (PSS) on
polystyrene particles (diameter: 800 nm) coated preliminarily with
poly(diallyldimethylammonium chloride) (PDDA). PSS and imogolite were alternately
adsorbed on the particles to form core-shell particles with one to three bilayers
of PSS/IMO. Macroporous hollow spheres were formed by removing polystyrene cores
via heat treatment or extraction when the number of bilayers was 2 or 3. The
sample formed by extraction (the number of bilayer was 3) showed only
macroporosity and PSS remained in the shell, whereas the heat-treated sample
showed hierarchical micro- and macroporosities. When the diameter of polystyrene
particles decreased from 800 nm to 300 or 100 nm, hollow spheres were deformed
because of the increase in the relative length of imogolite nanotubes against the
size of polystyrene particles. Imogolite is a promising building block of
hierarchically porous materials with core-shell morphologies using LbL assembly.
PMID- 27877994
TI - Fabrication of spintronics device by direct synthesis of single-walled carbon
nanotubes from ferromagnetic electrodes.
AB - We describe an alternative method for realizing a carbon nanotube spin field
effect transistor device by the direct synthesis of single-walled carbon
nanotubes (SWNTs) on substrates by alcohol catalytic chemical vapor deposition.
We observed hysteretic magnetoresistance (MR) at low temperatures due to spin
dependent transport. In these devices, the maximum ratio in resistance variation
of MR was found to be 1.8%.
PMID- 27877995
TI - Textured silicon nitride: processing and anisotropic properties.
AB - Textured silicon nitride (Si3N4) has been intensively studied over the past 15
years because of its use for achieving its superthermal and mechanical
properties. In this review we present the fundamental aspects of the processing
and anisotropic properties of textured Si3N4, with emphasis on the anisotropic
and abnormal grain growth of beta-Si3N4, texture structure and texture analysis,
processing methods and anisotropic properties. On the basis of the texturing
mechanisms, the processing methods described in this article have been classified
into two types: hot-working (HW) and templated grain growth (TGG). The HW method
includes the hot-pressing, hot-forging and sinter-forging techniques, and the TGG
method includes the cold-pressing, extrusion, tape-casting and strong magnetic
field alignment techniques for beta-Si3N4 seed crystals. Each processing
technique is thoroughly discussed in terms of theoretical models and experimental
data, including the texturing mechanisms and the factors affecting texture
development. Also, methods of synthesizing the rodlike beta-Si3N4 single crystals
are presented. Various anisotropic properties of textured Si3N4 and their origins
are thoroughly described and discussed, such as hardness, elastic modulus,
bending strength, fracture toughness, fracture energy, creep behavior,
tribological and wear behavior, erosion behavior, contact damage behavior and
thermal conductivity. Models are analyzed to determine the thermal anisotropy by
considering the intrinsic thermal anisotropy, degree of orientation and various
microstructure factors. Textured porous Si3N4 with a unique microstructure
composed of oriented elongated beta-Si3N4 and anisotropic pores is also described
for the first time, with emphasis on its unique mechanical and thermal-mechanical
properties. Moreover, as an important related material, textured alpha-Sialon is
also reviewed, because the presence of elongated alpha-Sialon grains allows the
production of textured alpha-Sialon using the same methods as those used for
textured beta-Si3N4 and beta-Sialon.
PMID- 27877996
TI - Warm spraying-a novel coating process based on high-velocity impact of solid
particles.
AB - In recent years, coating processes based on the impact of high-velocity solid
particles such as cold spraying and aerosol deposition have been developed and
attracting much industrial attention. A novel coating process called 'warm
spraying' has been developed, in which coatings are formed by the high-velocity
impact of solid powder particles heated to appropriate temperatures below the
melting point of the powder material. The advantages of such process are as
follows: (1) the critical velocity needed to form a coating can be significantly
lowered by heating, (2) the degradation of feedstock powder such as oxidation can
be significantly controlled compared with conventional thermal spraying where
powder is molten, and (3) various coating structures can be realized from porous
to dense ones by controlling the temperature and velocity of the particles. The
principles and characteristics of this new process are discussed in light of
other existing spray processes such as high-velocity oxy-fuel spraying and cold
spraying. The gas dynamics of particle heating and acceleration by the spraying
apparatus as well as the high-velocity impact phenomena of powder particles are
discussed in detail. Several examples of depositing heat sensitive materials such
as titanium, metallic glass, WC-Co cermet and polymers are described with
potential industrial applications.
PMID- 27877997
TI - Chemistry of layered d-metal pnictide oxides and their potential as candidates
for new superconductors.
AB - Layered d-metal pnictide oxides are a unique class of compounds which consist of
characteristic d-metal pnictide layers and metal oxide layers. More than 100 of
these layered compounds, including the recently discovered Fe-based
superconducting pnictide oxides, can be classified into nine structure types.
These structure types and the chemical and physical properties of the
characteristic d-metal pnictide layers and metal oxide layers of the layered d
metal pnictide oxides are reviewed and discussed. Furthermore, possible
approaches to design new superconductors based on these layered d-metal pnictide
oxides are proposed.
PMID- 27877998
TI - Superhydrophobic cotton fabrics prepared by sol-gel coating of TiO2 and surface
hydrophobization.
AB - By coating fibers with titania sol to generate a dual-size surface roughness,
followed by hydrophobization with stearic acid, 1H,1H,2H,2H
perfluorodecyltrichlorosilane or their combination, hydrophilic cotton fabrics
were made superhydrophobic. The surface wettability and topology of cotton
fabrics were studied by contact angle measurement and scanning electron
microscopy. The UV-shielding property of the treated fabrics was also
characterized by UV-vis spectrophotometry.
PMID- 27878000
TI - Effect of metal-ion-to-fuel ratio on the phase formation of bioceramic phosphates
synthesized by self-propagating combustion.
AB - Synthetic calcium hydroxyapatite (HAP, Ca10 (PO4)6 (OH)2) is a well-known
bioceramic material used in orthopedic and dental applications because of its
excellent biocompatibility and bone-bonding ability due to its structural and
compositional similarity to human bone. Here we report, for the first time, the
synthesis of HAP by combustion employing tartaric acid as a fuel. Calcium nitrate
is used as the source of calcium and diammonium hydrogen phosphate serves as the
source of phosphate ions. Reaction processing parameters such as the pH, fuel
oxidant ratio and autoignition temperature are controlled and monitored. The
products were characterized by powder x-ray diffraction, which revealed the
formation of a hexagonal hydroxyapatite phase. Fourier transform infrared
spectroscopy (FT-IR) spectra showed that the substitution of a carbonate ion
occurs at the phosphate site. The morphology of the particles was imaged by
scanning electron microscopy, which also revealed that the particles are of
submicron size. Thermal analysis showed that the phase formation takes place at
the time of combustion. Surface area and porosity analysis showed that the
surface area is high and that the pores are of nanometer size. The mean grain
size of the HAP powder, determined by the Debye-Scherrer formula, is in the range
20-30 nm. Chemical analyses to determine the Ca : P atomic ratio in synthesized
ceramics were performed, and it was found to be 1 : 1.66.
PMID- 27877999
TI - Microstructure and corrosion resistance of Ni-based alloy laser coatings with
nanosize CeO2 addition.
AB - Micron-size Ni-base alloy (NBA) powders were mixed with both 1.5 wt.%
(hereinafter %) micron-size CeO2 (m-CeO2) and also 1.5% and 3.0% nano-size CeO2
(n- CeO2) powders. These mixtures were coated on low-carbon steel (Q235) by 2.0
kW CO2 laser cladding. The effects on the microstructures, phases and
electrochemical corrosion of the coatings upon the addition of m- and n- CeO2
powders to NBA (m- and n- CeO2 /NBA) have been investigated. The results showed
that a smooth coating was prepared under suitable processing parameters (P= 2.0
kW, V= 180 mm min- 1) by adding 1.5% n- CeO2. In addition to the primary phases
of gamma-Ni, Cr23C6 and Ni3B in the Ni-base alloy coating, CeNi3 was formed in Ni
base alloy coatings with both n- CeO2 and m-CeO2 particles, and CeNi5 appeared in
the coating upon decreasing the size of CeO2 particles. Well-developed dendrites
were observed in the Ni-base alloy coating; directional dendrites grew at the
interface in the coating upon the addition of m-CeO2, whereas fine and
multioriented dendrites grew upon decreasing the size of CeO2 particles to the
nanoscale. Actinomorphic dendrites and compact equiaxed dendrites grew from the
interface to near the surface upon increasing the content of n- CeO2 from 1.5 to
3.0%. In strongly acidic HNO3 solution, the severe corrosion of dendrites
occurred and there were many corrosion pits in the Ni-base alloy coating;
intercrystalline corrosion also has a dominant role upon the addition of m-CeO2,
whereas uniform corrosion occurs in the coating as the size of CeO2 particles is
decreased to nanoscale.
PMID- 27878001
TI - Enhanced bioactivity of ZnO nanoparticles-an antimicrobial study.
AB - In this study, we investigate the antibacterial activity of ZnO nanoparticles
with various particle sizes. ZnO was prepared by the base hydrolysis of zinc
acetate in a 2-propanol medium and also by a precipitation method using Zn(NO3)2
and NaOH. The products were characterized by x-ray diffraction (XRD) analysis,
transmission electron microscopy (TEM) and photoluminescence (PL) spectroscopy.
Bacteriological tests such as minimum inhibitory concentration (MIC) and disk
diffusion were performed in Luria-Bertani and nutrient agar media on solid agar
plates and in liquid broth systems using different concentrations of ZnO by a
standard microbial method for the first time. Our bacteriological study showed
the enhanced biocidal activity of ZnO nanoparticles compared with bulk ZnO in
repeated experiments. This demonstrated that the bactericidal efficacy of ZnO
nanoparticles increases with decreasing particle size. It is proposed that both
the abrasiveness and the surface oxygen species of ZnO nanoparticles promote the
biocidal properties of ZnO nanoparticles.
PMID- 27878002
TI - Formation of Al3Ti/Mg composite by powder metallurgy of Mg-Al-Ti system.
AB - An in situ titanium trialuminide (Al3Ti)-particle-reinforced magnesium matrix
composite has been successfully fabricated by the powder metallurgy of a Mg-Al-Ti
system. The reaction processes and formation mechanism for synthesizing the
composite were studied by differential scanning calorimetry (DSC), x-ray
diffractometry (XRD), scanning electron microscopy (SEM) and energy-dispersive x
ray spectroscopy (EDS). Al3Ti particles are found to be synthesized in situ in
the Mg alloy matrix. During the reaction sintering of the Mg-Al-Ti system, Al3Ti
particles are formed through the reaction of liquid Al with as-dissolved Ti
around the Ti particles. The formed intermetallic particles accumulate at the
original sites of the Ti particles. As sintering time increases, the accumulated
intermetallic particles disperse and reach a relatively homogeneous distribution
in the matrix. It is found that the reaction process of the Mg-Al-Ti system is
almost the same as that of the Al-Ti system. Mg also acts as a catalytic agent
and a diluent in the reactions and shifts the reactions of Al and Ti to lower
temperatures. An additional amount of Al is required for eliminating residual Ti
and solid-solution strengthening of the Mg matrix.
PMID- 27878003
TI - A new route for preparation of sodium-silicate-based hydrophobic silica aerogels
via ambient-pressure drying.
AB - An in-depth investigation into the synthesis of hydrophobic silica aerogels
prepared by the surface derivatization of wet gels followed by subsequent drying
at ambient pressure is reported. The following sol-gel parameters were examined
for their effect on the physical properties of the derived aerogels: number of
gel washings with water, percentage of hexane or methanol in silylating mixture,
molar ratio of tartaric acid: Na2SiO3, gel aging period, weight% of silica,
trimethylchlorosilane (TMCS) percentage, and silylation period. These parameters
were varied from 1 to 4, 0 to 100%, 0.27 to 1.2, 0 to 4 h, 1.5 to 8 wt.%, 20 to
40% and 6 to 24 h, respectively. The properties of hydrophobic silica aerogels
synthesized by this new route were investigated in terms of bulk density,
percentage volume shrinkage, percentage porosity, thermal conductivity and
contact angle with water, and by Fourier transform infrared spectroscopy (FTIR).
The as-prepared hydrophobic silica aerogels exhibited high temperature stability
(up to approximately 435 degrees C) as measured by
thermogravimetric/differential thermal analysis (TGA-DTA). The optimal sol-gel
parameters were found to be a molar ratio of Na2SiO3:H2O : tartaric acid : TMCS
of 1 : 146.67 : 0.86 : 9.46, an aging period of 3 h, four washings with water in
24 h and the use of a 50% hexane- or methanol-based silylating mixture. Aerogels
prepared with these optimal parameters were found to exhibit 50% optical
transparency in the visible range, 84 kg m-3 density, 0.090 W mK-1 thermal
conductivity, 95% porosity and a contact angle of 146 degrees with water.
PMID- 27878004
TI - Optimization of growth conditions of ZnO nano thin films by chemical double dip
technique.
AB - Zinc oxide (ZnO) nano thin films have been deposited by the chemical double-dip
technique using aqueous ZnSO4 and NaOH solutions. The ZnO films were
characterized in terms of surface morphology by x-ray diffraction, energy
dispersive x-ray analysis (EDX), the use of a scanning electron microscope (SEM)
and atomic force microscope (AFM) for surface morphology. The films exhibited a
smooth morphology. The chemical states of oxygen and zinc in the ZnO nano thin
films were also investigated by x-ray photoelectron spectroscopy (XPS). In the
present investigations, highly textured ZnO thin films with a preferential (002)
orientation were prepared on glass substrates. The deposition conditions were
optimized to obtain device-quality films for practical applications.
PMID- 27878005
TI - Preparation of superhydrophobic surfaces on cotton textiles.
AB - Superhydrophobic surfaces were fabricated by the complex coating of silica
nanoparticles with functional groups onto cotton textiles to generate a dual-size
surface roughness, followed by hydrophobization with stearic acid, 1H, 1H, 2H, 2H
perfluorodecyltrichlorosilane or their combination. The wettability and
morphology of the as-fabricated surfaces were investigated by contact angle
measurement and scanning electron microscopy. Characterizations by transmission
electron microscopy, Fourier transformation infrared spectroscopy, and thermal
gravimetric analysis were also conducted.
PMID- 27878006
TI - Combinatorial electrochemistry on Al-Fe alloys.
AB - Combinatorial material development was combined with high throughput
microelectrochemistry to allow an efficient but comprehensive investigation of
the interface chemistry of Al rich Al-Fe alloys as a function of their chemical
composition. Composition spread thin films with a linear composition gradient
were produced by thermal codeposition. A scanning droplet cell was used to
determine the open circuit potential and to perform successive anodic oxide
formation with intermittent impedance spectroscopy. The film formation factor,
the relative permittivity of the oxides and the onset potential of oxide
formation were determined quantitatively as function of the composition with a
resolution of 0.5 at.%. An unexpected synergistic effect is found in a very
narrow composition range between 9 and 12 at.% Fe. This effect, which shifts the
onset potential by nearly 1 V, is discussed in terms of a local accumulation of
Fe resulting in a redox stabilisation of space charge layer formation during high
field oxide growth. The results are supported by composition and depth dependent
XPS measurements.
PMID- 27878007
TI - Dc conductivity of consolidated nanoparticles of zinc sulfide.
AB - Zinc sulfide nanoparticles with average grain sizes ranging from 3 to 12 nm were
prepared by arrested chemical preparation, followed by suitable thermal
processing. The size of the grains was determined by x-ray line broadening. Dc
measurement was performed on compacts of nanoparticles using a Keithley
electrometer in the temperature range of 313-423 K. The dc conductivity, sigmadc,
of our samples is much higher than that of ZnS single crystals; sigmadc increases
with a decrease in the grain size.
PMID- 27878008
TI - Structural studies of copper sulfide films: effect of ambient atmosphere.
AB - We examined the structural properties of copper sulfide films as a function of
the sulfurization time of 70-nm-thick Cu films. Copper sulfide films with various
phases such as mixed metallic Cu-chalcocite, chalcocite, roxbyite, and covellite
phases were formed with increasing sulfurization time. To evaluate the structural
stability of various films, all the films were exposed to the ambient atmosphere
for the same amount of time. Although the phase structure and stoichiometry of
the films were maintained at a greater depth, the near-surface region of the
films was oxidized and covered with overlayers of oxide, hydroxide, and/or
sulfate species due to the exposure and reaction with the ambient atmosphere. The
oxygen uptake and its reactivity with the copper sulfide film surfaces were
enhanced with increasing sulfur content of the films. In addition, the type of
divalent state of copper formed on the film surfaces depended on the phase
structure, composition, and stoichiometry of the films.
PMID- 27878009
TI - Biosynthesis and stabilization of Au and Au-Ag alloy nanoparticles by fungus,
Fusarium semitectum.
AB - Crystallized and spherical-shaped Au and Au-Ag alloy nanoparticles have been
synthesized and stabilized using a fungus, F. semitectum in an aqueous system.
Aqueous solutions of chloroaurate ions for Au and chloroaurate and Ag+ ions (1 :
1 ratio) for Au-Ag alloy were treated with an extracellular filtrate of F.
semitectum biomass for the formation of Au nanoparticles (AuNP) and Au-Ag alloy
nanoparticles (Au-AgNP). Analysis of the feasibility of the biosynthesized
nanoparticles and core-shell alloy nanoparticles from fungal strains is
particularly significant. The resultant colloidal suspensions are highly stable
for many weeks. The obtained Au and Au-Ag alloy nanoparticles were characterized
by the surface plasmon resonance (SPR) peaks using a UV-vis spectrophotometer,
and the structure, morphology and size were determined by Fourier transform
infrared spectroscopy (FTIR), x-ray diffraction (XRD), and transmission electron
microscopy (TEM). Possible optoelectronics and medical applications of these
nanoparticles are envisaged.
PMID- 27878010
TI - A 3D tomographic EBSD analysis of a CVD diamond thin film.
AB - We have studied the nucleation and growth processes in a chemical vapor
deposition (CVD) diamond film using a tomographic electron backscattering
diffraction method (3D EBSD). The approach is based on the combination of a
focused ion beam (FIB) unit for serial sectioning in conjunction with high
resolution EBSD. Individual diamond grains were investigated in 3-dimensions
particularly with regard to the role of twinning.
PMID- 27878012
TI - Focus on Superconductivity in Semiconductors.
PMID- 27878011
TI - Spin drift and spin diffusion currents in semiconductors.
AB - On the basis of a spin drift-diffusion model, we show how the spin current is
composed and find that spin drift and spin diffusion contribute additively to the
spin current, where the spin diffusion current decreases with electric field
while the spin drift current increases, demonstrating that the extension of the
spin diffusion length by a strong field does not result in a significant increase
in spin current in semiconductors owing to the competing effect of the electric
field on diffusion. We also find that there is a spin drift-diffusion crossover
field for a process in which the drift and diffusion contribute equally to the
spin current, which suggests a possible method of identifying whether the process
for a given electric field is in the spin drift or spin diffusion regime. Spin
drift-diffusion crossover fields for GaAs are calculated and are found to be
quite small. We derive the relations between intrinsic spin diffusion length and
the spin drift-diffusion crossover field of a semiconductor for different
electron statistical regimes. The findings resulting from this investigation
might be important for semiconductor spintronics.
PMID- 27878013
TI - Electrodeposition of nanostructured coatings and their characterization-A review.
AB - Nanostructured materials have gained importance in recent years due to their
significantly enhanced properties. In particular, electrochemistry has a special
role in producing a variety of nanostructured materials. In the current review,
we discuss the superiority of electrochemical deposition techniques in
synthesizing various nanomaterials that exhibit improved characteristics compared
with materials produced by conventional techniques, as well as their
classification, synthesis routes, properties and applications. The superior
properties of a nanostructured nickel coating produced by electrochemical
deposition are outlined. The properties of various nanostructured coating
materials produced by electrochemical techniques are also described. Finally, the
importance of nanostructured coatings in industrial applications as well as their
potential in future technologies is emphasized.
PMID- 27878014
TI - Selected topics related to the transport and superconductivity in boron-doped
diamond.
AB - This contribution deals with a few topics closely related to the
superconductivity in the heavily boron-doped diamond which are, in our opinion,
not properly treated in the current literature. Attention is paid especially to
the classification of metallic and insulating state, selection of pairing
mechanism, limits of weak coupling approximation and to the influence of
granularity on the superconducting transition.
PMID- 27878015
TI - Synthesis and superconducting properties of CaC6.
AB - Among the superconducting graphite intercalation compounds, CaC6 exhibits the
highest critical temperature Tc=11.5 K. Bulk samples of CaC6 are obtained by
immersing highly oriented pyrographite pieces in a well-chosen liquid Li-Ca alloy
for 10 days at 350 degrees C. The crystal structure of CaC6 belongs to the
[Formula: see text] space group. In order to study the superconducting properties
of CaC6, magnetisation was measured as a function of temperature and direction of
magnetic field applied parallel or perpendicular to the c-axis. Meissner effect
was evidenced, as well as a type II superconducting behaviour and a small
anisotropy. In agreement with calculations, experimental results obtained from
various techniques suggest that a classical electron-phonon mechanism is
responsible for the superconductivity of CaC6. Application of high pressure
increases the Tc up to 15.1 K at 8 GPa.
PMID- 27878016
TI - 11B nuclear magnetic resonance in boron-doped diamond.
AB - This review summarizes recent results obtained by 11B solid-state nuclear
magnetic resonance (NMR) on boron-doped diamond, grown by the high-pressure high
temperature (HPHT) or chemical vapor deposition techniques. Simple single-pulse
experiments as well as advanced two-dimensional NMR experiments were applied to
the boron sites in diamond. It is shown that magic-angle spinning at magnetic
fields above 10 T is suitable for observation of high-resolution 11B spectra of
boron-doped diamond. For boron-doped HPHT diamonds, the existence of the excess
boron that does not contribute to electrical conductivity was confirmed and its
11B NMR signal was characterized. The point-defect structures (B+H complexes and
B-B-/-B-C-B- clusters), postulated previously for the excess boron, were
discarded and graphite-like structures were assigned instead.
PMID- 27878017
TI - Impurity band Mott insulators: a new route to high Tc superconductivity.
AB - Last century witnessed the birth of semiconductor electronics and nanotechnology.
The physics behind these revolutionary developments is certain quantum mechanical
behaviour of 'impurity state electrons' in crystalline 'band insulators', such as
Si, Ge, GaAs and GaN, arising from intentionally added (doped) impurities. The
present article proposes that certain collective quantum behaviour of these
impurity state electrons, arising from Coulomb repulsions, could lead to
superconductivity in a parent band insulator, in a way not suspected before.
Impurity band resonating valence bond theory of superconductivity in boron doped
diamond, recently proposed by us, suggests possibility of superconductivity
emerging from impurity band Mott insulators. We use certain key ideas and
insights from the field of high-temperature superconductivity in cuprates and
organics. Our suggestion also offers new possibilities in the field of
semiconductor electronics and nanotechnology. The current level of sophistication
in solid state technology and combinatorial materials science is very well
capable of realizing our proposal and discover new superconductors.
PMID- 27878018
TI - Superconductivity in compensated and uncompensated semiconductors.
AB - We investigate the localization and superconductivity in heavily doped
semiconductors. The crossover from the superconductivity in the host band to that
in the impurity band is described on the basis of the disordered three
dimensional attractive Hubbard model for binary alloys. The microscopic
inhomogeneity and the thermal superconducting fluctuation are taken into account
using the self-consistent 1-loop order theory. The superconductor-insulator
transition accompanies the crossover from the host band to the impurity band. We
point out an enhancement of the critical temperature Tc around the crossover.
Further localization of electron wave functions leads to the localization of
Cooper pairs and induces the pseudogap. We find that both the doping compensation
by additional donors and the carrier increase by additional acceptors suppress
the superconductivity. A theoretical interpretation is proposed for the
superconductivity in the boron-doped diamond, SiC, and Si.
PMID- 27878019
TI - Unconventional pairing in doped band insulators on a honeycomb lattice: the role
of the disconnected Fermi surface and a possible application to superconducting
beta-MNCl (M=Hf, Zr).
AB - We investigate the possibility of realizing unconventional superconductivity in
doped band insulators on the square and honeycomb lattices. The latter lattice is
found to be a good candidate due to the disconnectivity of the Fermi surface. We
propose applying the theory to the superconductivity in doped layered nitride
beta-MNCl (M= Hf, Zr). Finally, we compare two groups of superconductors with
disconnected Fermi surface, beta-MNCl and the iron pnictides, which have high
critical temperature Tc, despite some faults against superconductivity are
present.
PMID- 27878020
TI - Electronic structures and three-dimensional effects of boron-doped carbon
nanotubes.
AB - We study boron-doped carbon nanotubes by first-principles methods based on the
density functional theory. To discuss the possibility of superconductivity, we
calculate the electronic band structure and the density of states (DOS) of boron
doped (10,0) nanotubes by changing the boron density. It is found that the Fermi
level density of states D(?F) increases upon lowering the boron density. This can
be understood in terms of the rigid band picture where the one-dimensional van
Hove singularity lies at the edge of the valence band in the DOS of the pristine
nanotube. The effect of three-dimensionality is also considered by performing the
calculations for bundled (10,0) nanotubes and boron-doped double-walled carbon
nanotubes (10,0)@(19,0). From the calculation of the bundled nanotubes, it is
found that interwall dispersion is sufficiently large to broaden the peaks of the
van Hove singularity in the DOS. Thus, to achieve the high D(?F) using the bundle
of nanotubes with single chirality, we should take into account the distance from
each nanotube. In the case of double-walled carbon nanotubes, we find that the
holes introduced to the inner tube by boron doping spread also on the outer tube,
while the band structure of each tube remains almost unchanged.
PMID- 27878021
TI - Superconductivity in carrier-doped silicon carbide.
AB - We report growth and characterization of heavily boron-doped 3C-SiC and 6H-SiC
and Al-doped 3C-SiC. Both 3C-SiC:B and 6H-SiC:B reveal type-I superconductivity
with a critical temperature Tc=1.5 K. On the other hand, Al-doped 3C-SiC (3C
SiC:Al) shows type-II superconductivity with Tc=1.4 K. Both SiC:Al and SiC:B
exhibit zero resistivity and diamagnetic susceptibility below Tc with effective
hole-carrier concentration n higher than 1020 cm-3. We interpret the different
superconducting behavior in carrier-doped p-type semiconductors SiC:Al, SiC:B,
Si:B and C:B in terms of the different ionization energies of their acceptors.
PMID- 27878022
TI - Superconductivity in heavily boron-doped silicon carbide.
AB - The discoveries of superconductivity in heavily boron-doped diamond in 2004 and
silicon in 2006 have renewed the interest in the superconducting state of
semiconductors. Charge-carrier doping of wide-gap semiconductors leads to a
metallic phase from which upon further doping superconductivity can emerge.
Recently, we discovered superconductivity in a closely related system: heavily
boron-doped silicon carbide. The sample used for that study consisted of cubic
and hexagonal SiC phase fractions and hence this led to the question which of
them participated in the superconductivity. Here we studied a hexagonal SiC
sample, free from cubic SiC phase by means of x-ray diffraction, resistivity, and
ac susceptibility.
PMID- 27878023
TI - Two-gap superconductivity in R2Fe3Si5 (R=Lu, Sc) and Sc5Ir4Si10.
AB - R2Fe3Si5 (R= Sc, Y, Lu) contains nonmagnetic iron and has a relatively high
superconducting transition temperature Tc among iron-containing superconductors.
An anomalous temperature dependence of specific heat C(T) has been reported for
polycrystalline samples down to 1 K. We have grown R2Fe3Si5 single crystals,
confirmed the anomalous C(T) dependence, and found a second drop in specific heat
below 1 K. In Lu2Fe3Si5, we can reproduce C(T) below Tc, assuming two distinct
energy gaps 2Delta 1/kBTc = 4.4 and 2Delta 2/kBTc = 1.1, with nearly equal
weights, indicating that Lu2Fe3Si5 is a two-gap superconductor similar to MgB2.
Hall coefficient measurements and band structure calculation also support the
multiband contributions to the normal-state properties. The specific heat in the
Sc2Fe3Si5 single crystals also shows the two-gap feature. R5Ir4Si10 (R = Sc, rare
earth) is also a superconductor where competition between superconductivity and
the charge-density wave is known for rare earths but not for Sc. We have
performed detailed specific heat measurements on Sc5Ir4Si10 single crystals and
found that C(T) deviates slightly from the behavior expected for weak-coupling
superconductors. C(T) for these superconductors can also be reproduced well by
assuming two superconducting gaps.
PMID- 27878024
TI - Soft x-ray photoelectron spectroscopy study of type-I clathrates.
AB - Extensive soft x-ray photoelectron spectroscopy studies are performed on Ba8 Ga16
Ge30 (BGG) and Sr8Ga16Ge30 (SGG) single crystals ranging from Fermi to core
levels, at a high-energy facility. Valence band x-ray photoelectron spectroscopy
(XPS) experiments with theoretical calculations revealed that the valence band is
mainly constructed by the Ge/Ga 4s and 4p wave functions with little contribution
of the Ba/Sr atomic orbitals. Surprisingly, unexpected features evidencing the
different shift for the 2a- and 6d- sites between Ba 4d and Sr 3d are observed.
The detailed analyses including theoretical support by first-principles band
structure calculations lead to the conclusion that the component distributions of
the larger tetrakaidecahedral cage are different depending on the endohedral
atoms, which contrasts with the past consensus that BGG and SGG have the same
framework structure. This may give thorough reconsiderations on earlier
interpretations of experimental data.
PMID- 27878026
TI - Synthesis of an orthorhombic high pressure boron phase.
AB - The densest boron phase (2.52 g cm-3) was produced as a result of the synthesis
under pressures above 9 GPa and temperatures up to ~1800 degrees C. The x-ray
powder diffraction pattern and the Raman spectra of the new material do not
correspond to those of any known boron phases. A new high-pressure high
temperature boron phase was defined to have an orthorhombic symmetry (Pnnm (No.
58)) and 28 atoms per unit cell.
PMID- 27878025
TI - Superconductivity in transparent zinc-doped In2O3 films having low carrier
density.
AB - Thin polycrystalline zinc-doped indium oxide (In2O3-ZnO) films were prepared by
post-annealing amorphous films with various weight concentrations x of ZnO in the
range 0?x ?0.06. We have studied the dependences of the resistivity rho and Hall
coefficient on temperature T and magnetic field H in the range 0.5?T ?300 K, H?6
Tfor 350 nm films annealed in air. Films with 0?x?0.03 show the superconducting
resistive transition. The transition temperature Tc is below 3.3 K and the
carrier density n is about 1025-1026 m-3. The annealed In2O3-ZnO films were
examined by transmission electron microscopy and x-ray diffraction analysis
revealing that the crystallinity of the films depends on the annealing time. We
studied the upper critical magnetic field Hc2 (T) for the film with x = 0.01.
From the slope of dHc2 /dT, we obtain the coherence length xi (0) ~ 10 nm at T =
0 K and a coefficient of electronic heat capacity that is small compared with
those of other oxide materials.
PMID- 27878027
TI - Structure and superconductivity of isotope-enriched boron-doped diamond.
AB - Superconducting boron-doped diamond samples were synthesized with isotopes of
10B, 11B, 13C and 12C. We claim the presence of a carbon isotope effect on the
superconducting transition temperature, which supports the 'diamond-carbon'
related nature of superconductivity and the importance of the electron-phonon
interaction as the mechanism of superconductivity in diamond. Isotope
substitution permits us to relate almost all bands in the Raman spectra of
heavily boron-doped diamond to the vibrations of carbon atoms. The 500 cm-1 Raman
band shifts with either carbon or boron isotope substitution and may be
associated with vibrations of paired or clustered boron. The absence of a
superconducting transition (down to 1.6 K) in diamonds synthesized in the Co-C-B
system at 1900 K correlates with the small boron concentration deduced from
lattice parameters.
PMID- 27878028
TI - Electronic structure of boron-doped diamond with B-H complex and B pair.
AB - The electronic structure of boron-hydrogen complex and boron pair in diamond are
studied by first-principles density-functional calculations with supercell
models. The electronic structure calculated for the B-H complexes with C2v or C3v
symmetry and the nearest-neighbor B pair is used to interpret recent experimental
results such as B 1s x-ray photoemission spectroscopy, 11B nuclear quadruple
resonance and B K-edge x-ray absorption spectroscopy, which cannot be explained
solely by the isolated substitutional boron.
PMID- 27878029
TI - Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2
films subjected to rapid thermal annealing.
AB - We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in
nitrogen flow on photoluminescence (PL) of SiO2 films implanted by different
doses of Si+ ions. Room-temperature PL from 400-nm-thick SiO2 films implanted to
a dose of 3*1016 cm-2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature
(950-1150 degrees C) and duration (5-20 s). The reported approach of implanting
silicon into SiO2 films followed by RTA may be effective for tuning Si-based
photonic devices.
PMID- 27878030
TI - Corrosion behavior of metals and alloys in marine-industrial environment.
AB - This work deals with atmospheric corrosion to assess the degrading effects of air
pollutants on ferrous and non-ferrous metals and alloys, which are mostly used as
engineering materials. An exposure study was conducted in the Tuticorin port area
located on the east coast of South India, in the Gulf of Mannar with Sri Lanka to
the southeast. Common engineering materials, namely mild steel, galvanized iron,
Zn, Al, Cu and Cu-Zn alloys (Cu-27Zn, Cu-30Zn and Cu-37Zn), were used in the
investigation. The site was chosen where the metals are exposed to marine and
industrial atmospheres. Seasonal 1 to 12 month corrosion losses of these metals
and alloys were determined by a weight loss method. The weight losses showed
strong corrosion of mild steel, galvanized iron, Cu and Zn and minor effect on Al
and Cu-Zn alloys. Linear regression analysis was conducted to study the mechanism
of corrosion. The composition of corrosion products formed on the metal surfaces
was identified by x-ray diffraction and Fourier transform infrared spectroscopy.
PMID- 27878031
TI - Positive segregation as a function of buoyancy force during steel ingot
solidification.
AB - We analyze theoretically and experimentally solute redistribution in the
dendritic solidification process and positive segregation during solidification
of steel ingots. Positive segregation is mainly caused by liquid flow in the
mushy zone. Changes in the liquid steel velocity are caused by the temperature
gradient and by the increase in the solid fraction during solidification. The
effects of buoyancy and of the change in the solid fraction on segregation
intensity are analyzed. The relationships between the density change, liquid
fraction and the steel composition are considered. Such elements as W, Ni, Mo and
Cr decrease the effect of the density variations, i.e. they show smaller tendency
to segregate. Based on the modeling and experimental results, coefficients are
provided controlling the effects of chemical composition, secondary dendrite arm
spacing and the solid fraction.
PMID- 27878032
TI - Rate-dependent serrated flow and plastic deformation in Ti45Zr16Be20Cu10Ni9 bulk
amorphous alloy during nanoindentation.
AB - The plastic deformation of Ti45Zr16Be20Cu10Ni9 bulk metallic glass has been
investigated by nanoindentation performed with loads ranging from 10 to 200 mN in
a wide range of loading rates. The plastic flow in the alloy exhibited
conspicuous serrations at low loading rates. The serrations, however, became less
prominent as the rate of indentation increased. Atomic force microscopy showed a
significant pile-up of materials around the indents, indicating that a highly
localized plastic deformation occurred under nanoindentation. The possible
mechanism governing the plastic deformation in bulk metallic glass specimens is
tentatively discussed in terms of strain-induced free volume.
PMID- 27878033
TI - Reinforcement of dynamically vulcanized EPDM/PP elastomers using organoclay
fillers.
AB - Dynamically vulcanized EPDM/PP (ethylene-propylene-diene/polypropylene)
elastomers reinforced with various amounts of organoclay were prepared using
octylphenol-formaldehyde resin and stannous chloride dehydrate as vulcanizing
agents. The effects of organoclay on vulcanization characteristics, rheological
behavior, morphology, thermal stability and thermomechanical properties were
studied. Experimental results showed that organoclay affected neither the
vulcanization process nor the degree of vulcanization chemically. X-ray analysis
revealed that these organoclay-filled thermoplastic vulcanizates (TPVs) were
intercalated. With respect to the mechanical properties, organoclay increased
both the strength and degree of elongation of TPVs. The morphological observation
of fractured surfaces suggested that organoclay acted as a nucleating agent in
TPVs, improving their mechanical properties. However, adding organoclay reduced
the thermal stability of TPVs by decomposing the swelling agents in the
organoclay.
PMID- 27878034
TI - Application of dynamic impedance spectroscopy to atomic force microscopy.
AB - Atomic force microscopy (AFM) is a universal imaging technique, while impedance
spectroscopy is a fundamental method of determining the electrical properties of
materials. It is useful to combine those techniques to obtain the spatial
distribution of an impedance vector. This paper proposes a new combining approach
utilizing multifrequency scanning and simultaneous AFM scanning of an
investigated surface.
PMID- 27878035
TI - Preparation and characterization of self-cleaning stable superhydrophobic linear
low-density polyethylene.
AB - Porous superhydrophobic linear low-density polyethylene (LLDPE) surface was
prepared by a simple method. Its water contact angle and sliding angle were 153+/
2 degrees and 10 degrees , respectively. After contamination, 99% of the
contaminant particles were removed from the superhydrophobic LLDPE surface using
artificial rain. The superhydrophobic LLDPE surface showed high stability in the
pH range from 2 to 13. When LLDPE samples were stored in ambient environment for
one month, their water contact angle and sliding angle remained constant. Their
superhydrophobic property was also maintained after annealing in the temperature
range 10-90 degrees C.
PMID- 27878036
TI - Microwave-assisted synthesis of WS2 nanowires through tetrathiotungstate
precursors.
AB - Tungsten disulfide (WS2) nanowires have been synthesized through a microwave
assisted chemical route that uses tungstic acid, elemental sulfur and
monoethanolamine as starting reagents for obtaining a precursor solution of
tetrathiotungstate ions. Acidification of the precursor solution yields amorphous
precipitates, which lead to the formation of nanowires of WS2 with thickness of
about 5-10 nm when heated at 750 degrees C under argon atmosphere for 1.5 h.
Phase and the microstructure of the prepared powders have been investigated
through x-ray powder diffraction and high-resolution transmission electron
microscopy, respectively. Optical absorption of the WS2 powders reveals a red
shift of the exciton bands compared to bulk WS2.
PMID- 27878037
TI - Effect of zinc phosphate chemical conversion coating on corrosion behaviour of
mild steel in alkaline medium: protection of rebars in reinforced concrete.
AB - We outline the ability of zinc phosphate coatings, obtained by chemical
conversion, to protect mild steel rebars against localized corrosion, generated
by chloride ions in alkaline media. The corrosion resistance of coated steel, in
comparison with uncoated rebars and coated and uncoated steel rebars embedded in
mortar, were evaluated by open-circuit potential, potentiodynamic polarization,
cronoamperometry and electrochemical impedance spectroscopy. The coated surfaces
were characterized by x-ray diffraction and scanning electron microscopy. First,
coated mild steel rebars were studied in an alkaline solution with and without
chloride simulating a concrete pore solution. The results showed that the slow
dissolution of the coating generates hydroxyapatite Ca10(PO4)6(OH)2. After a long
immersion, the coating became dense and provided an effective corrosion
resistance compared with the mild steel rebar. Secondly, the coated and uncoated
steel rebars embedded in mortar and immersed in chloride solution showed no
corrosion or deterioration of the coated steel. Corrosion rate is considerably
lowered by this phosphate coating.
PMID- 27878038
TI - The business of urology.
PMID- 27878039
TI - Canadian Urological Association annual meeting: Built on a foundation of
volunteerism.
PMID- 27878040
TI - Effect of contrast media on urinary cytopathology specimens.
AB - INTRODUCTION: Urological dogma dictates that washings collected from the urinary
tract for cytological assessment must be performed without interference from
contrast agents that may alter cellular integrity and diagnostic interpretation.
In practice, the initial contrast used to outline the upper tracts is commonly
discarded with subsequent saline washings sent for cytology. We hypothesize that
contrast washings do not affect the morphology of urothelial carcinoma cells or
the integrity of cytology interpretation. METHODS: Samples obtained from (1)
human bladder cell lines; (2) urine from a human xenograft bladder cancer model
using UC-3 cells; and (3) patients with urothelial carcinoma were subjected to
various experimental solutions (water, saline, urine, and dilutions of contrast
media) for different exposure times. After exposure to various different
solutions, samples underwent cytological analysis to assess morphologic and
degenerative changes. RESULTS: No cytological differences were seen when cells
were exposed to ionic, hyperosmolar, or non-ionic low-osmolar contrast agents for
any exposures up to five minutes. Cells exposed to mixtures of contrast agents
and urine also demonstrated no evidence of degenerative change. Cells exposed to
water for greater than one minute demonstrated significant hydropic degeneration
impacting cytological interpretation. At 40 minutes or later, all reagents caused
severe degeneration when evaluating urine samples from the mouse bladder cancer
model and from patients undergoing urothelial carcinoma. CONCLUSIONS: Commonly
used contrast agents have no effect on urinary cytology up to five minutes.
Contrast washings of the urinary tract should not be discarded and can be sent
for cytological diagnosis if fixed within this time period.
PMID- 27878042
TI - Advocating lifestyle modification to reduce risk of stone recurrence.
PMID- 27878041
TI - A prospective evaluation of obesometric parameters associated with renal stone
recurrence.
AB - INTRODUCTION: Our aim was to evaluate whether obesometric serum hormones and body
fat distribution are associated with renal stone recurrence. METHODS: We
conducted a prospective cohort study of participants undergoing renal stone (RS)
intervention at a single institution from November 2009-June 2010 and followed
them for a median 62 months. Obesometric parameters were measured at baseline,
including body mass index (BMI), fasting serum leptin and adiponectin, and
proportion of visceral adipose tissue (%VAT) averaged from three fixed axial
computed tomography (CT) slices. The primary study outcome was stone recurrence.
RESULTS: A total of 110 participants were enrolled. Elevated %VAT was associated
RS recurrence; participants with %VAT in the highest quartile had a five-year
stone-free rate of 47.1% compared to 72.2% among other participants (p=0.004).
Adjusting for gender, elevated %VAT was independently predictive of renal stone
recurrence among initial stone formers (n=74; hazard ratio [HR] 4.53, 95%
confidence interval [CI] 1.08-19.02), but not among recurrent stone formers
(n=19; HR 0.51, 95% CI 0.054-4.72). Other obesometric factors, including leptin,
adiponectin, and BMI, were not significantly predictive of recurrence.
CONCLUSIONS: We report a novel association between an elevated %VAT and stone
recurrence. These findings may inform patient counselling and followup regimens.
The metabolic basis for these findings requires further investigation.
PMID- 27878043
TI - Exploring the business of urology: Leadership.
PMID- 27878044
TI - A comparative study of radical prostatectomy and permanent seed brachytherapy for
low- and intermediate-risk prostate cancer.
AB - INTRODUCTION: We sought to compare the outcomes between radical prostatectomy
(RP) and permanent seed prostate brachytherapy (PB) in patients with low- and low
intermediate-risk prostate cancer from a single tertiary care centre. METHODS:
Patients were selected from our institute's internal database based on
preoperative selection criteria from the National Comprehensive Cancer Network
(NCCN) guidelines (2015) for low- and intermediate-risk patients. No patient had
received any neo-adjuvant androgen-deprivation therapy. The endpoint was
biochemical recurrence (BCR) or any salvage treatment for both RP and PB at 48 +/
4 months after treatment. The biochemical relapse threshold was set at prostate
specific antigen (PSA) >=0.5 ng/mL for PB and two PSA values of >=0.2 ng/mL for
RP. Patients from both treatment groups were compared using non-parametric tests.
A binary logistic regression analysis was performed to determine an association
of treatment and pretreatment factors with a BCR at 48 months. RESULTS: A total
of 575 patients were included in this study; 254 were treated with RP and 321
with PB. BCR was not different between both groups (p=0.84, Chi-square test), and
occurred in 21.2% of patients treated with RP and in 20.6% with PB. Based on
univariate and multivariate logistic regression analyses, younger age, higher
percentage of positive biopsies, and initial PSA were predictive of BCR.
Treatment modality was not predictive in either univariate (odds ratio [OR] 0.96,
95% confidence interval [CI] 0.64-1.44; p=0.84) or multivariate (OR 1.43, 95% CI
0.89-2.30; p=0.14) analyses. CONCLUSIONS: Using closely related cutoff values for
BCR, both RP and PB did not have significantly different outcomes at four years
post-treatment. A longer followup may be necessary to detect a difference between
treatments.
PMID- 27878045
TI - Current prostate cancer treatment: Not what but when?
PMID- 27878046
TI - Back-to-back comparison of mini-open vs. laparoscopic technique for living kidney
donation.
AB - INTRODUCTION: Laparoscopic living donor nephrectomy is the standard of care at
high-volume renal transplant centres, with benefits over the open approach well
documented in the literature. Herein, we present a retrospective analysis of our
single-institution donor nephrectomy series comparing the mini-open donor
nephrectomy (mini-ODN) to the laparoscopic donor nephrectomy (LDN) with regards
to operative, donor, and recipient outcomes. METHODS: From 2007-2011, there were
89 cases of mini-ODN, at which point our centre transitioned to LDN; 94 cases
were performed from 2011-2014. In total, 366 patients were reviewed, including
donor and recipient pairs. Donor and recipient demographics, intraoperative data,
postoperative donor recovery, recipient graft outcomes, and financial cost were
assessed comparing the surgical approaches. RESULTS: We demonstrate a reduced
estimated blood loss (347.83 vs. 90.3 cc), lower intraoperative complication rate
(4 vs. 11) and shorter length of hospital stay (2.4 vs. 3.3 days) for patients in
the LDN group. Operative time was significantly longer for the LDN group (108.4
vs. 165.9 minutes), although this did not translate to a longer warm ischemia
time (mean 2.0 minutes for each group). The rate of delayed graft function and
recipient 12-month creatinine were comparable for ODN and LND. Overall cost of
LDN was $684 higher for an uncomplicated admission. CONCLUSIONS: Despite a longer
surgical time and higher upfront cost, our study supports that LDN yields several
advantages over the mini-ODN, with a lower estimated blood loss, fewer
intraoperative complications, and shorter length of hospital stay, all while
maintaining excellent renal allograft outcomes.
PMID- 27878047
TI - Optimal surgical strategies in living kidney donation.
PMID- 27878048
TI - Validation of a prediction model for avoiding post-chemotherapy retroperitoneal
lymphadenectomy in patients with metastatic nonseminomatous germ cell cancer.
AB - INTRODUCTION: Post-chemotherapy residual masses (PCRMs) may contain persistent
cancer or teratoma in more than 50% of patients with metastatic non-seminomatous
germ cell tumours (mNSGCTs). Retroperitoneal lymph node dissection (RPLND) is
curative, but controversy exists about selection criteria for surgery. A
validated prediction model by Vergouwe et al (2007) based on over 1000 patients
was evaluated at our centre. METHODS: mNSGCT patients treated with RPLND for
PCRMs were identified from an electronic database. Typographical errors in the
model were identified and corrected using their 2003 publication, but retaining
the 2007 coefficients. Six clinical variables were included in the model and the
calculated probability of benign tissue was compared with pathology. "Benign
tissue only" was considered a positive test outcome in patients with a predicted
probability of "benign tissue only" greater than 70%. RESULTS: Fifty-two (52)
mNSGCT patients between 1980 and 2014 were evaluable. Median age was 32 years
(range 17-52) and International Germ Cell Consensus Classification (IGCCC)
prognostic stages were: good 46.2%, intermediate 32.7%, and poor 21.2%. Most
patients received bleomycin/etoposide/cisplatin (BEP) chemotherapy and full
bilateral RPLND. Pathology showed residual cancer or teratoma in 31 patients
(59.6%) and benign findings in 21 patients (40.6%). Positive and negative
predictive values and accuracy were 100%, 69%, and 73%, respectively.
CONCLUSIONS: "Benign tissue only" was found in 100% of patients in whom this was
predicted using our pre-determined criteria. This study involved a limited number
of patients, but confirms the potential value of the Vergouwe et al model.
Routine use of this prediction model in clinical practice should be tested for
mNSGCT patients with PCRMs.
PMID- 27878049
TI - Prostate volume estimations using magnetic resonance imaging and transrectal
ultrasound compared to radical prostatectomy specimens.
AB - INTRODUCTION: We sought to evaluate the accuracy of prostate volume estimates in
patients who received both a preoperative transrectal ultrasound (TRUS) and
magnetic resonance imaging (MRI) in relation to the referent pathological
specimen post-radical prostatectomy. METHODS: Patients receiving both TRUS and
MRI prior to radical prostatectomy at one academic institution were
retrospectively analyzed. TRUS and MRI volumes were estimated using the prolate
ellipsoid formula. TRUS volumes were collected from sonography reports. MRI
volumes were estimated by two blinded raters and the mean of the two was used for
analyses. Pathological volume was calculated using a standard fluid displacement
method. RESULTS: Three hundred and eighteen (318) patients were included in the
analysis. MRI was slightly more accurate than TRUS based on interclass
correlation (0.83 vs. 0.74) and absolute risk bias (higher proportion of
estimates within 5, 10, and 20 cc of pathological volume). For TRUS, 87 of 298
(29.2%) prostates without median lobes differed by >10 cc of specimen volume and
22 of 298 (7.4%) differed by >20 cc. For MRI, 68 of 298 (22.8%) prostates without
median lobes differed by >10 cc of specimen volume, while only 4 of 298 (1.3%)
differed by >20 cc. CONCLUSIONS: MRI and TRUS prostate volume estimates are
consistent with pathological volumes along the prostate size spectrum. MRI
demonstrated better correlation with prostatectomy specimen volume in most
patients and may be better suited in cases where TRUS and MRI estimates are
disparate. Validation of these findings with prospective, standardized ultrasound
techniques would be helpful.
PMID- 27878050
TI - Suboptimal use of pelvic lymph node dissection: Differences in guideline
adherence between robot-assisted and open radical prostatectomy.
AB - INTRODUCTION: Our aim was to assess adherence to National Comprehensive Cancer
Network (NCCN) and American Urological Association (AUA) guidelines for pelvic
lymph node dissection (PLND) at the time of either robot-assisted (RARP) or open
radical prostatectomy (ORP). METHODS: We relied on the Surveillance,
Epidemiology, and End Results-Medicare linked database and focused on localized
prostate cancer (PCa) patients who were treated with either RARP or ORP between
October 2008 and December 2009. Categorical and multivariable logistic regression
analyses targeted two endpoints: 1) probability of guideline-recommended PLND;
and 2) probability of no PLND, when not guideline-recommended. RESULTS: Among
5268 PCa patients, adherence to NCCN PLND guideline was 56.9% during RARP and
76.5% during ORP (odds ratio [OR] 0.4, 95% confidence interval [CI] 0.3-0.6). AUA
PLND guideline adherence was 68.1% during RARP and 82.4% during ORP (OR 0.7, 95%
CI 0.5-0.9). When PLND was not recommended, it was more frequently performed
during ORP according to either NCCN (OR 3.7, 95% CI 3.5-3.9) or AUA (OR 2.7, 95%
CI 2.6-2.8). According to the NCCN guideline, at recommended PLND in ORP
patients, 6.3% harboured lymph node invasion (LNI) (number needed to treat [NNT]
16) vs. 3.2% at RARP (NNT 31). According to the AUA guideline, at recommended
PLND in ORP patients, 12.3% harboured LNI (NNT 8) vs. 5.1% RARP (NNT 19).
CONCLUSIONS: Adherence to NCCN and AUA PLND guidelines was lower during RARP than
during ORP when PLND was recommended. The rate of non-recommended PLND was also
higher during ORP than during RARP. Technical considerations may be at play.
PMID- 27878051
TI - Anticholinergics for overactive bladder: Temporal trends in prescription and
treatment persistence.
AB - INTRODUCTION: We sought to understand the contemporary pharmacologic management
of overactive bladder (OAB) in a single-payer system. We examined temporal trends
in the use of anticholinergic medications and assessed whether the likelihood of
patients changing their anticholinergic therapy was predicted by their current
therapy. METHODS: We conducted a retrospective, population-based analysis of
prescription records from the PharmaNet database in BC, Canada. We identified
patients treated with one or more anticholinergic prescriptions between 2001 and
2009. We characterized temporal trends in the use of anticholinergic medications.
We used generalized estimating equations with a logit wing to assess the
relationship between the type of anticholinergic medication and the change in
prescription. RESULTS: The 114 325 included patients filled 1 140 296 anti
cholinergic prescriptions. The number of prescriptions each year increased over
the study, both in aggregate and for each individual medication. While oxybutynin
was the most commonly prescribed medication (68% of all prescriptions), the
proportion of newer anticholinergics (solifenacin, darifenacin, and trospium)
prescribed increased over time (p<0.0001). Patients taking tolterodine (odds
ratio [OR] 1.03; p=0.01) and darifenacin (OR 1.12; p=0.0006) were significantly
more likely to change their prescription than those taking oxybutynin. There was
no association seen for patients taking solifenacin (p=0.6) and trospium (p=0.9).
CONCLUSIONS: There are an increasing number of anticholinergic prescriptions
being filled annually. Patients taking newer anticholinergics are at least as
likely to change therapy as those taking oxybutynin. The reimbursement
environment in BC likely affects these results. Restrictions in the available
data limit assessment of other relevant predictors.
PMID- 27878052
TI - Missing the mark: Current practices in teaching the male urogenital examination
to Canadian undergraduate medical students.
AB - INTRODUCTION: The urogenital physical examination is an important aspect of
patient encounters in various clinical settings. Introductory clinical skills
sessions are intended to provide support and alleviate students' anxiety when
learning this sensitive exam. The techniques each Canadian medical school uses to
guide their students through the initial urogenital examination has not been
previously reported. METHODS: This study surveyed pre-clerkship clinical skills
program directors at the main campus of English-speaking Canadian medical schools
regarding the curriculum they use to teach the urogenital examination. RESULTS: A
response rate of 100% was achieved, providing information on resources and
faculty available to students, as well as the manner in which students were
evaluated. Surprisingly, over one-third of the Canadian medical schools surveyed
failed to provide a setting in which students perform a urogenital examination on
a patient in their pre-clinical years. Additionally, there was no formal
evaluation of this skill set reported by almost 50% of Canadian medical schools
prior to clinical training years. CONCLUSIONS: To ensure medical students are
confident and accurate in performing a urogenital examination, it is vital they
be provided the proper resources, teaching, and training. As we progress towards
a competency-based curriculum, it is essential that increased focus be placed on
patient encounters in undergraduate training. Further research to quantify
students' exposure to the urogenital examination during clinical years would be
of interest. Without this commitment by Canadian medical schools, we are doing a
disservice not only to the medical students, but also to our patient population.
PMID- 27878053
TI - Treatment strategies for large impacted upper ureteral stones.
PMID- 27878055
TI - CUA-AUA International Fellows Program: San Diego 2016.
PMID- 27878054
TI - CUA guideline: Vasectomy.
PMID- 27878056
TI - CUA-AUA International Fellows Program: San Diego 2016.
PMID- 27878057
TI - Correction in figure.
AB - [This corrects the article on p. 99 in vol. 9, PMID: 26085866.].
PMID- 27878059
TI - Assessment of Online Patient Education Materials from Major Dermatologic
Associations.
AB - Objective: Patients increasingly use the internet to find medical information
regarding their conditions and treatments. Physicians often supplement visits
with written education materials. Online patient education materials from major
dermatologic associations should be written at appropriate reading levels to
optimize utility for patients. The purpose of this study is to assess online
patient education materials from major dermatologic associations and determine if
they are written at the fourth to sixth grade level recommended by the American
Medical Association and National Institutes of Health. Design: This is a
descriptive and correlational design. Setting: Academic institution.
Participants/measurements: Patient education materials from eight major
dermatology websites were downloaded and assessed using 10 readability scales. A
one-way analysis of variance and Tukey's Honestly Statistically Different post
hoc analysis were performed to determine the difference in readability levels
between websites. Results: Two hundred and sixty patient education materials were
assessed. Collectively, patient education materials were written at a mean grade
level of 11.13, with 65.8 percent of articles written above a tenth grade level
and no articles written at the American Medical Association/National Institutes
of Health recommended grade levels. Analysis of variance demonstrated a
significant difference between websites for each reading scale (p<0.001), which
was confirmed with Tukey's Honestly Statistically Different post hoc analysis.
Conclusion: Online patient education materials from major dermatologic
association websites are written well above recommended reading levels.
Associations should consider revising patient education materials to allow more
effective patient comprehension. (J ClinAesthet Dermatol. 2016;9(9):23-28.).
PMID- 27878058
TI - Patient Factors and Their Association with Nonmelanoma Skin Cancer Morbidity and
the Performance of Self-skin Exams: A Cross-Sectional Study.
AB - Objective: Mohs micrographic surgery is widely utilized for the treatment of
nonmelanoma skin cancers with the advantage of tissue sparing and higher cure
rate. The preoperative tumor size and post-Mohs micrographic surgery defect size
are useful surrogate measures of nonmelanoma skin cancer morbidity. The authors
sought to evaluate whether gender, Hispanic ethnicity, socioeconomic status, sun
safe practices and self-skin exams affected tumor size and Mohs micrographic
surgery defect size. They also investigated factors associated with self-skin
exams. Design: A cross-sectional survey-based study. Setting: Two dermatologic
surgery clinics-one academic-associated and the other private. Participants:
Patients receiving Mohs surgery for nonmelanoma skin cancers. Measurements: Tumor
size and Mohs defect size and their relationship to patient factors ascertained
from a survey, as well as the number of patients performing self-skin exams. The
authors used t-tests and analysis of variance to compare tumor and defect sizes
for each patient factor. Chi-squared tests were used to determine the factors
associated with self-skin exams performance. Results: Lower education was
associated with greater head and face tumor area (95mm2 vs. 41mm2, P=0.019), but
not Mohs micrographic surgery defect size. Other studied patient factors were not
associated with an increased morbidity. Hispanics performed self-skin exams at a
lower rate than non-Hispanics (27% vs. 46%, p=0.03). Conclusion: This study
innovatively uses tumor and Mohs micrographic surgery defect area as a measure of
morbidity, allowing for identification of populations at need for improved
education and prevention. (J Clin Aesthet Dermatol. 2016;9(9):16-22.).
PMID- 27878060
TI - A Retrospective Analysis Comparing the New Standardized Letter of Recommendation
in Dermatology with the Classic Narrative Letter of Recommendation.
AB - Background: In an effort to avoid numerous problems associated with narrative
letters of recommendation, a dermatology standardized letter of recommendation
was utilized in the 2014-2015 resident application cycle. Objective: A comparison
of the standardized letter of recommendation and narrative letters of
recommendation from a single institution and application cycle to determine if
the standardized letter of recommendation met its original goals of efficiency,
applicant stratification, and validity. Methods: Eight dermatologists assessed
all standardized letters of recommendation/narrative letters of recommendation
pairs received during the 2014-2015 application cycle. Five readers repeated the
analysis two months later. Each letter of recommendation was evaluated based on a
seven question survey. Letter analysis and survey completion for each letter was
timed. Results: Compared to the narrative letters of recommendation, the
standardized letter of recommendation is easier to interpret (p<0.0001), has less
exaggeration of applicants' positive traits (p<0.001), and has higher inter-rater
and intrarater reliability for determining applicant traits including
personality, reliability, work-ethic, and global score. Standardized letters of
recommendation are also faster to interpret (p<0.0001) and provide more
information about the writer's background or writer-applicant relationship than
narrative letters of recommendation (p<0.001). Limitations: This study was
completed at a single institution. Conclusions: The standardized letter of
recommendation appears to be meeting its initial goals of 1) efficiency, 2)
applicant stratification, and 3) validity. (J Clin Aesthet Dermatol. 2016;9(9):36
2.).
PMID- 27878061
TI - Oral Apremilast for the Treatment of Plaque Psoriasis.
AB - This article provides an update on the use of oral apremilast, a
phosphodiesterase-4 (PDE4) inhibitor, for the treatment of plaque psoriasis.
Emphasis is placed on safety evaluations, although efficacy considerations are
also addressed. Both two-year and three -year data analyses support the favorable
safety profile reported in pivotal trials with this agent. Although effective in
many study subjects despite baseline characteristics, higher response rates were
noted in those with a baseline psoriasis area and severity index (PASI) score <20
and in subjects not previously treated with systemic therapy for psoriasis.
Gastrointestinal (GI) side effects are the most common adverse events (AEs)
reported, especially during the first few weeks of use; recommendations on
management of GI AEs are discussed. Psychological AEs appear to be rare,
including with prolonged durations of use, and are not clearly associated with
the drug itself as depression and suicidal behaviors are common in individuals
with psoriasis. Data reported through up to 182 weeks of exposure to apremilast
do not support an association with cardiac AEs, emergence of malignancies,
enhanced predilection to develop significant opportunistic infections, or
reactivation of occult infection, such as tuberculosis.
PMID- 27878062
TI - Pediatric Lichen Sclerosus: A Review of the Literature and Management
Recommendations.
AB - Lichen sclerosus is an uncommon, inflammatory disease of the skin and mucosa that
can cause significant pruritus, pain, and scarring. There are two peaks of
incidence: one in adult females, and the other in young girls. Early recognition
and prompt management of the disorder is crucial in preventing long-lasting
complications. This article reviews lichen sclerosus in children and provides
evidence-based guidance for treatment in the pediatric population.
PMID- 27878063
TI - Retrospective Study of Punch Scoring Versus Freehand Approach for First Stage
Mohs Micrographic Surgery.
AB - Objective: The objective was to observe whether there is a difference in the
number of subjects requiring more than one stage of Mohs micrographic surgery for
small lesion nonmelanoma skin cancers using the punch scoring method versus
freehand approach. Design: Retrospective review. Setting: Outpatient Mohs Clinic.
Participants: Thirty patients with small lesion (<5mm) basal cell and squamous
cell carcinoma who had Mohs micrographic surgery using either the punch scoring
method (15) for scoring the first layer or the freehand method (15).
Measurements: Differences between the two groups were evaluated by the number of
subjects requiring more than one stage and the reason for any additional stages.
Results: There was no observed difference in the number of subjects requiring
more than one Mohs stage between the punch scoring group and the freehand group.
Conclusion: Dermatologic surgeons can use the punch scoring method or the
freehand approach for scoring small lesion Mohs based on provider preference.
PMID- 27878064
TI - Cavernous Hemangioma of the Rib: A Case Report.
AB - Hemangioma of the rib is a rare benign vascular tumor. Herein, we report a 63
year-old man presenting with hemangioma of the left sixth rib. An osteolytic
eccentric expansive mass with calcification and focal cortical disruption was
detected on chest computed tomography (CT). The mass showed low 2-deoxy-2-[18F]
fluoro-D-glucose (18F-FDG) avidity on positron emission tomography. The patient
underwent resection of the left sixth rib. Based on the histopathology findings,
a diagnosis of cavernous hemangioma was made. Rib hemangiomas and malignant
lesions appear similar on CT scans; therefore, most cases of rib hemangiomas
reported in the literature were treated with rib resection. However, rib
hemangiomas should be included in the differential diagnosis of an asymptomatic
patient if an osteolytic expansive lesion containing sunburst calcifications with
low 18F-FDG avidity is observed on a CT scan.
PMID- 27878065
TI - Corticobulbar Tract Involvement in Neuropsychiatric Systemic Lupus Erythematosus:
A Case Report.
AB - A 36-year-old woman, diagnosed with systemic lupus erythematosus (SLE), showed
bulbar symptoms including impaired memory, slurred speech and swallowing
difficulty 7 days before admission. Magnetic resonance imaging (MRI) showed
symmetric confluent hyperintensities in the bilateral cerebral white matter on T2
weighted imaging (T2-WI), extended into the genu of the internal capsule and the
crus cerebri of the midbrain. MR spectroscopy showed increased choline and
decreased N-acetyl aspartate (NAA) peak and positron emission computed tomography
(PET CT) showed decreased fluorodeoxyglucose (FDG) uptake on the lateral portion
of the frontal lobe, suggesting demyelination of the white matter. The value of
apparent diffusion coefficient, fractional anisotropy, tensor linear, tensor
planar and relative anisotropy of the corticobulbar tract (CBT) were lower than
those of the corticospinal tract. This is the first case report of CBT
involvement in a patient with neuropsychiatric SLE (NPSLE) as far as we know. The
findings of T2-WI and diffusion tensor imaging (DTI) showed precise anatomical
location of neuronal damage of CBT. In addition, magnetic resonance spectroscopy
(MRS), PET-CT and parameters of DTI supported the explanations of the
inflammatory process and metabolic change of the white matter caused by NPSLE.
PMID- 27878066
TI - A Rare Case of Invasive Apocrine Carcinoma of the Breast with Unusual Radiologic
Findings.
AB - Invasive apocrine carcinoma (IAC) of the breast is a rare subtype of breast
malignancy. Its incidence is not well known, but it is approximately less than 1%
to 4%. For these reasons, there are few reports and little information on the
radiologic appearance of IAC. Furthermore, most of the case reports show
malignant features which are similar to invasive ductal carcinoma (IDC). We
present a rare case of IAC without typical malignant feature on mammography, and
ultrasonography (USG). Imaging findings on computed tomography (CT), magnetic
resonance imaging (MRI), and 18F-fluorodeoxyglucose (FDG) positron emission
tomography (PET)/CT are also presented. The nodule in our case showed a
relatively benign feature on USG and it is the first case of IAC with unusual
findings. Therefore, this report may encourage radiologists to consider the
malignant potential and perform pathologic correlation even if a newly developed
nodule does not present with a typical malignant feature on USG.
PMID- 27878067
TI - Ultrasonography Findings of AlloDerm(r) Used in Postmastectomy Alloplastic Breast
Reconstruction: A Case Report and Literature Review.
AB - AlloDerm(r) (LifeCell Corp.; Brancburg, NJ) is an acellular dermal matrix (ADM)
that serves as an immunologically inert scaffold in plastic surgery. In breast
reconstruction, it is used as a filler for lumpectomy defects and can be used to
create the inferolateral portion of the tissue expander pocket or implant pocket
in postmastectomy patients. However, there are limited reports of the radiologic
findings of AlloDerm(r) in patients who have undergone postmastectomy
reconstruction. Familiarity with the imaging features of AlloDerm(r) is essential
for correct diagnosis. We report the ultrasonography features of AlloDerm(r) in a
43-year-old female patient 3 months after postmastectomy reconstruction. It may
help distinguish AlloDerm(r) from tumor recurrence or other foreign body
materials such as gossypiboma. This is important as misdiagnosis can often lead
to unnecessary surgical intervention.
PMID- 27878068
TI - Genome methylation patterns across castes and generations in a parasitoid wasp.
AB - Environmental influences shape phenotypes within and across generations, often
through DNA methylations that modify gene expression. Methylations were proposed
to mediate caste and task allocation in some eusocial insects, but how an
insect's environment affects DNA methylation in its offspring is yet unknown. We
characterized parental effects on methylation profiles in the polyembryonic
parasitoid wasp Copidosoma koehleri, as well as methylation patterns associated
with its simple caste system. We used methylation-sensitive amplified fragment
length polymorphism (MS-AFLP) to compare methylation patterns, among (1)
reproductive and soldier larvae; and (2) offspring (larvae, pupae, and adults) of
wasps that were reared at either high or low larval density and mated in the four
possible combinations. Methylation frequencies were similar across castes, but
the profiles of methylated fragments differed significantly. Parental rearing
density did not affect methylation frequencies in the offspring at any
developmental stage. Principal coordinate analysis indicated no significant
differences in methylation profiles among the four crossbreeding groups and the
three developmental stages. Nevertheless, a clustering analysis, performed on a
subset of the fragments, revealed similar methylation patterns in larvae, pupae,
and adults in two of the four parental crosses. Nine fragments were methylated at
two cytosine sites in all larvae, and five others were methylated at two sites in
all adults. Thus, DNA methylations correlate with within-generation phenotypic
plasticity due to caste. However, their association with developmental stage and
with transgenerational epigenetic effects is not clearly supported.
PMID- 27878069
TI - Both natural selection and isolation by distance explain phenotypic divergence in
bill size and body mass between South Australian little penguin colonies.
AB - Morphological variation between populations of the same species can arise as a
response to genetic variation, local environmental conditions, or a combination
of both. In this study, I examined small-scale geographic variation in bill size
and body mass in little penguins (Eudyptula minor) across five breeding colonies
in South Australia separated by <150 km. To help understand patterns driving the
differences, I investigated these variations in relation to environmental
parameters (air temperature, sea surface temperature, and water depth) and
geographic distances between the colonies. I found substantial morphological
variation among the colonies for body mass and bill measurements (except bill
length). Colonies further located from each other showed greater morphological
divergence overall than adjacent colonies. In addition, phenotypic traits were
somewhat correlated to environmental parameters. Birds at colonies surrounded by
hotter sea surface temperatures were heavier with longer and larger bills. Birds
with larger and longer bills were also found at colonies surrounded by shallower
waters. Overall, the results suggest that both environmental factors (natural
selection) and interpopulation distances (isolation by distance) are causes of
phenotypic differentiation between South Australian little penguin colonies.
PMID- 27878071
TI - Flies evolved small bodies and cells at high or fluctuating temperatures.
AB - Recent theory predicts that the sizes of cells will evolve according to
fluctuations in body temperature. Smaller cells speed metabolism during periods
of warming but require more energy to maintain and repair. To evaluate this
theory, we studied the evolution of cell size in populations of Drosophila
melanogaster held at either a constant temperature (16 degrees C or 25 degrees C)
or fluctuating temperatures (16 and 25 degrees C). Populations that evolved at
fluctuating temperatures or a constant 25 degrees C developed smaller thoraxes,
wings, and cells than did flies exposed to a constant 16 degrees C. The cells of
flies from fluctuating environments were intermediate in size to those of flies
from constant environments. Most genetic variation in cell size was independent
of variation in wing size, suggesting that cell size was a target of selection.
These evolutionary patterns accord with patterns of developmental plasticity
documented previously. Future studies should focus on the mechanisms that
underlie the selective advantage of small cells at high or fluctuating
temperatures.
PMID- 27878070
TI - Changing climate mediates sapsucker (Aves: Sphyrapicus) hybrid zone movement.
AB - Hybrid zones, where two divergent taxa meet and interbreed, offer unique
opportunities to investigate how climate contributes to reproductive isolation
between closely related taxa and how these taxa may respond to climatic changes.
Red-naped (Sphyrapicus nuchalis) and Red-breasted (Sphyrapicus ruber) sapsuckers
(Aves: Picidae) hybridize along a narrow contact zone that stretches from
northern California to British Columbia. The hybrid zone between these species
has been studied extensively for more than 100 years and represents an excellent
system for investigations of the evolution of reproductive isolation. Shifts in
the proportions of phenotypes at hybrid localities since 1910 that were inferred
using specimens from museum collections were confirmed using species distribution
models. We predicted the historical, current, and future distributions of
parental and hybrid sapsuckers using Random Forests models to quantify how
climate change is affecting hybrid zone movement in the Pacific Northwest. We
found observed distribution shifts of parental sapsuckers were likely the result
of climate change over the past 100 years, with these shifts predicted to
continue for both sapsuckers over the next 80 years. We found Red-breasted
Sapsuckers are predicted to continue to expand, while Red-naped Sapsuckers are
predicted to contract substantially under future climate scenarios. As a result
of the predicted changes, the amount of overlap in the distribution of these
sapsuckers may decrease. Using hybrid phenotypes, we found the climate niche
occupied by the hybrid zone is predicted to disappear under future conditions.
The disappearance of this climate niche where the two parental species come into
contact and hybridize may lead to a substantial reduction in genetic
introgression. Understanding the impacts of global climate change on hybrid zones
may help us to better understand how speciation has been shaped by climate in the
past, as well as how evolution may respond to climate change in the future.
PMID- 27878072
TI - Concordance between stabilizing sexual selection, intraspecific variation, and
interspecific divergence in Phymata.
AB - Empirical studies show that lineages typically exhibit long periods of
evolutionary stasis and that relative levels of within-species trait covariance
often correlate with the extent of between-species trait divergence. These
observations have been interpreted by some as evidence of genetic constraints
persisting for long periods of time. However, an alternative explanation is that
both intra- and interspecific variation are shaped by the features of the
adaptive landscape (e.g., stabilizing selection). Employing a genus of insects
that are diverse with respect to a suite of secondary sex traits, we related data
describing nonlinear phenotypic (sexual) selection to intraspecific trait
covariances and macroevolutionary divergence. We found support for two key
predictions (1) that intraspecific trait covariation would be aligned with
stabilizing selection and (2) that there would be restricted macroevolutionary
divergence in the direction of stabilizing selection. The observed alignment of
all three matrices offers a point of caution in interpreting standing variability
as metrics of evolutionary constraint. Our results also illustrate the power of
sexual selection for determining variation observed at both short and long
timescales and account for the apparently slow evolution of some secondary sex
characters in this lineage.
PMID- 27878073
TI - Does the different photosynthetic pathway of plants affect soil respiration in a
subtropical wetland?
AB - Plants with different photosynthetic pathways could produce different amounts and
types of root exudates and debris which may affect soil respiration rates.
Therefore, wetland vegetation succession between plants with different
photosynthetic pathways may ultimately influence the wetland carbon budget. The
middle and lower reaches of the Yangtze River has the largest floodplain wetland
group in China. Tian'e Zhou wetland reserve (29 degrees 48'N, 112 degrees 33'E)
is located in Shishou city, Hubei province and covers about 77.5 square
kilometers. Hemathria altissima (C4) was found gradually being replaced by Carex
argyi (C3) for several years in this place. An in situ experiment was conducted
in Tian'e Zhou wetland to determine the change of soil respiration as the
succession proceeds. Soil respiration, substrate-induced respiration, and
bacterial respiration of the C4 species was greater than those of the C3 species,
but below-ground biomass and fungal respiration of the C4 species was less than
that of the C3 species. There were no significant differences in above-ground
biomass between the two species. Due to the higher photosynthesis capability,
higher soil respiration and lower total plant biomass, we inferred that the C4
species, H. altissima, may transport more photosynthate below-ground as a
substrate for respiration. The photosynthetic pathway of plants might therefore
play an important role in regulating soil respiration. As C. argyi replaces H.
altissima, the larger plant biomass and lower soil respiration would indicate
that the wetland in this area could fix more carbon in the soil than before.
PMID- 27878074
TI - Latitudinal variation in nematode diversity and ecological roles along the
Chinese coast.
AB - AIM: To test changes in the phylogenetic relatedness, niche breadth, and life
history strategies of nematodes along a latitudinal gradient. LOCATION: Sixteen
wetland locations along the Pacific coast of China, from 20 degrees N to 40
degrees N. METHODS: Linear regression was used to relate nematode phylogenetic
relatedness (average taxonomic distinctness (AvTD) and average phylogenetic
diversity [AvPD]), life-history group (based on "c-p" colonizer-persister group
classification), and dietary specificity (based on guild classification of
feeding selectivity) to latitude. RESULTS: Wetland nematode taxonomic diversity
(richness and Shannon diversity indices) decreased with increasing latitude along
the Chinese coast. Phylogenetic diversity indices (AvTD and AvPD) significantly
increased with increasing latitude. This indicates that at lower latitudes,
species within the nematode community were more closely related. With increasing
latitude, the nematode relative richness and abundance decreased for selective
deposit feeders but increased for nonselective deposit feeders. The proportion of
general opportunists decreased with increasing latitude, but persisters showed
the opposite trend. The annual temperature range and the pH of sediments were
more important than vegetation type in structuring nematode communities. MAIN
CONCLUSION: Nematode niche breadth was narrower at lower latitudes with respect
to dietary specificity. Higher latitudes with a more variable climate favor r
over K life-history strategists. Nematode communities at lower latitudes
contained more closely related species.
PMID- 27878076
TI - Nonmotorized recreation and motorized recreation in shrub-steppe habitats affects
behavior and reproduction of golden eagles (Aquila chrysaetos).
AB - Different forms of outdoor recreation have different spatiotemporal activity
patterns that may have interactive or cumulative effects on wildlife through
human disturbance, physical habitat change, or both. In western North America,
shrub-steppe habitats near urban areas are popular sites for motorized recreation
and nonmotorized recreation and can provide important habitat for protected
species, including golden eagles. Our objective was to determine whether
recreation use (i.e., number of recreationists) or recreation features (e.g.,
trails or campsites) predicted golden eagle territory occupancy, egg-laying, or
the probability a breeding attempt resulted in >=1 offspring (nest survival). We
monitored egg-laying, hatching and fledging success, eagle behavior, and
recreation activity within 23 eagle territories near Boise, Idaho, USA.
Territories with more off-road vehicle (ORV) use were less likely to be occupied
than territories with less ORV use (beta = -1.6, 85% CI: -2.8 to -0.8). At
occupied territories, early season pedestrian use (beta = -1.6, 85% CI: -3.8 to
0.2) and other nonmotorized use (beta = -3.6, 85% CI: -10.7 to -0.3) reduced the
probability of egg-laying. At territories where eagles laid eggs, short, interval
specific peaks in ORV use were associated with decreased nest survival (beta =
0.5, 85% CI: -0.8 to -0.2). Pedestrians, who often arrived near eagle nests via
motorized vehicles, were associated with reduced nest attendance (beta = -11.9,
85% CI: -19.2 to -4.5), an important predictor of nest survival. Multiple forms
of recreation may have cumulative effects on local populations by reducing
occupancy at otherwise suitable territories, decreasing breeding attempts, and
causing nesting failure. Seasonal no-stopping zones for motorized vehicles may be
an alternative to trail closures for managing disturbance. This study
demonstrates the importance of considering human disturbance across different
parts of the annual cycle, particularly where multiple forms of recreation have
varying spatiotemporal use patterns that create human-wildlife interactions.
PMID- 27878075
TI - Experimentally comparing the attractiveness of domestic lights to insects: Do
LEDs attract fewer insects than conventional light types?
AB - LED lighting is predicted to constitute 70% of the outdoor and residential
lighting markets by 2020. While the use of LEDs promotes energy and cost savings
relative to traditional lighting technologies, little is known about the effects
these broad-spectrum "white" lights will have on wildlife, human health, animal
welfare, and disease transmission. We conducted field experiments to compare the
relative attractiveness of four commercially available "domestic" lights, one
traditional (tungsten filament) and three modern (compact fluorescent, "cool
white" LED and "warm-white" LED), to aerial insects, particularly Diptera. We
found that LEDs attracted significantly fewer insects than other light sources,
but found no significant difference in attraction between the "cool-" and "warm
white" LEDs. Fewer flies were attracted to LEDs than alternate light sources,
including fewer Culicoides midges (Diptera: Ceratopogonidae). Use of LEDs has the
potential to mitigate disturbances to wildlife and occurrences of insect-borne
diseases relative to competing lighting technologies. However, we discuss the
risks associated with broad-spectrum lighting and net increases in lighting
resulting from reduced costs of LED technology.
PMID- 27878077
TI - Phylogeography of the antilopine wallaroo (Macropus antilopinus) across tropical
northern Australia.
AB - The distribution of antilopine wallaroo, Macropus antilopinus, is marked by a
break in the species' range between Queensland and the Northern Territory,
coinciding with the Carpentarian barrier. Previous work on M. antilopinus
revealed limited genetic differentiation between the Northern Territory and
Queensland M. antilopinus populations across this barrier. The study also
identified a number of divergent lineages in the Northern Territory, but was
unable to elucidate any geographic structure. Here, we re-examine these results
to (1) determine phylogeographic patterns across the range of M. antilopinus and
(2) infer the biogeographic barriers associated with these patterns. The tropical
savannahs of northern Australia: from the Cape York Peninsula in the east, to the
Kimberley in the west. We examined phylogeographic patterns in M. antilopinus
using a larger number of samples and three mtDNA genes: NADH dehydrogenase
subunit 2, cytochrome b, and the control region. Two datasets were generated and
analyzed: (1) a subset of samples with all three mtDNA regions concatenated
together and (2) all samples for just control region sequences that included
samples from the previous study. Analysis included generating phylogenetic trees
based on Bayesian analysis and intraspecific median-joining networks. The
contemporary spatial structure of M. antilopinus mtDNA lineages revealed five
shallow clades and a sixth, divergent lineage. The genetic differences that we
found between Queensland and Northern Territory M. antilopinus samples confirmed
the split in the geographic distribution of the species. We also found weak
genetic differentiation between Northern Territory samples and those from the
Kimberley region of Western Australia, possibly due to the Kimberley Plateau
Arnhem Land barrier. Within the Northern Territory, two clades appear to be
parapatric in the west, while another two clades are broadly sympatric across the
Northern Territory. MtDNA diversity of M. antilopinus revealed an unexpectedly
complex evolutionary history involving multiple sympatric and parapatric mtDNA
clades across northern Australia. These phylogeographic patterns highlight the
importance of investigating genetic variation across distributions of species and
integrating this information into biodiversity conservation.
PMID- 27878078
TI - Cool habitats support darker and bigger butterflies in Australian tropical
forests.
AB - Morphology mediates the relationship between an organism's body temperature and
its environment. Dark organisms, for example, tend to absorb heat more quickly
than lighter individuals, which could influence their responses to temperature.
Therefore, temperature-related traits such as morphology may affect patterns of
species abundance, richness, and community assembly across a broad range of
spatial scales. In this study, we examined variation in color lightness and body
size within butterfly communities across hot and cool habitats in the tropical
woodland-rainforest ecosystems of northeast Queensland, Australia. Using thermal
imaging, we documented the absorption of solar radiation relative to color
lightness and wingspan and then built a phylogenetic tree based on available
sequences to analyze the effects of habitat on these traits within a phylogenetic
framework. In general, darker and larger individuals were more prevalent in cool,
closed-canopy rainforests than in immediately adjacent and hotter open woodlands.
In addition, darker and larger butterflies preferred to be active in the shade
and during crepuscular hours, while lighter and smaller butterflies were more
active in the sun and midday hours-a pattern that held after correcting for
phylogeny. Our ex situ experiment supported field observations that dark and
large butterflies heated up faster than light and small butterflies under
standardized environmental conditions. Our results show a thermal consequence of
butterfly morphology across habitats and how environmental factors at a
microhabitat scale may affect the distribution of species based on these traits.
Furthermore, this study highlights how butterfly species might differentially
respond to warming based on ecophysiological traits and how thermal refuges might
emerge at microclimatic and habitat scales.
PMID- 27878079
TI - Microbial community responses to soil tillage and crop rotation in a corn/soybean
agroecosystem.
AB - The acreage planted in corn and soybean crops is vast, and these crops contribute
substantially to the world economy. The agricultural practices employed for
farming these crops have major effects on ecosystem health at a worldwide scale.
The microbial communities living in agricultural soils significantly contribute
to nutrient uptake and cycling and can have both positive and negative impacts on
the crops growing with them. In this study, we examined the impact of the crop
planted and soil tillage on nutrient levels, microbial communities, and the
biochemical pathways present in the soil. We found that farming practice, that is
conventional tillage versus no-till, had a much greater impact on nearly
everything measured compared to the crop planted. No-till fields tended to have
higher nutrient levels and distinct microbial communities. Moreover, no-till
fields had more DNA sequences associated with key nitrogen cycle processes,
suggesting that the microbial communities were more active in cycling nitrogen.
Our results indicate that tilling of agricultural soil may magnify the degree of
nutrient waste and runoff by altering nutrient cycles through changes to
microbial communities. Currently, a minority of acreage is maintained without
tillage despite clear benefits to soil nutrient levels, and a decrease in
nutrient runoff-both of which have ecosystem-level effects and both direct and
indirect effects on humans and other organisms.
PMID- 27878080
TI - Intragenomic conflict produces sex ratio dynamics that favor maternal sex ratio
distorters.
AB - Maternal sex ratio distorters (MSDs) are selfish elements that enhance their
transmission by biasing their host's sex allocation in favor of females. While
previous models have predicted that the female-biased populations resulting from
sex ratio distortion can benefit from enhanced productivity, these models neglect
Fisherian selection for nuclear suppressors, an unrealistic assumption in most
systems. We used individual-based computer simulation modeling to explore the
intragenomic conflict between sex ratio distorters and their suppressors and
explored the impacts of these dynamics on population-level competition between
species characterized by MSDs and those lacking them. The conflict between
distorters and suppressors was capable of producing large cyclical fluctuations
in the population sex ratio and reproductive rate. Despite fitness costs
associated with the distorters and suppressors, MSD populations often exhibited
enhanced productivity and outcompeted non-MSD populations in single and multiple
population competition simulations. Notably, the conflict itself is beneficial to
the success of populations, as sex ratio oscillations limit the competitive
deficits associated with prolonged periods of male rarity. Although intragenomic
conflict has been historically viewed as deleterious to populations, our results
suggest that distorter-suppressor conflict can provide population-level
advantages, potentially helping to explain the persistence of sex ratio
distorters in a range of taxa.
PMID- 27878081
TI - Climate extremes are associated with invertebrate taxonomic and functional
composition in mountain lakes.
AB - Climate change is expected to increase climate variability and the occurrence of
extreme climatic events, with potentially devastating effects on aquatic
ecosystems. However, little is known about the role of climate extremes in
structuring aquatic communities or the interplay between climate and local
abiotic and biotic factors. Here, we examine the relative influence of climate
and local abiotic and biotic conditions on biodiversity and community structure
in lake invertebrates. We sampled aquatic invertebrates and measured
environmental variables in 19 lakes throughout California, USA, to test
hypotheses of the relationship between climate, local biotic and environmental
conditions, and the taxonomic and functional structure of aquatic invertebrate
communities. We found that, while local biotic and abiotic factors such as
habitat availability and conductivity were the most consistent predictors of
alpha diversity, extreme climate conditions such as maximum summer temperature
and dry-season precipitation were most often associated with multivariate
taxonomic and functional composition. Specifically, sites with high maximum
temperatures and low dry-season precipitation housed communities containing high
abundances of large predatory taxa. Furthermore, both climate dissimilarity and
abiotic dissimilarity determined taxonomic turnover among sites (beta diversity).
These findings suggest that while local-scale environmental variables may predict
alpha diversity, climatic variability is important to consider when projecting
broad-scale aquatic community responses to the extreme temperature and
precipitation events that are expected for much of the world during the next
century.
PMID- 27878082
TI - Predicted rarity-weighted richness, a new tool to prioritize sites for species
representation.
AB - Lack of biodiversity data is a major impediment to prioritizing sites for species
representation. Because comprehensive species data are not available in any
planning area, planners often use surrogates (such as vegetation communities, or
mapped occurrences of a well-inventoried taxon) to prioritize sites. We propose
and demonstrate the effectiveness of predicted rarity-weighted richness (PRWR) as
a surrogate in situations where species inventories may be available for a
portion of the planning area. Use of PRWR as a surrogate involves several steps.
First, rarity-weighted richness (RWR) is calculated from species inventories for
a q% subset of sites. Then random forest models are used to model RWR as a
function of freely available environmental variables for that q% subset. This
function is then used to calculate PRWR for all sites (including those for which
no species inventories are available), and PRWR is used to prioritize all sites.
We tested PRWR on plant and bird datasets, using the species accumulation index
to measure efficiency of PRWR. Sites with the highest PRWR represented species
with median efficiency of 56% (range 32%-77% across six datasets) when q = 20%,
and with median efficiency of 39% (range 20%-63%) when q = 10%. An efficiency of
56% means that selecting sites in order of PRWR rank was 56% as effective as
having full knowledge of species distributions in PRWR's ability to improve on
the number of species represented in the same number of randomly selected sites.
Our results suggest that PRWR may be able to help prioritize sites to represent
species if a planner has species inventories for 10%-20% of the sites in the
planning area.
PMID- 27878083
TI - Interactive effects between plant functional types and soil factors on tundra
species diversity and community composition.
AB - Plant communities are coupled with abiotic factors, as species diversity and
community composition both respond to and influence climate and soil
characteristics. Interactions between vegetation and abiotic factors depend on
plant functional types (PFT) as different growth forms will have differential
responses to and effects on site characteristics. However, despite the importance
of different PFT for community assembly and ecosystem functioning, research has
mainly focused on vascular plants. Here, we established a set of observational
plots in two contrasting habitats in northeastern Siberia in order to assess the
relationship between species diversity and community composition with soil
variables, as well as the relationship between vegetation cover and species
diversity for two PFT (nonvascular and vascular). We found that nonvascular
species diversity decreased with soil acidity and moisture and, to a lesser
extent, with soil temperature and active layer thickness. In contrast, no such
correlation was found for vascular species diversity. Differences in community
composition were found mainly along soil acidity and moisture gradients. However,
the proportion of variation in composition explained by the measured soil
variables was much lower for nonvascular than for vascular species when
considering the PFT separately. We also found different relationships between
vegetation cover and species diversity according the PFT and habitat. In support
of niche differentiation theory, species diversity and community composition were
related to edaphic factors. The distinct relationships found for nonvascular and
vascular species suggest the importance of considering multiple PFT when
assessing species diversity and composition and their interaction with edaphic
factors. Synthesis: Identifying vegetation responses to edaphic factors is a
first step toward a better understanding of vegetation-soil feedbacks under
climate change. Our results suggest that incorporating differential responses of
PFT is important for predicting vegetation shifts, primary productivity, and in
turn, ecosystem functioning in a changing climate.
PMID- 27878084
TI - Effect of different root endophytic fungi on plant community structure in
experimental microcosms.
AB - Understanding the effects of root-associated microbes in explaining plant
community patterns represents a challenge in community ecology. Although
typically overlooked, several lines of evidence point out that nonmycorrhizal,
root endophytic fungi in the Ascomycota may have the potential to drive changes
in plant community ecology given their ubiquitous presence, wide host ranges, and
plant species-specific fitness effects. Thus, we experimentally manipulated the
presence of root endophytic fungal species in microcosms and measured its effects
on plant communities. Specifically, we tested whether (1) three different root
endophyte species can modify plant community structure; (2) those changes can
also modified the way plant respond to different soil types; and (3) the effects
are modified when all the fungi are present. As a model system, we used plant and
fungal species that naturally co-occur in a temperate grassland. Further, the
soil types used in our experiment reflected a strong gradient in soil texture
that has been shown to drive changes in plant and fungal community structure in
the field. Results showed that each plant species responded differently to
infection, resulting in distinct patterns of plant community structure depending
on the identity of the fungus present. Those effects depended on the soil type.
For example, large positive effects due to presence of the fungi were able to
compensate for less nutrients levels in one soil type. Further, host responses
when all three fungi were present were different from the ones observed in single
fungal inoculations, suggesting that endophyte-endophyte interactions may be
important in structuring plant communities. Overall, these results indicate that
plant responses to changes in the species identity of nonmycorrhizal fungal
community species and their interactions can modify plant community structure.
PMID- 27878085
TI - Stable isotope-based trophic structure of pelagic fish and jellyfish across
natural and anthropogenic landscape gradients in a fjord estuary.
AB - Identifying causes of structural ecosystem shifts often requires understanding
trophic structure, an important determinant of energy flow in ecological
communities. In coastal pelagic ecosystems worldwide, increasing jellyfish
(Cnidaria and Ctenophora) at the expense of small fish has been linked to
anthropogenic alteration of basal trophic pathways. However, this hypothesis
remains untested in part because baseline description of fish-jellyfish trophic
dynamics, and the environmental features that influence them are lacking. Using
stable isotopes of carbon (delta13C) and nitrogen (delta15N), we examined
spatiotemporal patterns of fish and jellyfish trophic structure in greater Puget
Sound, an urbanizing fjord estuary in the NW United States. We quantified niche
positions of constituent species, niche widths and trophic overlap between fish
and jellyfish assemblages, and several community-level trophic diversity metrics
(resource diversity, trophic length, and niche widths) of fish and jellyfish
combined. We then related assemblage- and community-level measures to landscape
gradients of terrestrial-marine connectivity and anthropogenic influence in
adjacent catchments. Relative niche positions among species varied considerably
and displayed no clear pattern except that fish generally had higher delta15N and
lower delta13C relative to jellyfish, which resulted in low assemblage-level
trophic overlap. Fish assemblages had larger niche widths than jellyfish in most
cases and, along with whole community trophic diversity, exhibited contrasting
seasonal patterns across oceanographic basins, which was positively correlated to
landscape variation in terrestrial connectivity. In contrast, jellyfish niche
widths were unrelated to terrestrial connectivity, but weakly negatively
correlated to urban land use in adjacent catchments. Our results indicate that
fish-jellyfish trophic structure is highly heterogeneous and that disparate
processes may underlie the trophic ecology of these taxa; consequently, they may
respond divergently to environmental change. In addition, spatiotemporal
variation in ecosystem connectivity, in this case through freshwater influence,
may influence trophic structure across heterogeneous landscapes.
PMID- 27878086
TI - Impact of urbanization and gardening practices on common butterfly communities in
France.
AB - We investigated the interacting impacts of urban landscape and gardening
practices on the species richness and total abundance of communities of common
butterfly communities across France, using data from a nationwide monitoring
scheme. We show that urbanization has a strong negative impact on butterfly
richness and abundance but that at a local scale, such impact could be mitigated
by gardening practices favoring nectar offer. We found few interactions among
these landscape and local scale effects, indicating that butterfly-friendly
gardening practices are efficient whatever the level of surrounding urbanization.
We further highlight that species being the most negatively affected by
urbanization are the most sensitive to gardening practices: Garden management can
thus partly counterbalance the deleterious effect of urbanization for butterfly
communities. This holds a strong message for park managers and private gardeners,
as gardens may act as potential refuge for butterflies when the overall landscape
is largely unsuitable.
PMID- 27878087
TI - Collateral damage to marine and terrestrial ecosystems from Yankee whaling in the
19th century.
AB - Yankee whalers of the 19th century had major impacts on populations of large
whales, but these leviathans were not the only taxa targeted. Here, we describe
the "collateral damage," the opportunistic or targeted taking of nongreat whale
species by the American whaling industry. Using data from 5,064 records from 79
whaling logs occurring between 1840 and 1901, we show that Yankee whalers
captured 5,255 animals across three large ocean basins from 32 different
taxonomic categories, including a wide range of marine and terrestrial species.
The taxa with the greatest number of individuals captured were walruses (Odobenus
rosmarus), ducks (family Anatidae), and cod (Gadus sp.). By biomass, the most
captured species were walruses, grampus (a poorly defined group within
Odontoceti), and seals (family Otariidae). The whalers captured over 2.4 million
kg of nongreat whale meat equaling approximately 34 kg of meat per ship per day
at sea. The species and areas targeted shifted over time in response to
overexploitation of whale populations, with likely intensive local impacts on
terrestrial species associated with multiyear whaling camps. Our results show
that the ecosystem impacts of whaling reverberated on both marine and coastal
environments.
PMID- 27878088
TI - Dispersal and group formation dynamics in a rare and endangered temperate forest
bat (Nyctalus lasiopterus, Chiroptera: Vespertilionidae).
AB - For elusive mammals like bats, colonization of new areas and colony formation are
poorly understood, as is their relationship with the genetic structure of
populations. Understanding dispersal and group formation behaviors is critical
not only for a better comprehension of mammalian social dynamics, but also for
guiding conservation efforts of rare and endangered species. Using nuclear and
mitochondrial markers, we studied patterns of genetic diversity and
differentiation among and within breeding colonies of giant noctule bats
(Nyctalus lasiopterus), their relation to a new colony still in formation, and
the impact of this ongoing process on the regionwide genetic makeup. Nuclear
differentiation among colonies was relatively low and mostly nonsignificant.
Mitochondrial variation followed this pattern, contrasting with findings for
other temperate bat species. Our results suggest that this may indicate a recent
population expansion. On average, female giant noctules were not more closely
related to other colony members than to foreign individuals. This was also true
for members of the newly forming colony and those of another, older group sampled
shortly after its formation, suggesting that contrary to findings for other
temperate bats, giant noctule colonies are not founded by relatives. However,
mother-daughter pairs were found in the same populations more often than expected
under random dispersal. Given this indication of philopatry, the lack of
mitochondrial differentiation among most colonies in the region is probably due
to the combination of a recent population expansion and group formation events.
PMID- 27878089
TI - Phylogenetic relationships of freshwater fishes of the genus Capoeta
(Actinopterygii, Cyprinidae) in Iran.
AB - The Middle East contains a great diversity of Capoeta species, but their taxonomy
remains poorly described. We used mitochondrial history to examine diversity of
the algae-scraping cyprinid Capoeta in Iran, applying the species-delimiting
approaches General Mixed Yule-Coalescent (GMYC) and Poisson Tree Process (PTP) as
well as haplotype network analyses. Using the BEAST program, we also examined
temporal divergence patterns of Capoeta. The monophyly of the genus and the
existence of three previously described main clades (Mesopotamian, Anatolian
Iranian, and Aralo-Caspian) were confirmed. However, the phylogeny proposed novel
taxonomic findings within Capoeta. Results of GMYC, bPTP, and phylogenetic
analyses were similar and suggested that species diversity in Iran is currently
underestimated. At least four candidate species, Capoeta sp4, Capoeta sp5,
Capoeta sp6, and Capoeta sp7, are awaiting description. Capoeta capoeta comprises
a species complex with distinct genetic lineages. The divergence times of the
three main Capoeta clades are estimated to have occurred around 15.6-12.4 Mya,
consistent with a Mio-Pleistocene origin of the diversity of Capoeta in Iran. The
changes in Caspian Sea levels associated with climate fluctuations and
geomorphological events such as the uplift of the Zagros and Alborz Mountains may
account for the complex speciation patterns in Capoeta in Iran.
PMID- 27878090
TI - Species mixture effects on flammability across plant phylogeny: the importance of
litter particle size and the special role for non-Pinus Pinaceae.
AB - Fire affects and is affected by plants. Vegetation varies in flammability, that
is, its general ability to burn, at different levels of ecological organization.
To scale from individual plant traits to community flammability states,
understanding trait effects on species flammability variation and their
interaction is important. Plant traits are the cumulative result of evolution and
they show, to differing extents, phylogenetic conservatism. We asked whether
phylogenetic distance between species predicts species mixture effects on
litterbed flammability. We conducted controlled laboratory burns for 34
phylogenetically wide-ranging species and 34 random two-species mixtures from
them. Generally, phylogenetic distance did not predict species mixture effects on
flammability. Across the plant phylogeny, most species were flammable except
those in the non-Pinus Pinaceae, which shed small needles producing dense, poorly
ventilated litterbeds above the packing threshold and therefore nonflammable.
Consistently, either positive or negative dominance effects on flammability of
certain flammable or those non-flammable species were found in mixtures involving
the non-Pinus Pinaceae. We demonstrate litter particle size is key to explaining
species nonadditivity in fuelbed flammability. The potential of certain species
to influence fire disproportionately to their abundance might increase the
positive feedback effects of plant flammability on community flammability state
if flammable species are favored by fire.
PMID- 27878092
TI - Putting the behavior into animal movement modeling: Improved activity budgets
from use of ancillary tag information.
AB - Animal movement research relies on biotelemetry, and telemetry-based locations
are increasingly augmented with ancillary information. This presents an
underutilized opportunity to enhance movement process models. Given tags designed
to record specific behaviors, efforts are increasing to update movement models
beyond reliance solely upon horizontal movement information to improve inference
of space use and activity budgets. We present two state-space models adapted to
incorporate ancillary data to inform three discrete movement states: directed,
resident, and an activity state. These were developed for two case studies: (1) a
"haulout" model for Weddell seals, and (2) an "activity" model for Antarctic fur
seals which intersperse periods of diving activity and inactivity. The
methodology is easily implementable with any ancillary data that can be expressed
as a proportion (or binary) indicator. A comparison of the models augmented with
ancillary information and unaugmented models confirmed that many behavioral
states appeared mischaracterized in the latter. Important changes in subsequent
activity budgets occurred. Haulout accounted for 0.17 of the overall Weddell seal
time budget, with the estimated proportion of time spent in a resident state
reduced from a posterior median of 0.69 (0.65-0.73; 95% HPDI) to 0.54 (0.50-0.58
HPDI). The drop was more dramatic in the Antarctic fur seal case, from 0.57 (0.52
0.63 HPDI) to 0.22 (0.20-0.25 HPDI), with 0.35 (0.31-0.39 HPDI) of time spent in
the inactive (nondiving) state. These findings reinforce previously raised
contentions about the drawbacks of behavioral states inferred solely from
horizontal movements. Our findings have implications for assessing habitat
requirements; estimating energetics and consumption; and management efforts such
as mitigating fisheries interactions. Combining multiple sources of information
within integrated frameworks should improve inference of relationships between
movement decisions and fitness, the interplay between resource and habitat
dependencies, and their changes at the population and landscape level.
PMID- 27878091
TI - Diversity and resilience of the wood-feeding higher termite Mironasutitermes
shangchengensis gut microbiota in response to temporal and diet variations.
AB - Termites are considered among the most efficient bioreactors, with high
capacities for lignocellulose degradation and utilization. Recently, several
studies have characterized the gut microbiota of diverse termites. However, the
temporal dynamics of the gut microbiota within a given termite with dietary
diversity are poorly understood. Here, we employed 16S rDNA barcoded
pyrosequencing analysis to investigate temporal changes in bacterial diversity
and richness of the gut microbiota of wood-feeding higher termite
Mironasutitermes shangchengensis under three lignocellulose content-based diets
that feature wood, corn stalks, and filter paper. Compositions of the predominant
termite gut residents were largely constant among the gut microbiomes under
different diets, but each diet caused specific changes in the bacterial
composition over time. Notably, microbial communities exhibited an unexpectedly
strong resilience during continuous feeding on both corn stalks and filter paper.
Members of five bacterial phyla, that is, Spirochaetes, Firmicutes,
Actinobacteria, Tenericutes, and Acidobacteria, were strongly associated with the
resilience. These findings provide insights into the stability of the gut
microbiota in higher termites and have important implications for the future
design of robust bioreactors for lignocellulose degradation and utilization.
PMID- 27878093
TI - Effects of environmental factors on genetic diversity of Caragana microphylla in
Horqin Sandy Land, northeast China.
AB - Caragana microphylla (Leguminosae) is a dominant climax semishrub species in
northern China. We evaluated genetic variation within and among populations
sampled from three different environmental gradients in Horqin Sandy Land in
northern China using intersimple sequence repeats markers and investigated the
possible existence of relationships between genetic diversity and environmental
factors. The results showed that C. microphylla have high genetic diversity, and
environmental gradients affected genetic diversity of C. microphylla populations.
Genetic diversity of all populations was affected by many environmental factors
and as well correlated with warm index and soil Olsen phosphorus (SOP)
concentration. These results have important implications for restoration and
management of these degraded ecosystems in arid and semi-arid areas.
PMID- 27878094
TI - Host-mediated shift in the cold tolerance of an invasive insect.
AB - While many insects cannot survive the formation of ice within their bodies, a few
species can. On the evolutionary continuum from freeze-intolerant (i.e., freeze
avoidant) to freeze-tolerant insects, intermediates likely exist that can
withstand some ice formation, but not enough to be considered fully freeze
tolerant. Theory suggests that freeze tolerance should be favored over freeze
avoidance among individuals that have low relative fitness before exposure to
cold. For phytophagous insects, numerous studies have shown that host (or
nutrition) can affect fitness and cold-tolerance strategy, respectively, but no
research has investigated whether changes in fitness caused by different hosts of
polyphagous species could lead to systematic changes in cold-tolerance strategy.
We tested this relationship with the invasive, polyphagous moth, Epiphyas
postvittana (Walker). Host affected components of fitness, such as larval
survivorship rates, pupal mass, and immature developmental times. Host species
also caused a dramatic change in survival of late-instar larvae after the onset
of freezing-from less than 8% to nearly 80%. The degree of survival after the
onset of freezing was inversely correlated with components of fitness in the
absence of cold exposure. Our research is the first empirical evidence of an
evolutionary mechanism that may drive changes in cold-tolerance strategies.
Additionally, characterizing the effects of host plants on insect cold tolerance
will enhance forecasts of invasive species dynamics, especially under climate
change.
PMID- 27878095
TI - On the influence of provenance to soil quality enhanced stress reaction of young
beech trees to summer drought.
AB - Climate projections propose that drought stress will become challenging for
establishing trees. The magnitude of stress is dependent on tree species,
provenance, and most likely also highly influenced by soil quality. European
Beech (Fagus sylvatica) is of major ecological and economical importance in
Central European forests. The species has an especially wide physiological and
ecological amplitude enabling growth under various soil conditions within its
distribution area in Central Europe. We studied the effects of extreme drought on
beech saplings (second year) of four climatically distinct provenances growing on
different soils (sandy loam and loamy sand) in a full factorial pot experiment.
Foliar delta13C, delta15N, C, and N as well as above- and belowground growth
parameters served as measures for stress level and plant growth. Low-quality soil
enhanced the effect of drought compared with qualitatively better soil for the
above- and belowground growth parameters, but foliar delta13C values revealed
that plant stress was still remarkable in loamy soil. For beeches of one
provenance, negative sandy soil effects were clearly smaller than for the others,
whereas for another provenance drought effects in sandy soil were sometimes
fatal. Foliar delta15N was correlated with plant size during the experiment.
Plasticity of beech provenances in their reaction to drought versus control
conditions varied clearly. Although a general trend of declining growth under
control or drought conditions in sandy soil was found compared to loamy soil, the
magnitude of the effect of soil quality was highly provenance specific.
Provenances seemed to show adaptations not only to drought but also to soil
quality. Accordingly, scientists should integrate information about climatic pre
adaptation and soil quality within the home range of populations for species
distribution modeling and foresters should evaluate soil quality and climatic
parameters when choosing donor populations for reforestation projects.
PMID- 27878096
TI - A sixth-level habitat cascade increases biodiversity in an intertidal estuary.
AB - Many studies have documented habitat cascades where two co-occurring habitat
forming species control biodiversity. However, more than two habitat-formers
could theoretically co-occur. We here documented a sixth-level habitat cascade
from the Avon-Heathcote Estuary, New Zealand, by correlating counts of attached
inhabitants to the size and accumulated biomass of their biogenic hosts. These
data revealed predictable sequences of habitat-formation (=attachment space).
First, the bivalve Austrovenus provided habitat for green seaweeds (Ulva) that
provided habitat for trochid snails in a typical estuarine habitat cascade.
However, the trochids also provided habitat for the nonnative bryozoan Conopeum
that provided habitat for the red seaweed Gigartina that provided habitat for
more trochids, thereby resetting the sequence of the habitat cascade,
theoretically in perpetuity. Austrovenus is here the basal habitat-former that
controls this "long" cascade. The strength of facilitation increased with seaweed
frond size, accumulated seaweed biomass, accumulated shell biomass but less with
shell size. We also found that Ulva attached to all habitat-formers, trochids
attached to Ulva and Gigartina, and Conopeum and Gigartina predominately attached
to trochids. These "affinities" for different habitat-forming species probably
reflect species-specific traits of juveniles and adults. Finally, manipulative
experiments confirmed that the amount of seaweed and trochids was important and
consistent regulators of the habitat cascade in different estuarine environments.
We also interpreted this cascade as a habitat-formation network that describes
the likelihood of an inhabitant being found attached to a specific habitat
former. We conclude that the strength of the cascade increased with the amount of
higher-order habitat-formers, with differences in form and function between
higher and lower-order habitat-formers, and with the affinity of inhabitants for
higher-order habitat-formers. We suggest that long habitat cascades are common
where species traits allow for physical attachment to other species, such as in
marine benthic systems and old forest.
PMID- 27878097
TI - Population genetic isolation and limited connectivity in the purple finch
(Haemorhous purpureus).
AB - Using a combination of mitochondrial and z-linked sequences, microsatellite data,
and spatio-geographic modeling, we examined historical and contemporary factors
influencing the population genetic structure of the purple finch (Haemorhous
purpureus). Mitochondrial DNA data show the presence of two distinct groups
corresponding to the two subspecies, H. p. purpureus and H. p. californicus. The
two subspecies likely survived in separate refugia during the last glacial
maximum, one on the Pacific Coast and one east of the Rocky Mountains, and now
remain distinct lineages with little evidence of gene flow between them.
Southwestern British Columbia is a notable exception, as subspecies mixing
between central British Columbia and Vancouver Island populations suggests a
possible contact zone in this region. Z-linked data support two mitochondrial
groups; however, Coastal Oregon and central British Columbia sites show evidence
of mixing. Contemporary population structure based on microsatellite data
identified at least six genetic clusters: three H. p. purpureus clusters, two H.
p. californicus clusters, and one mixed cluster, which likely resulted from high
site fidelity and isolation by distance, combined with sexual selection on
morphological characters reinforcing subspecies differences.
PMID- 27878098
TI - Importance of geographic origin for invasion success: A case study of the North
and Baltic Seas versus the Great Lakes-St. Lawrence River region.
AB - Recently, several studies indicated that species from the Ponto-Caspian region
may be evolutionarily predisposed to become nonindigenous species (NIS); however,
origin of NIS established in different regions has rarely been compared to
confirm these statements. More importantly, if species from certain area/s are
proven to be better colonizers, management strategies to control transport
vectors coming from those areas must be more stringent, as prevention of new
introductions is a cheaper and more effective strategy than eradication or
control of established NIS populations. To determine whether species evolved in
certain areas have inherent advantages over other species in colonizing new
habitats, we explored NIS established in the North and Baltic Seas and Great
Lakes-St. Lawrence River regions-two areas intensively studied in concern to NIS,
highly invaded by Ponto-Caspian species and with different salinity patterns
(marine vs. freshwater). We compared observed numbers of NIS in these two regions
to expected numbers of NIS from major donor regions. The expected numbers were
calculated based on the available species pool from donor regions, frequency of
shipping transit, and an environmental match between donor and recipient regions.
A total of 281 NIS established in the North and Baltic Seas and 188 in the Great
Lakes-St. Lawrence River. Ponto-Caspian taxa colonized both types of habitats,
saltwater areas of the North and Baltic Seas and freshwater of the Great Lakes
St. Lawrence River, in much higher numbers than expected. Propagule pressure
(i.e., number of introduced individuals or introduction effort) is of great
importance for establishment success of NIS; however in our study, either
shipping vector or environmental match between regions did not clarify the high
numbers of Ponto-Caspian taxa in our study areas. Although we cannot exclude the
influence of other transport vectors, our findings suggest that the origin of the
species plays an important role for the predisposition of successful invaders.
PMID- 27878099
TI - Spatial pattern of distribution of marine invertebrates within a subtidal
community: do communities vary more among patches or plots?
AB - Making links between ecological processes and the scales at which they operate is
an enduring challenge of community ecology. Our understanding of ecological
communities cannot advance if we do not distinguish larger scale processes from
smaller ones. Variability at small spatial scales can be important because it
carries information about biological interactions, which cannot be explained by
environmental heterogeneity alone. Marine fouling communities are shaped by both
the supply of larvae and competition for resources among colonizers-these two
processes operate on distinctly different scales. Here, we demonstrate how
fouling community structure varies with spatial scale in a temperate Australian
environment, and we identify the spatial scale that captures the most
variability. Community structure was quantified with both univariate (species
richness and diversity) and multivariate (similarity in species composition)
indices. Variation in community structure was unevenly distributed between the
spatial scales that we examined. While variation in community structure within
patch was usually greater than among patch, variation among patch was always
significant. Opportunistic taxa that rely heavily on rapid colonization of free
space spread more evenly among patches during early succession. In contrast, taxa
that are strong adult competitors but slow colonizers spread more evenly among
patches only during late succession. Our findings show significant patchiness can
develop in a habitat showing no systematic environmental spatial variation, and
this patchiness can be mediated through different biological factors at different
spatial scales.
PMID- 27878102
TI - Antiretroviral naive and treated patients: Discrepancies of B cell subsets during
the natural course of human immunodeficiency virus type 1 infection.
AB - AIM: To evaluate alterations of memory B cell subpopulations during a 48-wk
period in human immunodeficiency virus type 1 (HIV-1) patients. METHODS: Forty
one antiretroviral naive and 41 treated HIV-1 patients matched for age and
duration of HIV infection were recruited. All clinical, epidemiological and
laboratory data were recorded or measured. The different B cell subsets were
characterized according to their surface markers: Total B cells (CD19+), memory B
cells (CD19+CD27+, BMCs), resting BMCs (CD19+CD27+CD21high, RM), exhausted BMCs
(CD19+CD21lowCD27-, EM), IgM memory B (CD19+CD27+IgMhigh), isotype-switched BMCs
(CD19+CD27+IgM-, ITS) and activated BMCs (CD19+CD21low+CD27+, AM) at baseline on
week 4 and week 48. RESULTS: Mean counts of BMCs were higher in treated patients.
There was a marginal upward trend of IgM memory B cell proportions which differed
significantly in the treated group (overall trend, P = 0.004). ITS BMC increased
over time significantly in all patients. Naive patients had of lower levels of EM
B cells compared to treated, with a downward trend, irrespectively of highly
active antiretroviral therapy (HAART) intake. Severe impairment of EM B cells was
recorded to both treated (P = 0.024) and naive (P = 0.023) and patients. Higher
proportions of RM cells were noted in HAART group, which differed significantly
on week 4th (P = 0.017) and 48th (P = 0.03). Higher levels of AM were preserved
in HAART naive group during the whole study period (week 4: P = 0.018 and 48: P =
0.035). HIV-RNA viremia strongly correlated with AM B cells (r = 0.54, P = 0.01)
and moderately with RM cells (r = -0.45, P = 0.026) at baseline. CONCLUSION: HIV
disrupts memory B cell subpopulations leading to impaired immunologic memory over
time. BMC, RM, EM and ITS BMC were higher in patients under HAART. Activated BMCs
(AM) were higher in patients without HAART. Viremia correlated with AM and RM.
Significant depletion was recorded in EM B cells irrespectively of HAART intake.
Perturbations in BMC-populations are not fully restored by antiretrovirals.
PMID- 27878100
TI - Neurological manifestations of Zika virus infection.
AB - Zika virus (ZIKV) is a flavivirus (Flaviviridae family) transmitted mainly by
Aedes mosquitoes. The virus was restricted to the African continent until its
spread to south-east Asia in the 1980's, the Micronesia in 2007, the French
Polynesia in 2013 and, more recently in the Americas in 2015, where, up to date,
the World Health Organization (WHO) has estimated about 3-4 million total cases
of ZIKV infection. During outbreaks in the French Polynesia and Brazil in 2013
and 2015, respectively, national health authorities reported potential
neurological complications of ZIKV disease, chiefly an upsurge in Guillain-Barre
syndrome, which coincided with ZIKV outbreaks. On the other hand, the emergence
of ZIKV in Brazil has been associated with a striking increase in the number of
reported cases of microcephaly in fetus and newborns, twenty times higher than in
that reported in previous years. While investigations are currently assessing
whether there is an actual association between neurological complications and
ZIKV infections, the evidence was enough worrisome for WHO to declare a public
health emergency of international concern. Here we present an updated review
addressing what is currently known about the possible association between ZIKV
infection and the development of severe neurological disorders.
PMID- 27878101
TI - Regulation of Wnt/beta-catenin signaling by herpesviruses.
AB - The Wnt/beta-catenin signaling pathway is instrumental in successful
differentiation and proliferation of mammalian cells. It is therefore not
surprising that the herpesvirus family has developed mechanisms to interact with
and manipulate this pathway. Successful coexistence with the host requires that
herpesviruses establish a lifelong infection that includes periods of latency and
reactivation or persistence. Many herpesviruses establish latency in progenitor
cells and viral reactivation is linked to host-cell proliferation and
differentiation status. Importantly, Wnt/beta-catenin is tightly connected to
stem/progenitor cell maintenance and differentiation. Numerous studies have
linked Wnt/beta-catenin signaling to a variety of cancers, emphasizing the
importance of Wnt/beta-catenin pathways in development, tissue homeostasis and
disease. This review details how the alpha-, beta-, and gammaherpesviruses
interact and manipulate the Wnt/beta-catenin pathway to promote a virus-centric
agenda.
PMID- 27878103
TI - Role of RNA secondary structure in emergence of compartment specific hepatitis B
virus immune escape variants.
AB - AIM: To investigate the role of subgenotype specific RNA secondary structure in
the compartment specific selection of hepatitis B virus (HBV) immune escape
mutations. METHODS: This study was based on the analysis of the specific
observation of HBV subgenotype A1 in the serum/plasma, while subgenotype A2 with
G145R mutation in the peripheral blood leukocytes (PBLs). Genetic variability
found among the two subgenotypes was used for prediction and comparison of the
full length pregenomic RNA (pgRNA) secondary structure and base pairings. RNA
secondary structures were predicted for 37 degrees C using the Vienna RNA fold
server, using default parameters. Visualization and detailed analysis was done
using RNA shapes program. RESULTS: In this analysis, using similar algorithm and
conditions, entirely different pgRNA secondary structures for subgenotype A1 and
subgenotype A2 were predicted, suggesting different base pairing patterns within
the two subgenotypes of genotype A, specifically, in the HBV genetic region
encoding the major hydrophilic loop. We observed that for subgenotype A1 specific
pgRNA, nucleotide 358U base paired with 1738A and nucleotide 587G base paired
with 607C. However in sharp contrast, in subgenotype A2 specific pgRNA,
nucleotide 358U was opposite to nucleotide 588G, while 587G was opposite to 359U,
hence precluding correct base pairing and thereby lesser stability of the stem
structure. When the nucleotides at 358U and 587G were replaced with 358C and 587A
respectively (as observed specifically in the PBL associated A2 sequences), these
nucleotides base paired correctly with 588G and 359U, respectively. CONCLUSION:
The results of this study show that compartment specific mutations are associated
with HBV subgenotype specific alterations in base pairing of the pgRNA, leading
to compartment specific selection and preponderance of specific HBV subgenotype
with unique mutational pattern.
PMID- 27878105
TI - Spread of human immunodeficiency virus 1 among men who have sex with men is
emerging as a genuine social concern and affecting the general populace - case
reports from Eastern India.
AB - Human immunodeficiency virus (HIV) infection among men who have sex with men
(MSM) has increased to a drastic proportion throughout India in the last couple
of years due to a lack of productive identification and management framework. In
apprehension of social disgrace these men attempt to live a normal hetero
conjugal life and, in the process, act as a bridge in spreading the virus to
their women partners. In this case report we have highlighted two cases which
clearly distinguished the adequacy of HIV treatment among MSM when they are
diagnosed during early or late phases of infection. An intensive and ample
counseling to comprehend the psychology and sexual behavior of these men was
found to be critically important in both the cases. Our study, which is actually
the first of its kind, recorded and documented evidence of HIV infected MSM from
Eastern India and renders a ray of hope among this marginally isolated group to
comprehend the challenges and health risks faced by the MSM population. It also
provides a format for the medical practitioners here in managing and treating
related cases.
PMID- 27878104
TI - Geographic integration of hepatitis C virus: A global threat.
AB - AIM: To assess hepatitis C virus (HCV) geographic integration, evaluate the
spatial and temporal evolution of HCV worldwide and propose how to diminish its
burden. METHODS: A literature search of published articles was performed using
PubMed, MEDLINE and other related databases up to December 2015. A critical data
assessment and analysis regarding the epidemiological integration of HCV was
carried out using the meta-analysis method. RESULTS: The data indicated that HCV
has been integrated immensely over time and through various geographical regions
worldwide. The history of HCV goes back to 1535 but between 1935 and 1965 it
exhibited a rapid, exponential spread. This integration is clearly seen in the
geo-epidemiology and phylogeography of HCV. HCV integration can be mirrored
either as intra-continental or trans-continental. Migration, drug trafficking and
HCV co-infection, together with other potential risk factors, have acted as a
vehicle for this integration. Evidence shows that the geographic integration of
HCV has been important in the global and regional distribution of HCV.
CONCLUSION: HCV geographic integration is clearly evident and this should be
reflected in the prevention and treatment of this ongoing pandemic.
PMID- 27878108
TI - Can Ultrasound Findings be a Good Predictor of Sperm Parameters in Patients With
Varicocele? A Cross-Sectional Study.
AB - BACKGROUND: Varicocele, one of the most common causes of sperm abnormalities in
men, is the dilation of the testicular vein (the pampiniform venous plexus) by
more than 2 mm. Ultrasonography is the primary imaging procedure for varicocele
diagnosis. The venous diameter is evaluated using B-mode sonography, and venous
reflux is assessed using color Doppler sonography. OBJECTIVES: In this study, we
investigated the association of ultrasound findings with sperm parameters in
patients with varicocele. METHODS: Ninety-nine patients with clinically diagnosed
varicocele were included in this study, which was performed at a university
imaging center. Patients were evaluated for pampiniform venous plexus diameter,
venous reflux, and testicular size on both sides. Semen analysis was performed
for all patients. The ultrasonography parameters and semen analysis data were
compared to assess the correlations between the results. RESULTS: The semen
analysis parameters, including semen volume and sperm morphology, count, and
motility, significant correlations with the diameter of the varicocele vein but
were not correlated with testes size. In addition, the patients with reflux of
longer than 1 s and those with reflux of less than 1 s had significant
differences in sperm parameters and varicocele size. CONCLUSIONS: Our study
showed that ultrasonography findings can be a good predictor of sperm parameters
in patients with varicocele.
PMID- 27878106
TI - Multiparameter Evaluation of the Heterogeneity of Circulating Tumor Cells Using
Integrated RNA In Situ Hybridization and Immunocytochemical Analysis.
AB - Circulating tumor cells (CTCs) are routinely identified as cytokeratin (CK)
positive, epithelial cell adhesion molecule (EpCAM)-positive, and CD45-negative
and are enriched based on EpCAM. However, there are a number of methodological
challenges regarding both isolation and characterization of these rare CTCs
including downregulation or absence of EpCAM in a variety of solid tumors leading
to the omission of subpopulations of CTCs, difficulties in analyzing RNA and
protein targets in CTCs due to the rarity of these cells, and low levels of
targets and technological limitations of visualizing the targets of interest on
each individual cell. Building on our previous CTC research on CD45-based
negative magnetic separation and four-color fluorescent immunocytochemical (ICC)
staining, RNA in situ hybridization (ISH) was applied to fluorescently target
mRNA sequences corresponding to tumor-related genes at the single CTC level.
Multiple categories of markers are targeted including CK, human epidermal growth
factor receptor family markers, Hedgehog pathway markers, human papillomavirus
markers, and protein arginine methyltransferase 5. In addition, an integrated
method of RNA ISH and fluorescent ICC staining was developed to visualize CTCs on
both mRNA and protein levels. The robustness of the integrated co-ICC and RNA ISH
staining was demonstrated by a series of tests on representative tumor markers of
different categories. The integrated staining can incorporate the advantages of
both RNA ISH and fluorescent ICC staining and provide more intense signals and
more specific bindings. With this integrated staining methodology, distinct
staining patterns were applied in this report to facilitate the searching and
characterization of rare subgroups of CTCs. These results support the existence
of diverse groups of CTCs at both protein and mRNA transcript levels and provide
an analytical tool for the research on CTCs of rare subgroups.
PMID- 27878107
TI - Imaging the NADH:NAD+ Homeostasis for Understanding the Metabolic Response of
Mycobacterium to Physiologically Relevant Stresses.
AB - The NADH:NAD+ ratio is the primary indicator of the metabolic state of bacteria.
NAD(H) homeostasis is critical for Mycobacterium tuberculosis (Mtb) survival and
is thus considered an important drug target, but the spatio-temporal measurements
of NAD(H) remain a challenge. Genetically encoded fluorescent biosensors of the
NADH:NAD+ ratios were recently described, paving the way for investigations of
the metabolic state of pathogens during infection. Here we have adapted the
genetically encoded biosensor Peredox for measurement of the metabolic state of
Mtb in vitro and during infection of macrophage cells. Using Peredox, here we
show that inhibition of the electron transport chain, disruption of the membrane
potential and proton gradient, exposure to reactive oxygen species and treatment
with antimycobacterial drugs led to the accumulation of NADH in mycobacterial
cells. We have further demonstrated that Mtb residing in macrophages displays
higher NADH:NAD+ ratios, that may indicate a metabolic stress faced by the
intracellular Mtb. We also demonstrate that the Mtb residing in macrophages
display a metabolic heterogeneity, which may perhaps explain the tolerance
displayed by intracellular Mtb. Next we studied the effect of immunological
modulation by interferon gamma on metabolism of intracellular Mtb, since
macrophage activation is known to restrict mycobacterial growth. We observed that
activation of resting macrophages with interferon-gamma results in higher
NADH:NAD+ levels in resident Mtb cells. We have further demonstrated that
exposure of Isoniazid, Bedaquiline, Rifampicin, and O-floxacin results in higher
NADH:NAD+ ratios in the Mtb residing in macrophages. However, intracellular Mtb
displays lower NADH:NAD+ ratio upon exposure to clofazimine. In summary, we have
generated reporter strains capable of measuring the metabolic state of Mtb cells
in vitro and in vivo with spatio-temporal resolution. We believe that this tool
will facilitate further studies on mycobacterial physiology and will create new
avenues of research for anti-tuberculosis drug discovery.
PMID- 27878109
TI - The Effect of Aromatherapy on Anxiety in Patients.
AB - BACKGROUND: Anxiety is the most common mental disorder in end-stage renal disease
patients. The use of aromatherapy as a treatment for anxiety has increased
substantially in most countries. OBJECTIVES: This study aimed to investigate the
effect of inhaling rose water aromatherapy on anxiety in hemodialysis patients.
METHODS: This randomized controlled clinical trial was carried out in the
hemodialysis ward of Birjand Vali-Asr hospital on 46 patients who were randomly
divided into control and experimental groups. The standard state-trait anxiety
inventory of Spielberger was used to investigate the anxiety level of the
samples. The experimental group inhaled rose water for 4 weeks, but the control
group did not undergo any intervention. At the end of week 2 and week 4, the
participants' anxiety was measured, and the results were statistically analyzed.
RESULTS: Mean scores of state and trait anxiety in the experimental group before
intervention were 47/47 +/- 7/6 and 49/56 +/- 13/8, respectively; those after
week 4 following the intervention were reduced to 37/1 +/- 6/5 and 42/9 +/- 10/1;
inhalation of rose water fragrance in the experimental group caused a significant
decrease (P < 0.001) in the state and trait anxiety levels compared with controls
(P = 0.43). CONCLUSIONS: According to the present study, rose water noticeably
reduces the anxiety of hemodialysis patients. Therefore, inhalation of rose water
can improve the patient's emotional and spiritual condition during hemodialysis
treatment.
PMID- 27878110
TI - Discontinuation of Antimicrobial Prophylaxis (AP) in Children With Spina Bifida:
A Case Series Analysis.
AB - BACKGROUND: Spina bifida increases the risk for urinary tract infections (UTI).
Antimicrobial prophylaxis (AP) reduces symptomatic UTI's but selects resistant
organisms. Measures to ensure regular and complete emptying of the bladder
combined with treatment of constipation reduce the risk for UTI. OBJECTIVES:
Demonstrate that close adherence to a catheterization regimen in children with
spina bifida (Selective Treatment - ST) reduces the need for antimicrobial
prophylaxis. METHODS: Case series analysis of pediatric spina bifida clinic
patients where routine antimicrobial prophylaxis was replaced by clean-catch
catheterization and daily bowel regimen (ST). Retrospective chart review of 67
children (mean entry age: 24 months, median age: 4 months; 32 Males, 35 Females)
enrolled between 1986 - 2004. Mean follow-up was 128.6 months (range 3 - 257
months). Asymptomatic and symptomatic UTI incidences were noted on AP and ST
protocols. Creatinine clearance at study entry and follow-up was calculated by
the age appropriate method. A multivariable regression model with delta
Glomerular Filtration Rate (GFR) as the dependent variable, independent sample t
test and Wilcoxon rank sum were performed with SAS v. 9.2. RESULTS: The mean
number of infections while on AP was 8.7 (95% CI 5.72, 11.68) and was 1.0 on ST
(95% CI 0.48, 1.43). 5 infections on the AP protocol required intravenous (IV)
antibiotics due to resistance to oral therapy, but none on ST. Comparing change
in GFR between both protocols (AP vs. ST) found a significant difference in the
change of GFR by treatment protocol. CONCLUSIONS: AP did not prevent UTIs and
resulted in more resistant organisms requiring IV antibiotics. Discontinuing AP
allowed the return of susceptibility to oral antimicrobials and significantly
improved GFR in those children who had previously been on AP. Adherence to a
catheterization regimen with prompt treatment of symptomatic UTI conserved renal
function and prevented selection of resistant organisms.
PMID- 27878111
TI - Increasing the Reliability of the Grading System for Voiding Cystourethrograms
Using Ultrasonography: An Inter-Rater Comparison.
AB - BACKGROUND: To assess the effectiveness of the current vesicoureteral reflux
(VUR) grading system according to the international classification of VUR (ICVUR)
and to evaluate whether VUR grading accuracy could be improved by renal
ultrasonography (RU) according to the Society for Fetal Urology (SFU) grading
system. OBJECTIVES: Therefore, this study assessed the accuracy of the current
VCUG staging system by assessing inter-rater reliability among pediatric
radiologists and urologists; it also evaluated whether accuracy is increased by
RU without consensus (with respect to VCUG grading). METHODS: Four pediatric
urologists and four pediatric radiologists independently graded 120 voiding
cystourethrograms (VCUGs). Middle VUR grades were divided into the following
three groups: VUR consensus grade III (group 1), VUR consensus grade IV (group
3), and VUR non-consensus grades III and IV (group 2). All groups were compared
with respect to hydronephrosis grade using RU. RESULTS: The intraclass
correlation coefficient (ICC) values ranging from 0.86 to 0.89 reflected good
reliability. The lowest agreement was associated with middle grades (III and IV).
A marked difference in sensitivity was observed between groups 1 and 3 (35% and
95%, respectively, P < 0.05), indexed by SFU hydronephrosis grade, suggesting
that VCUG cases in group 2 (n = 16 at SFU 0 or 1) could be accepted as grade III,
and SFU scores of 2, 3, or 4 could be considered grade IV. CONCLUSIONS: Inter
rater accuracy could be improved at middle grades using renal ultrasonography
(USG), which could promote communication between different specialists.
PMID- 27878113
TI - Longitudinal Serum Creatinine Levels in Relation to Graft Loss Following Renal
Transplantation: Robust Joint Modeling of Longitudinal Measurements and Survival
Time Data.
AB - BACKGROUND: Chronic kidney disease (CKD) is a major public health problem that
may lead to end-stage renal disease (ESRD). Renal transplantation has become the
treatment modality of choice for the majority of patients with ESRD. It is
therefore necessary to monitor the disease progression of patients who have
undergone renal transplantation. In order to monitor the disease progression, the
continuous assessment of kidney function over time is considered. OBJECTIVES:
This study aimed to investigate the etiological role of recipient characteristics
in serum creatinine changes within the follow-up period and in relation to the
graft failure risk, as well as to evaluate whether or not the serum creatinine
level represents an indicator of graft failure following renal transplantation.
METHODS: This retrospective cohort study was conducted at the department of
nephrology, Baqiyatallah Hospital, Baqiyatallah University of Medical Sciences,
between April 2005 and December 2008. The study involved 413 renal
transplantation patients. The primary outcomes were the determination of the
serum creatinine levels at each attendance and the time to graft failure. Robust
joint modeling of the longitudinal measurements (serum creatinine level) and time
to-event data (time to graft failure) were used for the analysis in the presence
of outliers in the serum creatinine levels. The data analysis was implemented in
WinBUGS 1.4.3. RESULTS: There was a positive association between the serum
creatinine level and graft failure (HR = 5.13, P < 0.001). A one unit increase in
the serum creatinine level suggests an increased risk of graft failure of up to
5.13 times. The serum creatinine level significantly decreased over time (95% CI:
(-1.58, -1.08)). The recipient's age was negatively associated with the serum
creatinine level (95% CI: (-0.02, -0.001)). CONCLUSIONS: Graft failure is more
likely to occur in patients with higher serum creatinine levels.
PMID- 27878112
TI - Withania coagulans Extract Induces Cell Apoptosis and Inhibits COX-2 Expression
in a Rat Model of Benign Prostatic Hyperplasia.
AB - BACKGROUND: Phytotherapy is a popular treatment option in cases of benign
prostatic hyperplasia (BPH), with many different herbal products being used for
the treatment of this condition. Withania coagulans (WC) is an herbal medicine
that has shown anti-tumoral, anti-inflammatory, and antioxidant effects.
OBJECTIVES: This study examined the effect of Withania coagulans extract (WCE) on
prostatic cell apoptosis and cyclooxygenase-2 (COX-2) expression in cases of
benign prostatic hyperplasia (BPH) in rats. METHODS: Forty Wistar rats were
equally divided into five groups: control, sham, BPH, BPH + WCE, and BPH + CLX
(celecoxib) as a positive control group. The induction of BPH was achieved via
the subcutaneous injection of 3 mg/kg of testosterone propionate (TP) daily for
28 days. The animals received WCE, celecoxib, or distilled water by oral gavage
accompanied by the TP injection. After four weeks, the prostate glands of the
rats were weighed to measure the prostatic index (PI). The ventral lobes of the
prostates were dissected and processed with paraffin blocks in order to study the
number of mast cells. A TUNEL analysis was performed to evaluate the cell
apoptosis, while the expression of COX-2 was examined using immunohistochemistry.
RESULTS: BPH was obvious in the ventral lobe of the prostate, and the
administration of WCE markedly decreased the PI and the number of mast cells (P <
0.001) in the BPH rats. Additionally, the WCE treatment induced prostatic cell
apoptosis when compared to the BPH group. Furthermore, following the WCE
treatment, the expression of COX-2 in the prostatic tissues was significantly
decreased when compared to the BPH groups. CONCLUSIONS: According to the results
of this study, WCE was effective in the treatment of BPH in rats. It may
therefore have beneficial effects in the treatment of patients with BPH.
PMID- 27878114
TI - Efficacy of Biofilm Removal From Hemodialysis Piping.
AB - BACKGROUND: Central dialysate fluid delivery systems (CDDS) are used by dialysis
centers in Japan, and although these systems are effective at delivering
dialysate, they have a complex piping network with numerous sites where
contamination can develop. In Japan, cleaning disinfectants have been clinically
evaluated based on endotoxin levels and bacterial counts, but there have been no
published studies evaluating the biofilm removal efficacy of these agents at the
electron microscope level. OBJECTIVES: In this study, we used electron microscopy
to evaluate the effectiveness of various cleaning disinfectants in removing
biofilms from hemodialysis piping. METHODS: Liquid nitrogen was used to sever a
section of dialysis piping on which a biofilm had formed during clinical use.
Sodium hypochlorite, acetic acid, and peracetic acid were used at stock-solution
concentrations as cleaning disinfectants. These disinfectants were tested at room
temperature and when heated (80 degrees C). After cleaning and disinfection,
biofilm removal from the surface of the piping was evaluated using a scanning
electron microscope (SEM). RESULTS: Sodium hypochlorite did not show good biofilm
removal at room temperature or when heated. Acetic acid was more effective at
biofilm removal when heated than at room temperature. Peracetic acid was highly
effective at biofilm removal at both room temperature and when heated.
CONCLUSIONS: Cleaning and disinfection using a disinfectant at a high temperature
and high concentration effectively removes biofilms from hemodialysis piping.
However, long-term exposure to disinfectants may affect the piping material.
PMID- 27878115
TI - Supradiaphragmatic Inferior Vena Caval Thrombectomy Without Cardiopulmonary
Bypass: A Case Series at a Single Center.
AB - INTRODUCTION: Inferior vena cava tumor thrombectomy in renal cell carcinoma
patients is a challenging procedure, frequently requiring the vascular bypass
technique for high-level thrombi with additional complications. Adopting a
technique such as intrapericardial control in selected cases will circumvent
these problems. Here, we present the results of our intrapericardial control
technique during supradiaphragmatic inferior vena caval tumor thrombectomy. CASE
PRESENTATION: The records of six patients with supradiaphragmatic tumor thrombi,
who underwent radical nephrectomy and thrombectomy at our center with
intrapericardial control between the years 2008 and 2015, were retrospectively
reviewed. The patients' characteristics, intra- and postoperative data,
histology, and follow-up records were gathered and compared. There were no
immediate or 30-day postoperative deaths. The mean age of the patients was 61.3
years (range 46 - 75). The total mean duration of surgery was 315 minutes and the
mean amount of transfused red blood cells was 4.33 units during surgery and 0.8
units in the postoperative period. The average hospitalization duration was 8
days (range 5 - 17). Tumor stage was T3 in four patients and T4 in two, due to
ipsilateral adrenal involvement. The mean duration of follow-up was 33.5 months.
Only one of the patients developed recurrences, first in the tumor bed and then
at the site of the skin incision; these were excised with no apparent
complications. CONCLUSIONS: Radical nephrectomy and tumor thrombectomy by
intrapericardial control without cardiopulmonary bypass and hypothermic
circulatory arrest is a safe and effective procedure that can avoid serious intra
and postoperative complications while providing acceptable cancer-control and
mortality results.
PMID- 27878116
TI - MiniJFil(r): A New Safe and Effective Stent for Well-Tolerated Repeated
Extracorporeal Shockwave Lithotripsy or Ureteroscopy for Medium-to-Large Kidney
Stones?
AB - BACKGROUND: Percutaneous nephrolithotomy (PCNL) is recommended for treating
staghorn stones or stones measuring > 20 mm. Extracorporeal shockwave lithotripsy
(ESWL) or flexible ureteroscopy (URS) may be used as a complement. However, PCNL
can cause trauma to the kidney parenchyma, and patients may find a noninvasive
procedure, such as ESWL, to be more attractive. OBJECTIVES: The aim of this study
was to evaluate the clinical efficiency of MiniJFil(r) stenting associated with
ESWL or second-line URS for the treatment of medium-to-large kidney stones. The
MiniJFil(r) is a stent reduced to a suture of 0.3F attached to a renal pigtail.
The entire ureter is occupied only by the suture of the stent. METHODS: We
retrospectively analyzed the data of 28 patients. Twenty-four patients had kidney
stones measuring > 15 mm (group 1) and four patients had staghorn stones (group
2). All of the patients were fitted with MiniJFil(r) 2 - 3 weeks before any
treatment. ESWL was always our first-line therapy. Stone-free (SF) status was
defined as no evidence of stones. RESULTS: In group 1, the mean largest and
cumulative stone diameters, respectively, were 18.7 +/- 5.7 mm and 45.0 +/- 12.0
mm. In group 2, the mean volume was 6,288.4 +/- 2,733.0 mm3. The overall SF was
96.4% (100% for group 1 and 75% for group 2). The mean number of sessions of ESWL
and URS, respectively, was 1.4 +/- 0.7 and 0.8 +/- 0.9 in group 1 and 4.0 +/- 2.0
and 1.5 +/- 1.3 in group 2. The mean times to achieve these rates were 3.2 +/-
1.7 months and 5.6 +/- 2.3 months for groups 1 and 2, respectively. One patient
in group 2 was treated with only three sessions of ESWL. Renal colic was observed
in only five patients (17.9%). CONCLUSIONS: MiniJFil(r) stenting is safe and may
be an alternative for the treatment of kidney stones during minimally invasive
procedures.
PMID- 27878117
TI - GPC-3 in hepatocellular carcinoma: current perspectives.
AB - Glypican-3 (GPC3), a member of heparan sulfate proteoglycans, attaches to the
cell membrane and is frequently observed to be elevated in hepatocellular
carcinoma (HCC). However, GPC3 is not detected in normal liver tissues and benign
liver lesions. Consequently, GPC3 is currently being used as a diagnostic
biomarker and HCC-specific positron emission computed tomography probe to
identify HCCs in normal liver tissues and benign liver lesions. The
overexpression of GPC-3 in serum or liver tissue also predicts poor prognosis for
HCC patients. In addition, GPC3 promotes HCC growth and metastasis by activating
the canonical Wnt and other signaling pathways. Targeting of GPC3, including
GC33, HN3 and YP7, might offer new immunotherapeutic tools for HCC treatment.
PMID- 27878118
TI - Cancer-Related Functions and Subcellular Localizations of Septins.
AB - Since the initial discovery of septin family GTPases, the understanding of their
molecular organization and cellular roles keeps being refined. Septins have been
involved in many physiological processes and the misregulation of specific septin
gene expression has been implicated in diverse human pathologies, including
neurological disorders and cancer. In this minireview, we focus on the importance
of the subunit composition and subcellular localization of septins relevant to
tumor initiation, progression, and metastasis. We especially underline the
importance of septin polymer composition and of their association with the plasma
membrane, actin, or microtubules in cell functions involved in cancer and in
resistance to cancer therapies. Through their scaffolding role, their function in
membrane compartmentalization or through their protective function against
protein degradation, septins also emerge as critical organizers of membrane
associated proteins and of signaling pathways implicated in cancer-associated
angiogenesis, apoptosis, polarity, migration, proliferation, and in metastasis.
Also, the question as to which of the free monomers, hetero-oligomers, or
filaments is the functional form of mammalian septins is raised and the control
over their spatial and temporal localization is discussed. The increasing amount
of crosstalks identified between septins and cellular signaling mediators
reinforces the exciting possibility that septins could be new targets in anti
cancer therapies or in therapeutic strategies to limit drug resistance.
PMID- 27878121
TI - Corrigendum: Designer Leptin Receptor Antagonist Allo-aca Inhibits VEGF Effects
in Ophthalmic Neoangiogenesis Models.
AB - [This corrects the article on p. 67 in vol. 3, PMID: 27790618.].
PMID- 27878122
TI - Europe on Fire; Medical Management of Terror Attacks - New Era and New
considerations.
PMID- 27878119
TI - The Role of microRNA Markers in the Diagnosis, Treatment, and Outcome Prediction
of Spinal Cord Injury.
AB - Spinal cord injury (SCI) is a devastating condition that affects many people
worldwide. Treatment focuses on controlling secondary injury cascade and
improving regeneration. It has recently been suggested that both the secondary
injury cascade and the regenerative process are heavily regulated by microRNAs
(miRNAs). The measurement of specific biomarkers could improve our understanding
of the disease processes, and thereby provide clinicians with the opportunity to
guide treatment and predict clinical outcomes after SCI. A variety of miRNAs
exhibit important roles in processes of inflammation, cell death, and
regeneration. These miRNAs can be used as diagnostic tools for predicting outcome
after SCI. In addition, miRNAs can be used in the treatment of SCI and its
symptoms. Significant laboratory and clinical evidence exist to show that miRNAs
could be used as robust diagnostic and therapeutic tools for the treatment of
patients with SCI. Further clinical studies are warranted to clarify the
importance of each subtype of miRNA in SCI management.
PMID- 27878120
TI - Advances in Stem Cell Research- A Ray of Hope in Better Diagnosis and Prognosis
in Neurodegenerative Diseases.
AB - Neurodegeneration and neurodegenerative disorders have been a global health issue
affecting the aging population worldwide. Recent advances in stem cell biology
have changed the current face of neurodegenerative disease modeling, diagnosis,
and transplantation therapeutics. Stem cells also serve the purpose of a simple
in-vitro tool for screening therapeutic drugs and chemicals. We present the
application of stem cells and induced pluripotent stem cells (iPSCs) in the field
of neurodegeneration and address the issues of diagnosis, modeling, and
therapeutic transplantation strategies for the most prevalent neurodegenerative
disorders. We have discussed the progress made in the last decade and have
largely focused on the various applications of stem cells in the
neurodegenerative research arena.
PMID- 27878123
TI - Two Validated Ways of Improving the Ability of Decision-Making in Emergencies;
Results from a Literature Review.
AB - The aim of the current review wasto study the existing knowledge about decision
making and to identify and describe validated training tools.A comprehensive
literature review was conducted by using the following keywords: decision-making,
emergencies, disasters, crisis management, training, exercises, simulation,
validated, real-time, command and control, communication, collaboration, and
multi-disciplinary in combination or as an isolated word. Two validated training
systems developed in Sweden, 3 level collaboration (3LC) and MacSim, were
identified and studied in light of the literature review in order to identify how
decision-making can be trained. The training models fulfilled six of the eight
identified characteristics of training for decision-making.Based on the results,
these training models contained methods suitable to train for decision-making.
PMID- 27878125
TI - Increased Likelihood of Arrhythmic Events Associated with Increased Anxiety in
Patients with Implanted Cardiac Defibrillators after the Ahar-Varzegan Earthquake
in East Azarbaijan, 2012.
AB - OBJECTIVE: To determine the type and pattern of arrhythmic events following the
2012 Ahar-Varzegan Earthquake among patients implanted with cardiac
defibrillators (ICDs) in East Azarbaijan province. METHODS: In a prospective
cohort study, conducted in East Azerbaijan Province of Iran, 132 patients were
enrolled in two comparison groups according to the region of residence i.e.,
earthquake region (n= 98) and non-earthquake (n= 34) region in 2012. Data were
collected for those meeting standard criteria for sustained ventricular
arrhythmias (VAs), or supraventricular tachycardias (SVTs) and triggered ICD
therapies, either shock or anti-tachycardia pacing (ATP). The state version of
the State-Trait Anxiety Inventory (STAI-S) was used to assess general symptoms of
anxiety in both groups. RESULTS: Males comprised 81.1% of the participants. Mean
age of the participants was 59.7 +/-15 years. The frequency of patients with
sustained VAs increased significantly after the earthquake (p=0.008). There were
more VAs (mean 2.16 vs. 6.23; p=0.008) and they occurred earlier (6th vs. 16th
day; p= 0.01) in the earthquake area. The mean frequency of SVTs and the total
number of delivered ICD therapies were similar between groups. Differences in
anxiety levels were not significant between groups but there was a trend for
presence of greater number of patients with anxiety (p=0.07) and the relative
severity of anxiety (p=0.08) in the earthquake area. CONCLUSION: In the
earthquake area, the mean frequency of VAs increased and they occurred earlier in
the earthquake area. The stress of anxiety might have served as a trigger for
these events.
PMID- 27878124
TI - Ultra-Early versus Early Excision and Grafting for Thermal Burns up to 60% Total
Body Surface Area; A Historical Cohort Study.
AB - OBJECTIVE: To compare the outcome of patients with up to 60% total body surface
area (TBSA) thermal burns undergoing ultra-early and early excision and grafting.
METHODS: This historical cohort study was performed in two referral burn centers
of Shiraz during a 1-year period from 2015 to 2016. We included those patients
with thermal burns up to 60% TBSA who underwent ultra-early (48-72 hours) and
early (7-10 days) excision and grafting. We excluded those who were
hemodynamically unstable and those with electrical burns. The outcome of patients
was determined by graft success, operation duration, blood loss, hospital length
of stay and mortality rate. RESULTS: We included a total number of 107 patients
with mean age of 32.1 +/- 11.6 years. There were 65 (60.7%) men and 42 (39.3%)
women among the patients. Both study groups were comparable regarding the
baseline characteristics. Ultra-early excision and grafting was associated with
more, higher graft success rate (p=0.048), lower infection rate (p=0.037),
shorter hospital length of stay (p=0.044) and lower mortality rate (p=0.027).
CONCLUSION: Ultra-early excision and grafting in patients with thermal burns
covering less than 60% TBSA was associated with higher graft success rate,
shorter hospital length of stay, lower infection rate and lower mortality rate
when compared to early surgery.
PMID- 27878126
TI - Validity of the Electronic Triage System in Predicting Patient Outcomes in
Tabriz, Iran: A Cross-Sectional Study.
AB - OBJECTIVE: To validate the triage ratings performed by the Electronic Triage
System (ETS) using hospitalization, length of stay, resource use, in-hospital
mortality and patient bills as outcome measures. METHODS: In this retrospective
cross-sectional study the medical records of 387 patients were reviewed in a one
week period. The data included triage category and the outcome measures were
hospitalization, length of stay, in-hospital mortality, patient bill, and used
resources. The association between the triage category and hospitalization and
death was assessed. The association between the triage category and the number of
resources, length of stay, and the bill was also assessed. RESULTS: The mean age
of the patients was 43.65 +/-21.17 years. Women comprised 40% (n=155) of 387
people who were included in the study. The frequency of Emergency Severity Index
(ESI) 1, 2, 3, 4 and 5 categories were 18, 61, 127, 181 and 0 respectively. Phi
and Cramer's V for hospitalization and death were 0.365 (p<0.001) and 0.305
(p<0.001). Spearman's rho for bill, length of stay, and resource use were -0.483
(p<0.001), -0.228 (p<0.001) and -0.490 (p<0.001). The association between triage
category and resource consumption was stronger than other outcomes. CONCLUSION:
The ETS was valid in predicting all studied patient outcomes. The ETS has also
the advantages of providing quick reports, giving feedback and providing data for
research purposes.
PMID- 27878127
TI - The Burden of Road Traffic Injuries in Yazd Province - Iran.
AB - OBJECTIVES: To estimate the Disabled-adjusted Life Years (DALYs) of Road Traffic
Accidents in patients referred to hospitals in Yazd Province, central Iran.
METHODS: This cross-sectional study was conducted in Yazd province during 2010.
To calculate the Years of Life Lost (YLL) due to premature death and to calculate
the incidence of non-fatal injuries and Years Lost due to Disability (YLD), the
data were collected from Yazd death registration system and hospital records. The
causes of death and nature of non-fatal injuries were classified using
International Classification of Diseases (ICD-10). We estimated Disability
Adjusted Life Years (DALYs) on the guidelines of the Global Burden of Disease
Study (discount rate: 0.03, age weight: 0.04, constant age weight correction
factor: 0.165). Age and sex composition was taken from the National Statistical
Center for the year 2010. RESULTS: During 2009, 483 deaths were caused by traffic
accidents in Yazd Province, 382 (79.09%) of which were males, and 101 (20.91%)
were females. The mortality rates for males and females were 70.98 and 20.15 in
100,000, respectively. The years of life lost due to premature deaths were
15.84/1000 in men and 4.75/1,000 in women. Total YLLs caused by traffic accidents
were 10,908 years. The injuries caused by traffic accidents were calculated as
15.21 and 3.73/1,000 in males and females, respectively. The total YLDs was
calculated 1.51/1,000. The total burden of Road Traffic Injuries in Yazd province
was 12478 years (DALYs), 87.41% of which was due to premature death, and 12.59%
was related to disability. Also, 78.32% was lost in males. The age specific peak
of burden was in 15-29 year. CONCLUSION: This study showed that traffic accidents
in Yazd impose a high burden. It seems that it is one of the health sector
priorities. It is recommended to revise laws on use of motorcycles, especially on
helmet use for motorcyclists, enforce strict laws in residential areas, and
review social determinant affecting the incidence of such accidents.
PMID- 27878128
TI - The Epidemilogical Characteristics of Motorcyclists Associated Injuries in Road
Traffics Accidents; A Hospital-Based Study.
AB - OBJECTIVES: To determine the epidemiology of injuries of the motorcyclists in
road traffic accidents (RTIs) between 2008 and 2014 in East Azarbayejan province
of Iran. METHODS: A cross-sectional study was performed in East Azerbaijan
province in Imam Reza hospital on motorcyclists subjected to injuries in RTIs
between 2008 and 2014. Demographic characteristics (age, sex), duration of
hospitalization, final status of injured people after discharge from the
hospital, admission ward, number of admissions due to injuries in RTIs, nature of
injury, and the clinical services provided to injured people were gathered from
hospital information system (HIS). Demographic characteristics (age, sex),
duration of hospitalization, final status of injured people after discharge from
the hospital, admission ward, number of admissions due to injuries in RTIs,
injured site of the body, nature of injury, and the clinical services provided
injured people were gathered from HIS. Standardized data collection form was used
for uniform handling of the data. RESULTS: Most of the victims (94.4%) were male.
The most frequent injuries pertained to the age group of 18-30 with 1676 (51.4%)
injuries. Head, abdomen, lower back, lumbar spine, and pelvis, were the most
common injured sites, respectively. Reduction of fracture and dislocation and
cranial puncture were the most common provided services. The lowest survival time
belonged to the age group over 60 years old. CONCLUSION: Injuries to the head are
the most prevalent injuries among motorcyclists in RTIs. So it is suggested that
proper interventions be implemented to ensure wearing helmet by motorcyclist and
pillion passengers.
PMID- 27878129
TI - An Epidemiological Study of Road Traffic Accidents in Guilan Province, Northern
Iran in 2012.
AB - OBJECTIVE: To determine the epidemiological characteristics of the road traffic
injuries (RTIs) in Guilan province, northern Iran. METHODS: This study was a
cross-sectional study which included all of RTIs admitted to medical centers of
Guilan province (northern Iran) during 2012. ICD-10 was used as diagnostic
criteria. Demographic variables also injury circumstance and in hospital
variables such as length of stay, time of admission, type of surgery, ICU
admission, final outcome and mechanism of injury, anatomical part of injury
according to Abbreviated Injury Scale (AIS) classification were derives from
records by trained research team. Descriptive data is reported. The predictors of
mortality were also determined. RESULTS: The prevalence of road traffic injuries
in Guilan province was 31 in 10,000 populations. Of total 7671 accidents, 5976
(77.9%) were men and 1695 (22.1%) were women. Mean age of these victims was 33.3
+/- 17.289 years (32.64+/-16.939 for men, 35.62+/-18.312 for women). Most of them
(32.5%) were 20-29 years old. Motorcycle-car accidents had the highest frequency
followed by car-car crashes and car accidents involving pedestrians. Most of the
patients (85.9%) were hospitalized and 280 injured died (3.7%). Upper extremities
were the most sites of injuries. Male sex, length of hospital stay, multiple
injuries and increased age were associated with road traffic accident associated
mortality. CONCLUSION: RTIs cause enormous death and disability in this area and
more road traffic preventive programs should be enforcement in these areas to
reduce incidences RTI.
PMID- 27878130
TI - An Unusual Case of Transorificial Abdomino-Thoracic Impalement Injury in a Child.
AB - Impalement injuries are rare in the paediatric age group. Still rarer are
injuries which traverse multiple body cavities. Such injuries require
multispecialty management at a tertiary care centre. We describe a case of an
accidental impalement injury in a 12-year-old boy after a fall from height. The
rod was seen passing through the right lung in the paracardiac region, piercing
the diaphragm and segment IV of the liver and then coursing anterior to the
inferior vena cava in the midline. It was seen passing through the small bowel at
multiple places and then coursing behind the bladder, entering the rectum and
anal canal. Intra-operatively, right intercostal chest drain was inserted. At
laparotomy, the liver was mobilized and a diaphragmatic tear of 2*1cm was
visualized. The rod traversed through the right lobe of liver with no active
bleeding. Both the entry and exit sites through the liver were visualized. The
rod was also seen passing through the small bowel and its mesentery. The rod
entered the pelvis posterior to the bladder below the peritoneal reflection.
Moderate hemoperitoneum and fecal contamination was present. The rod was
successfully removed and the patient was discharged with good condition and was
well on follow up.
PMID- 27878131
TI - Extra Luminal Entrapment of Guide Wire; A Rare Complication of Central Venous
Catheter Placement in Right Internal Jugular Vein.
AB - Central venous Catheterization (CVC) is a commonly performed procedure for venous
access. It is associated with several complications. We report a rare case of
extra luminal entrapment of guide wire during CVC placement in right jugular
vein. We report a case of 28 years old female patient presented in our emergency
with history of entrapped guide wire in right side of neck during CVC. X-ray
showed coiling of guide wire in neck. CT Angiography showed guide wire coursing
in between common carotid artery and internal jugular vein (IJV), closely
abutting the wall of both vessels. The guide wire was coiled with end coursing
behind the esophageal wall. Guide wire was removed under fluoroscopic guide
manipulation under local anesthesia. We want to emphasize that even though CVC
placement is common and simple procedure, serious complication can occur in hands
of untrained operator. The procedure should be performed under supervision, if
done by trainee. Force should never be applied to advance the guide wire if
resistance is encountered.
PMID- 27878133
TI - Massive Pneumocephalus and Pneumorrhachis after Severe Skull Base Fracture.
PMID- 27878132
TI - Ruptured Cystic Mesothelioma Diagnosed after Blunt Trauma; Case Report and
Literature Review.
AB - The majority of blunt trauma is secondary to motor vehicle crashes,especially in
those wearing seatbelts or sitting in the front or passenger seat location.Hollow
viscus gastrointestinal injuries occur more frequently in small bowel, followed
by colorectal, duodenum, stomach and appendix. A 25-year-old male presents after
being involved in a motor vehicle accident. Initialworkup was significant for
moderate amount of pelvic free fluid and curvilinear,cysticlike structures in the
pelvis. He subsequently developed peritonitis and underwentdiagnostic
laparoscopy, which revealed multiple cystic nodules arising from theperitoneum.
Pathology demonstrated benign cystic mesothelioma (BCM). BCM is a very
rarecondition of mesotheliallined, variably sized, fluidfilled cysts that arises
from theserous, pericardial or peritoneal lining. Due to the scarcity of cases,
its management and prognosis are not fully established. This singular case
highlights the necessity for a clinician to have a widedifferential forunusual
causes of free pelvic fluid after blunt abdominaltrauma.
PMID- 27878134
TI - Pneumopericardium.
PMID- 27878136
TI - Phenotypic convergence of Menkes and Wilson disease.
AB - Menkes disease is an X-linked multisystem disorder with epilepsy, kinky hair, and
neurodegeneration caused by mutations in the copper transporter ATP7A. Other
ATP7A mutations have been linked to juvenile occipital horn syndrome and adult
onset hereditary motor neuropathy.1,2 About 5%-10% of the patients present with
"atypical Menkes disease" characterized by longer survival, cerebellar ataxia,
and developmental delay.2 The intracellular copper transport is regulated by 2 P
type ATPase copper transporters ATP7A and ATP7B. These proteins are expressed in
the trans-Golgi network that guides copper to intracellular compartments, and in
copper excess, it relocates copper to the plasma membrane to pump it out from the
cells.3ATP7B mutations cause Wilson disease with dystonia, ataxia, tremor, and
abnormal copper accumulation in the brain, liver, and other organs.4.
PMID- 27878137
TI - Mitochondrial cytopathy with common MELAS mutation presenting as multiple system
atrophy mimic.
AB - Mitochondrial encephalomyopathy, lactic acidosis, and stroke-like episodes
(MELAS) syndrome1 is one of the most frequently inherited mitochondrial
disorders. MELAS syndrome is a systemic disease with multiple organ involvement.2
The most common mutation in MELAS is the m.3243A>G mutation in the MT-TL1 gene.2.
PMID- 27878135
TI - Control of the heart rate of rat embryos during the organogenic period.
AB - The aim of this study was to gain insight into whether the first trimester embryo
could control its own heart rate (HR) in response to hypoxia. The gestational day
13 rat embryo is a good model for the human embryo at 5-6 weeks gestation, as the
heart is comparable in development and, like the human embryo, has no functional
autonomic nerve supply at this stage. Utilizing a whole-embryo culture technique,
we examined the effects of different pharmacological agents on HR under normoxic
(95% oxygen) and hypoxic (20% oxygen) conditions. Oxygen concentrations <=60%
caused a concentration-dependent decrease in HR from normal levels of ~210 bpm.
An adenosine agonist, AMP-activated protein kinase (AMPK) activator and KATP
channel opener all caused bradycardia in normoxic conditions; however, putative
antagonists for these systems failed to prevent or ameliorate hypoxia-induced
bradycardia. This suggests that the activation of one or more of these systems is
not the primary cause of the observed hypoxia-induced bradycardia. Inhibition of
oxidative phosphorylation also decreased HR in normoxic conditions, highlighting
the importance of ATP levels. The beta-blocker metoprolol caused a concentration
dependent reduction in HR supporting reports that beta1-adrenergic receptors are
present in the early rat embryonic heart. The cAMP inducer colforsin induced a
positive chronotropic effect in both normoxic and hypoxic conditions. Overall,
the embryonic HR at this stage of development is responsive to the level of
oxygenation, probably as a consequence of its influence on ATP production.
PMID- 27878138
TI - Measuring Process Dynamics and Nuclear Migration for Clones of Neural Progenitor
Cells.
AB - Neural stem and progenitor cells (NPCs) generate processes that extend from the
cell body in a dynamic manner. The NPC nucleus migrates along these processes
with patterns believed to be tightly coupled to mechanisms of cell cycle
regulation and cell fate determination. Here, we describe a new segmentation and
tracking approach that allows NPC processes and nuclei to be reliably tracked
across multiple rounds of cell division in phase-contrast microscopy images.
Results are presented for mouse adult and embryonic NPCs from hundreds of clones,
or lineage trees, containing tens of thousands of cells and millions of
segmentations. New visualization approaches allow the NPC nuclear and process
features to be effectively visualized for an entire clone. Significant
differences in process and nuclear dynamics were found among type A and type C
adult NPCs, and also between embryonic NPCs cultured from the anterior and
posterior cerebral cortex.
PMID- 27878140
TI - Contributions of the Hfq protein to translation regulation by small noncoding
RNAs binding to the mRNA coding sequence.
AB - The bacterial Sm-like protein Hfq affects the regulation of translation by small
noncoding RNAs (sRNAs). In this way, Hfq participates in the cell adaptation to
environmental stress, regulation of cellular metabolism, and bacterial virulence.
The majority of known sRNAs bind complementary sequences in the 5'-untranslated
mRNA regions. However, recent studies have shown that sRNAs can also target the
mRNA coding sequence, even far downstream of the AUG start codon. In this review,
we discuss how Hfq contributes to the translation regulation by those sRNAs which
bind to the mRNA coding sequence.
PMID- 27878139
TI - Compound heterozygous LDLR variant in severely affected familial
hypercholesterolemia patient.
AB - Familial hypercholesterolemia (FH) is most commonly caused by mutations in the
LDL receptor (LDLR), which is responsible for hepatic clearance of LDL from the
blood circulation. We described a severely affected FH proband and their first
degree blood relatives; the proband was resistant to statin therapy and was
managed on an LDL apheresis program. In order to find the causative genetic
variant in this family, direct exon sequencing of the LDLR, APOB and PCSK9 genes
was performed. We identified a compound heterozygous mutation in the proband with
missense p.(W577C) and frameshift p.(G676Afs33) variants at exons 12 and 14 of
the LDLR gene respectively. DNA sequencing of LDLR gene from the parents
demonstrated that the missense variant was inherited from the mother and
frameshift variant was inherited from the father. The frameshift variant resulted
in a stop signal 33 codons downstream of the deletion, which most likely led to a
truncated protein that lacks important functional domains, including the trans
membrane domain and the cytoplasmic tail domain. The missense variant is also
predicted to be likely pathogenic and affect EGF-precursor homology domain of the
LDLR protein. The segregation pattern of the variants was consistent with the
lipid profile, suggesting a more severe FH phenotype when the variants are in the
compound heterozygous state. The finding of a compound heterozygous mutation
causing severe FH phenotype is important for the genotype-phenotype correlation
and also enlarges the spectrum of FH-causative LDLR variants in the Arab
population, including the Saudi population.
PMID- 27878142
TI - MicroRNA biogenesis: Epigenetic modifications as another layer of complexity in
the microRNA expression regulation.
AB - Since their discovery, microRNAs have led to a huge shift in our understanding of
the regulation of key biological processes. The discovery of epigenetic
modifications that affect microRNA expression has added another layer of
complexity to the already tightly controlled regulatory machinery. Modifications
like uridylation, adenylation and RNA editing have been shown to have variable
effects on miRNA biogenesis and action. Methylation of the N6 adenosine has been
studied extensively in mRNA. Presence of the N6-methyl-adenosine (m6A) mark and
its critical importance in miRNA biogenesis in animals adds to our understanding
of the regulatory mechanisms, while its effect on miRNA biogenesis in plants is
yet to be understood.
PMID- 27878141
TI - Assessing the 5S ribosomal RNA heterogeneity in Arabidopsis thaliana using short
RNA next generation sequencing data.
AB - In eukaryotes, ribosomal 5S rRNAs are products of multigene families organized
within clusters of tandemly repeated units. Accumulation of genomic data obtained
from a variety of organisms demonstrated that the potential 5S rRNA coding
sequences show a large number of variants, often incompatible with folding into a
correct secondary structure. Here, we present results of an analysis of a large
set of short RNA sequences generated by the next generation sequencing
techniques, to address the problem of heterogeneity of the 5S rRNA transcripts in
Arabidopsis and identification of potentially functional rRNA-derived fragments.
PMID- 27878143
TI - Membrane-less organic-inorganic aqueous flow batteries with improved cell
potential.
AB - A membrane-less organic-inorganic flow battery based on zinc and quinone species
is proposed. By virtue of the slow dissolution rate of the deposited anode (<11.5
mg h-1 cm-2), the battery has a cell voltage of ca. 1.52 V with an average energy
efficiency of ca. 73% at 30 mA cm-2 over 12 cycles.
PMID- 27878144
TI - Characteristics of chemical bonding of pentavalent uranium in La-doped UO2.
AB - The effect of La doping on the electronic structure of U in UO2 was studied using
an advanced technique, namely, X-ray absorption spectroscopy (XAS) in the high
energy-resolution fluorescence-detection (HERFD) mode, at the U 3d3/2 (M4) edge.
Thanks to a significant reduction of the core-hole lifetime broadening and
distinct chemical shifts of the HERFD-XAS lines, the U(v) formation as a result
of La doping was identified. The isolated contribution of U(v) in the M4 HERFD
XAS spectrum reveals the so-called charge-transfer satellites due to the U 5f-O
2p hybridization. The analysis of the experimental data within the framework of
the Anderson impurity model (AIM) indicates a significant change in the
characteristics and degree of covalency for the chemical bonding in the U(v)
subsystem of UO2 as compared to undoped UO2, which is a Mott-Hubbard system. The
results are also supported by AIM calculations of X-ray photoelectron and optical
absorption data.
PMID- 27878145
TI - Chiral nanoscale pores created during the surface explosion of tartaric acid on
Cu(111).
AB - The autocatalytic decomposition of tartaric acid on Cu(111) exhibits unique
kinetics, which are linked to a hexagonal surface structure adopted at high
coverage. The chirality imposed on the surface by tartaric acid throughout the
explosion process is presented, and the hexagonal structure shows promise as a
chiral template for enantiospecific surface chemistry.
PMID- 27878146
TI - Acoustofluidic particle trapping, manipulation, and release using dynamic-mode
cantilever sensors.
AB - We show here that dynamic-mode cantilever sensors enable acoustofluidic fluid
mixing and trapping of suspended particles as well as the rapid manipulation and
release of trapped micro-particles via mode switching in liquid. Resonant modes
of piezoelectric cantilever sensors over the 0 to 8 MHz frequency range are
investigated. Sensor impedance response, flow visualization studies using dye and
micro-particle tracers (100 MUm diameter), and finite element simulations of
cantilever modal mechanics and acoustic streaming show fluid mixing and particle
trapping configurations depend on the resonant mode shape. We found trapped
particles could be: (1) rapidly manipulated on millimeter length scales, and (2)
released from the cantilever surface after trapping by switching between low- and
high-order resonant modes (less than 250 kHz and greater than 1 MHz,
respectively). Such results suggest a potentially promising future for dynamic
mode cantilevers in separations, pumping and mixing applications as well as
acoustofluidic-enhanced sensing applications.
PMID- 27878147
TI - Highly efficient reduction of carbon dioxide with a borane catalyzed by
bis(phosphinite) pincer ligated palladium thiolate complexes.
AB - Highly efficient catalytic reduction of CO2 with catecholborane has been
developed by using bis(phosphinite) pincer ligated palladium thiolate complexes.
Turnover frequencies up to 1780 h-1 have been achieved at room temperature under
an atmospheric pressure of CO2. These thiolate complexes represent the most
efficient homogeneous catalysts known to date for the reduction of CO2 to
methanol under mild conditions.
PMID- 27878148
TI - Photo/chemo dual-controlled reversible morphological conversion and chiral
modulation of supramolecular nanohelixes with nanosquares and nanofibers.
AB - A photo/chemo dually interconvertible system was constructed through the
supramolecular assembly of azobenzene-diphenylalanine (Azo-FF) with alpha
cyclodextrin. The resultant chiral nanohelix was able to interconvert into a
nanosquare upon irradiation at different wavelengths, but into a nanofiber upon
changing solvent polarity, which provides a feasible way to achieve highly
ordered nanostructures with various morphologies, dimensions and chiralities.
PMID- 27878150
TI - Controllable selenium vacancy engineering in basal planes of mechanically
exfoliated WSe2 monolayer nanosheets for efficient electrocatalytic hydrogen
evolution.
AB - Engineering intrinsic selenium vacancies (Se-vacancies) was achieved in
mechanically exfoliated WSe2 monolayer nanosheets (WSe2 MLNSs) via an annealing
treatment. Our theoretical and experimental results reveal that these Se
vacancies can efficiently activate and optimize the basal planes of the WSe2
MLNSs. As expected, the optimized catalyst exhibits efficient electrocatalytic
hydrogen evolution.
PMID- 27878149
TI - Synthesis of precipitating chromogenic/fluorogenic beta-glucosidase/beta
galactosidase substrates by a new method and their application in the visual
detection of foodborne pathogenic bacteria.
AB - We developed a new efficient method for the synthesis of important indoxyl
glycoside substrates for beta-glucosidase and beta-galactosidase by using 1
acetylindol-3-ones as intermediates. This method was used to synthesise novel
precipitating fluorogenic substrates for beta-glucosidase based on 2
(benzothiazol-2'-yl)-phenols. We also assessed the application of these
substrates in the detection of foodborne pathogenic bacteria.
PMID- 27878151
TI - Formation of large nanodomains in liquid solutions near the phase boundary.
AB - We discovered that large nanodomains were formed in liquid solutions near the
phase boundary, and their size increased dramatically as the composition of
solutions approached the phase boundary. Different mesoporous materials were
synthesized using nanodomains as templates, and the pore size could be controlled
simply by the composition of solutions.
PMID- 27878152
TI - A heptanuclear light-harvesting metal-based antenna dendrimer with six Ru(ii)
based chromophores directly powering a single Os(ii)-based energy trap.
AB - The novel dendritic-shaped, heptanuclear compound 1 - the first mixed Os-Ru
dendrimer with tris-chelating bridging ligands - has been synthesized and its
photophysics studied by fs pump-probe transient spectroscopy. In 1, all light
energy absorbed by six identical Ru(ii) chromophores is funnelled to the
luminescent central Os(ii) core, with a time constant of 11 ps, by Dexter energy
transfer.
PMID- 27878153
TI - Effect of graphene support on large Pt nanoparticles.
AB - State-of-the-art catalysts are often created via deposition of monolayers, sub
monolayers or nanoparticles of the catalytic material over supports, aiming to
increase the surface area and decrease the loading of the catalytic material and
therefore the overall cost. Here, we employ large-scale DFT calculations to
simulate platinum clusters with up to 309 atoms interacting with single layer
graphene supports with up to 880 carbon atoms. We compute the adsorption,
cohesion and formation energies of two and three-dimensional Pt clusters
interacting with the support, including dispersion interactions via a semi
empirical dispersion correction and a vdW functional. We find that three
dimensional Pt clusters are more stable than the two-dimensional when interacting
with the support, and that the difference between their stabilities increases
with the system size. Also, the dispersion interactions are more pronounced as we
increase the nanoparticle size, being essential to a reliable description of
larger systems. We observe inter-atomic expansion (contraction) on the closest
(farthest) Pt facets from the graphene sheet and charge redistribution with
overall charge being transferred from the platinum clusters to the support. The
Pt-Pt expansion, which is related to the charge transfer in the system,
correlates with the adsorption energy per Pt atom in contact with the graphene.
These, and other electronic and structural observations show that the effect of
the support cannot be neglected. Our study provides for the first time, to the
best of our knowledge, quantitative results on the non-trivial combination of
size and support effects for nanoparticles sizes which are relevant to catalyst
design.
PMID- 27878154
TI - Ni-catalyzed reductive coupling of alpha-halocarbonyl derivatives with vinyl
bromides.
AB - This work describes the vinylation of alpha-halo carbonyl compounds with vinyl
bromides under Ni-catalyzed reductive coupling conditions. While aryl-conjugated
vinyl bromides entail pyridine as the sole labile ligand, the alkyl-substituted
vinyl bromides require both bipyridine and pyridine as the co-ligands.
PMID- 27878155
TI - Spin-labelled diketopiperazines and peptide-peptoid chimera by Ugi-multi
component-reactions.
AB - For the first time, spin-labelled coumpounds have been obtained by isonitrile
based multi component reactions (IMCRs). The typical IMCR Ugi-protocols offer a
simple experimental setup allowing structural variety by which labelled
diketopiperazines (DKPs) and peptide-peptoid chimera have been synthesized. The
reaction keeps the paramagnetic spin label intact and offers a simple and
versatile route to a large variety of new and chemically diverse spin labels.
PMID- 27878156
TI - Synergy between experimental and computational studies of aromatic stacking
interactions.
AB - Aromatic stacking interactions are one of the most common types of non-covalent
interactions. However, their fundamental origins and the ability to accurately
predict their stability trends are still an active area of research. The study of
aromatic stacking interactions has been particularly challenging. The interaction
involves a delicate balance of multiple forces, and the aromatic surfaces can
readily adopt different interaction geometries. Thus, the collaborative efforts
of theoretical and experimental researchers have been essential to understand and
build more accurate predictive models of aromatic stacking interactions.
PMID- 27878158
TI - Photo-induced phosphate released from organic phosphorus degradation in deionized
and natural water.
AB - The photodegradation of organic phosphorus is one of the most important processes
of the phosphorus cycle by which phosphate is regenerated in the water
environment. In this study, the influence of direct photolysis or indirect
photolysis of organic phosphorus using natural photosensitizers on the released
phosphate was examined in deionized and natural water under ultraviolet (UV)
irradiation using diazinon as the organic phosphorus model. Phosphate was
released when diazinon was exposed to UV light, and the solution pH also
exhibited distinct influences on the phosphate that was released from diazinon
photodegradation. When the natural photosensitizers were added, the amount of
phosphate released increased significantly because of the diazinon indirect
photodegradation by reactive species, such as the hydroxyl radical generated by
NO3- and Fe3+. However, humic acid and HCO3- inhibited the phosphate released by
a radical scavenging effect. When natural water was spiked with diazinon, the
phosphate that was released in natural water was higher than that of the control
or deionized water, and the phosphate that was released was inhibited when
isopropanol was added to the reaction. In addition, the formation of hydroxyl
radicals (OH) in the natural water systems was identified from the
photoluminescence spectra using coumarin as the trapping molecule, and the steady
state concentration of OH in natural water was 3.07 +/- 0.57 * 10-16 M under UV
irradiation. All of these results indicated that direct and indirect photolysis
degradation of organic phosphorus significantly impacts the release of phosphate
in surface waters.
PMID- 27878157
TI - Ruthenium water oxidation catalysts containing the non-planar tetradentate
ligand, biisoquinoline dicarboxylic acid (biqaH2).
AB - Two ruthenium complexes containing the tetradentate ligand [1,1'-biisoquinoline]
3,3'-dicarboxylic acid, and 4-picoline or 6-bromoisoquinoline as axial ligands
have been prepared. The complexes have been fully characterised and initial
studies on their potential to function as molecular water oxidation catalysts
have been performed. Both complexes catalyse the oxidation of water in acidic
media with CeIV as a stoichiometric chemical oxidant, although turnover numbers
and turnover frequencies are modest when compared with the closely related Ru-bda
and Ru-pda analogues. Barriers for the water nucleophilic attack and
intermolecular coupling pathways were obtained from density functional theory
calculations and the crucial influence of the ligand framework in determining the
most favourable reaction pathway was elucidated from a combined analysis of the
theoretical and experimental results.
PMID- 27878159
TI - The synthesis and structure of a dianionic species with a bond between
pentacoordinated tin atoms: bonding properties of the tin-tin bond.
AB - The first dianionic compound bearing a bond between two pentacoordinated tin
atoms, a distannate, was synthesized in a stable form by using two sets of an
electron-withdrawing C,O-bidentate ligand on each tin atom. The structure of the
distannate was determined by NMR spectroscopy and X-ray crystallographic
analysis. The Sn-Sn bond of the distannate was shown to be a single bond
featuring high s-character. The 1J(Sn-Sn) coupling constant was larger than that
of Sn(sp3)-Sn(sp3) bonds found in most hexaorganodistannanes. This bond feature
was also supported by computational studies. The Sn-Sn bond was cleaved by
treatment with hydrochloric acid, which shows a different reactivity to the
homonuclear bonds of pentacoordinated disilicates and digermanates.
PMID- 27878160
TI - Chemo-enzymatic modification of eukaryotic mRNA.
AB - Messenger RNA may not be very abundant in the cell but its central role in gene
expression is indisputable. In addition to being the template for translation it
can be subject for a variety of regulatory mechanisms affecting gene expression,
ranging from simple structural changes to modifications and active transport. To
elucidate and potentially control the underlying changes in vitro and in cells,
site-specific modification and labeling strategies are required. In this
perspective, we introduce chemo-enzymatic concepts for posttranscriptional
modification focusing on eukaryotic mRNAs. We describe how eukaryotic mRNA can be
enzymatically modified via its 5' cap. Directions towards chemo-enzymatic mRNA
labeling and visualization inside cells are given, taking into account current
developments in fluorophore design. Recent achievements and future perspectives
will be highlighted in the framework of an honest discussion of existing
challenges.
PMID- 27878161
TI - One-pot conversion reactions of glycosyl boranophosphates into glycosyl phosphate
derivatives via acyl phosphite intermediates.
AB - A one-pot synthesis of glycosyl phosphates and their P-modified analogs from
glycosyl boranophosphates under mild basic conditions has been conducted. 31P NMR
monitoring of the reaction mixture revealed that the key intermediates of these
reactions were acyl phosphites, which could not be formed from the corresponding
H-phosphonate diesters.
PMID- 27878163
TI - Radical-promoted site-specific cross dehydrogenative coupling of heterocycles
with nitriles.
AB - A first free-radical triggered site-specific cross dehydrogenative coupling
reaction of heterocycles with simple nitriles is developed. It allows efficient
and facile access to various C-2 cyanoalkylated furans, thiophenes, indoles, and
pyrroles. The extremely high selectivities in this case indicate that
functionalization of an inert C-H bond could be well-controlled by radical
initiation.
PMID- 27878162
TI - Asymmetric chemoenzymatic synthesis of 1,3-diols and 2,4-disubstituted
aryloxetanes by using whole cell biocatalysts.
AB - Regio- and stereo-selective reduction of substituted 1,3-aryldiketones,
investigated in the presence of different whole cell microorganisms, was found to
afford beta-hydroxyketones or 1,3-diols in very good yields (up to 95%) and
enantiomeric excesses (up to 96%). The enantiomerically enriched aldols, obtained
with the opposite stereo-preference by baker's yeast and Lactobacillus reuteri
DSM 20016 bioreduction, could then be diastereoselectively transformed into
optically active syn- or anti-1,3-diols by a careful choice of the chemical
reducing agent (diastereomeric ratio up to 98 : 2). The latter, in turn, were
stereospecifically cyclized into the corresponding oxetanes in 43-98% yields and
in up to 94% ee, thereby giving a diverse selection of stereo-defined 2,4
disubstituted aryloxetanes.
PMID- 27878164
TI - Outflow and clogging of shape-anisotropic grains in hoppers with small apertures.
AB - Outflow of granular material through a small orifice is a fundamental process in
many industrial fields, for example in silo discharge, and in everyday's life.
Most experimental studies of the dynamics have been performed so far with
monodisperse disks in two-dimensional (2D) hoppers or spherical grains in 3D. We
investigate this process for shape-anisotropic grains in 3D hoppers and discuss
the role of size and shape parameters on avalanche statistics, clogging states,
and mean flow velocities. It is shown that an increasing aspect ratio of the
grains leads to lower flow rates and higher clogging probabilities compared to
spherical grains. On the other hand, the number of grains forming the clog is
larger for elongated grains of comparable volumes, and the long axis of these
blocking grains is preferentially aligned towards the center of the orifice. We
find a qualitative transition in the hopper discharge behavior for aspect ratios
larger than ~6. At still higher aspect ratios >8-12, the outflowing material
leaves long vertical holes in the hopper that penetrate the complete granular
bed. This changes the discharge characteristics qualitatively.
PMID- 27878165
TI - Chemoselective hydrogenation of arenes by PVP supported Rh nanoparticles.
AB - Polyvinylpyrrolidone-stabilized Rh nanoparticles (RhNPs/PVP) of ca. 2.2 nm in
size were prepared by the hydrogenation of the organometallic complex [Rh(eta3
C3H5)3] in the presence of PVP and evaluated as a catalyst in the hydrogenation
of a series of arene substrates as well as levulinic acid and methyl levulinate.
The catalyst showed excellent activity and selectivity towards aromatic ring
hydrogenation compared to other reported transition metal-based catalysts under
mild reaction conditions (room temperature and 1 bar H2). Furthermore, it was
shown to be a highly promising catalyst for the hydrogenation of levulinic acid
and methyl levulinate in water leading to quantitative formation of the fuel
additive gamma-valerolactone under moderate reaction conditions compared to
previously reported catalytic systems.
PMID- 27878166
TI - Mechanism of excited state deactivation of indan-1-ylidene and fluoren-9-ylidene
malononitriles.
AB - Herein, we report complementary computational and experimental evidence
supporting the existence, for indan-1-ylidene malononitrile and fluoren-9-ylidene
malononitrile, of a non-radiative decay channel involving double bond
isomerisation motion. The results of UV-Vis transient absorption spectroscopy
highlight that the decay takes place within hundreds of picoseconds. In order to
understand the related molecular mechanism, photochemical reaction paths were
computed by employing multiconfigurational quantum chemistry. The results
indicate that the excited state deactivation occurs via concerted double bond
twisting of the dicyanovinyl (DCV) unit coupled with a pyramidalisation of its
substituted carbon. It is also shown that the observed differences in the excited
state lifetimes when passing from indan-1-ylidene malononitrile to fluoren-9
ylidene are associated with the change in the topography of the conical
intersection driving the decay from intermediate to sloped, respectively.
PMID- 27878167
TI - Gradient doping - a case study with Ti-Fe2O3 towards an improved
photoelectrochemical response.
AB - The present study investigates the effect of gradient doping on modifying the
photoelectrochemical response of Ti-doped Fe2O3 photoanodes for their use in
sunlight based water splitting for hydrogen evolution. The deposition of a thin
film over the ITO (tin doped indium oxide) substrate was carried out using a
spray pyrolysis method. The concentration of dopant was varied from 0.5-8.0 at%
and two sets of samples were also prepared with low to high (0.5-8%) and high to
low (8-0.5%) dopant concentrations in the direction towards the substrate. The
prepared thin films were characterized using X-ray Diffractometry (XRD), Scanning
Electron Microscopy (SEM), Energy Dispersive X-ray (EDX) Spectroscopy, Secondary
Ion Mass Spectroscopy (SIMS), X-ray Photoelectron Spectroscopy (XPS) and UV
visible Spectroscopy. The photoelectrochemical studies revealed that the
deposition of dopant layers with a low to high concentration towards the
substrate exhibited a highly improved photoresponse (200 times) in comparison to
the pristine sample and a two fold enhancement in comparison to 2% Ti-doped
Fe2O3. The improvement in the photoresponse has been attributed to the values of
a high flat band potential, low resistance, high open circuit voltage, carrier
separation efficiency, applied bias photon-to-current conversion efficiency
(ABPE), and incident photon-to-current conversion efficiency (IPCE). A reduced
charge transfer resistance has been demonstrated with Nyquist plots.
PMID- 27878168
TI - Comparison of hydration behavior and conformational preferences of the Trp-cage
mini-protein in different rigid-body water models.
AB - The secondary structure conformational properties and hydration shell metrics of
the Trp-cage mini-protein are examined in the folded and unfolded ensembles in
mTIP3P, TIP4P, and TIP4P-Ew water models with the CHARMM22 force-field using
molecular dynamics simulations at 250 K. Upon changing the water model, the
conformational order metrics of the peptide show significant differences in the
unfolded rather than in the folded ensemble. The unfolding temperatures for Trp
cage are observed to be around 460, 470, and 430 K in mTIP3P, TIP4P, and TIP4P
Ew, respectively. Upon comparing the results with a previous study on a 16
residue beta-hairpin fragment of the 2GB1 protein, the same set of conformational
order metrics are found to be insufficient in describing the free energy
landscape of peptides having a distinct native secondary structure. However, the
hydration shell properties of the peptide have been found to be independent of
the sequence of the peptide and it changes in conformation upon unfolding. Our
calculations reveal that for a particular water model, the secondary structure
preferences in the unfolded ensembles of the two peptides are qualitatively
different. The unfolded structures of Trp-cage prefer extended and compact
structures in TIP4P-Ew and mTIP3P water, respectively, whereas the beta-hairpin
peptide prefers extended unfolded structures in mTIP3P. The conformational
preferences of the unfolded peptide in a given water model have been found to
depend on the peptide sequence, where the binding energies of the water molecules
around the polar residues in the unfolded conformations show sensitivity to the
multipole moments of the water models. The significance of an accurate
description of peptide-solvent interactions in the parametrization of
biomolecular force-fields, to obtain an accurate description of conformational
preferences, in particular in the unfolded ensembles of proteins, is highlighted.
PMID- 27878169
TI - In situ characterisation of nanostructured multiphase thermoelectric materials at
elevated temperatures.
AB - Multiphase thermoelectric materials have recently attracted considerable
attention due to the high thermoelectric efficiencies which can be achieved in
these compounds compared to their single-phase counterparts. However, there is
very little known on the structural evolution of these phases as a function of
temperature. In this work we performed an in situ high temperature structural
characterisation of recently reported high efficiency p-type multiphase
(PbTe)0.65(PbS)0.25(PbSe)0.1 compounds by hot stage transmission electron
microscopy and high-resolution neutron powder diffraction. We observed the
microstructural evolution of precipitates and determined the lattice parameters
of phases as a function of temperature for materials, which have been heavily and
lightly doped with sodium. The role of the sodium is to optimize the
concentration of charge carriers. It has been shown to distribute heterogeneously
between the phases in multiphase compounds. The dissolution of secondary phases
is found to occur at elevated temperatures. Although sodium concentration
produces no significant differences between the lattice constants of the phases
and the dissolution sequence of precipitates, it affects quite significantly the
kinetics of precipitation. The heavily doped samples reach structural
thermodynamic equilibrium more quickly than the lightly doped compound. These
results are a step forward in designing high performance multiphase
thermoelectric materials.
PMID- 27878171
TI - Fine regulation of cellulose dissolution and regeneration by low pressure CO2 in
DMSO/organic base: dissolution behavior and mechanism.
AB - In this study, the fine regulation of the dissolution and regeneration of
microcrystalline cellulose (MCC) using very low pressure (0-0.2 MPa) CO2 in a
mixed solvent of dimethyl sulfoxide (DMSO) and 1,8-diazabicyclo-[5.4.0]-undec-7
ene (DBU) at a very low temperature (30 degrees C) was achieved. The solubility
of MCC in DMSO/DBU (weight ratio of DMSO WDMSO = 0.90) could reach 9.0% at 30
degrees C and under CO2 pressure of 0.2 MPa. A similar phenomenon was observed in
the mixed solvent DMSO/1,1,3,3-tetramethylguanidine (TMG). Moreover, ATR-FTIR,
NMR, UV-Vis, TGA, XRD and DFT computational analyses were used to investigate the
dissolution mechanism. It was concluded that in the mixed solvent (DMSO and
organic base), DMSO helped to dissociate ion-pairs into free ions by balancing
the concentration of free ions and the number of hydrogen bonds at WDMSO = 0.90.
Interactions between CO2 and the solvent mixture were explored, and the results
indicate that the optimum CO2 pressure not only promotes the formation of ionic
bonds but also accelerates the formation of covalent bonds. In this way, these
interactions prevent the MCC molecules from aggregating and facilitate the
dissolving of MCC. This study gives a thorough insight into the dissolution
mechanism and specificity of MCC in the CO2-DMSO/organic base solvent system,
which could be helpful for the utilization and transformation of cellulose.
PMID- 27878170
TI - Absolute cross-sections for DNA strand breaks and crosslinks induced by low
energy electrons.
AB - Absolute cross sections (CSs) for the interaction of low energy electrons with
condensed macromolecules are essential parameters to accurately model ionizing
radiation induced reactions. To determine CSs for various conformational DNA
damage induced by 2-20 eV electrons, we investigated the influence of the
attenuation length (AL) and penetration factor (f) using a mathematical model.
Solid films of supercoiled plasmid DNA with thicknesses of 10, 15 and 20 nm were
irradiated with 4.6, 5.6, 9.6 and 14.6 eV electrons. DNA conformational changes
were quantified by gel electrophoresis, and the respective yields were
extrapolated from exposure-response curves. The absolute CS, AL and f values were
generated by applying the model developed by Rezaee et al. The values of AL were
found to lie between 11 and 16 nm with the maximum at 14.6 eV. The absolute CSs
for the loss of the supercoiled (LS) configuration and production of crosslinks
(CL), single strand breaks (SSB) and double strand breaks (DSB) induced by 4.6,
5.6, 9.6 and 14.6 eV electrons are obtained. The CSs for SSB are smaller, but
similar to those for LS, indicating that SSB are the main conformational damage.
The CSs for DSB and CL are about one order of magnitude smaller than those of LS
and SSB. The value of f is found to be independent of electron energy, which
allows extending the absolute CSs for these types of damage within the range 2-20
eV, from previous measurements of effective CSs. When comparison is possible, the
absolute CSs are found to be in good agreement with those obtained from previous
similar studies with double-stranded DNA. The high values of the absolute CSs of
4.6 and 9.6 eV provide quantitative evidence for the high efficiency of low
energy electrons to induce DNA damage via the formation of transient anions.
PMID- 27878172
TI - Understanding the role of hydrogen bonding in Bronsted acidic ionic liquid
catalyzed transesterification: a combined theoretical and experimental
investigation.
AB - Bronsted acidic ionic liquids (BAILs) can play a dual role, as a solvent and as a
catalyst, in many reactions. However, molecular details of the catalytic
mechanism are poorly understood. We present here a density functional theory
(DFT) study for the catalytic mechanism of the transesterification of methyl
ester (ME) with trimethylolpropane (TMP), in the presence of three representative
BAILs, namely, N-methylimidazole-IL, pyridinium-IL, and triethylamine-IL. The
deprotonation of the BAIL cation and the transesterification step are
investigated. Key inter- and intra-molecular hydrogen bonds (HBs) that govern the
catalytic performance of BAILs were identified and analyzed using natural bond
orbital (NBO) and atoms in molecule (AIM) methods. For the deprotonation of
BAILs, it was found that the intermolecular O-HO HB between the hydroxyl group of
TMP and the oxygen of the sulfonic group of BAIL was indispensable for proton
transfer. DFT computed free energy barriers for the transesterification step are
in excellent agreement with the experimental results only after taking into
account the BAIL cation-anion interaction in terms of HBs in which the O-HO
between the hydroxyl group of the anion and the oxygen of the sulfonic group of
the cation was the strongest HB, suggesting the role of the anion in governing
the catalytic activity of BAILs. The existence of the HBs suggested by DFT
calculations was further validated using in situ FTIR experiments/ATR-FTIR.
PMID- 27878173
TI - Silver-doped molybdenum carbide catalyst with high activity for electrochemical
water splitting.
AB - A hybrid catalyst composed of silver (Ag) doped wire-like molybdenum carbide
(MoxCy) with pure beta-phase and carbon nanotubes (CNTs) was coated well on a
carbon rod electrode for the hydrogen evolution reaction (HER). The effects of Ag
loading amount and carbonization temperature on the crystal form of MoxCy were
investigated in detail. It is found that the MoxCy crystal form can be tuned by
adjusting the preparation conditions, and nanostructured wire-like Mo2C with pure
beta-phase was obtained at a temperature over 750 degrees C. Ag/MoxCy composite
nanomaterials were investigated by X-ray diffraction, UV/vis spectroscopy, X-ray
photoelectron spectroscopy, scanning electron microscopy, transmission electron
microscopy, energy-dispersive spectroscopy and Brunauer-Emmett-Teller surface
area analysis. The hybrid catalyst was further deposited on the carbon nanotube
(CNT) modified carbon rod substrate. Due to the high surface area and 3D porous
network-like microstructure, the Ag/Mo2C/CNTs hybrid electrode showed enhanced
catalytic performance when comparing with the corresponding pure one.
Particularly, for the Ag-doped Mo2C/CNTs hybrid electrode with an optimum 1 Ag :
5 Mo molar ratio of the precursors, a current density of 10 mA cm-2 was obtained
by applying an overpotential of 142 mV in 0.5 mol L-1 H2SO4 solution. It is
expected that such a hybrid electrode can be widely applied for effective
electrolysis of water to produce hydrogen.
PMID- 27878174
TI - Dynamic DNA-controlled "stop-and-go" assembly of well-defined protein domains on
RNA-scaffolded TMV-like nanotubes.
AB - A DNA-based approach allows external control over the self-assembly process of
tobacco mosaic virus (TMV)-like ribonucleoprotein nanotubes: their growth from
viral coat protein (CP) subunits on five distinct RNA scaffolds containing the
TMV origin of assembly (OAs) could be temporarily blocked by a stopper DNA
oligomer hybridized downstream (3') of the OAs. At two upstream (5') sites
tested, simple hybridization was not sufficient for stable stalling, which
correlates with previous findings on a non-symmetric assembly of TMV. The growth
of DNA-arrested particles could be restarted efficiently by displacement of the
stopper via its toehold by using a release DNA oligomer, even after storage for
twelve days. This novel strategy for growing proteinaceous tubes under tight
kinetic and spatial control combines RNA guidance and its site-specific but
reversible interruption by DNA blocking elements. As three of the RNA scaffolds
contained long heterologous non-TMV sequence portions that included the stopping
sites, this method is applicable to all RNAs amenable to TMV CP encapsidation,
albeit with variable efficiency most likely depending on the scaffolds' secondary
structures. The use of two distinct, selectively addressable CP variants during
the serial assembly stages finally enabled an externally configured fabrication
of nanotubes with highly defined subdomains. The "stop-and-go" strategy thus
might pave the way towards production routines of TMV-like particles with
variable aspect ratios from a single RNA scaffold, and of nanotubes with two or
even more adjacent protein domains of tightly pre-defined lengths.
PMID- 27878175
TI - Synergistic effects of mining and urban effluents on the level and distribution
of methylmercury in a shallow aquatic ecosystem of the Bolivian Altiplano.
AB - Lake Uru Uru (3686 m a.s.l.) located in the Bolivian Altiplano region receives
both mining effluents and urban wastewater discharges originating from the
surrounding local cities which are under rapid development. We followed the
spatiotemporal distribution of different mercury (Hg) compounds and other
metal(oid)s (e.g., Fe, Mn, Sb, Ti and W) in both water and sediments during the
wet and dry seasons along a north-south transect of this shallow lake system.
Along the transect, the highest Hg and metal(oid) concentrations in both water
and sediments were found downstream of the confluences with mining effluents.
Although a dilution effect was found for major elements during the wet season,
mean Hg and metal(oid) concentrations did not significantly differ from the dry
season due to the increase in acid mine drainage (AMD) inputs into the lake from
upstream mining areas. In particular, high filtered (<0.45 MUm) mono
methylmercury (MMHg) concentrations (0.69 +/- 0.47 ng L-1) were measured in
surface water representing 49 +/- 11% of the total filtered Hg concentrations
(THgF) for both seasons. Enhanced MMHg lability in relation with the water
alkalinity, coupled with abundant organic ligands and colloids (especially for
downstream mining effluents), are likely factors favoring Hg methylation and MMHg
preservation while inhibiting MMHg photodegradation. Lake sediments were
identified as the major source of MMHg for the shallow water column. During the
dry season, diffusive fluxes were estimated to be 227 ng m-2 d-1 for MMHg. This
contribution was found to be negligible during the wet season due to a probable
shift of the redox front downwards in the sediments. During the wet season, the
results obtained suggest that various sources such as mining effluents and
benthic or macrophytic biofilms significantly contribute to MMHg inputs in the
water column. This work demonstrates the seasonally dependent synergistic effect
of AMD and urban effluents on the shallow, productive and evaporative high
altitude lake ecosystems which promotes the formation of natural organometallic
toxins such as MMHg in the water column.
PMID- 27878176
TI - Na2CoSiO4 as a cathode material for sodium-ion batteries: structure,
electrochemistry and diffusion pathways.
AB - The importance of developing new low-cost and safe cathodes for large-scale
sodium batteries has led to recent interest in silicate compounds. A novel cobalt
orthosilicate, Na2CoSiO4, shows promise as a high voltage (3.3 V vs. Na/Na+)
cathode material for sodium-ion batteries. Here, the synthesis and room
temperature electrochemical performance of Na2CoSiO4 have been investigated with
the compound found to yield a reversible capacity greater than 100 mA h g-1 at a
rate of 5 mA g-1. Insights into the crystal structures of Na2CoSiO4 were obtained
through refinement of structural models for its two polymorphs, Pn and Pbca.
Atomistic modelling results indicate that intrinsic defect levels are not
significant and that Na+ diffusion follows 3D pathways with low activation
barriers, which suggest favourable electrode kinetics. The new findings presented
here provide a platform on which future optimisation of Na2CoSiO4 as a cathode
for Na-ion batteries can be based.
PMID- 27878177
TI - Gate-controlled conductance enhancement from quantum Hall channels along graphene
p-n junctions.
AB - The formation of quantum Hall channels inside the bulk of graphene is studied
using various contact and gate geometries. p-n junctions are created along the
longitudinal direction of samples, and enhanced conductance is observed in the
case of bipolar doping due to the new conducting channels formed in the bulk,
whose position, propagating direction and, in one geometry, coupling to
electrodes are determined by the gate-controlled filling factor across the
device. This effect could be exploited to probe the behavior and interaction of
quantum Hall channels protected against uncontrolled scattering at the edges.
PMID- 27878178
TI - Enhanced hydrogen storage properties of MgH2 co-catalyzed with K2NiF6 and CNTs.
AB - The composite of MgH2/K2NiF6/carbon nanotubes (CNTs) is prepared by ball milling,
and its hydrogenation properties are studied for the first time. MgH2 co
catalyzed with K2NiF6 and CNTs exhibited an improvement in the onset
dehydrogenation temperature and isothermal de/rehydrogenation kinetics compared
with the MgH2-K2NiF6 composite. The onset dehydrogenation temperature of MgH2
doped with 10 wt% K2NiF6 and 5 wt% CNTs is 245 degrees C, which demonstrated a
reduction of 25 degrees C compared with the MgH2 + 10 wt% K2NiF6 composite. In
terms of rehydrogenation kinetics, MgH2 doped with 10 wt% K2NiF6 and 5 wt% CNTs
samples absorbed 3.4 wt% of hydrogen in 1 min at 320 degrees C, whereas the MgH2
+ 10 wt% K2NiF6 sample absorbed 2.6 wt% of hydrogen under the same conditions.
For dehydrogenation kinetics at 320 degrees C, the MgH2 + 10 wt% K2NiF6 + 5 wt%
CNTs sample released 3.3 wt% hydrogen after 5 min of dehydrogenation. By
contrast, MgH2 doped with 10 wt% K2NiF6 released 3.0 wt% hydrogen in the same
time period. The apparent activation energy, Ea, for the dehydrogenation of MgH2
doped with 10 wt% K2NiF6 reduced from 100.0 kJ mol-1 to 70.0 kJ mol-1 after MgH2
was co-doped with 10 wt% K2NiF6 and 5 wt% CNTs. Based on the experimental
results, the hydrogen storage properties of the MgH2/K2NiF6/CNTs composite is
enhanced because of the catalytic effects of the active species of KF, KH and
Mg2Ni that are formed in situ during dehydrogenation, as well as the unique
structure of CNTs.
PMID- 27878179
TI - When biomolecules meet graphene: from molecular level interactions to material
design and applications.
AB - Graphene-based materials have attracted increasing attention due to their
atomically-thick two-dimensional structures, high conductivity, excellent
mechanical properties, and large specific surface areas. The combination of
biomolecules with graphene-based materials offers a promising method to fabricate
novel graphene-biomolecule hybrid nanomaterials with unique functions in biology,
medicine, nanotechnology, and materials science. In this review, we focus on a
summarization of the recent studies in functionalizing graphene-based materials
using different biomolecules, such as DNA, peptides, proteins, enzymes,
carbohydrates, and viruses. The different interactions between graphene and
biomolecules at the molecular level are demonstrated and discussed in detail. In
addition, the potential applications of the created graphene-biomolecule
nanohybrids in drug delivery, cancer treatment, tissue engineering, biosensors,
bioimaging, energy materials, and other nanotechnological applications are
presented. This review will be helpful to know the modification of graphene with
biomolecules, understand the interactions between graphene and biomolecules at
the molecular level, and design functional graphene-based nanomaterials with
unique properties for various applications.
PMID- 27878180
TI - From evaporation-induced self-assembly to shear-induced alignment.
AB - The functionality of compact nanostructured thin films depends critically on the
degree of order and hence on the underlying ordering mechanisms during film
formation. For dip coating of rigid nanorods the counteracting mechanisms,
evaporation-induced self-assembly (EISA) and shear-induced alignment (SIA) have
recently been identified as competing ordering mechanisms. Here, we show how to
achieve highly ordered and homogeneous thin films by controlling EISA and SIA in
dip coating. Therefore we identify the influences of the process parameters
including temperature, initial volume fraction and nanorod aspect ratio on
evaporation-induced convective flow and externally applied shear forces and
evaluate the resulting films. The impact of evaporation and shear can be
distinguished by analysing film thickness, surface order and bulk order by
careful in situ SAXS, Raman and SEM-based image analysis. For the first time we
derive processing guidelines for the controlled application of EISA and SIA
towards highly ordered thin nematic films.
PMID- 27878181
TI - Self-assembled monolayer structures of hexadecylamine on Cu surfaces: density
functional theory.
AB - We used dispersion-corrected density-functional theory to probe possible
structures for adsorbed layers of hexadecylamine (HDA) on Cu(100) and Cu(111).
HDA forms self-assembled layers on these surfaces, analogous to alkanethiols on
various metal surfaces, and it binds by donating electrons in the amine group to
the Cu surface atoms, consistent with experiment. van der Waals interactions
between the alkyl tails of HDA molecules are stronger than the interaction
between the amine group and the Cu surfaces. Strong HDA-tail interactions lead to
coverage-dependent tilting of the HDA layers, such that the tilt angle is larger
for lower coverages. At full monolayer coverage, the energetically preferred
binding configuration for HDA on Cu(100) is a (5 * 3) pattern - although we
cannot rule out incommensurate structures - while the pattern is preferred on
Cu(111). A major motivation for this study is to understand the experimentally
observed capability of HDA as a capping agent for producing {100}-faceted Cu
nanocrystals. Consistent with experiment, we find that HDA binds more strongly to
Cu(100) than to Cu(111). This strong binding stems from the capability of HDA to
form more densely packed layers on Cu(100), which leads to stronger HDA-tail
interactions, as well as the stronger binding of the amine group to Cu(100). We
estimate the surface energies of HDA-covered Cu(100) and Cu(111) surfaces and
find that these surfaces are nearly isoenergetic. By drawing analogies to
previous theoretical work, it seems likely that HDA-covered Cu nanocrystals could
have kinetic shapes that primarily express {100} facets, as is seen
experimentally.
PMID- 27878182
TI - Characterisation, degradation and regeneration of luminescent Ag29 clusters in
solution.
AB - Luminescent Ag clusters are prepared with lipoic acid (LA) as the ligand. Using a
combination of mass spectrometry, optical spectroscopy and analytical
ultracentrifugation, the clusters are found to be highly monodisperse with mass
5.6 kDa. We assign the chemical composition [Ag29(LA)12]3- to the clusters, where
LA likely binds in a bidentate fashion. The Ag29 clusters show slow degradation,
retaining their deep red emission for at least 18 months if stored in the dark.
Purification or exposure to light results in faster degradation. No other cluster
species are observed during the degradation process. Once degraded, the clusters
could easily be regenerated using NaBH4, which is not usually observed for
thiolate-capped Ag clusters.
PMID- 27878186
TI - Towards an understanding of amyloid-beta oligomers: characterization, toxicity
mechanisms, and inhibitors.
AB - Alzheimer's disease (AD) is characterized by an imbalance between production and
clearance of amyloid-beta (Abeta) species. Abeta peptides can transform
structurally from monomers into beta-stranded fibrils via multiple oligomeric
states. Among the various Abeta species, structured oligomers are proposed to be
more toxic than fibrils; however, the identification of Abeta oligomers has been
challenging due to their heterogeneous and metastable nature. Multiple techniques
have recently helped us gain a better understanding of oligomers' assembly
details and structural properties. Moreover, some progress on elucidating the
mechanisms of oligomer-triggered toxicity has been made. Based on the collection
of current findings, there is growing consensus that control of toxic Abeta
oligomers could be a valid approach to regulate Abeta-associated toxicity, which
could advance development of new diagnostics and therapeutics for amyloid-related
diseases. In this review, we summarize the recent understanding of Abeta
oligomers' assembly, structural properties, and toxicity, along with inhibitors
against Abeta aggregation, including oligomerization.
PMID- 27878188
TI - Organic-inorganic perovskite plasmonic nanowire lasers with a low threshold and a
good thermal stability.
AB - Plasmonic nanolasers have ushered in a paradigm of deep sub-wavelength coherent
optical sources with ultrafast dynamics that exploit the strong confinement
capabilities of metals. Although these devices are usually associated with higher
thresholds due to absorption in metals, the high gain inorganic II-VI and III-V
semiconductor materials have allowed the realization of plasmonic nanolasers
operating under ambient conditions. In this work, we introduce single-crystalline
lead halide perovskite (CH3NH3PbI3) nanowires as an organic-inorganic
semiconducting gain material to the plasmonic laser community. We demonstrate
plasmonic laser action using a hybrid geometry whereby the perovskite nanowires
are placed on a silver substrate with an insulating spacer layer. We report
relatively low threshold operation under ambient conditions (13.5 MUJ cm-2), and
the devices work well even at temperatures up to 43.6 degrees C. The
demonstration highlights the high optical gain achievable in perovskite materials
and thus provides a solution to high gain materials for plasmonic devices.
PMID- 27878192
TI - Ion transport in graphene nanofluidic channels.
AB - Carbon nanofluidic structures made of carbon nanotubes or graphene/graphene oxide
have shown great promise in energy and environment applications due to the newly
discovered fast and selective mass transport. However, they have yet to be
utilized in nanofluidic devices for lab-on-a-chip applications because of great
challenges in their fabrication and integration. Herein we report the fabrication
of two-dimensional planar graphene nanochannel devices and the study of ion
transport inside a graphene nanochannel array. A MEMS fabrication process that
includes controlled nanochannel etching, graphene wet transfer, and vacuum anodic
bonding is developed to fabricate graphene nanochannels where graphene
conformally coats the channel surfaces. We observe higher ionic conductance
inside the graphene nanochannels compared with silica nanochannels with the same
geometries at low electrolyte concentrations (10-6 M-10-2 M). Enhanced
electroosmotic flow due to the boundary slip at graphene surfaces is attributed
to the measured higher conductance in the graphene nanochannels. Our results also
suggest that the surface charge on the graphene surface, originating from the
dissociation of oxygen-containing functional groups, is crucial to the enhanced
electroosmotic flow inside the nanochannels.
PMID- 27878193
TI - Efficient near-infrared light-emitting diodes based on organometallic halide
perovskite-poly(2-ethyl-2-oxazoline) nanocomposite thin films.
AB - Organometallic halide perovskites have recently drawn considerable attention for
applications in light emission diodes (LEDs). However, the small exciton binding
energy of the CH3NH3PbI3 perovskite has the concerns of large exciton
dissociation and low radiative recombination on its use in near-infrared LEDs
(NIR-LEDs). Herein, we propose and demonstrate that the introduction of poly(2
ethyl-2-oxazoline) (PEtOz) into the perovskite can simultaneously improve the
recombination rate and radiative decay rate for improving perovskite LED
performances. Additionally, our approach results in smooth perovskite films with
increased thickness, reduced roughness, and pin-hole free, which facilitates
other film deposition on top for practical device fabrication, and reduces
current leakage. After optimizing the perovskite-PEtOz nanocomposite emission
layer in NIR-LEDs (emission peak at 760 nm), a high radiance of 12.3 W sr-1 m-2
and 70-fold enhancement of the external quantum efficiency (EQE) compared to that
of the pristine perovskite case are achieved. The maximum EQE reaches 0.76%,
which is the highest EQE reported so far for the CH3NH3PbI3 based NIR-LEDs. The
simplicity of our fabrication approach combined with the outstanding device
performances further highlights the enormous potential of perovskite-based LEDs.
PMID- 27878189
TI - Mapping cellular Fe-S cluster uptake and exchange reactions - divergent pathways
for iron-sulfur cluster delivery to human ferredoxins.
AB - Ferredoxins are protein mediators of biological electron-transfer reactions and
typically contain either [2Fe-2S] or [4Fe-4S] clusters. Two ferredoxin homologues
have been identified in the human genome, Fdx1 and Fdx2, that share 43% identity
and 69% similarity in protein sequence and both bind [2Fe-2S] clusters. Despite
the high similarity, the two ferredoxins play very specific roles in distinct
physiological pathways and cannot replace each other in function. Both eukaryotic
and prokaryotic ferredoxins and homologues have been reported to receive their Fe
S cluster from scaffold/delivery proteins such as IscU, Isa, glutaredoxins, and
Nfu. However, the preferred and physiologically relevant pathway for receiving
the [2Fe-2S] cluster by ferredoxins is subject to speculation and is not clearly
identified. In this work, we report on in vitro UV-visible (UV-vis) circular
dichroism studies of [2Fe-2S] cluster transfer to the ferredoxins from a variety
of partners. The results reveal rapid and quantitative transfer to both
ferredoxins from several donor proteins (IscU, Isa1, Grx2, and Grx3). Transfer
from Isa1 to Fdx2 was also observed to be faster than that of IscU to Fdx2,
suggesting that Fdx2 could receive its cluster from Isa1 instead of IscU. Several
other transfer combinations were also investigated and the results suggest a
complex, but kinetically detailed map for cellular cluster trafficking. This is
the first step toward building a network map for all of the possible iron-sulfur
cluster transfer pathways in the mitochondria and cytosol, providing insights on
the most likely cellular pathways and possible redundancies in these pathways.
PMID- 27878194
TI - Fully gravure printed complementary carbon nanotube TFTs for a clock signal
generator using an epoxy-imine based cross-linker as an n-dopant and encapsulant.
AB - Printed p-type single walled carbon nanotube (SWCNT) based circuits exhibit high
power dissipation owing to their thick printed dielectric layers (>2 MUm) and
long channels (>100 MUm). In order to reduce the static power dissipation of
printed SWCNT-base circuits while maintaining the same printing conditions and
channel lengths, complementary metal-oxide-semiconductor (CMOS) based circuits
are more ideal. These circuits, however, have not been successfully implemented
in a scalable printing platform due to unstable threshold voltages of n-doped
SWCNT based thin film transistors (TFTs). In this work, a thermally curable epoxy
imine-based n-doping ink is presented for achieving uniform doping and sealing of
SWCNT layers by gravure printing. After printing the n-doping ink, the ink is
cured to initiate a cross-linking reaction to seal the n-doped SWCNT-TFTs so that
the threshold voltage of the n-doped SWCNT-TFTs is stabilized. Flexible CMOS ring
oscillators using such n-doped SWCNT-TFTs combined with the intrinsically p-type
SWCNT-TFTs can generate a 0.2 Hz clock signal with significantly lower power
consumption compared to similarly printed p-type only TFT based ring oscillators.
Moving forward, this CMOS flexible ring oscillator can be practically used to
develop fully printed inexpensive wireless sensor tags.
PMID- 27878196
TI - Self-propelled round-trip motion of Janus particles in static line optical
tweezers.
AB - Controlled propulsion of microparticles and micromachines in fluids could
revolutionize many aspects of technology, such as biomedicine, microfluidics,
micro-mechanics, optomechanics, and cell biology. We report the self-propelled
cyclic round-trip motion of metallo-dielectric Janus particles in static line
optical tweezers (LOT). The Janus particle is a 5 MUm-diameter polystyrene sphere
half-coated with 3 nanometer thick gold film. Both experiment and theory show
that this cyclic translational and rotational motion is a consequence of the
collective and fine action of the gold-face orientation dependent propulsion
optical force, the gradient optical force, and the spontaneous symmetry breaking
induced optical torque in different regions of the LOT. This study indicates a
novel way to propel and manipulate the mechanical motion of microscopic motors
and machines wirelessly in fluid, air, or vacuum environments using a static
optical field with a smartly designed non-uniform intensity profile allowing
fully controlled momentum and angular momentum exchange between light and the
particle.
PMID- 27878197
TI - An anti-ultrasonic-stripping effect in confined micro/nanoscale cavities and its
applications for efficient multiscale metallic patterning.
AB - We report a method to reliably and efficiently fabricate high-fidelity metallic
structures from a ten-nanometer to a millimeter scale based on an anti-ultrasonic
stripping (AUS) effect in confined micro/nanoscale cavities. With this AUS
effect, metallic structures, which are surrounded by the pre-patterned closed
templates, could be defined through selectively removing the evaporated metallic
layer at the top and outside of the templates by ultrasonic-cavitation-induced
stripping. Because only pre-patterned templates are required for exposure in this
multiscale patterning process, this AUS-based process enables much smaller and
more reliable plasmonic nanogaps due to the mitigated proximity effect and allows
rapid fabrication of multiscale metallic structures which require both tiny and
large structures. With unprecedented efficiency and resolution down to a ten
nanometer scale, various metallic structures were fabricated using this AUS
effect-based multiscale patterning process. This AUS effect paves the way for
direct writing of metallic structures with a high resolution over a large area
for practical applications in plasmonics and nanogap-based electronics.
PMID- 27878198
TI - One pot synthesis of alpha-ketoamides from ethylarenes and amines: a metal free
difunctionalization strategy.
AB - One-pot and metal free synthesis of alpha-ketoamides has been described through
in situ generation of aryl ketones from easily available ethylarenes followed by
amidation with various amines. This multiple oxidation protocol involves
catalytic I2-pyridine-TBHP (t-butyl hydroperoxide) mediated oxidative benzylic
carbonylation and sequential NaI-TBHP mediated oxidative amidation without using
any solvent.
PMID- 27878199
TI - Sonochemical synthesis of highly branched flower-like Fe3O4@SiO2@Ag
microcomposites and their application as versatile SERS substrates.
AB - We report a novel strategy for the synthesis of magnetic-based flower-like silver
composite microspheres (Fe3O4@SiO2@Ag microflowers) with a highly branched shell
structure through a sonochemical-assisted method. The obtained Fe3O4@SiO2@Ag
microflowers possess good dispersity, high magnetic responsiveness, and highly
reproducible structures. The size and morphology of the silver petal shell of
these microflowers can be easily controlled by varying the experimental
parameters. The silver petal provides an effectively large surface area for
forming sufficient plasmonic hot spots and capturing target molecules. The
microscale magnetic core endows microflowers with superior magnetic nature to
enrich targeted analytes and create abundant interparticle hot spots through
magnetism-induced aggregation. Hence, Fe3O4@SiO2@Ag microflowers could be a
versatile SERS substrate, as verified by the detection of the non-adsorbed R6G
molecules and the adsorbed pesticide thiram, with a detection limit as low as 1 *
10-14 M and 1 * 10-11 M, respectively. We further demonstrate that aptamer
functionalized microflowers can easily capture S. aureus in tap water and
significantly enhance their SERS signal. Moreover, the microflowers can be easily
recycled because of the intrinsic magnetism of the Fe3O4 cores, which indicate a
new route in eliminating the "single-use" problem of traditional SERS substrates.
These advantages make the microflowers powerful SERS probes for chemical and
biological analyses.
PMID- 27878200
TI - Near-field Raman dichroism of azo-polymers exposed to nanoscale dc electrical and
optical poling.
AB - Azobenzene-functionalized polymer films are functional materials, where the
(planar vs. homeotropic) orientation of azo-dyes can be used for storing data. In
order to characterize the nanoscale 3D orientation of the pigments in sub-10 nm
thick polymer films we use two complementary techniques: polarization-controlled
tip-enhanced Raman scattering (TERS) microscopy and contact scanning capacity
microscopy. We demonstrate that the homeotropic and planar orientations of the
azo-dyes are produced by applying a local dc electrical field and a resonant
longitudinal optical near-field, respectively. For a non-destructive probe of the
azo-dye orientation we apply a non-resonant optical near-field and compare the
intensities of the Raman-active vibrational modes. We show that near-field Raman
dichroism, a characteristic similar to the absorption dichroism used in far-field
optics, can be a quantitative indicator of the 3D molecular orientation of the
azo-dye at the nanoscale. This study directly benefits the further development of
photochromic near-field optical memory that can lead to ultrahigh density
information storage.
PMID- 27878202
TI - Presence of orally administered rice bran oil gamma-oryzanol in its intact form
in mouse plasma.
AB - Although the beneficial effects (e.g., lipid-lowering activity) of gamma-oryzanol
(OZ), a mixture of ferulic acid esters of plant sterols and triterpene alcohols,
have been extensively investigated, few studies have evaluated the absorption and
metabolism of OZ. Moreover, it is unclear whether OZ, once ingested, is directly
absorbed by the intestine into the bloodstream at a sufficient level to exhibit
activity. Here, we prepared OZ concentrate from purified rice bran oil (Rice Oil
OZ), determined the concentration of OZ in the preparation (cycloartenyl ferulate
equivalent concentration; 52.2%), and then carried out chromatography-mass
spectrometry analysis of plasma samples from mice after oral administration of
Rice Oil OZ. The OZ concentrations of plasma from the control (vehicle-treated)
mice were low (trace levels); however, at 5 h after a single oral administration
of the Rice Oil OZ (600 mg per kg body weight), the levels significantly
increased, reaching 17.6 ng mL-1 for cycloartenyl ferulate, 28.2 ng mL-1 for 24
methylenecycloartanyl ferulate isomers, 15.6 ng mL-1 for campesteryl ferulate,
and 5.1 ng mL-1 for beta-sitosteryl ferulate, respectively, expressed in
equivalence of cycloartenyl ferulate in plasma. These results provided the first
mass spectrometric evidence suggesting that a portion of orally administered OZ
is directly absorbed by the intestine and is present in the intact form in
plasma. The presence of a significant amount of OZ in its intact form in plasma
may explain the beneficial effects of OZ in vivo.
PMID- 27878204
TI - Continuous and ultrathin platinum films on graphene using atomic layer
deposition: a combined computational and experimental study.
AB - Integrating metals and metal oxides with graphene is key in utilizing its
extraordinary material properties that are ideal for nanoelectronic and catalyst
applications. Atomic layer deposition (ALD) has become a key technique for
depositing ultrathin, conformal metal(oxide) films. ALD of metal(oxide) films on
graphene, however, remains a genuine challenge due to the chemical inertness of
graphene. In this study we address this issue by combining first-principles
density functional theory (DFT) simulations with ALD experiments. The focus is on
the Pt ALD on graphene, as this hybrid system is very promising for solar and
fuel cells, hydrogen technologies, microreactors, and sensors. Here we elucidate
the surface reactions underpinning the nucleation stage of Pt ALD on pristine,
defective and functionalized graphenes. The employed reaction mechanism clearly
depends on (a) the available surface groups on graphene, and (b) the ligands
accompanying the metal centre in the precursor. DFT calculations also indicate
that graphene oxide (GO) can afford a stronger adsorption of MeCpPtMe3, unlike
Pt(acac)2, as compared to bare (non-functionalized) graphene, suggesting that GO
monolayers are effective Pt ALD seed layers. Confirming the latter, we evince
that wafer-scale, continuous Pt films can indeed be grown on GO monolayers using
a thermal ALD process with MeCpPtMe3 and O2 gas. Besides, the current in-depth
atomistic insights are of practical use for understanding similar ALD processes
of other metals and metal oxides on graphene.
PMID- 27878208
TI - The interaction of working length and plate strain.
PMID- 27878205
TI - Iterative Development and Evaluation of a Pharmacogenomic-Guided Clinical
Decision Support System for Warfarin Dosing.
AB - OBJECTIVE: Pharmacogenomic-guided dosing has the potential to improve patient
outcomes but its implementation has been met with clinical challenges. Our
objective was to develop and evaluate a clinical decision support system (CDSS)
for pharmacogenomic-guided warfarin dosing designed for physicians and
pharmacists. METHODS: Twelve physicians and pharmacists completed 6 prescribing
tasks using simulated patient scenarios in two iterations (development and
validation phases) of a newly developed pharmacogenomic-driven CDSS prototype.
For each scenario, usability was measured via efficiency, recorded as time to
task completion, and participants' perceived satisfaction which were compared
using Kruskal-Wallis and Mann Whitney U tests, respectively. Debrief interviews
were conducted and qualitatively analyzed. Usability findings from the first
(i.e. development) iteration were incorporated into the CDSS design for the
second (i.e. validation) iteration. RESULTS: During the CDSS validation
iteration, participants took more time to complete tasks with a median (IQR) of
183 (124-247) seconds versus 101 (73.5-197) seconds in the development iteration
(p=0.01). This increase in time on task was due to the increase in time spent in
the CDSS corresponding to several design changes. Efficiency differences that
were observed between pharmacists and physicians in the development iteration
were eliminated in the validation iteration. The increased use of the CDSS
corresponded to a greater acceptance of CDSS recommended doses in the validation
iteration (4% in the first iteration vs. 37.5% in the second iteration, p<0.001).
Overall satisfaction did not change statistically between the iterations but the
qualitative analysis revealed greater trust in the second prototype. CONCLUSIONS:
A pharmacogenomic-guided CDSS has been developed using warfarin as the test drug.
The final CDSS prototype was trusted by prescribers and significantly increased
the time using the tool and acceptance of the recommended doses. This study is an
important step toward incorporating pharmacogenomics into CDSS design for
clinical testing.
PMID- 27878207
TI - Plasma-derived versus recombinant factor concentrates in PUPs: a never ending
debate?
AB - Inhibitor development in haemophilia is a serious complication to treatment with
factor concentrates. Since the advent of more pure products, especially developed
using recombinant DNA technology, some studies have shown an increased incidence
of inhibitors in previously untreated patients (PUPs) receiving recombinant
products whereas plasma-derived concentrates sometimes have been claimed to have
a protective role, probably due to the content of von Willebrand factor (VWF). In
fact, experiments indicate that the VWF may block uptake of factor VIII into
macrophages for further processing to the immune system. Also, a competition
between VWF and inhibitor binding to the C2 domain of factor VIII has been
suggested. Recently, large cohort and surveillance studies have created a
vigorous debate about the role of product class for inhibitor development as
results have been conflicting. The only randomised prospective study, the SIPPET
study, was published in 2016, and substantiated previous reports claiming that
plasma derived concentrates give less inhibitors in patients with severe
haemophilia A, previously not exposed to factor VIII. The debate will continue.
PMID- 27878206
TI - New findings on venous thrombogenesis.
AB - Venous thrombosis (VT) is the third most common cause of cardiovascular death
worldwide. Complications from VT and pulmonary embolism are the leading cause of
lost disability-adjusted life years. Risks include genetic (e.g., non-O blood
group, activated protein C resistance, hyperprothrombinemia) and acquired (e.g.,
age, surgery, cancer, pregnancy, immobilisation, female hormone use) factors.
Pathophysiologic mechanisms that promote VT are incompletely understood, but
involve abnormalities in blood coagulability, vessel function, and flow (so
called Virchow's Triad). Epidemiologic studies of humans, animal models, and
biochemical and biophysical investigations have revealed contributions from
extrinsic, intrinsic, and common pathways of coagulation, endothelial cells,
leukocytes, red blood cells, platelets, cell-derived microvesicles, stasis
induced changes in vascular cells, and blood rheology. Knowledge of these
mechanisms may yield new therapeutic targets. Characterisation of mechanisms that
mediate VT formation and stability, particularly in aging, are needed to advance
understanding of VT.
PMID- 27878209
TI - Preclinical models of overwhelming sepsis implicate the neural system that
encodes contextual fear memory.
AB - Long-term sepsis survivors sustain cryptic brain injury that leads to cognitive
impairment, emotional imbalance, and increased disability burden. Suitable animal
models of sepsis, such as cecal ligation and puncture (CLP), have permitted the
analysis of abnormal brain circuits that underlie post-septic behavioral
phenotypes. For instance, we have previously shown that CLP-exposed mice exhibit
impaired spatial memory together with depleted dendritic arbors and decreased
spines in the apical dendrites of pyramidal neurons in the CA1 region of the
hippocampus. Here we show that contextual fear conditioning, a form of
associative memory for fear, is chronically disrupted in CLP mice when compared
to SHAM-operated animals. We also find that the excitatory neurons in the
basolateral nucleus of the amygdala (BLA) and the granule cells in the dentate
gyrus (DG) display significantly fewer dendritic spines in the CLP group relative
to the SHAM mice, although the dendritic arbors and gross morphology of the BLA
and DG are comparable between the two groups. Moreover, the basal dendrites of
CA1 pyramidal neurons are unaffected in the CLP mice. Taken together, our data
indicate that the structural damage in the amygdalar-hippocampal network
represents the neural substrate for impaired contextual fear memory in long-term
sepsis survivors. Further, our data suggest that the brain injury caused by
overwhelming sepsis alters the stability of the synaptic connections involved in
associative fear. These results likely have implications for the emotional
imbalance observed in human sepsis survivors.
PMID- 27878211
TI - Liraglutide Enhances the Efficacy of Human Mesenchymal Stem Cells in Preserving
Islet beta-cell Function in Severe Non-obese Diabetic Mice.
AB - Glucagon-like peptide 1 (GLP-1) can promote islet beta-cell replication and
function, and mesenchymal stem cells (MSCs) can inhibit T cell autoimmunity. This
study aimed at testing the dynamic distribution of infused human MSCs and
therapeutic effect of combined MSCs and Liraglutide, a long-acting GLP-1
analogue, on preserving beta-cell function in severe non-obese diabetic (NOD)
mice. We found that infused MSCs accumulated in the pancreas at 4 weeks post
infusion, which was not affected by Liraglutide treatment. Liraglutide
significantly enhanced the function of MSCs to preserve islet beta-cells by
reducing glucose level at 30 minutes post glucose challenge and increasing the
contents and secretion of insulin by islet beta-cells in severe diabetic NOD
mice. Infusion with MSCs significantly reduced insulitis scores, but increased
the frequency of splenic Tregs, accompanied by reducing the levels of plasma IFN
gamma and TNF-alpha and elevating the levels of plasma IL-10 and transforming
growth factor-beta1 (TGF-beta1) in NOD mice. Although Liraglutide mitigated MSC
mediated changes in the frequency of Tregs and the levels of plasma IL-10,
Liraglutide significantly increased the levels of plasma TGF-beta1 in severe
diabetic NOD mice. Therefore, our findings suggest that Liraglutide may enhance
the therapeutic efficacy of MSCs in treatment of severe type 1 diabetes.
PMID- 27878210
TI - T regulatory cell mediated immunotherapy for solid organ transplantation: A
clinical perspective.
AB - T regulatory cells (Tregs) play a vital role in suppressing heightened immune
responses, and thereby promote a state of immunological tolerance. Tregs modulate
both innate and adaptive immunity, which make them a potential candidate for cell
based immunotherapy to suppress uncontrolled activation of graft specific
inflammatory cells and their toxic mediators. These grafts specific inflammatory
cells (T effector cells) and other inflammatory mediators (Immunoglobulins,
active complement mediators) are mainly responsible for graft vascular
deterioration followed by acute/chronic rejection. Treg mediated immunotherapy is
under investigation to induce allospecific tolerance in various ongoing clinical
trials in organ transplant recipients. Treg immunotherapy is showing promising
results but the key issues regarding Treg immunotherapy are not yet fully
resolved including their mechanism of action, and specific Treg cell phenotype
responsible for a state of tolerance. This review highlights the involvement of
various subsets of Tregs during immune suppression, novelty of Tregs functions,
effects on angiogenesis, emerging technologies for effective Treg expansion,
plasticity and safety associated with clinical applications. Altogether this
information will assist in designing single/combined Treg mediated therapies for
successful clinical trials in solid organ transplantations.
PMID- 27878212
TI - Obesity paradox, obesity orthodox, and the metabolic syndrome: An approach to
unity.
AB - Obesity and the accompanying metabolic syndrome are strongly associated with
heightened morbidity and mortality in older adults. In our review of more than 20
epidemiologic studies of major infectious diseases, including leaders such as
tuberculosis, community-acquired pneumonia, and sepsis, obesity was associated
with better outcomes. A cause-and-effect relationship between over-nutrition and
survival with infection is suggested by results of two preliminary studies of
infections in mice, where high fat feeding for 8-10 weeks provided much better
outcomes. The better outcomes of infections with obesity are reminiscent of many
recent studies of "sterile" non-infectious medical and surgical conditions where
outcomes for obese patients are better than for their thinner counterparts ---
and given the tag "obesity paradox". Turning to the history of medicine and
biological evolution, we hypothesize that the metabolic syndrome has very ancient
origins and is part of a lifelong metabolic program. While part of that program
(the metabolic syndrome) promotes morbidity and mortality with aging, it helps
infants and children as well as adults in their fight against infections and
recovery from injuries, key roles in the hundreds of centuries before the public
health advances of the 20th century. We conclude with speculation on how
understanding the biological elements that protect obese patients with infections
or injuries might be applied advantageously to thin patients with the same
medical challenges.
PMID- 27878213
TI - Holocene paleo-sea level changes along the coast of Rio de Janeiro, southern
Brazil: Comment on Castro et al. (2014).
AB - The present work discusses and reinterprets paleo-sea level indicators used to
build Holocene sea-level curve for the coast of Rio de Janeiro at former works.
We conclude that: (a) the paleo-sea levels inferred by vermetid remains show that
sea-level has fallen over the past 4400 years, at least; (b) the paleo-sea level
inferred by the beachrock facies and dated shells of Jacone shows that sea-level
was near the present elevation between 8198 and 5786 years before present; and
(c) several shells from other beachrocks were deposited probably thousands of
years after the specimens died and consequently do not allow precise
reconstructions of paleo-sea levels. These conclusions differ from the
conclusions of the original paper.
PMID- 27878215
TI - International collaboration in the Nursing agenda in the coming decades.
PMID- 27878214
TI - Lethal and sublethal effects of essential oil of Lippia sidoides (Verbenaceae)
and monoterpenes on Chagas' disease vector Rhodnius prolixus.
AB - The aim of this study was to identify the composition of the essential oil from
leaves of Lippia sidoides (EOLS), a typical shrub commonly found in the dry
northeast of Brazil, popularly known as "alecrim-pimenta". Additionally, we
investigated the nymphicidal, ovicidal, phagoinhibitory and excretion effects of
EOLS, its major constituent thymol and its isomer carvacrol, on fourth instar
nymphs and eggs of Rhodnius prolixus, the Chagas' disease vector. The nymphicidal
and ovicidal activity of thymol, carvacrol, and EOLS was assessed by tests using
impregnated Petri dishes. The lethal concentration values (LC50) for EOLS,
carvacrol, and thymol were 54.48, 32.98, and 9.38 mg/cm2, respectively. The
ovicidal test showed that both carvacrol and thymol (50 mg/cm2) inhibited
hatching (50% and 23.3%, respectively), while treatments with 10 mg/cm2 or 50
mg/cm2 EOLS did not affect the hatching rate at all (80% and 90%, respectively).
We observed an anti-feeding effect in insects fed with blood containing natural
products at the higher concentrations (100 ug/mL). Finally, excretion rate was
affected by EOLS and carvacrol, but not by thymol. These findings offer novel
insights into basic physiological processes that make the tested natural
compounds interesting candidates for new types of insecticides.
PMID- 27878216
TI - Quality of nursing documentation before and after the Hospital Accreditation in a
university hospital.
AB - Objective: to analyze the quality of nursing documentation by comparing the
periods before and after the preparation for the hospital accreditation, using
the Quality of Nursing Diagnoses, Interventions and Outcomes - Brazilian version
(Q-DIO- Brazilian version). Method: observational study of interventions
conducted in a university hospital. Nursing documentation of 112 medical records
for the period before and 112 for the period after the hospital accreditation
were compared using the Q-DIO instrument - Brazilian version. Data were
statistically analyzed. Results: there was a significant improvement in the
quality of nursing documentation. When the total score of the instrument was
evaluated, a significant improvement was observed in 24 out of the 29 items
(82.8%). Conclusion: there was commitment to the shift of culture by means of the
interventions carried out, which resulted in the conquest of the quality seal
ensured by the Joint Commission International.
PMID- 27878217
TI - Factors associated with young adults' knowledge regarding family history of
Stroke.
AB - Objective:: to analyze the factors associated with young adults' knowledge
regarding family history of stroke. Method:: an analytical transversal study,
with 579 young adults from state schools, with collection of sociodemographic,
clinical and risk factor-related variables, analyzed using logistic regression
(backward elimination). Results:: a statistical association was detected between
age, civil status, and classification of arterial blood pressure and abdominal
circumference with knowledge of family history of stroke. In the final logistic
regression model, a statistical association was observed between knowledge
regarding family history of stroke and the civil status of having a partner
(ORa=1.61[1.07-2.42]; p=0.023), abdominal circumference (ORa=0.98[0.96-0.99];
p=0.012) and normal arterial blood pressure (ORa=2.56[1.19-5.52]; p=0.016).
Conclusion:: an association was observed between socioeconomic factors and risk
factors for stroke and knowledge of family history of stroke, suggesting the need
for health education or even educational programs on this topic for the clientele
in question. Objetivo:: analisar os fatores associados ao conhecimento dos
adultos jovens sobre historico familiar de Acidente Vascular Cerebral (AVC).
Metodo:: estudo transversal analitico, com 579 adultos jovens de escolas
publicas, com coleta de variaveis sociodemograficas, clinicas e de fatores de
risco em formulario, analisados utilizando-se regressao logistica (backward
elimination). Resultados:: detectou-se associacao estatistica de idade, situacao
conjugal, classificacao da pressao arterial e circunferencia abdominal com
conhecimento do historico familiar de AVC. No modelo final de regressao
logistica, observou-se associacao estatistica do conhecimento sobre historico
familiar de AVC com situacao conjugal com companheiro (ORa=1,61[1,07-2,42];
p=0,023), circunferencia abdominal (ORa=0,98[0,96-0,99]; p=0,012) e pressao
arterial normal (ORa=2,56[1,19-5,52]; p=0,016). Conclusao:: foi constatada
associacao de fatores socioeconomicos e de risco para AVC com o conhecimento do
historico familiar de AVC, sugerindo a necessidade de educacao em saude ou mesmo
de programas educacionais sobre o tema junto a clientela em estudo. Objetivo::
analizar los factores asociados al conocimiento de los adultos jovenes sobre el
historico familiar de Accidente Vascular Cerebral (AVC). Metodo:: estudio
transversal analitico en 579 adultos jovenes de escuelas publicas, con
recoleccion de variables sociodemograficas, clinicas y de factores de riesgo en
formulario, los datos fueron analizados utilizando la regresion logistica
(backward elimination). Resultados:: se detecto asociacion estadistica de edad,
situacion conyugal, clasificacion de la presion arterial y circunferencia
abdominal con el conocimiento del historico familiar de AVC. En el modelo final
de regresion logistica, se observo una asociacion estadistica del conocimiento
sobre el historico familiar de AVC con: situacion conyugal con companero
(ORa=1,61[1,07-2,42] p=0,023); circunferencia abdominal (ORa=0,98[0,96-0,99]
p=0,012); y presion arterial normal (ORa=2,56[1,19-5,52] p=0,016). Conclusion::
fue constatada asociacion de factores socioeconomicos y de riesgo para AVC con el
conocimiento del historico familiar de AVC; se sugiere la necesidad de realizar
acciones de educacion en salud o inclusive de preparar programas educacionales
sobre el tema para la poblacion estudiada.
PMID- 27878218
TI - Nursing care missed in patients at risk of or having pressure ulcers.
AB - Objective: to determine the nursing care missed as perceived by the nursing staff
and its relation with the nursing care missed identified in the assessment of
patients at risk of or having pressur ulcers. Method: descriptive correlation
study. The participants were 161 nurses and 483 patients from a public hospital.
The MISSCARE survey was used in combination with a Nursing Care Assessment Form
for Patients at Risk of or having pressure ulcers. For the analysis, descriptive
and inferential statistics were used. Results: the nursing staff indicated
greater omission in skin care (38.5%), position change (31.1%) and the
registration of risk factors for the development of pressure ulcers (33.5%). The
nursing care missed identified in the assessment related to the use of pressure
relief on bony prominences and drainage tubes interfering in the patient's
movements (both with 58.6%) and the use of pneumatic mattresses (57.6%).
Conclusion: a high percentage of nursing care missed was found according to the
staff's perception. Nevertheless, the assessment of the nursing care missed was
much higher. No significant relation was found between both. Therefore, it is a
priority to reflect on the importance of objective patient assessments.
PMID- 27878219
TI - Financial impact of nursing professionals staff required in an Intensive Care
Unit.
AB - Objective: to calculate the cost of the average time of nursing care spent and
required by patients in the Intensive Care Unit (ICU) and the financial expense
for the right dimension of staff of nursing professionals. Method: a descriptive,
quantitative research, using the case study method, developed in adult ICU
patients. We used the workload index - Nursing Activities Score; the average care
time spent and required and the amount of professionals required were calculated
using equations and from these data, and from the salary composition of
professionals and contractual monthly time values, calculated the cost of direct
labor of nursing. Results: the monthly cost of the average quantity of available
professionals was US$ 35,763.12, corresponding to 29.6 professionals, and the
required staff for 24 hours of care is 42.2 nurses, with a monthly cost of US$
50,995.44. Conclusion: the numerical gap of nursing professionals was 30% and the
monthly financial expense for adaptation of the structure is US$ 15,232.32, which
corresponds to an increase of 42.59% in the amounts currently paid by the
institution.
PMID- 27878220
TI - Diagnosis of compliance of health care product processing in Primary Health Care.
AB - Objective: identify the compliance of health care product processing in Primary
Health Care and assess possible differences in the compliance among the services
characterized as Primary Health Care Service and Family Health Service. Method:
quantitative, observational, descriptive and inferential study with the
application of structure, process and outcome indicators of the health care
product processing at ten services in an interior city of the State of Sao Paulo
Brazil. Results: for all indicators, the compliance indices were inferior to the
ideal levels. No statistically significant difference was found in the indicators
between the two types of services investigated. The health care product cleaning
indicators obtained the lowest compliance index, while the indicator technical
operational resources for the preparation, conditioning,
disinfection/sterilization, storage and distribution of health care products
obtained the best index. Conclusion: the diagnosis of compliance of health care
product processing at the services assessed indicates that the quality of the
process is jeopardized, as no results close to ideal levels were obtained at any
service. In addition, no statistically significant difference in these indicators
was found between the two types of services studied.
PMID- 27878221
TI - The use of a metronome during cardiopulmonary resuscitation in the emergency room
of a university hospital.
AB - Objective: to compare the rate of return of spontaneous circulation (ROSC) and
death after cardiac arrest, with and without the use of a metronome during
cardiopulmonary resuscitation (CPR). Method: case-control study nested in a
cohort study including 285 adults who experienced cardiac arrest and received CPR
in an emergency service. Data were collected using In-hospital Utstein Style. The
control group (n=60) was selected by matching patients considering their
neurological condition before cardiac arrest, the immediate cause, initial arrest
rhythm, whether epinephrine was used, and the duration of CPR. The case group
(n=51) received conventional CPR guided by a metronome set at 110 beats/min. Chi
square and likelihood ratio were used to compare ROSC rates considering p<=0.05.
Results: ROSC occurred in 57.7% of the cases, though 92.8% of these patients died
in the following 24 hours. No statistically significant difference was found
between groups in regard to ROSC (p=0.2017) or the occurrence of death
(p=0.8112). Conclusion: the outcomes of patients after cardiac arrest with and
without the use of a metronome during CPR were similar and no differences were
found between groups in regard to survival rates and ROSC.
PMID- 27878222
TI - Microbiological evaluation of the steam sterilization of assembled laparoscopic
instruments.
AB - Objective: assess the safety of steam sterilization of assembled laparoscopic
instruments with challenge contamination. Method: a laboratory experimental
study, using as test samples trocars and laparoscopic graspers. Geobacillus
stearothermophillus ATCC-7953 was used, with a microbial population of
106UFC/Filter paper substrate, removed from the biological indicator. Three of
them were introduced into each instrument at the time of assembly, and sterilized
at pressurized saturated steam, 134oC for 5 minutes. After sterilization, the
instrument was disassembled and each filter paper substrate was inoculated in
soybean casein culture and incubated at 56oC for 21 days. In case of absence of
growth, they were subjected to heat shock of 80oC, for 20 minutes and re
incubated for 72 hours. Sample size: 185 graspers and 185 trocars, with 95%
power. We paired the experiments with comparative negative control groups (5
graspers and 5 trocars with challenge contamination, sterilized disassembled) and
positive control (30 filter paper supports, unsterilized), subject to the same
incubation procedures. Results: there was no microbial growth in experimental and
negative control. The results of the positive control were satisfactory.
Conclusion: this study provided strong scientific evidence to support the safety
of steam sterilizing of the assembled laparoscopic instrument.
PMID- 27878223
TI - Experimental study with nursing staff related to the knowledge about pressure
ulcers.
AB - Objective: to compare the scores of knowledge in teams participating or not
participating in educational interventions about pressure ulcers. Method: a
quantitative study with experimental design. Data were collected through a
validated questionnaire. The study included 71 individuals, including nurses and
nursing technicians from three intensive care units, divided into intervention
group and control group. Data analysis considered the scores of the groups in the
moment before and after intervention. To check the average rate of correct
answers, we calculated the mean and standard deviation. We carried out the Mann
Whitney test for analysis of two independent samples, and the Wilcoxon test for
related samples. Results: The mean percentage of correct answers, at the baseline
was 74.1% (SD = 26.4) in the intervention group and 76.0% (SD = 22.9) in the
control group and post time -intervention, was 87.8% (SD = 18.8) in the group
receiving educational intervention, considering that in the control group it was
79.1% (SD = 22.2). The group that participated in educational interventions did
not reach the proper average of 90% correct answers for the test. Conclusion:
educational interventions on staging, evaluation and prevention of pressure
ulcers contributed significantly to the increase of correct responses score in
the knowledge test of the intervention group and improved their knowledge on the
subject.
PMID- 27878225
TI - ?
PMID- 27878224
TI - Potential drug interactions in patients given antiretroviral therapy.
AB - Objective: to investigate potential drug-drug interactions (PDDI) in patients
with HIV infection on antiretroviral therapy. Methods: a cross-sectional study
was conducted on 161 adults with HIV infection. Clinical, socio demographic, and
antiretroviral treatment data were collected. To analyze the potential drug
interactions, we used the software Micromedex(r). Statistical analysis was
performed by binary logistic regression, with a p-value of <=0.05 considered
statistically significant. Results: of the participants, 52.2% were exposed to
potential drug-drug interactions. In total, there were 218 potential drug-drug
interactions, of which 79.8% occurred between drugs used for antiretroviral
therapy. There was an association between the use of five or more medications and
potential drug-drug interactions (p = 0.000) and between the time period of
antiretroviral therapy being over six years and potential drug-drug interactions
(p < 0.00). The clinical impact was prevalent sedation and cardiotoxicity.
Conclusions: the PDDI identified in this study of moderate and higher severity
are events that not only affect the therapeutic response leading to toxicity in
the central nervous and cardiovascular systems, but also can interfere in tests
used for detection of HIV resistance to antiretroviral drugs.
PMID- 27878226
TI - Psychometric properties of Multidimensional Health Locus of Control - A and
General Self-Efficacy Scale in civil servants: ELSA-Brasil Musculoskeletal Study
(ELSA-Brasil MSK).
AB - Background: Health-related control and self-efficacy beliefs can be assessed in
the general population using Multidimensional Health Locus of Control-A subscales
(MHLC-A) and the General Self-Efficacy Scale (GSES), respectively. Objective: To
test construct validity, internal consistency, reliability (test-retest) and
ceiling and floor effects of Portuguese-Brazil versions of MHLC-A and GSES.
Method: Civil servants (N=2901) enrolled in a large Brazilian cohort were
included. A new version of the GSES was produced (GSES-Brazil). Procedures for
cross-cultural adaptation and testing of psychometric properties followed well
accepted international guidelines. Results: Confirmatory factor analyses yielded
the following indices: MHLC-A (tridimensional model): chi2[df]=223.45[132], p
value <0.01; CFI=0.87; TLI=0.85; RMSEA=0.07 (0.07-0.08); WRMR=3.00. GSES-Brazil
(unidimensional model): chi2[df]=788.60[35], p-value <0.01; CFI=0.95; TLI=0.94;
RMSEA=0.09 (0.08-0.09); WRMR=2.50. Cronbach's alpha coefficients and Intraclass
Correlation Coefficients (ICC2,1) ranged from 0.57 (0.54-0.59) and 0.57 (0.47
0.65) for MHLC-A internality to 0.80 (0.79-0.81) and 0.71 (0.66-0.77) for GSES
Brazil, respectively. There was no evidence of ceiling and floor effects.
Convergent validity analyses provided further support for construct validity of
both scales. Conclusion: These findings support the use of the newly developed
version of GSES-Brazil for the assessment of general self-efficacy of adult
Brazilians. Internal consistency was lower than ideal for MHLC-A, indicating
these subscales may need further refinements to provide a more psychometrically
sound measure of control beliefs.
PMID- 27878227
TI - Cardiovascular coupling during graded postural challenge: comparison between
linear tools and joint symbolic analysis.
AB - Background: A joint symbolic analysis (JSA) is applied to assess the strength of
the cardiovascular coupling from spontaneous beat-to-beat variability of the
heart period (HP) and the systolic arterial pressure (SAP) during an experimental
protocol inducing a gradual baroreflex unloading evoked by postural change (i.e.
graded head-up tilt). Method:: The adopted JSA can quantify the degree of
association between the HP and SAP variabilities as a function of the time scale
of the HP and SAP patterns. Traditional linear tools assessing the HP-SAP
coupling strength, such as squared correlation coefficient, squared coherence
function, and percentage of baroreflex sequences, were computed as well for
comparison. Results:: We found that: i) JSA indicated that the strength of the
cardiovascular coupling at slow temporal scales gradually increased with the
magnitude of the orthostatic challenge, while that at fast temporal scales
gradually decreased; ii) the squared correlation coefficient and percentage of
baroreflex sequences did not detect this behavior; iii) even though squared
coherence function could measure the magnitude of the HP-SAP coupling as a
function of the time scale, it was less powerful than JSA owing to the larger
dispersion of the frequency domain indexes. Conclusion:: Due to its peculiar
features and high statistical power, JSA deserves applications to pathological
groups in which the link between HP and SAP variabilities is lost or decreased
due to the overall depression or impairment of the cardiovascular control.
PMID- 27878228
TI - Lithium carbonate and coenzyme Q10 reduce cell death in a cell model of Machado
Joseph disease.
AB - Machado-Joseph disease (MJD) or spinocerebellar ataxia type 3 (SCA3) is an
autosomal dominant neurodegenerative disorder caused by expansion of the
polyglutamine domain of the ataxin-3 (ATX3) protein. MJD/SCA3 is the most
frequent autosomal dominant ataxia in many countries. The mechanism underlying
MJD/SCA3 is thought to be mainly related to protein misfolding and aggregation
leading to neuronal dysfunction followed by cell death. Currently, there are no
effective treatments for patients with MJD/SCA3. Here, we report on the potential
use of lithium carbonate and coenzyme Q10 to reduce cell death caused by the
expanded ATX3 in cell culture. Cell viability and apoptosis were evaluated by MTT
assay and by flow cytometry after staining with annexin V-FITC/propidium iodide.
Treatment with lithium carbonate and coenzyme Q10 led to a significant increase
in viability of cells expressing expanded ATX3 (Q84). In addition, we found that
the increase in cell viability resulted from a significant reduction in the
proportion of apoptotic cells. Furthermore, there was a significant change in the
expanded ATX3 monomer/aggregate ratio after lithium carbonate and coenzyme Q10
treatment, with an increase in the monomer fraction and decrease in aggregates.
The safety and tolerance of both drugs are well established; thus, our results
indicate that lithium carbonate and coenzyme Q10 are good candidates for further
in vivo therapeutic trials.
PMID- 27878230
TI - Rapid and sensitive detection of Plesiomonas shigelloides by cross-priming
amplification of the hugA gene.
AB - Plesiomonas shigelloides (P. shigelloides) is implicated as an aetiological agent
of human gastroenteritis in humans, for which reliable laboratory detection of P.
shigelloides is clinically and epidemiologically desirable. A simple molecular
method for rapid detection of P. shigelloides using cross-priming amplification
(CPA) has been developed, with hugA as the target. The hugA gene is required for
haem iron utilisation and is critical for the survival and growth of P.
shigelloides. The assay output was visualised as a colour change with no need to
open the reaction tubes, and no false-positive results were detected for the 33
non- P. shigelloides strains examined to assess assay specificity. The limit of
detection was 200 fg P. shigelloides DNA per reaction and 3x103 CFU per g in
human stools, which was 100 and 10-fold more sensitive than polymerase chain
reaction, respectively. The CPA method was used to detect the presence of P.
shigelloides in stool specimens from 70 patients with diarrhoea and 30
environmental water samples, with no difference in accuracy between the CPA assay
and the biological culture. The present study, therefore, suggests that the P.
shigelloides hugA CPA assay may represent a valuable tool for rapid and sensitive
detection of P. shigelloides in primary care facilities and clinical
laboratories.
PMID- 27878231
TI - SIRT1 exerts neuroprotective effects by attenuating cerebral ischemia/reperfusion
induced injury via targeting p53/microRNA-22.
AB - The aim of this study was to investigate whether the SIRT1 exerts neuroprotective
effects by attenuating cerebral ischemia/reperfusion-induced injury (CIRI) via
targeting p53/microRNA-22. We found that the overexpression of sirtuin 1 (SIRT1)
decreased the infarct volume, suppressed p53 protein expression and activated
microRNA-22 expression following CIRI. An injection of lipopolysaccharide (LPS, 1
mg/ml; Sigma, St. Louis, MO USA) into the corpus callosum was used to induce CIRI
in rats. The infarct volume and neurological deficit score were used to examine
the effects of SIRT1 on CIRI. Furthermore, the overexpression of SIRT1 was found
to suppress caspase-3 activity, inhibit the activation of the Bax signaling
pathway, reduce tumor necrosis factor-alpha (TNF-alpha) and interleukin (IL)-6)
activity, decrease cyclooxygenase (COX)-2 and inducible nitric oxide synthase
(iNOS) protein expression, and increase IL-10 activity following CIRI. Following
the downregulation of SIRT1, p53 protein expression was significantly increased,
microRNA-22 expression was inhibited, caspase-3 activity was increased and the
Bax signaling pathway was activated. In addition, the activity of TNF-alpha and
IL-6 was was enhanced, COX-2 and iNOS protein expression was increased, and IL-10
activity was reduced following CIRI. Thus, the data from our study suggest that
SIRT1 attenuates CIRI by targeting the p53/microRNA-22 axix, while suppressing
apoptosis, inflammation, COX-2 and iNOS expression.
PMID- 27878229
TI - Glucagon-like peptide 1 improves insulin resistance in vitro through anti
inflammation of macrophages.
AB - Glucagon-like peptide 1 (GLP-1), a kind of gut hormone, is used in the treatment
of type 2 diabetes (T2D). Emerging evidence indicates that GLP-1 has anti
inflammatory activity. Chronic inflammation in the adipose tissue of obese
individuals is a cause of insulin resistance and T2D. We hypothesized that GLP-1
analogue therapy in patients with T2D could suppress the inflammatory response of
macrophages, and therefore inhibit insulin resistance. Our results showed that
GLP-1 agonist (exendin-4) not only attenuated macrophage infiltration, but also
inhibited the macrophage secretion of inflammatory cytokines including TNF-beta,
IL-6, and IL-1beta. Furthermore, we observed that lipopolysaccharide (LPS)
induced macrophage conditioned media could impair insulin-stimulated glucose
uptake. This effect was compensated by treatment with the conditioned media from
macrophages treated with the combination of LPS and exendin-4. It was also
observed that exendin-4 directly inhibited the activation of NF-kappaB in
macrophages. In conclusion, our results indicated that GLP-1 improved
inflammatory macrophage-derived insulin resistance by inhibiting NF-kappaB
pathway and secretion of inflammatory cytokines in macrophages. Furthermore, our
observations suggested that the anti-inflammatory effect of GLP-1 on macrophages
can contribute to GLP-1 analogue therapy of T2D.
PMID- 27878232
TI - Involvement of bleomycin hydrolase and poly(ADP-ribose) polymerase-1 in Ubc9
mediated resistance to chemotherapy agents.
AB - Ubiquitin-conjugating protein 9 (Ubc9), the sole enzyme for sumoylation, plays
critical roles in many physiological functions, such as DNA damage repair and
genome integrity. Its overexpression led to poor prognosis and drug resistance in
tumor chemotherapy. However, the underlying mechanism by which Ubc9 promotes
tumor progress and influences the susceptibility to antitumor agents remains
elusive. In this study, we used nine antitumor agents with distinct actions to
explore Ubc9-mediated resistance in human breast carcinoma MCF-7 cells. Increase
of susceptibility, respectively, to boningmycin, hydroxycamptothecine, cis
dichlorodiamineplatinum, 5-fluorouracil, vepeside and gemcitabine, but not for
doxorubicin, vincristine and norcantharidin, was observed after the knockdown of
Ubc9 protein level with RNA interference. Reduction of bleomycin hydrolase and
poly(ADP-ribose) polymerase-1 levels after knockdown of Ubc9 suggests their
contribution to Ubc9-mediated drug resistance. This is the first report on the
sensitivity to hydroxycamptothecine, cis-dichlorodiamineplatinum and gemcitabine
that increased after knockdown of bleomycin hydrolase at protein level. In
conclusion, Ubc9 plays different roles of action in antitumor agents in
chemotherapy. The process requires bleomycin hydrolase and poly(ADP-ribose)
polymerase-1. The results are beneficial to deeply understanding of Ubc9
functions and for precise prediction of chemotherapy outcomes in tumors.
PMID- 27878234
TI - Prometastatic mechanisms of CAF-mediated EMT regulation in pancreatic cancer
cells.
AB - Tumor metastasis are accompanied by the EMT (epithelial-mesenchymal transition)
MET (mesenchymal-epithelial transition) two-step process. In this study, we
investigated the importance of cancer associated fibroblasts (CAF) in the
process. First, the primary cultures of isolated pancreatic CAF, fibroblasts of
normal pancreatic tissues (NF), and normal hepatic stellate cells (HSF) were
identified and verified via the expression of alpha-SMA and vimentin. Using an
indirect three-dimensional co-culture model, the morphological changes were
observed by light microscopy and laser scanning confocal microscopy. The invasive
and migration capacity of pancreatic cancer cells was determined by Transwell
chamber migration assay or scratch assay. The mRNA and protein expression levels
of E-cadherin, vimentin, and Gli1 were determined by RT-PCR and western blotting.
Primary cultures of isolated CAF, NF, HSF showed satisfactory growth with active
proliferation. Indirect co-culture with CAF, BxPc-3 and Panc-1 cells showed
significant partial EMT, reduced E-cadherin expression, and enhanced vimentin
expression as compared with the single culture and NF/HSF co-culture groups, with
corresponding increases in migratory and invasive capacities. PCR and western
blotting results showed that mRNA and protein expression levels of Gli1 in CAF
and Snail in cancer cells were increased. This process could be reversed by
inhibition of hedgehog (HH) signaling in CAF. In the tumor microenvironment,
activation of CAF is the key event in mediating partial EMT, and its mechanism
may be associated with paracrine action after activation of HH signaling in CAF.
PMID- 27878233
TI - Benzoquinone from Fusarium pigment inhibits the proliferation of estrogen
receptor-positive MCF-7 cells through the NF-kappaB pathway via estrogen receptor
signaling.
AB - Natural pigments are known for possessing a wide range of pharmacological and
health-promoting properties. The pigments, produced by a new strain Fusarium
(Fusarium sp. JN158) previously identified in our laboratory, were found to have
6 peaks (representing 6 compounds) by high-performance liquid chromatography with
a diode-array detector (HPLC-DAD) separation. The 6th peak compound (compound VI)
is a benzoquinone compound. In this study, we examined the effects of compound VI
on the proliferation of breast cancer cells and aimed to elucidate the underlying
mechamisms. Compound VI exerted anti-proliferative effects on MCF-7 estrogen
receptor (ER)+ cells in a dose-dependent manner (IC25, 7 uM; IC50, 11 uM),
whereas it had no effect on MDA-MB-231 ER- cells and normal cells. The cell index
(CI) began to decrease at 24 h following treatment with benzoquinone.
Mechanistically, the results from molecular analysis revealed that compound VI
inhibited the expression of ERalpha, progesterone receptor (PR), vascular
endothelial growth factor (VEGF), Bcl-2, cyclin D1 and nuclear factor-kappaB (NF
kappaB) p65, while it increased the expression of cleaved caspase-3 and Bax in
the MCF-7 cells. Taken together, our findings indicate that compound VI exerts
anti-proliferative effects on MCF-7 cells through the NF-kappaB pathway via the
regulation of ER signaling. Our data may indicate that benzoquinone from Fusarium
pigment may have potential for use as an anti-proliferative agent in the
treatment of breast cancer.
PMID- 27878235
TI - Accelerated cell cycle progression of human regulatory T cell-like cell line
caused by continuous exposure to asbestos fibers.
AB - Asbestos exposure causes malignant tumors such as lung cancer and malignant
mesothelioma. Based on our hypothesis in which continuous exposure to asbestos of
immune cells cause reduction of antitumor immunity, the decrease of natural
killer cell killing activity with reduction of NKp46 activating receptor
expression, inhibition of cytotoxic T cell clonal expansion, reduced CXCR3
chemokine receptor expression and production of interferon-gamma production in
CD4+ T cells were reported using cell line models, freshly isolated peripheral
blood immune cells from health donors as well as asbestos exposed patients such
as pleural plaque and mesothelioma. In addition to these findings, regulatory T
cells (Treg) showed enhanced function through cell-cell contact and increased
secretion of typical soluble factors, interleukin (IL)-10 and transforming growth
factor (TGF)-beta, in a cell line model using the MT-2 human polyclonal T cells
and its sublines exposed continuously to asbestos fibers. Since these sublines
showed a remarkable reduction of FoxO1 transcription factor, which regulates
various cell cycle regulators in asbestos-exposed sublines, the cell cycle
progression in these sublines was examined and compared with that of the original
MT-2 cells. Results showed that cyclin D1 expression was markedly enhanced, and
various cyclin-dependent kinase-inhibitors were reduced with increased S phases
in the sublines. Furthermore, the increase of cyclin D1 expression was regulated
by FoxO1. The overall findings indicate that antitumor immunity in asbestos
exposed individuals may be reduced in Treg through changes in the function and
volume of Treg.
PMID- 27878238
TI - Nobiletin attenuates lipopolysaccharide/D-galactosamine-induced liver injury in
mice by activating the Nrf2 antioxidant pathway and subsequently inhibiting NF
kappaB-mediated cytokine production.
AB - Inflammation and oxidative stress serve an important role in the development of
lipopolysaccharide/D-galactosamine (LPS/GalN)-induced acute liver injury.
Nobiletin, which is found in high quantities in the peel of citrus fruits, is
able to modulate immune responses, including inflammatory response and oxidative
stress. The present study aimed to evaluate the protective effects of nobiletin
on LPS/GalN-induced acute liver injury. Male C57BL/6 mice were intraperitoneally
treated with nobiletin (50, 100 and 200 mg/kg) 2 h prior to LPS/GalN injection.
Liver injury was observed in the LPS/GalN group, as demonstrated by increased
levels of serum hepatic enzymes and hepatic inflammatory mediators, as well as by
histopathological alterations. Treatment with nobiletin reduced serum alanine
aminotransferase and aspartate aminotransferase levels, improved hepatic
structure, and suppressed hepatic interleukin (IL)-1beta, IL-6 and tumor necrosis
factor-alpha production 24 h after LPS/GalN exposure. Western blot analysis
revealed that nobiletin treatment inhibited inducible nitric oxide synthase and
cyclooxygenase-2 liver expression. In addition, nobiletin suppressed LPS/GalN
induced phosphorylation and degradation of inhibitor of nuclear factor (NF)
kappaB (IkappaB)alpha, as well as NF-kappaB p65 translocation into the nucleus.
Nobiletin also upregulated the expression of nuclear NF-E2-related factor 2
(Nrf2) and cytoplasmic heme oxygenase-1. In conclusion, these results indicate
that nobiletin serves a protective role in LPS/GalN-induced acute liver injury
via activation of the Nrf2 antioxidant pathway and subsequent inhibition of NF
kappaB-mediated cytokine production. These findings support the potential for
nobiletin as a therapeutic agent for the treatment of acute liver injury.
PMID- 27878236
TI - Pyropia yezoensis peptide promotes collagen synthesis by activating the TGF
beta/Smad signaling pathway in the human dermal fibroblast cell line Hs27.
AB - Pyropia yezoensis (P. yezoensis) is a marine algae that exhibits antioxidant,
anti-inflammatory, antitumor and anti-aging activities. In this study, we
investigated the effects of the P. yezoensis peptide, PYP1-5, on collagen
synthesis in the human dermal fibroblast cell line Hs27. Skin aging is related to
reduced collagen production and the activities of multiple enzymes, including
matrix metalloproteinases (MMPs), which degrade collagen structure in the dermis,
and tissue inhibitor of tissue inhibitor of metalloproteinases (TIMPs), which
inhibit the action of MMPs. While collagen synthesis is associated with a number
of signaling pathways, we examined the increased collagen synthesis via the
upregulation of the transforming growth factor-beta (TGF-beta)/Smad signaling
pathway. Using MTS assay, we found that PYP1-5 did not affect cell viability.
Moreover, we confirmed that PYP1-5 increased type 1 collagen expression using
enzyme-linked immunosorbent assay (ELISA), western blot analysis and quantitative
PCR. In addition, we identified changes in various enzymes, as well as the
mechanisms behind the PYP1-5-induced collagen synthesis. PYP1-5 decreased the MMP
1 protein and mRNA levels, and increased the TIMP-1 and TIMP-2 protein and mRNA
levels. In addition, PYP1-5 activated the TGF-beta/Smad signaling pathway, which
increased TGF-beta1, p-Smad2 and p-Smad3 expression, while inhibiting Smad7, an
inhibitor of the TGF-beta/Smad pathway. Furthermore, PYP1-5 upregulated
transcription factor specificity protein 1 (Sp1) expression, which is reportedly
involved in type 1 collagen expression. These findings indicate that PYP1-5
activates the TGF-beta/Smad signaling pathway, which subsequently induces
collagen synthesis in Hs27 cells.
PMID- 27878237
TI - Inhibition of the growth of human melanoma cells by methionine enkephalin.
AB - Melanoma is an aggressive cancer, the incidence of which is increasing worldwide.
Limited therapies are currently available, particularly following metastasis. The
aim of the present study was to investigate the inhibiting effect of methionine
enkephalin (MENK) on human melanoma via opioid receptors. The results of the
present study revealed that MENK markedly regulates the proliferation of A375
cells, causing cell cycle arrest in G0/G1 phase and a decrease in the percentage
of cells in S and G2/M phases. Reverse transcription-quantitative polymerase
chain reaction demonstrated that MENK treatment increased opioid receptor
expression in A375 cells. Furthermore, the expression level of survivin, an
inhibitory apoptotic protein, was 1.1% of the level in the control group in the
MENK group following 48 h of treatment. In conclusion, the results of the present
study revealed, to the best of our knowledge for the first time, that MENK may
inhibit growth and induce apoptosis of A375 cells, and describes a potential
mechanism underlying these effects. Therefore, MENK should be investigated as a
primary therapy for human melanoma cancer and as an adjuvant to other
chemotherapies. Further studies are required to develop an optimal strategy for
the use of MENK for the treatment of human cancers.
PMID- 27878239
TI - AICAR induces mitochondrial apoptosis in human osteosarcoma cells through an AMPK
dependent pathway.
AB - The AMP-activated protein kinase (AMPK) activator 5-aminoimidazole-4-carboxamide
ribonucleotide (AICAR) modulates cellular energy metabolism, and promotes
mitochondrial proliferation and apoptosis. Previous studies have shown that AICAR
has anticancer effects in various cancers, however the roles of AMPK and/or the
effects of AICAR on osteosarcoma have not been reported. In the present study, we
evaluated the effects of AICAR on tumor growth and mitochondrial apoptosis in
human osteosarcoma both in vitro and in vivo. For in vitro experiments, two human
osteosarcoma cell lines, MG63 and KHOS, were treated with AICAR, and the effects
of AICAR on cell growth and mitochondrial apoptosis were assessed by WST assays,
TUNEL staining, and immunoblot analyses. In vivo, human osteosarcoma-bearing mice
were treated with AICAR, and the mitochondrial proliferation and apoptotic
activity in treated tumors were assessed. In vitro experiments revealed that
AICAR activated AMPK, inhibited cell growth, and induced mitochondrial apoptosis
in both osteosarcoma cell lines. In vivo, AICAR significantly reduced
osteosarcoma growth without apparent body weight loss and AICAR increased both
mitochondrial proliferation and apoptotic activity in treated tumor tissues.
AICAR showed anticancer effects in osteosarcoma cells through an AMPK-dependent
peroxisome proliferator-activated receptor-gamma coactivator-1alpha (PGC
1alpha)/mitochondrial transcription factor A (TFAM)/mitochondrial pathway. The
findings in this study strongly suggest that AICAR could be considered as a
potent therapeutic agent for the treatment of human osteosarcoma.
PMID- 27878240
TI - SirT1 activator represses the transcription of TNF-alpha in THP-1 cells of a
sepsis model via deacetylation of H4K16.
AB - Sepsis is a systemic inflammatory response resulting from the excessive
production of pro-inflammatory cytokines, including tumor necrosis factor (TNF)
alpha. Sirtuin 1 (SirT1) actively deacetylates histone proteins, and facilitates
chromatin compaction and gene silencing. In the present study, a cell model of
sepsis, comprising lipopolysaccharide (LPS)-tolerant THP-1 cells, was used to
investigate whether the SirT1 activator, resveratrol, repressed the transcription
of TNF-alpha. Chromatin immunoprecipitation and real-time PCR were used to
determine the transcription of the TNF-alpha promoter. The result revealed that
the binding of SirT1 to the TNF-alpha promoter was decreased by LPS stimulation
in normal cells. However, in LPS-tolerant cells, nuclear protein levels of SirT1
remained elevated, and LPS stimulation had no significant effect on the binding
of SirT1 to the TNF-alpha promoter. However, the activity of SirT1 was increased
and binding of ace-H4K16 to the TNF-alpha promoter was decreased with resveratrol
treatment in the tolerant cells. It was concluded that resveratrol stimulated
sirtuin activity in LPS-tolerant THP-1 cells, and repressed TNF-alpha
transcription through the deacetylation of H4K16, without affecting the
methylation of H3K9. Resveratrol offers potential as an infective candidate to
alleviate inflammation in patients with sepsis.
PMID- 27878241
TI - Hsa-miR-371-5p inhibits human mesangial cell proliferation and promotes apoptosis
in lupus nephritis by directly targeting hypoxia-inducible factor 1alpha.
AB - MicroRNAs (miRNAs/miR) have emerged as a novel class of gene expression
modulators in kidney disease. Lupus nephritis (LN) is the predominant cause of
morbidity and mortality in patients with systemic lupus erythematosus (SLE). Hsa
miR-371-5p has previously been reported to be dysregulated in LN using a miRNA
microarray analysis. The present study aimed to determine the function and
molecular mechanisms of hsa-miR-371-5p in human mesangial cells of LN.
Quantitative polymerase chain reaction (qPCR) was used to detect hsa-miR-371-5p
expression in LN tissues. Furthermore, the MTT assay and flow cytometric analyses
were performed to analyze the effects of hsa-miR-371-5p on mesangial cell
proliferation and apoptosis. Bioinformatics analysis, luciferase reporter assay,
qPCR and western blotting were also conducted to predict and confirm the target
gene of hsa-miR-371-5p in mesangial cells. The results demonstrated that hsa-miR
371-5p expression was markedly downregulated in LN renal tissues compared with in
normal kidney tissues. Restoration of hsa-miR-371-5p expression using synthetic
hsa-miR-371-5p mimics was able to significantly inhibit mesangial cell
proliferation and induce apoptosis. In addition, mechanistic exploration
demonstrated that hypoxia-inducible factor 1alpha (HIF-1alpha) was a direct
target gene of hsa-miR-371-5p in mesangial cells. In conclusion, these results
suggested that hsa-miR-371-5p is downregulated in LN, and overexpression of hsa
miR-371-5p may inhibit mesangial cell proliferation and promote apoptosis by
directly targeting HIF-1alpha.
PMID- 27878242
TI - ESC-3 induces apoptosis of human ovarian carcinomas through Wnt/beta-catenin and
Notch signaling in vitro and in vivo.
AB - Apoptosis, programmed cell death under physiological or pathological conditions,
plays a critical role in the tissue homeostasis of eukaryotes. It is desirable to
prevent the occurrence and metastasis of cancer through inducing apoptosis. Our
previous study demonstrated that apoptosis could be induced by extract from
crocodile in human cholangiocarcinoma. ESC-3, a novel cytotoxic compound isolated
from the extract induced apoptosis in Mz-ChA-1 cells via the mitochondria
dependent pathway in a dose-dependent manner. In this study, ESC-3 significantly
inhibited the proliferation of A2780 cells and arrested the cells at G2/M phase.
After exposure to ESC-3, A2780 cells displayed typical morphological changes and
the ability of colony-forming was remarkably inhibited. ESC-3 could significantly
upregulate the expression of Bax proteins while Bcl-2 protein remained unchanged,
resulting in the elevation of Bax/Bcl-2 ratio, which usually could induce
apoptosis. The critical protein of Wnt signaling (beta-catenin) was significantly
downregulated, whereas Hes1, the downstream protein of Notch signaling, was
remarkably attenuated through upregulating the expression of P53. In addition,
xenograft models demonstrated that ESC-3 effectively suppressed the growth of
OvCa tumors (T/C=42%). Western blot analysis of PCNA and VEGF confirmed that ESC
3 could inhibit the growth and metastasis of OvCa tumors. In conclusion,
apoptosis could be induced by ESC-3 through Wnt/beta-catenin and Notch signaling
in vitro and in vivo, and might have therapeutic potential for the treatment of
human OvCa.
PMID- 27878244
TI - MicroRNA-93 is overexpressed and induces apoptosis in glaucoma trabecular
meshwork cells.
AB - Glaucoma is an optic neuropathy and a major cause of blindness globally.
Trabecular meshwork cells are important in maintaining aqueous humor flow, the
dysfunction of which tends to induce glaucoma. As important regulators of gene
expression, microRNAs may be crucial in regulating trabecular meshwork cells. The
present study aimed to reveal the effect of microRNA-93 (miR-93) on glaucoma
trabecular meshwork (GTM) cell apoptosis. The expression levels of miR-93 were
compared between human trabecular meshwork (HTM) cells and GTM cells. The
expression of miR-93 was inhibited and increased by transfecting the cells with a
lentivirus containing its specific inhibitor sponge and expression vector to
investigate changes in GTM cell viability and apoptosis. Alterations in the
protein expression of nuclear factor erythroid 2-like 2 (NFE2L2) were also
examined to elucidate the possible mechanism underlying the effects of miR-93.
The results showed a marked promotion in the expression of miR-93 in the GTM
cells, compared with the HTM cells (P<0.01). GTM cell viability was increased and
its apoptosis was inhibited by transfection with the miR-93 sponge (P<0.01 and
P<0.001, respectively), whereas the overexpression of miR-93 abrogated these
effects (P<0.05 and P<0.0001, respectively). The expression of NFE2L2, a possible
target of miR-93, was promoted by transfection with the miR-93 sponge (P<0.01)
and was inhibited in the cells overexpressing miR-93 (P<0.01). Therefore, miR-93
was capable of inhibiting viability and inducing apoptosis of the GTM cells,
which was achieved via the suppression of NFE2L2. These results elucidated the
pro-apoptotic effects of miR-93 in GTM cells and its possible functional
mechanism, providing potential therapeutic targets for the treatment of glaucoma.
PMID- 27878243
TI - Different functions of AKT1 and AKT2 in molecular pathways, cell migration and
metabolism in colon cancer cells.
AB - AKT is a central protein in many cellular pathways such as cell survival,
proliferation, glucose uptake, metabolism, angiogenesis, as well as radiation and
drug response. The three isoforms of AKT (AKT1, AKT2 and AKT3) are proposed to
have different physiological functions, properties and expression patterns in a
cell type-dependent manner. As of yet, not much is known about the influence of
the different AKT isoforms in the genome and their effects in the metabolism of
colorectal cancer cells. In the present study, DLD-1 isogenic AKT1, AKT2 and
AKT1/2 knockout colon cancer cell lines were used as a model system in
conjunction with the parental cell line in order to further elucidate the
differences between the AKT isoforms and how they are involved in various
cellular pathways. This was done using genome wide expression analyses, metabolic
profiling and cell migration assays. In conclusion, downregulation of genes in
the cell adhesion, extracellular matrix and Notch-pathways and upregulation of
apoptosis and metastasis inhibitory genes in the p53-pathway, confirm that the
knockout of both AKT1 and AKT2 will attenuate metastasis and tumor cell growth.
This was verified with a reduction in migration rate in the AKT1 KO and AKT2 KO
and most explicitly in the AKT1/2 KO. Furthermore, the knockout of AKT1, AKT2 or
both, resulted in a reduction in lactate and alanine, suggesting that the
metabolism of carbohydrates and glutathione was impaired. This was further
verified in gene expression analyses, showing downregulation of genes involved in
glucose metabolism. Additionally, both AKT1 KO and AKT2 KO demonstrated an
impaired fatty acid metabolism. However, genes were upregulated in the Wnt and
cell proliferation pathways, which could oppose this effect. AKT inhibition
should therefore be combined with other effectors to attain the best effect.
PMID- 27878245
TI - Baicalin attenuates DDP (cisplatin) resistance in lung cancer by downregulating
MARK2 and p-Akt.
AB - DDP (cisplatin) resistance in lung cancer has been widely reported. Baicalin is a
flavone glycoside found in genus Scutellaria. However, the effects of baicalin on
DDP resistance in lung cancer are unclear. The aim of present study was to
investigate effects of combination of baicalin and DDP on proliferation and
invasion of human lung cancer cells, and explore possible mechanisms. MTT assay
was utilized to evaluate effects of baicalin and DDP on the proliferation of A549
and A549/DPP (DPP-resistant) human lung cancer cells. The probability sum method
was used to determine effects of the drug combination. Transwell invasion assay
was utilized to detect tumor cell invasion. The mRNA expression of MARK2 in A549
and A549/DPP cells was detected by qPCR. Protein expression of MARK2, p-Akt and
Akt was detected by western blot analysis. Baicalin and DPP when used alone
inhibited the proliferation of A549 and A549/DDP cells in a dose-dependent manner
at 24 and 48 h. For A549 cells, baicalin (8 ug/ml) antagonized DDP (1, 2, 4 and 8
ug/ml) at 24 h. For A549/DDP cells, baicalin and DDP were additive when the
concentration of DDP was 4 ug/ml at 24 h. Effects of baicalin and DDP on
proliferation inhibition were additive and synergistic when concentrations of DDP
were 8 and 4 ug/ml, respectively, at 48 h for both A549 and A549/DDP cells. When
baicalin (8 ug/ml) and DDP (4 ug/ml) were combined, the inhibitory rate of tumor
cell invasion increased markedly compared to DPP or baicalin alone groups in both
A549 and A549/DDP cells. A549/DDP cells had significantly higher MARK2 mRNA
levels and protein expression of MARK2 and p-Akt. Baicalin decreased MARK2 mRNA
and protein expression of MARK2 and p-Akt in A549/DDP cells dose-dependently. In
conclusion, baicalin and DDP were synergistic at inhibiting proliferation and
invasion of human lung cancer cells at appropriate dosages and incubation time in
the presence or absence of DDP resistance. The attenuation of DDP resistance was
associated with downregulation of MARK2 and p-Akt.
PMID- 27878247
TI - Oridonin inhibition and miR-200b-3p/ZEB1 axis in human pancreatic cancer.
AB - The relationship among oridonin, miR-200b-3p and pancreatic cancer on epithelial
to-mesenchymal transition (EMT) was investigated for the molecular mechanism or
signaling pathways on the migration in pancreatic cancer. BxPC-3 and PANC-1 cells
were cultivated and the IC50 of oridonin in BxPC-3 and PANC-1 cells were obtained
by the CCK-8 array. The expression of miR-200b-3p was verified by using real-time
PCR and its target gene was predicted. BxPC-3 and PANC-1 cells were treated with
oridonin or transfected by miR-200b-3p, those cells were used for western blot
assay, Transwell assay, ELISA, immunofluorescence staining, tumorigenesis assay
in nude mice and immunohistochemical assay to verify the effects of oridonin or
miR-200b-3p on pancreatic cancer. We found that oridonin inhibited the
proliferation of BxPC-3 and PANC-1 cells in a dose-dependent manner. miR-200b-3p
was downregulated by oridonin in BxPC-3 and PANC-1 cells. ZEB1 was a target gene
for miR-200b-3p. Oridonin or overexpression of miR-200b-3p can inhibit the cell
migration in BxPC-3 and PANC-1 cells. miR-200b-3p can inhibit the EMT and
oridonin can inhibit the expression of ZEB1, N-cadherin and fibronectin but not
increase the expression of E-cadherin, while the cell adhesion molecules ICAM-1
and VCAM-1 were decreased by oridonin in BxPC-3 and PANC-1 cells and the
cytoskeleton was altered by oridonin in PANC-1 cells compared with the control.
In summary, the results demonstrate that miR-200b-3p was able to inhibit the EMT
of human pancreatic cancer in vivo and in vitro by targeted ZEB1. In vitro,
oridonin had a certain effect on the migration in BxPC-3 and PANC-1 cells, but
not though type III EMT by miR-200-3p/ZEB1 axis, and may be related to type II
EMT, tumor microenvironment or altering the cytoskeleton. In vivo, oridonin
inhibited the cancer migration in the nude mouse model though inhibiting EMT.
PMID- 27878246
TI - Luteolin protects mice from severe acute pancreatitis by exerting HO-1-mediated
anti-inflammatory and antioxidant effects.
AB - Reseda odorata L. has long been used in traditional Asian medicine for the
treatment of diseases associated with oxidative injury and acute inflammation,
such as endotoxemia, acute lung injury, acute myocardial infarction and
hepatitis. Luteolin, the main component of Reseda odorata L., which is also
widely found in many natural herbs and vege-tables, has been shown to induce heme
oxygenase-1 (HO-1) expression to exert anti-inflammatory and antioxidant effects.
In this study, we aimed to examine the effects of luteolin on mice with severe
acute pancreatitis (SAP), and to explore the underlying mechanisms. Cerulein and
lipopolysaccharide were used to induce SAP in male Institute of Cancer Research
(ICR) mice in the SAP group. The SAP group was divided into 4 subgroups, as
follows: the vehicle, luteolin, zinc protoporphyrin (ZnPP) only, and luteolin
(Lut) + ZnPP (luteolin plus zinc protoporphyrin treatment) groups. The wet/dry
weight ratios, hematoxylin and eosin staining and pathological scores of
pancreatic tissues were assessed and compared to those of the control mice.
Amylase, lipase, nuclear factor-kappaB (NF-kappaB) and myeloperoxidase
activities, and malondialdehyde, tumor necrosis factor alpha (TNFalpha),
interleukin (IL)-6, IL-10 and HO-1 levels, as well as the expression of HO-1 were
determined in serum and/or pancreatic tissue samples. SAP was successfully
induced in male mice compared to normal control mice. The wet/dry weight ratios,
pathological scores, and amylase and lipase activity, as well as the levels of
TNFalpha and IL-6 were significantly reduced in the pancreatic tissues of the
mice in the Lut group compared with those of the mice in the vehicle group. The
Lut group exhibited a significant increase in HO-1 expression in the pancreas and
enhanced serum HO-1 and IL-10 levels compared with the vehicle group. The
suppression of HO-1 activity in the ZnPP group significantly abolished the
protective effects of luteolin. NF-kappaB expression in the pancreatic tissues
from the mice in the Lut + ZnPP group was significantly increased following the
suppression of HO-1 activity. On the whole, our findings demonstrate that
luteolin protects mice from SAP by inducing HO-1-mediated anti-inflammatory and
antioxidant activities, in association with the suppression of the activation of
the NF-kappaB pathway.
PMID- 27878249
TI - ETS-1: A potential target of glycolysis for metabolic therapy by regulating
glucose metabolism in pancreatic cancer.
AB - Pancreatic cancer is one of the most lethal malignancies of all types of cancer
due to lack of early symptoms and its resistance to conventional therapy. In our
previous study, we have shown that v-ets erythroblastosis virus E26 oncogene
homolog-1 (ETS-1) promote cell migration and invasion in pancreatic cancer cells.
However, the function of ETS-1 in regulation of glycolysis and autophagy during
progression of pancreatic cancer has not been defined yet. In this study, we
sought to identify the potential role for silencing ETS-1 in reducing the
expression of glucose transporter-1 (GLUT-1) to disturb glycolysis through
alteration of 'Warburg effect', by which could result in AMP-activated protein
kinase (AMPK) activation, autophagy induction and reduction of cell viability.
MTT assay was applied to assess the cell viability in ETS-1 silencing cells and
control groups. Glucose absorption rate, lactate production rate and cellular ATP
level were measured by standard colorimetric assay kits. The levels of mRNAs of
ETS-1, GLUT-1, autophagy-related gene 5 (ATG5) and ATG7 were analyzed by qRT-PCR.
The expression of ETS-1, GLUT-1, ATG5, ATG7, p-AMPK, and LC3II proteins were
evaluated by western blot analysis. GraphPad Prism 5.0 was used for all
statistical analysis. We found that cell viability was obviously attenuated after
silencing ETS-1. Besides, our results also showed that the expression of GLUT-1
significantly declined in ETS-1 silencing cell lines which resulted in a lower
glucose utilization and lactate production. Furthermore, the inhibition of
glycolysis, which depends on glucose utilization and lactate production, reduced
the generation of energy in the form of ATP. Moreover, the reduction of cellular
ATP was associated with stimulation of AMP-activated protein kinase (AMPK) and
induction of autophagy. Our results indicated that ETS-1 induced autophagy after
inhibition of glycolysis, and thus led to comparative decrease of cell viability.
These results implied that ETS-1 could be a potential target for tumor metabolic
therapy.
PMID- 27878250
TI - SN38 increases IL-8 expression through the MAPK pathways in HCT8 cells.
AB - The overexpression of interleukin-8 (IL-8) is closely associated with poor tumor
differentiation, metastasis and tumor progression. This study aimed to examine
the effects and mechanisms of action of SN38 (a metabolite of the camptothecin
derivative, CPT-11) on IL-8 expression in HCT8 cells, using ELISA, CCK-8 and
western blot analysis. Among jatrorrhizine, evodiamine, 5-fluorouracil and SN38,
SN38 was found to inhibit the proliferation of HCT8 cells in a dose-dependent
manner, but to increase IL-8 secretion from HCT8 cells. Of the other agents,
evodiamine was found to inhibit both IL-8 secretion and cell proliferation, and
jatrorrhizine was found to increase IL-8 secretion without any obvious inhibitory
effect on cell proliferation. Further experiments revealed that the increased
activation of p38 mitogen-activated protein kinase (MAPK), extracellular signal
regulated kinase (ERK)1/2 and c-Jun N-terminal kinase (JNK) by SN38 contributed
to the decreased cell proliferation and to the overexpression of IL-8 induced by
SN38. Our results suggested that the MAPK pathways are activated by SN38,
resulting in the upregulation of IL-8 expression and in the inhibition of cell
proliferation in an IL-8-independent manner. Thus, the potential benefit of the
use of a combination of camptothecin-11 with other chemical drugs with inhibitory
effects on IL-8 expression, should be paid more attention in treating colon
cancer.
PMID- 27878251
TI - Glyceraldehyde-3-phosphate dehydrogenase promotes cancer growth and metastasis
through upregulation of SNAIL expression.
AB - Glyceraldehyde-3-phosphate dehydrogenase (GAPDH) plays an important role in
multiple cellular functions including metabolism and gene transcription. Our
previous study showed that GAPDH expression was elevated in colon cancer and
further upregulated in liver metastatic tissues, suggesting a possilbe role of
GAPDH in promoting cancer metastasis. The present study was designed to
investigate the underlying mechanism, using multiple experimental approaches
including genetic silencing of GAPDH expression by short hairpin RNA (shRNA) and
biochemcial/molecular analyses of the key events involved in glycolytic
metabolism and epithelial-mesenchymal transition (EMT). We showed that silencing
of GAPDH expression resulted in a significant reduction of glycolysis in colon
cancer cell lines, accompanied by a decrease in cell proliferation and an
apparent change in cell morphology associated with alterations in actin
expression and phalloidine staining patterns. Furthermore, GAPDH suppression also
caused a downregulation of gene expression involved in cancer stem-like cells and
EMT. CHIP assay and co-immunoprecipitation revealed that GAPDH physically
interacted with the transcriptional factor Sp1 and enhance the expression of
SNAIL, a major regulator of EMT. Suppression of GAPDH expression resulted in a
signficant decrease in SNAIL expression, leading to inhibition of EMT and
attenuation of colon cancer cell migration in vitro and reduced metastasis in
vivo. Overall, the present study suggests that GAPDH plays an important role in
cancer metastasis by affecting EMT through regulation of Sp1-mediated SNAIL
expression.
PMID- 27878248
TI - Immunomodulatory effects of OX40Ig gene-modified adipose tissue-derived
mesenchymal stem cells on rat kidney transplantation.
AB - Recent studies have suggested that adipose tissue-derived mesenchymal stem cell
(ADSC) therapy and OX40 costimulation blockade are two immunomodulatory
strategies used to suppress the immune response to alloantigens. However,
relatively little has been reported regarding the immunomodulatory potential of
the abilityof these two strategies to synergize. Thus, in the present study, we
aimed to investigate OX40-Ig fusion protein (OX40Ig) expression in ADSCs and to
validate their more potent immunosuppressive activity in preventing renal
allograft rejection. For this purpose, ADSCs from Lewis rats were transfected
with the recombinant plasmid, pcDNA3.1(-)OX40Ig, by nucleofection. The ADSCs
transduced with the plasmid (termed ADSCsOX40Ig) or untransduced ADSCs (termed
ADSCsnative) were added to allostimulated mixed lymphocyte reaction (MLR) in
vitro. In vivo, ADSCsOX40Ig, ADSCsnative, or PBS were administered to an
allogeneic renal transplantation model, and the therapeutic effects, as well as
the underlying mechanisms were examined. The results revealed that both the
ADSCsnative and ADSCsOX40Ig significantly suppressed T cell proliferation and
increased the percentage of CD4+CD25+ regulatory T cells in allogeneic MLR
assays, with the ADSCsOX40Ig being more effective. Furthermore, the results from
our in vivo experiments revealed that compared with the ADSCsnative or PBS group,
the administration of autologous ADSCsOX40Ig markedly prolonged the mean survival
time of renal grafts, reduced allograft rejection, and significantly
downregulated the mRNA expression of intragraft interferon-gamma (IFN-gamma) ,
and upregulated the mRNA expression of interleukin (IL)-10, transforming growth
factor-beta (TGF-beta) and forkhead box protein 3 (Foxp3). The findings of our
study indicate that the use of ADSCsOX40Ig is a promising strategy for preventing
renal allograft rejection. This strategy provides the synergistic benefits of
ADSC immune modulation and OX40-OX40L pathway blockade, and may therefore have
therapeutic potential in clinical renal transplantation.
PMID- 27878252
TI - Temozolomide inhibits cellular growth and motility via targeting ERK signaling in
glioma C6 cells.
AB - Temozolomide (TMZ) is an alkylating agent used for the treatment of aggressive
forms of brain tumor based on its antitumor actions. However, the exact effect on
cancer and the underlying anticancer molecular mechanism of TMZ remain to be
elucidated. In the present study, the effects of TMZ on the growth and motility
of glioma C6 cells were investigated. MTT and Transwell assays were used to
detect cellular growth and motility. The results showed that TMZ inhibited the
proliferation, migration and invasion of the glioma C6 cells in vitro, western
blot analysis determined that the phosphorylation of extracellular signal
regulated protein kinase (ERK)1/2 was decreased in the TMZ-treated cells,
compared with the untreated control cells. The ERK1/2 specific inhibitor, U0126,
augmented the inhibitory effects of TMZ on the proliferation, migration and
invasion of the glioma C6 cells, and the mitogen-activated protein kinase
kinase/ERK pathway activator, curcumin, attenuated the inhibitory effects of TMZ
on the proliferation and motility of the glioma C6 cells. Additionally, the
western blotting in the present study demonstrated that TMZ and U0126 decreased
the expression of vascular endothelial growth factor-C (VEGF-C), and the
expression level was restored by curcumin, suggesting that VEGF-C may be the
downstream effector of ERK1/2. Furthermore, the overexpression of VEGF-C enhanced
the growth, migration and invasion of the TMZ-treated cells. These results
suggested that TMZ suppressed glioma C6 cell development, at least in part, and
downregulated the expression of VEGF-C by inhibiting the ERK signaling pathway.
The results of the present study provides the foundation for a combinational
therapeutic strategy to improve the efficacy of TMZ.
PMID- 27878253
TI - Development of a therapeutic model of precancerous liver using crocin-coated
magnetite nanoparticles.
AB - Despite considerable advances in understanding hepatocellular carcinoma, it is
one of the common and deadliest cancers worldwide. Hence, increasing efforts are
needed for early diagnosis and effective treatments. Saffron has been recently
found to inhibit growth of liver cancer in rats. The aim of this study was to
develop an effective method for treatment of liver cancer using magnetite
nanoparticles (MNPs) coated with crocin, the main active component of saffron.
MNPs were prepared and initially coated with dextran and a cross-linker to
enhance conjugation of crocin using a modified coprecipitation method. Cultured
HepG2 cells and diethylnitrosamine-injected mice were treated with corcin-coated
MNPs and analyzed using cell proliferation assay and immunohistochemical
analysis, respectively. Treatment of HepG2 cells with crocin-coated MNPs led to a
significant inhibition of their growth as compared to control or those treated
with free crocin or uncoated MNPs. Histological examinations of the livers of
diethylnitrosamine-injected mice revealed several precancerous changes: multiple
proliferative hepatic foci, hyper- or dysplastic transformations of bile
ducts/ductules, and nuclear atypia associated with polyploidy, karyomegaly, and
vacuolation. Immunohistochemistry using antibodies specific for cell
proliferation (Ki-67) and apoptosis (M30-CytoDEATH and Bcl-2) revealed their
upregulation during development of precancerous lesions. Using antibodies
specific for inflammation (cyclooxygenase-2), oxidative stress (glutathione) and
angiogenesis (vascular endothelial growth factor) indicated the involvement of
multiple signaling pathways in the development of precancerous lesions. Treatment
with crocin-coated MNPs was associated with regression of precancerous lesions,
significant upregulation of apoptotic cells and downregulation of Bcl-2 labeling
and markers of cell proliferation, inflammation, oxidative stress and
angiogenesis. In conclusion, crocin-coated MNPs are more effective than free
corcin for treatment of liver precancerous lesions in mice. These findings will
help to develop new modalities for early detection and treatment of liver
precancerous lesions.
PMID- 27878254
TI - Restoration of the tumor-suppressor function to mutant p53 by Ganoderma lucidum
polysaccharides in colorectal cancer cells.
AB - Ganoderma lucidum polysaccharides (GLPs), isolated from spores, mycelia and
fruiting bodies of Ganoderma lucidum, have been suggested to possess anticancer
activities in a large number of basic studies. A recent survey revealed that GLP
induced inhibition of cancer cell growth was dependent on the existence of
functional p53. However, the actual role of p53-mediated tumor-suppressing
pathways in facilitating the anticancer effect of GLPs is still unclear. In the
present study, we investigated the interaction between GLPs and mutant p53 that
exists in more than half of the known types of cancers. Our results showed that
GLPs reactivated mutant p53 in colorectal cancer HT29 (p53R273H) and SW480
(p53R273H&P309S) cells while applied alone or together with 5-fluorouracil (5
FU). This reactivation further induced cell growth inhibition and apoptosis. In
addition, western blot assay and in vitro cell-free apoptosis assay suggested
that the activation of mutant p53 was effective in both a transcriptional
dependent and -independent pathway. Altogether, our data demonstrated for the
first time that GLPs show prominent anticancer activities by reactivating several
types of mutant p53. Therefore, targeting mutant p53 by GLPs alongside other
chemotherapeutics may be considered as a novel treatment strategy for cancer.
PMID- 27878256
TI - Melatonin attenuates TGFbeta1-induced epithelial-mesenchymal transition in lung
alveolar epithelial cells.
AB - Idiopathic pulmonary fibrosis (IPF) is the most common interstitial lung disease.
However, the pathogenesis remains to be fully elucidated. Melatonin is secreted
by the pineal gland, it has a strong antioxidant effect, and exerts an anti
fibrosis effect. Whether melatonin attenuates pulm -onary fibrosis by inhibiting
epithelial-mesenchymal transition (EMT) requires further research. The present
study aimed to investigate whether melatonin prevents transforming growth factor
beta1 (TGF-beta1)-induced EMT and underlying signaling pathways using reverse
transcription-quantitative polymerase chain reaction, western blot analysis and
immunofluorescence. The results demonstrated that melatonin inhibits EMT in A549
cells, and the Wnt/beta-catenin and Smad2/3 signaling pathways are involved in
the EMT of the A549 cell line as they were suppressed by melatonin. The present
study indicates that melatonin inhibited TGFbeta1-induced epithelial-mesenchymal
transition in the A549 cell line and may potentially be useful in the treatment
of IPF.
PMID- 27878255
TI - Roles of mitochondrial transcription factor A and microRNA-590-3p in the
development of colon cancer.
AB - Mitochondrial transcription factor A (TFAM) participates in the process of
mitochondrial DNA replication and transcription. microRNAs (miRNAs) serve an
important role in the regulation of gene expression. However, the roles of TFAM
and certain miRNAs and their associations in the development of numerous cancer
types remain unclear. The current study demonstrated that the expression of TFAM
was significantly upregulated in colon cancer compared with the normal tissue,
while the expression of miRNA-590-3p (miR-590-3p) was predicted with a high score
using miRWalk software, and the luciferase assay demonstrated that TFAM was the
direct target of miRNA-590-3p. miR-590-3p exhibited high expression levels in
both colon cancer tissue and the SW480 cell line. Furthermore, downregulated
expression of miR-590-3p significantly inhibited the growth of SW480 cells, which
was consistent with results indicating downregulated expression of TFAM in SW480
cells from a previous study. In summary, the results of the current study
concluded that miR-590-3p, via direct targeting of TFAM, may serve an important
role in the tumorigenesis of colon cancer, and may be a promising target for
colon cancer therapeutics.
PMID- 27878257
TI - Downregulation of Bmi-1 suppresses epithelial-mesenchymal transition in melanoma.
AB - Epithelial-mesenchymal transition (EMT) contributes to the invasion and
metastasis of numerous malignant cancers, including melanoma. A significant
higher expression of B-lymphoma Moloney murine leukemia virus insertion region-1
(Bmi-1) has been reported in cell lines from metastatic melanoma compared to cell
lines from primary melanoma. There are studies that show that knockdown of Bmi-1
could induce E-cadherin expression in melanoma cells. However, the role of Bmi-1
in mediating EMT-like changes in melanoma has not yet been fully studied. In the
present study, knockdown of Bmi-1 by shRNA transduction decreased the invasion
properties of the cultured human melanoma cells A375 by a Matrigel invasion
assay, along with alterations in EMT-related markers E-cadherin, alpha-catenin,
vimentin and N-cadherin. The aforementioned altered expression of EMT markers was
verified in BALB/c-nude mouse xenografts. Furthermore, to explore the underlying
regulatory mechanism of EMT, we detected the significant downregulation of p
Akt/p-NF-kappaB/MMP-2 and the upregulation of PTEN in Bmi-1-silenced A375 cells.
The present study demonstrated that knockdown of Bmi-1 significantly inhibited
the aggressive behavior of melanoma by reversing EMT-like changes via the PTEN/p
Akt/p-NF-kappaB/MMP-2 pathway.
PMID- 27878258
TI - MicroRNA-362 is downregulated in cervical cancer and inhibits cell proliferation,
migration and invasion by directly targeting SIX1.
AB - Cervical cancer is the second most common type of cancer in women accounting for
12% of all human cancers in the world. Mounting evidence demonstrates that
microRNAs play important roles in the carcinogenesis and progression of cervical
cancer. The aim of this study was to investigate the expression, roles and
molecular mechanism of microRNA-362 (miR-362) in cervical cancer. According to
the results, we found that expression level of miR-362 was significantly reduced
in cervical cancer tissues and cell lines. Low miR-362 expression was correlated
with FIGO stage, lymph node metastasis and vascular invasion in cervical cancer.
Functional assays showed that restoration of miR-362 repressed cell
proliferation, migration and invasion in cervical cancer. We also provided direct
evidence that sineoculis homeobox homolog 1 (SIX1) was a direct target of miR-362
in cervical cancer, which was confirmed by bioinformatics analysis, luciferase
reporter assay, qRT-PCR and western blot analysis. SIX1 was upregulated in
cervical cancer and inversely correlated with miR-362 expression in cervical
cancer. In addition, SIX1 knockdown could simulate the roles of miR-362
overexpression on cell proliferation, migration and invasion of cervical cancer.
Moreover, rescue experiments indicated that restoration of SIX1 was sufficient to
abolish proliferation, migration and invasion induced by miR-362 overexpression
in cervical cancer cells. The newly identified miR-362/SIX1 pathway provides
insight into cervical cancer progression, and may represent a novel therapeutic
target.
PMID- 27878259
TI - Stanniocalcin 2 improved osteoblast differentiation via phosphorylation of ERK.
AB - Mammalian stanniocalcin 2 (STC2) is a glycoprotein hormone with multiple
functions. The present study determined the importance of STC2 in osteoblast
differentiation. It was revealed that the expression of STC2 was increased during
the differentiation of MC3T3-E1 cells to osteoblasts and that knockdown of STC2
reduced osteoblast differentiation and mineralization, whereas STC2
overexpression increased differentiation and mineralization. Knockdown of STC2
downregulated the expression of osteoblast-associated genes, including runt
related transcription factor 2, collagen type I alpha 1 chain, osterix and
osteocalcin. Overexpression of STC2 upregulated the expression of these
osteoblastic genes. In addition, overexpression of STC2 enhanced the
phosphorylation of extracellular signal-regulated kinase 1/2 (ERK1/2), whereas
inhibition of ERK phosphorylation reduced osteoblast differentiation of MC3T3-E1
cells overexpressing STC2. These findings indicated that STC2 may promote
osteoblast differentiation and mineralization by regulating ERK activation.
PMID- 27878260
TI - miR-3619-5p inhibits prostate cancer cell growth by activating CDKN1A expression.
AB - Recent studies have shown that miRNAs have potent abilities to activate gene
expression by targeting promoter elements, a phenomenon known as RNA activation
(RNAa). In the present study, we identified a new endogenous miR-3619-5p which
was decreased in prostate cancer tissues and cells compared to corresponding
normal controls. Moreover, overexpression of miR-3619-5p readily induced CDKN1A
gene expression by directly targeting the putative site in the promoter. Besides,
miR-3619-5p possessed considerable capacity to inhibit prostate cancer DU145 and
PC3 cell growth, and downregulate several CDKN1A downstream genes, such as cyclin
D1, CDK4 and CDK6. Notably, this antitumor function of miR-3619-5p was mainly
achieved by stimulating CDKN1A expression.
PMID- 27878261
TI - Bacterial ghosts as adjuvants in syngeneic tumour cell lysate-based anticancer
vaccination in a murine lung carcinoma model.
AB - Instead of relying on external anticancer factors for treatment, immunotherapy
utilizes the host's own immune system and directs it against given tumour
antigens. This study demonstrated that it is possible to overcome the documented
immunosuppressive properties of tumour cell lysate by supplementing it with
appropriate adjuvant. Lewis lung carcinoma (LLC)-challenged C57BL/6 mice were
treated with LLC cryo-lysate mixed with either bacterial ghosts (BGs) generated
from E. coli Nissle 1917 or B. subtilis 70 kDa protein as adjuvants. Median and
overall survival, the size of metastatic foci in lung tissue and levels of
circulating CD8a+ T cells were evaluated and compared to the untreated control
mice or mice treated with LLC lysate alone. After primary tumour removal, a
course of three subcutaneous vaccinations with LLC lysate supplemented with BGs
led to a significant increase in overall survival (80% after 84 days of follow-up
vs. 40% in untreated control mice), a significant increase in circulating CD8a+ T
cells (16.57 vs. 12.6% in untreated control mice) and a significant decrease in
metastasis foci area and incidence. LLC lysate supplemented with B. subtilis
protein also improved the inspected parameters in the treated mice, when compared
against the untreated control mice, but not to a significant degree. Therefore,
whole cell lysate supplemented with BGs emerges as an immunostimulatory construct
with potential clinical applications in cancer treatment.
PMID- 27878262
TI - Identification of suitable reference genes for BDV-infected primary rat
hippocampal neurons.
AB - Borna disease virus (BDV) is a neurotropic RNA virus that infects the limbic
system of mammals and results in behavioral disorders. The hippocampus is a core
region in the limbic system, which contributes to memory and learning and is
important in the regulation of emotion. However, no validated microRNA
housekeeping genes have yet been identified in BDV-infected rat primary
hippocampal neurons. Proper normalization is key in accurate miRNA expression
analysis. The present study used reverse transcription-quantitative polymerase
chain reaction (RT-qPCR) to evaluate the expression stability of 10 commonly used
reference genes [miR-92a, 5S, U6, miR-103, miR-101a, miR-let-7a, miR-16, E2 small
nucleolar RNA (snoRNA), U87 and miR-191] in BDV-infected rat hippocampal neurons
and non-infected controls across 12 days post-infection. The data was analyzed by
four statistical algorithms: geNorm, NormFinder, BestKeeper, and the comparative
Delta-Ct method. Subsequently, the most suitable reference genes (miR-101a and
U87) and the least suitable (snoRNA) were determined by the RankAggreg package.
miR-155 was selected as a standard by which to evaluate the most and least
suitable reference genes. When normalized to the most stable reference gene there
were significant differences between the two groups. However, when the data were
normalized to the less stably expressed gene, the results were not significant.
miR-101a was recommended as a suitable reference gene for BDV-infected rat
primary hippocampal neurons.
PMID- 27878263
TI - Capn4 promotes epithelial-mesenchymal transition in human melanoma cells through
activation of the Wnt/beta-catenin pathway.
AB - Melanoma, as one of the most highly metastatic types of cancer, is resistant to
current treatment methods, including popular targeted molecular therapy.
Consequently, it is essential to develop a deeper understanding of the mechanisms
involved in melanoma progression so that alternative treatments may be
identified. To date, accumulating evidence supports the use of calpains,
including calpain small subunit 1 (also known as Capn4 or CAPNS1), which affect
cancer progression through many pathways, such as epithelial-mesenchymal
transition (EMT), the Wnt/beta-catenin (beta-catenin) and the nuclear factor
kappaB (NF-kappaB) signaling pathways. The EMT pathway is well known as one of
the most important events in tumor metastasis. The present study observed cross
talk among the EMT, beta-catenin and NF-kappaB pathways. To identify the
underlying mechanisms of Capn4 activity in melanoma cells, we determined Capn4
expression by gene chip and immunohistochemistral analyses in melanoma tissues
and cells in vitro. The extent of apoptosis as determined by TUNEL assay, DAPI
staining, and cleaved-caspase-3 assay was increased in human melanoma cells in
which Capn4 expression had been knocked down when compared with untreated cells.
Transwell assays and xenograft tumorigenicity studies were also performed to
assess the effects of Capn4 on migration and invasion in vitro and tumor growth
in vivo, respectively. The levels of beta-catenin, vimentin, E-cadherin and N
cadherin were altered in human melanoma cells as determined by western blot
analysis assay. Our study demonstrated that Capn4 is an underlying target for
melanoma treatment.
PMID- 27878264
TI - Silencing of ANXA3 expression by RNA interference inhibits the proliferation and
invasion of breast cancer cells.
AB - The present study aimed to explore the expression of Annexin A3 (ANXA3) in breast
cancer cells and the mechanisms involved in the regulatory effects of ANXA3 on
proliferation, invasion and migration of breast cancer cells. Fluorescence
quantitative reverse transcription-polymerase chain reaction (qRT-PCR) and
western blotting were used to measure the expression of ANXA3 mRNA and protein in
two breast cancer cell lines (MDA-MB-231 and MCF-7). Three ANXA3 silencing shRNA
plasmids (ANXA3-sh1-3) and one negative control plasmid were constructed, and the
Lipofectamine transfection method was used for transfecting human breast cancer
cell line MDA-MB-231. Flow cytometry was used to measure the transfection
efficiency. The expression of ANXA3 protein was measured by western blotting.
Cell cycle distribution and apoptosis were assessed by flow cytometry. Migration
and invasion of the transfected cells were evaluated using wound healing and
Transwell assays, respectively. The expression levels of ANXA3 mRNA and protein
were significantly higher in the MDA-MB-231 cells than levels in the MCF-7 cells.
Western blotting showed that the ANXA3 protein level was significantly lower in
the MDA-MB-231-Sh cells than that in the MDA-MB-231 and MDA-MB-231-NC cells. In
addition, the percentage of G0/1 cells and the apoptosis rate were significantly
higher, while the cell proliferation rate was significantly lower, in the MDA-MB
231-Sh cells when compared with the MDA-MB-231-NC and MDA-MB-231 cells. The cell
migration and invasion abilities were also lower in the MDA-MB-231-Sh cells than
these abilities in the MDA-MB-231-NC and MDA-MB-231 cells. The present study
investigated the relationships between ANXA3 and proliferation, apoptosis,
migration and invasion of breast cancer cells to elucidate the mechanisms
involved in the development, progression, invasion and metastasis of breast
cancer.
PMID- 27878266
TI - Cantharidin induces G2/M arrest and triggers apoptosis in renal cell carcinoma.
AB - The present study aimed to investigate the effects of cantharidin on cell cycle
distribution, the induction of apoptosis, and Notch1 and Jagged1 expression in
ACHN and Caki-1 renal cancer cells. Cell viability assay, flow cytometry, cell
cycle and western blot analyses were performed for ACHN and Caki-1 cells.
Immunohistochemistry was used to analyze the expression of Notch1 and Jagged1 in
RCC tissues The results demonstrated that treatment with cantharidin exerted a
dose- and time-dependent effect on cell viability, apoptosis induction and G2/M
phase cell cycle arrest. Exposure of ACHN and Caki-1 cells to 20 uM cantharidin
reduced cell viability to 26 and 32% respectively, after 48 h. In addition,
treatment with cantharidin enhanced the number of ACHN and Caki-1 cells in G2/M
phase to 54.62 and 51.88% respectively, as compared with 17.16 and 16.53% in the
control groups. In the ACHN and Caki-1 cells, treatment with cantharidin induced
a marked increase in the proportion of apoptotic cells after 48 h. Furthermore,
cantharidin enhanced the percentage ACHN and Caki-1 apoptotic cells to 57.23 and
62.34% respectively, as compared with 2.27 and 3.06% in the control groups.
Detection of Notch1 and Jagged1 expression demonstrated that levels were
significantly increased in carcinoma tissues. Conversely, cantharidin exhibited
an inhibitory effect on Notch1 and Jagged1 expression after 48 h. Therefore,
treatment with cantharidin may exert a promising effect on the inhibition of
renal cancer, and may be of therapeutic importance for the treatment of renal
cancer.
PMID- 27878265
TI - Synergistic effects of overexpression of BMP-2 and TGF-beta3 on osteogenic
differentiation of bone marrow mesenchymal stem cells.
AB - Bone morphogenetic protein 2 (BMP-2) and transforming growth factor beta (TGF
beta) isoforms are important in advancing bone regeneration. The aim of the
present study was to investigate the positive and reciprocal effect of TGF-beta3,
one of the three TGF-beta isoforms, on BMP-2 in promoting osteogenic
differentiation. Exogenous BMP-2 and TGF-beta3 genes were separately, and in
combination, overexpressed in rabbit bone marrow-derived mesenchymal stem cells
(rBMSCs). Expression levels of BMP-2 and TGF-beta3 were evaluated using reverse
transcription-polymerase chain reaction (RT-PCR) and Western blotting assays.
Furthermore, the osteogenic differentiation capacities of BMSCs were assessed by
measuring Alizarin Red S staining, an alkaline phosphatase activity assay, and
quantification of the osteogenic-specific genes, Runt-related transcription
factor 2 (Runx2) and Osterix (Osx). Using lentiviral-mediated transfection,
robust co-transfection efficiency of >90% was achieved. RT-PCR and immunoblotting
results indicated a marked elevated expression of BMP-2 and TGF-beta3 in rBMSCs
undergoing co-transfection, compared with transfection with BMP-2 or TGF-beta3
alone, indicating that BMP-2 and TGF-beta3 are synergistically expressed in
rBMSCs. Furthermore, enhanced osteogenic differentiation was observed in rBMSCs
co-transfected with BMP-2/TGF-beta3. The present study successfully delivered BMP
2 together with TGF-beta3 into rBMSCs with high efficiency for the first time.
Furthermore, TGF-beta3 overexpression was demonstrated to enhance the osteogenic
efficacy of BMP-2 in rBMSCs, and vice versa. This provides a potential clinical
therapeutic approach for regenerating the function of osseous tissue, and may
present a promising strategy for bone defect healing.
PMID- 27878267
TI - Distribution, pharmacokinetics and primary metabolism model of tramadol in
zebrafish.
AB - The current study aimed to develop a rapid, robust and adequately sensitive
method for simultaneous determination of the concentration of tramadol and its
active metabolites in zebrafish. The pharmacokinetic and elimination pattern of
tramadol and its major phase I metabolites following oral or intramuscular
administration in zebrafish tissues was achieved using electrospray ionization
quadrupole-time of flight/mass spectrometry (ESI-Q-TOF/MS) and gas
chromatography/mass spectrometry (GC-MS). Following administration, the
metabolisms were detected in the brain, eyes, muscle and gill tissues within 1 h.
Two tramadol metabolites, O- and N-desmethyltramadol, were detected in brain
tissue, with N-desmethyltramadol detected at a higher level. Following GC-MS
detection the curve indicated an initial rapid phase, corresponding to the
detection of the tramadol within 1 min, and reached peak value in the brain at 5
min. Faster drug clearance was detected in low-dose groups, and concentration had
dropped around the to initial level (1.11 ug) at 20 min, but was detectable for
up to 3 h. However, it took 80 min to fall back to the initial value (1.73 ug) in
the high-dose groups, and tramadol was detectable for up to 4 h. This study
developed and validated a simple and high throughput analytical procedure to
determine the distribution and pharmacokinetic profiles of tramadol, and its
primary metabolites in tissues of zebrafish.
PMID- 27878268
TI - Crocus sativus L. protects against SDS-induced intestinal damage and extends
lifespan in Drosophila melanogaster.
AB - Medicinal plants are important sources of potentially therapeutic biochemical
drugs. Crocus sativus L. has been used to treat various diseases in China, the
Republic of Korea and Japan. The present study investigated the protective effect
of C. sativus L. extract in Drosophila melanogaster intestinal immunity. Wild
type flies were fed standard cornmeal-yeast medium and used as controls, and
flies supplemented with 1% C. sativus L. aqueous extract in standard medium were
used as the experimental group. Following the ingestion of the various toxic
compounds, the survival rate of the flies was determined. Cell viability and
levels of reactive oxygen species (ROS) were detected using 7-amino-actinomycin D
and dihydroethidium staining, respectively. The present study demonstrated that
aqueous extracts of C. sativus L. may significantly increase the lifespan and
survival rate of adult flies. Additionally, C. sativus L. may decrease epithelial
cell death and ROS levels, resulting in improved intestinal morphology. These
findings indicated that C. sativus L. had a protective effect against intestinal
injury and may extend the lifespan of Drosophila. Therefore, the findings of the
present study may improve the understanding of clinical researchers on the
complex effects of C. sativus L. in intestinal disorders.
PMID- 27878269
TI - Marmesin-mediated suppression of VEGF/VEGFR and integrin beta1 expression: Its
implication in non-small cell lung cancer cell responses and tumor angiogenesis.
AB - In the present study, we investigated the effects and molecular mechanism of
marmesin, a natural coumarin compound isolated from Broussonetia kazinoki, on non
small cell lung cancer (NSCLC) cell responses and tumor angiogenesis. Marmesin
abrogated mitogen-stimulated proliferation and invasion in both p53 wild-type
A549 and p53-deficient H1299 NSCLC cells. These antitumor activities of marmesin
were mediated by the inactivation of mitogenic signaling pathways and
downregulation of cell signaling-related proteins including vascular endothelial
growth factor receptor-2 (VEGFR-2), integrin beta1, integrin-linked kinase and
matrix metalloproteinases-2. Furthermore, marmesin suppressed the expression and
secretion of VEGF in both NSCLC cells, leading to inhibition of capillary-like
structure formation in human umbilical vein endothelial cells. Collectively,
these findings demonstrate the pharmacological roles and molecular targets of
marmesin in regulating NSCLC cell responses and tumor angiogenesis.
PMID- 27878270
TI - Alteration of histone H3 lysine 9 dimethylation in peripheral white blood cells
of septic patients with trauma and cancer.
AB - The present study aimed to investigate the clinical significance of histone
methylation in sepsis. A total of 43 blood samples from trauma and esophageal
cancer patients with or without sepsis were collected. Immunofluorescence
staining of isolated peripheral white blood cells (WBCs) was conducted. Co
stained 293T cells served as a reference, to allow the levels of histone
methylation in different types of WBCs from patients to be determined.
Immunostaining analyses revealed different levels of histone 3 lysine 9
dimethylation (H3K9me2) in neutrophils (Neu), lymphocytes (Lym), and monocytes
(Mon) from trauma patients. Compared with trauma patients, the levels of H3K9me2
were elevated in the three types of WBCs from cancer patients. When combined with
sepsis, trauma patients demonstrated increased H3K9me2 levels in Neu (P=0.0005)
and Mon (P=0.0002), whereas cancer patients had a significant decrease of H3K9me2
levels in the three types of WBCs (Neu, P=0.0003; Lym, P=0.007; Mon, P=0.007).
The H3K9me2 alterations in patients with trauma and cancer were different with
the occurrence of sepsis. A larger cohort study is warranted to explore the
diagnostic significance and prognostic implications of altered histone
methylation in septic patients.
PMID- 27878271
TI - Estrogen is neuroprotective against hypoglycemic injury in murine N38
hypothalamic cells.
AB - Estrogen (E2) has been demonstrated to possess protective effects from
hypoglycemic toxicity, particularly in the pancreas. In the central nervous
system, several brain regions, such as the hypothalamus, are highly vulnerable to
hypoglycemic injuries that may lead to seizures, coma, and mortality. The present
study performed a novel in vitro assay of hypoglycemic injury to hypothalamic
cells, and is the first study, to the best of our knowledge, to demonstrate that
E2 protects hypothalamic cells from hypoglycemic toxicity. The toxic effects of
hypoglycemia on hypothalamic cells in vitro was determined by performing cell
counts, together with MTT and lactate dehydrogenase assays, using the N38 murine
hypothalamic cell line. Following 24 and 48 h in hypoglycemic conditions, a 60
and 75% reduction in cell number and mitochondrial function was observed, which
reached 80 and ~100% by 72 and 96 h, respectively. E2 treatment prevented the
hypoglycemia-induced loss in cell number and mitochondrial toxicity at 24 and 48
h. However at 72 and 96 h of hypoglycemic conditions, the neuroprotective effects
of E2 on cell number or mitochondrial function was not significant or not present
at all. In order to determine whether E2 exerted its effects through the AKT
signaling pathway, the expression of proline-rich AKT substrate of 40 kDa
(PRAS40) was analyzed. No alterations in PRAS40 expression were observed when N38
cells were exposed to hypoglycemic shock. From the biochemical and molecular data
obtained, the authors speculated that E2 exhibits neuroprotective effects against
hypoglycemic shock in hypothalamic cells, which dissipates with time. Despite
demonstrating no significant effect on total AKT/PRS40 activity, it is possible
that E2 may mediate these neuroprotective effects by upregulating the
phosphorylated-AKT/pPRAS40 signaling pathway. The present study presented, to the
best of our knowledge, the first in vitro model for hypoglycemic toxicity to
hypothalamic cells, and provided evidence to suggest that E2 may protect
hypothalamic cells from the damaging effects of hypoglycemia.
PMID- 27878272
TI - miR-20b reduces 5-FU resistance by suppressing the ADAM9/EGFR signaling pathway
in colon cancer.
AB - Chemoresistance is a major obstacle to cancer therapy including that of colon
cancer (CC). Although the dysregulation of many miRNAs has been implicated in 5
fluorouracil (5-FU) resistance in CC cells, the specific role of miR-20b in
chemoresistance has not been documented. In the present study, we first
determined the expression of miR-20b by RT-PCR and the levels of a disintegrin
and metalloprotease 9 (ADAM9) and epidermal growth factor receptor (EGFR) by
western blotting in CC and adjacent non-cancerous tissues from 5-FU-sensitive or
resistant CC patients. Subsequently, 5-FU-sensitive (HCT116) and -resistant
(HCT116-R) cells were obtained, and the levels of miR-20b, ADAM9 and EGFR were
detected. Meanwhile, the 5-FU resistance of the cells was examined by assessing
cell viability (by MTT assay) and apoptosis (by flow cytometry). After
transfection of miR-20b into HCT116-R cells, drug resistance was reexamined. We
then confirmed the relationship between miR-20b and ADAM9 by luciferase reporter
assay. Finally, 5-FU resistance in HCT116 and HCT116-R cells was compared after
transfection with miR-20b. Our results showed that miR-20b was expressed at lower
levels in the 5-FU-resistant tissues and cells than in the 5-FU-sensitive tissues
and cells. The opposite was the case for expression of ADAM9 and EGFR. In
addition, we demonstrated that ADAM9 is a direct target of miR-20b and that miR
20b decreased the 5-FU resistance of HCT116-R cells. Our findings suggest that
miR-20b reduces 5-FU resistance to induce apoptosis in vitro by suppressing
ADAM9/EGFR in CC cells.
PMID- 27878273
TI - PI3K/Akt signaling is involved in the pathogenesis of bleomycin-induced pulmonary
fibrosis via regulation of epithelial-mesenchymal transition.
AB - Idiopathic pulmonary fibrosis (IPF) is a progressive lung disease characterized
by chronic inflammation, fibroblast proliferation and extracellular matrix
deposition. However, the molecular and cellular mechanisms underlying the
pathogenesis of pulmonary fibrosis remain to be fully elucidated. The
contribution of the phosphoinositide 3-kinase (PI3K)/protein kinase B (Akt)
pathway in fibrotic processes remains to be investigated. The aim of the present
study was to investigate the role of the PI3K/Akt pathway in pulmonary fibrosis.
A rat model of pulmonary fibrosis was induced by intratracheal administration of
bleomycin (BLM), and a specific PI3K/Akt inhibitor, LY294002, was used to assess
the role of the PI3K/Akt pathway in fibrogenesis. The inflammatory and fibrotic
alterations in the lung tissues were evaluated using histological staining and
the hydroxyproline assay. In addition, the concentration of cytokines in
bronchoalveolar lavage fluid and the expression of Akt, phosphorylated (p-)Akt,
epithelial cadherin, alpha smooth muscle actin and vimentin in lung tissues. The
data demonstrated that an increase in the expression levels of p-Akt was involved
in the progression of pulmonary fibrosis and contributed to fibrogenesis.
Administration of the Akt inhibitor significantly attenuated inflammation and
fibrosis, which was accompanied by a reversal of lung fibrosis-associated
epithelial-mesenchymal transition. Taken together, these observations suggest
that the PI3K/Akt pathway serves a central role in the pathophysiology of lung
fibrosis, and is a promising therapeutic target.
PMID- 27878274
TI - Regulatory T cells exhibit neuroprotective effect in a mouse model of traumatic
brain injury.
AB - Traumatic brain injury (TBI) is a major health and socioeconomic problem as it is
associated with high rates of mortality and morbidity worldwide. Regulatory T
cells (Tregs) have been reported to reduce inflammatory response in several
diseases, including myasthenia gravis, viral myocarditis and cerebral infarction.
The present study investigated the role of Tregs in mediating neuro-protective
effects in a mouse model of TBI. Initially, Treg levels were determined, and
compared between the controlled cortical impact (CCI) model for moderate TBI and
the sham group, by using flow cytometry and ELISA. Afterwards, the number of
Tregs was upregulated (by injection) and downregulated (by depletion),
respectively, to elucidate the effect of Tregs in the presence of an inflammatory
reaction and a deficient neurological function and consequently, in the prognosis
of TBI in the mouse. The expression of pro-inflammatory cytokines [tumor necrosis
factor (TNF)-alpha, interleukin (IL)-1beta, IL-6)] and anti-inflammatory
cytokines [IL-10, transforming growth factor (TGF)-beta] in blood and brain
tissues was also measured in the five groups: MUice receiving a saline injection,
mice experiencing Treg depletion, small-dose (SD Tregs, 1.25x105), and mice
receiving different doses of Tregs: Moderate-dose (MD Tregs, 2.5x105) and large
dose (LD Tregs, 5x105), using ELISA and PCR. Co-cultures of Tregs and microglia
were performed to evaluate the expression of pro-inflammatory cytokines and
observe the interaction between the two types of cells. The regulation patterns
in JNK-NF-kappaB pathway by Tregs were also evaluated by western blot analysis.
Treg levels were significantly reduced in TBI mouse group on the 3rd day after
TBI (P<0.05). In the mouse model of TBI, the expression of pro-inflammatory
cytokines (TNF-alpha, IL-1beta, IL-6) was enhanced, while the expression of anti
inflammatory cytokines (IL-10, TGF-beta) was reduced (P<0.05). Tregs exhibited a
suppressive effect on inflammatory reactions. In the MD group, the activation of
microglia cells was markedly inhibited, compared to the activation in SD and LD
groups. The expression of ERK1/2, JNK1/2/3 and NK-kappaB was significantly
downregulated in the MD group. The results indicated that Tregs exhibited
significant neuro-protective effects, suppressing pro-inflammatory responses and
promoting tissue repair after TBI injury in the mouse, specifically by
deactivating the JNK-NF-kappaB pathway. The results of the study show that Tregs
potentially participates in neuro-therapeutic approaches for TBI.
PMID- 27878275
TI - Method for in vitro differentiation of bone marrow mesenchymal stem cells into
endothelial progenitor cells and vascular endothelial cells.
AB - Vascular development is a regulated process and is dependent on the participation
and differentiation of many cell types including the proliferation and migration
of vascular endothelial cells and differentiation of endothelial progenitor cells
(EPCs) to mesodermal precursor cells. Thus, reconstitution of this process in
vitro necessitates providing ambient conditions for generating and culturing EPCs
in vitro and differentiating them to vascular endothelial cells. In the present
study, we developed methods to differentiate bone marrow mesenchymal stem cells
(MSC) into EPCs and to vascular endothelial cells. Bone marrow MSC from canines
and human sources were differentiated in vitro in to EPCs. These EPCs were able
to express a variety of endothelial markers following 7 days in culture. Further
culturing led to the appearance of an increased number and proportion of
endothelial cells. These cells were stable even after 30 generations in culture.
There was a gradual loss of CD31 and increased expression of factor VIII, VEGFR
and CD133. VEGF being highly angiogenic, helps in the vascular development. These
results provide the basis for the possible development of vasculature in vitro
conditions for biomedical applications and in vivo for organ/tissue
reconstruction therapies.
PMID- 27878277
TI - Synthetic paclitaxel-octreotide conjugate reverses the resistance of paclitaxel
in A2780/Taxol ovarian cancer cell line.
AB - The high mortality of ovarian cancer is partly due to the frequent resistance of
ovarian cancer to current chemotherapy agents such as paclitaxel and platinum.
Somatostatin analogue (SSTA) has been shown to inhibit the proliferation of some
tumors through binding to somatostatin receptor (SSTR) and activation of Ras-,
Rapl- and B-Raf-dependent extracellular signal-regulated kinase 2 (Erk2). It was
reported that paclitaxel-octreotide conjugate (POC) exhibited enhanced tumor
growth inhibition with reduced toxicity. In the present study, we prepared the
POC and investigated its effects and mechanism for the reversal of drug
resistance in paclitaxel-resistant ovarian cancer cell line. We demonstrated that
treatment with POC led to more cell apoptosis than either paclitaxel or
octreotide (OCT) alone. Moreover, the expression of multidrug resistance 1 (MDR1)
and vascular endothelial growth factor (VEGF) mRNA, and protein decreased in a
dose-dependent manner while the expression of SSTR remained stable following
treatment with POC. Although the exact action, in vivo effects and pharmacologic
kinetics of POC remain to be investigated, we have demonstrated the feasibility
for the synthesis of POC, and more significantly, provided a potential approach
to overcome the resistance of ovarian cancer against taxol. The findings also
shed some new light on the mechanisms underlying the development of resistance to
taxol by ovarian cancer cells.
PMID- 27878276
TI - Expression pattern of HMGB1 and its association with autophagy in acute
necrotizing pancreatitis.
AB - High-motility group box protein 1 (HMGB1) has an important role in autophagy;
however, its exact role in acute necrotizing pancreatitis (ANP) remains unknown.
The present study aimed to investigate the expression pattern of HMGB1 in ANP,
and to determine its association with autophagy. Sprague Dawley rats (weight,
350+/-30 g, n=48) were randomly divided into control (n=12) and experimental
(n=36) groups. Experimental rats were retrogradely injected with 5% sodium
taurocholate into the biliopancreatic duct to induce ANP. Control rats received
an equal amount of saline. Serum amylase levels were used to determine whether
the model had been successfully generated. Autophagosomes in pancreatic acinar
cells were observed under electron microscopy. The expression levels of HMGB1 and
Beclin 1 were detected in pancreatic tissues by western blotting, quantitative
polymerase chain reaction and immunohistochemistry. HMGB1 levels were also
determined in the serum and in isolated nuclei. The results demonstrated that
autophagy was detected at 3 h post-ANP induction; however, HMGB1 expression
remained unaltered during the early stage (0-6 h; P>0.05). HMGB1 expression was
significantly increased at 12 h, and was still increasing at 24 h (P<0.05).
Notably, HMGB1 was increased in the nuclei compared with in the cytoplasm at 3-6
h. Furthermore, serum HMGB1 levels began to increase at 3 h, and reached the
highest levels at 24 h in the ANP group. In conclusion, in an ANP model, HMGB1
was initially increased in the nuclei to initiate autophagy. Subsequently, it
moved into the cytoplasm, where it interacted with Beclin 1 to enhance autophagy,
and HMGB1 was released into the blood, leading to the deterioration of ANP.
PMID- 27878278
TI - Alteration of epoxyeicosatrienoic acids in the liver and kidney of cytochrome
P450 4F2 transgenic mice.
AB - Arachidonic acid (AA) can be metabolized into 20-hydroxyeicosatetraenoic acid (20
HETE) by omega-hydroxylases, and epoxyeicosatrienoic acids (EETs) by
epoxygenases. The effects of EETs in cardiovascular physiology are vasodilatory,
anti-inflammatory and anti-apoptotic, which are opposite to the function to 20
HETE. However, EETs are not stable in vivo, and are rapidly degraded to the
biologically less active metabolites, dihydroxyeicosatrienoic acids, via soluble
epoxide hydrolase (sEH). Western blotting, reverse transcription-quantitative
polymerase chain reaction and liquid chromatography tandem mass spectrometry were
performed in order to determine target RNA and protein expression levels. In the
present study, it was demonstrated that the disturbed renal 20-HETE/EET ratio in
the hypertensive cytochrome P450 4F2 transgenic mice was caused by the activation
of sEH and the repression of epoxygenase activity. In addition, 20-HETE showed an
opposite regulatory effect on the endogenous epoxygenases in the liver and
kidney. Given that 20-HETE and EETs have opposite effects in multiple disease,
the regulation of their formation and degradation may yield therapeutic benefits.
PMID- 27878279
TI - Zoledronic acid overcomes adriamycin resistance in acute myeloid leukemia cells
by promoting apoptosis.
AB - Zoledronic acid (ZOL), a nitrogen-containing bisphosphonate, is widely used in
metastatic bone disease. Previous studies indicate that ZOL has marked anti
leukemia activity, however, the underlying mechanism of action remains to be
elucidated. The present study aimed to explore the mechanism of the anti-leukemia
effect of ZOL in leukemia cells. It was observed that ZOL inhibited the
proliferation of HL-60 and adriamycin-resistant HL-60 (HL-60/A) cells using a WST
8 assay. An Annexin V-propidium iodide indicated that ZOL induced apoptosis of
the two cell types in a dose- and time-dependent manner. Hoechst 33342 staining
was also used to verify the levels of apoptosis. The colony formation assay
demonstrated that ZOL significantly inhibited colony formation capacity in acute
myeloid leukemia (AML) cells. This was achieved by the induction of S-phase cell
cycle arrest, downregulation of B-cell lymphoma 2 (Bcl-2) and upregulation of Bcl
2 associated X protein and cleaved poly (ADP-ribose) polymerase. The results
indicate that ZOL inhibited cell proliferation by inducing apoptosis via the
mitochondrial apoptotic pathway and this anti-leukemic activity appeared notably
enhanced in HL-60/A cells. As ZOL is already available for clinical use, these
results indicate that it may be an effective addition to the chemotherapeutic
strategies for AML.
PMID- 27878280
TI - Loss of miR-638 promotes invasion and epithelial-mesenchymal transition by
targeting SOX2 in hepatocellular carcinoma.
AB - Aberrant expression of microRNAs has been identified as regulators of biological
processes of hepatocellular carcinoma (HCC) by negatively regulating protein
coding mRNAs. Several studies have demonstrated that miR-638 expression was
dysregulated in various human cancers. However, the clinical significance and
underlying mechanisms of miR-638 involved in HCC remain to be elucidated. Herein,
we confirmed that a reduced miR-638 expression was present in HCC tissues and
cell lines. Our clinical analysis revealed that the downregulated miR-638
expression was significantly correlated with poor prognostic features including
high Edmondson-Steiner grade, venous infiltration and advanced tumor-node
metastasis (TNM) stage. Moreover, we demonstrated that miR-638 was a novel
independent prognostic marker for predicting 5-year survival of HCC patients.
Functionally, overexpressed miR-638 expression inhibited cell migration and
invasion, while downregulated miR-638 reversed the effect. In addition, miR-638
could regulate SOX2 by directly binding to its 3'-UTR. Alternation of SOX2
expression at least partially abolished the migration and invasion effects of miR
638 on HCC cells. Aberrant miR-638 expression could regulate the expression level
of epithelial-to-mesenchymal transition markers in vitro and in vivo by
modulating SOX2 expression. In conclusion, our data indicated that miR-638
functioned as a tumor suppressor gene and play a critical role in the development
of HCC.
PMID- 27878281
TI - Etomidate inhibits nuclear factor-kappaB through decreased expression of
glucocorticoid receptor in septic rats.
AB - The present study aimed to investigate the effect of etomidate administered prior
to or following cecal ligation and puncture (CLP) on the expression of
glucocorticoid receptor (GR) and lymphocyte apoptosis in septic rats. Right
jugular vein catheterization was performed on female Sprague-Dawley rats under
isoflurane anesthesia, and CLP surgery was performed to induce sepsis 3 days
following catheterization. The rats were randomly divided into five groups. All
groups were infused with 2 ml of either etomidate or 50/00 dimethyl sulfoxide
(DMSO) at 1 ml/h for 2 h from 6 h post-surgery. The sham group received abdominal
sham surgery and infusion with DMSO; the CLP control group received infusion with
DMSO. Treatment group A received infusion with 2 mg/kg etomidate; group B
received 0.6 mg/kg etomidate following CLP and an infusion of 2 mg/kg etomidate.
Group C received 0.6 mg/kg etomidate 24 h prior to CLP and post-surgical
etomidate infusion. The 10-day survival rates of the rats in the CLP, A, B and C
groups were 60, 50, 55 and 40%, respectively. The serum mRNA expression levels of
tumor necrosis factor-alpha, GR and glucocorticoid-induced leucine zipper were
detected by reverse transcription-quantitative polymerase chain reaction, the
abundance of inhibitor of nuclear factor (NF)-kappaB-alpha was measured by
western blotting, and the apoptotic rates of the splenic lymphocytes were
determined using flow cytometry. The results suggested that etomidate inhibited
NF-kappaB by decreasing the expression of GR in the septic rats. The increased
apoptosis of lymphocytes induced by etomidate may lead to a poor outcome during
sepsis.
PMID- 27878282
TI - The importance of mitochondrial folate enzymes in human colorectal cancer.
AB - Folate plays a pivotal role in the one-carbon metabolism needed for methylation
reactions, nucleotide synthesis, and DNA repair. Although folate metabolism was
recently shown to be associated with carcinogenesis in some solid tumors, the
importance of folate metabolism in colorectal cancer remains unclear. In the
present investigation we found that expression of three mitochondrial folate
metabolic enzymes, serine hydroxymethyl transferase (SHMT2),
methylenetetrahydrofolate dehydrogenase (MTHFD2) and aldehyde dehydrogenase 1
family member L2 (ALDH1L2), were upregulated in human colorectal tumor tissues
compared to normal tissues. Colorectal cancer tissue samples were obtained from
117 consecutive patients. We evaluated the expression of the enzymes with
immunohistochemical analysis and determined their relevance to
clinicopathological characteristics and prognosis. Rates of recurrence-free
survival (RFS) and overall survival (OS) in patients with high expression of
SHMT2, MTHFD2 and ALDH1L2 tended to be lower than in patients with low expression
of SHMT2, MTHFD2 and ALDH1L2 (P=0.446 and P=0.337, P=0.099 and P=0.064, P=0.178
and P=0.257, respectively). Notably, the combined high expression of SHMT2,
MTHFD2 and ALDH1L2 (triple high) was more highly associated with poor prognosis
than the individual expression levels (RFS; P=0.004 and OS; P=0.037). A
multivariate analysis showed that triple high expression was independently
associated with RFS (P=0.017). These findings suggested that mitochondrial folate
metabolic enzymes could provide a potential therapeutic strategy for treating
colorectal cancer.
PMID- 27878283
TI - HES5 promotes cellular proliferation of non-small cell lung cancer through STAT3
signaling.
AB - HES5 is a transcription factor activated downstream of the Notch pathway and
regulates cell differentiation in multiple tissues. Disruption of its normal
expression has been associated with developmental diseases and cancer. But its
role in non-small cell lung cancer (NSCLC) remains elusive. Western blot analysis
and immunohistochemistry assays demonstrated that HES5 expression was frequently
increased in NSCLC tumor tissues and cell lines. Moreover, immunohistochemistry
analysis revealed that upregulation of HES5 expression was positively correlated
with a more invasive tumor phenotype and poor prognosis. Immunoprecipitation
assay indicated that HES5 directly interacted with STAT3. In addition, depletion
of HES5 resulted in inhibited phosphorylation of STAT3 and decreased expression
of the downstream genes. In vitro studies, using serum starvation-refeeding
experiment and HES5-siRNA transfection assay demonstrated that HES5 expression
promoted proliferation of NSCLC cells, while HES5 knockdown caused growth arrest
of cell cycle at G0/G1 phase, decreased rate of colony formation and alleviated
cellular apoptosis. Taken together, out data have delineated that HES5 might
contribute to the proliferation of NSCLC by STAT3 signaling.
PMID- 27878284
TI - Antitumor effect of the combination of manumycin A and Immodin is associated with
antiplatelet activity and increased granulocyte tumor infiltration in a 4T1
breast tumor model.
AB - Manumycin A is a natural antibiotic isolated from Streptomyces parvulus with
broad range of biological activities including antineoplastic activity in several
in vitro and in vivo cancer models. Immodin [dialyzable leukocyte extract (DLE)]
is a dialysate released from disintegrated blood leukocytes of healthy donors
which exerts immunonormalizing effects on cell-mediated immune responses. The aim
of the present study was to explore the antitumor potential of the combination of
manumycin A and Immodin in an experimental breast cancer model. Experiments were
carried using a 4T1 tumor-bearing BALB/c mouse model. Survival analysis, tumor
growth, hematological and biochemical profiles, leukocyte differential,
phagocytic activity of leukocytes and histology of the primary tumor were
examined. The combination treatment suppressed the tumor growth and prolonged the
survival of tumor-bearing mice, decreased the number of monocytes, plateletes and
plateletcrit in peripheral blood of the tumor-bearing mice and increased the
infiltration of neutrophils and eosinophils in the primary tumor. Moreover,
individual therapies enhanced the phagocytic activity of monocytes and
neutrophils. These findings demonstrate the antitumor effect of the combination
of manumycin A and Immodin in 4T1 tumor-bearing mice associated with strong
antiplatelet activity and innate immunity activation.
PMID- 27878285
TI - Trichostatin A increases radiosensitization of tongue squamous cell carcinoma via
miR-375.
AB - Trichostatin A (TSA), a histone deacetylase inhibitor, is used as an anti
carcinogenic and radiosensitizing agent in various cancers. However, the role and
mechanism underlying its radiosensitization of tongue squamous cell carcinoma
(TSCC) remains unclear. Thus, in this study we aimed to confirm the promotion of
miR-375 expression by TSA, and to investigate the effects of TSA and miR-375 in
the radiosensitivity of TSCC cells. The results showed that TSA had significant
radiosensitizing effects on TSCC cells and miR-375 overexpression had effects
similar to TSA in sensitizing these cells to radiotherapy. By contrast, miR-375
knockdown attenuated apoptosis induced by radiation combined with TSA.
Mechanistically, the histone acetylation status of the miR-375 promoter region
was increased by TSA, resulting in the upregulation of miR-375, which led to a
decline of PDK1 and phosphorylated AKT. Taken together, our data suggest that TSA
increases the radiosensitization and apoptosis in TSCC cells at least partially
via miR-375, and TSA or miR-375 in combination with radiotherapy may provide a
valuable approach for the treatment of TSCC.
PMID- 27878286
TI - Obesity-associated miR-148a is regulated by cytokines and adipokines via a
transcriptional mechanism.
AB - Our previous study revealed that miR-148a, a cyclic adenosine monophosphate
response element binding protein-modulated microRNA that promotes adipocyte
differentiation by inhibiting Wnt1, is a biomarker of obesity in human subjects
and a mouse model. The present study investigated the expression of miR-148a in
human adipose tissue-derived mesenchymal stem cells (hMSCs-Ad) in response to
inflammatory cytokines and adipokines to clarify its underlying mechanism. miR
148a expression was detected using reverse transcription-quantitative polymerase
chain reaction analysis and its promoter activity was detected with a luciferase
assay. miR-148a expression levels decreased when differentiated hMSCs-Ad were
exposed to inflammatory cytokines or adipokines, which suggested that miR-148a
may be important in adipocyte metabolism and inflammation. Furthermore, the
promoter activity of miR-148a decreased following treatment of cells with
inflammatory cytokines or adipokines. The results of the present study indicated
a novel role of miR-148a in adipocyte inflammation; therefore, miR-148a may be
involved in obesity complications via its own underlying transcriptional
mechanism.
PMID- 27878287
TI - PDK1 promotes tumor cell proliferation and migration by enhancing the Warburg
effect in non-small cell lung cancer.
AB - Tumor cells prefer glycolysis (Warburg effect) during the proliferation and
metastasis. The precise mechanism remains largely unknown. Here, we demonstrated
that pyruvate dehydrogenase kinase 1 (PDK1) was a critical enzyme that functioned
as an oncogene to promote non-small cell lung cancer (NSCLC) growth and
metastasis. We discovered that PDK1 expression was significantly upregulated in
NSCLC tissues and correlated with advanced T stage. Moreover, high expression of
PDK1 was an independent prognostic factor of NSCLC. Ectopic overexpression of
PDK1 promoted cell proliferation and inhibited apoptosis. Also it was shown that
PDK1 increased the cell mobility when Transwell assay was performed. Further
experiments indicated that PDK1 had a central role in metabolic reprogramming by
phosphorylating pyruvate dehydrogenase, leading to enhanced Warburg effect.
Collectively, our data reveal a new function for PDK1, which could be used to
indicate the prognosis of NSCLC, and provide targeted therapeutic strategy for
clinical treatment.
PMID- 27878288
TI - MicroRNA-6826 and -6875 in plasma are valuable non-invasive biomarkers that
predict the efficacy of vaccine treatment against metastatic colorectal cancer.
AB - Various vaccine treatments against metastatic colorectal cancer have been
developed and applied. However, to improve the efficacy of immunotherapy,
biomarkers that can predict the effects are needed. It has been reported that
various microRNAs (miRNAs) in peripheral blood may be useful as non-invasive
biomarkers. In this study, miRNAs influencing the efficacy of vaccine treatment
were screened for in a microarray analysis of 13 plasma samples that were
obtained from patients prior to vaccine treatment. To validate the screening
results, real-time RT-PCR was performed using 93 plasma samples obtained from
patients prior to vaccine treatment. Four candidate miRNAs were selected
according to the results of the comprehensive analysis of miRNA expression, which
were ranked using the Fisher criterion and the absolute value of the log2 ratio
in the screening analysis. The validation analysis showed that in the HLA-A*2402
matched patient group (vaccine-treated group), patients with a high expression of
plasma miR-6826 had a poorer prognosis than those with a low expression
(P=0.048). In contrast, in the HLA-A*2402-unmatched patient group (control
group), there was no difference between the patients with high or low plasma miR
6826 expression (P=0.168). Similar results were obtained in the analysis of miR
6875 (P=0.029 and P=0.754, respectively). Moreover, multivariate analysis of the
Cox regression model indicated that the expression of miR-6826 was the most
significant predictor for overall survival (P=0.003, hazard ratio, 3.670). In
conclusion, plasma miR-6826 and miR-6875 may be predictive biomarkers for a poor
response to vaccine treatment. Although further clarification is needed regarding
the functions of miR-6826 and miR-6875 and their relationship to immune-related
molecules, plasma miR-6826 and miR-6875 may be useful negative biomarkers for
predicting the efficacy of vaccine treatment.
PMID- 27878289
TI - Oncogenic function of Plac1 on the proliferation and metastasis in hepatocellular
carcinoma cells.
AB - Placenta-specific protein 1 (Plac1), which is selectively expressed in the
placental syncytiotrophoblast in adult normal tissues, plays an essential role in
normal placental and embryonic development. Accumulating evidence suggests that
enhanced Plac1 expression is closely associated with the progression of human
cancer. Whether Plac1 contributes to the pathophysiology of hepatocellular
carcinoma (HCC) remains unclear. In the present study, our data revealed that the
expression of Plac1 in human HCC tissues was upregulated, which significantly
correlated with metastasis of HCC. Knockdown of Plac1 by small interfering RNA
(siRNA) in Bel-7402 and HepG2 cells resulted in decreasing tumor cell
proliferation and increasing apoptosis, which implied the oncogenic potential of
Plac1. Moreover, silencing of Plac1 induced G1 cell cycle arrest through
suppression of cyclin D1 and CDK4 expression. Furthermore, depletion of Plac1
repressed epithelial-mesenchymal transition (EMT), with decreased cell migration
and invasion, supporting upregulated E-cadherin expression and downregulated
vimentin, twist and snail expression that characterize EMT. Further study
suggested that decreased Plac1 expression attenuated the phosphorylation of Akt.
These findings have uncovered that Plac1 plays a pivotal role in the progression
of HCC, and may serve as a novel therapeutic target for HCC.
PMID- 27878290
TI - (-)-Oleocanthal exerts anti-melanoma activities and inhibits STAT3 signaling
pathway.
AB - Tumor angiogenesis, growth and metastasis are three closely related processes. We
therefore explored the effects of (-)-oleocanthal (OC) on the three processes in
melanoma and investigated underlying mechanisms. In vitro, OC suppressed
proliferation, migration, invasion and induced apoptosis in melanoma cells. In
addition, OC inhibited proliferation, migration, invasion and tube formation in
human umbilical vascular endothelial cells. In vivo, it exhibited potent activity
in suppressing tumor growth in a subcutaneous xenograft model. Furthermore, OC
suppressed proliferation and angiogenesis as measured by immunohistochemical
staining of Ki-67 and CD31. In addition, OC was found to inhibit metastasis of
melanoma in a lung metastasis model. Mechanistically, OC significantly suppressed
signal transducer and activator of transcription 3 (STAT3) phosphorylation,
decreased STAT3 nuclear localization and inhibited STAT3 transcriptional
activity. OC also downregulated STAT3 target genes, including Mcl-1, Bcl-xL, MMP
2, MMP-9, VEGF, which are involved in apoptosis, invasion and angiogenesis of
melanoma. These results support further investigation of OC as a potential anti
melanoma drug.
PMID- 27878291
TI - Adenovirus-mediated truncated Bid overexpression induced by the Cre/LoxP system
promotes the cell apoptosis of CD133+ ovarian cancer stem cells.
AB - Cancer stem cells are a small subset of cancer cells that contribute to cancer
progression, metastasis, chemoresistance and recurrence. CD133-positive (CD133+)
ovarian cancer cells have been identified as ovarian cancer stem cells.
Adenovirus-mediated gene therapy is an innovative therapeutic method for cancer
treatment. In the present study, we aimed to develop a new gene therapy to
specifically eliminate CD133+ ovarian cancer stem cells by targeting CD133. We
used the Cre/LoxP system to augment the selective expression of the truncated Bid
(tBid) gene as suicide gene therapy in CD133+ ovarian cancer stem cells. The
adenovirus (Ad)-CD133-Cre expressing Cre recombinase under the control of the
CD133 promoter and Ad-CMV-LoxP-Neo-LoxP-tBid expressing tBid under the control of
the CMV promoter were successfully constructed using the Cre/LoxP switching
system. The co-infection of Ad-CMV-LoxP-Neo-LoxP-tBid and Ad-CD133-Cre
selectively induced tBid overexpression, which inhibited cell growth and
triggered the cell apoptosis of CD133+ ovarian cancer stem cells. The Cre/LoxP
system-mediated tBid overexpression activated the pro-apoptotic signaling pathway
and augmented the cytotoxic effect of cisplatin in CD133+ ovarian cancer stem
cells. Furthermore, in xenograft experiments, co-infection with the two
recombinant adenoviruses markedly suppressed tumor growth in vivo and promoted
cell apoptosis in tumor tissues. Taken together, the present study provides
evidence that the adenovirus-mediated tBid overexpression induced by the Cre/LoxP
system can effectively eliminate CD133+ ovarian cancer stem cells, representing a
novel therapeutic strategy for the treatment of ovarian cancer.
PMID- 27878292
TI - BMI-1 interacts with sMEK1 and inactivates sMEK1-induced apoptotic cell death.
AB - The B lymphoma Mo-MLV insertion region 1 homolog (BMI-1) protein is activated in
various types of tumors and associated with cancer development and tumor
progression. However, the working role of BMI-1 in cellular signaling is not
understood completely. In this study, we revealed one possible biologic mechanism
of BMI-1 in cancer progression in vitro using a human ovarian tumor cell system.
Suppressor of MEK1 (sMEK1), a pivotal regulator involved in the cellular
biological response mechanism, was identified as a BMI-1-binding protein. Ectopic
expression of BMI-1 activated cell growth by reducing sMEK1-stimulated apoptotic
cell death and suppressing p21, p27 and p53 expression, while enhancing cyclin
D1, CDK4 and Bcl-2 expression. The effect of BMI-1 on cell cycle and apoptotic
regulatory proteins was also confirmed via silencing of BMI-1 expression.
Subsequently, the promoter activities of p21 and p53 were inactivated
significantly. However, BMI-1 overexpression noticeably increased Bcl-2 and NF
kappaB activities. In addition, BMI-1 activated the PI3K/mTOR/4E-BP1 signaling
pathways, and sMEK1 significantly inhibited BMI-1-stimulated oncogenesis. These
insights provide evidence that BMI-1 activates cell growth and suppresses
apoptosis. Collectively, our data indicate that BMI-1 plays a pivotal role in the
progression of ovarian cancer, thus representing a novel target for antitumor
therapy of ovarian cancer.
PMID- 27878293
TI - Stathmin1 overexpression in hypopharyngeal squamous cell carcinoma: A new
promoter in FaDu cell proliferation and migration.
AB - Stathmin1, a microtubule-destabilizing phosphoprotein, is considered to play a
crucial role in regulating cellular microtubule dynamics and controlling mitosis.
Previous studies have showed that STMN1 is highly expressed in many human
malignancies and is related to development, invasion and metastasis of tumors.
However, its expression pattern, clinical performance and functional roles in
hypopharyngeal squamous cell carcinoma (HSCC) have not been addressed. In this
study, we found that STMN1 was significantly elevated in HSCC and its expression
level was correlated with poor differentiation (P<0.001), clinical stage
(P<0.001), large tumor size (P=0.001) and lymph node metastasis (P=0.008). A
positive correlation between STMN1 and Ki-67 expression was also exhibited. High
STMN1 expression predicted poor survival. Furthermore, we found that knockdown of
STMN1 by siRNAs inhibited the FaDu cell proliferation and migration. Moreover,
decreased STMN1 expression in FaDu cells reversed the acquisition of EMT
phenotype by upregulating E-cadherin, as well as reduced vimentin expression at
protein and mRNA levels. These results suggested that STMN1 plays an important
role in proliferation and migration of HSCC and may be used as a potential
prognostic biomarker or therapeutic target of HSCC.
PMID- 27878294
TI - Arctigenin, a lignan from Arctium lappa L., inhibits metastasis of human breast
cancer cells through the downregulation of MMP-2/-9 and heparanase in MDA-MB-231
cells.
AB - Arctigenin is a bioactive lignan isolated from the seeds of Arctium lappa L.
which has been widely used as a diuretic and a diaphoretic in Traditional Chinese
Medicine. In the present study, the authors investigated the effects of
arctigenin on tumor migration and invasion in aggressive human breast cancer
cells. The MTT assay results showed that arctigenin did not show a significant
cytotoxic effect on the cell viability of MDA-MB-231 cells. However, wound
healing migration and Boyden chamber invasion assays demonstrated that arctigenin
significantly inhibited in vitro migration and invasion of the MDA-MB-231 cells.
Furthermore, gelatin zymography results showed that arctigenin reduced the
activity of MMP-2 and MMP-9. Western blot analysis results demonstrated that the
expression of MMP-2, MMP-9 and heparanase proteins was significantly
downregulated following the treatment of arctigenin. Finally, the antiangiogenic
activity of arctigenin was also examined by the chick embryo chorioallantoic
membrane (CAM) assay. Arctigenin treatment significantly inhibited angiogenesis
in the CAM. In conclusion, the results revealed that arctigenin significantly
inhibited the migration and invasion of MDA-MB-231 cells by downregulating MMP-2,
MMP-9 and heparanase expression. However, further studies are still necessary to
investigate the exact mechanisms involved and to explore signal transduction
pathways to better understand the biological mechanisms.
PMID- 27878295
TI - Silencing of the long non-coding RNA NEAT1 suppresses glioma stem-like properties
through modulation of the miR-107/CDK6 pathway.
AB - Developing novel strategies against glioma remains a significant challenge. Long
non-coding RNA nuclear paraspeckle assembly transcript 1 (NEAT1) significantly
contributes to the progression of many human cancers, while glioma stem cells
(GSCs) are believed to be responsible for tumor progression. However, whether
NEAT1 alters the stem-like properties of GSC cells remains unknown. Using
microbeads, CD133+ cells were isolated and were found to possess glioma stem cell
properties. Using siRNA, NEAT1 was knocked down in the sorted CD133+ U87 glioma
cells. We found higher NEAT1 RNA expression in CD133+ human glioma primary
culture stem cells and CD133+ U87 cells via RT-PCR. Moreover, NEAT1 knockdown in
the CD133+ U87 cells resulted in decreased colony formation, increased G1 cell
cycle arrest and apoptosis. In addition, these effects were accompanied by miR
107 activation and inactivation of CDK6 protein. These results strongly suggest
that NEAT1 knockdown suppresses stem-like properties in glioma cells by
modulating the miR-107CDK6 pathway. Targeting NEAT1 may provide a novel
therapeutic opportunity for developing a relapse-free treatment of glioma
patients.
PMID- 27878296
TI - Pseudolaric acid B inhibits the secretion of hepatitis B virus.
AB - High hepatitis B virus (HBV) load and chronic hepatitis B infection increase the
risk of developing hepatocellular carcinoma (HCC), and is also associated with
recurrence of HBV-related HCC. The aim of the present study was to investigate
whether pseudolaric acid B (PAB), a diterpene acid isolated from the root and
trunk bark of Pseudolarix kaempferi Gordon (Pinaceae), has an inhibitory role on
the HBV secretion in HBV-related HCC. By detecting HBV surface antigen (HBsAg) by
ELISA it was found that PAB inhibited HBV secretion in HepG2215 compared to
control group, but did not decrease the intracellular HBV level, and the results
were repeated in HepG2 cell transfect with HBV gene. Therefore, our results
proved that PAB had the ability to inhibit HBV secretion. Moreover, it was shown
that HepG2215 cells with HBV gene accumulated more in G0/G1 phase than HepG2
cells without HBV gene through detecting cell cycle distribution by flow
cytometry, which indicated that HBV replication might favor the cell cycle
environment of G0/G1 phase. However, HepG2 cells entered G2/M phase earlier than
HepG2215 when PAB treatment induced G2/M arrest, therefore, HBV retarded the
entry of G2/M to sustain the status of G0/G1 phase, while PAB finally changed the
cell cycle environment favored by HBV virus. In addition, PAB also induced
HepG2215 cell apoptosis, which would be helpful to kill the cells infected by HBV
and help for devouring HBV by macrophage. Therefore, PAB inhibited HBV secretion
through apoptosis and cell cycle arrest. The present findings contribute to a
future potential chemotherapeutic drug in the treatment of HBV-related HCC.
PMID- 27878297
TI - Hydroxyproline-containing collagen peptide derived from the skin of the Alaska
pollack inhibits HIV-1 infection.
AB - The human immunodeficiency virus (HIV) is a lentivirus that results in acquired
immunodeficiency syndrome (AIDS). HIV treatment involving chemical therapeutic
agents has improved the quality of life of HIV/AIDS patients. The present study
demonstrates that a hydroxyproline-containing marine collagen peptide (APHCP)
derived from Alaska pollack inhibits HIV-1 infection in the MT-4 human T cell
line. APHCP inhibited HIV-1IIIB-induced cell lysis, syncytia formation, reverse
transcriptase activity and viral p24 production at non-cytotoxic concentrations;
however, APHCP did not inhibit HIV-2ROD infection in MT-4 cells. This suggests
that the anti-HIV activity of APHCP is specific to HIV-1. In addition,
substitution of hydroxyproline residues in APHCP with prolines impaired its anti
HIV-1 activity, suggesting that the hydroxyl group of hydroxyprolines is required
for the anti-HIV-1 activity of APHCP. These results suggested that the marine
peptide APHCP may be a novel drug candidate in the development of next-generation
therapeutic agents for the treatment of HIV/AIDS.
PMID- 27878298
TI - TRAIL overexpression co-regulated by Egr1 and HRE enhances radiosensitivity of
hypoxic A549 cells depending on its apoptosis inducing role.
AB - Ionizing radiation can upregulate the expression levels of TRAIL and enhance
tumor cell apoptosis. While Early growth response 1 (Egr1) gene promoter has
radiation inducible characteristics, the expression for exogenous gene controlled
by Egr1 promoter could be enhanced by ionizing radiation, but its efficiency is
limited by tissue hypoxia. Hypoxia response elements (HREs) are important hypoxic
response regulatory sequences and sensitivity enhancers. Therefore, we chose
TRAIL as the gene radiotherapy to observe whether it is regulated by Egr1 and HER
and its effects on A549 cells and its mechanism. The pcDNA3.1-Egr1-TRAIL (pc-E
hsT) and pcDNA3.1-HRE/Egr1-TRAIL (pc-H/E-hsT) plasmids containing Egr1-hsTRAIL
and HRE/Egr1-hsTRAIL were transfected into A549 cells, the cells were treated by
hypoxia and radiation. The TRAIL mRNA in the cells and protein concentration in
the culture supernatants were measured by RT-PCR and ELISA, respectively. Mean
lethal dose D0 value was evaluated with colony forming assay. The cell apoptotic
rates were analyzed by FCM and TUNEL assay. Expression of DR4, DR5 and cleaved
caspase-3 proteins were analyzed by western blotting. It showed that TRAIL mRNA
expression and TRAIL concentration all significantly increased under hypoxia
and/or radiation. D0 value of pc-H/E-hsT transfected cells under hypoxia was
lowest, indicating more high radiosensitivity. Hypoxia could not cause the pc-E
hsT transfected cell apoptotic rate increase, but there were promoting effects in
pc-H/E-hsT transfected cells. DR4 had not obvious change in pc-E-hsT and pc-H/E
hsT transfected cells under normoxic and hypoxic condition, otherwise, DR5 and
cleaved caspase-3 increased mostly in pc-H/E-hsT transfected cells under hypoxic
condition. TRAIL overexpression was co-regulated by Egr1 and HRE. TRAIL might
promote hypoxic A549 cell radiosensitivity and induce apoptosis depending on DR5
to caspase-3 pathways.
PMID- 27878299
TI - Antioxidant and antitumor activities of Capparis spinosa L. and the related
mechanisms.
AB - The 'ethnodrug' Capparis spinosa L. has several pharmacological activities.
First, it was found in previous experiments that an ethyl acetate extract of
Capparis spinosa L. (CSE) exhibited antioxidant activity. In order to further
research this finding, the present study investigate the blood biochemical
indices, injury, energy metabolism, oxidative damage and mitochondrial membrane
potential (Deltapsim) level of cardiac cells to study the effect of CSE on
doxorubicin-induced cardiac toxicity. CSE had protective effects on the cardiac
toxic effect of doxorubicin, and decreased the activity of lactic dehydrogenase
(LDH) and creatine kinase (CK). CSE increased the ability of myocardial tissue to
scavenge free radicals, inhibited lipid peroxidation, increased recovery activity
of antioxidant enzymes, adjusted the energy metabolism of myocardial tissue,
inhibited the generation of a large number of ROS in the cells, raised the level
of Deltapsim, and improved the metabolism of free radicals. CSE demonstrated
protective effects on doxorubicin-induced myocardial damage. Second, the
quaternary ammonium hydroxide of Capparis spinosa L. (CSQAH) was found to possess
antitumor activity, such as antiproliferative and apoptosis-induced effects on
HepG2 cells. We investigated the regulatory mechanism of HepG2 apoptosis induced
by CSQAH. Laser scanning confocal microscope and Fluo-3/AM staining were utilized
to detect the Ca2+ concentration in the HepG2 cells. A microplate reader was used
to measure the changes in Ca2+-Mg2+-ATP enzyme. Then, flow cytometry was applied
to analyze the activity of ROS and the expression levels of Bcl-2 and Bax. As a
result, different concentrations of CSQAH increased the concentration of Ca2+ in
the cytoplasm in a dosage-dependent manner. CSQAH decreased the Ca2+-Mg2+-ATPase
activity in the HepG2 cells. The levels of ROS in the CSQAH groups were
significantly higher than the level in the control group. Flow cytometric
analysis showed that the Bcl-2 expression levels in the CSQAH-treated groups were
downregulated, while Bax expression levels were upregulated, and the effects were
dosage-dependent. The regulatory mechanism of HepG2 cell apoptosis induced by
CSQAH involved the increase in Ca2+ concentration and ROS levels, a decrease in
Ca2+-Mg2+-ATPase activity in the HepG2 cells, and downregulation of anti
apoptotic Bcl-2 expression, and upregulation of apoptotic Bax expression. In
summary, the present study demonstrated the antioxidant and antitumor activities
of CSE which may suppress tumor growth and alleviate the side-effects of DOX,
which may facilitate tumor treatment in a dual manner.
PMID- 27878300
TI - Induction of DNA damage and apoptosis in human leukemia cells by efavirenz.
AB - As part of the efforts to drug repurposing, some HIV drugs have recently been
identified to exert anticancer effects. Selected nucleoside analogues of
nucleosidic reverse-transcriptase inhibitors (NRTIs) have been shown to interfere
with RNA transcription of HI viruses as well as with the replication of DNA in
cancer cells. Non-nucleosidic reverse transcriptase inhibitors (NNRTIs) are
believed to have less effects on human DNA replication and, thus, on cancer cell
proliferation. Assessment of the effect of the NNRTI efavirenz in human cancer
cells, however, revealed a high sensitivity of leukemia cells to this agent at
pharmacologically relevant concentrations of less than 10 ug/ml. Cell death
induced by efavirenz was caused by apoptosis, as shown by FACScan analysis
(Annexin binding) and western blot analysis (cleavage of caspases and PARP).
Western blot analyses also revealed a pronounced activation and phosphorylation
of the DNA damage marker proteins p53, chk2 and H2AX, indicating DNA replication
and genomic integrity as primary targets of efavirenz in leukemia cells.
PMID- 27878301
TI - Long non-coding RNA TUC338 is functionally involved in sorafenib-sensitized
hepatocarcinoma cells by targeting RASAL1.
AB - Development of novel targeted therapy holds promise for conquering chemotherapy
resistance, one of major hurdles in current liver cancer treatment. We found that
long non-coding RNA TUC338 is involved in the development of hepatocellular
carcinoma (HCC) and sorafenib resistance. HCC cell lines were transfected with
siTUC338, then cell proliferation and invasion ability were investigated by MTT
and Transwell assay. Sorafenib resistance HepG2 cells were generated to test the
role of TUC338 in sorafenib sensitivity. Intratumoral delivering of siTUC338 was
used to analyze the sorafenib treatment response in HepG2/Sor xenografts in vivo.
Higher levels of TUC338 were found both in HCC tissues and cell lines, knockdown
of TUC338 was accompanied with increased expression of RASAL1 in HCC cell line
with increased proliferation and invasion ability, knockdown of TUC338 could
activate the RASAL1 pathway and inhibit tumor growth genes by directly targeting
RASAL1 3'-UTR. Furthermore, knockdown of TUC338 in HepG2 sorafenib sensitized its
reaction to the treatment of sorafenib, which was accompanied by increased
expression RASAL1; intratumoral delivering of siTUC338 could also restore
sorafenib treatment response in HepG2/Sor xenografts in vivo. These findings
provide direct evidence that the TUC338/RASAL1 axis might play an essential role
in sorafenib-resistance of liver cancer cells, suggesting the signaling cohort
could serve as a novel therapeutic target for the treatment of chemotherapy
resistant liver cancer.
PMID- 27878302
TI - Triptolide inhibits tumor growth by induction of cellular senescence.
AB - Cellular senescence, an irreversible growth arrest of cells, is involved in
protection against cancer. Triptolide (TPL) plays an important role in
immunosuppressive, anti-fertility, anti-cystogenesis and anticancer activities.
However, effect and mechanism of TPL on cellular senescence-associated antitumor
is rarely reported. Herein HepG2 cells were used to explore the effect of TPL on
tumor growth and cellular senescence. We showed that TPL inhibited tumor cell
proliferation and growth in vitro and in vivo, accelerated cellular senescence
and arrested cells at G0/G1 phase. We further demonstrated that TPL accelerated
HepG2 cell senescence by regulating the AKT pathway. In addition, TPL could also
enhance cellular senescence and inhibit tumor growth by negatively regulating
human telomerase reverse transcriptase (hTERT) signaling pathway. These findings
reveal a regulatory mechanism of TPL on cellular senescence, indicating that TPL
promotes HepG2 cell senescence through AKT pathway and hTERT pathway
simultaneously. Altogether, TPL-induced senescence can be regarded as a promising
strategy for anticancer therapy and drug development.
PMID- 27878303
TI - Neuroprotective effects of vitexin against isoflurane-induced neurotoxicity by
targeting the TRPV1 and NR2B signaling pathways.
AB - Vitexin is a bioactive compound extracted from hawthorn leaves, which reduces
blood pressure and has anti-inflammatory and potential anticancer effects.
However, the mechanisms underlying the protective effects of vitexin against
isoflurane-induced neurotoxicity remain elusive. Therefore, the aim of the
present study was to investigate these mechanisms further. Sprague Dawley rats
received 1.4% isoflurane in a 100% oxygen environment for 2 h. Human PC12
pheochromocytoma neurosecretory cells were exposed to 2% isoflurane for 12 h
before they were treated with 1, 10 or 100 uM vitexin for a further 24 h. Vitexin
inhibited the isoflurane-induced cell cytotoxicity and weakened isoflurane
induced neuroinflammation and oxidative stress pathways in PC12 cells. In
addition, treatment with vitexin suppressed isoflurane-induced caspase-3
activation and increased beta-secretase 1 levels in PC12 cells. Furthermore,
vitexin treatment decreased the levels of isoflurane-induced cytosolic calcium
and reactive oxygen species, and downregulated the expression of transient
receptor potential cation channel subfamily V member 1 (TRPV1) and glutamate
ionotropic receptor NMDA type subunit 2B (NR2B) protein expression in isoflurane
treated PC12 cells. These results suggest that vitexin mediates its protective
effects against isoflurane-induced neurotoxicity by targeting the TRPV1 and NR2B
signaling pathways.
PMID- 27878304
TI - Upregulation of MEK5 by Stat3 promotes breast cancer cell invasion and
metastasis.
AB - Mitogen extracellular-signal-regulated kinase kinase 5 (MEK5) plays an important
role in promoting cell proliferation and tumorigenesis. The aberrant expression
of MEK5 has been reported in various malignant diseases including cancers of
breast, prostate, lung, colorectal and brain. However, the function and
regulation of MEK5 signaling pathway are ambiguous and remain elusive with
respect to its oncogenic roles in various cancers, especially in the regulation
of the initiation and progression of cancer invasion and metastasis. Ectopic
expression of MEK5 or knockdown of MEK5 by shRNA with in vitro cell based models
demonstrated the role of MEK5 in regulation of epithelial mesenchymal transition
(EMT) and breast cancer invasion and metastasis. Here, we show that MEK5
upregulated by Stat3 promotes breast cancer cell invasion through EMT. Further
study demonstrated that Stat3 could bind to promoter region of MEK5 and enhanced
MEK5 transcription and expression. In addition, the phosphorylation of MEK5
significantly increased in breast cancer cells corresponding to metastatic
capability of breast cancer cells. The depletion of MEK5 by shRNA significantly
decreased breast cancer invasion. Ectopic expression of MEK5 could confer non
invasive breast cancer cells to become invasion capable cells. Moreover, the
phosphorylation of Erk5, a MEK5-regulated downstream kinase, was also upregulated
consistent with the increased level of active MEK5. Our studies provide insights
into a molecular mechanism by which MEK5 transcriptionally upregulated by Stat3
augments breast cancer cell EMT, which subsequently enhances cancer cell invasion
and metastasis. This finding may suggest that Stat3 and MEK5/Erk5 pathways could
be an effective therapeutic target for inhibition of breast cancer invasion and
metastasis.
PMID- 27878305
TI - miR-148a suppresses human renal cell carcinoma malignancy by targeting AKT2.
AB - MicroRNA-148a (miR-148a) has been reported to be deregulated in different tumor
types, whereas the biological function of miR-148a in renal cell carcinoma (RCC)
largely remains unexplored. In the present study we investigated the clinical
significance, biological effects, and the underlying molecular mechanisms of miR
148 in RCC. Here, we showed that miR-148a was significantly downregulated in RCC
tissues and cell lines. Low expression of miR-148a in RCC tissues was associated
with large tumor size, advanced TNM stage, and lymph node metastasis. Functional
assays revealed that overexpression of miR-148a significantly inhibited RCC cell
proliferation, colony formation, migration and invasion in vitro and suppressed
RCC xenograft tumor growth in vivo. In addition, using quantitative RT-PCR (qRT
PCR), western blot analysis and luciferase reporter assays, AKT2 was confirmed to
be a direct target of miR-148a. AKT2 expression was upregulated, and was
negatively correlated with miR-148a expression in RCC tissues (r=-0.641,
P<0.001). Silencing of AKT2 phenotypically copied miR-148a-induced phenotypes,
whereas re-expression of AKT2 reversed the suppressive effects of miR-148a in RCC
cells. Further mechanistic investigations showed that miR-148a exerted its
antitumor activity via inhibition of the AKT pathway in vitro and in vivo. Taken
together, these findings suggest that miR-148a functions as tumor suppressor in
RCC by targeting AKT2.
PMID- 27878306
TI - Effects of interleukin-1 receptor-associated kinase 1 RNA interference in
dendritic cells on inflammatory cytokine release and T-cell proliferation.
AB - The present study aimed to reduce the expression of interleukin-1 receptor
associated kinase 1 (IRAK-1) in dendritic cells (DCs) by RNA interference (RNAi).
Subsequently, its effects on the expression of costimulatory surface molecules,
the release of inflammatory cytokines, and the proliferation of T cells during
the activation process of DCs, were determined. RNAi was used to silence IRAK-1
gene expression in DCs, followed by lipopolysaccharide stimulation. Flow
cytometry was then used to detect the expression levels of DC surface molecules,
including cluster of differentiation (CD)86, major histocompatibility complex
class II and CD40. Quantitative polymerase chain reaction was conducted to detect
the mRNA expression levels of Toll-like receptor 4, IRAK-4, IRAK-1 and nuclear
factor-kappaB (NF-kappaB) in DCs. In addition, ELISA was used to detect the
release of the following inflammatory cytokines: Interleukin (IL)-10, IL-12 and
tumor necrosis factor-alpha (TNF-alpha). The MTS assay was used to determine the
effects of IRAK-1 RNAi on T-cell proliferation. Knockdown of IRAK-1 gene
expression in DCs significantly reduced the expression levels of costimulatory
surface molecules and intracellular NF-kappaB, decreased release of the
proinflammatory cytokines IL-12 and TNF-alpha, increased release of the anti
inflammatory cytokine IL-10, and significantly reduced the proliferation of T
cells. These results suggested that suppression of IRAK-1 gene expression may
inhibit the differentiation and maturation of DCs via the downregulation of DC
surface molecules, inhibition of intracellular signal transduction pathways,
regulation of inflammatory cytokine release, and by reducing its promoting
effects on T-cell proliferation.
PMID- 27878307
TI - HMGA2 regulates epithelial-mesenchymal transition and the acquisition of tumor
stem cell properties through TWIST1 in gastric cancer.
AB - High expression of high mobility group protein A2 (HMGA2) is correlated with the
invasiveness of gastric cancer and is an independent prognostic factor. The
reason may be that HMGA2 promotes epithelial-mesenchymal transition (EMT) and the
acquisition of tumor stem cell properties, yet the mechanism remains unclear. In
this study, immunohistochemistry and western blot analysis revealed that the
expression of HMGA2 and Twist-related protein 1 (TWIST1) in gastric carcinoma
tissues was higher than that in the peritumoral tissues and that the expression
levels of these two proteins were positively correlated. The protein expression
levels of HMGA2 and TWIST1 were high in the poorly differentiated gastric cancer
MKN-45 cells and were low in the moderately differentiated SGC-7901 cells. TWIST1
was inhibited after HMGA2 interference and was significantly increased after
overexpression of HMGA2. Luciferase experiments showed that TWIST1 was a direct
downstream target gene of HMGA2. The simultaneous interference of HMGA2
expression and the overexpression of TWIST1 in MKN-45 cells reversed the
inhibitory effect of HMGA2 interference on the invasion and migration of gastric
cancer cells, EMT and the expression of stemness markers. However, the
simultaneous overexpression of HMGA2 and the interference of TWIST1 expression in
the SGC-7901 cells reversed the promoter effect of HMGA2 overexpression on the
invasiveness and migration of gastric cancer cells, EMT and the expression of
stemness markers. In addition, animal experiments showed that TWIST1
overexpression reversed the inhibition of HMGA2 interference on the metastasis of
MKN-45 cells. Therefore, HMGA2 regulates the EMT of gastric cancer cells and the
acquisition of tumor stem cell properties through direct regulation of the
downstream target gene TWIST1.
PMID- 27878308
TI - [Systemic lupus erythematosus : Unusual cutaneous manifestations].
AB - BACKGROUND: Various different mucocutaneous symptoms may affect up to 80 % of
systemic lupus erythematosus (SLE) patients. OBJECTIVES: To investigate, various
unspecific, but otherwise typical clinical symptoms of skin and mucous membranes
that arise in SLE patients other than those defined as SLE criteria such as
butterfly rash, chronic cutaneous lupus erythematosus, oral ulcers, and increased
photosensitivity. MATERIALS AND METHODS: Extensive search of peer-reviewed
scientific articles was performed, medical histories of several SLE patients seen
in our department were analyzed, and the rare disease courses in three SLE
patients are presented. RESULTS: Here we present a variety of unspecific but
typical mucocutaneous manifestations in SLE patients: periungual erythema,
periungual telangiectasia and periungual splinter hemorrhage, papules on the
dorsum of the hands, scaling erythema, sometimes associated with necrosis,
especially of the ears, along with complement deficiency, and the bizarre
necroses of antiphospholipid syndrome. Furthermore, we show the typical clinico
histological features of neutrophilic urticarial dermatosis, as well as those of
bullous SLE and finally a severe course of bacterial sepsis with Neisseria
flavescens/macacae. CONCLUSIONS: Here we show several unspecific but rather
typical mucocutaneous symptoms in lupus patients that are indicative of SLE and
thus may lead to an early diagnosis. Also, life-threatening bacterial sepsis may
occur with microorganisms that are commonly considered "apathogenic", such as
Neisseria flavescens/macacae, which exclusively affect immunosuppressed patients.
PMID- 27878309
TI - [Solitary node without symptoms on the cheek of a 3-year-old boy].
PMID- 27878310
TI - Moving from vaginal hysterectomy to "no-incision" hysterectomy: how terminology
has an impact.
PMID- 27878311
TI - High-flow nasal cannula in hypoxemic patients: should I stay or should I go?
PMID- 27878312
TI - Parental presence in Italian pediatric intensive care units: a reappraisal of
current visiting policies.
PMID- 27878314
TI - Erratum to: Novel diffusive gradients in thin films technique to assess labile
sulfate in soil.
PMID- 27878315
TI - A plasmonic ELISA for the naked-eye detection of chromium ions in water samples.
AB - Here, we describe the development of a triangular silver nanoprism (AgNPR)
etching-based plasmonic ELISA for the colorimetric determination of Cr(III)
levels in environmental water samples. This involved the creation of a novel
signal generation system (substrate reaction solution) for a competitive ELISA in
which hydrogen peroxide (H2O2) is used to etch triangular AgNPRs, inducing a
change in color. This is achieved by controlling the H2O2 concentration that
remains after degradation by catalase, which is conjugated to the secondary
antibody of the ELISA. Because the degree of color change and the shift in the
absorption spectrum of the substrate reaction solution are closely correlated
with the Cr(III) concentration, this plasmonic ELISA can be used not only for the
quantification of Cr(III) concentrations ranging from 3.13 to 50 ng/mL, with a
limit of detection (LOD) of 3.13 ng/mL, but also for the visual detection
(indicated by a color change from blue to mauve) of Cr(III) with a sensitivity of
6.25 ng/mL by the naked eye. Therefore, the plasmonic ELISA developed in this
work represents a new strategy for heavy metal ion detection and has high
potential applicability in resource-constrained areas. Graphical Abstract
Schematic diagram of triangular silver nanoprism etching-based signal generation
system.
PMID- 27878313
TI - Targeting renal glucose reabsorption to treat hyperglycaemia: the pleiotropic
effects of SGLT2 inhibition.
AB - Healthy kidneys filter ~160 g/day of glucose (~30% of daily energy intake) under
euglycaemic conditions. To prevent valuable energy from being lost in the urine,
the proximal tubule avidly reabsorbs filtered glucose up to a limit of ~450
g/day. When blood glucose levels increase to the point that the filtered load
exceeds this limit, the surplus is excreted in the urine. Thus, the kidney
provides a safety valve that can prevent extreme hyperglycaemia as long as
glomerular filtration is maintained. Most of the capacity for renal glucose
reabsorption is provided by sodium glucose cotransporter (SGLT) 2 in the early
proximal tubule. In the absence or with inhibition of SGLT2, the renal
reabsorptive capacity for glucose declines to ~80 g/day (the residual capacity of
SGLT1), i.e. the safety valve opens at a lower threshold, which makes it relevant
to glucose homeostasis from day-to-day. Several SGLT2 inhibitors are now approved
glucose lowering agents for individuals with type 2 diabetes and preserved kidney
function. By inducing glucosuria, these drugs improve glycaemic control in all
stages of type 2 diabetes, while their risk of causing hypoglycaemia is low
because they naturally stop working when the filtered glucose load falls below
~80 g/day and they do not otherwise interfere with metabolic counterregulation.
Through glucosuria, SGLT2 inhibitors reduce body weight and body fat, and shift
substrate utilisation from carbohydrates to lipids and, possibly, ketone bodies.
Because SGLT2 reabsorbs sodium along with glucose, SGLT2 blockers are natriuretic
and antihypertensive. Also, because they work in the proximal tubule, SGLT2
inhibitors increase delivery of fluid and electrolytes to the macula densa,
thereby activating tubuloglomerular feedback and increasing tubular back
pressure. This mitigates glomerular hyperfiltration, reduces the kidney's demand
for oxygen and lessens albuminuria. For reasons that are less well understood,
SGLT2 inhibitors are also uricosuric. These pleiotropic effects of SGLT2
inhibitors are likely to have contributed to the results of the EMPA-REG OUTCOME
trial in which the SGLT2 inhibitor, empagliflozin, slowed the progression of
chronic kidney disease and reduced major adverse cardiovascular events in high
risk individuals with type 2 diabetes. This review discusses the role of SGLT2 in
the physiology and pathophysiology of renal glucose reabsorption and outlines the
unexpected logic of inhibiting SGLT2 in the diabetic kidney.
PMID- 27878317
TI - [What is currently available for sport medicine therapy?]
AB - After 30 years of age physical capacity decreases with increasing age by 5-20%
per decade. High physical activity in daily life as well as exercise training of
endurance, strength, coordination and mobility can delay the functional and
anatomical loss of muscle, bone, cartilage and connective tissue by more than 10
years. In recent years, numerous concepts have scientifically been proven in the
exercise therapy of internal diseases; therefore, similar to drug treatment,
cellular mechanisms of exercise training adaptation are known in detail. With
this knowledge the type, dose and intensity of exercise training can be defined
in such a way that the targeted use of physical training can achieve health
benefits similar to the effects achieved by drugs. This applies to the
cardiovascular system, lungs, cancer, metabolic diseases and the immune system.
In exercise training therapy of patients, individual exercise programs should be
defined in a way that the contents of endurance, strength, coordination and
mobility address all health and personal concerns of the patient. For sustained
effects and high motivation, the individual and disease-specific definition of
exercise programs as well as regular monitoring are necessary. The prescription
for movement as well as the prescriptions for sports rehabilitation and
functional training incorporate important assistance in this context.
PMID- 27878316
TI - Impact of vitamin D replacement in adults and elderly in the Middle East and
North Africa: a systematic review and meta-analysis of randomized controlled
trials.
AB - : In the Middle East and North Africa (MENA), a vitamin D dose >=2000 IU/day may
be needed to allow to the majority of the population to reach the target 25
hydroxyvitamin D (25(OH)D) level >=20 ng/ml. Data in the region on the effect of
vitamin D supplementation on various skeletal and extra-skeletal effects are
scarce. INTRODUCTION: Hypovitaminosis D is prevalent worldwide, more so in the
Middle East and North Africa (MENA). This study aims to determine the effects of
vitamin D replacement on the mean difference in 25-hydroxyvitamin D [25(OH)D]
level reached and other outcomes, in the MENA. METHODS: This is a meta-analysis
of randomized trials from the MENA, administering vitamin D supplementation for
at least 3 months, without language or time restriction. We conducted a
comprehensive search in seven databases until July 2015. We abstracted data from
published reports, independently and in duplicate. We calculated the mean
difference (MD) and 95 % CI of 25(OH)D level reached for eligible comparisons,
and pooled data using RevMan version 5.3. RESULTS: We identified 2 studies in
elderly and 17 in adults; for the latter, 11 were included in the meta-analysis.
Comparing a high vitamin D dose (>2000 IU/day) to placebo (nine studies), the MD
in 25(OH)D level achieved was 18.3 (CI 14.1; 22.5) ng/ml; p value < 0.001; I 2 =
92 %. Comparing an intermediate dose (800-2000 IU/day) to placebo (two studies),
the MD in 25(OH)D level achieved was 14.7 (CI 4.6; 24.9) ng/ml; p value 0.004; I
2 = 91 %. Accordingly, 89 and 71 % of participants, in the high and intermediate
dose groups, respectively, reached the desirable level of 20 ng/ml. The risk of
bias in the included studies was unclear to high, except for three studies.
CONCLUSION: In the MENA region, vitamin D doses >=2000 IU/day may be needed to
reach the target 25(OH)D level >=20 ng/ml. The long-term safety and the efficacy
of such doses on various outcomes are unknown.
PMID- 27878318
TI - [Corticosteroids in the management of advanced prostate cancer].
AB - Corticosteroids have been widely used for decades in cancer therapy,
predominantly due to their anti-inflammatory activity. In the treatment of
metastatic castration-resistant prostate cancer (mCRPC), corticosteroids play an
important role both in the management of tumor-related symptoms, especially bone
metastasis-related pain, and as concomitant treatment to counteract side effects
associated with approved active prostatic anticancer agents such as docetaxel,
cabazitaxel, and abiraterone acetate. In association with abiraterone acetate,
low-dose corticosteroids (prednisone or prednisolone) reduce the
mineralocorticoid side effects of abiraterone. In addition, corticosteroids may
exert direct antitumoral activities, resulting in PSA decline.
PMID- 27878320
TI - Geo-Accumulation Indices of Heavy Metals in Soil and Groundwater of Kanpur, India
Under Long Term Irrigation of Tannery Effluent.
AB - Soil and groundwater from long-term (>50 years) tannery effluent irrigated areas
of Kanpur were analyzed and significant buildup of heavy metals such as Cr, Ni,
Cd, Pb, Zn, and As in the range of 252-972, 23-30, 2.3-14.1, 23.7-58.8, 138-338
and 6.8-11 mg kg-1, respectively in soil was found. Few groundwater samples in
the effluent irrigated areas also exhibited high Cr concentration above the
permissible limit of United States Environmental Protection Agency. The tannery
effluents contained 1.53-57.3 ppm Cr, 0-0.12 ppm Ni, 0-0.02 ppm Cd, 0-0.07 ppm
Pb, 0-0.48 ppm Zn and 0-0.03 ppm As. The Geo-accumulation index (Igeo) revealed
that soil samples were unpolluted to moderately polluted with Cu, Ni, Zn, Pb and
As; moderately polluted in case of Cd; and heavily to extremely polluted by Cr.
PMID- 27878319
TI - Effects of Tetrabromobisphenol A Stress on Growth and Physiological
Characteristics of Soybean Seedling.
AB - The objective of this study was to investigate effects of tetrabromobisphenol A
(TBBPA) on soybean seedlings. Growth and physiological parameters of soybean
seedlings were measured by exposing seedlings to different concentrations of
TBBPA (0, 5, 10, 20, 40, 80, and 100 mg kg-1 dry weight soil) in a greenhouse.
Results showed 5 to 100 mg kg-1 TBBPA treatment reduced the shoot height, stem
diameter, dry weight, and fresh weight, while 5 to 100 mg kg-1 TBBPA treatment
reduced the chlorophyll content and induced production of malondialdehyde (MDA)
in soybean leaves after 7 and 14 days of exposure. TBBPA treatment at low
concentrations enhanced soluble sugar and soluble protein content, and it
activated superoxide dismutase (SOD; EC:1.15.1.1), catalase (CAT; EC:1.11.1.6),
and peroxidase (POD; EC:1.11.1.7); however, high concentrations of TBBPA
inhibited activities of antioxidant enzymes and generation of soluble sugar and
soluble protein.
PMID- 27878321
TI - Do Varying Aquatic Plant Species Affect Phytoplankton and Crustacean Responses to
a Nitrogen-Permethrin Mixture?
AB - Hydraulically connected wetland microcosms vegetated with either Typha latifolia
or Myriophyllum aquaticum were amended with an NH4NO3 and permethrin mixture to
assess the effectiveness of both plant species in mitigating effects of the
pollutant mixture on phytoplankton (as chlorophyll a) and Hyalella azteca.
Phytoplankton grew in response to increased NH4NO3 in the presence of all plant
species, but was unaffected by exposure to permethrin. H. azteca responses
occurred rapidly (0.17 days), was mitigated within 1-2 days, and aqueous toxicity
was unaffected by plant species type. A toxic unit model approach ascertained
primary toxicity was permethrin with minimal additional toxicity from NH4NO3.
Varying aquatic plant species had only modest influences on phytoplankton
responses and no observable influence on animal responses during nitrogen
permethrin mixture exposures. As a result, both T. latifolia and M. aquaticum can
be used as part of an effective agricultural best-management practice system for
mitigating pollutant impacts of agricultural run-off.
PMID- 27878322
TI - Assessing needs for psychiatric treatment in prisoners: 1. Prevalence of
disorder.
AB - BACKGROUND: High levels of psychiatric morbidity in prisoners have important
implications for services. Assessing Needs for Psychiatric Treatment in Prisoners
is an evaluation of representative samples of prisoners in a male and a female
prison in London. This paper reports on the prevalence of mental disorders. In a
companion paper, we describe how this translates into mental health treatment
needs and the extent to which they have been met. METHODS: Prisoners were
randomly sampled in a sequential procedure based on the Local Inmate Data System.
We interviewed roughly equal numbers from the following groups: male remand; male
sentenced prisoners (Pentonville prison); and female remand; female sentenced
prisoners (Holloway prison). Structured assessments were made of psychosis,
common mental disorders, PTSD, personality disorder and substance abuse. RESULTS:
We interviewed 197 male and 171 female prisoners. Psychiatric morbidity in male
and female, sentenced and remand prisoners far exceeded in prevalence and
severity than in equivalent general population surveys. In particular, 12% met
criteria for psychosis; 53.8% for depressive disorders; 26.8% for anxiety
disorders; 33.1% were dependent on alcohol and 57.1% on illegal drugs; 34.2% had
some form of personality disorder; and 69.1% had two disorders or more. Moreover,
in the year before imprisonment, 25.3% had used mental health services.
CONCLUSIONS: These rates of mental ill-health and their similarity in remand and
sentenced prisoners indicate that diversion of people with mental health problems
from the prison arm of the criminal justice system remains inadequate, with
serious consequences for well-being and recidivism.
PMID- 27878324
TI - [The new DGUV requirements for treatment].
PMID- 27878323
TI - Assessing needs for psychiatric treatment in prisoners: 2. Met and unmet need.
AB - BACKGROUND: In a companion paper, we established high levels of psychiatric
morbidity in prisoners (Bebbington et al. Soc Psychiatry Psychiatr Epidemiol,
2016). In the current report, we evaluate how this morbidity translates into
specific needs for treatment and the consequent implications for services. Mental
health treatment needs and the extent to which they had been met were assessed in
a representative sample of prisoners in a male and a female prison in London
(Pentonville and Holloway). METHODS: Prisoners were sampled at random in a
sequential procedure based on the Local Inmate Data System. We targeted equal
numbers of male remand, male sentenced, female remand, and female sentenced
prisoners. Following structured assessment of psychosis, common mental disorders,
PTSD, personality disorders and disorders of abuse, we used the MRC Needs for
Care Assessment (NFCAS) to establish whether potential needs for care in ten
areas of mental health functioning were met, unmet, or incapable of being met by
services. RESULTS: Data on treatment experience were provided by 360 inmates.
Eighty percent of females and 70% of males had at least one need for treatment.
Over half (53.7%) of the needs of female prisoners were met, but only one third
(36.5%) in males. Needs for medication were unmet in 32% of cases, while those
for psychological treatment were unmet in 51%. CONCLUSIONS: Unmet needs for
mental health treatment and care were common in the two prisons. This has adverse
consequences both for individual prisoners and for the effective functioning of
the criminal justice system.
PMID- 27878325
TI - ?
PMID- 27878327
TI - ?
PMID- 27878326
TI - A novel 72-kDa leukocyte-derived osteoglycin enhances the activation of toll-like
receptor 4 and exacerbates cardiac inflammation during viral myocarditis.
AB - BACKGROUND: Viral myocarditis can severely damage the myocardium through
excessive infiltration of immune cells. Osteoglycin (OGN) is part of the small
leucine-rich repeat proteoglycan (SLRP) family. SLRP's may affect inflammatory
and fibrotic processes, but the implication of OGN in cardiac inflammation and
the resulting injury upon viral myocarditis is unknown. METHODS AND RESULTS: This
study uncovered a previously unidentified 72-kDa variant of OGN that is
predominant in cardiac human and mouse samples of viral myocarditis. Its absence
in mice significantly decreased cardiac inflammation and injury in Coxsackievirus
B3-induced myocarditis. It also delayed mortality in lipopolysaccharide-induced
endotoxemia going along with a reduced systemic production of pro-inflammatory
cytokines. This 72-kDa OGN is expressed in the cell membrane of circulating and
resident cardiac macrophages and neutrophils. Co-immunoprecipitation and OGN
siRNA experiments revealed that this 72-kDa variant activates the toll-like
receptor-4 (TLR4) with a concomitant increase in IL-6, TNF-alpha, IL-1beta, and
IL-12 expression. This immune cell activation by OGN occurred via MyD88 and
increased phosphorylation of c-jun. Finally, the 72-kDa chondroitin sulfate is
the result of O-linked glycosylation of the 32-kDa protein core of OGN. In
contrast, the 34-kDa dermatan sulfate-OGN, involved in collagen cross linking,
was also the result of O-linked glycosylation. CONCLUSION: The current study
discovered a novel 72-kDa chondroitin sulfate-OGN that is specific for innate
immune cells. This variant is able to bind and activate TLR4. The absence of OGN
decreases cytokine production by both circulating and cardiac leukocytes upon
(systemic) LPS exposure, and reduces cardiac inflammation and injury in viral
myocarditis.
PMID- 27878328
TI - Targeting the hedgehog signaling pathway for cardiac repair and regeneration.
AB - The hedgehog (Hh) signaling pathway is involved in the angiogenesis and
development of the coronary vasculature in the embryonic heart. Recently, the Hh
signal pathway has emerged as an important regulator that can increase
cardiomyocyte proliferation, inhibit cardiomyocyte death and apoptosis, recruit
endothelial progenitor cell (EPCs) into sites of myocardial ischemia, and direct
stem cells to differentiate into cardiac muscle lineage. Experimental studies
have tried to target the Hh signaling pathway for cardiac repair and
regeneration. The purpose of this review is to discuss the role of the Hh signal
pathway in cardiac repair and regeneration as well as the current strategies
targeting the Hh signaling pathway and its potential in heart diseases.
PMID- 27878330
TI - [Volunteering in end-of-life care : Challenges, problems and perspectives].
AB - Volunteering in the hospice movement has had a profound impact on generating
awareness of hospice work and palliative care in the context of supporting dying
persons and their relatives as well as on integrating respective services in the
existing health care system. This paper focuses on two specific questions: First,
it asks how society is changing with respect to dealing with dying and death, and
more precisely with dying persons, which is recognizable by the integration of
hospice work and palliative care in the healthcare system and related to the
relevance of volunteering in the sense of a citizens' movement. Second, this
paper asks what the specific roles of volunteers are as well as the possibilities
and limits of voluntary practice in end-of-life care and accompaniment. To answer
these questions, the pivotal objectives of the hospice movement - the
transformation of the social awareness regarding dying and death, as well as the
reorganization of "institutions of dying" - will first be outlined by reference
to the concept of "good dying", a specific hospice attitude together with hospice
culture and palliative competence. In a second step, the relevance of
volunteering and the specific role of volunteers in the actual practice of
hospice work and palliative care will be outlined alongside current indicators
and recognizable alteration tendencies, before concluding with a discussion of
the perspectives of hospices as a citizens' movement.
PMID- 27878329
TI - The role of regulatory B cells in digestive system diseases.
AB - INTRODUCTION: The past decade has provided striking insights into a newly
identified subset of B cells known as regulatory B cells (Bregs). In addition to
producing antibody, Bregs also regulate diseases via cytokine production and
antigen presentation. This subset of B cells has protective and potentially
therapeutic effects. However, the particularity of Bregs has caused some
difficulties in conducting research on their roles. Notably, human B10 cells,
which are Bregs that produce interleukin 10, share phenotypic characteristics
with other previously defined B cell subsets, and currently, there is no known
surface phenotype that is unique to B10 cells. METHODS: An online search was
performed in the PubMed and Web of Science databases for articles published
providing evidences on the role of regulatory B cells in digestive system
diseases. RESULTS AND CONCLUSIONS: Abundant evidence has demonstrated that Bregs
play a regulatory role in inflammatory, autoimmune, and tumor diseases, and
regulatory B cells play different roles in different diseases, but future work
needs to determine the mechanisms by which Bregs are activated and how these
cells affect their target cells.
PMID- 27878331
TI - Fluoroscopy versus ultrasound for image guidance during percutaneous
nephrolithotomy: a systematic review and meta-analysis.
AB - This meta-analysis aims to compare the safety and efficacy of fluoroscopy versus
ultrasound guidance during the access to the renal collecting system. A
systematic literature review was performed in September 2016. Outcomes were
explored using review manager v5.0. 18 studies with 2919 patients were included
in the final analysis. There was no significant difference in stone-free rate
(RR: 1.0; 95% CI, 0.98 to 1.05; p = 0.41), operation time (MD: 1.75; 95% CI,
9.15 to 12.65; p = 0.75), hospital stay (MD: -1.02; 95% CI, -3.08 to 1.05; p =
0.34), and success rate of tract creation (RR: 1.00; 95% CI, 0.98-1.02; p = 0.88)
between ultrasonography and fluoroscopy. Compared to fluoroscopy, ultrasonography
had shorter puncture time (MD: -4.71; 95% CI, -6.43 to -3.0; p < 0.0001), higher
success rate of fist puncture (RR: 1.16; 95% CI, 1.04 to 1.3; p = 0.01), less
blood loss (MD: -0.42, 95% CI -0.81 to -0.02; p = 0.04), and less transfusion
requirement (RR: 0.73; 95% CI, 0.33-1.6; p = 0.44). Two patients in each group
experienced perforation of the renal pelvis. Five patients in fluoroscopy and two
in ultrasonography group had pneumothorax. One patient in fluoroscopy group had
intestinal injury. Both fluoroscopy and ultrasound guidance can aid to obtain
successful percutaneous renal access. The advantages of ultrasonography over
fluoroscopy include shorter puncture time, higher success rate of fist puncture,
less blood loss, and less complications.
PMID- 27878332
TI - Accelerated Cardiac Rhabdomyoma Regression with Everolimus in Infants with
Tuberous Sclerosis Complex.
AB - Tuberous sclerosis complex is associated with benign tumors such as cardiac
rhabdomyomas (RHM) caused by the disinhibition of the mammalian target of
rapamycin (mTOR) protein. Recent reports on everolimus, an mTOR inhibitor, have
shown size reduction of RHM. We compared cases recently treated with everolimus
to historic controls whose first echocardiography was within first month of life.
The largest dimension of the largest RHM was reported as a percentage compared to
the earliest echocardiography study. Treatment of the four cases was started at a
median age of 6.5 days (range 2-20) with an initial enteral dose of 0.1 mg daily,
aiming at a therapeutic serum trough level of 5-15 ng/mL. Median duration of
everolimus treatment was 73 days (range 34-138). Compared to 10 historic
controls, everolimus-treated patients had 11.8 times faster RHM size regression
rate (slope -0.0285 vs. -0.0024; p < 0.001). The average time to 50% size
reduction was 1.13 +/- 0.33 month (range 0.66-1.4 months) with everolimus versus
72.9 +/- 53.03 months in controls (p = 0.026). Following treatment with
everolimus, one case was operated for congenital heart disease, without
requirement of RHM resection, two others had the massive left ventricle RHM
shrink to non-consequential size. The latter had a disappearance of RHM, but
everolimus therapy was maintained to prevent the regrowth of a significant
cerebral tumor. Everolimus is efficacious for size reduction of RHM during the
neonatal period. With limited safety data, this approach should be used with
caution in selective cases.
PMID- 27878333
TI - Transcatheter Pulmonary Valve Replacement and Acute Increase in Diastolic
Pressure are Associated with Increases in Both Systolic and Diastolic Pulmonary
Artery Dimensions.
AB - Stable positioning of a transcatheter pulmonary valve (TPV) in native outflow
tracts depends on a clear understanding of underlying anatomy and outflow tract
dimensions. We hypothesized that restoration of pulmonary competence may acutely
alter these dimensions. A retrospective single-center review of consecutive
patients after TPV placement from 2007 to 2014 was performed. Patients with less
than moderate pulmonary regurgitation were excluded. We reviewed acute
catheterization data on 46 patients, most with tetralogy of Fallot (70%).
Baseline and post-implant (7.5 +/- 3 min post-deployment) measurements of central
pulmonary arteries (PAs) were determined angiographically. The right PA diameter
increased (20 +/- 4-24 +/- 6 mm systole*, 16 +/- 4-21 +/- 6 mm diastole*), as did
the left PA (20 +/- 6-24 +/- 8 mm systole*, 16 +/- 5-21 +/- 7 mm diastole*). PA
pressures increased from averages of 29.3/10.6 (17) to 29.8/15.1 (21) mmHg. We
noted that pre-implant systolic PA diameter correlated with diastolic PA diameter
post-implant (r = 0.9). On follow-up catheterization in seven patients [median 3
years; (1-8)], combined central PA diameter decreased an average of 20% (systole:
20% +/- 12, diastole: 18% +/- 11) as compared to post-implant measurements. Acute
pulmonary valve competence in patients with at least moderate pulmonary
regurgitation results in an immediate increase in PA diameter (20% systole and
30% diastole). The cause of this diameter change is unclear. This acute change
may have implications for device and patient selection (*p < 0.001).
PMID- 27878334
TI - Equivalent wear performance of dual mobility bearing compared with standard
bearing in total hip arthroplasty: in vitro study.
AB - PURPOSE: Osteolysis in total hip arthroplasty (THA) depends on polyethylene wear
and dictates the survival of the prosthesis. Dual mobility in THAs, which is
claimed to reduce dislocation risk, has very good long-term clinical results.
However, little is known about how the liner wears in this design, compared to
the standard single mobility model. METHODS: A comparative study looking at wear
of a conventional ultra-high-molecular-weight polyethylene liner, using
gravimetric measurement, between dual mobility implants and standard implants,
was performed on a simulator in accordance with a normed protocol based on the
same dimensions, environmental conditions and stresses. A linear regression test
was employed. RESULTS: Under the same conditions (loading, cycles, sterilization,
material and surface roughness), the gravimetric wear (for conventional
polyethylene) is comparable between a standard and a dual mobility cup. This
correlates to ten year follow-up results of dual mobility cup. DISCUSSION -
CONCLUSION: This in vitro equivalent wear serves to confirm the very good long
term clinical results observed with dual mobility bearing, whose use should not
be restricted by concerns about increased polyethylene wear.
PMID- 27878335
TI - Soil-covered strategy for ecological restoration alters the bacterial community
structure and predictive energy metabolic functions in mine tailings profiles.
AB - Native soil amendment has been widely used to stabilize mine tailings and speed
up the development of soil biogeochemical functions before revegetation; however,
it remains poorly understood about the response of microbial communities to
ecological restoration of mine tailings with soil-covered strategy. In this
study, microbial communities along a 60-cm profile were investigated in mine
tailings during ecological restoration of two revegetation strategies (directly
revegetation and native soil covered) with different plant species. The mine
tailings were covered by native soils as thick as 40 cm for more than 10 years,
and the total nitrogen, total organic carbon, water content, and heavy metal (Fe,
Cu, and Zn) contents in the 0-40 cm intervals of profiles were changed. In
addition, increased microbial diversity and changed microbial community structure
were also found in the 10-40 cm intervals of profiles in soil-covered area. Soil
covered strategy rather than plant species and soil depth was the main factor
influencing the bacterial community, which explained the largest portion (29.96%)
of the observed variation. Compared directly to revegetation, soil-covered
strategy exhibited the higher relative abundance of Acidobacteria and
Deltaproteobacteria and the lower relative abundance of Bacteroidetes,
Gemmatimonadetes, Betaproteobacteria, and Gammaproteobacteria. PICRUSt analysis
further demonstrated that soil-covered caused energy metabolic functional changes
in carbon, nitrogen, and sulfur metabolism. Given all these, the soil-covered
strategy may be used to fast-track the establishment of native microbial
communities and is conducive to the rehabilitation of biogeochemical processes
for establishing native plant species.
PMID- 27878336
TI - Co-culturing Effects of Coexisting Bacteria on Wood Degradation by Trametes
versicolor.
AB - White-rot fungi are the main decomposers of wood cell-wall polymer in forest
ecosystems. Little is known, however, about the interactions between white-rot
fungi and other coexisting microorganisms in decayed wood. A white-rot fungus,
Trametes versicolor strain TN6F, was isolated from a fruit body, and 44 strains
of coexisting cultivable bacteria were isolated from its substrate, natural white
rot-decayed wood. The effects of these bacteria on fungal growth were examined by
an in vitro confrontation growth assay. Among the isolates, nine bacterial
strains inhibited the growth of strain TN6F, while 35 strains did not affect the
growth of TN6F. However, when co-cultured with strain TN6F on wood powder, many
bacterial strains promoted the weight loss of the substrate. A subsequent
chemical composition analysis showed that co-culturing accelerated
delignification. Higher laccase activity was detected when strain TN6F was co
cultured on wood powder medium with bacterial strains TN6W-26 or TN6W-27. These
results indicate that some bacterial strains might promote wood degradation.
PMID- 27878337
TI - Classics in abdominal imaging: the dependent viscera sign.
PMID- 27878338
TI - Reporting standards for the imaging-based diagnosis of renal masses on CT and
MRI: a national survey of academic abdominal radiologists and urologists.
AB - PURPOSE: To define important elements of a structured radiology report of a CT or
MRI performed to evaluate an indeterminate renal mass. METHODS: IRB approval was
waived for this multi-site prospective quality improvement study. A 35-question
survey investigating elements of a CT or MRI report describing a renal mass was
created through an iterative process by the Society of Abdominal Radiology
Disease-Focused Panel on renal cell carcinoma. Surveys were distributed to
consenting abdominal radiologists and urologists at nine academic institutions.
Consensus within and between specialties was defined as >=70% agreement.
Respondent rates were compared with Chi Square test. RESULTS: The response rate
was 68% (117/171; 55% [39/71] urologists, 78% [78/100] radiologists). Inter
specialty consensus was that the following were essential: mass size with
comparison to prior imaging, mass type (cystic vs. solid), presence of fat,
presence of enhancement, and radiologic stage. Urologists were more likely to
prefer the Nephrometry score (75% [27/36] vs. 22% [17/76], p < 0.0001),
quantitative reporting of enhancement on CT (85% [32/38] vs. 46% [36/77], p <
0.0001), and mass position with respect to the renal polar lines (67% [24/36] vs.
36% [27/76], p = 0.002). There was inter-specialty consensus that the Bosniak
classification for cystic masses was preferred. Most urologists (60% [21/35])
preferred management recommendations be omitted for solid masses or Bosniak III
IV cystic masses. CONCLUSIONS: Important elements to include in a CT or MRI
report of an indeterminate renal mass are critical diagnostic features, the
Bosniak classification if relevant, and the most likely specific diagnosis when
feasible; including management recommendations is controversial.
PMID- 27878339
TI - Multifocal tenosynovial giant cell tumors in a child with Noonan syndrome.
AB - Noonan syndrome is a genetic disorder with variable expression of distinctive
facial features, webbed neck, chest deformity, short stature, cryptorchidism and
congenital heart disease. The association of Noonan syndrome and giant cell
granulomas of the mandible is widely reported. However, Noonan syndrome may also
be associated with single or multifocal tenosynovial giant cell tumors, also
referred to as pigmented villonodular synovitis. We report a child with Noonan
syndrome, giant cell granulomas of the mandible and synovial and tenosynovial
giant cell tumors involving multiple joints and tendon sheaths who was initially
misdiagnosed with juvenile idiopathic arthritis. It is important for radiologists
to be aware of the association of Noonan syndrome and multifocal giant cell
lesions, which can range from the more commonly described giant cell granulomas
of the mandible to isolated or multifocal intra- or extra-articular tenosynovial
giant cell tumors or a combination of all of these lesions.
PMID- 27878340
TI - Anatomical risk evaluation of iatrogenic injury to the infrapatellar branch of
the saphenous nerve during medial meniscus arthroscopic surgery.
AB - PURPOSE: To determine the relationship of the medial meniscus with the
infrapatellar branches of the saphenous nerve, the primary goal is to define and
characterize different risk areas for these nerves during medial meniscus
surgery. METHODS: After dissecting 20 embalmed cadaver knees, we defined 7
readily identifiable anatomical landmarks. For each knee, we recorded 2
morphological criteria and 16 measurements. RESULTS: The most common anatomical
course is a main trunk that is 8 mm anterior to the tuberculum adductorium and 60
mm posterior to the midpoint of the medial patellar margin. It has two main
infrapatellar branches. The nerve division is 23 mm above the joint line. The
path is oblique with an angle of 55.5 degrees . The anterior meniscal landmark is
24 mm from the upper branch and 42.5 mm from the lower branch. The posterior
meniscal landmark is 55 mm from the upper branch and 38 mm from the lower branch.
CONCLUSIONS: We defined a common anatomical course for the saphenous nerve and
its infrapatellar branches. Then, three different areas were defined at risk for
iatrogenic nerve injuries during medial meniscus.
PMID- 27878341
TI - Initiation of forward gait with lateral occurrence of emotional stimuli: general
findings and relevance for pedestrians crossing roads.
AB - With reference to theoretical models regarding links between emotions and
actions, the present study examined whether the lateral occurrence of an
emotional stimulus influences spatial and temporal parameters of gait initiation
in 18 younger and 18 older healthy adults. In order to simulate road-crossing
hazard for pedestrians, slides of approaching cars were used and they were
presented in counterbalanced order with threatening slides from the International
Affective Picture System (IAPS) and control slides of safe walking areas. Each
slide was presented on the left side of the participant once the first step was
initiated. The results evidenced medio-lateral shifts to the left for the first
step (right foot) and to the right for the second step (left foot). These shifts
were both modulated by the slide contents in such a way that the resulting
distance between the screen and the foot (right or left) was larger with the IAPS
and traffic slides than with the control slides. The slides did not affect the
base of support, step length, step velocity and time of double support. Advancing
age influenced the subjective impact of the slides and gait characteristics, but
did not modulate medio-lateral shifts. The data extend evidence of fast,
emotional modulation of stepping, with theoretical and applied consequences.
PMID- 27878343
TI - 30-Day, 90-day and 1-year mortality after emergency colonic surgery.
AB - PURPOSE: Emergency surgery is an independent risk factor in colonic surgery
resulting in high 30-day mortality. The primary aim of this study was to report
30-day, 90-day and 1-year mortality rates after emergency colonic surgery, and to
report factors associated with 30-day, 90-day and 1-year mortality. Second, the
aim was to report 30-day postoperative complications and their relation to in
hospital mortality. METHODS: All patients undergoing acute colonic surgery in the
period from May 2009 to April 2013 at Copenhagen University Hospital Herlev,
Denmark, were identified. Perioperative data was collected from medical journals.
RESULTS: 30-day, 90-day and 1-year mortality was 21, 30 and 41%, respectively.
Age >70 years, Performance status >=3 and resection with stoma were independent
factors associated with 30-day mortality. Age >70 years, Performance status >=3,
resection with stoma and malignant disease were independent risk factors
associated with 90-day mortality. Age >70 years, Performance status >=3,
resection with stoma and malignant disease were independent factors associated
with 1-year mortality. Overall, 30-day complication rate was 63%, with
cardiopulmonary complications leading to most postoperative deaths. CONCLUSION:
Mortality and complication rates after emergency colonic surgery are high and
associated with patient related risk factors that cannot be modified, but also
treatment related outcomes that are modifiable. An increased focus on medical and
other preventive measures should be explored in the future.
PMID- 27878345
TI - Low vaccination rates among patients with rheumatoid arthritis in a German
outpatient clinic.
AB - Patients with rheumatoid arthritis (RA) are at an increased risk of acquiring
infections due to two reasons: the disease itself and the immunosuppressive
therapy. Vaccinations against preventable diseases are therefore of utmost
importance for these group of patients. To estimate vaccination frequencies among
patients with rheumatoid arthritis, we studied patients in a survey and
calculated vaccination rates based on their vaccination documents. Patients have
been recruited from our outpatient clinic during one of their routine visits. For
the statistical analysis, they have been divided by age (>=60 vs <60 years) and
medication (DMARD, Biologics, TNF inhibitors) for further subgroup analysis.
Among the studied patients (n = 331), we found rather low vaccination rates, in
particular for the strongly recommended vaccines against Pneumococcus and
Influenza (33 and 53%, respectively). Furthermore, protection rates for important
basic vaccinations, e.g. against Pertussis, were found to be very low with 12%
only. Beside these findings, we saw age-dependent differences for a variety of
vaccines: while Pneumococcus and Influenza vaccines were more often given to
patients >=60 years, MMR, Pertussis, Diphtheria and Hepatitis were significantly
more often applied to younger patients. Vaccination rates have to be improved
among RA patients, in particular for vaccines protecting from respiratory tract
infections such as Pneumococcus.
PMID- 27878344
TI - Comparison of soluble urokinase plasminogen activator receptor, soluble
triggering receptor expressed on myeloid cells 1, procalcitonin and C-reactive
protein in distinguishing concurrent bacterial infection from idiopathic
inflammatory myopathy.
AB - The aim of the study was to measure the diagnostic values of biomarkers of
bacterial infection in idiopathic inflammatory myopathy (IIM) patients. The serum
and clinical data of 82 IIM patients with/without bacterial infection were
collected. Concentrations of soluble urokinase plasminogen activator receptor
(suPAR), soluble triggering receptor expressed on myeloid cells 1 (sTREM-1),
procalcitonin (PCT) and C-reactive protein (CRP) were measured in IIM patients
and healthy controls. There were no significant differences in serum suPAR and
sTREM-1 levels between healthy controls and non-infection IIM patients. Serum
levels of suPAR, sTREM-1, PCT and CRP measured in this study were significantly
higher in the IIM patient group with concurrent infection than in the non
infection IIM patient group (p < 0.05). The biomarker suPAR showed the highest
diagnostic value with sensitivity, specificity, positive predictive value and
negative predictive value of 81.6, 77.3, 75.6 and 82.9%, respectively. Combining
suPAR negative and CRP negative to rule out bacterial infection in IIM patients
provides a very high specificity of 97.4%. Both suPAR and CRP positive to confirm
bacterial infection give the specificity of 90.9%. The inflammatory biomarkers
suPAR, sTREM-1, PCT and CRP offer diagnostic accuracy in detecting bacterial
infection in IIM patients. Particularly, suPAR is the most sensitive and specific
biomarker to predict bacterial infection in IIM patients. Combination of suPAR
and CRP serum levels provides an even better confirmation of bacterial infection.
PMID- 27878346
TI - Salinity Affects the Composition of the Aerobic Methanotroph Community in
Alkaline Lake Sediments from the Tibetan Plateau.
AB - Lakes are widely distributed on the Tibetan Plateau, which plays an important
role in natural methane emission. Aerobic methanotrophs in lake sediments reduce
the amount of methane released into the atmosphere. However, no study to date has
analyzed the methanotroph community composition and their driving factors in
sediments of these high-altitude lakes (>4000 m). To provide new insights on this
aspect, the abundance and composition in the sediments of six high-altitude
alkaline lakes (including both freshwater and saline lakes) on the Tibetan
Plateau were studied. The quantitative PCR, terminal restriction fragment length
polymorphism, and 454-pyrosequencing methods were used to target the pmoA genes.
The pmoA gene copies ranged 104-106 per gram fresh sediment. Type I methanotrophs
predominated in Tibetan lake sediments, with Methylobacter and uncultivated type
Ib methanotrophs being dominant in freshwater lakes and Methylomicrobium in
saline lakes. Combining the pmoA-pyrosequencing data from Tibetan lakes with
other published pmoA-sequencing data from lake sediments of other regions, a
significant salinity and alkalinity effect (P = 0.001) was detected, especially
salinity, which explained ~25% of methanotroph community variability. The main
effect was Methylomicrobium being dominant (up to 100%) in saline lakes only. In
freshwater lakes, however, methanotroph composition was relatively diverse,
including Methylobacter, Methylocystis, and uncultured type Ib clusters. This
study provides the first methanotroph data for high-altitude lake sediments
(>4000 m) and shows that salinity is a driving factor for the community
composition of aerobic methanotrophs.
PMID- 27878348
TI - Erratum to: Prognostic Relevance of the Timing of Initiating and the Completion
of Adjuvant Therapy in Patients with Resected Pancreatic Ductal Adenocarcinoma.
PMID- 27878349
TI - Operation Start Times and Postoperative Morbidity from Liver Resection: A
Propensity Score Matching Analysis.
AB - BACKGROUND: Surgeons are likely to get progressively fatigued and work less
effectively during the course of a normal workday. We sought to examine the
effects of surgery start times (morning vs. afternoon) and workload of the
surgeons on morbidity of patients after partial liver resection (LR). METHODS: A
total of 155 pairs of the patients from 383 patients undergoing LR were generated
by propensity score analysis (PSM) according to the start times of surgery: group
M (morning surgery, 8 a.m.-1 p.m.) and group A (afternoon surgery, 1 p.m.-6
p.m.). Patients in group A were further divided depending on whether or not the
surgeons had performed other surgeries earlier in the day and the exact duration
of the other surgeries before the afternoon surgery (<=180 and >180 min). The
incidence and severity of postoperative complications were compared between
different groups. RESULTS: By using PSM analysis, the patients in group M and
group A were well matched in basic characteristics. The incidence and severity of
the postoperative complications were similar between the two groups (all p >
0.05). Whether the surgeons had performed other surgeries prior to the afternoon
surgery seemed not affecting the postoperative outcome (all p > 0.05). Moreover,
the duration of other surgeries the surgeons had performed did not have
significant influence on the outcome of patients undergoing afternoon surgery
(all p > 0.05). CONCLUSIONS: Surgery start times and workload of surgeons during
working time did not measurably affect short-term outcomes of the patients. The
negative findings might be a manifestation of professional judgment and self
regulation of the experienced physicians.
PMID- 27878347
TI - Detection and Diversity of the Nitrite Oxidoreductase Alpha Subunit (nxrA) Gene
of Nitrospina in Marine Sediments.
AB - Nitrite-oxidizing bacteria (NOB) are chemolithoautotrophs that catalyze the
oxidation of nitrite to nitrate, which is the second step of aerobic
nitrification. In marine ecosystems, Nitrospina is assumed to be a major
contributor to nitrification. To date, two strains of Nitrospina have been
isolated from marine environments. Despite their ecological relevance, their
ecophysiology and environmental distribution are understudied owing to fastidious
cultivation techniques and the lack of a sufficient functional gene marker. To
estimate the abundance, diversity, and distribution of Nitrospina in various
marine sediments, we used nxrA, which encodes the alpha subunit of nitrite
oxidoreductase, as a functional and phylogenetic marker. We observed that
Nitrospina diversity in polar sediments was significantly lower than that of non
polar samples. Moreover, nxrA-like sequences revealed an unexpected diversity of
Nitrospina, with approximately 41,000 different sequences based on a 95%
similarity cutoff from six marine sediments. We detected nxrA gene copy numbers
of up to 3.57 * 104 per gram of marine sediment sample. The results of this study
provide insight into the distribution and diversity of Nitrospina, which is
fundamentally important for understanding their contribution to the nitrogen
cycle in marine sediments.
PMID- 27878342
TI - Breeding approaches and genomics technologies to increase crop yield under low
temperature stress.
AB - KEY MESSAGE: Improved knowledge about plant cold stress tolerance offered by
modern omics technologies will greatly inform future crop improvement strategies
that aim to breed cultivars yielding substantially high under low-temperature
conditions. Alarmingly rising temperature extremities present a substantial
impediment to the projected target of 70% more food production by 2050. Low
temperature (LT) stress severely constrains crop production worldwide, thereby
demanding an urgent yet sustainable solution. Considerable research progress has
been achieved on this front. Here, we review the crucial cellular and metabolic
alterations in plants that follow LT stress along with the signal transduction
and the regulatory network describing the plant cold tolerance. The significance
of plant genetic resources to expand the genetic base of breeding programmes with
regard to cold tolerance is highlighted. Also, the genetic architecture of cold
tolerance trait as elucidated by conventional QTL mapping and genome-wide
association mapping is described. Further, global expression profiling techniques
including RNA-Seq along with diverse omics platforms are briefly discussed to
better understand the underlying mechanism and prioritize the candidate gene (s)
for downstream applications. These latest additions to breeders' toolbox hold
immense potential to support plant breeding schemes that seek development of LT
tolerant cultivars. High-yielding cultivars endowed with greater cold tolerance
are urgently required to sustain the crop yield under conditions severely
challenged by low-temperature.
PMID- 27878350
TI - Home Return After Surgery in Patients Aged over 85 Years is Associated with
Preoperative Albumin Levels, the Type of Surgery, and APACHE II Score.
AB - BACKGROUND: Owing to an aging society, both the number of operations for patients
aged >85 years and the average age of patients admitted to the intensive care
unit (ICU) are rapidly increasing. However, mortality is not an appropriate
outcome measurement in patients aged >85 years; a more important outcome is home
return (HR), because quality of life is valuable to these patients. We identified
predictors for HR of patients aged >85 years admitted to the ICU after surgery.
METHODS: Retrospective analysis of medical records was conducted at a university
hospital. Patients aged > 85 years, admitted to the ICU after surgery from March
2006 to June 2015 (n = 187), were divided into a HR group (patients who returned
home after discharge) and non-HR group (deceased or transferred to nursing
facilities). Perioperative data and outcome were assessed and compared.
Multivariate logistic regression analysis was conducted to identify independent
predictors. RESULTS: The average age of patients was 88 years. HR occurred in 61%
of patients, and mortality was 9%. The HR group had higher preoperative albumin
level than did the non-HR group. More patients in the non-HR group experienced
hip surgery than in the HR group (51 vs. 12%, P < 0.001). APACHE II score was
higher (P < 0.001) in the non-HR group. In multivariate analysis, preoperative
albumin, hip surgery, and APACHE II score were independent predictors of HR.
CONCLUSION: Predictors of HR of surgical critically ill elderly patients included
preoperative albumin level, hip surgery, and APACHE II score on ICU admission.
PMID- 27878352
TI - Perioperative Risks of Dietary and Herbal Supplements.
AB - BACKGROUND: Patients undergoing surgery often use Dietary and Herbal Supplements
(DHS). We explored the risk of DHS-drug interactions in the perioperative
setting. METHODS: In this cross-sectional prospective study, participants
hospitalized for surgery completed a questionnaire regarding DHS use. We used
pharmacological databases to assess DHS-drug interactions. We then applied
univariate and multivariate logistic regression analyses to characterize patients
at risk for DHS-drug interactions. RESULTS: Of 526 interviewees, 230 (44%)
patients reported DHS use, with 16.5% reporting using DHS that could potentially
interact with anesthesia. Twenty-four (10%) patients used DHS that could
potentially interact with antithrombotic drugs taken perioperatively. The medical
files of three patients included reports of intraoperative bleeding. The patient
files of only 11% of DHS users documented DHS use. CONCLUSIONS: DHS use poses a
significant health risk due to potential interactions. Guidelines should
emphasize perioperative management of DHS use.
PMID- 27878351
TI - C-Reactive Protein Indicates Early Stage of Postoperative Infectious
Complications in Patients Following Minimally Invasive Esophagectomy.
AB - BACKGROUND: Esophagectomy for patients with esophageal cancer is associated with
high rate of postoperative infectious complications (PICs). Although minimally
invasive esophagectomy (MIE) could reduce the rate of PICs, its incidence cannot
be ignored. Early detection of PICs may be beneficial in clinical settings. We
investigated whether systemic inflammation markers, such as C-reactive protein
(CRP) and white blood cell count (WBC), are useful for the early detection of
PICs. METHOD: We reviewed 158 patients who underwent MIE from 2000 to 2015 and
assessed PIC incidence and severity. The value of CRP and WBC in the early
detection of PICs was evaluated by receiver operating characteristics analyses.
Univariate and multivariate analyses were performed to identify severe PICs risk
factors (Clavien-Dindo classification, grade IIIa or higher). RESULTS: Thirty
patients developed PICs: grades III, IV, and V in 19 (12.0%), 9 (5.7%), and 2
(1.3%) patients, respectively. CRP on 4POD showed the highest value for detection
of PICs (AUC = 072). Cutoff value of CRP on 4POD was determined as 11.1 (mg/dL),
in which the sensitivity and specificity were the maximum value. The univariate
analysis revealed that sex (male), operation time (>=597 min), and CRP on 4POD
(>=11.1 mg/dL) were significant factors for detecting PICs. Multivariate analyses
showed that operation time (>=597) and CRP on 4POD (>=11.1 mg/dL) were
independent significant factors for detecting PICs. CONCLUSIONS: CRP on 4POD
>=11.1 mg/dL was an independent PICs risk factor in patients who underwent MIE.
It will be beneficial for the early detection of PICs following MIE.
PMID- 27878353
TI - Does MRI of the Thoracolumbar Spine Change Management in Blunt Trauma Patients
with Stable Thoracolumbar Spinal Injuries Without Neurologic Deficits?
AB - BACKGROUND: In blunt trauma patients with computed tomography (CT) findings of
stable thoracolumbar (TL) spinal injury without neurologic deficits, magnetic
resonance imaging (MRI) studies are commonly obtained, though the impact on
overall management remains unclear. The indication for MRI in patients with TL
injury without neurologic deficits continues to remain unclear. Here, we evaluate
the role of MRI on clinical management of patients presenting with this
diagnosis. METHODS: After IRB approval, all registry patients from December 2005
to December 2015 with all blunt TL injuries without defects were extracted.
General demographics, injury parameters, hospital and ICU length of stay
(ILOS/HLOS), CT/MRI findings, and intervention were collected. Impact of variant
ISS in the four groups was corrected by dividing HLOS and ILOS by ISS. The
Student's t test was conducted for statistical analysis. RESULTS: Of 613
patients, 236 met the inclusion criteria with average age of 52 +/- 23 y, ISS (7
+/- 4), HLOS (5 +/- 3 days), and ILOS (1 +/- 2 days). One hundred and thirty
three patients underwent MRI, and 103 patients underwent CT only. Patients who
underwent MRI were no more likely to attain intervention (p < 0.06) but had
longer length of stay relative to ISS (p < 0.006). CONCLUSIONS: MRI did not
affect rate of intervention though increased HLOS accounting for ISS. CT findings
of stability were concordant with MRI findings. Our results suggest that MRI may
not affect intervention decisions in blunt trauma patients with CT findings of
stable thoracolumbar spinal injury without neurological deficits.
PMID- 27878355
TI - Survival impact of neoadjuvant gemcitabine plus S-1 chemotherapy for patients
with borderline resectable pancreatic carcinoma with arterial contact.
AB - PURPOSE: The aim of this study was to evaluate the efficacy of neoadjuvant
gemcitabine plus S-1 (GS) chemotherapy as measured by overall survival for
patients with pancreatic carcinoma with arterial contact. METHODS: Medical
records of 77 patients with pancreatic carcinoma with arterial contact who
intended to undergo tumor resection were analyzed retrospectively. These patients
were divided into two groups: patients who underwent upfront surgery and patients
who underwent tumor resection after neoadjuvant GS chemotherapy.
Clinicopathological factors were compared between the two groups. RESULTS: Of the
77 patients, 25 patients underwent upfront surgery while the remaining 52
patients received neoadjuvant GS chemotherapy. Seven patients did not undergo
tumor resection due to distant metastasis. No serious adverse effects associated
with neoadjuvant GS chemotherapy were observed. The R0 resection rate of patients
who received neoadjuvant GS chemotherapy was significantly higher than that of
patients who did not (P < 0.001). Overall survival of patients who received
neoadjuvant GS chemotherapy was significantly longer than that of patients who
did not among all 77 patients (P = 0.003, median survival time, 27.1 vs. 11.6
months) as well as among the 70 patients who underwent tumor resection (P =
0.001, median survival time, 27.2 vs. 11.6 months). Multivariate analysis
demonstrated that neoadjuvant GS chemotherapy was an independent prognostic
factor of overall survival for patients who underwent tumor resection (P =
0.019). CONCLUSIONS: Neoadjuvant GS chemotherapy may provide a survival benefit
to patients with pancreatic carcinoma with arterial contact.
PMID- 27878354
TI - Randomized phase II study of cetuximab versus irinotecan and cetuximab in
patients with chemo-refractory KRAS codon G13D metastatic colorectal cancer (G13D
study).
AB - PURPOSE: This study investigated the efficacy and safety of cetuximab-based
treatment in patients with chemotherapy-resistant refractory mCRC with KRAS G13D
mutation. PATIENTS AND METHODS: An assessment of the efficacy and safety of
cetuximab-based treatment was performed in an observation-enriched randomized
controlled study comparing the cetuximab alone group (Cet group) and the
combination of cetuximab and irinotecan group (CetI group) for KRAS G13D-mutated
mCRC in Japan. In this study, the patients received a biweekly (500 mg/m2 on day
1) or weekly (250 mg/m2) intravenous infusion of cetuximab in Cet group, or a
biweekly (500 mg/m2 on day 1) or weekly (250 mg/m2) intravenous infusion of
cetuximab followed by irinotecan (150 mg/m2) in CetI group. Propensity score
adjustment was used to achieve balance in the observational arm. RESULTS: Data
from a total of 29 patients (10 in Cet group, 19 in CetI group) were analyzed.
Crude median progression-free survival time was 2.9 months in the Cet group and
2.5 months in the CetI group. Crude disease control rates were 55.6% in the Cet
group and 47.4% in the CetI group. After a median follow-up of 43 months, the
crude median overall survival was 8.0 months in the Cet group and 7.6 months in
the CetI group. Cetuximab-based treatment did not markedly increase any
characteristic toxicity and was generally well tolerated. Propensity score
analyses adjusted for performance status and number of metastases showed
comparable results with the crude results. CONCLUSION: Cetuximab-based treatment
seemed to benefit patients with chemotherapy-resistant, refractory KRAS G13D
mutated mCRC. Our results might support the administration of cetuximab-based
treatment for KRAS-mutant mCRC and would be able to provide treatment flexibility
in this setting.
PMID- 27878356
TI - Phase I trial of daily triapine in combination with cisplatin chemotherapy for
advanced-stage malignancies.
AB - PURPOSE: Advanced-stage malignancies have increased deoxyribonucleotide demands
in DNA replication and repair, making deoxyribonucleotide supply a potential
exploitable target for therapy based on ribonucleotide reductase (RNR)
inhibition. METHODS: A dose-finding phase I trial was conducted of intravenous
(i.v.) triapine, a small-molecule RNR inhibitor, and cisplatin chemotherapy in
patients with advanced-stage solid tumor malignancies. Patients received dose
finding levels of i.v. triapine (48-96 mg/m2) and i.v. cisplatin (20-75 mg/m2) on
1 of 3 different schedules. The primary endpoint was to identify the maximum
tolerated dose of a triapine-cisplatin combination. Secondary endpoints included
the rate of triapine-cisplatin objective response and the pharmacokinetics and
bioavailability of a single oral triapine dose. (Clinicaltrials.gov number,
NCT00024323). RESULTS: The MTD was 96 mg/m2 triapine daily days 1-4 and 75 mg/m2
cisplatin split over day 2 and day 3. Frequent grade 3 or 4 adverse events
included fatigue, dyspnea, leukopenia, thrombocytopenia, and electrolyte
abnormalities. No objective responses were observed; 5 (50%) of 10 patients
treated at the MTD had stable disease. Pharmacokinetics indicated an oral
triapine bioavailability of 88%. CONCLUSIONS: The triapine-cisplatin combination
may be given safely in patients with advanced-stage solid tumor malignancies. On
the basis of these results, a phase I trial adequately powered to evaluate oral
triapine bioavailability in women with advanced-stage uterine cervix or vulvar
cancers is underway.
PMID- 27878357
TI - On-treatment markers as predictors to guide anti-EGFR MoAb treatment in
metastatic colorectal cancer: a systematic review with meta-analysis.
AB - PURPOSE: Skin toxicity (ST) and early tumor shrinkage (ETS) are early phenomenon
during the anti-epidermal growth factor receptor (EGFR) monoclonal antibody
(MoAb) treatment. We conducted a meta-analysis and included relevant studies that
reported the impact of ST and ETS on survival- and life quality-based outcome of
metastatic colorectal cancer (mCRC) patients treated with anti-EGFR MoAb.
METHODS: Relevant studies were identified from PubMed and Embase reporting the
correlation of ST and ETS with the clinical outcome of mCRC patients treated with
anti-EGFR MoAb. We also collected evidences on the impact of ST and ETS on
absolute benefit acquired from additional anti-EGFR treatment and quality of life
(ST only). Pooled hazard ratio and rate difference were all estimated by using
random-effects model. RESULTS: Pooled data revealed that the occurrence of ST and
ETS >=20% (v < 20%) during anti-EGFR MoAb treatment were both associated with
better OS, PFS and ORR. This association could not be disturbed by KRAS status.
Mean changes in safety follow-up life health state from baseline appeared
unaffected by ST. Only mCRC patients with wild-type KRAS tumor who suffered grade
2+ ST could benefit from additional anti-EGFR treatment to chemotherapy or best
supportive care (BSC) alone. ETS was also a predictor for absolute survival
benefit acquired from additional anti-EGFR treatment for patients with wild-type
KRAS tumors, and the more early shrinkage the tumor was, the much benefit was
observed. CONCLUSION: ST and ETS are predictive of absolute benefit acquired from
anti-EGFR treatment in mCRC patients with wild-type KRAS tumors. These two on
treatment markers can be used for clinical decision-making if no adequate
biological markers from tissues are provided.
PMID- 27878358
TI - Clinical research on rare diseases of children: neuroblastoma.
AB - PURPOSE: Early access to new treatment options should not preclude accurate
research planning, especially for rare diseases and fragile populations. Taking
neuroblastoma as a model case, we analyzed the rationale supporting the search
for future therapeutic strategies in the light of preclinical and clinical
evidence. METHODS: We reviewed ongoing randomized trials of pharmacological
interventions for the treatment of neuroblastoma retrieved by searching
ClinicalTrials.gov and the European Union Clinical Trials Registry (last update
March 2016). RESULTS: Our search identified four randomized clinical trial
reports. We found poor evidence from preclinical and early clinical research
supporting their rationale. Their methodology was questionable too. CONCLUSIONS:
The urgency to cover unmet needs in difficult clinical settings like rare
diseases, particularly those involving fragile populations, cannot justify
disorderly research approaches. Under these circumstances, clinical questions
should be properly identified and addressed to protect patients and avoid
wasteful research.
PMID- 27878359
TI - Long noncoding RNA GAS5 inhibits malignant proliferation and chemotherapy
resistance to doxorubicin in bladder transitional cell carcinoma.
AB - PURPOSE: Bladder cancer is the most general malignant cancer in genitourinary
system, more than 90% of BCs are bladder transitional cell carcinomas (BTCC).
This study aimed to investigate the clinical significance of growth arrest
specific 5 (GAS5) gene and its regulatory effects of malignant proliferation and
chemotherapy resistance to doxorubicin in BTCC cells. METHODS: The expression of
GAS5 was detected by quantitative real-time PCR. Statistical analysis was used to
determine the relationship between GAS5 expression and clinical features and the
prognostic value of GAS5 for disease free survival. MTT assay was used to detect
cell proliferation ability and chemosensitivity. Dual-color flow cytometric
method was used to detect cell apoptosis. The expression of Bcl-2 protein was
examined by western blot. RESULTS: In this study, we found that GAS5 low
expressed in BTCC tissues and cells, and its low expression level had positive
correlation with higher pathological grades of BTCC. Moreover, GAS5 was a
prognostic biomarker of disease free survival for BTCC patients. GAS5 over
expression could inhibit cell proliferation of BTCC J82 and T24 cells
significantly. The IC50 to doxorubicin in T24/DOX cells (resistance to
doxorubicin) presented a conspicuous depression, GAS5 enhancement reduced the
chemotherapy resistance to doxorubicin. GAS5 over-expression promoted apoptosis
induced by doxorubicin in T24/DOX cells, and depressed the expression of anti
apoptosis protein Bcl-2. The results indicated that GAS5 regulated the
chemotherapy resistance to doxorubicin via Bcl2 partly. CONCLUSIONS: In summary,
lncRNA GAS5 was a prognostic biomarker of disease free survival in BTCC patients,
and acted as a tumor-suppressing gene to inhibit malignant proliferation and
resistance to doxorubicin in BTCC cells. LncRNA GAS5 might be a novel potential
therapeutic target for BTCC.
PMID- 27878360
TI - Erratum to: Tremor and hand-arm vibration syndrome (HAVS) in road maintenance
workers.
PMID- 27878361
TI - Linguistic validation of the "FACE-Q Rhinoplasty Module" in Italian.
PMID- 27878362
TI - A 3-D analysis of the protympanum in human temporal bones with chronic ear
disease.
AB - Eustachian tube dysfunction is believed to be an important factor to
cholesteatoma development and recurrence of disease after surgical treatment.
Although many studies have described prognostic factors, evaluation methods, or
surgical techniques for Eustachian tube dysfunction, they relied on the soft
tissues of its structure; little is known about its bony structure-the
protympanum-which connects the Eustachian tube to the tympanic cavity, and can
also be affected by several inflammatory conditions, both from the middle ear or
from the nasopharynx. We studied temporal bones from patients with cholesteatoma,
chronic otitis media (with and without retraction pockets), purulent otitis
media, and non-diseased ears, looking for differences between the volume of the
protympanum, the diameter of the Eustachian tube isthmus, and the distance
between the anterior tympanic annulus and the promontory. Light microscopy and 3
D reconstruction software were used for the measurements. We observed a decrease
of volume in the lumen of the four middle ear diseased ears compared to the
control group. We observed a significant decrease in the volume of the
protympanic space in the cholesteatoma group compared to the chronic otitis media
group. We also observed a decrease in the bony space (protympanum space) in
cholesteatoma, chronic otitis media with retraction pockets, and purulent otitis
media compared to the control group. We found a correlation in middle ear
diseases and a decrease in the middle ear space. Our findings may suggest that a
smaller bony volume in the protympanic area may trigger middle ear dysventilation
problems.
PMID- 27878363
TI - Improvement of overall survival in stage IV melanoma patients during 2011-2014:
analysis of real-world data in 441 patients of the German Central Malignant
Melanoma Registry (CMMR).
AB - BACKGROUND: During 2011 and 2014, new treatment modalities like tyrosine kinase
inhibitors and checkpoint inhibitors were introduced into the therapy of
metastatic melanoma. This study addresses the question whether overall survival
(OS) of metastatic melanoma patients has already been improved in 441 patients
diagnosed with metastatic melanoma between 2011 and 2014 in the real-world
setting at the University Hospital Tuebingen. METHODS: All patients were
documented with their different therapies by the CMMR and followed up until March
2016. Survival probabilities were calculated by Kaplan-Meier estimators, and log
rank tests were used to evaluate significances. Hazard ratios were estimated by
Cox regression analysis for survival probabilities and prognostic factors in
stage IV melanoma. RESULTS: Best OS was observed in patients (n = 93) treated by
metastasectomy as primary treatment with the intention to completely excise all
metastases (3-year OS 61%). OS for patients with first-line systemic treatment (n
= 258) was unfavorable in general (3-year OS 23%). Of those, the most favorable
outcome was observed in patients without brain metastasis and treated with
immunotherapy (mostly ipilimumab), as first-line treatment (median OS 35 months,
3-year OS 43%). In case of brain metastases, patients with targeted therapy had a
better OS (median 14 months) than patients with ipilimumab treatment (median 7
months). Among all patients with first-line systemic treatment, outcome of
patients diagnosed in the years 2013/2014, compared to 2011 and 2012, showed an
improved survival. Three-year OS for patients that entered stage IV in 2013/2014
was 37% compared to those that entered stage IV in 2011 (18%) and 2012 (20%).
CONCLUSION: The analysis of real-world data of treatment of metastatic melanoma
showed an improvement of OS with both immunotherapy and targeted therapy. In case
of cerebral metastasis, patients treated with targeted therapy showed a longer
median OS than patients treated with ipilimumab.
PMID- 27878364
TI - [AV-reentrant tachycardia and Wolff-Parkinson-White syndrome : Diagnosis and
treatment].
AB - The AV-reentrant tachycardia (AVRT) is a supraventricular tachycardia with an
incidence of 1-3/1000. The pathophysiological basis is an accessory
atrioventricular pathway (AP). Patients with AVRT typically present with
palpitations, an on-off characteristic, anxiety, dyspnea, and polyuria. This type
of tachycardia may often be terminated by vagal maneuvers. Although the clinical
presentation of AVRT is quite similar to AV-nodal reentrant tachycardias, the
correct diagnosis is often facilitated by analyzing a standard 12-lead ECG at
normal heart rate showing ventricular preexcitation. Curative catheter ablation
of the AP represents the therapy of choice in symptomatic patients. This article
is the fourth part of a series written to improve the professional education of
young electrophysiologists. It explains pathophysiology, symptoms, and
electrophysiological findings of an invasive EP study. It focusses on mapping and
ablation of accessory pathways.
PMID- 27878365
TI - [Implantable loop recorders of the Reveal family (Medtronic)].
AB - This review explains the implantable loop recorders Medtronic Reveal XT and
Medtronic Reveal LINQ. Technical specifications of the two devices are described
in great detail. Additional tips for implantation as well as device programming
are given including specific considerations of follow-up.
PMID- 27878367
TI - ?
PMID- 27878366
TI - Widespread tau seeding activity at early Braak stages.
AB - Transcellular propagation of tau aggregates may underlie the progression of
pathology in Alzheimer's disease (AD) and other tauopathies. Braak staging (B1,
B2, B3) is based on phospho-tau accumulation within connected brain regions:
entorhinal cortex (B1); hippocampus/limbic system (B2); and frontal and parietal
lobes (B3). We previously developed a specific and sensitive assay that uses flow
cytometry to quantify tissue seeding activity based on fluorescence resonance
energy transfer (FRET) in cells that stably express tau reporter proteins. In a
tauopathy mouse model, we have detected seeding activity far in advance of
histopathological changes. It remains unknown whether individuals with AD also
develop seeding activity prior to accumulation of phospho-tau. We measured tau
seeding activity across four brain regions (hippocampus, frontal lobe, parietal
lobe, and cerebellum) in 104 fresh-frozen human AD brain samples from all Braak
stages. We observed widespread seeding activity, notably in regions predicted to
be free of phospho-tau deposition, and in detergent-insoluble fractions that
lacked tau detectable by ELISA. Seeding activity correlated positively with Braak
stage and negatively with MMSE. Our results are consistent with early
transcellular propagation of tau seeds that triggers subsequent development of
neuropathology. The FRET-based seeding assay may also complement standard
neuropathological classification of tauopathies.
PMID- 27878368
TI - [Therapy options for malignant eyelid tumors].
AB - Basal cell carcinomas are the most common malignant tumors of the eyelids.
Patient history, clinical symptoms and signs, inspection, palpation and slit-lamp
examination usually allow a working diagnosis; however, the clinical diagnosis
requires histopathological confirmation and determination of the
histopathological type. Squamous cell carcinomas, sebaceous gland carcinomas,
melanomas and Merkel cell carcinomas can metastasize usually via the lymph
vessels into the regional lymph nodes. Microscopically controlled excision of the
primary tumor into healthy tissue is most commonly the first goal. Palpation and
ultrasonography of the regional lymph nodes and also computed tomography (CT)
with contrast enhancement and magnetic resonance imaging (MRI) for tumors with
perineural sheath cell invasion are necessary to define the TNM stage. Non
surgical treatment options are becoming more popular in the further management of
malignant eyelid tumors.
PMID- 27878369
TI - YOUTUBE videos on oral care of the organ or hematopoietic stem cell transplant
patients.
AB - OBJECTIVE: Video-sharing websites can be a useful platform for disseminating
information. The aim of this study was to evaluate information about the oral
health care of the organ transplant and hematopoietic stem cell transplant
patients available on YouTubeTM. METHODS: The transversal study evaluated the
content of YouTubeTM videos. The videos were located by entering key search terms
in the YouTubeTM search engine-oral care/dental management/organ
transplant/hematopoietic stem cell transplant patients. The videos were then
classified as useful, misleading, or as personal experiences reported by
patients. The following information was registered: the source of the video,
content, interaction, and overall quality. RESULTS: A total of 50 videos were
reviewed; 16 (33.14%) were classed as useful, 22 (44%) were misleading, and 12
(24%) reported patients' personal experiences. Significant differences were found
in overall quality (p = 0.012). When interaction variables were analyzed
statistically significant differences were found for the following: "I did not
like this video" (p <= 0.05) and comments (p <= 0.05). CONCLUSIONS: Several
videos reviewed information on oral care of organ transplant and hematopoietic
stem cell transplant patients but were sometimes difficult to interpret.
Nevertheless, YouTubeTM is a tool that can help supply information and promote
oral health care education among of organ transplant and hematopoietic stem cell
transplant patients.
PMID- 27878370
TI - Validation of the symbolic assessment of fatigue extent (SAFE)-a cancer fatigue
tool with visual response formats.
AB - CONTEXT: Fatigue is the most common under-recognized symptom in cancer.
Administering fatigue tools in multi-lingual and multi-literate populations may
affect the quality and accuracy of the data collected as they rely on language to
elicit responses. AIM: The aim of the study is to develop and validate a tool to
assess fatigue in cancer patients using response formats that are not language
dependent. METHODS: The content validity of the tool was established using the
Delphi procedure and was field tested with 102 cancer patients. Test-retest
reliability of the tool was tested with 55 cancer patients and 47 healthy
individuals. Convergent, concurrent, and discriminant validity and internal
consistency were established with 374 cancer patients, 202 survivors, and 75
healthy controls. STATISTICAL ANALYSIS: Qualitative analyses, descriptive
statistics, product-moment correlation, analysis of variance, Cronbach's alpha
coefficient, and exploratory factor analysis were conducted. RESULTS: The
Cronbach's alpha of the SAFE in cancer patients and healthy individuals was .86
and .92, and their test-retest reliability ranged from .44 to .83. SAFE
correlated significantly with measures of quality of life (QOL) (r = -0.54, p <
.01), anxiety (r = 0.54, p < .01), depression (r = 0.5, p < .01), and sleep (r =
0.52, p < .01). The tool was able to distinguish between cancer patients,
survivors, and healthy controls (p < .05). Two factors emerged namely "Fatigue
Extent and impact" and "General fatigue" contributing to 52% of the variance in
fatigue. CONCLUSION: A symbolic tool using visual response formats to assess
fatigue and its impact in cancer patients was developed and standardized with
good reliability and construct, concurrent, and discriminant validity
established.
PMID- 27878371
TI - Characterization of GlobalFiler loci in Angolan and Guinean populations
inhabiting Southern Portugal.
AB - We analyzed the GlobalFiler short tandem repeat (STR) loci for 152 and 70
unrelated individuals from Angolan and Guinean immigrant populations inhabiting
Southern Portugal, respectively. After Bonferroni correction, no significant
deviations from the Hardy-Weinberg equilibrium and linkage disequilibrium were
detected for either population. For the Angolan population, SE33 was the most
informative marker. In contrast, D5S818 and D13S317 were the least informative
loci. The combined power of discrimination was 99.9999999999999999999999961907%.
For the Guinean population, SE33 and D21S1 were the most informative loci, while
D13S317 was the least. The combined power of discrimination was
99.99999999999999999999997915%. No significant differences were observed between
Angolan, Guinean, and Afro-American populations for any of the analyzed STRs. The
South African population presented significant differences at D22S1045 and
D10S1248 when compared to Angola, and at D22S1045 when compared to Guinea-Bissau.
The MDS plot and neighbor-joining tree analysis revealed that Angolan and Guinean
populations are genetically close to African-American and South African
populations, and genetically different from Korean, Mexican, European (including
American-Caucasian), and Middle Eastern populations.
PMID- 27878372
TI - Combined effects of multiple linked loci on pairwise sibling tests.
AB - The advanced multiplex STR system, PowerPlex Fusion, includes four linked locus
pairs. The conventional Identifiler system has one pair of linked loci.
Therefore, sibling tests conducted using the advanced system might be more
affected by linkage than those conducted using the conventional system. This
study simulated single and combined effects of the four linked locus pairs on
pairwise sibling tests. Simulated genotypes of 100,000 pairs of full siblings and
nonrelatives were constructed according to allele frequencies of the Japanese
population. The single linkage effect was evaluated for simulated genotype data
by calculating both the likelihood ratio accounting for the linkage between two
loci and the likelihood ratio ignoring the linkage. The combined effect was
obtained by multiplication of the respective single effects. Furthermore, we
investigated the possibility that ignoring the linkage affects subject
classification by introducing a scale of the likelihood ratio into sibling tests.
The single effect in the Identifiler analysis was 0.645-1.746 times if the
linkage was ignored. Overestimations and underestimations were predictable from
the identical-by-state status at two linked loci. The combined effect in the
PowerPlex Fusion analysis was 0.217-7.390 times. Ignoring the linkage rarely
caused a false conclusive or inconclusive result, even from PowerPlex Fusion
analysis. Application of the advanced system improved sibling tests considerably.
The additional examined loci were more beneficial than the adverse effect of the
linkage derived from the four linked locus pairs.
PMID- 27878373
TI - Restrictive allograft syndrome after lung transplantation: new radiological
insights.
AB - OBJECTIVES: To describe the CT changes in patients with restrictive allograft
syndrome (RAS) after lung transplantation, before and after clinical diagnosis.
METHODS: This retrospective study included 22 patients with clinical diagnosis of
RAS. Diagnosis was based on a combination of forced expiratory volume (FEV1)
decline (>=20 %) and total lung capacity (TLC) decline (>=10 %). All available CT
scans after transplantation were analyzed for the appearance and evolution of
lung abnormalities. RESULTS: In 14 patients, non-regressing nodules and
reticulations predominantly affecting the upper lobes developed an average of
13.9 months prior to the diagnosis of RAS. Median graft survival after onset of
non-regressing abnormalities was 33.5 months, with most patients in follow-up
(9/14). In eight patients, a sudden appearance of diffuse consolidations mainly
affecting both upper and lower lobes was seen an average of 2.8 months prior to
the diagnosis of RAS. Median graft survival was 6.4 months after first onset of
non-regressing abnormalities, with graft loss in most patients (6/8).
CONCLUSIONS: RAS has been previously described as a homogenous group. However,
our study shows two different groups of RAS-patients: one with slow progression
and one with fast progression. The two groups show different onset and
progression patterns of CT abnormalities. KEY POINTS: * RAS is the newest
discovered form of chronic lung allograft dysfunction (CLAD). * RAS is not a
homogenous group, as survival varies greatly between patients. * In this study,
we see two different CT onset and progression patterns. * These two different CT
patterns also correlate with a different survival rate.
PMID- 27878375
TI - Hyper-thermal acid hydrolysis and adsorption treatment of red seaweed, Gelidium
amansii for butyric acid production with pH control.
AB - Optimal hyper-thermal (HT) acid hydrolysis conditions for Gelidium amansii were
determined to be 12% (w/v) seaweed slurry content and 144 mM H2SO4 at 150
degrees C for 10 min. HT acid hydrolysis-treated G. amansii hydrolysates produced
low concentrations of inhibitory compounds and adsorption treatment using 3%
activated carbon. An adsorption time of 5 min was subsequently used to remove the
inhibitory 5-hydroxymethylfurfural from the medium. A final maximum
monosaccharide concentration of 44.6 g/L and 79.1% conversion from 56.4 g/L total
fermentable monosaccharides with 120 g dw/L G. amansii slurry was obtained from
HT acid hydrolysis, enzymatic saccharification, and adsorption treatment. This
study demonstrates the potential for butyric acid production from G. amansii
hydrolysates under non-pH-controlled as well as pH-controlled fermentation using
Clostridium acetobutylicum KCTC 1790. The activated carbon treatment and pH
controlled fermentation showed synergistic effects and produced butyric acid at a
concentration of 11.2 g/L after 9 days of fermentation.
PMID- 27878374
TI - Agents for fluorescence-guided glioma surgery: a systematic review of preclinical
and clinical results.
AB - BACKGROUND: Fluorescence-guided surgery (FGS) is a technique used to enhance
visualization of tumor margins in order to increase the extent of tumor resection
in glioma surgery. In this paper, we systematically review all clinically tested
fluorescent agents for application in FGS for glioma and all preclinically tested
agents with the potential for FGS for glioma. METHODS: We searched the PubMed and
Embase databases for all potentially relevant studies through March 2016. We
assessed fluorescent agents by the following outcomes: rate of gross total
resection (GTR), overall and progression-free survival, sensitivity and
specificity in discriminating tumor and healthy brain tissue, tumor-to-normal
ratio of fluorescent signal, and incidence of adverse events. RESULTS: The search
strategy resulted in 2155 articles that were screened by titles and abstracts.
After full-text screening, 105 articles fulfilled the inclusion criteria
evaluating the following fluorescent agents: 5-aminolevulinic acid (5-ALA) (44
studies, including three randomized control trials), fluorescein (11),
indocyanine green (five), hypericin (two), 5-aminofluorescein-human serum albumin
(one), endogenous fluorophores (nine) and fluorescent agents in a pre-clinical
testing phase (30). Three meta-analyses were also identified. CONCLUSIONS: 5-ALA
is the only fluorescent agent that has been tested in a randomized controlled
trial and results in an improvement of GTR and progression-free survival in high
grade gliomas. Observational cohort studies and case series suggest similar
outcomes for FGS using fluorescein. Molecular targeting agents (e.g.,
fluorophore/nanoparticle labeled with anti-EGFR antibodies) are still in the pre
clinical phase, but offer promising results and may be valuable future
alternatives.
PMID- 27878379
TI - Uncommon cause of fever in a pediatric kidney transplant recipient: Questions.
PMID- 27878377
TI - Relationship between the plasma levels of neurodegenerative proteins and motor
subtypes of Parkinson's disease.
AB - The aim of our study is to examine the plasma levels of the four kinds of
neurodegenerative proteins in plasma: alpha-syn, T-tau, P-tau181, and Abeta-42 in
Parkinson's disease (PD) and to evaluate the relationship between their plasma
levels and PD motor subtypes. 84 patients with PD were enrolled in our study, and
finally, 73 of them were classified into the tremor-dominant subtype (TD) and the
postural instability gait difficulty subtype (PIGD). Their motor performance was
evaluated by a series of clinical assessments: Freezing of Gait Questionnaire
(FOGQ), Timed Up and Go (TUGs), Tinetti balance, and Tinetti gait. Plasma levels
of these proteins were measured by enzyme-linked immunosorbent assay (ELISA). The
plasma level of alpha-syn was significantly higher in PD patients when compared
to controls (p = 0.004), and significantly higher in the PIGD group when compared
to the TD group (p = 0.03). While the plasma level of Abeta-42 was significantly
lower in PD patients than in controls (p = 0.002), and significantly lower in the
PIGD group than in the TD group (p = 0.05). In PD patients, the plasma level of
alpha-syn (r = -0.355, p < 0.001) was significantly related to the severity of
Tenitti Gait score, even after performing multiple linear regression (p = 0.002).
While the plasma level of Abeta-42 (r = -0.261, p < 0.05) was significantly
associated with the severity of PIGD score and remained correlate when performed
multiple linear regression (p = 0.005). The patients with PIGD subtype are
characterized with a lower level of plasma Abeta-42 and a higher plasma level of
alpha-syn, which may be used as biomarkers for diagnosis and progression of the
subtypes of PD.
PMID- 27878376
TI - Amygdala structure and aggressiveness in borderline personality disorder.
AB - Aggressiveness is considered an important clinical feature of borderline
personality disorder (BPD) and has been associated with alterations of the
amygdala. However, studies that analyzed the exact location of amygdala
alterations associated with aggressiveness in BPD or that systematically compared
female and male BPD patients are missing. In the current study, we therefore
investigated a sex-mixed sample of BPD patients and healthy volunteers and
applied an automated segmentation method that allows the study of both,
alterations of amygdala volume and localized amygdala shape. Volumetric results
revealed no difference in amygdala volume between BPD patients and healthy
volunteers, but a trend for a positive association between volume of the right
amygdala and aggressiveness in male BPD patients. Analyses of amygdala shape
showed a trend for a group by sex interaction effect in the left laterobasal
amygdala, without a difference in subgroup analyses. Finally, regions of the left
superficial and laterobasal amygdala of male BPD patients were positively
associated with aggressiveness. In sum, our results emphasize the need to
consider sex-specific effects and demonstrate a link between male BPD patients'
aggressiveness and amygdala regions that are particularly related to social
information processing and associative emotional learning.
PMID- 27878378
TI - Phonotactic flight of the parasitoid fly Emblemasoma auditrix (Diptera:
Sarcophagidae).
AB - The parasitoid fly Emblemasoma auditrix locates its hosts using acoustic cues
from sound producing males of the cicada Okanagana rimosa. Here, we
experimentally analysed the flight path of the phonotaxis from a landmark to the
target, a hidden loudspeaker in the field. During flight, the fly showed only
small lateral deviations. The vertical flight direction angles were initially
negative (directed downwards relative to starting position), grew positive
(directed upwards) in the second half of the flight, and finally flattened
(directed horizontally or slightly upwards), typically resulting in a landing
above the loudspeaker. This phonotactic flight pattern was largely independent
from sound pressure level or target distance, but depended on the elevation of
the sound source. The flight velocity was partially influenced by sound pressure
level and distance, but also by elevation. The more elevated the target, the
lower was the speed. The accuracy of flight increased with elevation of the
target as well as the landing precision. The minimal vertical angle difference
eliciting differences in behaviour was 10 degrees . By changing the elevation of
the acoustic target after take-off, we showed that the fly is able to orientate
acoustically while flying.
PMID- 27878380
TI - Uncommon cause of fever in a pediatric kidney transplant recipient: Answers.
PMID- 27878381
TI - Posttraumatic stress and quality of life with the totally subcutaneous compared
to conventional cardioverter-defibrillator systems.
AB - BACKGROUND: For prevention of sudden cardiac death, the transvenously implantable
cardioverter-defibrillator therapy (tv-ICD) is well accepted. The subcutaneous
system (S-ICD(r)) is promising in terms of reducing ICD complications.
Nevertheless, the impact of the novel generator position on patients' quality of
life (QoL) is yet unknown. OBJECTIVE: This study aimed at comparing QoL and
posttraumatic stress with both systems. METHODS: 60 S-ICD(r) and 60 case
controlled tv single-chamber ICD patients were asked to respond to three
standardized questionnaires. PDS [screening for posttraumatic stress disorders
(PTSD)] and PHQ-D (detection of the most predominant psychological disorders)
were used to screen for potential mental comorbidities. The SF-12 questionnaire
was used to evaluate physical and mental well-being. Groups were compared in
terms of QoL and PTSD. RESULTS: n = 42 (70%) pairs were analyzed (n = 30 male,
mean age 44.6 +/- 12.2 years). Prior appropriate (p = 0.06) or inappropriate
episodes (p = 0.24), ejection fraction (p = 0.28), or underlying cardiac disease
did not differ significantly between groups. PDS revealed a PTSD in n = 6 tv-ICD
and n = 6 S-ICD(r) patients (14.3%) equally. In the PHQ-D questionnaire, n = 4 tv
ICD and n = 2 S-ICD(r) patients fulfilled criteria for a major depression (p =
0.68). Panic disorders (n = 2 tv, n = 0 S-ICD(r), p = 0.5), and anxiety disorders
(n = 3 S-ICD(r), n = 0 tv-ICD, p = 0.24) did not differ between groups. The
physical well-being score was 39.9 +/- 12.5 in patients with a tv-ICD compared to
46.6 +/- 9.9 in S-ICD(r) patients (p = 0.01). The mental well-being score was
comparable in both groups (tv-ICD 51.8 +/- 10.8 vs. S-ICD(r) 51.9 +/- 10.4, p =
0.95). CONCLUSIONS: Our case-control study revealed equal or even better physical
well-being of patients with the S-ICD(r). PTSD occurred in almost 15% of ICD
patients irrespective of the type of system.
PMID- 27878382
TI - Ultra-marathon 100 km in an islet-transplanted runner.
PMID- 27878384
TI - Direct consumptive interactions between mammalian herbivores and plant-dwelling
invertebrates: prevalence, significance, and prospectus.
AB - Mammalian herbivores induce changes in the chemical composition, phenology,
distribution, and abundance of the plants they feed on. Consequently,
invertebrate herbivores (predominantly insects) that depend on those plants, and
the predators and parasitoids that are associated with them, may be affected.
This plant-mediated indirect interaction between mammals and invertebrates has
been extensively studied, but mammalian herbivores may also directly affect plant
dwelling invertebrates (PDI) by incidentally ingesting them while feeding. The
ubiquity and small size of PDI render them highly susceptible to incidental
ingestion, but as common as this interaction may intuitively seem, very little is
known about its prevalence and ecological consequences. Nevertheless, cases of
incidental ingestion of PDI and associated adaptations for avoiding it that have
been sporadically documented in several invertebrate groups and life stages allow
us to carefully extrapolate and conclude that it should be common in nature.
Incidental ingestion may, therefore, bear significant consequences for PDI, but
it may also affect the mammalian herbivores and the shared plants. Future
research on incidental ingestion of PDI would have to overcome several technical
difficulties to gain better insight into this understudied ecological
interaction.
PMID- 27878385
TI - The effects of warming on the ecophysiology of two co-existing kelp species with
contrasting distributions.
AB - The northeast Atlantic has warmed significantly since the early 1980s, leading to
shifts in species distributions and changes in the structure and functioning of
communities and ecosystems. This study investigated the effects of increased
temperature on two co-existing habitat-forming kelps: Laminaria digitata, a
northern boreal species, and Laminaria ochroleuca, a southern Lusitanian species,
to shed light on mechanisms underpinning responses of trailing and leading edge
populations to warming. Kelp sporophytes collected from southwest United Kingdom
were maintained under 3 treatments: ambient temperature (12 degrees C), +3
degrees C (15 degrees C) and +6 degrees C (18 degrees C) for 16 days. At
higher temperatures, L. digitata showed a decline in growth rates and Fv/Fm, an
increase in chemical defence production and a decrease in palatability. In
contrast, L. ochroleuca demonstrated superior growth and photosynthesis at
temperatures higher than current ambient levels, and was more heavily grazed.
Whilst the observed decreased palatability of L. digitata held at higher
temperatures could reduce top-down pressure on marginal populations, field
observations of grazer densities suggest that this may be unimportant within the
study system. Overall, our study suggests that shifts in trailing edge
populations will be primarily driven by ecophysiological responses to high
temperatures experienced during current and predicted thermal maxima, and
although compensatory mechanisms may reduce top-down pressure on marginal
populations, this is unlikely to be important within the current biogeographical
context. Better understanding of the mechanisms underpinning climate-driven range
shifts is important for habitat-forming species like kelps, which provide organic
matter, create biogenic structure and alter environmental conditions for
associated communities.
PMID- 27878383
TI - Hsa_circ_0054633 in peripheral blood can be used as a diagnostic biomarker of pre
diabetes and type 2 diabetes mellitus.
AB - AIMS: The purpose of the current study was to investigate the characteristic
expression of circular RNAs (circRNAs) in the peripheral blood of type 2 diabetes
mellitus (T2DM) patients and their potential as diagnostic biomarkers for pre
diabetes and T2DM. METHODS: CircRNAs in the peripheral blood from six healthy
individuals and six T2DM patients were collected for microarray analysis, and an
independent cohort study consisting of 20 normal cases, 20 pre-diabetes patients
and 20 T2DM patients was conducted to verify the five chosen circRNAs. We then
tested hsa_circ_0054633 in a third cohort (control group, n = 60; pre-diabetes
group, n = 63; and T2DM group, n = 64) by quantitative real-time polymerase chain
reaction (Q-PCR). RESULTS: In total, 489 circRNAs were discovered to be
differentially expressed between the two groups, and of these, 78 were
upregulated and 411 were downregulated in the T2DM group. Five circRNAs were then
selected as candidate biomarkers and further verified in a second cohort.
Hsa_circ_0054633 was found to have the largest area under the curve (AUC). The
diagnostic capacity of hsa_circ_0054633 was tested in a third cohort. After
introducing the risk factors of T2DM, the hsa_circ_0054633 AUCs for the diagnosis
of pre-diabetes and T2DM slightly increased from 0.751 (95% confidence interval
[0.666-0.835], P < 0.001) to 0.841 ([0.773-0.910], P < 0.001) and from 0.793
([0.716-0.871], P < 0.001) to 0.834 ([0.762-0.905], P < 0.001), respectively.
CONCLUSIONS: Hsa_circ_0054633 presented a certain diagnostic capability for pre
diabetes and T2DM.
PMID- 27878386
TI - Associated symptoms of depression: patterns of change during pregnancy.
AB - Little is known about the natural course of depressive symptoms and associated
features throughout pregnancy. We examined the course of some psychological and
somatic symptoms in each month of pregnancy in a normative sample. A consecutive,
unselected sample of women (N = 374) were interviewed retrospectively at 6 weeks
postpartum with the Structured Clinical Interview (DSM-IV). Women were asked
whether they had experienced each symptom at any time during pregnancy and the
occurrence of the symptom for each month of pregnancy. Associated symptoms of
depression showed complex changes across pregnancy. Depressed mood (F(df) =
5.15(1); p = 0.02) showed a quadratic pattern with elevations at the beginning
and end of pregnancy. Both linear increases (a) and quadratic (b) changes over
time were observed for sensitivity to criticism (F a(df) = 20.9(1), p a = 0.00; F
b(df) = 7.02(1), p b = 0.00), lack of concentration (F a(df) = 37.0(1), p a =
0.00; F b(df) = 10.3(1); p b = 0.00), decreased energy (F a(df) = 13.4(1); p a =
0.00; F b(df) = 62.6(1); p b = 0.00) and feelings of heavy limbs (F a (df) =
92.9(1); p a = 0.00; F b(df) = 67.7(1); p b = 0.00). Only guilt (F(df) = 0.00(1);
p = 0.93) showed no significant change over pregnancy. Psychological symptoms
changed throughout pregnancy as much as somatic symptoms. A linear increase was
found for most symptoms, but significant non-linear changes were also found. The
discrepancy between the patterns of depressed mood and most somatic and
psychological symptoms suggest complex interactions and potentially important
implications for assessment and monitoring treatment.
PMID- 27878388
TI - Influence of geomagnetic activity and earth weather changes on heart rate and
blood pressure in young and healthy population.
AB - There are many references in the literature related to connection between the
space weather and the state of human organism. The search of external factors
influence on humans is a multi-factor problem and it is well known that humans
have a meteo-sensitivity. A direct problem of finding the earth weather
conditions, under which the space weather manifests itself most strongly, is
discussed in the present work for the first time in the helio-biology. From a
formal point of view, this problem requires identification of subset
(magnetobiotropic region) in three-dimensional earth's weather parameters such as
pressure, temperature, and humidity, corresponding to the days when the human
body is the most sensitive to changes in the geomagnetic field variations and
when it reacts by statistically significant increase (or decrease) of a
particular physiological parameter. This formulation defines the optimization of
the problem, and the solution of the latter is not possible without the
involvement of powerful metaheuristic methods of searching. Using the algorithm
of differential evolution, we prove the existence of magnetobiotropic regions in
the earth's weather parameters, which exhibit magneto-sensitivity of systolic,
diastolic blood pressure, and heart rate of healthy young subjects for three
weather areas (combinations of atmospheric temperature, pressure, and humidity).
The maximum value of the correlation confidence for the measurements attributable
to the days of the weather conditions that fall into each of three
magnetobiotropic areas is an order of 0.006, that is almost 10 times less than
the confidence, equal to 0.05, accepted in many helio-biological researches.
PMID- 27878387
TI - Genetic susceptibility to cutaneous radiation injury.
AB - The use of ionizing radiation is critical to cancer treatment and fluoroscopic
procedures. However, despite efforts to minimize total radiation dose, many
patients experience toxic cutaneous side-effects of ionizing radiation, ranging
from mild erythema to subcutaneous fibrosis, telangiectasia formation, and
ulceration. Extent of injury is highly variable among patients. Studying the
genetic determinants of radiation injury can help develop protocols to reduce
radiation toxicity, as well as drive research into effective modulators of the
genes and gene products associated with radiation injury. Many studies in the
past two decades have identified single-nucleotide polymorphisms that may be
associated with susceptibility to cutaneous radiation injury, such as those in
genes related to the following cellular responses to ionizing radiation:
inflammation, DNA repair, oxidation and stress response, and cell-cycle and
apoptosis. This review summarizes the current literature on potential major genes
and polymorphisms, in the previously described damage response pathways, that are
involved in susceptibility to cutaneous radiation injury. Potential pitfalls of
current research and further avenues of discovery will be explored.
PMID- 27878389
TI - Spleen atrophy related immune system changes attributed to infection of
Angiostrongylus cantonensis in mouse model.
AB - The spleen is one of the most important peripheral immune organs, which is
frequently affected in infectious diseases. Infectious diseases can induce
splenic alterations including splenic atrophy and functional alteration, while
splenic atrophy may in turn interferes with recovery of infectious diseases.
Angiostrongyliasis is an infectious disease by Angiostrongylus cantonensis (A.
cantonensis), which invade non-permissive hosts, such as humans and mice, to
cause severe damage to the central nervous system (CNS) and acute inflammatory
response. A. cantonensis infection-induced CNS injury has been confirmed to be
due to profound immunopathology derived from peripheral immune components.
However, the mechanism of immunopathology remains largely unknown. Here, we found
that A. cantonensis invaded non-permissive hosts such as mice in the brain, but
not in the other peripheral organs. However, this infection induced severe spleen
atrophy. We further recognized that this atrophy is associated with a decrease of
total splenocyte number and disruption of splenic structure due to reduced
proliferation and increased apoptotosis. These also resulted in deterioration of
T cell profile in the periphery with a low CD4/CD8 ratio and B/T cell ratio, and
increased ratio of CD4+CD25+Foxp3+ Treg, CD8+CD28- T, and CD38+T lymphocyte of
spleen. Albendazole treatment can alleviate spleen atrophy and set T cell immune
reconstitution in some extend. Our data showed that A. cantonensis infection can
cause splenic atrophy. These results are suggested to put more emphasis to
improve the function of immune system. Meanwhile, infection and treatment model
will be useful to evaluate new therapeutic approaches which can prevent or
reverse immunosuppression and infectious complications.
PMID- 27878391
TI - Erratum to: 47. Jahrestagung der Osterreichischen Gesellschaft fur Innere
Medizin.
PMID- 27878390
TI - Trematodes from the Northern Lapwing, Vanellus vanellus (Charadriidae), from
Central Germany.
AB - Seventy-five previously frozen Northern Lapwings, Vanellus vanellus (Linnaeus,
1758) were dissected and examined for trematodes. The available birds were
euthanized after suffering severe injuries from a hail storm. Trematode specimens
were found in the air sacs, body cavities and kidneys. They were cleared, stained
in Alaun-Carmine and examined via light microscopy. Trematodes were assigned to
two different families and three different species: Uvitellina vanelli and
Selfcoelum sp. from the family Cyclocoelidae and Tanaisia valida of the family
Eucotylidae. A prevalence of 12% (for both, Cyclocoelid and Eucotylid infections)
was detected. While trematodes of the respiratory system have been discovered in
V. vanellus before, the present study describes renal trematodiasis in this bird
species for the first time. Furthermore, the first recovering of a trematode of
the species Selfcoelum sp. in the Northern Lapwing is described. Full
measurements and figures of trematodes are given. Criteria and critical points in
specifying and recovering the parasites are discussed.
PMID- 27878392
TI - Effective treatment of intractable cutaneous metastases of breast cancer with
electrochemotherapy: Ten-year audit of single centre experience.
AB - PURPOSE: Electrochemotherapy (ECT) is the application of electric pulses to
tumour tissue to render the cell membranes permeable to usually impermeant
hydrophilic anti-cancer drugs, thereby enhancing cytotoxic effects. We sought to
ascertain whether ECT can be an effective palliative treatment for cutaneous
metastases of breast cancer. METHODS: This work reports data from the European
Standard Operating Procedures for Electrochemotherapy trial (EudraCT Number: 2004
002183-18). In combination with systemic and/or intratumoural bleomycin,
optimised electric pulses were delivered to locally recurrent or metastatic
cutaneous breast cancer lesions. Follow-up continued until December 2014.
RESULTS: Between February 2004 and December 2014, twenty-four patients were
treated. All patients had received prior multimodal therapy. In total, the
patient cohort had, or developed, 242 lesions. Two hundred and 36 lesions were
treated, with 34 lost to follow-up. An objective response was seen in 161 of 202
lesions (79.7%), with a complete response observed in 130 (64.3%). Thirty-nine
lesions (19.3%) did not respond, while 2 (1%) progressed following ECT. 17
(73.9%) patients received two or fewer treatments. A minimum of a partial
response was seen in at least 50% of treated lesions in 18 of the 24 (75%)
patients. Smaller lesions were more likely to have an objective response (Chi
square test for trend, p < 0.001). CONCLUSIONS: Electrochemotherapy is an
effective treatment for cutaneous breast cancer lesions that have proven
refractory to standard therapies. As smaller lesions were found to be more
responsive, we suggest that ECT should be considered as an early treatment
modality, within multimodal treatment strategies.
PMID- 27878393
TI - Access, use, and challenges of claims data analyses in Germany.
PMID- 27878394
TI - Sex differences in the association of obesity and colorectal cancer risk.
AB - Epidemiological research has convincingly shown that obesity increases colorectal
cancer (CRC) risk, with generally stronger associations observed in men than in
women. Evidence from the past several years has demonstrated a divergent pattern
between men and women regarding the weight changes throughout life or timing of
obesity for CRC risk. For men, weight gain later in life appears to be an
important risk factor for CRC that mostly accounts for their generally strong
association between adult body mass index and CRC risk. For women, however, early
life obesity seems to be more important than adult weight gain in determining CRC
risk. A knowledge of these sex patterns may have implications on better
understanding colorectal carcinogenesis and may further improve prevention
efforts for CRC.
PMID- 27878396
TI - New dinitrosyl iron complexes bound with physiologically active dipeptide
carnosine.
AB - Dinitrosyl iron complexes (DNICs) are physiological NO derivatives and account
for many NO functions in biology. Polyfunctional dipeptide carnosine (beta-alanyl
L-histidine) is considered to be a very promising pharmacological agent. It was
shown that in the system containing carnosine, iron ions and Angeli's salt, a new
type of DNICs bound with carnosine as ligand {(carnosine)2-Fe-(NO)2}, was formed.
We studied how the carbonyl compound methylglyoxal influenced this process.
Carnosine-bound DNICs appear to be one of the cell's adaptation mechanisms when
the amount of reactive carbonyl compounds increases at hyperglycemia. These
complexes can also participate in signal and regulatory ways of NO and can act as
protectors at oxidative and carbonyl stress conditions.
PMID- 27878397
TI - [Primary Health Care in Austria - Tu Felix Austria nube - Concept for networking
in the primary care of Upper Austria].
AB - The primary health care in rural areas in Austria is currently determined by
challenges such as ageing of the population, the shift towards chronic and age
related illnesses, the specialist medical and hospital-related education and
training of physicians' as well growing widespread difficulty of staffing
doctor's office. The objective is to realize a general practitioner centered and
team-oriented primary health care (PHC) approach by establishing networked
primary health care in rural areas of Austria. Using literature research, online
survey, expert interviews and expert workshops, we identified different
challenges in terms of primary health care in rural areas. Further, current
resources and capacities of primary health care in rural areas were identified
using the example of the district of Rohrbach. Twelve design dimensions and 51
relevant measurement indicators of a PHC network were delineated and described.
Based on this, 12 design approaches of PHC concept for the GP-centered and team
oriented primary health care in rural areas have been developed.
PMID- 27878395
TI - A tale of two methane monooxygenases.
AB - Methane monooxygenase (MMO) enzymes activate O2 for oxidation of methane. Two
distinct MMOs exist in nature, a soluble form that uses a diiron active site
(sMMO) and a membrane-bound form with a catalytic copper center (pMMO).
Understanding the reaction mechanisms of these enzymes is of fundamental
importance to biologists and chemists, and is also relevant to the development of
new biocatalysts. The sMMO catalytic cycle has been elucidated in detail,
including O2 activation intermediates and the nature of the methane-oxidizing
species. By contrast, many aspects of pMMO catalysis remain unclear, most notably
the nuclearity and molecular details of the copper active site. Here, we review
the current state of knowledge for both enzymes, and consider pMMO O2 activation
intermediates suggested by computational and synthetic studies in the context of
existing biochemical data. Further work is needed on all fronts, with the
ultimate goal of understanding how these two remarkable enzymes catalyze a
reaction not readily achieved by any other metalloenzyme or biomimetic compound.
PMID- 27878398
TI - HSP90 is a promising target in gemcitabine and 5-fluorouracil resistant
pancreatic cancer.
AB - Chemotherapy (CT) options in pancreatic cancer (PC) are limited to gemcitabine
and 5-fluorouracil (5-FU). Several identified molecular targets in PC represent
client proteins of HSP90. HSP90 is a promising target since it interferes with
many oncogenic signaling pathways simultaneously. The aim of this study was to
evaluate the efficacy of different HSP90 inhibitors in gemcitabine and 5-FU
resistant PC. PC cell lines 5061, 5072 and 5156 were isolated and brought in to
culture from patients being operated at our institution. L3.6pl cell line served
as a control. Anti-proliferative efficacy of three different HSP90 inhibitors (17
AAG, 17-DMAG and 17-AEPGA) was evaluated by the MTT assay. Alterations in
signaling pathway effectors and apoptosis upon HSP90 inhibition were determined
by western blot analysis and annexin V/PI staining. The cell lines 5061, 5072 and
5156 were resistant to gemcitabine and 5-FU. In contrast 17-AAG and the water
soluble derivates 17-DMAG and 17-AEPGA displayed high anti-proliferative activity
in all tested cell lines. The calculated IC50 was below 1 uM. Highly significant
down regulation of epidermal-growth-factor-receptor, insulin-like-growth-factor
receptor-1, AKT and MAPK reflected the intracellular molecular signaling-network
disruption. Furthermore, besides HSP70 also HSP27 was upregulated in all cell
lines. Apoptosis occurred early under HSP90 inhibition and was determined by
annexin V/PI staining and CASPASE-3 and PARP assay. In contrast, gemcitabine
treated cells did not show any apoptosis. HSP90 inhibition disrupts multiple
signaling cascades in gemcitabine and 5-FU resistant PC simultaneously and
promotes cancer cell apoptosis. Watersoluble 17-DMAG is equally effective as 17
AAG. HSP27, besides HSP70, may represent an effective response marker of
successful HSP90 inhibition.
PMID- 27878399
TI - Altered architecture and cell populations affect bone marrow mechanobiology in
the osteoporotic human femur.
AB - Age-related increases in trabecular bone porosity, as seen in osteoporosis, not
only affect the strength and stiffness, but also potentially the
mechanobiological response of bone. The mechanical interaction between trabecular
bone and bone marrow is one source of mechanobiological signaling, as many cell
populations in marrow are mechanosensitive. However, measuring the mechanics of
this interaction is difficult, due to the length scales and geometric complexity
of trabecular bone. In this study, a multi-scale computational scheme
incorporating high-resolution, tissue-level, fluid-structure interaction
simulations with discrete cell-level models was applied to characterize the
potential effects of trabecular porosity and marrow composition on marrow
mechanobiology in human femoral bone. First, four tissue-level models with
different volume fractions (BV/TV) were subjected to cyclic compression to
determine the continuum level shear stress in the marrow. The calculated stress
was applied to three detailed models incorporating individual cells and having
differing adipocyte fractions. At the tissue level, compression of the bone along
its principal mechanical axis induced shear stress in the marrow ranging from 2.0
to 5.6 Pa, which increased with bone volume fraction and strain rate. The shear
stress was amplified at the cell level, with over 90% of non-adipocyte cells
experiencing higher shear stress than the applied tissue-level stress. The
maximum shear stress decreased by 20% when the adipocyte volume fraction (AVF)
increased from 30%, as seen in young healthy marrow, to 45 or 60% AVF typically
found in osteoporotic patients. The results suggest that increasing AVF has
similar effects on the mechanobiological signaling in bone marrow as decreased
volume fraction.
PMID- 27878400
TI - Multiphoton microscopy observations of 3D elastin and collagen fiber
microstructure changes during pressurization in aortic media.
AB - Elastin and collagen fibers play important roles in the mechanical properties of
aortic media. Because knowledge of local fiber structures is required for
detailed analysis of blood vessel wall mechanics, we investigated 3D
microstructures of elastin and collagen fibers in thoracic aortas and monitored
changes during pressurization. Using multiphoton microscopy, autofluorescence
images from elastin and second harmonic generation signals from collagen were
acquired in media from rabbit thoracic aortas that were stretched biaxially to
restore physiological dimensions. Both elastin and collagen fibers were observed
in all longitudinal-circumferential plane images, whereas alternate bright and
dark layers were observed along the radial direction and were recognized as
elastic laminas (ELs) and smooth muscle-rich layers (SMLs), respectively. Elastin
and collagen fibers are mainly oriented in the circumferential direction, and
waviness of collagen fibers was significantly higher than that of elastin fibers.
Collagen fibers were more undulated in longitudinal than in radial direction,
whereas undulation of elastin fibers was equibiaxial. Changes in waviness of
collagen fibers during pressurization were then evaluated using 2-dimensional
fast Fourier transform in mouse aortas, and indices of waviness of collagen
fibers decreased with increases in intraluminal pressure. These indices also
showed that collagen fibers in SMLs became straight at lower intraluminal
pressures than those in EL, indicating that SMLs stretched more than ELs. These
results indicate that deformation of the aorta due to pressurization is
complicated because of the heterogeneity of tissue layers and differences in
elastic properties of ELs, SMLs, and surrounding collagen and elastin.
PMID- 27878401
TI - Enterocin B3A-B3B produced by LAB collected from infant faeces: potential
utilization in the food industry for Listeria monocytogenes biofilm management.
AB - Enterococcus faecalis B3A-B3B produces the bacteriocin B3A-B3B with activity
against Listeria monocytogenes, Staphylococcus aureus, methicillin-resistant
Staphylococcus aureus (MRSA) and Clostridium perfringens, but apparently not
against fungi or Gram-negative bacteria, except for Salmonella Newport. B3A-B3B
enterocin has two different nucleotides but similar amino acid composition to the
class IIb MR10A-MR10B enterocin. B3A-B3B consists of two peptides of predicted
molecular mass of 5176.31 Da (B3A) and 5182.21 Da (B3B). Importantly, B3A-B3B
impeded biofilm formation of the foodborne pathogen L. monocytogenes 162 grown on
stainless steel. The antimicrobial treatment of stainless steel with nisin (1 or
16 mg ml-1) decreased the cell numbers by about 2 log CFU ml-1, thereby impeding
the biofilm formation by L. monocytogenes 162 or its nisin-resistant derivative
strain L. monocytogenes 162R. Furthermore, the combination of nisin and B3A-B3B
enterocin reduced the MIC required to inhibit this pathogen grown in planktonic
or biofilm cultures.
PMID- 27878402
TI - Impact of serum uric acid, albumin and their interaction on Parkinson's disease.
AB - The study aimed to investigate the correlation between Parkinson's disease (PD)
and serum levels of uric acid (UA), albumin and their interaction. A cross
sectional study was conducted to evaluate the relationship of serum UA, albumin
with PD. A total of 96 PD patients and 108 healthy controls were recruited at
Huai'an First People's Hospital, Nanjing Medical University. Baseline data
included age, gender, body mass index (BMI), disease duration, Hoehn and Yahr
scale (H&Y) stage, serum UA and albumin levels. The levels of serum UA and
albumin were significantly lower in PD patients than those in controls (P =
0.001; P = 0.000). Serum albumin levels were strikingly different in H&Y group (P
= 0.004). Multivariable logistic regression showed that the levels of serum UA (P
= 0.001, adjusted OR 0.993, 95% CI 0.988-0.997) and albumin (P = 0.000, adjusted
OR 0.513, 95% CI 0.425-0.620) were independent risk factors in PD. The receiver
operating characteristic (ROC) curve analyses showed that the area under curve
(AUC) for serum UA and albumin was 0.669 (95% CI 0.594-0.744) and 0.883 (95% CI
0.835-0.931), respectively. The combination of serum albumin and UA improved the
AUC to 0.898 (95% CI 0.854-0.942). Serum UA and albumin levels significantly
decreased in PD patients and were independent risk factors for PD. More studies
are needed to confirm our findings.
PMID- 27878403
TI - Lutein protects against beta-amyloid peptide-induced oxidative stress in
cerebrovascular endothelial cells through modulation of Nrf-2 and NF-kappab.
AB - In the present study, we determined the protective role of lutein against Abeta
25-35 peptide-induced oxidative stress and apoptosis in bEND.3 cells. Cell
viability was determined through MTT assay. Reactive oxygen species, lipid
peroxides, and antioxidant enzyme activities were evaluated to analyze the
oxidative stress status. NF-kappaB and Nrf-2 downstream target protein
expressions were determined through western blot. Apoptosis was analyzed through
caspase activities and subG1 accumulation. The results showed that Abeta 25-35
significantly increased (p < 0.001) oxidative stress biomarkers. Abeta 25-35
significantly up-regulated NF-kappaB nuclear expression and down-regulated Nrf-2
levels and HO-1 and, NQO-1 expressions. Abeta 25-35 induced apoptosis through
decreasing mitochondrial membrane potential and increasing caspase 9 and 3
activities. Lutein pre-treatment significantly (p < 0.001) improved cell
viability and decreased ROS levels (p < 0.001) and lipid peroxidation (p < 0.01).
Lutein prevented Abeta 25-35-induced NF-kappaB nuclear expressions and up
regulated Nrf-2 expressions. Further, lutein also improved mitochondrial membrane
potential and down-regulated caspase activities and subG1 accumulation. The
present study shows the protective role of lutein against Abeta 25-35-induced
toxicity by modulating Nrf-2 and NF-kappaB expressions in cerebrovascular
endothelial cells.
PMID- 27878404
TI - Inter-rater agreement between trained emergency medicine residents and
radiologists in the examination of gallbladder and common bile duct by
ultrasonography.
AB - STUDY OBJECTIVES: Bedside emergency ultrasonograAmerican Society of Emergency
Radiologyphy is a rapid diagnostic tool in the emergency department (ED).
Nevertheless, the learning curve for ultrasound (US) training in various
indications has to date not been clearly defined. The aim of the present study
was to assess how much a short specialized training program in hepatobiliary US
might impact the skills of novice emergency medicine residents. METHODS: This was
a prospective observational study conducted at a university-affiliated ED from
May 2014 to January 2015. Adult patients who had right upper quadrant (RUQ)
abdominal pain were included. Prior to enrolling patients, seven residents
voluntarily passed a 10-h hepatobiliary US training course; they also carried out
20 hands-on US examinations. To compare the results, three board-certified
radiologists performed another US examination blindly. The degree of agreement
between the two groups was calculated. RESULTS: A total of 200 individuals
enrolled, among whom 106 (53%) were males and 53 (47%) were females. Mean +/- SD
age was 50.21 +/- 22.06 years. There was a moderate to perfect level of agreement
between emergency medicine residents and radiologists in terms of the presence of
a gallstone (Cohen's kappa = 0.85), sonographic Murphy sign (Cohen's kappa =
0.95), gallbladder wall thickening (Cohen kappa = 0.75), and common bile duct
(CBD) dilation (Cohen's kappa = 0.79). CONCLUSION: Novice emergency medicine
residents attained a moderate to perfect degree of agreement with expert
radiologists in detecting gallstone presence, thick gallbladder wall, sonographic
Murphy sign, and CBD dilation in standard patients, after participating in a
specific US course.
PMID- 27878405
TI - A comparison study between 3D T2-weighted SPACE and conventional 2D T2-weighted
turbo spin echo in assessment of carotid plaque.
AB - The aim of this study was to compare 3D T2-weighted sampling perfection with
application optimized contrast using different flip angle evolutions (T2w SPACE)
with conventional 2D T2w turbo-spin echo (TSE) in plaque imaging of carotid
artery. 45 patients underwent 3.0-T MRI for carotid arteries imaging. MR
sequences included T2w SPACE, T2w TSE, Time of flight (TOF) and T1-weighted (T1w)
TSE. The signal intensity of intra-plaque hemorrhage (IPH), lipid-rich necrotic
core (LRNC), and loose matrix (LM) were measured and their contrast ratios (CRs)
against adjacent muscle were calculated. CRs from T2w SPACE and T2w TSE were
compared to each other. CRs of LM, LRNC, and IPH measured on T2w SPACE were 1.74
3.04 (2.44), 0.98-1.66 (1.39), and 1.91-2.93 (2.51), respectively. CRs of LM,
LRNC, and IPH on T2w TSE were 1.97-3.41 (2.44), 1.18-1.73 (1.43), and 2.26-3.75
(2.26), respectively. There was no significant difference of CR of the carotid
plaques between T2w SPACE and T2w TSE (p = 0.455). Markedly significant
differences of CRs were found between LM and LRNC (p < 0.001), and between LRNC
and IPH (p < 0.001) on T2w SPACE and T2w TSE. T2w SPACE was comparable with
conventional T2w TSE in characterization of carotid plaque.
PMID- 27878406
TI - Diagnostic value of layer-specific global longitudinal strain during adenosine
stress in patients suspected of coronary artery disease.
AB - Speckle tracking global longitudinal strain (GLS) from dobutamine stress
echocardiography (SE) predicts coronary artery disease (CAD). The diagnostic
value of GLS from vasodilator SE and the additional value of layer-specific
speckle tracking analysis are unclear. We explored the usefulness of layer
specific GLS and non-layer-specific strain (automated functional imaging, AFI)
from adenosine SE. The included 132 patients (67% male, 62.6 (9.0) years), of
which 46 (35%) had CAD defined as >=1 stenosis >=70% (>=50% in the left main),
underwent adenosine SE and invasive coronary angiography. Resting AFI and layer
specific GLS were similar in patients with or without CAD (p > 0.05). The stress
rest difference (Deltavalue = stress-value - rest-value) in patients with CAD was
less pronounced compared to patients without proved CAD (Deltaendocardial GLS:
1.2 (3.5)% vs. -5.0 (3.2)%; Deltamidventricular GLS: -0.95 (3.0)% vs. -4.2
(2.7)%; Deltaepicardial GLS: -0.7 (2.5)% vs. -3.4 (2.3)%; DeltaAFI: -0.8 (2.9)%
vs. -3.6 (3.1)%, p < 0.00001 for all comparisons). The diagnostic value of the
three layer-specific GLS values and AFI were statistically similar (p = 0.19).
The four Deltavalues provided independent predictive value to the risk assessment
given by gender, age, wall motion and DeltaEF (p = 0.002, AFI and p < 0.0001,
layer-specific GLS). The accuracies were acceptable (71-80%) with modest
sensitivities (54-65%) and high specificities (80-91%). The deformation response
to vasodilator infusion was associated with the presence of CAD. Endocardial,
midventricular and epicardial GLS and AFI from adenosine SE had similar
diagnostic values. The specificities were high, but the modest sensitivities are
a limitation to the clinical application.
PMID- 27878407
TI - Low serum fibroblast growth factor 2 levels not accompanied by increased serum
pentraxin 3 levels in patients with systemic sclerosis.
AB - There are scarce clinical data regarding serum pentraxin 3 (PTX3) and fibroblast
growth factor 2 (FGF2) in patients with systemic sclerosis (SSc). Study was
conducted to evaluate serum levels in our SSc cohort. Serum PTX3 and FGF2
concentrations were compared among SSc, disease control (systemic lupus
erythematosus (SLE)), and healthy control groups. We also examined the
association of serum levels of PTX3 and FGF2 with disease manifestations. Serum
PTX3 levels were similarly distributed among SSc (n = 93) and healthy groups (n =
66) (p = 1.00) while PTX3 levels were higher in SLE controls (n = 86) compared to
both SSc and healthy groups. PTX3 levels were higher in limited SSc cases
compared to diffuse cases (p = 0.016). Median PTX3 levels in SSc cases with lung
involvement were lower compared to cases with no lung involvement (p = 0.006).
Patients with SSc had significantly lower serum levels of FGF2 compared to SLE
and healthy groups. Serum FGF2 concentration was undetectable in 61.3% of cases
with SSc while 30.2% of SLE and only 4.5% of healthy cases had undetectable FGF2
levels (p < 0.01). Diffuse and limited SSc cases, as well as cases with and
without lung involvement, had similar rates of undetectable serum FGF2 levels (p
= 0.15 and p = 0.59, respectively). FGF2 levels were mostly undetectably low in
patients with SSc, and serum PTX3 was lower in diffuse SSc and in cases with lung
involvement compared to limited SSc and cases with no lung involvement,
respectively, in our cohort.
PMID- 27878408
TI - Rheumatologists' perception of systemic lupus erythematosus quality indicators:
significant interest and perceived barriers.
AB - Differences in quality of care may contribute to health disparities in systemic
lupus erythematosus (SLE). Studies show low physician adherence rates to the SLE
quality indicators but do not assess physician perception of SLE quality
indicators or quality improvement. Using a cross-sectional survey of
rheumatologists in the southeastern USA, we assessed the perception and
involvement of rheumatologists in quality improvement and the SLE quality
indicators. Using electronic mail, an online survey of 32 questions was delivered
to 568 rheumatologists. With a response rate of 19% (n = 106), the majority of
participants were male, Caucasian, with over 20 years of experience, and seeing
adult patients in an academic setting. Participants had a positive perception
toward quality improvement (81%) with a majority responding that the SLE quality
indicators would significantly impact quality of care (54%). While 66% of
respondents were familiar with the SLE quality indicators, only 18% of
respondents reported using them in everyday practice. The most commonly reported
barrier to involvement in quality improvement and the SLE quality indicators was
time. Rheumatologists had a positive perception of the SLE quality indicators and
agreed that use of the quality indicators could improve quality of care in SLE;
however, they identified time as a barrier to implementation. Future studies
should investigate methods to increase use of the SLE quality indicators.
PMID- 27878409
TI - Analysis of the functional muscle-bone unit of the forearm in patients with
phenylketonuria by peripheral quantitative computed tomography.
AB - Bone disease in patients with phenylketonuria (PKU) is incompletely
characterized. We therefore analyzed, in a cross-sectional study radius
macroscopic bone architecture and forearm muscle size by peripheral quantitative
computed tomography (pQCT) and muscle strength by hand dynamometry in a large
cohort (n = 56) of adolescent and adult patients with PKU aged 26.0 +/- 8.9
(range, 11.8-41.5) years. Data were compared with a reference population (n =
700) from the DONALD study using identical methodology. We observed a significant
reduction of cortical thickness (z-score -1.01 +/- 0.79), Strength-Strain Index
(SSI) (z-score -0.81 +/- 1.03), and total bone mineral density (BMD) of the
distal radius (z-score -1.05 +/- 1.00). Mean muscle cross-sectional area (z-score
-0.98 +/- 1.19) and muscle grip force (z-score -0.64 +/- 1.26) were also
significantly reduced, indicating an impaired muscular system as part of the
clinical phenotype of PKU. SSI positively correlated (r = 0.53, P < 0.001) with
the corresponding muscle cross-sectional area in the reference population;
however, the regression line slope in PKU patients was less steep (P < 0.001),
indicating that bone strength is not adequately adapted to muscle force. In
conclusion, the radial bone in PKU patients is characterized by reduced bone
strength in relation to muscular force, decreased cortical thickness, and
impaired total BMD at the metaphyseal site. These alterations indicate a mixed
bone defect in PKU, both of which are due to primary alterations of bone
metabolism and to secondary alterations in response to neuromuscular
abnormalities.
PMID- 27878410
TI - The Sexual Orientation and Gender Presentation of Hijra, Kothi, and Panthi in
Mumbai, India.
AB - Cultural categories related to sexuality and gender vary considerably cross
culturally. While Western cultures categorize people primarily in terms of sexual
attractions (i.e., gay, straight, bisexual), many cultures distinguish between
groups based on additional issues such as gender role presentation and position
preference in anal sex (i.e., insertive/receptive). The current study gathered
data on three categories of natal males in Mumbai, India-hijra, kothi, and panthi
(N = 93). Hijra are androphilic (sexually attracted to adult men), typically
sexually receptive, transgender, sometimes castrated, and live in fictive kinship
networks that are hierarchically organized. Kothi are also androphilic, typically
sexual receptive and relatively feminine but less so than hijra; unlike hijra,
kothi are never castrated. Hijra and kothi were understood by some participants
to be mutually compatible, and so three groups were identified-those endorsing
hijra only (n = 11), kothi only (n = 22), and both hijra and kothi (n = 22).
Panthi (n = 38) are the masculine insertive partners of hijra and kothi. Measures
employed were self-report and viewing time measures of sexual attraction, sexual
behavior and position preference, self-described masculinity/femininity, recalled
childhood gender atypicality, gendered occupational preferences, and gender
presentation milestones (i.e., wearing female clothes, castration). All hijra and
kothi groups were found to be exclusively androphilic in viewing time and self
reported sexual attractions, and to be gender-atypical on all measures. Panthi
were found to be relatively male-typical and to have a bisexual pattern of
viewing time and self-reported sexual attractions. Kothi were found to be less
extreme in their female typicality and to report less female gender presentation
milestones than hijra or hijra/kothi. Most hijra and hijra/kothi and all kothi
said that they were not castrated. Contrary to the manner in which they are
socially defined, a third of panthi report having been receptive in anal sex, and
a third of all hijra and kothi groups report having been insertive at some time.
PMID- 27878413
TI - Does the General Strain Theory Explain Gambling and Substance Use?
AB - General Strain Theory (GST: Agnew Criminology 30:47-87, 1992) posits that deviant
behaviour results from adaptation to strain and the consequent negative emotions.
Empirical research on GST has mainly focused on aggressive behaviours, while only
few research studies have considered alternative manifestations of deviance, like
substance use and gambling. The aim of the present study is to test the ability
of GST to explain gambling behaviours and substance use. Also, the role of family
in promoting the adoption of gambling and substance use as coping strategies was
verified. Data from 266 families with in mean 8 observations for each group were
collected. The multilevel nature of the data was verified before appropriate
model construction. The clustered nature of gambling data was analysed by a two
level Hierarchical Linear Model while substance use was analysed by Multivariate
Linear Model. Results confirmed the effect of strain on gambling and substance
use while the positive effect of depressive emotions on these behaviours was not
supported. Also, the impact of family on the individual tendency to engage in
addictive behaviours was confirmed only for gambling.
PMID- 27878412
TI - Effect of uphill and downhill walking on walking performance in geriatric
patients using a wheeled walker.
AB - BACKGROUND: Wheeled walkers are recommended to improve walking performance in
older persons and to encourage and assist participation in daily life.
Nevertheless, using a wheeled walker can cause serious problems in the natural
environment. This study aimed to compare uphill and downhill walking with walking
level in geriatric patients using a wheeled walker. Furthermore, we investigated
the effect of using a wheeled walker with respect to dual tasking when walking
level. METHODS: A total of 20 geriatric patients (median age 84.5 years) walked
10 m at their habitual pace along a level surface, uphill and downhill, with and
without a standard wheeled walker. Gait speed, stride length and cadence were
assessed by wearable sensors and the walk ratio was calculated. RESULTS: When
using a wheeled walker while walking level the walk ratio improved (0.58
m/[steps/min] versus 0.57 m/[steps/min], p = 0.023) but gait speed decreased
(1.07 m/s versus 1.12 m/s, p = 0.020) when compared to not using a wheeled
walker. With respect to the walk ratio, uphill and downhill walking with a
wheeled walker decreased walking performance when compared to level walking (0.54
m/[steps/min] versus 0.58 m/[steps/min], p = 0.023 and 0.55 m/[steps/min] versus
0.58 m/[steps/min], p = 0.001, respectively). At the same time, gait speed
decreased (0.079 m/s versus 1.07 m/s, p < 0.0001) or was unaffected. CONCLUSION:
The use of a wheeled walker improved the quality of level walking but the
performance of uphill and downhill walking was worse compared to walking level
when using a wheeled walker.
PMID- 27878411
TI - Reckless administration of QT interval-prolonging agents in elderly patients with
drug-induced torsade de pointes.
AB - A systematic review was conducted for all published case reports on drug-induced
torsade de pointes (TdP) in elderly (>=80 years) patients to study if the
administration of the offending agent was reckless. Overall, 61 reports on drug
induced TdP in patients aged 80-97 years were included in the analysis. Non
modifiable risk factors for drug-induced TdP (e.g. acute coronary syndrome,
female gender and congestive heart failure), modifiable risk factors (e.g.
hypokalemia, severe hypomagnesemia and digitalis toxicity) and reckless
administration of a QT interval-prolonging agent (e.g. despite a known QT
interval prolongation or a history of TdP, together with other QT interval
prolonging agents in higher than recommended doses) were recorded in each case.
Overall, 54 (88.5%) patients had non-modifiable risk factors for drug-induced TdP
and 21 (34.4%) patients had modifiable risk factors. The administration of the
offending agent was reckless in one half (n = 31; 50.8%) of the patients. The
most prevalent reckless administration of a QT interval-prolonging agent was
together with other QT interval-prolonging agents (n = 16; 51.6%) or despite QT
interval prolongation (n = 8; 25.8%). In conclusion, although risk factors for
drug-induced TdP are prevalent in elderly patients with drug-induced TdP, in
approximately 50% of patients it appeared following a reckless administration of
a QT interval-prolonging agent. In this population physicians should particularly
avoid administration of two or more QT interval-prolonging agents simultaneously
or administration of a QT interval-prolonging agent despite QT interval
prolongation.
PMID- 27878414
TI - A Creation Narrative for the Psychometric Society and Psychometrika: In the
Beginning There Was Paul Horst.
AB - A review is provided for the creation of the Psychometric Society in 1935, and
the establishment of its journal, Psychometrika, in 1936. This document is part
of the 80th anniversary celebration for Psychometrika's founding, held during the
annual meeting of the Psychometric Society in July of 2016 in Asheville, NC.
PMID- 27878415
TI - Direct and Indirect Effects of Maltreatment and Social Support on Children's
Social Competence Across Reporters.
AB - Children's social competence is a key characteristic of resilience, yet little
research has assessed contributing factors to this construct. The objectives of
this study were to examine direct and indirect effects of maltreatment on
children's social competence, the promotive role of child and caregiver social
support, and factors contributing to reports of child social competence across
informants. Structural equation modeling evaluated the influence of CPS report
history, child adjustment, and child and caregiver social support on child social
competence in n = 783 caregiver-child dyads. CPS report history (age 0-8) was
indirectly related to low social competence through child adjustment problems.
Social support was a significant promotive factor of child social competence,
with caregiver social supports predicting higher levels of parent-reported child
social competence. Child social support predicted self-reported child social
competence. Findings reinforce the assertion that both caregiver and child social
support networks are critical to promoting child well-being after adversity.
PMID- 27878416
TI - Identification of large variation in the photosynthetic induction response among
37 soybean [Glycine max (L.) Merr.] genotypes that is not correlated with steady
state photosynthetic capacity.
AB - Irradiance continuously fluctuates during the day in the field. The speed of the
induction response of photosynthesis in high light affects the cumulative carbon
gain of the plant and could impact growth and yield. The photosynthetic induction
response and its relationship with the photosynthetic capacity under steady-state
conditions (P max) were evaluated in 37 diverse soybean [Glycine max (L.) Merr.]
genotypes. The induction response of leaf photosynthesis showed large variation
among the soybean genotypes. After 5 min illumination with strong light, genotype
NAM23 had the highest leaf photosynthetic rate of 33.8 umol CO2 m-2 s-1, while
genotype NAM12 showed the lowest rate at 4.7 umol CO2 m-2 s-1. Cumulative CO2
fixation (CCF) during the first 5 min of high light exposure ranged from 5.5 mmol
CO2 m-2 for NAM23 to 0.81 mmol CO2 m-2 for NAM12. The difference in the induction
response among genotypes was consistent throughout the growth season. However,
there was no significant correlation between CCF and P max among genotypes
suggesting that different mechanisms regulate P max and the induction response.
The observed variation in the induction response was mainly attributed to
ribulose-1,5-bisphosphate carboxylase/oxygenase (Rubisco) activation, but soybean
lines differing in the induction response did not differ in the leaf content of
Rubisco activase alpha- and beta-proteins. Future studies will be focused on
identifying molecular determinants of the photosynthetic induction response and
determining whether this trait could be an important breeding target to achieve
improved growth of soybeans in the field.
PMID- 27878418
TI - Factors Associated With Current Smoking Among Off-Reserve First Nations and Metis
Youth: Results From the 2012 Aboriginal Peoples Survey.
AB - First Nations and Metis, two of Canada's constitutionally recognized Indigenous
groups, suffer from poorer overall health than non-Indigenous Canadians. Current
smoking, a known predictor of chronic health conditions, is close to twice as
prevalent among Indigenous youth as it is among non-Indigenous Canadian youth.
However, little population-level research has examined the correlates of current
smoking among this population. Guided by a health framework centered on
Indigenous-specific determinants, we used data from the 2012 Aboriginal Peoples
Survey to examine the correlates of current smoking among First Nations and Metis
youth aged 15-17 years living outside of First Nations reserves. Using binary
logistic regression, we investigated how culturally specific factors, namely
knowledge of an Indigenous language, participation in traditional activities, and
family members' attendance at residential schools, were correlated with current
smoking. We also considered demographic, geographic, socioeconomic and health
related correlates. Overall, an estimated 20.6% of First Nations and Metis youth
reported current smoking. We found no significant associations between culturally
specific activities and current smoking in the multivariate analyses, although
those who spoke an Indigenous language were more likely to smoke. Those who
participated in sports more often were less likely to smoke, and respondents who
reported heavy drinking and who were from families with lower income were more
likely to smoke. Gender, body mass index, urban/rural geography and regional
geography, and mother's highest level of education were not significantly
correlated with smoking. The results of our study support prior research that has
found a disturbingly high prevalence of current smoking among Indigenous youth,
compared to their non-Indigenous counterparts. Our results highlight the
importance of considering sports participation, co-occurring health-risk
behaviours and socioeconomic factors when developing interventions aimed at
reducing the prevalence of smoking among First Nations and Metis youth.
PMID- 27878417
TI - Neurobehavioural evaluation of resveratrol in murine models of anxiety and
schizophrenia.
AB - Resveratrol, a caloric restriction mimetic, is a naturally occurring polyphenolic
compound with antioxidant and anti-inflammatory properties. Oxidative stress has
been implicated in the etiology of a number of neuropsychiatric disorders
including generalized anxiety and schizophrenia. This study investigated the
anxiolytic and antipsychotic potentials of resveratrol in murine models of
anxiety and schizophrenia. Mice were pretreated with resveratrol (200 and 400
mg/kg) in 1% carboxymethyl cellulose for 14 days and subjected to behavioural
tests on the 15th day. Anxiolytic activity of resveratrol was determined using
the hole board and staircase tests while its anti-psychotic property was
evaluated via apormorphine induced stereotypy and swim-induced grooming tests.
Although resveratrol did not significantly reduce the mean number of head dips at
doses used in the hole board test, it significantly (p < 0.01) decreased the mean
episodes of rearing without significantly altering the total number of upward
steps climbed in the staircase test. Resveratrol significantly (p < 0.05) reduced
the mean climbing scores in the first ten minutes of the apormorphine induced
stereotypic climbing and significantly decreased (p < 0.01) episodes and total
duration of swim induced grooming in mice. Administration of resveratrol at doses
used in this study produced anxiolysis and anti-psychotic effects in mice.
PMID- 27878420
TI - A 5-year clinical follow-up after duty-cycled phased RF ablation of paroxysmal
atrial fibrillation.
AB - PURPOSE: Catheter radiofrequency ablation (RFA) is an effective treatment for
symptomatic paroxysmal atrial fibrillation (AF). It has been demonstrated that
the multielectrode pulmonary vein ablation catheter (PVAC) has favourable
outcomes at 6-12 months post-ablation, but there are only few studies with a long
term follow-up. METHODS: We retrospectively reviewed 77 consecutive PVAC
procedures in our centre, from November 2007 to December 2012. RFA was attempted
in patients with symptomatic paroxysmal AF (mean age 58.7 +/- 9.8 years, 50 men
(64.9 %). The ablation strategy consisted of circumferential pulmonary vein
isolation (CPVI) with the PVAC system. A questionnaire was used over the follow
up period to assess the efficacy of AF ablation. Success was defined as freedom
from AF/atrial flutter or atrial arrhythmia for a period ranging from 3 to 12
months or more. RESULTS: Seventy-seven patients were included with paroxysmal AF.
The mean duration of the procedure was 99.6 +/- 26 min and fluoroscopy time 19.4
+/- 6.8 min. Time of RFA was 22.4 +/- 5.8 min. Acute complication rate was 10.4 %
(the most frequent was vascular injury for 6 patients 7.8 %, 1 patient presented
sepsis (1.3 %), 1 patient presented transient ischemic stroke). After a single
procedure at a mean FU of 55 +/- 11 months, 54/77 (70.1 %) patients were free of
symptomatic AF. CONCLUSIONS: These long-term results suggest that PVAC is an
efficient system for CPVI of symptomatic paroxysmal AF.
PMID- 27878419
TI - Split renal function of both kidneys after robot-assisted partial nephrectomy for
renal tumor larger than 4 cm.
AB - PURPOSE: Relative few studies reported the changes in split renal function using
renal scintigraphy for robot-assisted partial nephrectomy (RaPN) for renal tumor
>4 cm. This study aimed at demonstrating that RaPN is safe for renal tumor >4 cm
without damaging the renal function of ipsilateral and contralateral kidney.
METHODS: Patients who underwent RaPN for single renal tumor from December 2009 to
December 2013 were identified from a prospectively collected database. We
compared demographic, perioperative, and postoperative outcomes between patients
with renal tumor >4 cm (case group) and patients with renal tumor ?4 cm (control
group). Renal function was assessed by serum creatinine, estimated glomerular
filtration rate, and effective renal plasma flow (ERPF). RESULTS: One hundred and
three consecutive patients (45 in case group and 58 in control group) were
identified. Case group had significantly longer operative time (P = 0.011),
longer warm ischemia time (P < 0.001), and more estimated blood loss (P = 0.010)
than control group. Only one patient in the case group had conversion surgery.
There was no significant difference regarding hospital stay, blood transfusion,
complications rate, and positive surgical margin. The changes in ipsilateral ERPF
and contralateral ERPF were not significantly different between groups
(ipsilateral kidney: -10.5 vs. -12.5%, P = 0.989; contralateral kidney: -3.6 vs.
5.2%, P = 0.611). CONCLUSIONS: RaPN is a safe and feasible modality of nephron
sparing surgery for renal tumor >4 cm, as it is for renal tumor ?4 cm.
PMID- 27878422
TI - The Recovery of Beta-Cell Function is Critical for Antidiabetic Outcomes of
Gastric Bypass in Asian Subjects with Type 2 Diabetes and a Body Mass Index Below
30.
AB - Type 2 diabetes (T2D) in Asian populations is characterized by a lower body mass
index (BMI) than in Caucasian cases. This pilot study investigated antidiabetic
outcomes after a Roux-en-Y gastric bypass (RYGB) in Asian subjects with T2D and
BMI < 30. Fifteen Koreans with poorly controlled T2D and BMI 23-30 underwent
RYGB. Two years after RYGB, seven patients achieved HbA1c < 6.5% without
medication (target-achieved). The other cases also showed improved glycemic
control. The insulin secretory response during an oral glucose tolerance test was
significantly restored in the target-achieved group. Postoperative changes in
insulin sensitivity and body weight did not differ between these groups. Beta
cell function improvement is an important determinant of the long-term
antidiabetic outcomes of RYGB in Asian subjects with BMI < 30.
PMID- 27878421
TI - The role of the atrial electromechanical delay in predicting atrial fibrillation
in beta-thalassemia major patients.
AB - BACKGROUND: Paroxysmal atrial tachyarrhythmias frequently occur in beta
thalassemia major (beta-TM) patients. The aim of the current study was to
evaluate the atrial electromechanical delay (AEMD) in a large beta-TM population
with normal cardiac function and its relationship to atrial fibrillation (AF)
onset. METHODS: Eighty beta-TM patients (44 men, 36 women), with a mean age of
36.2 +/- 11.1 years, and 80 healthy subjects used as controls, matched for age
and gender, were studied for the occurrence of AF during a 5-year follow-up,
through 30-day external loop recorder (ELR) monitoring performed every 6 months.
Intra-AEMD and inter-AEMD of both atria were measured through tissue Doppler
echocardiography. P-wave dispersion (PD) was carefully measured using 12-lead
electrocardiogram (ECG). RESULTS: Compared to the healthy control group, the beta
TM patients showed a statistically significant increase in inter-AEMD, intra-left
AEMD, maximum P-wave duration, and PD. Dividing the beta-TM group into two
subgroups (patients with or without AF), the inter-AEMD, intra-left AEMD, maximum
P-wave duration, and PD were significantly higher in the subgroup with AF
compared to the subgroup without AF. There were significant good correlations of
intra-left AEMD and inter-AEMD with PD. A cut-off value of 40.1 ms for intra-left
AEMD had a sensitivity of 76.2% and a specificity of 97.5% in identifying beta-TM
patients with AF risk. A cut-off value of 44.8 ms for inter-AEMD had a
sensitivity of 81.2% and a specificity of 98.7% in identifying this category of
patients. CONCLUSIONS: Our results showed that the echocardiographic atrial
electromechanical delay indices (intra-left and inter-AEMD) and the PD were
significantly increased in beta-TM subjects with normal cardiac function. PD and
AEMD represent non-invasive, inexpensive, useful, and simple parameters to assess
the AF risk in beta-TM patients.
PMID- 27878423
TI - Gastrointestinal Quality of Life Improves Significantly After Sleeve Gastrectomy
and Roux-en-Y Gastric Bypass-a Prospective Cross-Sectional Study Within a 2-Year
Follow-up.
AB - INTRODUCTION: Morbidly obese patients have lower quality of life (QOL) when
compared to the general population. So far, no studies have compared gender and
procedural differences in gastrointestinal QOL after sleeve gastrectomy (SG) and
Roux-en-Y gastric bypass (RYGB). METHODS: Patients before and after bariatric
surgery completed the gastrointestinal quality of life index (GIQLI), a 36-item
questionnaire with five subscores. Differences between SG and RYGB were analyzed,
as were gender differences. RESULTS: Some 186 patients completed the
questionnaire, 75 before surgery, 56 within 6 months, and 55 within 24 months
after surgery. Total GIQLI score and all subscores were significantly better
within 24 months after surgery (80.9 +/- 19.2 vs. 109.2 +/- 17.7, p < 0.001). The
specific gastrointestinal items 'feeling of fullness', 'eating pleasure', 'slow
speed of eating', and 'diarrhea' were also significantly better after surgery
whereas many other gastrointestinal items were not different. Total GIQLI score
was significantly higher for SG than for RYGB within 6 months, while there were
no significant differences within 24 months after surgery. There were also no
significant differences in specific gastrointestinal items between SG and RYGB
within 24 months after surgery and in total GIQLI score between female and male
patients. CONCLUSION: Total GIQLI score and all subscores were higher within 24
months after bariatric surgery. Total GIQLI score was significantly higher after
SG when compared to RYGB within 6 months, but not different within 24 months
after surgery. There was no difference in total GIQLI score between female and
male patients.
PMID- 27878424
TI - Intragastric Balloon for Management of Severe Obesity: Letter to the Editor.
PMID- 27878425
TI - The Role of Routine Upper Gastrointestinal Endoscopy Before Bariatric Surgery
(Response to Letter).
PMID- 27878427
TI - Development of the forensic inpatient quality of life questionnaire: short
version (FQL-SV).
AB - PURPOSE: Aim of this study was to develop a brief version of the Forensic
inpatient Quality of Life questionnaire (FQL). METHODS: Data from a longitudinal
study of quality of life (QoL) among long-term forensic psychiatric care (LFPC)
patients (N = 130) were used. Per domain, the FQL item that correlated most
highly with the mean domain score and Overall QoL was selected. Internal
consistency was investigated by calculating Cronbach's alpha. Construct validity
was examined by investigating the relationship with the WHOQOL-Bref and EssenCES.
RESULTS: The original division into 15 QoL domains was retained, while the number
of items per domain was reduced to one or two. The amount of subjective items was
shortened from 114 items to 19 items. Reliability analysis demonstrated good
internal consistency (Cronbach's alpha = .79). Content validity was assured
because the FQL-SV is based on the items of the original FQL that was derived
from LFPC patient's and staff's opinions. Construct validity was demonstrated.
CONCLUSIONS: This study has shown that the FQL-SV is a psychometrically valid
abbreviation of the FQL and can therefore be used to monitor or assess QoL in
forensic psychiatric care. However, when certain domains should be targeted in
treatment, the FQL (full version) can assist both the patient and the clinician
to get a more complete view of the individualized targets they should be aiming
at in order to improve QoL.
PMID- 27878426
TI - The Differential Effects of Alzheimer's Disease and Lewy Body Pathology on
Cognitive Performance: a Meta-analysis.
AB - Differential diagnosis of Alzheimer's disease (AD) from normal aging and other
dementia etiologies is imperative for disease specific treatment options and long
term care planning. Neuropathological confirmation is the gold standard for
neurodegenerative disease diagnosis, yet most published studies examining the use
of neuropsychological tests in the differential diagnosis of dementia rely upon
clinical diagnostic outcomes. The present study undertook a meta-analytic review
of the literature to identify cognitive tests and domains that allow for the
differentiation of individuals with AD pathology from individuals with dementia
with Lewy Bodies (DLB) pathology and pathology-free individuals. A comprehensive
literature search yielded 14 studies that met the inclusion criteria for the
present meta-analysis. Six studies comprised 222 decedents with AD compared to
433 normal controls, and eight studies comprised 431 cases of AD compared to 155
decedents with DLB. Results revealed that the effect of having
neuropathologically confirmed AD versus DLB lowered performance in the memory
domain, and having DLB decreased performance in the visuospatial domain. No
single test differed significantly across the AD and DLB groups. For the AD and
pathology free comparison, results indicated that that AD was associated with
poorer performance on the memory and language domains. With respect to specific
cognitive tests, AD produced lower scores on list learning tests, category
fluency, and the Digit Symbol substitution test. The limited number of studies
meeting inclusion criteria warrants formulation of guidelines for reporting in
clinico-pathological studies; suggested guidelines are provided.
PMID- 27878428
TI - Seroprevalence of Anaplasma marginale and Babesia bigemina infections and
associated risk factors in Machakos County, Kenya.
AB - Anaplasma marginale and Babesia bigemina are important tick-borne pathogens of
cattle. A cross-sectional survey was undertaken to determine the seroprevalence
of A. marginale and B. bigemina infections and identify associated risk factors
on traditional smallholder farms in Machakos County, Kenya. A total of 421 cattle
from 127 farms from four divisions in the county were sampled and visited between
September and November 2007. The farms were selected by a proportional allocation
approach based on the number of farms in the four divisions previously selected
by stratified random sampling method. Information on animal and individual farm
management variables was obtained using standardized questionnaires. Prevalence
of serum antibodies due to A. marginale and B. bigemina pathogens was determined
using the enzyme-linked immunosorbent assay (ELISA) technique. The relationship
between the seropositivity and associated risk factors was assessed by
multivariable analyses using standard logistic regression models. The overall
estimation (and their 95% confidence intervals) of A. marginale and B. bigemina
seropositivity at the animal level was 53.4% (48.5%, 58.2%) and 40.6% (35.8%,
45.4%), respectively. Two variables, "animal age" and "administrative division,"
were significantly associated with the A. marginale seroresponse. Three
variables, "animal age" "grazing system" and "administrative division" were
significantly associated with the B. bigemina seroresponse. These findings
suggest possible indicators of existence of endemic instability for the two
infections. The study identifies characterization of environmental suitability
for the vectors and how they interact with grazing systems to cause the
infections as an area for further studies, for improved understanding of the
infections and in designing disease control programs.
PMID- 27878429
TI - Comparison of specular microscopy and ultrasound pachymetry before and after
cataract surgery.
AB - PURPOSE: To compare specular microscopy (Topcon SP-3000P, Topcon Corporation,
Tokyo, Japan) and ultrasound (US) technology when evaluating central corneal
thickness (CCT) prior to and after phacoemulsification. METHODS: Corneal edema
was assessed in phacoemulsification patients due to senile cataract by measuring
CCT preoperatively and 1 day, 1 month, and 3 months postoperatively. Bland-Altman
analysis was performed to assess interchangeability between pachymetry techniques
for each visit. Repeated measures analysis of variance was performed to evaluate
variation in CCT agreement depending on the degree of corneal edema. RESULTS: One
hundred and eighteen patients aged 73.9 +/- 10.1 years were recruited. Topcon SP
3000P provided significant (p < 0.0001) lower CCT values than US with and without
induced corneal edema. Mean differences between CCT techniques for baseline, 1
day, 1 month and 3 months after cataract surgery were -28.9 +/- 22.6, -30.5 +/-
41.4, -32.3 +/- 16.2 and -33.0 +/- 16.9 MUm, respectively. The systematic bias
observed was not significantly different among the 4 visits (p = 0.59). The
estimated limits of agreement (based on 1.96 standard deviation) were
substantial, being 90.5, 165.8, 64.9 and 67.5 um at baseline, 1 day and 1 and 3
months. CONCLUSIONS: Topcon SP-3000P provides similar systematic bias in
comparison with US technique for CCT measurements regardless of the degree of
corneal edema after phacoemulsification, which should be reduced after applying a
constant calibration adjustment of ~30 MUm. However, interchangeability between
techniques may be still limited by the notable random measurement error.
PMID- 27878430
TI - Short-term safety of dexamethasone implant for treatment of macular edema due to
retinal vein occlusion, in eyes with glaucoma or treated ocular hypertension.
AB - PURPOSE: To report the short-term safety of dexamethasone implants to treat
macular edema due to retinal vein occlusion (RVO), in eyes with treated glaucoma
or ocular hypertension at baseline using an as-needed re-treatment regimen.
METHODS: Retrospective clinical database study from two centers using the same
electronic medical record system. Extracted data included: intraocular pressure
(IOP), visual acuity (VA), central 1 mm retinal thickness (CRT) by optical
coherence tomography, phakic status, number of injections, glaucoma treatment,
and peri-operative complications. RESULTS: Thirty-three eyes of 33 patients on
IOP-lowering treatment for glaucoma or ocular hypertension (OHT) at baseline and
mean IOP of 16 mmHg at baseline received one to four (mean, 1.8; median, 1)
dexamethasone implants over 18 months for RVO-related macular edema. Fourteen
eyes (42 %) had IOP of >=21 mmHg, and three eyes (9 %) had IOP of >=35 mmHg at
one or more visits during the study period. Nine of 14 eyes (64 %) with raised
IOP required additional topical treatment only for a mean (SE) period of 8.5
months (3.2), while the remaining five eyes (36 %) required long-term additional
IOP-lowering treatment for a mean (SE) of 16 months (1.44). Surgery for IOP
lowering was not required in any eye. Mean VA (SE) improved from 44 (3) ETDRS
letters at baseline to 47 letters (5) at 2 months (p = 0.049), 48 (8) letters at
6 months and 46 (4) letters at 12 months. Mean CRT (SE) improved from 530 (25)
MUm at baseline to 323 (27) MUm at 2 months (p < 0.001), 498 (76) MUm at 6
months, and 359 (25) MUm at 12 months (p < 0.001). CONCLUSION: The short-term IOP
rise after intravitreal dexamethasone implant in eyes with glaucoma or ocular
hypertension at baseline was acceptable and consistent with previous reports in
patients without preexisting glaucoma. Treated OHT or glaucoma may not be a
strict contraindication against the use of dexamethasone implant, but close
monitoring of IOP is required.
PMID- 27878432
TI - Histopathologic diagnosis of brain metastases: current trends in management and
future considerations.
AB - Confronted with brain metastases (BM), pathologists aim to rule out a primary
central nervous system (CNS) tumor and to identify or verify the primary tumor
site to guide the clinician to specific therapies. Apart from morphological
features, ancillary immunohistochemical analysis is the most effective tool for
characterizing a metastatic neoplasm of unknown origin. A limited array of
antibodies is used, taking into account relevant clinical information and the
known brain tropism of lung cancer, breast cancer and melanoma. Recently,
targeted therapies have enriched the therapeutic arsenal, in particular for
patients with non-small cell lung cancer or melanoma and for patients carrying
molecular anomalies. These therapies can lead to a substantial tumor response,
brain metastases included, which justifies rapid determination of a molecular
profile. To combine different tools and provide timely results, good tumor sample
management and careful attention at the pre-analytical phase are critical.
Appropriate strategies for molecular and immunohistochemical analysis are needed
to identify theranostic markers. This article aims to review the
anatomopathological diagnostic approach for BM in the age of targeted therapies.
PMID- 27878431
TI - Aqueous immune mediators in malignant uveal melanomas in comparison to benign
pigmented intraocular tumors.
AB - BACKGROUND: To examine the usefulness of measuring immune mediators in aqueous
humor samples for differentiating malignant uveal melanoma from benign pigmented
intraocular tumors. METHODS: Thirteen eyes of 13 patients with uveal melanoma
were studied, and 13 eyes of 13 patients with benign pigmented intraocular tumors
served as controls. Undiluted samples of aqueous humor were collected, and a
cytometric bead array was used to determine the aqueous humor concentrations of
35 immune mediators comprising 14 interleukins (IL), interferon-gamma, interferon
gamma-inducible protein-10, monocyte chemoattractant protein (MCP)-1, macrophage
inflammatory protein (MIP)-1alpha, MIP-1beta, regulated on activation normal T
cell expressed and secreted, monokine induced by interferon-gamma, basic
fibroblast growth factor, Fas ligand, granzyme A, granzyme B, eotaxin, interferon
inducible T-cell alpha chemoattractant, fractalkine, granulocyte macrophage
colony-stimulating factor, granulocyte colony-stimulating factor, vascular
endothelial growth factor, angiogenin, tumor necrosis factor-alpha, lymphotoxin
alpha, and CD40L. RESULTS: Aqueous humor levels of angiogenin, IL-8, and MCP-1
were significantly higher in eyes with malignant melanoma than in those with
benign tumors (p < 0.05). CONCLUSIONS: Angiogenin, IL-8, and MCP-1 levels in
aqueous humor may be potential markers for distinguishing malignant uveal
melanoma from benign pigmented intraocular tumors, and may be a useful adjunct to
histomorphology, diagnostic imaging, and other biomarkers for the diagnosis and
appropriate clinical management of malignant uveal melanoma.
PMID- 27878433
TI - High expression of long non-coding RNA ATB indicates a poor prognosis and
regulates cell proliferation and metastasis in non-small cell lung cancer.
AB - BACKGROUND AND AIM: Long non-coding RNAs (lncRNAs) have been demonstrated to act
as a critical regulator in the processes of tumor biology. In this study, whether
lncRNA-ATB is a potential indicator for non-small cell lung cancer (NSCLC) was
investigated and its biological function in NSCLC was also determined. METHODS:
The expression levels of lncRNA-ATB in NSCLC tissues and cell lines were
measured. A549 cell line was explored to investigate the functions of lncRNA-ATB
in NSCLC. RESULTS: Real-time PCR results showed that lncRNA-ATB expression was up
regulated in both in NSCLC tissues and cell lines. High lncRNA-ATB expression in
tumor tissue was associated with larger tumor size, lymph node metastasis, and
distant metastasis in patients with NSCLC, respectively. In addition, the
patients with high expression of lncRNA-ATB presented a lower survival
probability. In vitro experiments showed that down-regulation of lncRNA-ATB
promoted the cell apoptosis, whereas inhibited the cell viability, cell
migration, and cell invasion. CONCLUSION: High expression of lncRNA-ATB indicated
a poor prognosis and led to the cell proliferation and metastasis in NSCLC.
PMID- 27878434
TI - Regional selection of the brain size regulating gene CASC5 provides new insight
into human brain evolution.
AB - Human evolution is marked by a continued enlargement of the brain. Previous
studies on human brain evolution focused on identifying sequence divergences of
brain size regulating genes between humans and nonhuman primates. However, the
evolutionary pattern of the brain size regulating genes during recent human
evolution is largely unknown. We conducted a comprehensive analysis of the brain
size regulating gene CASC5 and found that in recent human evolution, CASC5 has
accumulated many modern human specific amino acid changes, including two fixed
changes and six polymorphic changes. Among human populations, 4 of the 6 amino
acid polymorphic sites have high frequencies of derived alleles in East Asians,
but are rare in Europeans and Africans. We proved that this between-population
allelic divergence was caused by regional Darwinian positive selection in East
Asians. Further analysis of brain image data of Han Chinese showed significant
associations of the amino acid polymorphic sites with gray matter volume. Hence,
CASC5 may contribute to the morphological and structural changes of the human
brain during recent evolution. The observed between-population divergence of
CASC5 variants was driven by natural selection that tends to favor a larger gray
matter volume in East Asians.
PMID- 27878436
TI - Peripheral nerve ultrasound scoring systems: benchmarking and comparative
analysis.
AB - Ultrasound of the nerves is an additive diagnostic tool to evaluate
polyneuropathy. Recently, the need for standardized scoring systems has widely
been discussed; different scores are described so far. Therefore, 327 patients
with polyneuropathy were analyzed by ultrasound in our laboratory. Consequently,
several ultrasound scoring tools were applied, i.e., the nerve pattern
classification according to Padua et al. in all patients with CIDP and variants,
the Bochum ultrasound score (BUS) and the neuritis ultrasound protocol in immune
mediated neuritis, the ultrasound pattern sum score, the homogeneity score, and
the nerve enlargement distribution score in all neuropathies if possible. For all
scores good accuracy was found. Most patients with CIDP revealed hypoechoic
enlarged nerves (Class 1), the BUS/NUP was useful to identify GBS (sensitivity
>85%), MMN (100%) and CIDP (>70%), while the UPSS showed high sensitivity and
positive/negative predictive values (N/PPV) in the diagnosis of GBS (>70%), CIDP
(>85%) and axonal non-inflammatory neuropathies (>90%). Homogeneous nerves were
found in most CMT1 patients (66.7%), while immune-mediated neuropathies mostly
show regional nerve enlargement. The HS was suitable to identify CMT patients
with an HS >=5 points. All scores were easily applicable with high accuracy. The
former-reported results could be similarly confirmed. However, all sores have
some incompleteness concerning unselected polyneuropathy population, particularly
rare and focal types. Scoring systems are useful and easily applicable. They show
high accuracy in certain neuropathies, but also offer some gaps and can,
therefore, only be used in addition to standard diagnostic routines such as
electrophysiology.
PMID- 27878435
TI - Novel phenotypes and loci identified through clinical genomics approaches to
pediatric cataract.
AB - Pediatric cataract is highly heterogeneous clinically and etiologically. While
mostly isolated, cataract can be part of many multisystem disorders, further
complicating the diagnostic process. In this study, we applied genomic tools in
the form of a multi-gene panel as well as whole-exome sequencing on unselected
cohort of pediatric cataract (166 patients from 74 families). Mutations in
previously reported cataract genes were identified in 58% for a total of 43
mutations, including 15 that are novel. GEMIN4 was independently mutated in
families with a syndrome of cataract, global developmental delay with or without
renal involvement. We also highlight a recognizable syndrome that resembles
galactosemia (a fulminant infantile liver disease with cataract) caused by
biallelic mutations in CYP51A1. A founder mutation in RIC1 (KIAA1432) was
identified in patients with cataract, brain atrophy, microcephaly with or without
cleft lip and palate. For non-syndromic pediatric cataract, we map a novel locus
in a multiplex consanguineous family on 4p15.32 where exome sequencing revealed a
homozygous truncating mutation in TAPT1. We report two further candidates that
are biallelically inactivated each in a single cataract family: TAF1A (cataract
with global developmental delay) and WDR87 (non-syndromic cataract). In addition
to positional mapping data, we use iSyTE developmental lens expression and gene
network analysis to corroborate the proposed link between the novel candidate
genes and cataract. Our study expands the phenotypic, allelic and locus
heterogeneity of pediatric cataract. The high diagnostic yield of clinical
genomics supports the adoption of this approach in this patient group.
PMID- 27878437
TI - Neurosarcoidosis: a clinical approach to diagnosis and management.
AB - Sarcoidosis is a rare but important cause of neurological morbidity, and
neurological symptoms often herald the diagnosis. Our understanding of
neurosarcoidosis has evolved from early descriptions of a uveoparotid fever to
include presentations involving every part of the neural axis. The diagnosis
should be suspected in patients with sarcoidosis who develop new neurological
symptoms, those presenting with syndromes highly suggestive of neurosarcoidosis,
or neuro-inflammatory disease where more common causes have been excluded.
Investigation should look for evidence of neuro-inflammation, best achieved by
contrast-enhanced brain magnetic resonance imaging and cerebrospinal fluid
analysis. Evidence of sarcoidosis outside the nervous system should be sought in
search of tissue for biopsy. Skin lesions should be identified and biopsies
taken. Chest radiography including high-resolution computed tomography is often
informative. In difficult cases, fluorodeoxyglucose positron emission tomography
and gallium-67 imaging may identify subclinical disease and a target for biopsy.
Symptomatic patients should be treated with corticosteroids, and if clinically
indicated other immunosuppressants such as hydroxychloroquine, azathioprine,
cyclophosphamide or methotrexate should be added. Anti-tumour necrosis factor
alpha therapies may be considered in refractory disease but caution should be
exercised as there is evidence to suggest they may unmask disease.
PMID- 27878438
TI - Interdependence of clinical factors predicting cognition in children with
tuberous sclerosis complex.
AB - Cognitive development in patients with tuberous sclerosis complex is highly
variable. Predictors in the infant years would be valuable to counsel parents and
to support development. The aim of this study was to confirm factors that have
been reported to be independently correlated with cognitive development. 102
patients included in this study were treated at the ENCORE-TSC expertise center
of the Erasmus Medical Center-Sophia Children's Hospital. Data from the first 24
months of life were used, including details on epilepsy, motor development and
mutation status. Outcome was defined as cognitive development (intellectual
equivalent, IE) as measured using tests appropriate to the patients age and
cognitive abilities (median age at testing 8.2 years, IQR 4.7-12.0). Univariable
and multivariable regression analyses were used. In a univariable analysis,
predictors of lower IE were: the presence of infantile spasms (beta = -18.3, p =
0.000), a larger number of antiepileptic drugs used (beta = -6.3, p = 0.000),
vigabatrin not used as first drug (beta = -14.6, p = 0.020), corticosteroid
treatment (beta = -33.2, p = 0.005), and a later age at which the child could
walk independently (beta = -2.1, p = 0.000). An older age at seizure onset
predicted higher IE (beta = 1.7, p = 0.000). In a multivariable analysis, only
age at seizure onset was significantly correlated to IE (beta = 1.2, p = 0.005),
contributing to 28% of the variation in IE. In our cohort, age at seizure onset
was the only variable that independently predicted IE. Factors predicting
cognitive development could aid parents and physicians in finding the appropriate
support and schooling for these patients.
PMID- 27878439
TI - Multiple sclerosis and inflammatory bowel diseases: a systematic review and meta
analysis.
AB - The association between multiple sclerosis (MS) and inflammatory bowel disease
(IBD) has been suggested, apart from their common epidemiological and
immunological patterns, also due to observations of increased incidence of both
IBD among MS patients and MS among IBD patients. We estimated the risk of
concurrent IBD and MS comorbidity, using data from all available case-control
studies. We calculated the corresponding Risk ratios (RRs) in each included case
control study to express the risk of IBD and MS concurrence at a given
population. We performed additional subgroup analyses according to the type of
registry from which the data of the cases were exported (IBD or MS registry) and
the IBD type (Crohn's disease, CD or Ulcerative colitis, UC). We included 10
studies, comprising a total of 1,086,430 patients (0.08% of them with concurrent
IBD and MS). Pooled RR for IBD/MS comorbitity was 1.54 (95% CI 1.40-1.67; p <
0.0001) with no differences (p = 0.91) among IBD and MS registries (RR 1.53, 95%
CI 1.36-1.72, p < 0.001 for MS comorbidity in IBD patients vs. RR 1.55, 95% CI
1.32-1.81, p < 0.001 for IBD comorbidity in MS patients). No difference was also
found on the risk of MS comorbidity among patients with CD or UC (RR 1.52, 95% CI
1.34-1.72, p < 0.001 vs. RR 1.55, 95% CI 1.38-1.74, p < 0.001; p for subgroup
differences: 0.84). In all analyses no evidence of heterogeneity or publication
bias was detected. Both IBD and MS patients seem to have a fifty-percent
increased risk of MS or IBD comorbidity, respectively, with no apparent
differences between patients with CD or UC.
PMID- 27878440
TI - Cognitive dysfunction in patients with spinocerebellar ataxia type 6.
AB - The aim of this study was to assess the cognitive functions of patients with
spinocerebellar ataxia type 6 (SCA6). We examined 13 patients with genetically
confirmed SCA6 and 13 healthy control subjects matched for age, years of
education, global cognitive status, and intellectual ability. We administered
verbal memory (word recall and word recognition), executive function (digit span,
category and letter fluency, Frontal Assessment Battery, and Trail Making Test-A
and B), and visuospatial construction (figure copying) tests. We found that the
patients with SCA6 had significantly lower scores on the demanding word recall
and letter fluency tests compared to the control subjects, while word recognition
was well preserved in the patients with SCA6. The other executive functions
tested, as well as visuospatial construction, were preserved in the SCA6 group.
However, although memory encoding and storage processes were preserved, the
retrieval of memorized information concerning frontal function might be
selectively affected in patients with SCA6 compared to in control subjects. The
impaired word recall and letter fluency noted in patients with SCA6 were
interpreted as being related to a word-retrieval disability. Such dysfunctions
may be attributed to damage in the frontal-cerebellum circuit owing to SCA6.
PMID- 27878441
TI - Long-term treatment of transthyretin familial amyloid polyneuropathy with
tafamidis: a clinical and neurophysiological study.
AB - Tafamidis is a transthyretin (TTR) stabilizer recently approved to slow the
neurologic impairment in TTR familial amyloid polyneuropathy (TTR-FAP). The
pivotal studies on Tafamidis reported encouraging results on the short term, in
the early onset Val30Met-TTR-FAP patients at an early stage of the neuropathy.
However, the effect of the drug in the non-Val30Met patients, at a more advanced
stage of the disease and on the long term, is less known. In this study, we
report the effect of Tafamidis in 43 TTR-FAP patients with a variety of
pathogenic mutations, including 53% of non-Val30Met variants, at different stages
of neuropathy followed on the long term. General and neurological assessment was
performed in a standardized protocol every 6-12 months along with
neurophysiological variables, including testing of small nerve fibres. The mean
follow-up under treatment was 2 years with a subset of 26 patients treated for 3
years. Overall, Tafamidis was well tolerated. A significant clinical
deterioration of the neuropathy and the patient's general condition was observed
across the 3 years follow-up, although neurophysiological parameters remained
stable for the first 2 years. In contrast, patients had a significant increase of
BMI under treatment. Deterioration of the neuropathy correlated to an older age
at disease onset or treatment initiation and to poor clinical status at baseline.
A higher BMI at baseline was associated with a lower progression of the
neuropathy. About one-third of the patients who received 3 years of tafamidis had
still preserved walking capacity or good clinical condition, suggesting that
tafamidis slowed the disease progression in some patients. Overall, our work
shows that tafamidis is well tolerated in TTR-FAP but does not prevent the steady
progression of the neuropathy on the long term. Age, neurologic status, and
general condition at baseline appear to be best predictors of tafamidis efficacy
on the neurological function.
PMID- 27878442
TI - Clinical and neurophysiological risk factors for falls in patients with bilateral
vestibulopathy.
AB - Patients with bilateral vestibular failure (BVF) exhibit imbalance when standing
and walking that is linked to a higher fall risk. The purpose of this study was
to identify risk factors for falls in BVF. We therefore systematically
investigated the interrelationship of clinical and demographic characteristics,
gait impairments, and the fall frequency of these patients. Clinical and
demographic characteristics as well as quantitative measures of gait performance
on a pressure-sensitive gait carpet were collected from 55 patients with
different etiologies of BVF. Clinical and demographic data as well as
spatiotemporal gait characteristics were used for ANOVA testing and a logistic
regression model with categorized fall events as dependent variables. The
impairment of peripheral vestibular function, duration of disease, and the
overall gait status were not associated with the history of falls in patients
with BVF. In contrast, the most predictive factors for falls in BVF were an
increase in temporal gait variability, especially at slow walking speeds (p <
0.001; OR = 1.3), and the presence of a concomitant peripheral neuropathy (p <
0.045; OR = 3.6). BVF patients with a high risk of falling exhibit specific gait
alterations in a speed-dependent manner. In particular, increased gait
fluctuations during slow walking are most predictive for an increased fall risk.
The presence of a concomitant peripheral neuropathy further critically impairs
postural stability in these patients. Clinical assessment of both these aspects
is therefore important to identify those patients at a particularly high fall
risk and to initiate preventive procedures early.
PMID- 27878444
TI - Is a mobile emergency severity index (ESI) triage better than the paper ESI?
AB - This study aims to evaluate the mobile emergency severity index (ESI) tool in
terms of validity compared with the original ESI triage. The original ESI and
mobile ESI were used with patients at the Department of Emergency Medicine,
Ramathibodi Hospital, Thailand. Eligible patients were evaluated by sixth-year
medical students/emergency physicians using either the original or mobile ESI.
The ESI results for each patient were compared with the standard ESI. Concordance
and kappa statistics were calculated for pairs of the evaluators. There were 486
patients enrolled in the study; 235 patients (48.4%) were assessed using the
mobile ESI, and 251 patients (51.6%) were in the original ESI group. The baseline
characteristics of patients in both groups were mostly comparable except for the
ED visit time. The percentages of concordance and kappa statistics in the
original ESI group were lower than in the mobile group in all three comparisons
(medical students vs gold standard, emergency physicians vs gold standard, and
medical students vs emergency physicians). The highest kappa in the original ESI
group is 0.69, comparing emergency physicians vs gold standard, while the lowest
kappa in the application group is 0.84 comparing the medical students vs gold
standard. Both medical students and emergency physicians are more confident with
the mobile ESI application triage. In conclusion, the mobile ESI has better inter
rater reliability, and is more user-friendly than the original paper form.
PMID- 27878443
TI - Real-world effectiveness of natalizumab and fingolimod compared with self
injectable drugs in non-responders and in treatment-naive patients with multiple
sclerosis.
AB - In this independent, multicentre post-marketing study we directly compared the
effectiveness of natalizumab (NTZ), fingolimod (FNG) and self-injectable drugs
(INJ), in non-responders to first immunomodulating treatment and in highly active
treatment-naive patients with multiple sclerosis. As main outcome measure we
considered the proportions of patients with no evidence of disease activity (NEDA
3), defined as absence of relapses, disability worsening and radiological
activity. A total of 567 non-responders to interferon beta (IFNB) or glatiramer
acetate (GA) [dataset A] and 216 highly active treatment-naives [dataset B] were
followed up to 24 months from the beginning of NTZ, FNG or INJ, i.e. switching
from IFNB to GA or viceversa (in the case of non-responders) or starting high
dose IFNB (in the case of highly active treatment-naives). Propensity score
matching in a 1:1:1 ratio was used to select only patients with similar baseline
characteristics, retaining 330 and 120 patients in dataset A and B, respectively.
In dataset A, the 24-month proportion with NEDA-3 was greater in both NTZ group
(67%) and FNG group (42%) than in INJ group (35%) (p <= 0.016); however, NTZ was
superior to FNG in promoting the attainment of NEDA-3 status (p = 0.034). In
dataset B, the 24-month proportion with NEDA-3 was greater in NTZ group (75%) and
FNG group (67%) than in INJ group (40%), but the small cohort sizes most likely
prevented the detection of any statistically significant difference. Our study
provides real-world evidence that NTZ was more effective than both FNG and INJ in
non-responders, while it could seem that, in highly active treatment-naives, NTZ
was as effective as FNG and both were superior to INJ.
PMID- 27878446
TI - Accuracy assessment methods of tissue marker clip placement after 11-gauge vacuum
assisted stereotactic breast biopsy: comparison of measurements using direct and
conventional methods.
AB - BACKGROUND: The objective of the study was to compare direct measurement with a
conventional method for evaluation of clip placement in stereotactic vacuum
assisted breast biopsy (ST-VAB) and to evaluate the accuracy of clip placement
using the direct method. METHODS: Accuracy of clip placement was assessed by
measuring the distance from a residual calcification of a targeted calcification
clustered to a clip on a mammogram after ST-VAB. Distances in the craniocaudal
(CC) and mediolateral oblique (MLO) views were measured in 28 subjects with
mammograms recorded twice or more after ST-VAB. The difference in the distance
between the first and second measurements was defined as the reproducibility and
was compared with that from a conventional method using a mask system with
overlap of transparent film on the mammogram. The 3D clip-to-calcification
distance was measured using the direct method in 71 subjects. RESULTS: The
reproducibility of the direct method was higher than that of the conventional
method in CC and MLO views (P = 0.002, P < 0.001). The median 3D clip-to
calcification distance was 2.8 mm, with an interquartile range of 2.0-4.8 mm and
a range of 1.1-36.3 mm. CONCLUSION: The direct method used in this study was more
accurate than the conventional method, and gave a median 3D distance of 2.8 mm
between the calcification and clip.
PMID- 27878447
TI - Morbidity and healthcare costs of vascular anomalies: a national study.
AB - PURPOSE: This study aimed to define morbidities and costs related to modern-day
medical care for children with vascular anomalies. METHODS: We reviewed the 2003
2009 Kids' Inpatient Database for pediatric patients (age < 21 years)
hospitalized with hemangioma, arteriovenous malformation (AVM), or lymphatic
malformation (LM). Patient characteristics, hospital complications, and hospital
charges were compared by vascular anomaly type. Multivariable linear regression
modeling was used to determine predictors of increasing hospital costs for
patients with AVMs. RESULTS: In total, 7485 pediatric inpatients with vascular
anomalies were identified. Frequently associated complications included chronic
anemia (4.0%), sepsis (4.6%), and hypertension (2.4%). Children with AVM had the
highest rate of in-hospital mortality, compared to those with hemangiomas or LM
(1.0% vs. 0.1% vs. 0.3%, p < 0.001). AVMs were also associated with the highest
median hospital charge, more than twice the cost for hemangiomas or LM ($45,875
vs. $18,909 vs. $18,919; p < 0.001). CONCLUSIONS: There is a significant rate of
morbidity in children with vascular anomalies, most often from blood loss and
infection. The greater cost of AVM care may be related to the higher mortality
rate, associated complications, and complexity of procedures required treating
them. Cost-effective management of vascular anomalies should target prevention
and the early recognition of both chronic comorbidities and acute complications.
PMID- 27878445
TI - Can non-invasive ventilation modify central venous pressure? Comparison between
invasive measurement and ultrasonographic evaluation.
AB - Central venous pressure (CVP) is primarily measured to assess intravascular
volume status and heart preload. In clinical practice, the measuring device most
commonly used in emergency departments and intensive care units, is an electronic
transducer that interconnects a central venous catheter (CVC) with a monitoring
system. Non-invasive ventilation (NIV) consists in a breathing support that
supplies a positive pressure in airways through a mask or a cask though not using
an endotracheal prosthesis. In emergency settings, non-invasive ultrasonography
evaluation of CVP, and hence of intravascular volume status entail the
measurement by a subxiphoid approach of inferior vena cava diameter and its
variations in relation to respiratory activity. In the literature, there are many
studies analyzing the ability to estimate CVP through ultrasonography, rating
inspiratory and expiratory vena cava diameters and their ratio, defined as
inferior vena cava collapsibility index (IVC-CI). At the same time, the effects
of invasive mechanical ventilation on blood volume and the correlation during
ventilation between hemodynamic invasive measurement of CVP and inferior vena
cava diameters have already been demonstrated. Nevertheless, there are no
available data regarding the hemodynamic effects of NIV and the potential
correlations during this kind of ventilation between invasive and non-invasive
CVP measurements. Therefore, this study aims to understand whether there exists
or not an interrelationship between the values of CVP assessed invasively through
a CVC and non-invasively through the IVC-CI in patients with severe respiratory
distress, and above all to evaluate if these means of assessment can be
influenced using NIV.
PMID- 27878448
TI - A review of ecosystem service benefits from wild bees across social contexts.
AB - In order to understand the role of wild bees in both social and ecological
systems, we conducted a quantitative and qualitative review of publications
dealing with wild bees and the benefits they provide in social contexts. We
classified publications according to several attributes such as services and
benefits derived from wild bees, types of bee-human interactions, recipients of
direct benefits, social contexts where wild bees are found, and sources of
changes to the bee-human system. We found that most of the services and benefits
from wild bees are related to food, medicine, and pollination. We also found that
wild bees directly provide benefits to communities to a greater extent than
individuals. In the social contexts where they are found, wild bees occupy a
central role. Several drivers of change affect bee-human systems, ranging from
environmental to political drivers. These are the areas where we recommend making
interventions for conserving the bee-human system.
PMID- 27878449
TI - Pseudomyogenic Hemangioendothelioma: A Vascular Tumor Previously Undescribed in
the Oral Cavity.
AB - The pseudomyogenic hemangioendothelioma (PMH) is a low-grade malignant vascular
neoplasm of different tissue planes including skin and soft tissue. Primary
tumors in the skeletal muscle and bone have also been diagnosed. The PMH was
introduced into the WHO classification of tumors of soft tissue and bone in 2013.
This is the first description of oral involvement. A 21-year-old female presented
with a 2-month old swelling of her gingiva. The swelling appeared red in color
and was soft in consistency. A clinical diagnosis of a pyogenic granuloma was
made and an incisional biopsy was submitted for histopathological evaluation. The
lesion consisted of a proliferation of spindle and epithelioid looking cells.
Cells were arranged in loose fascicles and sheets. Rhabdomyoblast-like cells were
also seen. No mitotic figures were present. Lesional cells were reactive to
cytokeratin AE1/AE3 and CD31. Lesional cell reactivity to S100 protein, HMB 45,
SMA, Desmin and CD34 was negative. Following the diagnosis, a wide excision for
clear margins was performed. No recurrence has been reported 2 years since the
removal. The PMH is a cutaneous tumor that behaves in an indolent fashion. This
is the first report of oral involvement by this neoplasm. Recognition of its
histopathological features and immunohistochemical reactivity will prevent
misadventures in the diagnosis of oral lesions.
PMID- 27878450
TI - Mass spectrometry based proteomics profiling of human monocytes.
AB - Human monocyte is an important cell type which is involved in various complex
human diseases. To better understand the biology of human monocytes and
facilitate further studies, we developed the first comprehensive proteome
knowledge base specifically for human monocytes by integrating both in vivo and
in vitro datasets. The top 2000 expressed genes from in vitro datasets and 779
genes from in vivo experiments were integrated into this study. Altogether, a
total of 2237 unique monocyte-expressed genes were cataloged. Biological
functions of these monocyte-expressed genes were annotated and classified via
Gene Ontology (GO) analysis. Furthermore, by extracting the overlapped genes from
in vivo and in vitro datasets, a core gene list including 541 unique genes was
generated. Based on the core gene list, further gene-disease associations,
pathway and network analyses were performed. Data analyses based on multiple
bioinformatics tools produced a large body of biologically meaningful
information, and revealed a number of genes such as SAMHD1, G6PD, GPD2 and ENO1,
which have been reported to be related to immune response, blood biology, bone
remodeling, and cancer respectively. As a unique resource, this study can serve
as a reference map for future in-depth research on monocytes biology and monocyte
involved human diseases.
PMID- 27878452
TI - Inheritance and effectiveness of two transgenes determining PVY resistance in
progeny from crossing independently transformed tobacco lines.
AB - Genetic transformation of plants allows us to obtain improved genotypes enriched
with the desired traits. However, if transgenic lines were to be used in breeding
programs the stability of inserted transgenes is essential. In the present study,
we followed the inheritance of transgenes in hybrids originated from crossing two
transgenic tobacco lines resistant to Potato virus Y (PVY): MN 944 LMV with the
transgene containing Lettuce mosaic virus coat protein gene (LMV CP) and AC Gayed
ROKY2 with PVY replicase gene (ROKY2). Progeny populations generated by
successive self-pollination were analyzed with respect to the transgene
segregation ratio and resistance to Potato virus Y in tests carried out under
greenhouse conditions. The presence of the virus in inoculated plants was
detected by DAS-ELISA method. The results demonstrated the Mendelian fashion of
inheritance of transgenes which were segregated independently and stably. As a
result, we obtained T4 generation of hybrid with both transgenes stacked and
which was highly resistant to PVY.
PMID- 27878453
TI - Evaluation of stability and validation of reference genes for RT-qPCR expression
studies in rice plants under water deficit.
AB - Many studies use strategies that allow for the identification of a large number
of genes expressed in response to different stress conditions to which the plant
is subjected throughout its cycle. In order to obtain accurate and reliable
results in gene expression studies, it is necessary to use reference genes, which
must have uniform expression in the majority of cells in the organism studied.
RNA isolation of leaves and expression analysis in real-time quantitative
polymerase chain reaction (RT-qPCR) were carried out. In this study, nine
candidate reference genes were tested, actin 11 (ACT11), ubiquitin conjugated to
E2 enzyme (UBC-E2), glyceraldehyde-3-phosphate dehydrogenase (GAPDH), beta
tubulin (beta-tubulin), eukaryotic initiation factor 4alpha (eIF-4alpha),
ubiquitin 10 (UBQ10), ubiquitin 5 (UBQ5), aquaporin TIP41 (TIP41-Like) and
cyclophilin, in two genotypes of rice, AN Cambara and BRS Querencia, with
different levels of soil moisture (20%, 10% and recovery) in the vegetative (V5)
and reproductive stages (period preceding flowering). Currently, there are
different softwares that perform stability analyses and define the most suitable
reference genes for a particular study. In this study, we used five different
methods: geNorm, BestKeeper, DeltaCt method, NormFinder and RefFinder. The
results indicate that UBC-E2 and UBQ5 can be used as reference genes in all
samples and softwares evaluated. The genes beta-tubulin and eIF-4alpha,
traditionally used as reference genes, along with GAPDH, presented lower
stability values. The gene expression of basic leucine zipper (bZIP23 and bZIP72)
was used to validate the selected reference genes, demonstrating that the use of
an inappropriate reference can induce erroneous results.
PMID- 27878455
TI - Structural Insight into Binding Mode of 9-Hydroxy Aristolochic Acid, Diclofenac
and Indomethacin to PLA2.
AB - Phospholipase A2 (PLA2) catalyzes the hydrolysis of phospholipids into
arachidonic acid and lysophospholipids. Arachidonic acid is modified by
cyclooxygenases into active compounds called eicosanoids that act as signaling
molecules in a number of physiological processes. Excessive production of
eicosanoids leads to several pathological conditions such as inflammation. In
order to block the inflammatory effect of these compounds, upstream enzymes such
as PLA2 are valid targets. In the present contribution, molecular dynamic
analysis was performed to evaluate the binding of diclofenac, 9-hydroxy
aristolochic acid (9-HAA) and indomethacin to PLA2. Obtained results revealed
that 9-HAA could form a more stable complex with PLA2 when compared to diclofenac
and indomethacin. Furthermore, analysis of intermolecular binding energy
components indicated that hydrophobic interactions were dominant in binding
process. On the basis of obtained data, inhibitors bearing fused rings with
hydrogen acceptor/donor substituent(s) interacted with His48 and Asp49 residues
of the active site. More affinity toward PLA2 might be envisaged through
negatively charged moieties via interaction with Trp31, Lys34 and Lys69.
PMID- 27878454
TI - Pectinase production by Aspergillus giganteus in solid-state fermentation:
optimization, scale-up, biochemical characterization and its application in olive
oil extraction.
AB - The application of pectinases in industrial olive-oil processes is restricted by
its production cost. Consequently, new fungal strains able to produce higher
pectinase titers are required. The aim of this work was to study the capability
of Aspergillus giganteus NRRL10 to produce pectinolytic enzymes by SSF and
evaluate the application of these in olive-oil extraction. A. giganteus was
selected among 12 strains on the basis of high pectinolytic activity and
stability. A mixture composed by wheat bran, orange, and lemon peels was selected
as the best substrate for enzyme production. Statistical analyses of the
experimental design indicated that pH, temperature, and CaCl2 are the main
factors that affect the production. Subsequently, different aeration flows were
tested in a tray reactor; the highest activity was achieved at 20 L min-1 per
kilogram of dry substrate (kgds). Finally, the pectinolytic enzymes from A.
giganteus improved the oil yield and rheological characteristics without
affecting oil chemical properties.
PMID- 27878456
TI - An Improved Protein Surface Extraction Method Using Rotating Cylinder Probe.
AB - For extraction of information on binding sites of a protein, the commonly known
geometry-based methods utilize the corresponding PDB file to extract its surface
as a first step. Finally, the surface is used to find the binding site atoms. As
shown in this paper work, since none of the mostly used surface extraction
methods can retrieve a sizeable percentage of the binding site atoms, the scope
of development of a better method remains. In this direction, this paper presents
a new benchmarking criteria based on utilization of binding site information to
compare performance of these surface extraction methods. Also, a new surface
extraction method is introduced based on the use of a rotating cylinder probe
adapting from the work of Weisel et al. (Chem Cent J 1:7-23, 2007. doi:
10.1186/1752-153X-1-7 ). The result of the new method shows a significant
improvement of performance in comparison to the existing methods.
PMID- 27878451
TI - Beyond Genetics: What Causes Type 1 Diabetes.
AB - Type 1 diabetes (T1D) is an autoimmune disease resulting from T cell-mediated
beta cell destruction in the pancreas of genetically susceptible individuals.
Extensive familial and population genetic studies uncovered the strong linkage
and association between HLA gene variants and T1D. Non-HLA genes have also been
associated with T1D, such as INS, CTLA4, and PTPN22. T1D is considered as one of
the most heritable common diseases. However, evidence that monozygotic twins have
incomplete concordance of disease susceptibility provides convincing proof that
environmental factors also play important roles in the pathogenesis of the
disease. Environmental factors can induce the alterations of gene expression via
epigenetic mechanisms. Epigenetic modifications refer to the alterations in gene
expression without changes of the DNA sequence, but instead occur as a result of
DNA methylation, histone modifications, and miRNA regulation. Aberrant epigenetic
modifications will cause the dysregulation of gene expression, thus leading to a
variety of human diseases. There are significant differences in DNA methylation,
histone modifications, and miRNA profiling found in T1D patients compared with
healthy individuals. Epigenetic modifications contribute to the pathogenesis of
T1D mainly by regulating the expression of susceptible genes in T1D. These
susceptible genes are involved in antigen presentation (such as HLA), immune
tolerance (such as FOXP3 and CTLA4), autoreactive T cell response (such as
GAD65), and beta cell functions (such as INS). A better understanding of
epigenetic mechanisms for regulating susceptible genes of T1D will help identify
candidates that target epigenetic pathways to control and/or prevent T1D.
Knowledge of epigenetic changes in T1D also provides us with potential biomarkers
for diagnosis, prognostication, personalized treatment, and prevention of the
disease.
PMID- 27878457
TI - Poorly understood and often miscategorized congenital umbilical cord hernia: an
alternative repair method.
AB - PURPOSE: Umbilical cord hernia is poorly understood and often miscategorized as
"omphalocele minor". Careless clamping of the cord leads to iatrogenic gut injury
in the situation of umbilical cord hernia. This study aimed to determine the
characteristics and outcomes of umbilical cord hernias. We also highlight an
alternative repair method for umbilical cord hernias. METHODS: We recorded 15
cases of umbilical cord hernias over 10 years. The patients' data were
retrospectively reviewed, and preoperative preparation of the newborn,
gestational age, birth weight, other associated malformations, surgical technique
used, enteral nutrition, and length of hospitalization were recorded. RESULTS:
This study included 15 neonates with umbilical cord hernias. The mean gestational
age at the time of referral was 38.2 +/- 2.1 15 years of age (65.8%). Contact with livestock was the main risk
factor (35.4%). Sistan and Baluchestan provinces had the highest frequency within
the infected cohort (68.3%). The overall mortality rate was 11.8%. This study
also revealed a significant reduction in CCHF-fatality rates in Iranian children
when compared to earlier studies in Iran. Having contact with livestock was the
major risk factor and CCHF was more common in male children of an older age.
PMID- 27878463
TI - Tracking of the inferior alveolar nerve: its implication in surgical planning.
AB - OBJECTIVES: The objective of the study is to assess the correlation between the
mandibular canal tracing done on cone beam CT (CBCT) data, with the size, shape,
and position of the neurovascular bundle (NB) obtained by magnetic resonance
imaging (MRI). MATERIAL AND METHODS: Six human cadaver mandibles were scanned
with a CBCT Promax(r) scanner (Planmeca, Helsinki, Finland) and with an
Ingenia(r) 3.0 T MR system (Philips, Amsterdam, The Netherlands). The NB was
segmented from the MRI dataset, while the mandibular canal (MC) tracing was done
on the CBCT images. Quantitative 3D analysis was made for the full-segmented
nerves and for three defined regions of specific clinical interest, namely angle,
body, and mental region. RESULTS: From the 3D MRI analysis, the nerve thickness
(for the angle, body, and mental region) ranges from 0.8 to 5.2 mm, while the
thickness of the mandibular canal tracing is approximately 2.00 mm on both sides
as chosen in the tracing software. The mean volume of the NB on the left is
828.49 +/- 215.54 mm3 and on the right 792.98 +/- 264.57 mm3. For the nerve
tracing, the mean value is 351.92 +/- 16.42 and 339.69 +/- 16.12 mm3 on the left
and right sides, respectively. Wilcoxon signed-rank test showed significant
differences between NB and MC volume measurements (p = 0.0005). The Bland-Altman
plots show an increasing slope for thickness and volume, indicating that the
absolute differences between neurovascular bundle, estimated by MRI, and the
mandibular canal, drawn on the CBCT images, increase with larger mean values.
CONCLUSIONS: Surgeons should be aware of the shortcomings of nerve tracing in the
different regions of the mandible. CLINICAL RELEVANCE: Tracing of the inferior
alveolar nerve (IAN) underestimates shape and volume. Whenever nerve tracing
instead of well-recognizable anatomical bony landmarks is used for surgical
planning that need precision, a wider safe margin is recommended.
PMID- 27878465
TI - Dr. T. S. Chandrasekar, incoming president, Indian Society of Gastroenterology
2016-2017.
PMID- 27878464
TI - Impact of abutment material on peri-implant soft tissue color. An in vitro study.
AB - OBJECTIVES: The objectives of the present study is to determine the differences
in peri-implant soft tissue color with the utilization of titanium, titanium gold
plated, white zirconia, Vita Classical (VC) A4-shaded zirconia, and fluorescent
white zirconia abutments and to establish the influence of gingival thickness on
the resulting color. METHODS: Four implants were contralaterally inserted in 19
fresh pig mandibles, and the color of the peri-implant mucosa with the different
abutments was spectrophotometrically measured at 1-, 2-, and 3-mm height from the
margin. RESULTS: At 1-mm height, titanium significantly differed from all
zirconia abutments in lightness (L*), chroma along red axis (a*), and chroma
along yellow-blue axis (b*) parameters. At 2 mm, all zirconia abutments differed
from titanium in b* but only fluorescent zirconia in a*. At 3 mm, titanium
differed from VC A4-shaded and fluorescent zirconia abutments in b*. At soft
tissue thicknesses <1 and 1-2 mm, titanium differed from fluorescent zirconia in
a* and b* and from VC A4-shaded zirconia in b*; at thickness >2 mm, no
differences were found among abutments. All abutments differed from natural teeth
in a* and b* at all heights and thicknesses except for fluorescent zirconia at
thickness >2 mm. The Euclidean distance (DeltaEpsilon) differed between titanium
abutments and gold, VC A4, and fluorescent zirconia at <1- and 1-2-mm
thicknesses. CONCLUSION: The natural gingival color was not reproduced with any
abutment at gingival thicknesses <2 mm. The worst color match was with titanium
abutments and the best with fluorescent zirconia, followed by VC A4-shaded
zirconia. At gingival thicknesses >2 mm, no differences were detected among
abutments. CLINICAL RELEVANCE: This study demonstrates that the type of abutment
and the gingival thickness affect the resulting peri-implant gingival color.
PMID- 27878466
TI - Pancreatic exocrine insufficiency: Comparing fecal elastase 1 with 72-h stool for
fecal fat estimation.
AB - INTRODUCTION: Identification of pancreatic exocrine insufficiency (PEI) is
important in the management of chronic pancreatitis. The 72-h stool for fecal fat
estimation (FFE) has long been considered a gold standard indirect test for the
diagnosis of PEI. However, the test is cumbersome for both patients and
laboratory personnel alike. In this study, we aimed to assess fecal elastase 1
(FE1) as an alternate to FFE for the diagnosis of PEI. METHODS: In all, 87
consecutive patients diagnosed with chronic pancreatitis were included in this
study. FFE and FE1 estimation was done for all the patients. For FE1, two cutoffs
(<100 and <200 MUg) were selected to define pancreatic exocrine insufficiency.
The sensitivity, specificity, and positive and negative predictive values for the
two cutoffs were estimated. Kappa statistics was used to assess degree of
agreement between both tests. RESULTS: All patients completed the study and were
included in the analysis. The sensitivity, specificity, and positive and negative
predictive value and PABAK (prevalence and bias adjusted kappa) for FE1 <100 MUg
was 84.9, 47.6, 83.6, 50, and 0.52, respectively. For FE1 <200 MUg, it was 90.9,
9.5, 75.95, 25, and 0.43, respectively. CONCLUSION: FE1 is a sensitive test;
however, it does not have a good agreement with FFE. FE1 may be used as screening
test for PEI in patients with chronic pancreatitis.
PMID- 27878467
TI - Outcomes of retesting BRCA negative patients using multigene panels.
AB - The utility of multigene panels in retesting patients who previously tested
negative for a pathogenic mutation by BRCA1/2 testing is not well established.
Patients who previously tested negative for a pathogenic BRCA1/2 mutation by
standard sequencing, and who were seen in cancer genetics center between November
1, 2012 and June 30, 2015 for additional testing utilizing multigene panels, were
identified using our genetic testing registry. Data on demographics, personal and
family history of cancer, results of panel testing and the impact on patient
management was collected retrospectively. A total of 122 patients underwent
retesting during the study period. Thirteen (11%) pathogenic mutations were
identified in the following genes: CHEK2(4), PALB2(3), ATM(2), CDH1, APC, BARD1
and MRE11A. Eleven out of these thirteen mutations were deemed actionable based
on published guidelines. Of these eleven, seven patients had an actual change in
clinical management as a result of retesting. Furthermore, retesting also led to
a change in clinical management in the two patients with mutations in genes
(BARD1 and MRE11A) which do not have clear guidelines for management. There were
no significant differences in demographics and personal and family history of
cancer between patients who tested positive and those who tested negative on
retesting. This study demonstrates the clinical utility of multigene panels in a
group of high risk individuals who previously tested negative for a BRCA1/2
mutation. This retesting approach revealed a pathogenic mutation in 11% of cases.
Retesting led to significant change in clinical management in a majority of
patients with actionable mutations (7 out of 11), as well as in those with
mutations in genes which do not have specific management guidelines.
PMID- 27878468
TI - The Risk of Adverse Pregnancy Outcome After First Trimester Exposure to H1
Antihistamines: A Systematic Review and Meta-Analysis.
AB - INTRODUCTION: H1 antihistamines are used for the treatment of nausea and vomiting
during pregnancy as well as the symptomatic relief of asthma, urticaria, allergy,
and the common cold. Although they are overall felt to be safe during pregnancy,
recently several studies have challenged this assumption, as millions of women
are exposed to them in the first trimester. METHODS: Following the guidelines of
PRISMA, a systematic review was performed to retrieve all published articles
involving H1-antihistamine exposure during pregnancy. Electronic databases
including PubMed and EMBASE were searched for possibly relevant articles
published in any language up to December 2015. RESULTS: After removing duplicate
publications, and excluding animal studies and studies on drug effectiveness, 342
articles were reviewed in detail and 37 studies fulfilled the inclusion criteria
for the meta-analysis. In cohort studies, the risk of major malformation in the
offspring of women exposed to H1 antihistamines was not higher than that of the
control population (OR 1.07; 95% CI 0.98-1.16). The Q-statistic for heterogeneity
of effects was not significant (p > 0.05, I 2 < 25%) and there was no evidence of
publication bias. Similar results were achieved with case-control studies (OR
1.05; 95% CI 0.90-1.23). Similarly, H1 antihistamines were not associated with
more spontaneous abortions (OR 1.00; 95% CI 0.83-1.20), prematurity (OR 0.96; 95%
CI 0.76-1.20), stillbirth (OR 1.23; 95% CI 0.48-3.18) or low birth weight (OR
1.20; 95% CI 0.63-2.29). CONCLUSIONS: Based on our meta-analyses, which included
a large number of studies, H1 antihistamines are not associated with an increased
risk of major malformation or other adverse fetal outcomes. This study provides
important information to both pregnant women and their healthcare providers
regarding the safety and risk of H1 antihistamine use during this sensitive time.
PMID- 27878469
TI - Genetic diversity and population structure of Nuphar submersa (Nymphaeaceae), a
critically endangered aquatic plant endemic to Japan, and implications for its
conservation.
AB - Nuphar submersa (Nymphaeaceae) is a critically endangered freshwater macrophyte
indigenous to central Japan, with only four small extant populations represented
across its entire range. We investigated the genotypic and genetic diversity as
well as the genetic structure of all extant individuals of N. submersa based on
analysis of 15 microsatellite loci. Among 278 individual ramets, 52 multilocus
genotypes were detected: 30 genotypes in Nikko City (NIK), 18 in Nasukarasuyama
City (NAS), 3 in Mooka City (MOK), and 1 in Sakura City (SAK). The average number
of alleles per locus ranged from 1.20 to 1.93, whereas the observed and expected
heterozygosities ranged from 0.11 to 0.33 and from 0.10 to 0.24, respectively.
With the exception of SAK, all populations contained multiple clones, but our
results indicated low levels of within-population genetic diversity. The
populations NIK and NAS comprised few large or middle-sized genets and many small
genets. The populations NIK and NAS were suggested to comprise large old, old
fragmented, and/or young small genets resulting from seedling establishment. All
four populations were differentiated, and gene flow between the populations was
restricted (average level of gene flow (Nm) = 0.122, G' ST = 0.639). Of the
total genetic diversity, 67.20 and 9.13% were attributable to inter- and intra
population diversity, respectively. STRUCTURE analysis revealed two or three well
differentiated groups of populations. Cluster I comprised one population (NIK)
and cluster II comprised the remaining populations at K = 2. The populations NIK,
NAS, and the remaining populations were assigned to clusters I, II, and III,
respectively, at K = 3. For conservation practices, we recommend that each
cluster be regarded as a different management unit. We further suggest that
artificial gene flow among MOK and SAK populations is an appropriate option,
whereas NIK should not be reinforced with genotypes from the remaining
populations.
PMID- 27878472
TI - CanMEDS is a theory.
PMID- 27878471
TI - Cerebrospinal fluid anti-Epstein-Barr virus specific oligoclonal IgM and IgG
bands in patients with clinically isolated and Guillain-Barre syndrome.
AB - Epstein-Barr virus (EBV) has been implicated in multiple sclerosis (MS)
pathogenesis. We aimed to assess the frequency of EBV-specific IgG and IgM
oligoclonal bands (OCB) in cerebrospinal fluid (CSF) of 50 patients with
clinically isolated syndrome (CIS) and in 27 controls with Guillain-Barre
syndrome (GBS). Furthermore, we assessed correlations between the presence of OCB
and CIS patients' CSF, MRI, and clinical variables. There was no difference in
the proportion of CIS and GB patients with positivity for anti-EBV-specific
IgG/IgM OCB. There were no correlations between OCB and analyzed variables, nor
were they predictive of a higher disability at 3 years.
PMID- 27878470
TI - beta2 Agonists.
AB - History suggests beta agonists, the cognate ligand of the beta2 adrenoceptor,
have been used as bronchodilators for around 5,000 years, and beta agonists
remain today the frontline treatment for asthma and chronic obstructive pulmonary
disease (COPD). The beta agonists used clinically today are the products of
significant expenditure and over 100 year's intensive research aimed at
minimizing side effects and enhancing therapeutic usefulness. The respiratory
physician now has a therapeutic toolbox of long acting beta agonists to
prophylactically manage bronchoconstriction, and short acting beta agonists to
relieve acute exacerbations. Despite constituting the cornerstone of asthma and
COPD therapy, these drugs are not perfect; significant safety issues have led to
a black box warning advising that long acting beta agonists should not be used
alone in patients with asthma. In addition there are a significant proportion of
patients whose asthma remains uncontrolled. In this chapter we discuss the
evolution of beta agonist use and how the understanding of beta agonist actions
on their principal target tissue, airway smooth muscle, has led to greater
understanding of how these drugs can be further modified and improved in the
future. Research into the genetics of the beta2 adrenoceptor will also be
discussed, as will the implications of individual DNA profiles on the clinical
outcomes of beta agonist use (pharmacogenetics). Finally we comment on what the
future may hold for the use of beta agonists in respiratory disease.
PMID- 27878473
TI - Education and neuroscience.
PMID- 27878474
TI - Quantitative Assessment of CYP2C9 Genetic Polymorphisms Effect on the Oral
Clearance of S-Warfarin in Healthy Subjects.
AB - BACKGROUND: Genetic polymorphisms in CYP2C9 account for 10-20% of the variability
in warfarin dose requirement. As such CYP2C9 genetic polymorphisms are commonly
included in algorithms aimed to optimize warfarin therapy as a way to account for
variability in warfarin responsiveness that is due to altered pharmacokinetics.
However, most of the currently available pharmacokinetic data were derived from
studies among patients on chronic warfarin therapy and therefore suffer from the
confounding effects of disease states and drug interactions. OBJECTIVE: The
purpose of the present study was to provide an accurate quantitative estimate of
S-warfarin oral clearance (CLS) among healthy subjects carrying different CYP2C9
genotypes. METHODS: Single dose of warfarin was administered to 150 non-smokers,
age (mean +/- SD) 23.3 +/- 4.5 years, 60% male, non-obese, healthy subjects.
Blood samples were taken for up to 168 h and urine was collected over the entire
study period. RESULTS: Compared with carriers of the wild-type CYP2C9*1/*1
genotype (n = 69), CLS was reduced by 25, 39 and 47% among heterozygote for
CYP2C9*2 (n = 41) CYP2C9*3 (n = 26) and carriers of 2 variant alleles (n = 14),
respectively (p < 0.001). The corresponding decrease in the formation clearance
of 6 and 7 S-hydroxy-warfarin was 45, 65 and 75%, respectively (p < 0.001).
CONCLUSIONS: The current study provides an estimate concerning the effect of
CYP2C9 polymorphisms on S-warfarin pharmacokinetics among healthy subjects. As
such it is free of the confounding effects of disease states and drug
interactions. Further research is needed to evaluate whether the incorporation of
quantitative data obtained in the present study into pharmacogenetic warfarin
algorithm may enhance its precision. TRIAL REGISTRATION: Clinicaltrials.gov
Identifier NCT00162474.
PMID- 27878477
TI - Paraneoplastic Pemphigus and Autoimmune Blistering Diseases Associated with
Neoplasm: Characteristics, Diagnosis, Associated Neoplasms, Proposed
Pathogenesis, Treatment.
AB - Autoimmune paraneoplastic and neoplasm-associated skin syndromes are
characterized by autoimmune-mediated cutaneous lesions in the presence of a
neoplasm. The identification of these syndromes provides information about the
underlying tumor, systemic symptoms, and debilitating complications. The
recognition of these syndromes is particularly helpful in cases of skin lesions
presenting as the first sign of the malignancy, and the underlying malignancy can
be treated in a timely manner. Autoimmune paraneoplastic and neoplasm-associated
bullous skin syndromes are characterized by blister formation due to an
autoimmune response to components of the epidermis or basement membrane in the
context of a neoplasm. The clinical manifestations, histopathology and
immunopathology findings, target antigens, associated neoplasm, current
diagnostic criteria, current understanding of pathogenesis, and treatment options
for a selection of four diseases are reviewed. Paraneoplastic pemphigus manifests
with clinically distinct painful mucosal erosions and polymorphic cutaneous
lesions, and is often associated with lymphoproliferative neoplasm. In contrast,
bullous pemphigoid associated with neoplasm presents with large tense
subepidermal bullae of the skin, and mild mucosal involvement, but without unique
clinical features. Mucous membrane pemphigoid associated with neoplasm is a
disorder of chronic subepithelial blisters that evolve into erosions and
ulcerations that heal with scarring, and involves stratified squamous mucosal
surfaces. Linear IgA dermatosis associated with neoplasm is characterized by
annularly grouped pruritic papules, vesicles, and bullae along the extensor
surfaces of elbows, knees, and buttocks. Physicians should be aware that these
autoimmune paraneoplastic and neoplasm-associated syndromes can manifest distinct
or similar clinical features as compared with the non-neoplastic counterparts.
PMID- 27878476
TI - Management of Post-Liver Transplant Recurrence of Hepatitis C.
AB - Cirrhosis due to chronic hepatitis C (HCV) is the leading indication for liver
transplantation in North America and Europe. HCV re-infection post-transplant is
nearly universal and if left untreated negatively affects patient and graft
survival. Until recently, treatment options for HCV were limited to interferon
(IFN)-based therapies which had low sustained viral response (SVR) rates and were
poorly tolerated in the post-transplant setting. In the last 3 years, the promise
of the directly acting antivirals (DAAs) for the treatment of HCV has been
fulfilled with high sustained viral response (SVR) rates and a low side effect
profile demonstrated in both registration trials and real-world studies. This
innovation has allowed post-liver transplant patients with HCV recurrence access
to interferon-free therapies with extraordinary efficacy, safety, tolerability,
and fewer drug-drug interactions.
PMID- 27878475
TI - Epigenetic Biomarkers in Colorectal Cancer.
AB - Developments in the diagnosis and treatment of colorectal cancer (CRC) have been
made in the last decade, but the overall survival rate of patients with CRC has
not improved dramatically. Genetic and epigenetic events contribute to CRC
pathogenesis. Tumor heterogeneity results in a range of prognoses and responses
to CRC management and therapy. Epigenetic biomarkers have potential in CRC
diagnosis and in measuring response to therapy. Combining information from
genetic and epigenetic alterations provides an opportunity to predict response to
therapy. Epigenetic biomarkers can be used in disease stratification, which also
helps in designing therapeutic approaches for CRC. Challenges in the
understanding of CRC development and gaps in knowledge are discussed.
PMID- 27878479
TI - Total Transthoracic Approach Facilitates Laparoscopic Hepatic Resection in
Patients with Significant Prior Abdominal Surgery.
AB - BACKGROUND: While the oncologic safety of minimally invasive hepatectomy for
colorectal liver metastases (CLM) has been demonstrated, lesions in the postero
superior segments may be challenging.1 - 3 For these lesions, a transthoracic
approach may be particularly helpful, especially in patients with a
hostile/reoperative abdomen or morbid obesity.4 , 5 PATIENT: A 43-year-old man
with a body mass index of 36.0 who had undergone rectosigmoid resection for
primary cancer 5 years ago recurred with a solitary liver metastasis in SVIII. He
had previously undergone the following resections for metachronous CLM: (i)
partial resections of SV/VIII and SII/III; (ii) ablation for SVII; and (iii) left
hepatectomy, common bile duct resection, and choledochojejunostomy. Following
four cycles of FOLFIRI/panitumumab with good response, the patient was considered
for his fourth abdominal cancer intervention via a thoracoscopic approach.
TECHNIQUE: In a modified French position with left-lung ventilation, access to
the right thoracic cavity was gained. Following thoracic adhesiolysis,
transdiaphragmatic intraoperative ultrasonography (IOUS) was performed. To ensure
optimal margins, IOUS-guided transthoracic hepatic resection with partial
resection of the diaphragm was conducted. The diaphragm was reconstructed and a
chest tube placed. Operative time was 247 min, with an estimated blood loss of
100 mL. Postoperative recovery was uneventful; pathology demonstrated no viable
tumor, with the closest margin 5 mm from the necrotic area. CONCLUSION:
Transthoracic hepatic resection of SVIII can optimize the port-target axis while
minimizing morbidity. A systematic approach that includes precise port
positioning, non-traumatic intrathoracic adhesiolysis, and meticulous
transdiaphragmatic IOUS-guided parenchymal transection can optimize outcomes.
PMID- 27878478
TI - Liver Resection After Selective Internal Radiation Therapy with Yttrium-90 is
Safe and Feasible: A Bi-institutional Analysis.
AB - BACKGROUND: Treatment with yttrium-90 (Y90) microspheres has emerged as a viable
liver-directed therapy for patients with unresectable tumors and those outside
transplantation criteria. A select number of patients demonstrate a favorable
response and become candidates for surgical resection. METHODS: Patients who
underwent selective internal radiation therapy (SIRT) with Y90 microspheres at
two institutions were reviewed. Patients who underwent liver resection were
included in the study. The data gathered included demographics, tumor
characteristics, response to Y90, surgical details, perioperative outcomes, and
survival. RESULTS: The inclusion criteria were met by 12 patients. The diagnoses
included metastatic disease from colorectal adenocarcinoma (n = 6),
neuroendocrine tumor (n = 1), and ocular melanoma (n = 1) in addition to
hepatocellular carcinoma (n = 4). The median time from liver disease diagnosis to
Y90 treatment was 5.5 months (range 2-92 months). The median time from Y90
treatment to surgery was 9.5 months (range 3-20 months). The surgical approach
included right hepatectomy (n = 3), extended right hepatectomy (n = 5), extended
left hepatectomy (n = 1), segmentectomy with ablation (n = 2), and segmentectomy
with isolated liver perfusion (n = 1). The hospital stay was 7 days (range 4-31
days), and 67% of the patients were discharged home. The readmission rate was
42%. The 90-day morbidity and mortality rates were respectively 42 and 8%. At
this writing, the median overall survival has not been reached at 25 months.
CONCLUSION: Liver resection after Y90 SIRT is a challenging surgical procedure
with high rates of perioperative morbidity and hospital readmission. However, for
properly selected patients, potential exists for extending disease-free and
overall survival in the current era of multimodal therapy for malignant liver
disease.
PMID- 27878480
TI - Management for Peritoneal Metastasis of Colonic Origin: Role of Cytoreductive
Surgery and Perioperative Intraperitoneal Chemotherapy: A Single Institution's
Experience During Two Decades.
AB - BACKGROUND: Peritoneal metastasis of colonic origin is associated with a poor
prognosis. This study aimed to analyze the clinicopathologic characteristics and
prognostic predictors of survival in a cohort of patients treated with
cytoreductive surgery (CRS) and perioperative intraperitoneal chemotherapy (POIC)
during two decades. METHODS: A retrospective study analyzed a prospectively
maintained database for all patients treated for peritoneal metastasis of a
colonic origin (PCC) from January 1990 to April 2015. RESULTS: The 318 patients
in our study comprised 171 men (53.8%) and 147 women (46.2%). The mean age of the
patients at presentation was 50.6 years, (range 18-86 years). Overall survival
was 42.5%, median survival and follow-up time was 21.5 and 15.0 months
respectively. The 3 and 5 years survival rates were respectively 35 and 25%. The
median survival time was 20.6 months for the men and 23.1 months for the women (p
= 0.14). The mean intraoperative peritoneal carcinomatosis index (PCI) was 15.2.
The patients who had a completeness of cytoreduction (CC) score of 0 or 1 (no
residual disease <0.25 mm) had a median survival time of 36.6 months compared
with 18.3 months for the patients with a CC-2 score and 7.6 months for the
patients with a CC-3 score (p < 0.000). The significant independent predictors of
survival in the multivariate analysis were the CC score and elevated tumor makers
CA153 and CA125. CONCLUSION: For patients with a limited extent of peritoneal
metastases, CC is the most important prognostic variable for improved survival of
colon cancer patients with peritoneal metastases.
PMID- 27878481
TI - Field investigations of dissipations and residues of cyazofamid in soil and
tomato: risk assessment of human exposure to cyazofamid via tomato intake.
AB - Cyazofamid, as a fungicide of the novel cyanoimidazole chemical class, has been
widely used to control tomato late blight. Understanding of cyazofamid residues
in environment and crops is an essential prerequisite for its risk assessment. In
this study, field investigations in four typical tomato-producing areas were
conducted to explore the dissipation kinetics and residues of cyazofamid and its
primary metabolite 4-chloro-5-p-tolylimidazole-2-carbonitrile (CCIM) in soil and
tomato. A robust method using QuEChERS coupled with liquid chromatography-tandem
mass spectrometry (LC-MS/MS) was developed for simultaneous analysis of
cyazofamid and CCIM, with limits of quantification of 0.33 and 3.8 MUg/kg,
respectively. Field trials showed that the half-lives of cyazofamid were 3.6-6.9
days in soil and 12.2-18.3 days in tomato. The total residues of cyazofamid and
CCIM in tomato collected at three time intervals were all below 0.5 mg/kg.
Moreover, the potential risks of total residues via tomato intake to ten
population subgroups were evaluated. We found that the risk quotient values were
all generally low (0.13-1.3%), indicating that the recommended dose of cyazofamid
on tomato will not result in a consumer exposure exceeding the toxicological
reference value. Here, the results of field investigation provided important
information for further understanding the behavior and risk of cyazofamid in the
natural environment.
PMID- 27878484
TI - Pilot scale nanofiltration treatment of olive mill wastewater: a technical and
economical evaluation.
AB - The treatment of large volumes of olive mill wastewater is presently a challenge.
This study reports the technical and economical feasibility of a sequential
treatment of olive mill wastewater comprising a dissolved air flotation pre
treatment and nanofiltration. Different pilot nanofiltration assays were
conducted in a concentration mode up to different volume reduction factors (29,
45, 58, and 81). Data attained demonstrated that nanofiltration can be operated
at considerably high volume reduction factors and still be effective towards the
removal of several components. A flux decline of approximately 50% was observed
at the highest volume reduction factor, mainly due to increase of the osmotic
pressure. Considerably high rejections were obtained across all experiments for
total suspended solids (83 to >99%), total organic carbon (64 to 99%), chemical
oxygen demand (53 to 77%), and oil and grease (67 to >82%). Treated water was in
compliance with European legal limits for discharge regarding total suspended
solids and oil and grease. The potential recovery of phenolic compounds was
evaluated and found not relevant. It was demonstrated that nanofiltration is
economically feasible, involving operation costs of approximately 2.56-3.08 ?/m3,
depending on the working plan schedule and volume reduction factor, and requiring
a footprint of approximately 52 m2 to treat 1000 m3 of olive mill wastewater.
PMID- 27878482
TI - An in-vitro approach for water quality determination: activation of NF-kappaB as
marker for cancer-related stress responses induced by anthropogenic pollutants of
drinking water.
AB - Epidemiological studies show that there is a link between urban water pollution
and increase in human morbidity and mortality. With the increase in number of new
substances arising from the chemical, pharmaceutical, and agricultural
industries, there is an urgent need to develop biological test systems for fast
evaluation of potential risks to humans and the environmental ecosystems. Here, a
combined cellular reporter assay based on the cellular survival and the stress
induced activation of the survival-promoting factor nuclear factor kappaB (NF
kappaB) and its use for the detection of cytotoxicity and cancer-related stress
responses is presented. A total of 14 chemicals that may be found in trace
amounts in ground water levels are applied and tested with the presented assay.
The project is embedded within the joint research project TOX-BOX which aims to
develop a harmonized testing strategy for risk management of anthropogenic trace
substances in potable water. The assay identified carbendazim as a NF-kappaB
activating agent in mammalian cells.
PMID- 27878483
TI - Fine particulate-bound polycyclic aromatic hydrocarbons in vehicles in Rome,
Italy.
AB - Urban commuters are exposed to elevated levels of air pollutants, especially in
heavily polluted areas and traffic congested roads. In order to assess the
contribution of commuting to citizens' exposure, measurements of fine particulate
(PM2.5) and polycyclic aromatic hydrocarbons (PAHs) were carried out in cars,
busses, and metro trains, within the LIFE+ EXPAH Project. Monitoring campaigns
were performed in Rome, Italy, from April 2011 to August 2012. Inside the busses,
the concentration of total PAHs ranged from 2.7 to 6.6 ng/m3 during the winter
and from 0.34 to 1.51 ng/m3 in the summer. In cars, internal concentrations were
in the range 2.2-7.3 and 0.46-0.82 ng/m3, respectively, in the 2-year time.
Analogous differences between seasons were observed examining the benzo[a]pyrene
equivalent carcinogenicity. In the metro trains, total PAHs ranged from 1.19 to
2.35 ng/m3 and PM2.5 ranged from 17 to 31 MUg/m3. The PM2.5 concentration in all
transport modes ranged from 10 to 160 MUg/m3 during the cold season and 15-48
MUg/m3 during the warm time. The average inside-to-outside ratio (R I/O) was
found to exceed 1.0 for PM2.5 only in busses, probably due to dust re-suspension
caused by crowding and passenger activity. The molecular PAH signature suggests
that vehicle emissions and biomass combustion were the major sources of
commuters' exposure to these toxicants in Rome. According to linear regression
analysis, the PAH concentrations inside the vehicles were linked to those
detected outside. Statistically significant differences (p < 0.05) were found
between the in-vehicle locations and the urban pollution network stations, with
higher PAH values detected, on the average, in these latter.
PMID- 27878485
TI - Biofilms in bioremediation and wastewater treatment: characterization of
bacterial community structure and diversity during seasons in municipal
wastewater treatment process.
AB - The bacterial community structure and diversity were assessed at the scale of
rotating biodisk procedure (RB) in a semi-industrial pilot plant. As well, the
Salmonella community was particularly monitored, and the effects of ultraviolet
(UV-C254) on the bacterial community were studied. The identification of dominant
bacteria revealed the presence of beneficial and useful species that could play
an important role in the process of wastewater purification. Several species as
Enterobacter agglomerans, Cronobacter sakazakii, and Pantoea agglomerans known
for their bioremediation activities were revealed in the majority of biofilm
samples. Common detection of Salmonella community provides evidence that the RB
system did not seriously affect Salmonella. Furthermore, the investigation on the
(UV)-C254 inactivation of the whole bacterial community, in secondary treated
wastewater, showed variable UV resistance results. No Salmonella detection was
registered at a dose of around 1440 mW s cm-2 since a total disappearance of
Salmonella was recorded.
PMID- 27878488
TI - Peculiar Aspects in Influence of alpha1-Adrenoceptor Stimulation on Isolated Rat
Heart.
AB - The study examined the effect of alpha1-adrenoceptor stimulation with methoxamine
on chronotropic function of isolated heart perfused ex vivo according to
Langendorff and cardiac chronotropy in vivo. Stimulation of alpha1-adrenoceptors
in isolated heart induced gradually developing bradycardia, which progressed
during several minutes. Similar stimulation in vivo produced a short-term
bradycardia probably terminated by the compensatory influences in the whole
organism. Comparison of the data obtained in both experimental paradigms during
alpha1-adrenoceptor stimulation revealed unidirectional changes in cardiac
chronotropy characterized with time-related peculiarities.
PMID- 27878486
TI - Assessment of questionnaire-based PCB exposure focused on food frequency in birth
cohorts in Japan.
AB - We investigated the relationship between food frequency questionnaire (FFQ)
responses and serum polychlorinated biphenyl (PCB) levels of mothers and fathers
recruited from the Chiba Regional Center, which is one of the 15 regional centers
of the Japan Environment and Children's Study (mothers: n = 1477, fathers: n =
219). The expected PCB values were estimated from the participants' FFQ answers
and medical records (age, body mass index and number of deliveries). Based on the
stepwise forward selection results of Bayesian regression models, age and fish
and egg consumption were positively associated with PCB concentrations and a
number of deliveries were negatively associated with PCB concentrations in
mothers, whereas only age was positively associated with PCB concentrations in
fathers.These findings indicated that the estimation of daily dietary intake may
be useful for the prediction of PCB concentration for mothers.
PMID- 27878487
TI - Paradoxical Effect of NMDA Receptor Blockade in Chicks on Learning and Memory in
Passive Avoidance Model.
AB - Activity of NMDA receptors is a prerequisite for numerous but not all forms of
neuronal plasticity and learning. The present study examined the role of NMDA
receptors in standard, weak, and repeated passive avoidance training in young
chicks. Injection of MK-801, an antagonist of NMDA receptor, prior to strong
training episode impaired subsequent memory recall. Moreover, repeated training
did not restore the lost memory. In the double weak training protocol, the
impairing effect of MK-801 was observed only when it was injected prior to the
second but not to the first training episode. These results suggest that
activation of NMDA receptors is not a necessary stage for memory acquisition in
the weak training task. In contrast, the mechanisms of strong training depending
on activation of NMDA receptors can be probably involved into the second training
episode performed against the background of existing NMDA receptor-independent
memory about the first training episode.
PMID- 27878490
TI - Cardiac Body Surface Potentials in Rats with Experimental Pulmonary Hypertension
during Ventricular Depolarization.
AB - The spatial and the amplitude-temporal parameters of cardiac body surface
potentials were examined in female Wistar rats with experimental pulmonary
hypertension during ventricular depolarization. The cardiac body surface
potentials have been led from 64 subcutaneous electrodes evenly distributed
across the chest surface prior to and 4 weeks after subcutaneous injection of a
single dose of monocrotaline (60 mg/kg). Right ventricular hypertrophy and
electrophysiological remodeling of the heart developed in rats with experimental
pulmonary hypertension in 4 weeks after monocrotaline injection; these changes
led to a significant increase in amplitude and temporal characteristics of the
cardioelectric field on the body surface in comparison with the initial state.
PMID- 27878489
TI - Pro- and Antioxidant Systems in the Lower Portion of Rat Brainstem during
Hydroxybutyrate-Induced Pathological Periodic Breathing.
AB - Activities of superoxide dismutase and catalase characterizing antioxidant status
of the nervous tissue and its resistance to free radical oxidation were measured
in the brainstem of rats with hydroxybutyrate-induced pathological periodic
breathing. Hydroxybutyrate modified the pro- and antioxidant status in the
brainstem respiratory center. It markedly inhibited catalase activity; in rats
without the signs of periodic breathing, hydroxybutyrate up-regulated superoxide
dismutase activity and to a lesser extent increased the resistance of the
membrane structures in the medulla oblongata to induction of free radical
oxidation. In rats with periodic breathing pattern, hydroxybutyrate induced more
pronounced increase in the sensitivity of membrane structures in the medulla
oblongata to induction of free radical oxidation.
PMID- 27878491
TI - Possible Cause of Nonlinear Tension Rise in Activated Muscle Fiber during
Stretching.
AB - Tension in contracting muscle fiber under conditions of ramp stretching rapidly
increases, but after reaching a critical stretch Pc sharply decreases. To find
out the cause of these changes in muscle fiber tension, we stopped stretching
before and after reaching Pc and left the fiber stretched for 50 msec. After
rapid tension drop, the transient tension rise not accompanied by fiber stiffness
increase was observed only in fibers heated to 25 degrees C and stretched to Pc.
Under other experimental conditions, this growth was absent. We suppose that
stretch of the fiber to Pc induces transition of stereo-specifically attached
myosin heads to pre-power stroke state and when the stretching is stopped, they
make their step on actin and generate force. When the tension reaches Pc, all
stereospecifically attached myosin heads turn out to be non-stereospecifically,
or weakly attached to actin, and are unable to make the force-generating step.
PMID- 27878492
TI - Collagen-1 Membrane for Replacing the Bladder Wall.
AB - We studied the possibility of using membrane fabricated from type 1 collagen
isolated from cattle tissues (group 1) or porcine tissues (group 2) for
replacement of the resected bladder wall defect in rabbits in order to retain
functional volume of the organ. Satisfactory take of both types of collagen
membranes with formation of competent anastomosis was observed. Histological
studies revealed inflammatory process in the bladder wall at the site of contact
with the implanted membrane (more pronounced in case of membranes from cattle
tissues) that decreased by day 21 of the experiment. Bladder tissue ingrowth into
the implant from was observed starting from day 14. The bladder capacity
decreased in 7 days after surgery in both groups, presumably because of
increasing tone of the organ wall resulting from surgical trauma and
inflammation. In group 2, the bladder volume increased by day 14 after surgery
and returned to normal by day 21, whereas in group 1 it remained below the
control despite a trend to increase. These findings confirm good prospects of
using collagen-1 membranes for plastic repair of the urinary bladder, the
membranes from porcine collagen being more preferable.
PMID- 27878493
TI - Enzymatic Sensor Detects Some Forms of Nitric Oxide Donors Undetectable by Other
Methods in Living Tissues.
AB - Studies with the use of highly sensitive enzymatic sensor have shown the presence
of various forms of nitrosyl iron complexes, including those undetectable by
other methods, in living tissues. All these complexes are long-living compounds
and constitute the major part of nitroso compounds in the blood, muscles, liquor,
and amniotic fluid.
PMID- 27878494
TI - 2-Morpholino-5-Phenyl-6H-1,3,4-Thiadiazine Corrects Metabolic Disorders during
the Development of Alloxan Diabetes Mellitus in Rats.
AB - Metabolic disorders were evaluated in rats with alloxan diabetes mellitus after
administration of 2-morpholino-5-phenyl-6H-1,3,4-thiadiazine (compound L-17).
Administration of L-17 reduced the severity of metabolic disorders associated
with diabetes mellitus. At the end of the experiment, the concentration of
glucose, glycated hemoglobin, malonic dialdehyde, and catalase activity were
significantly higher and peroxidase activity was significantly lower in the group
of animals receiving L-17. The decrease of glycemia, glucose concentration, and
glycated hemoglobin content was reached by the 3rd-4th week of the experiment.
These data suggest that correction of biochemical parameters in rats with alloxan
diabetes was reached after administration of L-17 for at least 3 weeks.
PMID- 27878495
TI - Evaluation of Hemodynamic Significance of Stenosis in Multiple Involvement of the
Coronary Vessels by Mathematical Simulation.
AB - We use a mathematical model of one-dimensional blood flow in a network of blood
vessels for in silico evaluation of hemodynamic significance of stenoses in
multivessel coronary disease. Two cases were addressed: two stenosed vessels with
different diameters and with the same degree of occlusion and two consecutive
stenoses in the same vessel. We show that two criteria for the evaluation of
hemodynamic significance based on the degree of stenosis and based on fractional
flow reserve can give contradictory indications for surgical intervention. We
also show that fractional flow reserve computation originally proposed for a
single stenosis should be modified in the case of multivessel stenotic disease.
PMID- 27878496
TI - Effect of Natural and 24-h Illumination on Mesenchymal Stem Cells.
AB - We studied the effect 24-h illumination on quantitative and qualitative
parameters of the bone marrow cells in Wistar rats. It was shown that
desynchronosis reduced the release of nucleated cells from the femoral bone,
while melatonin weakened this effect. The number of bone marrow mesenchymal
stromal cells was resistant to circadian rhythm disturbances, while proliferation
depended on glucose concentration in the medium.
PMID- 27878497
TI - Role of Tissue-Specific Stem and Progenitor Cells in the Regeneration of the
Pancreas and Testicular Tissue in Diabetic Disorders.
AB - Using the model of hypogonadism in C57Bl/6 male mice, we showed that injection of
streptozotocin to newborn animals and high-fat diet induced serum IFN-gamma and
IL-17 elevation, glucose metabolism disturbances, insulin resistance, destructive
changes of the Langerhans islets (deficit of PDX1+beta cells), while the number
of oligopotent beta cell precursors (CD45-TER119-CD133+CD49flow) increased.
Diabetes played the role of an inducer of testicular tissue inflammation (pan
hemopoietic cell infiltration, increase of IL-2, IL-17, and IL-23 content) and
reproductive system disturbances in mice (decrease in free testosterone
concentration, suppression of spermatogenesis, and infertility). The development
of hypogonadism was paralleled by an increase in the count of spermatogonial stem
cells (CD117+CD29+CD90+), multipotent mesenchymal stromal cells (CD45-CD31
CD90+CD106+), hemangiogenesis precursors (CD45-CD117+Flk1+), and epithelial cells
(CD45-CD31-CD49f+CD326+).
PMID- 27878498
TI - Stimulation of Diethylnitrosamine Metabolism Reduces Its General Toxic and
Hepatocarcinogenic Effects.
AB - The general toxic and hepatocarcinogenic effects of diethylnitrosamine after
stimulation of its metabolism with 1,4-bis[2-(3,5-dichloropyridyloxy)]-benzene
(TCPOBOP) were studied. The hydroxylating activity of liver microsomes of
C57Bl/6Mv mice towards p-nitrophenol increased more than 4-fold 3 days after
injection of TCPOBOP. Injection of diethylnitrosamine 3 days after TCPOBOP caused
a lesser body weight loss and decrease of food consumption in C57Bl/6Mv mice than
in response to diethylnitrosamine without preinduction. Injection of
diethylnitrosamine to suckling ICR mice after TCPOBOP induction of cytochrome
P450 2e1 activity led to development of 2-fold lesser number of tumors and
pretumorous nodes in the liver in comparison with animals injected with
diethylnitrosamine without induction. These data indicated that metabolism
stimulation reduced the general toxic and hepatocarcinogenic effects of
diethylnitrosamine.
PMID- 27878499
TI - Therapeutic potential of ADAM17 modulation in gastric cancer through regulation
of the EGFR and TNF-alpha signalling pathways.
AB - A disintegrin and metalloproteinase 17 (ADAM17) is highly expressed in various
tumours and affects tumour progression. In this study, ADAM17 expression in 60
gastric cancer and 20 normal gastric mucosal tissues was assessed using
immunohistochemistry. ADAM17 expression was higher in gastric cancer tissues than
in normal gastric mucosal tissues (P < 0.0005). A significant relationship was
identified between ADAM17 expression and the depth of tumour invasion,
metastasis, and carcinoma stage. Furthermore, the effects of ADAM17 knockdown on
the proliferation, cell invasion, and apoptosis of human gastric carcinoma cells
(SGC-7901) were determined. SGC-7901 cells were transfected with ADAM17-shRNA,
and cell proliferation and migration were assessed using CCK-8 and transwell
assays, respectively, to evaluate the role of ADAM17 in tumour proliferation and
invasion. Furthermore, the EGFR signalling pathway, the cell membrane receptor
bound TNF-alpha level, and apoptosis were evaluated by western blotting and flow
cytometry. The inhibition of cell proliferation and invasion was observed in the
ADAM17 knockdown cells, which was associated with modulation of the EGFR
signalling pathway. Apoptosis was increased, and TNF-alpha signalling was
attenuated in the ADAM17 knockdown cells. Our study demonstrated that ADAM17 over
expression in gastric cancer tissues was closely associated with tumour
proliferation, invasion, and apoptosis.
PMID- 27878500
TI - Anti-inflammatory and antioxidant effect of Kerabala: a value-added ayurvedic
formulation from virgin coconut oil inhibits pathogenesis in adjuvant-induced
arthritis.
AB - Kerabala (CB) is a novel ayurvedic formulation used for treating various
inflammatory diseases. This formulation was made from virgin coconut oil and it
comprises extracts of Sida cordifolia, coconut milk and sesame oil. The current
study was performed to evaluate the anti-inflammatory action of CB on carrageenan
induced acute and adjuvant-induced chronic experimental models. 5 mg/kg bwt was
found to be potent dose from carrageenan model and evaluated its effect in
adjuvant-induced chronic arthritic model. The antioxidant assays like SOD,
catalase, glutathione peroxidase, lipid peroxidation product, nitrate level and
GSH were measured in paw tissue. Hematological parameters like hemoglobin (HB)
count, ESR, WBC count, plasma CRP levels were analyzed. By RT-PCR, the
inflammatory markers like cyclooxygenase-2 (COX-2), inducible nitric oxide
synthase (iNOS), tumor necrosis factor alpha (TNF-alpha) and interleukin 6 (IL-6)
expressions were evaluated. The extracellular matrix proteins like MMP-2 and MMP
9 were determined by zymography and its expression by western blotting.
Histopathology and cytology of paw tissue and synovium were analyzed. The result
indicated that there was a significant increment in the levels of antioxidant
enzymes on CB administration. The hematological markers such as ESR, WBC and
plasma CRP levels were reduced by CB treatment and it also increases the HB
level. The upregulated gene level expressions of inflammatory markers like COX-2,
iNOS, TNF-alpha and IL-6 were down regulated by administration of CB. MMP-2 and
MMP-9 expression significantly reduced by CB administration. Massive influx of
inflammatory cell infiltration, proliferative collagen in histological analysis
of paw tissue of arthritic rat was decreased by CB administration. Synovial
cytology of CB administrated group shows reduced number of reactive mesothelial
cells and synovial inflammatory cells. This current study shows that ayurvedic
drug CB has an antioxidant, anti-inflammatory and anti-arthritic activity in
experimental arthritic model. CB as an anti-arthritic drug has beneficial effect
for treating inflammation, tissue damage and pain associated with arthritis.
PMID- 27878502
TI - EMT, cell plasticity and metastasis.
AB - Carcinoma cells that are induced to suppress their epithelial features and
upregulate mesenchymal gene expression programs acquire traits that promote an
invasive and metastatic phenotype. This is achieved through the expression of a
program termed the epithelial-to-mesenchymal transition (EMT)-a fundamental cell
biological process that plays key roles in embryogenesis and wound healing. Re
activation of the EMT during cancer promotes disease progression and enhances the
metastatic phenotype by bestowing upon previously benign carcinoma cell traits
such as migration, invasion, resistance to anoikis, chemoresistance and tumour
initiating potential. Herein, we discuss recent insights into the function of the
EMT and cancer cell plasticity during cancer progression, with a focus on their
role in promoting successful completion of the later stages of the metastatic
cascade.
PMID- 27878501
TI - Human health impacts of exposure to metals through extreme consumption of fish
from the Colombian Caribbean Sea.
AB - The health risks of metal exposure due to the high consumption of fish were
assessed for a riverine population living on the Caribbean coast of Colombia. The
concentrations of metals (Cd, Cr, Cu, Hg, Ni, Pb and Zn) in the edible tissues of
fish were determined and used for risk assessment. The daily fish consumption of
residents (n = 95) was as high as 283, 366 and 469 g/day in children (CH), women
of childbearing age (WCHA) and the remaining population groups (RP),
respectively. The estimation of the potential risk (HQ) indicated that there was
no health risk from most of the metals, because they did not exceed their related
reference doses, with values of HQ < 1. Although the concentrations of Pb and Hg
were not particularly high in fish (<0.2 ug/g), their possible health effects for
vulnerable groups are of great concern due to the extremely high fish intake. The
Pb intake for all groups was higher than the lower confidence limit of the
benchmark dose for nephrotoxicity and neurodevelopmental effects in children. The
weekly intake of methylmercury was also elevated, with values approximately 3, 2
and 1.5 times the provisional tolerable weekly intake for CH, WCHA and RP,
respectively. Moreover, higher Hg levels were found in top predators, whereas
maximum levels for other metals were found in bottom-feeding fish. This study
highlights that an accurate data of daily intake, a continuous monitoring of
metals in fish and their related fish consumption advisories to protect
subsistence fishing communities are recommended in a local and worldwide context.
PMID- 27878503
TI - Evaluation of different near-infrared spectroscopy technologies for assessment of
tissue oxygen saturation during a vascular occlusion test.
AB - An increasing number of NIRS devices are used to provide measurements of
peripheral tissue oxygen saturation (StO2). The aim of the present study is to
test the hypothesis that despite technological differences between devices,
similar trend values will be obtained during a vascular occlusion test. The
devices compared are NIRO-200NX, which measures StO2 and oxyhemoglobin by
spatially resolved spectroscopy and the Beer-Lambert law, respectively, and INVOS
5100C and Foresight Elite, which both measure StO2 with the Beer-Lambert law,
enhanced with the spatial resolution technique. Forty consenting adults scheduled
for CABG surgery were recruited. The respective sensors of the three NIRS devices
were applied over the brachioradial muscle. Before induction of anesthesia, 3 min
of ischemia were induced by inflating a blood pressure cuff at the upper arm,
whereafter cuff pressure was rapidly released. Tissue oxygenation measurements
included baseline, minimum and maximum values, desaturation and resaturation
slopes, and rise time. Comparisons between devices were performed with the
Kruskal-Wallis test with post hoc Mann-Whitney pairwise comparisons. Agreement
was evaluated using Bland-Altman plots. Oxyhemoglobin measured with NIRO
responded faster than the other NIRS technologies to changes in peripheral tissue
oxygenation (20 vs. 27-40 s, p <= 0.01). When comparing INVOS with Foresight,
oxygenation changes were prompter (upslope 311 [92-523]%/min vs. 114[65
199]%/min, p <= 0.01) and more pronounced (minimum value 36 [21-48] vs. 45 [40
51]%, p <= 0.01) with INVOS. Significant differences in tissue oxygen saturation
measurements were observed, both within the same device as between different
devices using the same measurement technology.
PMID- 27878504
TI - Intra- and inter-reader reproducibility of blood flow measurements on the
ascending aorta and pulmonary artery using cardiac magnetic resonance.
AB - The aim of our study was to estimate the intra- and inter-reader reproducibility
of blood flow measurements in the ascending aorta and main pulmonary artery using
cardiac magnetic resonance (CMR) and a semi-automated segmentation method. The
ethics committee approved this retrospective study. A total of 50 consecutive
patients (35 males and 15 females; mean age+/-standard deviation 27+/-13 years)
affected by congenital heart disease were reviewed. They underwent CMR for flow
analysis of the ascending aorta and main pulmonary artery (1.5 T, through-plane
phase-contrast sequences). Two independent readers (R1, trained radiology
resident; R2, lower-trained technician student) obtained segmented images twice
(>10-day interval), using a semi-automated method of segmentation. Peak velocity,
forward and backward flows were obtained. Bland-Altman analysis was used and
reproducibility was reported as complement to 100% of the ratio between the
coefficient of repeatability and the mean. R1 intra-reader reproducibility for
the aorta was 99% (peak velocity), 95% (forward flow) and 49% (backward flow);
for the pulmonary artery, 99%, 91% and 90%, respectively. R2 intra-reader
reproducibility was 92%, 91% and 38%; 98%, 86% and 87%, respectively. Inter
reader reproducibility for the aorta was 91%, 85% and 20%; for the pulmonary
artery 96%, 75%, and 82%, respectively. Our results showed a good to excellent
reproducibility of blood flow measurements of CMR together with a semiautomated
method of segmentation, for all variables except the backward flow of the
ascending aorta, with a limited impact of operator's training.
PMID- 27878505
TI - Incidence of seizure in adult patients with intracranial metastatic disease.
AB - Seizures have considerable impact on a patient's quality of life. While
guidelines have been articulated to direct clinicians in their management of
patients with IMD who suffer from seizure, there have been few attempts to
identify the seizure rate in IMD and to determine which primary cancers may be
associated with an increased seizure incidence. To determine the incidence of
seizure in patients with IMD. A systematic review on seizure incidence in
patients with IMD from the magnetic resonance imaging (MRI) era was performed.
Articles published between January 2000 and July 2014 with thirty or more
consecutive adult patients were included in this study. Seizure rate was
calculated using a pooled data analysis. Differences between observed and
expected seizure rates between primary tumour sites were examined using the Chi
square statistic and adjusted standardized residuals. The systematic search
produced 18 relevant studies, with a total study population of 2012 patients.
14.6% (n = 294) had seizures. There was a significant association between primary
tumour site and seizure rates. The seizure rate in patients with primary melanoma
tumours was significantly greater than expected (z = 2.7; p = .006). The seizure
rate in patients with primary prostate tumours was significantly lower than
expected (z = -2.6; p = .008). Patients with intracranial metastasis are at
significant risk for developing seizure, though at a significantly lower
incidence than was estimated by studies performed during the CT era. Seizure
rates appear to be greater in certain primary tumours, such as melanoma.
PMID- 27878506
TI - The Paradox of Abstraction: Precision Versus Concreteness.
AB - We introduce a novel measure of abstractness based on the amount of information
of a concept computed from its position in a semantic taxonomy. We refer to this
measure as precision. We propose two alternative ways to measure precision, one
based on the path length from a concept to the root of the taxonomic tree, and
another one based on the number of direct and indirect descendants. Since more
information implies greater processing load, we hypothesize that nouns higher in
precision will have a processing disadvantage in a lexical decision task. We
contrast precision to concreteness, a common measure of abstractness based on the
proportion of sensory-based information associated with a concept. Since
concreteness facilitates cognitive processing, we predict that while both
concreteness and precision are measures of abstractness, they will have opposite
effects on performance. In two studies we found empirical support for our
hypothesis. Precision and concreteness had opposite effects on latency and
accuracy in a lexical decision task, and these opposite effects were observable
while controlling for word length, word frequency, affective content and semantic
diversity. Our results support the view that concepts organization includes
amodal semantic structures which are independent of sensory information. They
also suggest that we should distinguish between sensory-based and amount-of
information-based abstractness.
PMID- 27878507
TI - The scope and value of an anticoagulation stewardship program at a community
teaching hospital.
AB - To report the impact of an inpatient anticoagulation stewardship program at a
community hospital to promote optimal anticoagulant use. The anticoagulation team
(ACT) stewardship program consists of two clinical pharmacists and hematologists
to provide oversight of anticoagulants, high cost reversal agents including
prothrombin complex concentrate (PCC, KcentraTM), and heparin-induced
thrombocytopenia (HIT) management. Intervention data and number of charts
reviewed were collected. Average cost avoidance data was applied to ACT
interventions to estimate cost savings. The PCC analysis was conducted via
retrospective chart review during the pre-intervention period. Prospective
monitoring continued in the post-intervention period to determine the percentage
of PCC use within the institution's guidelines or approved by ACT or hematology.
A total of 19,445 patient charts were reviewed, and 1930 (10%) contained
stewardship opportunity. Of the interventions, 71% were provided to the medical
service and 22% to surgical services with acceptance rates of 91 and 83%,
respectively. Intervention cost-avoidance calculated to be $694,217. Regarding
HIT interventions, 52% of interventions involved pharmacokinetic/pharmacodynamics
optimization in 18 patients with suspected or confirmed HIT. Regarding PCC use,
55.8% of PCC orders were considered inappropriate in the pre-invention period
versus 2.6% post-intervention. Appropriate PCC doses per month post-intervention
were consistent with pre-intervention doses (7.67 vs. 6.73, respectively). The
projected annual PCC cost savings is $385,473. The overall estimated financial
impact of ACT is $799,690 saved. Implementation of an anticoagulation stewardship
program reduced costs and improved clinical outcomes. It is also expected that
anticoagulant optimization and provider education improved overall safety.
PMID- 27878508
TI - Calcium Supplementation Abates the Inhibition Effects of Acetic Acid on
Saccharomyces cerevisiae.
AB - The toxic level of acetic acid could be released during the pretreatment of
lignocellulosic biomass, and an economical method was reported to minimize the
acidic stress on the fermentation of Saccharomyces cerevisiae by cation
supplementation. A dose-dependent protection of Ca2+ was monitored, and the
optimal concentration of Ca2+ was 8 mM under 4.5 g/L acetic acid stress. The
activities of catalase and superoxide dismutase of yeast cells supplemented with
optimal Ca2+ increased by 18.6 and 27.3 %, respectively, coupling with an obvious
decrease of reactive oxygen species content. Cell viability also performed a
significant increase from 52.4 % (without Ca2+ addition) to 73.56 % (with 8 mM
Ca2+ addition). No significant improvements were found in the bioethanol yields
by Ca2+ supplementation; however, the fermentation time was shortened by about 8
h obviously. Our results illustrated that the Ca2+ supplementation could be an
economical method to make the bioethanol production more efficient and cost
effective.
PMID- 27878509
TI - Purification and Characterization of Hyaluronate Lyase from Arthrobacter
globiformis A152.
AB - A hyaluronate lyase was obtained by cultivating Arthrobacter globiformis strain
A152. The enzyme was purified to homogeneity from the supernatant by ammonium
sulfate fractionation, Q Sepharose Fast Flow, and Sephadex G-100 chromatography.
The purification resulted in a 32.78-fold increase in hyaluronate lyase activity
with specific activity of 297.2 U/mg. The molecular weight of the enzyme
determined by SDS-PAGE was approximately 73.7 kDa. Using hyaluronic acid (HA) as
a substrate, the maximal reaction rate (Vmax) and the Michaelis-Menten constant
(Km) of hyaluronate lyase were found to be 4.76 MUmol/min/ml and 0.11 mg/ml,
respectively. The optimum pH and temperature values for hyaluronate lyase
activity were pH 6.0 and 42 degrees C, respectively. This enzyme was stable at
pH 4-10, 5-7, and 5-7 at 4, 37, and 42 degrees C, respectively. Investigation
about temperature effects on hyaluronate lyase displayed that it was stable at 30
37 degrees C and also showed high activity at 37 degrees C. The enzymatic
activity was enhanced by Ca2+ and was strongly inhibited by Cu2+ and SDS. These
properties suggested that the hyaluronate lyase in this study could bring
promising prospects in medical and industry applications.
PMID- 27878510
TI - Influence of perioperative complication severity on 1- and 2-year outcomes of low
back surgery.
AB - BACKGROUND: Several factors potentially influence outcomes of surgery, including
perioperative complications. Complications may take many forms and the Clavien
Dindo (CD) classification is designed to categorize them by degree of severity.
The aim of this study was to evaluate the influence of perioperative
complications by severity categorization on the 1-and 2-year pain and disability
outcomes for patients who received low back surgery. MATERIALS AND METHODS: Data
used for the study involved a purposive sample (N = 477; 8.1%) from a spine
outcomes registry of 5876 patients who received spine surgery and encountered
complications. All complications were categorized using the CD classification and
were collapsed according to distribution frequencies, i.e., Grade I-II and Grade
III-V. Adjusted and unadjusted regression analyses were used to determine the
association between CD classification and 1- and 2-year outcomes. RESULTS: The
majority of surgical complications were Grade III-V (N = 358; 75.1%), with two
incidences in which death occurred. For the unadjusted models, there were no
significant associations between CD classification categorizations for 1-year
outcomes; however, 2-year outcomes were significantly worse (P <0.05) for those
with Grade III-V categorization. When adjusted and controlled for baseline
characteristics, CD classification did not influence 1-or 2-year pain and
disability outcomes. CONCLUSIONS: When control variables are considered, the
severity of perioperative surgical complications does not appear to influence 1-
or 2-year pain and disability outcomes. LEVEL OF EVIDENCE: Level 4.
PMID- 27878512
TI - Nickel Oxide Nanoparticles Induce Oxidative DNA Damage and Apoptosis in Kidney
Cell Line (NRK-52E).
AB - Increasing use of nickel oxide (NiO) nanoparticles in different applications
results in high occupational and environmental exposure to them. However, the
effect of NiO nanoparticles on human health is still poorly documented. It was
aimed to investigate the toxic potentials of NiO nanoparticles on NRK-52E kidney
epithelial cells. The following assays were used: the nanoparticle
characterization by transmission electron microscopy (TEM) and dynamic light
scattering (DLS); the determination of cellular uptake and morphologic changes by
TEM and inductively coupled plasma-mass spectrometry (ICP-MS); MTT and neutral
red uptake (NRU) assays for cytotoxicity; comet assay for genotoxicity; the
determination of malondialdehyde (MDA), 8-hydroxydeoxyguanosine (8-OHdG), protein
carbonyl (PC) and glutathione (GSH) levels by enzyme-linked immune sorbent assays
(ELISA) for the potential of oxidative damage; and Annexin V-FITC apoptosis
detection assay with propidium iodide (PI) for apoptosis. The nanoparticles were
taken up by the cells and induced dose-dependent DNA damage by comet assay and
oxidative damage evidenced by increasing levels of MDA, 8-OHdG, PC and depletion
of GSH. At >=294.0 MUg/mL concentration, NiO nanoparticles caused 50% inhibition
in cell viability by the cytotoxicity assays. Also, they showed
apoptotic/necrotic effects on the cells as well as some morphological changes. We
have indicated that their cellular damage effects should raise concern about the
safety associated with their applications in consumer products.
PMID- 27878511
TI - New Challenges in the Treatment of Patients With Left Ventricular Support: LVAD
Thrombosis.
AB - Mechanical circulatory support has revolutionized the treatment of heart failure,
affording patients significantly improved survival and quality of life. However,
further advancements in this technology have been hindered by adverse events,
particularly gastrointestinal bleeding, driveline infection, stroke, and device
thrombosis. Recently, there has been a renewed focus on device thrombosis as the
reported incidence has increased. This review focuses on an update on the
diagnostic modalities and current treatment algorithms of this serious
complication.
PMID- 27878513
TI - Nanoselenium Supplementation of Heat-Stressed Broilers: Effects on Performance,
Carcass Characteristics, Blood Metabolites, Immune Response, Antioxidant Status,
and Jejunal Morphology.
AB - An experiment was conducted to investigate the effects of dietary nanoselenium
supplementation at 0, 0.6 and 1.2 mg/kg of diet on growth performance, serum
biochemical parameters, immune response, antioxidant capacity, and jejunal
morphology of 29-d-old male broilers subjected to heat stress at 37 +/- 1 degrees
C for 14 d. Broilers were fed for 42 d on the experimental diets. The results
showed that nanoselenium supplementation had no effect on growth performance, but
it supplementation at the rate of 1.2 mg/kg diet decreased the serum
concentration of cholesterol prior to the heat exposure. Further, dietary
nanoselenium supplementation linearly increased the high-density lipoprotein
cholesterol concentration, while linearly decreased those of low-density
lipoprotein cholesterol and aspartate aminotransferase in the serum before
applying heat stress. Compared with thermoneutral temperature, heat stress
reduced body mass gain, feed intake, percentages of carcass, breast, leg,
abdominal fat, bursa of Fabricius, thymus, antibody response against sheep red
blood cells, serum concentration of protein, erythrocyte activities of
glutathione peroxidase and superoxide dismutase, jejunal villus height, and
villus height to crypt depth ratio, while increased feed conversion ratio,
percentages of liver, gizzard, pancreas, gallbladder, heart, and the
concentrations of aspartate aminotransferase and malondialdehyde. Dietary
supplementation of nanoselenium linearly reduced the abdominal fat and liver
percentages, while linearly increased the activity of glutathione peroxidase and
villus height in heat-stressed broilers. Furthermore, the lower level of
nanoselenium decreased the percentages of gizzard and heart in broilers under
heat stress. The diet supplemented with 1.2 mg/kg nanoselenium improved feed
conversion ratio and increased antibody response against sheep red blood cells,
activity of superoxide dismutase, and villus height to crypt depth ratio, but
decreased the serum concentrations of cholesterol, low-density lipoprotein
cholesterol, and malondialdehyde in heat-stressed broilers. The results suggest
that supplemental nanoselenium improved growth performance, internal organs
health, immune response, and jejunal morphology by alleviating the oxidative
stress induced by heat stress.
PMID- 27878514
TI - Emergence of hydrogen bonds from molecular dynamics simulation of substituted N
phenylthiourea-catechol oxidase complex.
AB - A series of N-phenylthiourea derivatives was built starting from the X-ray
structure in the molecular mechanics framework and the interaction profile in the
complex with the catechol oxidase was traced using molecular dynamics simulation.
The results showed that the geometry and interactions between ligand and receptor
were highly related to the position of the substituted side chains of phenyl
moiety. At the end of molecular dynamics run, a concentrated multicenter hydrogen
bond was created between the substituted ligand and receptor. The conformation of
the ligand itself were also restricted in the receptor pocket. Furthermore, the
simulation time of 50 ns were found to be long enough to explore the relevant
conformational space and the stationary behavior of the molecular dynamic could
be observed.
PMID- 27878515
TI - Could 82Rb-PET be the next best thing in evaluation of myocardial salvage?
PMID- 27878519
TI - Polymicrobial pulmonary infections in cancer patients with underlying solid
tumors.
PMID- 27878517
TI - Risks Associated with Misuse of Ketamine as a Rapid-Acting Antidepressant.
AB - Major depression is a serious psychiatric disorder and remains a leading cause of
disability worldwide. Conventional antidepressants take at least several weeks to
achieve a therapeutic response and this lag period has hindered their ability to
attain beneficial effects in depressed individuals at high risk of suicide. The
non-competitive N-methyl-D-aspartate glutamate receptor antagonist ketamine has
been shown to have rapid antidepressant effects in both rodents and humans. The
emergence of ketamine as a fast-acting antidepressant provides promising new
insights into the development of a rapid treatment response in patients with
clinical depression. However, its safety and toxicity remain a concern. In this
review, we focus on the limitations of ketamine, including neurotoxicity,
cognitive dysfunction, adverse events associated with mental status,
psychotomimetic effects, cardiovascular events, and uropathic effects. Studies
have shown that its safety and tolerability profiles are generally good at low
doses and with short-term treatment in depressed patients. The adverse events
associated with ketamine usually occur with very high doses that are administered
for prolonged periods of time and can be relieved by cessation. The
antidepressant actions of its two enantiomers, S-ketamine (esketamine) and R
ketamine, are also discussed. R-ketamine has greater antidepressant actions than
S-ketamine, without ketamine-related side-effects. Future treatment strategies
should consider using R-ketamine for the treatment of depressed patients to
decrease the risk of adverse events associated with long-term ketamine use.
PMID- 27878516
TI - Axonal Excitability in Amyotrophic Lateral Sclerosis : Axonal Excitability in
ALS.
AB - Axonal excitability testing provides in vivo assessment of axonal ion channel
function and membrane potential. Excitability techniques have provided insights
into the pathophysiological mechanisms underlying the development of
neurodegeneration and clinical features of amyotrophic lateral sclerosis (ALS)
and related neuromuscular disorders. Specifically, abnormalities of Na+ and K+
conductances contribute to development of membrane hyperexcitability in ALS,
thereby leading to symptom generation of muscle cramps and fasciculations, in
addition to promoting a neurodegenerative cascade via Ca2+-mediated processes.
Modulation of axonal ion channel function in ALS has resulted in significant
symptomatic improvement that has been accompanied by stabilization of axonal
excitability parameters. Separately, axonal ion channel dysfunction evolves with
disease progression and correlates with survival, thereby serving as a potential
therapeutic biomarker in ALS. The present review provides an overview of axonal
excitability techniques and the physiological mechanisms underlying membrane
excitability, with a focus on the role of axonal ion channel dysfunction in motor
neuron disease and related neuromuscular diseases.
PMID- 27878520
TI - A Coumarin-Based Luminescent Chemosensor for Recognition of Cu2+ and its In-Situ
Complex for CN- Sensing via Cu2+ Displacement Approach.
AB - A new coumarin based chemosensor has been developed for selective fluorescent
recognition of Cu2+ in MeOH/H2O (4:1, v/v at pH = 7.2 aqueous solution) medium
with 1:1 binding stoichiometry. The in-situ prepared Cu2+ complex displays high
selectivity towards CN- via Cu2+ displacement approach with detection limit in
the micro molar range. Moreover, in presence of Cu2+, the receptor exhibits
reversible emission change with EDTA and thus offers an interesting property of
molecular 'IMPLICATION' logic gate with Cu2+ and EDTA as chemical inputs.
PMID- 27878518
TI - Comparative efficacy of alpha-linolenic acid and gamma-linolenic acid to
attenuate valproic acid-induced autism-like features.
AB - The present study was undertaken to elucidate the effect of alpha-linolenic acid
(ALA, 18:3, omega-3) and gamma-linolenic acid (GLA, 18:3, omega-6) on
experimental autism features induced by early prenatal exposure to valproic acid
(VPA) in albino wistar pups. The pups were scrutinized on the accounts of
behavioral, biochemical, and inflammatory markers, and the results suggested that
the GLA can impart significant protection in comparison to ALA against VPA
induced autism features. When scrutinized histopathologically, the cerebellum of
the GLA-treated animals was evident for more marked protection toward neuronal
degeneration and neuronal loss in comparison to ALA. Concomitant administration
of ALA and GLA with VPA demonstrated a marked cutdown in the Pgp 9.5 expression
with GLA having more pronounced effect. Henceforth, it can be concluded that ALA
and GLA can impart favorable protection against the VPA-induced autism-like
features with GLA having pronounced effect.
PMID- 27878521
TI - Dual-Labeled Time-Resolved Immunofluorometric Assay for the Simultaneous
Quantitative Detection of Hepatitis B Virus Antigens in Human Serum.
AB - In this paper, a novel time-resolved fluorescence immunoassay (TRFIA) is
described that allows the simultaneous quantitative detection of hepatitis B
virus surface antigen (HBsAg) and hepatitis B e antigen (HBeAg) in human serum to
aid the diagnosis and monitoring of hepatitis B virus infection. The proposed
method was developed based on a two-step sandwich immunoassay protocol in which
monoclonal antibodies against HBsAg and HBeAg were co-coated in 96 microtitration
wells, then tracer polyclonal antibodies against HBsAg labeled with samarium and
tracer monoclonal antibodies against HBeAg labeled with europium chelates were
used for detection. The detection range was 0.1-150 IU/mL for HBsAg and 0.5-160
PEIU/mL for HBeAg, and the detection limits were 0.03 IU/L and 0.09 PEIU/ml,
respectively. The intra- and inter-assay coefficients of variation were below 8 %
for both virus antigens. The dilution linearity and accuracy of the assay were
satisfactory. No statistically significant differences were observed in
sensitivity or specificity for the serum samples between the dual-label TRFIA and
a commercial single-label TRFIA. These results demonstrate that an effective,
reliable and convenient HBsAg/HBeAg dual-label TRFIA was successfully developed
that may be clinically applicable for blood screening to monitor the course of
hepatitis B virus infection and predict treatment responses.
PMID- 27878522
TI - Informal Care Time and Cost in a Large Clinical Trial Sample of Patients with
Mild to Moderate Alzheimer's Disease: Determinants and Level of Change Observed.
AB - INTRODUCTION: We evaluate the association between caregiver (informal) time/cost
and illness severity from two recently completed clinical trials of an
investigational drug for Alzheimer's disease (AD). METHODS: Changes from baseline
caregiver time were calculated and treatment effects analyzed using a restricted
maximum likelihood-based mixed model for repeated measures. Four separate models
were then estimated to examine the association between caregiver time costs and
the clinical endpoints measured during the trials, including cognition (MMSE),
function (DAD), behavior (NPI), global disability (CDR) and dependence (DS).
RESULTS: Caregiver time cost was significantly associated with all clinical
measures of illness severity with a 1-unit change in MMSE, DAD, NPI, CDR and DS
associated with a 11.57%, 4.81-4.97%, 3.58-3.67%, 42.52% and 71.05% change,
respectively, in primary caregiver time cost. The association between caregiver
time cost and DS was the strongest of all the associations examined. CONCLUSION:
Caregiver time costs increase with increasing AD severity in all key domains of
AD (cognition, function, behavior, global disability and dependence on others).
Our analysis demonstrated that patient dependence is a particularly important
predictor of caregiver time costs and should be considered as a potential outcome
measure in intervention clinical trials in AD. FUNDING: Pfizer Inc. and Janssen
Alzheimer Immunotherapy Research and Development.
PMID- 27878523
TI - Intravenous ketamine for subacute treatment of refractory chronic migraine: a
case series.
AB - BACKGROUND: Refractory migraine is a challenging condition with great impact on
health related quality of life. Intravenous (IV) ketamine has been previously
used to treat various refractory pain conditions. We present a series of patients
with refractory migraine treated with intravenous ketamine in the hospital
setting. METHODS: Based on retrospective chart review, we identified six patients
with refractory migraine admitted from 2010 through 2014 for treatment with
intravenous ketamine. Ketamine was administered using a standard protocol
starting with a dose of 0.1 mg/kg/hr and increased by 0.1 mg/kg/hr every 3 to 4 h
as tolerated until the target pain score of 3/10 was achieved and maintained for
at least 8 h. Visual Analogue Scale (VAS) scores at time of hospital admission
were obtained as well as average baseline VAS scores prior to ketamine infusion.
A phone interview was conducted for follow-up of migraine response in the 3 to 6
months following ketamine infusion. RESULTS: The study sample had a median age of
36.5 years (range 29-54) and 83% were women. Pre-treatment pain scores ranged
from 9 to 10. All patients achieved a target pain level of 3 or less for 8 h; the
average ketamine infusion rate at target was 0.34 mg/kg/hour (range 0.12-0.42
mg/kg/hr). One patient reported a transient out-of-body hallucination following
an increase in the infusion rate, which resolved after decreasing the rate. There
were no other significant side effects. CONCLUSION: IV ketamine was safely
administered in the hospital setting to patients with refractory chronic
migraine. Treatment was associated with short term improvement in pain severity
in 6 of 6 patients with refractory chronic migraine. Prospective placebo
controlled trials are needed to assess short term and long-term efficacy of IV
ketamine in refractory chronic migraine.
PMID- 27878524
TI - Muscle Injuries in Sports: A New Evidence-Informed and Expert Consensus-Based
Classification with Clinical Application.
AB - Muscle injuries are among the most common injuries in sport and continue to be a
major concern because of training and competition time loss, challenging decision
making regarding treatment and return to sport, and a relatively high recurrence
rate. An adequate classification of muscle injury is essential for a full
understanding of the injury and to optimize its management and return-to-play
process. The ongoing failure to establish a classification system with broad
acceptance has resulted from factors such as limited clinical applicability, and
the inclusion of subjective findings and ambiguous terminology. The purpose of
this article was to describe a classification system for muscle injuries with
easy clinical application, adequate grouping of injuries with similar functional
impairment, and potential prognostic value. This evidence-informed and expert
consensus-based classification system for muscle injuries is based on a four
letter initialism system: MLG-R, respectively referring to the mechanism of
injury (M), location of injury (L), grading of severity (G), and number of muscle
re-injuries (R). The goal of the classification is to enhance communication
between healthcare and sports-related professionals and facilitate rehabilitation
and return-to-play decision making.
PMID- 27878525
TI - Genetics of Frontotemporal Dementia.
AB - Frontotemporal dementia (FTD) is the second most common cause of dementia
following Alzheimer's disease (AD). Between 20 and 50% of cases are familial.
Mutations in MAPT, GRN and C9orf72 are found in 60% of familial FTD cases.
C9orf72 mutations are the most common and account for 25%. Rarer mutations (<5%)
occur in other genes such as VPC, CHMP2B, TARDP, FUS, ITM2B, TBK1 and TBP. The
diagnosis is often challenging due to symptom overlap with AD and other
conditions. We review the genetics, clinical presentations, neuroimaging,
neuropathology, animal studies and therapeutic trials in FTD. We describe
clinical scenarios including the original family with the tau stem loop mutation
(+14) and also the recently discovered 'missing tau' mutation +15 that 'closed
the loop' in 2015.
PMID- 27878528
TI - Development of a Dot-Blot Assay for the Detection of Mould-Specific IgE in the
Belgian Population.
AB - BACKGROUND: Data on mould sensitization in the general population are scarce and
mostly on Aspergillus fumigatus, Alternaria alternata and Cladosporium herbarum.
OBJECTIVES: To validate a dot-blot assay for the detection of specific IgE and
evaluate the prevalence of mould sensitization in a healthy population. METHODS:
The dot-blot assay was validated against the CAP test. Sensitization rate to ten
common indoor and outdoor mould species in 344 serum samples was calculated. For
each serum with more than one reactivity, the "major sensitization" defined as
the strongest response against a single mould species was calculated. RESULTS:
Intra- and inter-assay variations were both below 20%, and the positivity
threshold of the test was of 0.418 kU/L for A. fumigatus. Correlation with CAP
results was strong. The overall prevalence of sensitization was 32.8%, and the
commonest sensitizations were against A. alternaria, A. flavus and A. niger
(around 15%). The most frequent "major reactivities" were against A. niger and A.
alternata (20-30%). In 25.1% of the samples, "major reactivities" were directed
against a group of moulds commonly found indoor (Penicillium spp., Aspergillus
versicolor, Cladosporium sphaerospermum and Cladosporium cladosporioides).
CONCLUSIONS: The dot-blot assay was validated for the detection of mould-specific
IgE. In the general population, sensitization to indoor species was common and
accounted for 25% of overall mould sensitizations.
PMID- 27878526
TI - Intravenous Vancomycin Dosing in the Elderly: A Focus on Clinical Issues and
Practical Application.
AB - The elderly population can be divided into three distinct age groups: 65-74 years
(young-old), 75-84 years (middle-old), and 85+ years (old-old). Despite evidence
of a shift in leading causes for mortality in the elderly from infectious
diseases to chronic conditions, infections are still a serious cause of death in
this population. These patients are at increased risk due to weakened immune
systems, an increased prevalence of underlying comorbidities, and decreased
physiologic reserves to fight infection. Additionally, elderly patients,
especially adults in institutional settings, are at an increased risk of
colonization and subsequent infection with methicillin-resistant Staphylococcus
aureus at a rate that is five times higher than in younger individuals, causing
an increase in empiric and definitive vancomycin use. Elderly patients have
unique characteristics that make dosing vancomycin a challenge for clinicians,
such as increased volume of distribution and decreased renal function. Using the
best available evidence, it is recommended to initiate lower empiric maintenance
doses and monitor vancomycin serum concentrations earlier than steady state to
accurately calculate drug elimination and make appropriate dose adjustments.
PMID- 27878531
TI - Beyond dichotomies: Gender and intersecting inequalities in climate change
studies.
AB - Climate change and related adaptation strategies have gender-differentiated
impacts. This paper reviews how gender is framed in 41 papers on climate change
adaptation through an intersectionality lens. The main findings show that while
intersectional analysis has demonstrated many advantages for a comprehensive
study of gender, it has not yet entered the field of climate change and gender.
In climate change studies, gender is mostly handled in a men-versus-women
dichotomy and little or no attention has been paid to power and social and
political relations. These gaps which are echoed in other domains of development
and gender research depict a 'feminization of vulnerability' and reinforce a
'victimization' discourse within climate change studies. We argue that a critical
intersectional assessment would contribute to unveil agency and emancipatory
pathways in the adaptation process by providing a better understanding of how the
differential impacts of climate change shape, and are shaped by, the complex
power dynamics of existing social and political relations.
PMID- 27878529
TI - Association between copy-number variation on metabolic phenotypes and HDL-C
levels in patients with polycystic ovary syndrome.
AB - Polygenic diseases with a broad phenotypic spectrum, such as polycystic ovary
syndrome (PCOS), present a particular challenge in terms of identifying the
underlying genetic mechanisms, nevertheless genetic variants have impact on the
individual phenotype. We aimed to determine if next to genetic variations like
SNPs further mechanisms might play a role in the pathogenesis of PCOS. We
examined the effect of copy-number variations (CNVs) on metabolic phenotypes in
PCOS. The intragenic rs1244979, rs2815752 in NEGR1 gene, and rs780094 in GCKR
gene were genotyped and CNVs were determined by droplet digital polymerase chain
reaction (ddPCR) in PCOS patients (n = 153) and controls without metabolic
syndrome (n = 142). The study indicated that SNPs are not associated with the
pathogenesis of PCOS but affect metabolic phenotypes. The CNVs investigated show
a lower variability in PCOS than in CON. Furthermore, we provided direct evidence
that the copy number, but not the genotype of the CNV in the genomic regions of
rs780094(GCKR) is associated with low level of high-density lipoprotein
cholesterol in PCOS. This study supports the hypothesis that not only genetic
variants, but also CNVs in metabolically relevant genes, have an effect on
metabolic phenotypes in our group of PCOS patients.
PMID- 27878530
TI - Gendered medicinal plant knowledge contributions to adaptive capacity and health
sovereignty in Amazonia.
AB - Local medical systems are key elements of social-ecological systems as they
provide culturally appropriate and locally accessible health care options,
especially for populations with scarce access to biomedicine. The adaptive
capacity of local medical systems generally rests on two pillars: species
diversity and a robust local knowledge system, both threatened by local and
global environmental change. We first present a conceptual framework to guide the
assessment of knowledge diversity and redundancy in local medicinal knowledge
systems through a gender lens. Then, we apply this conceptual framework to our
research on the local medicinal plant knowledge of the Tsimane' Amerindians. Our
results suggest that Tsimane' medicinal plant knowledge is gendered and that the
frequency of reported ailments and the redundancy of knowledge used to treat them
are positively associated. We discuss the implications of knowledge diversity and
redundancy for local knowledge systems' adaptive capacity, resilience, and health
sovereignty.
PMID- 27878532
TI - The diversity of gendered adaptation strategies to climate change of Indian
farmers: A feminist intersectional approach.
AB - This paper examines climate change adaptation and gender issues through an
application of a feminist intersectional approach. This approach permits the
identification of diverse adaptation responses arising from the existence of
multiple and fragmented dimensions of identity (including gender) that intersect
with power relations to shape situation-specific interactions between farmers and
ecosystems. Based on results from contrasting research cases in Bihar and
Uttarakhand, India, this paper demonstrates, inter alia, that there are
geographically determined gendered preferences and adoption strategies regarding
adaptation options and that these are influenced by the socio-ecological context
and institutional dynamics. Intersecting identities, such as caste, wealth, age
and gender, influence decisions and reveal power dynamics and negotiation within
the household and the community, as well as barriers to adaptation among groups.
Overall, the findings suggest that a feminist intersectional approach does appear
to be useful and worth further exploration in the context of climate change
adaptation. In particular, future research could benefit from more emphasis on a
nuanced analysis of the intra-gender differences that shape adaptive capacity to
climate change.
PMID- 27878533
TI - Gender perspectives in resilience, vulnerability and adaptation to global
environmental change.
AB - The main goal of this special issue is to offer a room for interdisciplinary and
engaged research in global environmental change (GEC), where gender plays a key
role in building resilience and adaptation pathways. In this editorial paper, we
explain the background setting, key questions and core approaches of gender and
feminist research in vulnerability, resilience and adaptation to GEC.
Highlighting the interlinkages between gender and GEC, we introduce the main
contributions of the collection of 11 papers in this special issue. Nine
empirical papers from around the globe allow to understand how gendered diversity
in knowledge, institutions and everyday practices matters in producing barriers
and options for achieving resilience and adaptive capacity in societies.
Additionally, two papers contribute to the theoretical debate through a
systematic review and an insight on the relevance of intersectional framings
within GEC research and development programming.
PMID- 27878534
TI - Gender-specific responses to climate variability in a semi-arid ecosystem in
northern Benin.
AB - Highly erratic rainfall patterns in northern Benin complicate the ability of
rural farmers to engage in subsistence agriculture. This research explores gender
specific responses to climate variability in the context of agrarian Benin
through a household survey (n = 260) and an experimental gaming exercise among a
subset of the survey respondents. Although men and women from the sample
population are equally aware of climate variability and share similar coping
strategies, their specific land-use strategies, preferences, and motivations are
distinct. Over the long term, these differences would likely lead to dissimilar
coping strategies and vulnerability to the effects of climate change. Examination
of gender-specific land-use responses to climate change and anticipatory learning
can enhance efforts to improve adaptability and resilience among rural
subsistence farmers.
PMID- 27878535
TI - Understanding adaptive capacity and capacity to innovate in social-ecological
systems: Applying a gender lens.
AB - Development policy increasingly focuses on building capacities to respond to
change (adaptation), and to drive change (innovation). Few studies, however,
focus specifically on the social and gender differentiation of capacities to
adapt and innovate. We address this gap using a qualitative study in three
communities in Solomon Islands; a developing country, where rural livelihoods and
well-being are tightly tied to agriculture and fisheries. We find the five
dimensions of capacity to adapt and to innovate (i.e. assets, flexibility,
learning, social organisation, agency) to be mutually dependant. For example,
limits to education, physical mobility and agency meant that women and youth,
particularly, felt it was difficult to establish relations with external agencies
to access technical support or new information important for innovating or
adapting. Willingness to bear risk and to challenge social norms hindered both
women's and men's capacity to innovate, albeit to differing degrees. Our findings
are of value to those aspiring for equitable improvements to well-being within
dynamic and diverse social-ecological systems.
PMID- 27878536
TI - Gendered vulnerabilities and grassroots adaptation initiatives in home gardens
and small orchards in Northwest Mexico.
AB - With the retreat of the state under neoliberalism, the lack of (or negligible)
government and non-governmental support reasserts grassroots initiatives as a
global-change strategy. A feminist political ecology approach and the concept of
adverse inclusion were used to facilitate an analysis of social differences
shaping local-level adaptive responses. Adaptive responses of small farmers in
the border village of San Ignacio, Sonora, Mexico, who are increasingly
vulnerable to climate change, water scarcity, and changing labor markets were
studied. Gender differences in production sites translate into diverse
vulnerabilities and adaptive strategies. Local capacities and initiatives should
be a focus of research and policy to avoid viewing women and men as passive in
the face of global change. The dynamic strategies of San Ignacio women and men in
home gardens and small orchards hold lessons for other regions particularly
related to adaptation to climate change via agrobiodiversity, water resource
management, and diversified agricultural livelihoods.
PMID- 27878537
TI - A synthesis of convergent reflections, tensions and silences in linking gender
and global environmental change research.
AB - This synthesis article joins the authors of the special issue "Gender
perspectives in resilience, vulnerability and adaptation to global environmental
change" in a common reflective dialogue about the main contributions of their
papers. In sum, here we reflect on links between gender and feminist approaches
to research in adaptation and resilience in global environmental change (GEC).
The main theoretical contributions of this special issue are threefold:
emphasizing the relevance of power relations in feminist political ecology,
bringing the livelihood and intersectionality approaches into GEC, and linking
resilience theories and critical feminist research. Empirical insights on key
debates in GEC studies are also highlighted from the nine cases analysed, from
Europe, the Americas, Asia, Africa and the Pacific. Further, the special issue
also contributes to broaden the gender approach in adaptation to GEC by
incorporating research sites in the Global North alongside sites from the Global
South. This paper examines and compares the main approaches adopted (e.g.
qualitative or mixed methods) and the methodological challenges that derive from
intersectional perspectives. Finally, key messages for policy agendas and further
research are drawn from the common reflection.
PMID- 27878538
TI - Enhancing and expanding intersectional research for climate change adaptation in
agrarian settings.
AB - Most current approaches focused on vulnerability, resilience, and adaptation to
climate change frame gender and its influence in a manner out-of-step with
contemporary academic and international development research. The tendency to
rely on analyses of the sex-disaggregated gender categories of 'men' and 'women'
as sole or principal divisions explaining the abilities of different people
within a group to adapt to climate change, illustrates this problem. This framing
of gender persists in spite of established bodies of knowledge that show how
roles and responsibilities that influence a person's ability to deal with climate
induced and other stressors emerge at the intersection of diverse identity
categories, including but not limited to gender, age, seniority, ethnicity,
marital status, and livelihoods. Here, we provide a review of relevant literature
on this topic and argue that approaching vulnerability to climate change through
intersectional understandings of identity can help improve adaptation
programming, project design, implementation, and outcomes.
PMID- 27878539
TI - What's counted as a reindeer herder? Gender and the adaptive capacity of Sami
reindeer herding communities in Sweden.
AB - Researchers of adaptive capacity and sustainable livelihoods have frequently used
social, cultural, human, economic and institutional capitals to better understand
how rural and resource-dependent communities address environmental, social and
economic stresses. Yet few studies have considered how men and women contribute
differently to these capitals to support community resilience overall. Our
research sought to understand the differential contributions of Sami men and
women to the adaptive capacity of reindeer husbandry and reindeer herding
communities in northern Sweden. Our focus revealed a gendered division of labour
in reindeer herding as an economic enterprise as well as gendered contributions
to a broader conceptualization of reindeer husbandry as a family and community
based practice, and as a livelihood and cultural tradition. Based on our results,
we recommend that community resilience be enhanced by generating more
opportunities for men to achieve higher levels of human and economic capital
(particularly outside of herding activities) and encouraging women to contribute
more directly to institutional capital by participating in the formation and
implementation of legislation, policies and plans.
PMID- 27878540
TI - Some years you live like a coyote: Gendered practices of cultural resilience in
working rangeland landscapes.
AB - Rangeland researchers are increasingly interested in understanding working
rangelands as integrated social-ecological systems and in investigating the
contexts of human decision-making processes that support system resilience. U.S.
public lands ranchers are key partners in rangeland conservation, but the role of
women in building system resilience has not yet been explored. We conducted life
history interviews with 19 ranching women in the Southwestern United States. We
analyzed the resulting transcripts by identifying contradictions between women's
material practices and traditional discourses in the ranching livelihood that
illustrated women's efforts to maintain both a way of life and a living during
social and ecological change. These gendered practices of cultural resilience
included self-sacrifice during difficult financial times, engagement with non
rancher networks, and efforts to transfer cultural and technical knowledge. We
argue that the key part ranchers play in rangeland conservation cannot be fully
understood without a consideration of gendered practices of cultural resilience.
PMID- 27878541
TI - Gendered knowledge and adaptive practices: Differentiation and change in Mwanga
District, Tanzania.
AB - We examine the wider social knowledge domain that complements technical and
environmental knowledge in enabling adaptive practices through two case studies
in Tanzania. We are concerned with knowledge production that is shaped by
gendered exclusion from the main thrusts of planned adaptation, in the practice
of irrigation in a dryland village and the adoption of fast-maturing seed
varieties in a highland village. The findings draw on data from a household
survey, community workshops, and key informant interviews. The largest challenge
to effective adaptation is a lack of access to the social networks and
institutions that allocate resources needed for adaptation. Results demonstrate
the social differentiation of local knowledge, and how it is entwined with
adaptive practices that emerge in relation to gendered mechanisms of access. We
conclude that community-based adaptation can learn from engaging the broader
social knowledge base in evaluating priorities for coping with greater climate
variability.
PMID- 27878542
TI - The impact of gender-blindness on social-ecological resilience: The case of a
communal pasture in the highlands of Ethiopia.
AB - We studied how the failure to take into account gendered roles in the management
of a communal pasture can affect the resilience of this social-ecological system.
Data were collected using qualitative methods, including focus group discussions,
in-depth interviews, and participant observations from one community in the
highlands of Ethiopia. The results show that women are excluded from the informal
institution that defines the access and use rules which guide the management of
the communal pasture. Consequently, women's knowledge, preferences, and needs are
not taken into account. This negatively affects the resilience of the communal
pasture in two ways. Firstly, the exclusion of women's knowledge leads to future
adaptation options being overlooked. Secondly, as a result of the failure to
address women's needs, they start to question the legitimacy of the informal
institution. The case study thus shows how excluding women, i.e., side-lining
their knowledge and needs, weakens social learning and the adaptiveness of the
management rules. Being blind to gender-related issues may thus undermine the
resilience of a social-ecological system.
PMID- 27878544
TI - Spatial and temporal assessment of surface water quality in the Arka River,
Akkar, Lebanon.
AB - Surface water quality monitoring constitutes a crucial and important step in any
water quality management system. Twenty-three physicochemical and microbiological
parameters were assessed in surface water samples collected from the Arka River
located in the Akkar District, north of Lebanon. Eight sampling locations were
considered along the river and seven sampling campaigns were performed in order
to evaluate spatial and temporal influences. The extraction of relevant
information from this relatively large data set was done using principal
component analysis (PCA), being a very well established chemometric tool in this
field. In a first step, extracted PCA loadings revealed the implication of
several physicochemical parameters in the discriminations and trends highlighted
by PCA scores, mainly due to soil leaching and seawater intrusion. However,
further investigations showed the implication of organic and bacterial parameters
in the discrimination of stations in the Akkar flatland. These discriminations
probably refer to anthropogenic pollution coming from the agricultural area and
the surrounding villages. Specific ultraviolet absorption (SUVA) indices
confirmed these findings since values decreased for samples collected across the
villages and the flatland, indicating an increase in anthropogenic dissolved
organic matter. This study will hopefully help the national and local authorities
to ameliorate the surface water quality management, enabling its proper use for
irrigation purposes.
PMID- 27878543
TI - A new predictive model for continuous positive airway pressure in the treatment
of obstructive sleep apnea.
AB - BACKGROUND: Numerous mathematical formulas have been developed to determine
continuous positive airway pressure (CPAP) without an in-laboratory titration
study. Recent studies have shown that style of CPAP mask can affect the optimal
pressure requirement. However, none of the current models take mask style into
account. Therefore, the goal of this study was to develop new predictive models
of CPAP that take into account the style of mask interface. METHODS: Data from
200 subjects with attended CPAP titrations during overnight polysomnograms using
nasal masks and 132 subjects using oronasal masks were randomized and split into
either a model development or validation group. Predictive models were then
created in each model development group and the accuracy of the models was then
tested in the model validation groups. RESULTS: The correlation between our new
oronasal model and laboratory determined optimal CPAP was significant, r = 0.61,
p < 0.001. Our nasal formula was also significantly related to laboratory
determined optimal CPAP, r = 0.35, p < 0.001. The oronasal model created in our
study significantly outperformed the original CPAP predictive model developed by
Miljeteig and Hoffstein, z = 1.99, p < 0.05. The predictive performance of our
new nasal model did not differ significantly from Miljeteig and Hoffstein's
original model, z = -0.16, p < 0.90. The best predictors for the nasal mask group
were AHI, lowest SaO2, and neck size, whereas the top predictors in the oronasal
group were AHI and lowest SaO2. CONCLUSION: Our data show that predictive models
of CPAP that take into account mask style can significantly improve the formula's
accuracy. Most of the past models likely focused on model development with nasal
masks (mask style used for model development was not typically reported in
previous investigations) and are not well suited for patients using an oronasal
interface. Our new oronasal CPAP prediction equation produced significantly
improved performance compared to the well-known Miljeteig and Hoffstein formula
in patients titrated on CPAP with an oronasal mask and was also significantly
related to laboratory determined optimal CPAP.
PMID- 27878545
TI - Probability of foliar injury for Acer sp. based on foliar fluoride
concentrations.
AB - Fluoride is considered one of the most phytotoxic elements to plants, and
indicative fluoride injury has been associated over a wide range of foliar
fluoride concentrations. The aim of this study was to determine the probability
of indicative foliar fluoride injury based on Acer sp. foliar fluoride
concentrations using a logistic regression model. Foliage from Acer nedundo, Acer
saccharinum, Acer saccharum and Acer platanoides was collected along a distance
gradient from three separate brick manufacturing facilities in southern Ontario
as part of a long-term monitoring programme between 1995 and 2014. Hydrogen
fluoride is the major emission source associated with the manufacturing
facilities resulting with highly elevated foliar fluoride close to the facilities
and decreasing with distance. Consistent with other studies, indicative fluoride
injury was observed over a wide range of foliar concentrations (9.9-480.0 MUg F-
g-1). The logistic regression model was statistically significant for the Acer
sp. group, A. negundo and A. saccharinum; consequently, A. negundo being the most
sensitive species among the group. In addition, A. saccharum and A. platanoides
were not statistically significant within the model. We are unaware of published
foliar fluoride values for Acer sp. within Canada, and this research provides
policy maker and scientist with probabilities of indicative foliar injury for
common urban Acer sp. trees that can help guide decisions about emissions
controls. Further research should focus on mechanisms driving indicative fluoride
injury over wide ranging foliar fluoride concentrations and help determine foliar
fluoride thresholds for damage.
PMID- 27878546
TI - Investigation of antibiotics in health care wastewater in Ho Chi Minh City,
Vietnam.
AB - Hospital wastewater contains huge amounts of hazardous pollutants which are being
discharged daily to environment with or without treatment. Antibiotics were among
the important group of pharmaceuticals considered as a potential source of health
risk for human and other living creatures. Although the investigations about the
existence of antibiotics in hospital wastewater have gained concern for
researchers in many countries, there is only one research conducted in Hanoi
Vietnam. Hence, in this study, investigations have been done to fulfill the
requirement of real situation in Vietnam by accomplishing survey for 39 health
care facilities in Ho Chi Minh City. As results, seven popular antibiotics were
detected to exist in all samples such as sulfamethoxazole (2.5 +/- 1.9 MUg/L),
norfloxacin (9.6 +/- 9.8 MUg/L), ciprofloxacin (5.3 +/- 4.8 MUg/L), ofloxacin
(10.9 +/- 8.1 MUg/L), erythromycin (1.2 +/- 1.2 MUg/L), tetracycline (0.1 +/- 0.0
MUg/L), and trimethoprim (1.0 +/- 0.9 MUg/L). On the other hand, survey also
showed that only 64% of health care facilities using conventional activate sludge
(AS) processes in wastewater treatment plants (WWTPs). As a consequence, basic
environmental factors (BOD5, COD, TSS, NH4+-N, or total coliforms) were not
effectively removed from the hospital wastewater due to problems relating to
initial design or operational conditions. Therefore, 18% effluent samples of the
surveyed WWTPs have exceeded the national standard limits (QCVN 28:2010, level
B).
PMID- 27878547
TI - Transcatheter closure of paravalvular leaks: state of the art.
AB - Paravalvular leak (PVL) is a serious complication after surgical valve
replacement or after transcatheter aortic valve replacement. Approximately 1-5%
of PVLs can lead to serious clinical consequences, including congestive heart
failure and/or haemolytic anaemia. For years, surgical re-intervention has been
considered the treatment of choice for symptomatic patients with PVLs. However,
surgical re-intervention is associated with a high risk of morbidity and
mortality. Transcatheter PVL (TPVL) closure is a less invasive alternative to
surgical re-intervention. The safety and feasibility of TPVL closure has been
confirmed in several registries and a meta-analysis.In this review, we discuss
the clinical implications and diagnosis of PVLs, technical considerations for
TPVL, execution of the procedure and assessment of the results.
PMID- 27878548
TI - Contemporary use of arterial and venous conduits in coronary artery bypass
grafting: anatomical, functional and clinical aspects.
AB - Although the benefits of using the left internal mammary artery to bypass the
left anterior descending artery (LAD) have been extensively ascertained, freedom
from major cardiovascular events and survival after coronary artery bypass
grafting (CABG) also correlate with the completeness of revascularisation. Hence,
careful selection of the second-best graft conduit is crucial for CABG success.
The more widespread use of saphenous vein grafts contrasts with the well-known
long-term efficacy of multiple arterial grafting, which struggles to emerge as
the procedure of choice due to concerns over increased technical difficulties and
higher risk of postoperative complications. Conduit choice is at the discretion
of the operator instead of being discussed by the heart team, where cardiologists
are not usually engaged in such decisions due to a hypothetical lack of technical
knowledge. Furthermore, according to the ESC/EACTS guidelines, traditional CABG
remains the gold standard for multi-vessel coronary artery disease with complex
LAD stenosis, but hybrid procedures using percutaneous coronary intervention for
non-LAD targets could combine the best of two worlds. With the aim of raising the
cardiologist's awareness of the surgical treatment options, we provide a
comprehensive overview of the anatomical, functional and clinical aspects guiding
the decision-making process in CABG strategy.
PMID- 27878549
TI - Fluoroscopic freehand and electromagnetic-guided targeting system for distal
locking screws of humeral intramedullary nail.
AB - PURPOSE: The current techniques used to lock distal screws for the nailing of
long bone fractures expose the surgeons, radiologists and patients to a hearty
dose of ionizing radiation. The SureshotTM Distal Targeting System is a new
technique that, with the same results, allows for shorter surgery times and,
consequently, less exposure to radiation. MATERIALS AND METHODS: The study was
performed on 59 patients (34 males and 25 females) with a simple humerus fracture
diagnosis, type 1.2.A according to the AO classification, who were divided into
two groups. Group 1 was treated with ante-grade intramedullary nailing with
distal locking screws inserted with a freehand technique. Group 2 was treated
with the intramedullary nail using the SureshotTM Distal Targeting System. Two
intra-operative time parameters were evaluated in both groups: the time needed
for the positioning of the distal locking screws and the time of exposure to
ionizing radiations during this procedure. RESULTS: Group 2 showed a lower
average distal locking time compared to group 1 (645.48" vs. 1023.57") and also a
lower average time of exposure to ionizing radiation than in group 1 (4.35" vs.
28.96"). CONCLUSION: The SureshotTM Distal Targeting System has proven to be
equally effective when compared to the traditional techniques, with the added
benefits of a significant reduction in both surgical time and risk factors
related to the exposure to ionizing radiation for all the operating room staff
and the patient.
PMID- 27878550
TI - Diverticulitis: a comprehensive review with usual and unusual complications.
AB - : Diverticulitis is characterized by inflammation of the outpouchings of the
bowel wall. Imaging findings of diverticulitis include edematous thickening of
the bowel wall with inflammatory changes within the adjacent mesenteric fat.
Uncomplicated diverticulitis can be treated conservatively; however, complicated
diverticulitis may not be responsive to medical treatment and life-threatening
conditions may occur. In this review, we aimed to illustrate the ultrasonography
(US) and computed tomography (CT) features of diverticulitis and its
complications including perforation, phlegmon, abscess, ascending septic
thrombophlebitis (phylephlebitis), bleeding, intestinal obstruction, and fistula.
TEACHING POINTS: * Complications of diverticulitis may be highly variable. * It
may be difficult to diagnose diverticulitis as underlying cause of severe
complications. * MDCT is essential for the primary diagnosis of the acute
diverticulitis and its complications.
PMID- 27878551
TI - Interactions of GST Polymorphisms in Air Pollution Exposure and Respiratory
Diseases and Allergies.
AB - PURPOSE OF REVIEW: The purpose of this review is to summarize the evidence from
recently published original studies investigating how glutathione S-transferase
(GST) gene polymorphisms modify the impact of air pollution on asthma, allergic
diseases, and lung function. RECENT FINDINGS: Current studies in epidemiological
and controlled human experiments found evidence to suggest that GSTs modify the
impact of air pollution exposure on respiratory diseases and allergies. Of the
nine articles included in this review, all except one identified at least one
significant interaction with at least one of glutathione S-transferase pi 1
(GSTP1), glutathione S-transferase mu 1 (GSTM1), or glutathione S-transferase
theta 1 (GSTT1) genes and air pollution exposure. The findings of these studies,
however, are markedly different. This difference can be partially explained by
regional variation in the exposure levels and oxidative potential of different
pollutants and by other interactions involving a number of unaccounted
environment exposures and multiple genes. Although there is evidence of an
interaction between GST genes and air pollution exposure for the risk of
respiratory disease and allergies, results are not concordant. Further
investigations are needed to explore the reasons behind the discordancy.
PMID- 27878552
TI - Strength and Aerobic Exercises Improve Spatial Memory in Aging Rats Through
Stimulating Distinct Neuroplasticity Mechanisms.
AB - Aging is associated with impaired cognition and memory and increased
susceptibility to neurodegenerative disorders. Physical exercise is
neuroprotective; however, the major evidence of this effect involves studies of
only aerobic training in young animals. The benefits of other exercise protocols
such as strength training in aged animals remains unknown. Here, we investigated
the effect of aerobic and strength training on spatial memory and hippocampal
plasticity in aging rats. Aging Wistar rats performed aerobic or strength
training for 50 min 3 to 4 days/week for 8 weeks. Spatial memory and neurotrophic
and glutamatergic signaling in the hippocampus of aged rats were evaluated after
aerobic or strength training. Both aerobic and strength training improved
cognition during the performance of a spatial memory task. Remarkably, the
improvement in spatial memory was accompanied by an increase in synaptic
plasticity proteins within the hippocampus after exercise training, with some
differences in the intracellular functions of those proteins between the two
exercise protocols. Moreover, neurotrophic signaling (CREB, BDNF, and the P75NTR
receptor) increased after training for both exercise protocols, and aerobic
exercise specifically increased glutamatergic proteins (NMDA receptor and PSD
95). We also observed a decrease in DNA damage after aerobic training. In
contrast, strength training increased levels of PKCalpha and the proinflammatory
factors TNF-alpha and IL-1beta. Overall, our results show that both aerobic and
strength training improved spatial memory in aging rats through inducing distinct
molecular mechanisms of neuroplasticity. Our findings extend the idea that
exercise protocols can be used to improve cognition during aging.
PMID- 27878555
TI - Laparoscopic distal pancreatectomy in elderly patients: is it safe?
AB - BACKGROUND: Laparoscopic distal pancreatectomy (LDP) appears to be safe and
effective as open distal pancreatectomy (ODP) for benign or borderline malignant
lesion. However, studies comparing LDP with ODP in elderly patients are limited.
The purpose of this study is to compare the clinical outcomes of these two
several approaches in elderly patients. METHODS: A retrospective analysis was
carried out by comparing laparoscopic (n = 7) and open (n = 15) distal
pancreatectomy in elderly patients performed at the University of Naples
"Federico II" and University of Perugia between January 2012 and December 2015.
Demographic data, operative and postoperative outcomes were analyzed. RESULTS:
Demographic and tumor characteristics of laparoscopic and ODP were similar. There
were also no significant differences in operating room time. Patients undergoing
LDP had lower blood loss, first flatus time, diet start time and postoperative
hospital stay. There were no significant differences in complication rates or 90
day mortality. DISCUSSION: LDP is safe and feasible as ODP in selected elderly
patients.
PMID- 27878554
TI - The Involvement of the Decrease of Astrocytic Wnt5a in the Cognitive Decline in
Minimal Hepatic Encephalopathy.
AB - Wnt signaling plays a key role in neuroprotection and synaptic plasticity. We
speculate that the impairment of Wnt signaling may mediate astrocytic
neurotrophins (NTs) production and the impairment of Wnt signaling to astrocytic
NTs production contributes to the pathogenesis of minimal hepatic encephalopathy
(MHE). Here, we found that induction of astrocytic NTs synthesis was by Wnt5a via
the calcium/calmodulin-sensitive protein kinase II (CaMK II)-cAMP-response
element-binding protein (CREB) pathway in PCAs. The decrease of spatial learning
and memory and downregulation of astrocytic BDNF and NT-3 were reversed by Wnt5a
in MHE rat model. The increased association between CaMK II and CREB followed by
phosphorylation of CREB in response to Wnt5a stimulation was suppressed in the
MHE rat model. Our results highlight a novel pathogenesis of the contribution of
downregulation of NTs to the inhibition of the interaction between Wnt5a and
Frizzled-2 in astrocytes in MHE.
PMID- 27878553
TI - Magnesium Sulfate Provides Neuroprotection in Eclampsia-Like Seizure Model by
Ameliorating Neuroinflammation and Brain Edema.
AB - Eclampsia is a hypertensive disorder of pregnancy that is defined by the new
onset of grand mal seizures on the basis of preeclampsia and a leading cause of
maternal and fetal mortality worldwide. Presently, magnesium sulfate (MgSO4) is
the most effective treatment, but the mechanism by which MgSO4 prevents eclampsia
has yet to be fully elucidated. We previously showed that systemic inflammation
decreases the seizure threshold in a rat eclampsia-like model, and MgSO4
treatment can decrease systemic inflammation. Here, we hypothesized that MgSO4
plays a neuroprotective role in eclampsia by reducing neuroinflammation and brain
edema. Pregnant Sprague-Dawley rats were given an intraperitoneal injection of
pentylenetetrazol following a tail vein injection of lipopolysaccharide to
establish the eclampsia-like seizure model. Seizure activity was assessed by
behavioral testing. Neuronal loss in the hippocampal CA1 region (CA1) was
detected by Nissl staining. Cerebrospinal fluid levels of S100-B and ferritin,
indicators of neuroinflammation, were detected by enzyme-linked immunosorbent
assay, and ionized calcium binder adapter molecule 1 (Iba-1, a marker for
microglia) and glial fibrillary acid protein (GFAP, a marker for astrocytes)
expression in the CA1 area was determined by immunofluorescence staining. Brain
edema was measured. Our results revealed that MgSO4 effectively attenuated
seizure severity and CA1 neuronal loss. In addition, MgSO4 significantly reduced
cerebrospinal fluid levels of S100-B and ferritin, Iba-1 and GFAP activation in
the CA1 area, and brain edema. Our results indicate that MgSO4 plays a
neuroprotective role against eclampsia-like seizure by reducing neuroinflammation
and brain edema.
PMID- 27878556
TI - Venous anomalies of the axilla: a single-institution experience.
AB - AIM: Surgical treatment is still the cornerstone in the treatment of breast
cancer, a very common neoplasia, particularly affecting the female elderly
population. Axillary dissection is crucial in the treatment of some tumours, but
variations in axillary vessels anatomy are poorly described in standard anatomy
and surgical textbook. We aimed to describe anatomical variations in axillary
vessels found in our institutional experience. PATIENTS AND METHODS: A
prospective 3-year study was conducted in our institution from January 2012 to
December 2014. Sixty-one consecutive axillary lymph node dissections (ALNDs) were
performed in 61 patients who underwent surgery for stage II and III invasive
breast cancer. Anatomical details of axillary vascular anatomy and its variations
have been evaluated, described and stored in a prospective database. RESULTS:
Sixty-one ALNDs have been performed in the study period. The anatomy of lateral
thoracic vein, angular vein and axillary vein was studied and compared with
standard anatomical description. Eighteen percentage of venous variations were
found out of the 61 dissection performed. CONCLUSIONS: Vascular anatomy of axilla
is complex and variable. A better knowledge of all possible variations might be
helpful in preventing injuries during ALND.
PMID- 27878557
TI - Simple reaction time for broadband sounds compared to pure tones.
AB - Although many studies have explored the relation between reaction time (RT) and
loudness, including effects of intensity, frequency, and binaural summation,
comparable work on spectral summation is rare. However, most real-world sounds
are not pure tones and typically have bandwidths covering several critical bands.
Since comparing to a 1-kHz pure tone, the reference tone, is important for
loudness measurement and standardization, the present work focuses on comparing
RTs for broadband noise to those for 1-kHz pure tones in three experiments using
different spectral and binaural configurations. The results of Experiments 1 and
2 yield good quantitative agreement with spectral loudness summation models for
moderate and high sound pressure levels, measured using both pink noise covering
almost the entire hearing range and bandpass-filtered pink noise with different
center frequencies. However, at lower levels, the RT measurements yield an
interaction of level and bandwidth, which is not in line with loudness scaling
data. In Experiment 3, which investigated the binaural summation of broadband
sounds, the binaural gain for white noise was determined to be 9 dB, which is
somewhat larger than what had been found in previous RT measurements using 1-kHz
pure tones.
PMID- 27878558
TI - Discrimination of face gender and expression under dual-task conditions.
AB - In order to test whether expression and gender can be attended to simultaneously
without a cost in accuracy four experiments were carried out using a dual gender
expression task with male and female faces showing different emotional
expressions that were backward masked by emotionally neutral faces. In the dual
facial condition the participants had to report both the gender and the
expression of the targets. In two control conditions the participant reported
either the gender or the expression of the face and indicated whether a
surrounding frame was continuous or discontinuous. In Experiments 1-3, with angry
and happy targets, asymmetric interference was observed. Gender discrimination,
but no expression discrimination, was impaired in the dual-facial condition
compared to the corresponding control. This effect was obtained with a between
subjects design in Experiment 1, with a within-subjects design in Experiment 2,
and with androgynous face masks in Experiment 3. In Experiments 4a and 4b
different target combinations were tested. No decrement of performance in the
dual-facial task was observed for either gender or expression discrimination with
fearful-disgusted (Experiment 4a) or fearful-happy faces (Experiment 4b). We
conclude that the ability to attend simultaneously to gender and expression cues
without a decrement in performance depends on the specific combination of
expressions to be differentiated between. Happy and angry expressions are usually
directed at the perceiver and command preferential attention. Under conditions of
restricted viewing such as those of the present study, discrimination of these
expressions is prioritized leading to impaired discrimination of other facial
properties such as gender.
PMID- 27878560
TI - Clinical and etiological profile of epilepsy in elderly: a hospital-based study
from rural India.
AB - To determine the clinical profile and etiology of epilepsy in elderly patients in
rural population of India which is in a phase of demographic transition with
steadily growing geriatric population. A cross-sectional, prospective, hospital
based clinicoepidemiological study was performed from October 2014 to November
2015. Patients having onset of epilepsy after 60 years were incorporated in the
study. We excluded the acute symptomatic seizures. One hundred and ten patients
were enrolled and were divided into three standard subgroups: subgroup A (aged 60
70), subgroup B (aged 71-80), and subgroup C (aged 81-90). Out of 110 patients,
72 (65.45%) were male and 38 (34.54%) were female. The most common etiology was
cerebrovascular disease (46.36%), followed by focal cerebral calcifications
single or multiple (11.81%), tumors (9.09%), trauma (6.36%) dementias (6.36%) and
unknown (16.63%). In our study, the frequency of epilepsy decreases with
advancing age which was 77.27% in group A (less than 70 years), 16.36% in group B
and 6.36% in group C (70-90 years).The most common type of seizure in the group
studied was focal 59.09% followed by generalized seizures 37.27%. Hypertension
was the most common co-morbidity found in 40 (36.36%) patients. The present study
proposes that epilepsy in the elderly patients have etiological relationship with
stroke, focal cerebral calcifications, tumors and dementias. CNS infections
account for a significant number of cases of remote symptomatic epilepsy in
elderly in our region where neurocysticercosis is endemic.
PMID- 27878559
TI - Impact of Drug Manipulation on Seizure Freedom in Adults with Uncontrolled
Epilepsy: A Prospective Controlled Study in Rural China.
AB - INTRODUCTION: It has been suggested that uncontrolled epilepsy might not
necessarily equate to drug resistance when antiepileptic drugs (AEDs) are used at
relatively low doses, a practice frequently observed in rural areas of China.
OBJECTIVE: To assess the clinical benefits of further drug manipulation in this
situation, we prospectively followed up the outcomes of patients with
uncontrolled epilepsy while taking relatively low doses of AEDs. METHODS: The
study included patients aged 16 years and older with uncontrolled epilepsy and
who were receiving at least one AED at a dosage below 50% of the World Health
Organization (WHO) defined daily dose (DDD) (50% DDD) (Group 1). Patients with
drug-resistant epilepsy were included for comparison (Group 2). Both groups were
followed-up for at least 2 years. Seizure outcomes after further drug
manipulations were recorded at the last follow-up. RESULTS: A total of 197
patients (55.3% male) were included in Group 1 and 32 (46.9% male) in Group 2;
their mean duration of follow-up was 28.85 +/- 1.90 and 30.91 +/- 2.04 months,
respectively. At the last follow-up, 16.8% (33/197) of patients in Group 1 had
become seizure-free compared with none in Group 2 (p < 0.001). Seventeen of 93
(18.3%) patients in Group 1 became seizure free after increasing the dosage of
baseline AED(s) alone. Only 5.5% (3/55) of patients who had failed to respond to
an AED at >=50% DDD at baseline became seizure free compared with 21.1% (30/142)
who did not have such a history (p = 0.001). The number of AEDs taken at a dosage
below 50% DDD at baseline was not associated with seizure outcome. CONCLUSIONS:
Uncontrolled epilepsy could become controlled in a substantial proportion of
patients by dose increase alone, particularly if there is no history of drug
failure at >=50% DDD.
PMID- 27878561
TI - Pseudotumoral brain lesions: MRI review.
AB - Single or multiple space-occupying lesions on brain MRI, with or without contrast
enhancement and/or perilesional oedema, evoke a neoplastic origin. However, a
multitude of non-neoplastic disorders can simulate cerebral neoplasia. In this
review, we will discuss the MRI characteristics of non-neoplastic disorders that
can mimic cerebral neoplasia. Distinguishing MRI characteristics are discussed
for each of these non-neoplastic disorders.
PMID- 27878563
TI - Asymptomatic Elevated BP and the Hypertensive Insurgency.
PMID- 27878565
TI - Challenges and Prospect of Non-aqueous Non-alkali (NANA) Metal-Air Batteries.
AB - Non-aqueous non-alkali (NANA) metal-air battery technologies promise to provide
electrochemical energy storage with the highest specific energy density. Metal
air battery technology is particularly advantageous being implemented in long
range electric vehicles. Up to now, almost all the efforts in the field are
focused on Li-air cells, but other NANA metal-air battery technologies emerge.
The major concern, which the research community should be dealing with, is the
limited and rather poor rechargeability of these systems. The challenges we are
covering in this review are related to the initial limited discharge capacities
and cell performances. By comprehensively reviewing the studies conducted so far,
we show that the implementation of advanced materials is a promising approach to
increase metal-air performance and, particularly, metal surface activation as a
prime achievement leading to respectful discharge currents. In this review, we
address the most critical areas that need careful research attention in order to
achieve progress in the understanding of the physical and electrochemical
processes in non-aqueous electrolytes applied in beyond lithium and zinc air
generation of metal-air battery systems.
PMID- 27878564
TI - The role of B7 family costimulatory molecules and indoleamine 2,3-dioxygenase in
primary Sjogren's syndrome and systemic sclerosis.
AB - B7 costimulatory molecules are present on antigen-presenting cells (APCs) and
influence intracellular expression of indoleamine 2,3-dioxygenase (IDO), a
molecule with important immunoregulatory functions. We determined the frequency
of activated (CD11b+) monocytes expressing B7-1, B7-2, B7-H1, and B7-H2
molecules, and that of CD3+ and CD4+ T cells expressing the corresponding CD28,
CTLA-4, PD-1, and ICOS receptors in peripheral blood samples of 20 healthy adults
and 9 SSc and 15 pSS patients using flow cytometry. We also examined the
intracellular expression of IDO. The expression of CD28 was lower in both SSc and
pSS patients. The frequency of CTLA-4 was increased in pSS. The expression of
ICOS, a stimulator of T cell activation, was elevated in pSS, but not in SSc,
while that of its corresponding costimulatory molecule, B7-H2, was strongly
decreased in SSc compared to controls. The frequency of PD-1 expressing T
lymphocytes was decreased in both pSS and SSc. The frequency of IDO-expressing
APCs, as well as intracellular IDO content in T cells was higher in pSS than in
controls. Our investigation identified a number of differences in B7
costimulation between SSc and pSS patients which may play a role in the distinct
pathogenesis and clinical features of these autoimmune disorders.
PMID- 27878566
TI - Pharmacokinetic and Pharmacodynamic Properties of Faster-Acting Insulin Aspart
versus Insulin Aspart Across a Clinically Relevant Dose Range in Subjects with
Type 1 Diabetes Mellitus.
AB - BACKGROUND: Absorption of current rapid-acting insulins is too slow for patients
with diabetes mellitus to achieve optimal postprandial glucose control. Faster
acting insulin aspart (faster aspart) is insulin aspart in a new formulation with
faster early absorption. We compared the pharmacokinetic/pharmacodynamic
properties of faster aspart and insulin aspart across a clinically relevant dose
range. METHODS: In this randomised, double-blind, crossover trial, 46 subjects
with type 1 diabetes mellitus received single subcutaneous doses of faster aspart
and insulin aspart at 0.1, 0.2 (repeated three times to estimate within-subject
variability) and 0.4 U/kg in a euglycaemic clamp setting (target 5.5 mmol/L).
RESULTS: Consistently for the three doses, faster aspart demonstrated faster
onset and greater early absorption and glucose-lowering effect versus insulin
aspart. Across all three doses, onset of appearance occurred approximately twice
as fast (approximately 5 min earlier) and early insulin exposure (AUCIAsp,0
30min) was approximately 1.5- to 2-fold greater for faster aspart versus insulin
aspart. Likewise, onset of action occurred approximately 5 min faster and early
glucose-lowering effect (AUCGIR,0-30min) was approximately 1.5- to 2-fold larger
for faster aspart versus insulin aspart. Relative bioavailability was
approximately 100% and total glucose-lowering effect was similar for faster
aspart versus insulin aspart. Dose-concentration and dose-response relationships
were comparable between faster aspart and insulin aspart. Within-subject
variability in glucose-lowering effect was low for faster aspart (coefficient of
variation approximately 20%) and not significantly different from insulin aspart.
CONCLUSION: The faster onset and greater early insulin exposure and glucose
lowering effect with faster aspart versus insulin aspart are preserved across a
broad range of doses and consistently observed from day to day. CLINICALTRIALS.
GOV IDENTIFIER: NCT02033239.
PMID- 27878568
TI - Bioremoval of humic acid from water by white rot fungi: exploring the removal
mechanisms.
AB - Twelve white rot fungi (WRF) strains were screened on agar plates for their
ability to bleach humic acid (HA). Four fungal strains were selected and tested
in liquid media for removal of HA. Bioremediation was investigated by HA color
removal and changes in the concentration and molecular size distribution of HA by
size exclusion chromatography. Trametes versicolor and Phanerochaete
chrysosporium showed the highest HA removal efficiency, reaching about 80%.
Laccase and manganese peroxidase were measured as extracellular enzymes and their
relation to the HA removal by WRF was investigated. Results indicated that
nitrogen limitation could enhance the WRF extracellular enzyme activity, but did
not necessarily increase the HA removal by WRF. The mechanism of bioremediation
by WRF was shown to involve biosorption of HA by fungal biomass and degradation
of HA to smaller molecules. Also, contradicting previous reports, it was shown
that the decolorization of HA by WRF could not necessarily be interpreted as
degradation of HA. Biosorption experiments revealed that HA removal by fungal
biomass is dependent not only on the amount of biomass as the sorbent, but also
on the fungal species. The involvement of cytochrome P450 (CYP) enzymes was
confirmed by comparing the HA removal capability of fungi with and without the
presence of a CYP inhibitor. The ability of purified laccase from WRF to solely
degrade HA was proven and the importance of mediators was also demonstrated.
PMID- 27878567
TI - Drug Interactions Between Peficitinib, an Orally Administered, Once-Daily Janus
Kinase Inhibitor, and Rosuvastatin in Healthy Subjects.
AB - BACKGROUND AND OBJECTIVE: Peficitinib is an orally administered, once-daily Janus
kinase inhibitor in development for the treatment of rheumatoid arthritis.
Peficitinib and its major metabolite H2 inhibit the hepatic uptake transporter
organic anion transporting polypeptide 1B1 (OATP1B1) in vitro. This article
reports a clinical study evaluating the effects of peficitinib on the
pharmacokinetics of rosuvastatin, a substrate for the OATP1B1 transporter, and
vice versa. METHODS: In an open-label, single-sequence clinical study, 24 healthy
adults of East Asian and non-East Asian origin received a single dose of
rosuvastatin 10 mg on days 1 and 10. On days 5-13, subjects received a daily dose
of 150 mg peficitinib. Serial blood samples for pharmacokinetic assessment of
rosuvastatin were collected up to 96 h post-dose on days 1 and 10, and for
peficitinib were collected up to 24 h post-dose on days 9 and 10. RESULTS: Co
administration of peficitinib with rosuvastatin increased rosuvastatin area under
the concentration-time curve (AUC) and maximum plasma concentration (C max) by 18
and 15%, respectively and increased peficitinib AUC and C max by 16 and 28%,
respectively. In East Asian (n = 6) vs. non-East Asian subjects (n = 18),
peficitinib mean AUC for a dosing interval was 45 and 21% higher, and mean C max
was 67 and 34% higher, when administered alone or with rosuvastatin. Peficitinib
was well tolerated with few adverse events overall. CONCLUSION: In this study,
once-daily oral administration of peficitinib had no clinically significant
effect on the pharmacokinetics of rosuvastatin, a probe substrate for OATP1B1.
Therefore, it is unlikely that peficitinib will have a clinically significant
effect on the exposure of other substrates for OATP1B1. CLINICALTRIALS. GOV
NUMBER: NCT01959399.
PMID- 27878569
TI - Assay of hemoglobin A1c using lectin from Aleuria aurantia.
AB - Hemoglobin A1c (HbA1c) has an N-terminal fructosyl valine on the beta-chain, and
this modification is caused by the non-enzymatic glycosylation of hemoglobin
(Hb). The relative concentration ratio of HbA1c to total Hb is an important
biomarker for the diagnosis of diabetes. HbA1c-binding lectins were screened from
29 sources of lectin, and the lectin from Aleuria aurantia (AAL) was revealed to
have higher affinity to HbA1c than to Hb. The concentration of HbA1c was
determined by lectin-based enzyme-linked immunosorbent assay (ELISA) using the
AAL lectin. Higher reproducibility of the assay was observed at 4 degrees C than
at 25 and 37 degrees C. This observation is consistent with the known
temperature-dependent behavior of lectins. Preincubation of HbA1c with an anti
HbA1c antibody inhibited the binding, suggesting that AAL binds to the N-terminal
fructosyl valine epitope of HbA1c. Higher inhibitory effect was observed for 10
mM D-fructose than for the same concentrations of L-fucose, D-fucose, or D
glucose.
PMID- 27878570
TI - Synchronous double cancers of primary hepatocellular carcinoma and
cholangiolocellular carcinoma: a case report.
AB - Synchronous double cancers consisting of hepatocellular carcinoma (HCC) and
cholangiolocellular carcinoma (CoCC) are extremely rare. We herein report a
surgical case of synchronous double cancers in a patient with primary HCC and
CoCC. A 45-year-old man with hepatitis B was admitted to our hospital with
hepatic tumors. The level of protein induced by vitamin K antagonist (PIVKA-II)
was found to be elevated. Computed tomography (CT) revealed a 23-mm tumor with
early-phase enhancement and late-phase washout in the 6th segment of the liver,
and a 10-mm tumor with slight early-phase enhancement and late-phase washout in
the 7th segment of the liver. Magnetic resonance imaging (MRI) revealed that the
two tumors in the 6th and 7th segments showed low intensity on T1-weighted images
and high intensity on T2-weighted images. Based on those preoperative
examinations, the liver tumors were diagnosed as multiple primary hepatocellular
carcinomas. The patient underwent a posterior segmentectomy. A histopathological
examination revealed that the tumor of the 6th segment of the liver was
moderately differentiated HCC, and that the tumor of the 7th segment of the liver
was CoCC. The postoperative course was uneventful. However, lymph node recurrence
was observed 6 months later and the patient died 20 months after surgery. There
are only six reported surgical cases of synchronous double primary liver cancers
consisting of HCC and CoCC. We are of the opinion that curative resection may be
an effective treatment for double cancer consisting of HCC and CoCC, and that it
may provide long-term survival.
PMID- 27878571
TI - A case of a horseshoe appendix.
AB - Anomalies of the appendix are extremely rare, and a horseshoe appendix is even
rarer. A literature search has revealed only five reported cases. In this report,
we present a case of a horseshoe appendix.A 78-year-old man was referred for
further examination following a positive fecal occult blood test. A mass in his
ascending colon was detected on colonoscopy, while computed tomography showed
that it was connected to the appendix. Tumor invasion derived from the ascending
colon or appendix was suspected. We diagnosed ascending colon cancer prior to
laparoscopic ileocecal resection. Macroscopic findings showed that the appendix
connected to the back side of the mass, while microscopic findings showed that
the mucosa and submucosa were continuous from the appendiceal orifice in the
cecum to the other orifice in the ascending colon, where a type 1 tumor was
observed on the orifice. We eventually diagnosed the patient with tubulovillous
adenoma and a horseshoe appendix.A horseshoe appendix communicates with the colon
at both ends and is supplied by a single fan-shaped mesentery. Cases are
classified by the disposal of the mesentery and the location of the orifice.
Anatomical anomalies should be considered despite the rarity of horseshoe
appendices.
PMID- 27878572
TI - A comparison of two insulin infusion protocols in the medical intensive care unit
by continuous glucose monitoring.
AB - BACKGROUND: Achieving good glycemic control in intensive care units (ICU)
requires a safe and efficient insulin infusion protocol (IIP). We aimed to
compare the clinical performance of two IIPs (Leuven versus modified Yale
protocol) in patients admitted to medical ICU, by using continuous glucose
monitoring (CGM). This is a pooled data analysis of two published prospective
randomized controlled trials. CGM monitoring was performed in 57 MICU patients
(age 64 +/- 12 years, APACHE-II score 28 +/- 7, non-diabetic/diabetic: 36/21).
The main outcome measures were percentage of time in normoglycemia (80-110 mg/dl)
and in hypoglycemia (<60 mg/dl), and glycemic variability (standard deviation,
coefficient of variation, mean amplitude of glucose excursions, mean of daily
differences). RESULTS: Twenty-two subjects were treated using the Leuven protocol
and 35 by the Yale protocol; >63,000 CGM measurements were available. The
percentage of time in normoglycemia (80-110 mg/dl) was higher (37 +/- 15 vs. 26
+/- 11%, p = 0.001) and percentage of time spent in hypoglycemia was lower (0[0
2] vs. 5[1-8]%, p = 0.001) in the Yale group. Median glycemia did not differ
between groups (118[108-128] vs. 128[106-154] mg/dl). Glycemic variability was
less pronounced in the Yale group (median SD 28[21-37] vs. 47[31-71] mg/dl, p =
0.001; CV 23[19-31] vs. 36[26-50]%, p = 0.001; MODD 35[26-41] vs. 60[33-94]
mg/dl, p = 0.001). However, logistic regression could not identify type of IIP,
diabetes status, age, BMI, or APACHE-II score as independent parameters for
strict glucose control. CONCLUSIONS: The Yale protocol provided better average
glycemia, more time spent in normoglycemia, less time in hypoglycemia, and less
glycemic variability than the Leuven protocol, but was not independently
associated with strict glycemic control.
PMID- 27878573
TI - Prognostic value of procalcitonin in patients after elective cardiac surgery: a
prospective cohort study.
AB - BACKGROUND: Procalcitonin (PCT) is a well-known prognostic marker after elective
cardiac surgery. However, the impact of elevated PCT in patients with an
initially uneventful postoperative course is still unclear. The aim of this study
was to evaluate PCT levels as a prognostic tool for delayed complications after
elective cardiac surgery. METHODS: A prospective study was performed in 751
patients with an apparently uneventful postoperative course within the first 24 h
after elective cardiac surgery. Serum PCT concentration was taken the morning
after surgery. All patients were screened for the occurrence of delayed
complications. Delayed complications were defined by in-hospital death, intensive
care unit readmission, or prolonged length of hospital stay (>12 days). Odds
ratios (OR) [with 95% confidence interval (CI)] were calculated by logistic
regression analyses and adjusted for confounders. Predictive capacity of PCT for
delayed complications was calculated by ROC analyses. The cutoff value of PCT was
derived from the Youden Index calculation. RESULTS: Among 751 patients with an
initially uneventful postoperative course, 117 patients developed delayed
complications. Serum PCT levels the first postoperative day were significantly
higher in these 117 patients (8.9 ng/ml) compared to the remaining 634 (0.9
ng/ml; p < 0.001). ROC analyses showed that PCT had a high accuracy to predict
delayed complications (optimal cutoff value of 2.95 ng/ml, AUC of 0.90,
sensitivity 73% and specificity 97%). Patients with PCT levels above 2.95 ng/ml
the first postoperative day had a highly increased risk of delayed complications
(adjusted OR, 110.2; 95% CI 51.5-235.5; p < 0.001). CONCLUSIONS: A single
measurement of PCT seems to be a useful tool to identify patients at risk of
delayed complications despite an initially uneventful postoperative course.
PMID- 27878574
TI - The Luminescent Inhomogeneity and the Distribution of Zinc Vacancy-Related
Acceptor-Like Defects in N-Doped ZnO Microrods.
AB - Vertically aligned N-doped ZnO microrods with a hexagonal symmetry were
fabricated via the chemical vapor transport with abundant N2O as both O and N
precursors. We have demonstrated the suppression of the zinc interstitial-related
shallow donor defects and have identified the zinc vacancy-related shallow and
deep acceptor states by temperature variable photoluminescence in O-rich growth
environment. Through spatially resolved cathodoluminescence spectra, we found the
luminescent inhomogeneity in the sample with a core-shell structure. The deep
acceptor-isolated VZn and the shallow acceptor VZn-related complex or clusters
mainly distribute in the shell region.
PMID- 27878575
TI - Gate-Controlled WSe2 Transistors Using a Buried Triple-Gate Structure.
AB - In the present paper, we show tungsten diselenide (WSe2) devices that can be
tuned to operate as n-type and p-type field-effect transistors (FETs) as well as
band-to-band tunnel transistors on the same flake. Source, channel, and drain
areas of the WSe2 flake are adjusted, using buried triple-gate substrates with
three independently controllable gates. The device characteristics found in the
tunnel transistor configuration are determined by the particular geometry of the
buried triple-gate structure, consistent with a simple estimation of the expected
off-state behavior.
PMID- 27878577
TI - Atom Diffusion and Evaporation of Free-Ended Amorphous SiOx Nanowires:
Nanocurvature Effect and Beam-Induced Athermal Activation Effect.
AB - Arresting effects of nanocurvature and electron beam-induced athermal activation
on the structure changes at nanoscale of free-ended amorphous SiOx nanowire were
demonstrated. It was observed that under in situ uniform electron beam
irradiation in transmission electron microscope, the near surface atoms at the
most curved free end of the nanowire preferentially vaporized or diffused to the
less curved wire sidewall. The processing resulted in an intriguing axial
shrinkage and an abnormal radial expansion of the wire. It was also observed that
with the beam energy deposition rate being lowered, although both the diffusion
and the evaporation slowed down, the processing transferred from an evaporation
dominated status to a diffusion-dominated status. These results are crucial not
only to the fundamental understanding but also to the technical controlling of
the electron beam-induced structure change at nanoscale or nanoprocessing of low
dimensional nanostructures.
PMID- 27878576
TI - Improving the Photoelectric Characteristics of MoS2 Thin Films by Doping Rare
Earth Element Erbium.
AB - We investigated the surface morphologies, crystal structures, and optical
characteristics of rare earth element erbium (Er)-doped MoS2 (Er: MoS2) thin
films fabricated on Si substrates via chemical vapor deposition (CVD). The
surface mopography, crystalline structure, light absorption property, and the
photoelectronic characteristics of the Er: MoS2 films were studied. The results
indicate that doping makes the crystallinity of MoS2 films better than that of
the undoped film. Meanwhile, the electron mobility and conductivity of the Er
doped MoS2 films increase about one order of magnitude, and the current-voltage
(I-V) and the photoelectric response characteristics of the Er:MoS2/Si
heterojunction increase significantly. Moreover, Er-doped MoS2 films exhibit
strong light absorption and photoluminescence in the visible light range at room
temperature; the intensity is enhanced by about twice that of the undoped film.
The results indicate that the doping of MoS2 with Er can significantly improve
the photoelectric characteristics and can be used to fabricate highly efficient
luminescence and optoelectronic devices.
PMID- 27878578
TI - The double guidewire approach for transcoronary pacing in a porcine model.
AB - BACKGROUND: Transcoronary pacing is used for treatment of unheralded bradycardias
in the setting of percutaneous coronary interventions (PCI). OBJECTIVES: In the
present study we introduced a new concept - the double guidewire approach - for
transcoronary pacing in a porcine model. METHODS: Transcoronary pacing was
applied in 16 adult pigs under general anaesthesia in an animal catheterization
laboratory. A special guidewire with electrical insulation by PTFE coating except
for the distal part of the guidewire was positioned in the periphery of a
coronary artery serving as the cathode. As the indifferent anode, an additional
standard floppy tip guidewire was advanced into the proximal part of the same
coronary vessel. The efficacy of double guidewire transcoronary pacing was
assessed by measurement of threshold and impedance data and the magnitude of the
epicardial electrogram compared with unipolar transcoronary pacing using a
standard cutaneous patch electrode as indifferent anode. RESULTS: Transcoronary
pacing was effective in all cases. Pacing thresholds obtained with the double
guidewire technique (1.5 +/- 0.9 V) were similar to those obtained by standard
unipolar transcoronary pacing with a cutaneous patch electrode (1.2 +/- 0.7 V)
and unipolar transvenous pacing against the same cutaneous patch electrode (1.5
+/- 1.0 V). Bipolar transvenous pacing yielded the lowest pacing threshold at 0.8
+/- 0.4 V. CONCLUSIONS: Transcoronary pacing in the animal model with the novel
"double guidewire approach" is a simple and effective pacing technique with
comparable pacing thresholds obtained by standard unipolar transcoronary and
transvenous pacing.
PMID- 27878581
TI - Magnesium aminoclay-based transformation of Paenibacillus riograndensis and
Paenibacillus polymyxa and development of tools for gene expression.
AB - Members of the genus Paenibacillus are widespread facultative anaerobic,
endospore-forming bacteria. Some species such as Paenibacillus riograndensis or
Paenibacillus polymyxa fix nitrogen and may play an important role in agriculture
to reduce mineral nitrogen fertilization in particular for non-legume plants. The
genetic manipulation of Paenibacillus is an imperative for the functional
characterization, e.g., of its plant growth-promoting activities and metabolism.
This study showed that P. riograndensis and P. polymyxa can be readily
transformed using physical permeation by magnesium aminoclays. By means of the
fluorescent reporter genes gfpUV, mcherry, and crimson, a two-plasmid system
consisting of a theta-replicating plasmid and a rolling circle-replicating
plasmid was shown to operate in both species. Xylose-inducible and mannitol
inducible fluorescent reporter gene expression was demonstrated in the compatible
two-plasmid system by fluorescence-activated cell scanning. As a metabolic
engineering application, the biotin requiring P. riograndensis was converted to a
biotin-prototrophic strain based on mannitol-inducible expression of the biotin
biosynthesis operon bioWAFDBI from Bacillus subtilis.
PMID- 27878580
TI - Depressive symptom trajectories in the first 10 years of diabetes diagnosis:
antecedent factors and link with future disability in Taiwan.
AB - PURPOSE: This study identified depressive symptom trajectories in the years after
diabetes diagnosis, examined factors that predict the probability for people
following a specific trajectory, and investigated how the trajectories are
associated with subsequent disability. METHODS: We drew data from a nationally
representative survey in Taiwan to identify adults aged 50 and older diagnosed
with diabetes; 487 patients newly diagnosed with diabetes during 1996-2007 were
included. Time axis was set to zero when diabetes was first reported in any given
wave in the survey, and data related to depressive symptoms after that were
recorded. We used group-based semi-parametric mixture models to identify
trajectories of depressive symptoms and multinomial logistic regressions to
examine factors associated with the trajectories. RESULTS: Older adults with
newly diagnosis of diabetes in Taiwan follow different trajectories of depressive
symptoms over time. Being female, lower educated, not married/partnered, with
lower self-rated health, hospitalizations, more limitations in physical function,
less regular exercise before diagnosis, and not regularly using anti-diabetic
medication at the beginning of their diagnosis were factors associated with
increasing or high stable depressive symptom trajectories. Those who experienced
high depressive symptoms were more likely than individuals with stable depressive
symptoms to have physical limitations in the last follow-up interview.
CONCLUSIONS: Depressive symptom trajectories after diabetes diagnosis were
associated with select sociodemographic, health, and lifestyle factors before
diagnosis, and also predicted subsequent disability. Risk groups identified in
the present study may be used for personalized diabetes care that prevents
diabetes-related distress and future disability.
PMID- 27878579
TI - G protein coupled receptors signaling pathways implicate in inflammatory and
immune response of rheumatoid arthritis.
AB - INTRODUCTION: G protein-coupled receptors (GPCRs) are transmembrane receptor
proteins, which allow the transfer of signals across the membrane. Rheumatoid
arthritis (RA) is an autoimmune disease characterized by synovitis and
accompanied with inflammatory and abnormal immune response. GPCRs signaling
pathways play a significant role in inflammatory and immune response processes
including RA. FINDINGS: In this review, we have focused on the advances in GPCRs
signaling pathway implicating the inflammatory and immune response of RA. The
signaling pathways of GPCRs-adenylyl cyclase (AC)-cyclic adenosine 3', 5'
monophosphate (cAMP) include beta2 adrenergic receptors (beta2-ARs)-AC-cAMP
signaling pathways, E-prostanoid2/4 (EP2/4)-AC-cAMP signaling pathways and so on.
Regulatory proteins, such as G protein-coupled receptor kinases (GRKs) and beta
arrestins, play important modulatory roles in GPCRs signaling pathway. GPCRs
signaling pathway and regulatory proteins implicate the pathogenesis process of
inflammatory and immune response. CONCLUSION: GPCRs-AC-cAMP signal pathways
involve in the inflammatory and immune response of RA. Different signaling
pathways are mediated by different receptors, such as beta2-AR, PGE2 receptor,
chemokines receptor, and adenosine receptor. GRKs and beta-arrestins are crucial
proteins in the regulation of GPCRs signaling pathways. The potential therapeutic
targets as well as strategies to modulate GPCRs signaling pathway are new
development trends.
PMID- 27878582
TI - Chilli leaf curl virus-based vector for phloem-specific silencing of endogenous
genes and overexpression of foreign genes.
AB - Geminiviruses are the largest and most devastating group of plant viruses which
contain ssDNA as a genetic material. Geminivirus-derived virus-induced gene
silencing (VIGS) vectors have emerged as an efficient and simple tool to study
functional genomics in various plants. However, previously developed VIGS vectors
have certain limitations, owing to their inability to be used in tissue-specific
functional study. In the present study, we developed a Chilli leaf curl virus
(ChiLCV)-based VIGS vector for its tissue-specific utilization by replacing the
coat protein gene (open reading frame (ORF) AV1) with the gene of interest for
phytoene desaturase (PDS) of Nicotiana benthamiana. Functional validation of
ChiLCV-based VIGS in N. benthamiana resulted in systemic silencing of PDS
exclusively in the phloem region of inoculated plants. Furthermore, expression of
enhanced green fluorescence protein (EGFP) using the same ChiLCV vector was
verified in the phloem region of the inoculated plants. Our results also
suggested that, during the early phase of infection, ChiLCV was associated with
the phloem region, but at later stage of pathogenesis, it can spread into the
adjoining non-vascular tissues. Taken together, the newly developed ChiLCV-based
vector provides an efficient and versatile tool, which can be exploited to unveil
the unknown functions of several phloem-specific genes.
PMID- 27878583
TI - Imaging button battery ingestions and insertions in children: a 15-year single
center review.
AB - BACKGROUND: Recent studies have shown an increase in morbidity associated with
button battery ingestions in children. OBJECTIVE: To perform a comprehensive,
imaging-focused review of all patients with confirmed button battery
ingestions/insertions imaged at our institution in the last 15 years. MATERIALS
AND METHODS: Radiology reports from Jan. 1, 2000, to July 12, 2015, were searched
for the terms "battery" and "batteries." Confirmed cases of battery
ingestion/insertion for which images were available were reviewed. Cases were
reviewed for imaging studies performed, imaging findings, patient demographics,
clinical history and management. Two pediatric gastroenterologists reviewed
endoscopic images and graded mucosal injuries in selected cases. RESULTS: Two
hundred seventy-six cases were reviewed. All patients were imaged with
radiography, 19 with fluoroscopy (6.8%), and 4 with CT (1.4%). Batteries retained
in the esophagus (n = 27, 9.8%) were larger in diameter on average than those
that had passed distally (22.1 +/- 3.3 mm vs. 13.7 +/- 1.6 mm, P<0.0001). Battery
diameter >=20 mm was associated with esophageal impaction (P<0.0001) and higher
grade esophageal injury (P<0.0001). Mean battery diameter was greater for
patients with grade 1 or higher mucosal injury than for patients with no mucosal
injury (22.1 +/- 2.1 mm vs. 14.7 +/- 4.5 mm, P<0.0001). Sixteen percent (4/25) of
patients with grade >=1 esophageal injury had batteries in the stomach on initial
imaging. Five patients (1.8%) had serious clinical complications (e.g.,
esophageal perforation, tracheoesophageal fistula). CONCLUSION: Button batteries
>20mm in diameter warrant increased clinical scrutiny due to higher likelihood
and severity of injury. Implementation of recent pediatric gastroenterology
societal guidelines will likely lead to a substantial increase in the number of
CT and MRI examinations.
PMID- 27878584
TI - Altered intrinsic brain activity after chemotherapy in patients with gastric
cancer: A preliminary study.
AB - OBJECTIVES: To characterize the pattern of altered intrinsic brain activity in
gastric cancer patients after chemotherapy (CTx). METHODS: Patients before and
after CTx (n = 14) and control subjects (n = 11) underwent resting-state
functional MRI (rsfMRI) at baseline and 3 months after CTx. Regional homogeneity
(ReHo), amplitude of low-frequency fluctuation (ALFF), and fractional ALFF
(fALFF) were calculated and compared between the groups using the two-sample t
test. Correlation analysis was also performed between rsfMRI values (i.e., ReHo,
ALFF, and fALFF) and neuropsychological test results. RESULTS: Patients showed
poor performance in verbal memory and executive function and decreased rsfMRI
values in the frontal areas even before CTx and showed decreased
attention/working memory and executive function after CTx compared to the control
subjects. In direct comparison of values before and after CTx, there were no
significant differences in neuropsychological test scores, but decreased rsfMRI
values were observed at the frontal lobes and right cerebellar region. Among
rsfMRI values, lower ALFF in the left inferior frontal gyrus was significantly
associated with poor performance of the executive function test. CONCLUSIONS: We
observed decreased attention/working memory and executive function that
corresponded to the decline of frontal region activation in gastric cancer
patients who underwent CTx. KEY POINTS: * Intrinsic brain activity of gastric
cancer patients after chemotherapy was described. * Brain activity and
neuropsychological test results were correlated. * Working memory and executive
function decreased after chemotherapy. * Decreased cognitive function
corresponded to decreased activation of the frontal region.
PMID- 27878587
TI - Reply to the letter 'Linguistic validation of the "FACE-Q Rhinoplasty Module" in
Italian'.
PMID- 27878586
TI - [Parainfectious optic neuritis with macular infiltrate in Neisseria meningitidis
B meningitis].
AB - OBJECTIVE: We report on the case of a young immunocompetent female patient with
parainfectious optic neuritis and macular inflitrate due to Neisseria
meningitidis B meningitis. METHOD: Case report RESULTS: A 22-year-old female
patient was admitted to the emergency department for intensive care treatment
with a strong suspicion of meningitis. Clinical and serological parameters were
indicative of a bacterial genesis of the meningitis. By analysis of the
cerebrospinal fluid (CSF) Neisseria meningitidis type B could be detected.
Subjective and objective symptoms could be improved by immediate intravenous
administration of antibiotics; however, 1 day before discharge the patient
complained of a sudden left-sided, painful loss of vision with extreme
photophobia. The ophthalmoscopic examination revealed profound ciliary injection
with slight anterior uveitis and papilledema with macular infiltration and
diffuse petechiae-like retinal hemorrhage. After exclusion of viral proliferation
in the CSF systemic steroid therapy was carried out together with continuation of
antibiotic therapy and the eye was treated with local steroids and mydriatics.
This resulted in healing of the ocular inflammation and partial recovery of
vision. CONCLUSION: The painful loss of vision in this patient is probably due to
parainfectious optic neuritis with macular infiltrate from Neisseria meningitidis
B meningitis, which is an unusual course. Despite the rarity of this disease the
complication of a parainfectious inflammation of the optic nerve should be
considered and appropriate steps taken when the corresponding symptoms occur.
PMID- 27878562
TI - Full-dose Perindopril/Indapamide in the Treatment of Difficult-to-Control
Hypertension: The FORTISSIMO Study.
AB - BACKGROUND AND OBJECTIVE: Blood pressure (BP) control in hypertensive patients
remains poor worldwide, particularly in high-risk patients with hypertension and
diabetes. Guidelines recommend that such patients receive prompt pharmacological
therapy at maximal doses to rapidly control BP. We aimed to evaluate efficacy and
safety of single-pill combination (SPC) perindopril/indapamide (PER/IND) at full
dose (10/2.5 mg) in hypertensive patients, including diabetics, with BP
uncontrolled by previous medication. METHODS: Twelve-week prospective,
observational study in patients with uncontrolled hypertension (>=160-200 mmHg
systolic BP [SBP] and <110 mmHg diastolic BP [DBP]) on a previous SPC or free
dose combination of renin-angiotensin system blocker plus thiazide diuretic,
substituted with PER/IND 10/2.5 mg. Office BP, quality of life, and blood
parameters were evaluated in the whole cohort and patients with type 2 diabetes
mellitus. RESULTS: 2120 ambulatory hypertensive patients were enrolled, including
307 with type 2 diabetes. Two weeks after substitution, SBP significantly
decreased from 171.0 +/- 13.3 to 148.6 +/- 13.4 mmHg, and DBP from 98.6 +/- 8.3
to 88.8 +/- 7.9 mmHg (both p < 0.00001). A similar rapid decrease was noted in
the diabetes subgroup. After 12 weeks, BP had reduced by 42/19 mmHg in the whole
cohort (diabetes subgroup: 41/18 mmHg). Most (84%; diabetes subgroup: 77%)
patients reached BP target (<140/90 mmHg). Laboratory tests and quality of life
improved in the whole cohort and the diabetic subgroup. CONCLUSIONS: Switching to
PER/IND at full dose (10/2.5 mg) was well tolerated, leading to fast BP reduction
and control in the majority of patients with uncontrolled hypertension, including
difficult-to-treat patients with diabetes.
PMID- 27878585
TI - Motion and emotion: anxiety-axial connections in Parkinson's disease.
AB - Anxiety is a serious and frequent complication in Parkinson's disease (PD) that
significantly affects the quality of life of patients. Multiple neuroanatomical,
experimental, and clinical studies suggest its close association with axial
disturbances. However, whether this relation applies for PD patients (commonly
suffering from axial difficulties, such as balance and gait disturbance) has not
been properly tested yet. The purpose of this study was to determine whether PD
patients suffering from axial symptoms have higher levels of anxiety than others
and to identify other factors associated with anxiety-axial connections. In this
questionnaire study, 212 patients with PD were assessed by standardized scales,
such as Hamilton Anxiety Scale, Montgomery-Asberg Depression Rating Scale,
Montreal Cognitive Assessment, examining their mood and cognitive status. These
data were correlated to dominant motor symptoms of these patients, such as
tremor, rigidity, bradykinesia, and axial symptoms. Unlike other motor symptoms,
only axial symptoms showed to be significantly related to higher levels of
anxiety. The patients suffering from anxiety and axial problems have also shown
significantly higher depression levels. Axial disturbances are related to higher
anxiety levels in PD patients. It is crucial to pay high attention to symptoms of
anxiety in patients having postural instability or gait disorder. Further
clinical studies are desirable to investigate new, practical implications of
anxiety-axial connection to provide complex management options of these serious
symptoms.
PMID- 27878588
TI - The impact of erdosteine on cisplatin-induced ototoxicity: a proteomics approach.
AB - Cisplatin is a commonly used chemotherapeutic agent and causes serious side
effects, including progressive and irreversible hearing loss. No treatment is
currently available for cisplatin-induced ototoxicity. We have previously
demonstrated that erdosteine, a potent antioxidant, partially protected the
cochlea against cisplatin toxicity in vivo. The aims of this study were to (1)
evaluate the protein profiles of the cochlea following cisplatin administration
and (2) evaluate the impact of erdosteine on the protein profile using a
proteomics-based approach. Thirty Sprague-Dawley rats were injected
intraperitoneally with saline (n = 10), cisplatin (n = 10) or with cisplatin and
erdosteine (n = 10). The cisplatin dosage was 14 mg/kg and for erdosteine, 500
mg/kg. Following euthanasia, protein lysates were obtained from fresh-frozen
cochleae and were processed for mass spectrometry and western blotting. We
detected 445 proteins that exhibited a twofold change or greater in the cisplatin
group as compared to the control group. Of these, 18 proteins showed a fourfold
or greater change in expression associated with cisplatin administration,
including ras-related protein Rab-2A, Rab-6A, cd81, ribosomal protein S5, and
myelin basic protein, which were downregulated, while Ba1-647 and fibrinogen
(alpha chain), amongst others, were upregulated. Co-administration of erdosteine
revealed a reversal of these changes in the expression of ras-related protein Rab
2A, ribosomal protein S5, myelin basic protein, and fibrinogen (alpha chain);
erdosteine also upregulated glutathione reductase. In this study, we identified
various proteins that may play a role in cisplatin-induced ototoxicity. We also
observed the changes resulting from co-treatment with an antioxidant.
PMID- 27878589
TI - Chronological changes in microbial profiles in external and middle ear diseases:
a 20-year study in Korea.
AB - Microbial infection is one of the most significant causes of ear diseases, but
microbial profiles are very diverse according to the diseases and change over
time. The purpose of the study was to clarify differences and chronological
changes in causative pathogens among infectious ear diseases over the last 20
years, and to identify antibiotic resistance. In total, 1191 isolates were
included from patients diagnosed with chronic otitis media without cholesteatoma
(COM), cholesteatomatous otitis media (Chole), middle ear effusion (MEE),
including acute otitis media and otitis media with effusion, and external otitis
(EO). Data were collected periodically for the years 1995, 2000, 2004, 2009, and
2013. Culture results and antibiotic resistance were assessed. The most common
microorganism identified was S. aureus. The microbial profiles differed
significant among the COM, Chole, and MEE groups (p < 0.001). In contrast, there
was no distinct difference between COM and EO (p = 0.332). COM, Chole, and MEE
also showed significant chronological changes in microbial profiles over time.
The frequency of CNS increased markedly in COM and Chole (p = 0.029 and 0.028,
respectively); however, S. pneumoniae infection decreased significantly in MEE (p
= 0.016). Methicillin-resistant S. aureus (MRSA) demonstrated a constant trend (p
= 0.564), whereas ciprofloxacin-resistant P. aeruginosa increased over time (p <
0.001). Microbial profiles have changed over a 20-year period. Increases in the
frequency of coagulase-negative Staphylococcus (CNS) and bacterial resistance to
ciprofloxacin, used widely in treating ear infections, are noteworthy.
PMID- 27878590
TI - Use of saline waste water from demineralization of cheese whey for cultivation of
Schizochytrium limacinum PA-968 and Japonochytrium marinum AN-4.
AB - Saline waste water from demineralization of cheese whey was used as the main
component of waste saline medium (WSM) for cultivation of thraustochytrids. The
suitability of WSM for cultivation of Schizochytrium limacinum PA-968 and
Japonochytrium marinum AN-4 was evaluated by comparison with cultivation on
nutrient medium (NM) in shake flask and fermenter cultures. Biomass
productivities achieved in WSM for the thraustochytrids were comparable with
those in NM for both shake flask and fermenter cultures. The maximum total lipid
content (56.71% dry cell weight) and docosahexaenoic acid productivity (0.86
g/L/day) were achieved by J. marinum AN-4 grown on WSM in shake flask and
fermenter cultures, respectively. A cost estimate of WSM suggests that this
medium could result in lower production costs for thraustochytrid biomass and
lipids and contribute to the effective reduction in saline diary process waste
water.
PMID- 27878591
TI - Sugaring-out extraction of acetoin from fermentation broth by coupling with
fermentation.
AB - Acetoin is a natural flavor and an important bio-based chemical which could be
separated from fermentation broth by solvent extraction, salting-out extraction
or recovered in the form of derivatives. In this work, a novel method named as
sugaring-out extraction coupled with fermentation was tried in the acetoin
production by Bacillus subtilis DL01. The effects of six solvents on bacterial
growth and the distribution of acetoin and glucose in different solvent-glucose
systems were explored. The operation parameters such as standing time, glucose
concentration, and volume ratio of ethyl acetate to fermentation broth were
determined. In a system composed of fermentation broth, glucose (100%, m/v) and
two-fold volume of ethyl acetate, nearly 100% glucose was distributed into bottom
phase, and 61.2% acetoin into top phase without coloring matters and organic
acids. The top phase was treated by vacuum distillation to remove solvent and
purify acetoin, while the bottom phase was used as carbon source to produce
acetoin in the next batch of fermentation.
PMID- 27878592
TI - High-frequency aflibercept injections in persistent neovascular age-related
macular degeneration.
AB - PURPOSE: To report the 1-year outcomes of every-4-weeks (Q4W) as-needed
aflibercept treatment in resistant neovascular age-related macular degeneration
(nAMD) patients who had been treated and failed prior bevacizumab or ranibizumab
injections, and who also responded poorly to every-8-weeks (Q8W) aflibercept
treatment. METHODS: Forty-three eyes of 39 patients with persistent nAMD despite
monthly bevacizumab and/or ranibizumab injections and who were switched to Q8W 2
mg aflibercept injections, but showed persistence of fluid were included.
Patients were treated with as-needed Q4W aflibercept injections with monthly
monitoring. Maximum retinal thickness (MRT), central macular thickness (CMT),
maximum pigment epithelial detachment height (PED) and best-corrected visual
acuity (BCVA) were assessed and compared to baseline when high-frequency
aflibercept was initiated. RESULTS: A mean of 8 (interquartile range, 4-11) Q4W
injections were given during the follow-up. MRT and CMT significantly decreased
at all follow-up visits (p < 0.05); however, there was no significant change in
maximum PED height (p > 0.05) at any visit. Mean BCVA was 0.38 +/- 0.28 (logMAR)
(~20/63, Snellen) at baseline, and 0.4 +/- 0.34 (logMAR) (~20/76, Snellen) at 1
year (p = 0.76). Seventy-two percent of eyes maintained a final BCVA of 20/63 or
better. Twelve eyes (28 %) had some subretinal scar tissue formation and 5 eyes
(11.6 %) had evidence of atrophy at 1 year. CONCLUSION: A stepwise algorithm with
Q4W as-needed aflibercept treatment led to anatomic improvement in previously
treated eyes which failed other therapies, including aflibercept every 8 weeks.
Lack of visual improvement may be due to a ceiling effect as our eyes generally
had good visual acuity.
PMID- 27878593
TI - Re-evaluation of liver transaminase cutoff for CT after pediatric blunt abdominal
trauma.
AB - PURPOSE: Current guidelines for computed tomography (CT) after blunt trauma were
developed to capture all intra-abdominal injuries (IAI). We hypothesize that
current AST/ALT guidelines are too low leading to unnecessary CT scans for
children after blunt abdominal trauma (BAT). METHODS: Patients who received CT of
the abdomen after blunt trauma at our Level I Pediatric Trauma Center were
stratified into a high risk (HR) (liver/spleen/kidney grade >=III, hollow
viscous, or pancreatic injuries) and low risk (LR) (liver/kidney/spleen injuries
grade <=II, or no IAI) groups. RESULTS: 247 patients were included. Of the 18
patients in the HR group, two required surgery (splenectomy and sigmoidectomy).
Transfusion was required in 30% of grade III and 50% of grade IV injuries. Eleven
(5%) patients in LR group were transfused for indications other than IAI, and
none were explored surgically. Both AST (r = 0.44, p < 0.001) and ALT (r = 0.43,
p < 0.001) correlated with grade of liver injury. Using an increased threshold of
AST/ALT, 400/200 had a negative predictive value of 96% in predicting the
presence of HR liver injuries. CONCLUSION: The current cutoff of liver enzymes
leads to over-identification of LR injuries. Consideration should be given to an
approach that aims to utilize CT in pediatric BAT that identifies clinically HR
injury.
PMID- 27878594
TI - Healthy brain ageing assessed with 18F-FDG PET and age-dependent recovery factors
after partial volume effect correction.
PMID- 27878595
TI - Cell-type-specific expression of NFIX in the developing and adult cerebellum.
AB - Transcription factors from the nuclear factor one (NFI) family have been shown to
play a central role in regulating neural progenitor cell differentiation within
the embryonic and post-natal brain. NFIA and NFIB, for instance, promote the
differentiation and functional maturation of granule neurons within the
cerebellum. Mice lacking Nfix exhibit delays in the development of neuronal and
glial lineages within the cerebellum, but the cell-type-specific expression of
this transcription factor remains undefined. Here, we examined the expression of
NFIX, together with various cell-type-specific markers, within the developing and
adult cerebellum using both chromogenic immunohistochemistry and co
immunofluorescence labelling and confocal microscopy. In embryos, NFIX was
expressed by progenitor cells within the rhombic lip and ventricular zone. After
birth, progenitor cells within the external granule layer, as well as migrating
and mature granule neurons, expressed NFIX. Within the adult cerebellum, NFIX
displayed a broad expression profile, and was evident within granule cells,
Bergmann glia, and interneurons, but not within Purkinje neurons. Furthermore,
transcriptomic profiling of cerebellar granule neuron progenitor cells showed
that multiple splice variants of Nfix are expressed within this germinal zone of
the post-natal brain. Collectively, these data suggest that NFIX plays a role in
regulating progenitor cell biology within the embryonic and post-natal
cerebellum, as well as an ongoing role within multiple neuronal and glial
populations within the adult cerebellum.
PMID- 27878596
TI - Brief report on academic contributions from China on the topic of rheumatic
diseases.
AB - Academic contributions by Chinese scholars have grown to take second place
worldwide since 2009. However, little was known about Chinese academic
contributions and their influence on rheumatic diseases. In order to present an
overview of this field, a comprehensive bibliometrics analysis was performed
based on data from two databases up to 2015. Since 2013, China has occupied third
place regarding the number of rheumatic diseases' publications. Nevertheless,
most of these articles were not associated with high impact factors or frequent
citations, let alone great influence. Multidisciplinary teams are being formed.
Although on the right track, more efforts in all aspects are needed to improve
developments in the area of rheumatology in China.
PMID- 27878597
TI - The sweet cherry (Prunus avium) FLOWERING LOCUS T gene is expressed during floral
bud determination and can promote flowering in a winter-annual Arabidopsis
accession.
AB - KEY MESSAGE: FT gene is expressed in leaves and buds and is involved in floral
meristem determination and bud development in sweet cherry. In woody fruit
perennial trees, floral determination, dormancy and bloom, depends on perception
of different environmental and endogenous cues which converge to a systemic
signaling gene known as FLOWERING LOCUS T (FT). In long-day flowering plants, FT
is expressed in the leaves on long days. The protein travels through the phloem
to the shoot apical meristem, where it induces flower determination. In perennial
plants, meristem determination and flowering are separated by a dormancy period.
Meristem determination takes place in summer, but flowering occurs only after a
dormancy period and cold accumulation during winter. The roles of FT are not
completely clear in meristem determination, dormancy release, and flowering in
perennial plants. We cloned FT from sweet cherry (Prunus avium) and analyzed its
expression pattern in leaves and floral buds during spring and summer.
Phylogenetic analysis shows high identity of the FT cloned sequence with
orthologous genes from other Rosaceae species. Our results show that FT is
expressed in both leaves and floral buds and increases when the daylight reached
12 h. The peak in FT expression was coincident with floral meristem identity
genes expression and morphological changes typical of floral meristem
determination. The Edi-0 Arabidopsis ecotype, which requires vernalization to
flower, was transformed with a construct for overexpression of PavFT. These
transgenic plants showed an early-flowering phenotype without cold treatment. Our
results suggest that FT is involved in floral meristem determination and bud
development in sweet cherry. Moreover, we show that FT is expressed in both
leaves and floral buds in this species, in contrast to annual plants.
PMID- 27878599
TI - [Acoustic reflexes of children with and without central auditory processing
disorders].
AB - BACKGROUND: According to international standards, determination of acoustic
reflex thresholds (ART) is one of the established objective measurements in the
diagnostic workup of central auditory processing disorders (CAPD). However, there
is still no evidence for the significance of ART in CAPD diagnosis. PATIENTS AND
METHODS: This study tested 57 children with proven CAPD and 50 healthy children
(control group) with regard to group differences in mean ART (sine tones or
bandpass-filtered noise). Additionally, it was investigated whether there were
group differences between the mean dissociations of ART for sine tones or
bandpass filtered noise. RESULTS: Neither ipsi- nor contralaterally were
significant clinically relevant group differences (p < 0.050) between the mean
ART of children with and without CAPD found. After Bonferroni correction, a
significant group difference in the percentage of non-triggered reflexes was only
observed with left-sided contralateral 2 kHz stimuli. Concerning the number of
dissociations >=20 dB, no significant group differences (p < 0.050) were detected
either ipsi- or contralaterally (Fisher's test). CONCLUSION: The results of the
study seem to indicate no clinically relevant ability of ART measurements to
distinguish between children with and without CAPD. This renders the benefit of
ART measurements for CAPD diagnosis questionable.
PMID- 27878598
TI - Implementing the Free Water Protocol does not Result in Aspiration Pneumonia in
Carefully Selected Patients with Dysphagia: A Systematic Review.
AB - The Frazier Free Water Protocol was developed with the aim of providing patients
with dysphagia an option to consume thin (i.e. unthickened) water in-between
mealtimes. A systematic review was conducted of research published in peer
reviewed journals. An electronic search of the EMBASE, CINAHL and MEDLINE
databases was completed up to July 2016. A total of 8 studies were identified for
inclusion: 5 randomised controlled trials, 2 cohort studies with matched cases
and 1 single group pre-post intervention prospective study. A total of 215
rehabilitation inpatients and 30 acute patients with oropharyngeal dysphagia who
required thickened fluids or were to remain 'nil by mouth', as determined by
bedside swallow assessment and/or videofluoroscopy/fiberoptic endoscopic
evaluation of swallowing, were included. Meta-analyses of the data from the
rehabilitation studies revealed (1) low-quality evidence that implementing the
protocol did not result in increased odds of having lung complications and (2)
low-quality evidence that fluid intake may increase. Patients' perceptions of
swallow-related quality of life appeared to improve. This review has found that
when the protocol is closely adhered to and patients are carefully selected using
strict exclusion criteria, including an evaluation of their cognition and
mobility, adult rehabilitation inpatients with dysphagia to thin fluids can be
offered the choice of implementing the Free Water Protocol. Further research is
required to determine if the Free Water Protocol can be implemented in settings
other than inpatient rehabilitation.
PMID- 27878600
TI - Narrow band imaging for early diagnosis of epithelial dysplasia and microinvasive
tumors in the upper aerodigestive tract.
AB - The various stages of tumor growth are characterized by typical epithelial,
vascular, and secondary connective tissue changes. Narrow Band Imaging (NBI)
endoscopy is a minimally invasive imaging technique that presents vascular
structures in particular at a higher contrast than white light endoscopy alone.
In combination with high-resolution image recording and reproduction (high
definition television, HDTV; ultra-high definition, 4K), progress has been made
in otolaryngological differential diagnostics, both pre- and intraoperatively.
This progress represents an important step toward a so-called optical biopsy.
Flexible endoscopy in combination with NBI allows for a detailed assessment of
areas of the upper aerodigestive tract that are difficult to assess by rigid
endoscopy. Papillomas along with precancerous and cancerous lesions are
characterized by epithelial and connective tissue changes as well as by typical
perpendicular vascular changes. Systematic use of NBI is recommended in the
differential diagnosis of malignant lesions of the upper aerodigestive tract. NBI
also offers a significant improvement in the pre- and intraoperative assessment
of superficial resection margins. In particular, the combination of NBI and
contact endoscopy (compact endoscopy) facilitates excellent therapeutic decisions
during tumor surgery. Intraoperative determination of resection margins at an
unprecedented precision is possible. In addition, assessment of the form and
extent of the perpendicular vessel loops stimulated by epithelial signaling
enables differential diagnostic decisions to be made, approximating our goal of
an optical biopsy.
PMID- 27878602
TI - ["He is not that important anymore" : General practitioners in a specialized
palliative home care setting from a patient's point of view].
AB - BACKGROUND: The outpatient medical care of palliative patients (PPs) has always
fallen within the duties of general practitioners. Since the specialized
palliative out-patient care (SAPV) legislation in 2007 palliative home care teams
(PCTs) were established, providing holistic medical, nursing, and psychosocial
support for patients with life-limiting illness and their care providers.
OBJECTIVES: The article explores the role of GPs in palliative home care settings
with PCTs from the patient's perspective. MATERIALS AND METHODS: From December
2014 to January 2015 we conducted 23 qualitative, semi-structured interviews with
severely ill patients receiving specialist palliative care at home in Germany.
The theory-based analysis followed the qualitative content analysis according to
Mayring. RESULTS: The expectations of PPs towards their GP in integrated
palliative care were influenced by individual criteria such as availability,
intensity and duration of the doctor-patient-relationship. In relation to the
quality of the long-term relationship and more specifically for basic medical
care GPs still play an important role. On the other hand, PCTs are becoming
increasingly important. In addition to the pain-management and symptom control
responsibilities, they meet the daily care needs of PPs and their caregivers by
coordinating the care network as well as providing psychosocial support and 24/7
care. CONCLUSIONS: Shifting of traditional tasks from GPs to PCTs influences the
role of the GP. PCTs can unburden the GP from unrealistic expectations and
improve the patients' quality of life. However, this leads to a tendency for
reduced importance of the GP.
PMID- 27878604
TI - [Paediatric palliative care (PPC) - a particular challenge : Tasks, aims and
specifics].
AB - In Germany, there are about 50,000 children, adolescents and young adults with
life threatening or life limiting conditions, who will likely die due to their
illness before reaching the age of 40. In recent years prevalence has increased
significantly.The aim of paediatric palliative care (PPC) is to optimize quality
of life for the child, adolescent or young adult and its whole family. Whenever
possible the patient should be treated at home.The very complex and rare diseases
as well as the patients' wide range of age and developmental stage are
particularly challenging within PPC. Many PPC patients have cognitive
disabilities and are not able to communicate verbally. In contrast to adult
palliative care, PPC is often delivered for many years.To date, families with a
child suffering from a life limiting condition have access to several care
systems; however most of them are still financed by donations. One of PPC's most
important tasks is the implementation of individual case management to find the
right mix of care provision, its flexible adaption on changing needs and the
appropriate intensity of care.Specific education and training courses in the
field of PPC are still rare. There is a single chair for childrens' pain therapy
and paediatric palliative care at Witten/Herdecke University in Germany. In
addition, quality-checked multiprofessional PPC courses for the additional
"palliative health care professional" designation based on the Dattelner
Curriculum are offered at several institutions.
PMID- 27878603
TI - [The epidemiology of varicella disease in Germany after introduction of a
vaccination recommendation : Analysis of mandatory and sentinel data between 2002
and 2014].
AB - BACKGROUND: Since 2004 a single varicella vaccination for all infants aged 11-14
months has been recommended in Germany and since 2009 a second dose at the age of
15-23 months is recommended. Vaccination coverage after 24 months rose from 43%
in 2006 to 87.5% in 2012. A mandatory notification system was introduced in the
New Federal States (NFS) between 2002 and 2009 and nationwide in 2013. A national
sentinel system has been in place since 2005. OBJECTIVE AND METHOD: We analyzed
both data sources to describe the varicella epidemiology related to vaccination
coverage after initiation of routine childhood varicella vaccination and to
evaluate both notification systems regarding informative value and data quality.
We looked at trends, age distribution and incidences using Microsoft Excel and
Stata12. Vaccination coverage data were available from health insurance claims
data. RESULTS: By 2013 a decrease of cases/medical practice/month from 3.47 to
0.43 was observed. The incidence in the NFS declined from 32 to 12 out of
100,000. Sentinel and mandatory notification data showed the largest decrease
among the 1-4 year-olds (-94 and -90% resp.). In 2014, varicella incidences
increased in all age groups, but not the cases/medical-practice/month by age in
the sentinel. DISCUSSION: Increasing vaccination coverage and decreasing
varicella cases demonstrate the success of routine childhood varicella
vaccination. Mandatory notification data allow incidence calculation; The
sentinel system has been providing more detailed information about vaccination
status, better data quality and continuous national data since 2005, irrespective
of the Infectious disease protection act. Trends and age distribution can be
continuously calculated, whereas the nationwide mandatory data collected in the
short period since April 2013 can only be evaluated to a limited extent.
PMID- 27878605
TI - [Special medical problems in end-of-life care : Crisis at the end of life - Which
therapy is adequate and when is redefining treatment goals appropriate?]
AB - Crisis at the end of life are exceptional challenges for patients, relatives and
therapists. With respect to the individual treatment goals and the patients'
autonomy, therapeutic action should be commenced in an adequate manner in order
to preserve the patients' quality of life as much as possible. Advance care
planning for specific critical scenarios may be helpful in order to treat
patients according to their wishes and values even if they are not capable to
express themselves. Furthermore, a crisis plan can define the scope of action for
therapists in emergency situations. Dyspnea, pain and delirium are symptoms that
may often lead to emergency calls. In such cases, pharmacological and other
treatment options are available. Options for causal therapy should be checked and
performed if adequate. Opioids are used to relieve pain and dyspnea. In a state
of delirium, highly potent neuroleptics and atypical antipsychotics are used. For
the treatment of anxiety or restlessness benzodiazepines can be prescribed.
Sedative medication may reduce stress, for instance in the case of acute
catastrophic bleeding. Palliative sedation is an ultima ratio concept for
refractory symptoms at the end of life. Clinical practice guidelines (such as the
German guideline "Palliative care for patients with incurable cancer") may
provide an overview of the evidence base on symptom-guided therapy at the end of
life.
PMID- 27878601
TI - Reduction of permanent hearing loss by local glucocorticoid application : Guinea
pigs with acute acoustic trauma.
AB - BACKGROUND: High-intensity noise exposure from impulse and blast noise events
often leads to acute hearing loss and may cause irreversible permanent hearing
loss as a long-term consequence. Here, a treatment regime was developed to limit
permanent damage based on a preclinical animal model of acute noise trauma. AIM:
To develop clinical trials for the treatment of acute noise traumas using
approved drugs. The otoprotective potential of glucocorticoids applied locally to
the inner ear was examined. MATERIALS AND METHODS: A series of experiments with
different impulse noise exposures were performed. Permanent hearing loss and hair
cell density were assessed 14 days after exposure. Hearing and hair cell
preservation were investigated as a function of the glucocorticoid dose. RESULTS:
After impulse noise exposure, local application to the round window of the
cochlea of high-dose prednisolone (25 mg/ml) or methylprednisolone (12.5 mg/ml)
resulted in a statistically significant reduction in hearing loss compared with
the control group. CONCLUSION: The local application of high doses of the drugs
to the round window of the cochlea appears to be an effective treatment for acute
noise trauma.
PMID- 27878606
TI - [Well connected - optimally cared for! : Beneficial factors of collaboration in
hospice and palliative care networks in Bavaria].
AB - BACKGROUND: The Bavarian State Ministry of Health and Care fosters the
establishment of regional hospice and palliative care networks in Bavaria,
Germany. Little is known about well-tried structures and beneficial strategies of
collaboration within such networks. OBJECTIVES: The present study aims to
investigate general conditions under which hospice and palliative care networks
in Bavaria perform successfully and to explore modes of working, forms of
organisation, and channels of communication. METHODS: Data were collected between
March and June 2015 in semi-structured interviews with ten coordinators and
chairpersons of ten Bavarian hospice and palliative care networks. Results of
this status quo analysis concerning aspects of network models and beneficial
factors of networking were discussed in a subsequent workshop. The workshop took
place in November 2015 with eight representatives of five networks. Individual
interviews and the discussion of the diagnostic workshop were audiotaped and
transcribed verbatim. Data were analysed with the aid of the computer-assisted
qualitative data analysis software MAXQDA (Software fur qualitative Datenanalyse
(1989-2015). VERBI Software Consult Sozialforschung GmbH, Berlin, Germany).
Hypotheses were formulated adapted from Grounded Theory. RESULTS: Networking
factors and characteristics are quite congruent. Beneficial and diverse factors
identified by network coordinators and moderators represent three major topics:
network organisation and coordination, communication and information channels,
and public visibility. CONCLUSIONS: Well-tried and established practices of
networking are present in the hospice and palliative care networks included in
the study. The experiences and visions of the networks will foster the
compilation of a best practice recommendation for the establishment of new and
the enhancement of existing networks.
PMID- 27878607
TI - ["Are you going to die?" When children and adolescents experience the death of a
close one].
AB - The illness and death of someone close is a big challenge that affects
individuals and the whole system. To understand how children, adolescents and
young adults experience the death of a significant other, and more specifically a
family member, it is necessary to consider aspects of developmental psychology,
the life-cycle phase of the family and the systemic view on the burden and
reactions from individuals and the whole system. Palliative care and in
particular family-oriented counselling and therapy stabilizes the system. Support
of the adult caregiver, facilitating understanding of each other even if there
are divergent needs as well as the support of sincere communication are important
strategies. Children and adolescents as well as their families are supported to
find their coherent way to deal with the imminent death of a close one and to
integrate the experience into the biography with maximum mental wellbeing.
PMID- 27878610
TI - Celebrating 50 Years of Publication: Year in Review!
PMID- 27878609
TI - Patterns of the utilization of prenatal diagnosis services among pregnant women,
their satisfaction and its associated factors in Viet Nam.
AB - OBJECTIVES: This study aimed at understanding the patterns of the utilization of
prenatal diagnostic (PND) services among pregnant women, their satisfaction and
its associated factors at three regional prenatal diagnostic centres in Viet Nam.
METHODS: A cross-sectional design was used, with a consecutive sampling method to
recruit pregnant women who used PND services at the three biggest regional PND
services centres in Viet Nam between January and June, 2014. A total of 298
participants, about 100 participants per centre were interviewed and included in
data analysis. Descriptive analyses and logistic regression methods were applied
to identify association between satisfaction of women and their socio-economic
characteristics. RESULTS: 80% of pregnant women received counselling on PND
services, whilst 90% received ultrasonography services; 65.4% were satisfied with
the PND services they used. Pregnant women, who were in a lower income group and
received counselling but did not receive ultrasonography, were more likely to
have higher satisfaction levels of PND services. CONCLUSIONS: A process to ensure
that every pregnant woman receives sufficient PND counselling before and after
receiving PND testing must be given careful and thorough consideration.
PMID- 27878608
TI - Claudins in barrier and transport function-the kidney.
AB - Claudins are discovered to be key players in renal epithelial physiology. They
are involved in developmental, physiological, and pathophysiological
differentiation. In the glomerular podocytes, claudin-1 is an important
determinant of cell junction fate. In the proximal tubule, claudin-2 plays
important roles in paracellular salt reabsorption. In the thick ascending limb,
claudin-14, -16, and -19 regulate the paracellular reabsorption of calcium and
magnesium. Recessive mutations in claudin-16 or -19 cause an inherited calcium
and magnesium losing disease. Synonymous variants in claudin-14 have been
associated with hypercalciuric nephrolithiasis by genome-wide association studies
(GWASs). More importantly, claudin-14 gene expression can be regulated by
extracellular calcium levels via the calcium sensing receptor. In the distal
tubules, claudin-4 and -8 form paracellular chloride pathway to facilitate
electrogenic sodium reabsorption. Aldosterone, WNK4, Cap1, and KLHL3 are powerful
regulators of claudin and the paracellular chloride permeability. The lessons
learned on claudins from the kidney will have a broader impact on tight junction
biology in other epithelia and endothelia.
PMID- 27878612
TI - MUW researcher of the month November 2016.
PMID- 27878611
TI - Determination and Evaluation of Metallothionein and Metals in Mugil cephalus
(Mullet) from Pontal Bay, Brazil.
AB - To assess environmental contamination, studies have been increasingly carried out
using biomarkers to diagnose the effects of toxic metal exposure, such as
metallothionein (MT). In this context, the aims of the present study were to
determine Cd, Cu, Ni and Pb concentrations, by ICP-MS, in liver samples from
Mugil cephalus from the municipality of Ilheus, located in North-Southeastern
Brazil, in the state of Bahia, which has been increasingly suffering from
anthropogenic pressure, and to quantify total MT in liver and gills. Among
essential metals, copper (199.2 MUg g-1) presented concentrations approximately 7
times higher than allowed by the World Health Organization (30 MUg g-1). Liver
samples showed higher concentrations than gills. Metallothionein concentrations
indicate differential contamination along the study area. The first sampling
showed higher induction of MT synthesis overall. Differences between liver and
gill MT concentration trends were relatively minor, which may indicate the
adequacy of analyzing gills in an environmental monitoring context.
PMID- 27878613
TI - Intermittent low-dose bevacizumab in hereditary hemorrhagic telangiectasia : A
case report.
AB - BACKGROUND: Hereditary hemorrhagic telangiectasia is an inherited autosomal
dominant disease presenting with recurrent bleeding episodes and iron deficiency
anemia due to vascular malformations. Hereditary hemorrhagic telangiectasia is
associated with an increased risk of stroke, gastrointestinal bleeding and
pulmonary hypertension and life expectancy is significantly reduced. Excess
vascular endothelial growth factor (VEGF) plays a key role in the pathophysiology
of the disease. CASE PRESENTATION: Here we report about a male patient with
hereditary hemorrhagic telangiectasia presenting with pulmonary and central
nervous system involvement experiencing repetitive nosebleeds, necessitating
frequent local cauterization and transfusion of more than 100 units of packed red
blood cells. After initiation of temporary therapy with the anti-VEGF antibody
bevacizumab at a dosage of 1 mg/kg body weight every 2 weeks, the nose bleeding
episodes and the epistaxis severity score significantly decreased and long
lasting transfusion independence was achieved. Reinitiation of low-dose
bevacizumab after relapse again proved effective without any documented therapy
related adverse events. In comparison to other reported anti-VEGF antibody
protocols in hereditary hemorrhagic telangiectasia, our treatment approach proved
to be cost-efficient. CONCLUSION: Intermittent low-dose therapy with bevacizumab
represents an effective and cost-efficient treatment option for transfusion
dependent patients with hereditary hemorrhagic telangiectasia.
PMID- 27878614
TI - Associations of intracranial pressure with brain biopsy, radiological findings,
and shunt surgery outcome in patients with suspected idiopathic normal pressure
hydrocephalus.
AB - BACKGROUND: It remains unclear how intracranial pressure (ICP) measures are
associated with brain biopsies and radiological markers. Here, we aim to
investigate associations between ICP and radiological findings, brain biopsies,
and shunt surgery outcome in patients with suspected idiopathic normal pressure
hydrocephalus (iNPH). METHOD: In this study, we retrospectively analyzed data
from 73 patients admitted with suspected iNPH to Kuopio University Hospital. Of
these patients, 71% underwent shunt surgery. The NPH registry included data on
clinical and radiological examinations, 24-h intraventricular pressure
monitoring, and frontal cortical biopsy. RESULTS: The mean ICP and mean ICP pulse
wave amplitude were not associated with the shunt response. Aggregations of
Alzheimer's disease (AD)-related proteins (amyloid-beta, hyperphosphorylated tau)
in frontal cortical biopsies were associated with a poor shunt response (P =
0.014). High mean ICP was associated with Evans' index (EI; P = 0.025),
disproportional sylvian and suprasylvian subarachnoid spaces (P = 0.014), and
focally dilated sulci (P = 0.047). Interestingly, a high pulse wave amplitude was
associated with AD-related biopsy findings (P = 0.032), but the mean ICP was not
associated with the brain biopsy. The ICP was not associated with medial temporal
lobe atrophy, temporal horn widths, or white matter changes. ICP B waves were
associated with less atrophy of the medial temporal lobe (P = 0.018) and more
severe disproportionality between the sylvian and suprasylvian subarachnoid
spaces (P = 0.001). CONCLUSIONS: The EI and disproportional sylvian and
suprasylvian subarachnoid spaces were associated with mean ICP.
Disproportionality was also associated with ICP B waves. These associations,
although rather weak, with elevated ICP in 24-h measurements, support their value
in iNPH diagnostics and suggest that these radiological markers are potentially
related to the pathogenesis of iNPH. Interestingly, our results suggested that
elevated pulse wave amplitude might be associated with brain amyloid
accumulation.
PMID- 27878615
TI - Results of surgery in symptomatic non-hydrocephalic pineal cysts: role of
magnetic resonance imaging biomarkers indicative of central venous hypertension.
AB - BACKGROUND: We have previously proposed that pineal cysts (PCs) may result in
crowding of the pineal recess, causing symptoms due to compression of the
internal cerebral veins and central venous hypertension. In the present study, we
compared clinical outcome of different treatment modalities in symptomatic
individuals with non-hydrocephalic PCs. METHODS: The study included all patients
managed surgically for non-hydrocephalic PCs in our Department of Neurosurgery
over a 10-year period. We applied a questionnaire to determine occurrence of
symptoms before and after surgery, which allowed the use of a grading scale for
symptom severity. Magnetic resonance imaging (MRI) biomarkers indicative of
central venous hypertension were assessed before and after surgery. RESULTS:
Relief of symptoms after surgery was most efficiently obtained by complete
microsurgical cyst removal [n = 15; no (0/15), some (1/15) or marked (14/15)
improvement], and to a lesser extent by microsurgical cyst fenestration [n = 6;
no (2/6), some (4/6) or marked (0/6) improvement]. Shunt surgery was not
successful [n = 6; no (5/6), some (1/6) or marked (0/6) improvement]. In all
patients, the proposed MRI biomarkers gave evidence of central venous
hypertension (PC grades 2-4). CONCLUSIONS: Microsurgical cyst removal provided
marked symptom relief in symptomatic individuals with non-hydrocephalic PCs and
MRI biomarkers of central venous hypertension. The hypothesis that PC-induced
crowding of the pineal recess may compromise venous run-off and induce a central
venous hypertension syndrome deserves further study.
PMID- 27878616
TI - Effectiveness of vagal nerve stimulation in medication-resistant epilepsy.
Comparison between patients with and without medication changes.
AB - BACKGROUND: Vagal nerve stimulation (VNS) response is not immediate. A
progressive decline in seizure frequency is usually found during a period of 12
18 months after implantation. During this time, the patient's medication is
usually modified, which can create doubts about whether their clinical
improvement is due to medication changes or to VNS itself. Our goal is to compare
two groups of patients treated with VNS, with and without changes in their
medication. METHODS: We prospectively analyze 85 patients who were treated with
VNS in our hospital between 2005 and 2014. In 43 patients, changes in the
antiepileptic drugs (EAD) were not allowed during the postoperative follow-up and
they were compared with 42 patients who were left at the option of neurologist
make changes in medication. We analyzed the clinical situation at 18 months and
compared the two groups. RESULTS: Overall, 54.1% of patients had a reduction in
seizures of 50% or higher (responders). In the group with no changes in
medication, responders reached 63%, while in the group in which changes in
medication were allowed, 45.2% were responders. Between responders and non
responders, there were no statistical differences in type of epilepsy, frequency,
previous surgery, or intensity of stimulation. CONCLUSIONS: We did not find a
statistical difference in seizure frequency reduction between patients with or
without changes in medication during their follow-up, so changes in medication
did not improve the outcome. Furthermore, the absence of changes in AED can help
to optimize the parameters of the stimulator in order to improve its
effectiveness.
PMID- 27878617
TI - Black hairy tongue after chemotherapy for malignant brain tumors.
AB - Black hairy tongue (BHT) developed in five patients (2.6%) among 192 patients
undergoing chemotherapy for malignant brain tumors. Three patients with a history
of diabetes mellitus developed BHT within 10 days after the initiation of
chemotherapy. The other two patients suffered more than 100 days after induction
and lymphopenia of grade 3 or worse developed for more than 20 days, which was
not observed in the three patients with diabetes. We found that BHT could develop
after chemotherapy for malignant brain tumors. Patients with diabetes mellitus
presented early after chemotherapy, while patients with longstanding severe
lymphopenia presented in late phase.
PMID- 27878618
TI - Effective and long-term outcome following ligation of the intersphincteric
fistula tract (LIFT) for transsphincteric fistula.
AB - OBJECTIVE: The purpose of this study was to evaluate the efficacy and long-term
outcome of the ligation of the intersphincteric fistula tract (LIFT) procedure
for transsphincteric fistula-in-ano. METHODS: A total of 43 patients that were
treated with LIFT procedure and had a follow-up time of more than 1 year were
included. RESULTS: The median age was 37.18 years, and 32 (74.4%) of the patients
were male. The median follow-up time was 26.2 months (range 13-63 months). There
were 29 (67.4%) uncomplicated transsphincteric fistulas, 10 (23.3%) horseshoe
transsphincteric fistulas, and 4 (9.3%) multiple fistulas. Eight (18.5%) patients
presented with dehiscence or infection at the intersphincteric wound and were
successfully treated with either laying open (n = 5) or local application of
silver nitrate (n = 3). The success rate, as determined from the last follow-up
time point, was 83.7% (36/43). The mean time to complete failure was 8.6 weeks
(range 1-28) in 7 patients. With the exception of these 7 patients, 32/36 (88.9%)
patients had a Cleveland Clinic Florida Faecal incontinence score of 0, 3
patients had a score of 1, and 1 had a score of 2. No significant association was
found between laying open and incontinence in these partial failure patients.
CONCLUSION: The LIFT procedure can be considered an effective sphincter-sparing
procedure in the management of transsphincteric fistula with an acceptable long
term outcome.
PMID- 27878619
TI - An open prospective study evaluating efficacy and safety of a new medical device
for rectal application of activated carbon in the treatment of chronic,
uncomplicated perianal fistulas.
AB - PURPOSE: It has been proposed that biological/chemical substances in the
intestine might play a role in the occurrence and deterioration of perianal
fistulas. Elimination of such unidentified factors from the lower
gastrointestinal tract might offer a new strategy for the management of anal
fistulas. The aim of this study was to evaluate the clinical effects on non
Crohn's disease perianal fistula healing, and the safety and tolerability of a
new medical device that applies high-purity, high-activity granular activated
carbon locally into the rectum twice daily of patients with perianal fistulas
without any concomitant medication. METHODS: An open, single-arm, prospective
study with active treatment for 8 weeks and an optional follow-up until week 24 (
ClinicalTrial.gov identifier NCT01462747) among patients with chronic,
uncomplicated perianal fistulas scheduled for surgery was conducted. RESULTS: Of
28 patients included, 10 patients (35.7%) showed complete fistula healing
(closed, no discharge on palpation) after 8 weeks; seven of these patients,
corresponding to 25% of the enrolled patients, remained in remission for up to 31
weeks. At week 8, there was a statistically significant reduction in the
discharge visual analog scale (p = 0.04), a significant improvement in the
patient-perceived quality of life for the category of embarrassment (p = 0.002),
and a trend toward improvement in the other assessment categories. CONCLUSIONS:
The treatment was well tolerated, and patient acceptance was high. The results
support the efficacy and safety of locally administered activated carbon for the
treatment of patients with chronic uncomplicated perianal fistulas not receiving
any other medication for fistula problems.
PMID- 27878620
TI - The role of single-shot metronidazole in the prevention of Clostridium difficile
infection following ileostomy reversal surgery.
AB - PURPOSE: Symptomatic infection with Clostridium difficile is strongly linked to
antibiotic use and rates are higher for colorectal surgery. In February 2015,
trust policy for antibiotic prophylaxis of ileostomy reversal surgery was changed
from three doses of metronidazole plus cefuroxime to single-dose metronidazole,
in a bid to reduce rates of Clostridium difficile infection. METHODS: A
retrospective cohort study was conducted at a single, large hospital trust
between February 2014 and February 2016, before and after change in antimicrobial
policy. Theatre data, clinical notes and pathology results were all reviewed.
Outcome data, patient age, gender, length of operation and hospital stay were
extracted. RESULTS: One hundred three patients underwent ileostomy reversal
surgery between February 2014 and February 2015. All received cefuroxime together
with metronidazole at induction of anaesthesia followed by two further post
operative doses as operative prophylaxis. Ninety-six patients underwent ileostomy
reversal surgery between February 2015 and February 2016. All received single
dose metronidazole at induction as prophylaxis. Post-operative diarrhoea was
significantly reduced in patients given single-dose metronidazole compared with
patients managed with multiple dose, dual antibiotic therapy (32 vs 12.5%, P
0.001). Rates of CDI were also significantly reduced in patients given single
dose metronidazole (6.8 vs 1%, P 0.038). CONCLUSIONS: Single-dose, pre-operative
metronidazole is effective at reducing post-operative diarrhoea and CDI in
ileostomy reversal surgery compared with multiple-dose cefuroxime plus
metronidazole. Metronidazole may be effective as a prophylactic antibiotic
against CDI in colonic surgery.
PMID- 27878621
TI - Clinical and functional results of laparoscopic intersphincteric resection for
ultralow rectal cancer: is there a distinction between the three types of hand
sewn colo-anal anastomosis?
AB - PURPOSE: The purpose of this study is to compare the clinical and functional
outcomes of three types of hand-sewn colo-anal anastomosis (CAA) after
laparoscopic intersphincteric resection (Lap-ISR) for patients with ultralow
rectal cancer. METHODS: A total of 79 consecutive patients treated by Lap-ISR for
low-lying rectal cancer in an academic medical center from June 2011 to February
2016. According to the distal tumor margin and individualized anal length, the
patients underwent three types of hand-sewn CAA including partial-ISR, subtotal
ISR, and total-ISR. RESULTS: Of the 79 patients, 35.4% required partial-ISR, 43%
adopted subtotal-ISR, and 21.5% underwent total-ISR. R0 resection was achieved in
78 patients (98.7%). In addition to distal resection margin, there were no
significant differences in clinicopathological parameters and postoperative
complications between the three groups. The type of hand-sewn CAA did not
influence the 3-year disease-free survival (DFS) or local relapse-free survival
(LFS). At 24-months follow-up, in spite of higher incontinence scores in total
ISR group, there were not statistically significant differences in functional
outcomes including Wexner score or Kirwan grade between the groups. Nevertheless,
patients with chronic anastomotic stricture showed worse anal function than those
without the complication. CONCLUSION: The type of hand-sewn CAA after Lap-ISR may
not influence oncological and functional outcomes, but chronic stricture
deteriorates continence status.
PMID- 27878622
TI - Effects of febuxostat on insulin resistance and expression of high-sensitivity C
reactive protein in patients with primary gout.
AB - We aimed to investigate the effects of febuxostat on IR and the expression of
high-sensitivity C-reactive protein (hs-CRP) in patients with primary gout. Forty
two cases of primary gout patients without uric acid-lowering therapy were
included in this study. After a physical examination, 20 age- and sex-matched
patients were included as normal controls. The levels of fasting insulin (INS),
fasting blood glucose (FBG), and hs-CRP were determined. IR was assessed using
the Homeostasis Model Assessment of Insulin Resistance (HOMA-IR). Gout patients
had higher levels of UA, INS, HOMA-IR, and hs-CRP than normal controls (P <
0.05). After 4-, 12-, and 24-week febuxostat treatments, UA and hs-CRP
concentrations were significantly lower than baseline (P < 0.05). INS and HOM-IR
decreased slightly after a 4-week treatment with febuxostat but declined
significantly after 12 and 24 weeks of treatment. Importantly, hs-CRP values
positively correlated with those of HOMA-IR (r = 0.353, P = 0.018) and INS (r =
0.426, P = 0.034). Our findings confirm that IR exists in gout patients and
implicate that febuxostat can effectively control the level of serum UA and
increase insulin sensitivity in primary gout patients.
PMID- 27878623
TI - History of internal fixation (part 1): early developments with wires and plates
before World War II.
AB - Though the date at which an orthopaedic implant was first used cannot be
ascertained with any certainty, the fixation of bone fracture using an iron wire
was reported for the first time in a French manuscript in 1775. The first
techniques of operative fracture treatment were developed at the end of the 18th
and in the beginning of the 19th centuries. The use of cerclage wires to fix
fractures was the most frequent fixation at this time. The French Berenger-Feraud
(1832-1900) had written the first book on internal fixation. However internal
fixation of fractures could not become a practical method before Lister had
ensured the safety of open reduction and internal fixation in the treatment of
fractures. Lister is not only the father of asepsis; he also used metal wires to
fix even closed fractures. The first internal fixation by means of a plate and
screws was described by Carl Hansmann in 1858 in Hamburg. Nevertheless, Arbuthnot
Lane (1892) and Albin Lambotte (1905) are considered to be the founders of this
method, which was further developed by Sherman in the first part of the 20th
century.
PMID- 27878624
TI - The CreB deubiquitinating enzyme does not directly target the CreA repressor
protein in Aspergillus nidulans.
AB - Ubiquitination/deubiquitination pathways are now recognized as key components of
gene regulatory mechanisms in eukaryotes. The major transcriptional repressor for
carbon catabolite repression in Aspergillus nidulans is CreA, and mutational
analysis led to the suggestion that a regulatory ubiquitination/deubiquitination
pathway is involved. A key unanswered question is if and how this pathway,
comprising CreB (deubiquitinating enzyme) and HulA (ubiquitin ligase) and other
proteins, is involved in the regulatory mechanism. Previously, missense alleles
of creA and creB were analysed for genetic interactions, and here we extended
this to complete loss-of-function alleles of creA and creB, and compared
morphological and biochemical phenotypes, which confirmed genetic interaction
between the genes. We investigated whether CreA, or a protein in a complex with
it, is a direct target of the CreB deubiquitination enzyme, using co
purifications of CreA and CreB, first using strains that overexpress the proteins
and then using strains that express the proteins from their native promoters. The
Phos-tag system was used to show that CreA is a phosphorylated protein, but no
ubiquitination was detected using anti-ubiquitin antibodies and Western analysis.
These findings were confirmed using mass spectrometry, which confirmed that CreA
was differentially phosphorylated but not ubiquitinated. Thus, CreA is not a
direct target of CreB, and nor are proteins that form part of a stable complex
with CreA a target of CreB. These results open up new questions regarding the
molecular mechanism of CreA repressing activity, and how the ubiquitination
pathway involving CreB interacts with this regulatory network.
PMID- 27878625
TI - Reference Ranges of Fetal Cardiac Biometric Parameters Using Three-Dimensional
Ultrasound with Spatiotemporal Image Correlation M Mode and Their Applicability
in Congenital Heart Diseases.
AB - To determine reference values for fetal heart biometric parameters using the
spatiotemporal image correlation (STIC) M mode and their applicability in
congenital heart diseases (CHDs). A cross-sectional prospective study was
conducted with 300 singleton pregnancies between 20 and 33 + 6 weeks of
gestation. Right ventricular wall thickness (RVWT), interventricular septum
thickness (IVST), and left ventricular wall thickness (LVWT) were measured off
line using the STIC-M mode with the cursor perpendicular to the interventricular
septum. Polynomial regressions adjusted with the coefficient of determination (R
2) were performed. The curves were applied to 14 fetuses with structural CHD. For
the reproducibility calculations, the concordance correlation coefficient (CCC)
was used. The mean RVWT, IVST, and LVWT were 0.34 +/- 0.09 cm, 0.28 +/- 0.09 cm,
and 0.30 +/- 0.07 cm, respectively. There was correlation between RVWT, IVST, and
LVWT and gestational age (GA): RVWT = -0.002 + 0.013 * GA (R 2 = 0.33), IVST =
0.011 + 0.011 * GA (R 2 = 0.25), and LVWT = 0.056 + 0.009 * GA (R 2 = 0.26).
RVWT, IVST, and LVWT were altered (<5th or >95th percentile) in 5/14, 5/14, and
7/14 of the fetuses with CHD, respectively. For RVWT, IVST, and LVWT, intra
observer (CCC = 0.86, 0.85, and 0.87, respectively) and inter-observer (CCC =
0.86, 0.86, and 0.86, respectively) reproducibility were good/moderate. The
reference ranges determined for fetal heart biometric parameters using STIC-M had
good intra- and inter-observer reproducibility and were applicable to fetuses
with CHD.
PMID- 27878627
TI - Right Ventricular Dysfunction as an Echocardiographic Measure of Acute Rejection
Following Heart Transplantation in Children.
AB - Noninvasive biomarkers of acute allograft rejection (AAR) following orthotopic
heart transplantation (OHT) are needed. The aim of this study was to investigate
the accuracy of echocardiographic (ECHO) right ventricular (RV) global functional
and resistance indices in the detection of AAR. This retrospective chart review
included children with biopsy-proven AAR (grade >= 2R cellular or CD4 + antibody
mediated rejection) following OHT and an ECHO within 12 h of the biopsy. ECHO
measures: (a) ratio of systolic to diastolic duration (S/D), (b) RV myocardial
performance index (MPI) and (c) tricuspid regurgitant gradient to RV outflow
tract velocity time integral ratio (TRG/VTI), were derived at baseline, during
AAR and at two follow-ups. Sixteen patients [56% male, mean (SD) age at OHT 3.5
(4.3) years] had 16 AAR episodes. S/D (1.15 vs. 1.60, p < 0.01), RV MPI (0.19 vs.
0.39, p < 0.01) and TRG/VTI (1.05 vs. 1.7, p = 0.01) deteriorated during AAR and,
except for diastolic duration, improved significantly at first follow-up. The
negative predictive values for S/D, RV MPI and TRG/VTI at cutoffs of 1.3, 0.31
and 1.3 were 97, 97 and 87%, respectively. RV S/D, MPI and TRG/VTI deteriorated
during AAR. Their excellent negative predictive values suggest that their
incorporation in surveillance may obviate the need for routine biopsies.
PMID- 27878626
TI - Reducing Fluoroscopic Radiation Exposure During Endomyocardial Biopsy in
Pediatric Transplant Recipients.
AB - Endomyocardial biopsy (EMB) with fluoroscopy is used for rejection surveillance
in pediatric heart transplantation. Lowering frame rate may reduce radiation, but
decreases temporal resolution and image quality. We undertook a quality
initiative reducing frame rate from 10 frames per second (FPS) to 5 FPS. To
assess whether lowering frame rate can reduce radiation exposure without
compromising safety, data on EMBs from 9/2009 to 4/2013 without angiography or
intervention were reviewed. Effective dose was calculated from dose area product
(DAP) and fluoroscopy time. Complications were reviewed. Independent t test
compared pre- and post-data and a general linear model were used to control for
confounders. Paired t test of most proximate data was used for pts with EMB
before and after our change. Eighty-six patients had 543 EMB. After adjusting for
weight, attending, and presence of a fellow, the lower FPS group had a 60.3%
reduction in DAP (p < 0.0001) and 53.8% drop in effective dose (p < 0.0001).
Fluoroscopy time did not differ. Twenty-eight pts had EMBs both before and after
the FPS change. Pair-wise analysis of this group demonstrated a 33% reduction in
DAP (p < 0.05) and 37% drop in effective dose (p < 0.01), without difference in
fluoroscopy time. No patient had an increase in TR > 1 grade by ECHO. There were
no deaths or perforations. Lowering the frame rate reduces radiation exposure by
>50% without compromising safety. Efforts to further minimize radiation exposure
of this vulnerable population should be considered.
PMID- 27878628
TI - Global Deformation Parameters Response to Exercise in Adolescents with Repaired
Tetralogy of Fallot.
AB - Exercise stress echocardiography is rarely used in the follow-up of children with
congenital heart disease following surgical repair. There are no data on global
longitudinal strain (GLS) and global circumferential strain (GCS) during exercise
in adolescents with repaired tetralogy of Fallot (TOF). The purpose of this
prospective study was to investigate GLS and GCS using speckle tracking two
dimensional echocardiography (2D-STE) at rest and during exercise in adolescents
with repaired TOF. Twenty patients with repaired TOF and 20 controls were
included. The subjects exercised on a semi-supine cycle ergometer using a two
staged 3-min step protocol of 20-40 W at 60-75 rpm. Exercise images with 2D-STE
measurements were available for all 40 subjects. In the study group, the GLS
values changed significantly between the baseline and third and sixth minute of
exercise (-18.10 +/- 2.20, -16.23 +/- 4.47, -14.22 +/- 2.63, respectively, p <
001). GCS did not vary significantly from baseline to end of the exercise testing
(-17.49 +/- 5.14, -17.15 +/- 9.20, -15.66 +/- 3.59, respectively, p > 0.05).
There was a statistically significant difference between the Stage I longitudinal
strain values of the study and control groups (-14.26 +/- 9.13 and -20.22 +/-
2.88, respectively, p = 0.02) but no significant difference between the Stage II
values of these groups (-14.22 +/- 2.63 and -15.11 +/- 2.45, respectively, p >
0.05). The results revealed significant changes in GLS during exercise in
patients with repaired TOF. Data gathered from deformation analysis of 2D-STE
during exercise testing can enhance the ability to detect subtle ventricular
dysfunction in the follow-up of children with repaired TOF.
PMID- 27878629
TI - Clinical Outcomes in Pediatric Patients Hospitalized with Fulminant Myocarditis
Requiring Extracorporeal Membrane Oxygenation: A Meta-analysis.
AB - We conducted a meta-analysis to provide the survival rates for pediatric patients
hospitalized with fulminant myocarditis requiring ECMO. The literature search was
conducted using Embase, PubMed, MEDLINE and Elsevier for studies published before
April 1, 2016. We focus on survival rates for pediatric patients hospitalized
with fulminant myocarditis requiring ECMO, and studies that reported only on
adult patients were excluded. Summary of the survival rates was obtained using
fixed-effect or random-effect meta-analysis which determined by I 2. Six studies
were included in the analysis, encompassing 172 patients. The minimum and maximum
reported rates of survival to hospital discharge were 53.8 and 83.3%,
respectively. The cumulative rate was 107/172. The calculated Cochran Q value was
3.73, which was not significant for heterogeneity (P = 0.588). The I 2 value was
0%. The pooled estimate rate was 62.9% with a 95% confidence interval of 55.3
69.8%. In pediatric patients with cardiac failure who have failed conventional
therapies in FM, venoarterial ECMO should be considered. In total, 62.9% of
patients with FM and either cardiogenic shock and/or cardiac arrest survived to
hospital discharge with ECMO.
PMID- 27878630
TI - Diagnostic and Prognostic Value of Plasma Levels of Cardiac Myosin Binding
Protein-C as a Novel Biomarker in Heart Failure.
AB - Heart failure (HF) has high morbidity and mortality in children. This study aimed
to investigate the value of cardiac myosin binding protein-C (cMyBP-C) as a
diagnostic and prognostic biomarker in children with heart failure. This study
was a prospective case-control study that involved 50 children with acute HF and
25 healthy children of matched age and sex as a control group. cMyBP-C plasma
levels were measured in patients with HF at the time of admission and 1 month
after treatment. Echocardiographic assessment was done for all children. All
patients were followed up for a period of 3 months. There was a significant
increase in plasma levels of cMyBP-C (ng/ml) in patients with HF at admission
(122.44 +/- 41.01) as compared to patients after treatment (71.38 +/- 49.68) and
to control group (24.40 +/- 9.83). This increase was associated with increased
severity of HF according to pediatric Ross classification of HF. Significant
increase in plasma levels of cMyBP-C at admission and its persistent increase
after treatment were associated with adverse outcome of mortality and
readmission. Plasma levels of cMyBP-C were significantly correlated with
echocardiographic and clinical assessment of heart failure. Plasma levels of
cMyBP-C were a good biomarker for diagnosis of HF with sensitivity 100% and
specificity 96% at cutoff point of 45 ng/ml. Its value in predicting adverse
outcome in HF patients was obtained by ROC curve with sensitivity of 90% and
specificity 93% at a cutoff point of 152 ng/ml cMyBP-C at admission. cMyBP-C may
be a novel useful diagnostic and prognostic biomarker in children with heart
failure and determination of severity of HF in these patients.
PMID- 27878631
TI - Difference in Risk Factors for Subtypes of Acute Cardiac Lesions Resulting from
Kawasaki Disease.
AB - Few studies discuss the risk factors for acute cardiac lesions (within 30 days)
resulting from Kawasaki disease (KD). We aimed to clarify the characteristics of
patients with cardiac lesions within 30 days and determine the risk factors for
acute cardiac lesion subtypes. Using the 23rd nationwide survey of KD in Japan,
we analyzed data from patients with or without acute cardiac lesions resulting
from KD (n = 31,380). We subdivided patients with acute cardiac lesions into
three types: acute valvular lesions, coronary aneurysms, and giant coronary
aneurysms (GCA), and calculated the odds ratios of potential risk factors for
acute cardiac lesion subtypes. The prevalence of acute cardiac lesions was 8.6%,
and these lesions were more prevalent among males than females (1.98:1). Male
sex, age <1 year, and atypical definite cases predicted coronary artery lesions
(CAL). The risk factors for valvular lesions differed from the risk factors for
CALs, but GCA risk factors were similar to CAL risk factors: age <1 year, later
presentation to hospital, atypical definite cases, and resistance to initial
intravenous immunoglobulin (IVIG) therapy. Resistance to IVIG therapy was a
significant risk factor for acute GCA. We found differences in cardiac lesion
risk factors within 30 days of diagnosing KD between acute CAL and valvular
lesions resulting from KD. In particular, pediatricians should consider atypical
definite cases and resistance to initial IVIG when assessing the risk of acute
phase GCA.
PMID- 27878632
TI - Utility of Echocardiography in the Assessment of Left Ventricular Diastolic
Function and Restrictive Physiology in Children and Young Adults with Restrictive
Cardiomyopathy: A Comparative Echocardiography-Catheterization Study.
AB - The aim of the study is to determine the utility of echocardiography in the
assessment of diastolic function in children and young adults with restrictive
cardiomyopathy (RCM). RCM is a rare disease with high mortality requiring
frequent surveillance. Accurate, noninvasive echocardiographic measures of
diastolic function may reduce the need for invasive catheterization. Single
center, prospective, observational study of pediatric and young adult RCM
patients undergoing assessment of diastolic parameters by simultaneous
transthoracic echocardiogram (TTE) and invasive catheterization. Twenty-one
studies in 15 subjects [median (IQR) = 13.8 years (7.0-19.2), 60% female] were
acquired with median left ventricular end-diastolic pressure (LVEDP) 21 (IQR 18
25) mmHg. TTE parameters of diastolic function, including pulmonary vein A wave
duration (r s = 0.79) and indexed left atrial volume (r s = 0.49), demonstrated
significant positive correlation, while mitral valve A (r s = -0.44), lateral e'
(r s = -0.61) and lateral a' (r s = -0.61) velocities showed significant
negative correlation with LVEDP. Lateral a' velocity (<=0.042 m/s) and pulmonary
vein A wave duration (>=156 m/s) both had sensitivity and specificity >=80% for
LVEDP >= 20 mmHg. In pediatric and young adult patients with RCM, lateral a'
velocity and pulmonary vein A wave duration predicted elevated LVEDP with high
sensitivity and specificity; however, due to technical limitations the latter was
reliably measured in 12/21 patients. These noninvasive parameters may have
utility in identifying patients that require further assessment with invasive
testing. These findings require validation in a multicenter prospective cohort
prior to widespread clinical implementation.
PMID- 27878633
TI - Cardiopulmonary Exercise Testing in Fontan Patients With and Without Isomerism
(Heterotaxy) as Compared to Patients With Primary Ciliary Dyskinesia and Subjects
With Structurally Normal Hearts.
AB - Isomerism, also known as heterotaxy, is a clinical entity that impacts multiple
organ systems both anatomically and functionally. The airways and lungs are
involved in a great number of these patients, leading to increased sinopulmonary
symptoms, increased need for oxygenation, and increased postoperative ventilatory
support. Additionally, these patients often have congenital heart disease
requiring Fontan palliation. What has not been previously described, and is the
focus of this study, is the results of cardiopulmonary exercise testing in those
who have undergone Fontan palliation with and without isomerism. We have now
compared these finding with those from patients with primary ciliary dyskinesia,
as many patients with isomerism have ciliary dyskinesia. We identified patients
having the Fontan circulation with and without isomerism who had undergone
cardiopulmonary exercise testing, comparing the findings from healthy individuals
undergoing exercise, and a comparable number of individuals with primary ciliary
dyskinesia but no congenital heart disease. We were able to include a total of 68
patients in our study, with 17 in each of the four groups. Cardiopulmonary
exercise testing yielded the best results in healthy patients. All patients with
the Fontan circulation demonstrated mixed pulmonary disease, although those with
isomerism had greater FVC and FEV1. Exercise times did not differ, although peak
consumption of oxygen was greater in those with isomerism. Those with ciliary
dyskinesia had only obstructive pulmonary disease and had the lowest FEF25-75
between all groups. Those with isomerism had a lesser degree of obstructive
pulmonary disease when compared to those with primary ciliary dyskinesia.
Patients with the Fontan circulation with and without isomerism have relatively
subtle differences in their cardiopulmonary exercise testing, with both groups
demonstrating restrictive lung disease. In regard to obstructive lung disease,
those with isomerism tend to be more similar to the patients with primary ciliary
dyskinesia than those with the Fontan circulation but without isomerism. The
results are likely limited by selection bias and highlight the need for
multicentric efforts to characterize cardiopulmonary exercise testing in those
patients with pulmonary isomerism.
PMID- 27878635
TI - The focal hepatic hot spot ("hot quadrate") sign.
PMID- 27878634
TI - Relationship Between Habitual Exercise and Performance on Cardiopulmonary
Exercise Testing Differs Between Children With Single and Biventricular
Circulations.
AB - Increasing habitual exercise has been associated with improved cardiopulmonary
exercise testing (CPET) performance, specifically maximal oxygen consumption in
children with operatively corrected congenital heart disease. This has not been
studied in children following Fontan palliation, a population in whom CPET
performance is dramatically diminished. A single-center cross-sectional study
with prospective and retrospective data collection was performed that assessed
habitual exercise preceding a clinically indicated CPET in children and
adolescents with Fontan palliation, transposition of the great arteries following
arterial switch operation (TGA), and normal cardiac anatomy without prior
operation. Data from contemporaneous clinical reports and imaging studies were
collected. The association between percent predicted VO2max and habitual exercise
duration adjusted for known covariates was tested. A total of 175 subjects (75
post-Fontan, 20 with TGA, and 80 with normal cardiac anatomy) were enrolled.
VO2max was lower in the Fontan group than patients with normal cardiac anatomy (p
< 0.0001) or TGA (p < 0.0001). In Fontan subjects, both univariate and
multivariate analysis failed to demonstrate a significant association between
habitual exercise and VO2max (p = 0.6), in sharp contrast to cardiac normal
subjects. In multivariate analysis, increasing age was the only independent risk
factor associated with decreasing VO2max in the Fontan group (p = 0.003).
Habitual exercise was not associated with VO2max in subjects with a Fontan as
compared to biventricular circulation. Further research is necessary to
understand why their habitual exercise is ineffective and/or what aspects of the
Fontan circulation disrupt this association.
PMID- 27878636
TI - Imaging of secretory tumors of the gastrointestinal tract.
AB - Gastrointestinal secretory tumors, or gastroenteropancreatic neuroendocrine
tumors, encompass a wide array of endocrine cell tumors. The significance of
these tumors lies in their ability to alter physiology through hormone production
as we well as in their malignant potential. Functioning tumors may present
earlier due to symptomatology; conversely, non-functioning tumors are often
diagnosed late as they reach large sizes, causing symptoms secondary to local
mass effect. Imaging aids in the diagnosis, staging, and prognosis and provides
key information for presurgical planning. Although most of these tumors are
sporadic, some are associated with important syndromes and associations,
knowledge of which is critical for patient management. In this article, we
provide an overview of secretory and neuroendocrine tumors of the GI tract and
pancreas.
PMID- 27878637
TI - Optimization of window settings for standard and advanced virtual monoenergetic
imaging in abdominal dual-energy CT angiography.
AB - OBJECTIVES: To determine the optimal window setting for displaying virtual
monoenergetic reconstructions of third generation dual-source, dual-energy CT
(DECT) angiography of the abdomen. METHODS: Forty-five patients were evaluated
with DECT angiography (90/150 kV, 180/90 ref. mAs). Three datasets were
reconstructed: standard linear blending (M_0.6), 70 keV traditional virtual
monoenergetic (M70), and 40 keV advanced noise-optimized virtual monoenergetic
(M40+). The best window setting (width and level, W/L) was assessed by two
blinded observers and was correlated with aortic attenuation to obtain the
Optimized W/L setting (O-W/L). Subjective image quality was assessed, and vessel
diameters were measured to determine any possible influences between different
W/L settings. Repeated measures of variance were used to evaluate comparison of
W/L values, image quality, and vessel sizing between M_0.6, M70, and M40+.
RESULTS: The Best W/L (B-W/L) for M70 and M40+ was 880/280 and 1410/450,
respectively. Results from regression analysis inferred an O-W/L of 850/270 for
M70 and 1350/430 for M40+. Significant differences for W and L were found between
the Best and the Optimized W/L for M40+, and between M70 and M40+ for both the
Best and Optimized W/L. No significant differences for vessel measurements were
found using the O-W/L for M40+ compared to the standard M_0.6 (p >= 0.16), and
significant differences were observed when using the B-W/L with M40+ compared to
M_0.6 (p <= 0.04). CONCLUSION: In order to optimize virtual monoenergetic imaging
with both traditional M70 and advanced M40+, adjusting the W/L settings is
necessary. Our results suggest a W/L setting of 850/270 for M70 and 1350/430 for
M40+.
PMID- 27878638
TI - Evolution of DS-1-like G1P[8] double-gene reassortant rotavirus A strains causing
gastroenteritis in children in Vietnam in 2012/2013.
AB - Rotavirus A (RVA) strains, a leading cause of severe gastroenteritis in children
worldwide, commonly possess the Wa or DS-1 genotype constellations. During a
hospital-based study conducted in Hanoi, Vietnam, in the 2012-2013 rotavirus
season, G1P[8] strains with a virtually identical short RNA migration pattern
were detected in 20 (14%) of 141 rotavirus-positive samples. Two representatives
of these strains were shown by whole-genome sequencing to be double-gene
reassortants possessing the genotype constellation of G1-P[8]-I2-R2-C2-M2-A2-N2
T2-E2-H2. Sequencing and a database search revealed that these Vietnamese G1P[8]
double-gene reassortant strains shared an immediate ancestor with a locally
circulating G2P[4] strain in all of the inner-capsid and non-structural protein
genes, whereas they were more closely related in the VP7 and VP4 genes to a
Chinese G1P[8] strain and a Chinese G3P[8] strain, respectively, than to locally
circulating G1P[8] strains. Despite the marked similarity between Japanese and
Thai G1P[8] double-gene reassortant strains, phylogenetic analysis suggested that
the Vietnamese and Japanese/Thai G1P[8] double-gene reassortant strains
originated from independent reassortment events. Clinically, children infected
with Vietnamese G1P[8] double-gene reassortant strains experienced severe
diarrhoea, but it was not more severe than that in children infected with
ordinary G1P[8] strains. In conclusion, Vietnamese G1P[8] double-gene reassortant
strains originated from a locally circulating G2P[4] strain and caused severe
diarrhoea, but there was no evidence of increased virulence.
PMID- 27878639
TI - Association Between Depression and Condom Use Differs by Sexual Behavior Group in
Patients with HIV.
AB - Identifying a relationship between depression and sexual risk behavior in HIV
infected patients could establish a mechanism to enhance prevention efforts. We
conducted a cross-sectional analysis using data from the University of
Pennsylvania Center for AIDS Research and used ordinal logistic regression to
measure the association between depression and non-condom use. 716 men who have
sex with men (MSM), 262 heterosexual men and 277 heterosexual women were
included. The association between depression and non-condom use was strongest in
heterosexual men with and without HIV-infected regular partners (OR 8.53, 95% CI
1.18-61.89 and OR 2.30, 95% CI 0.99-5.36 respectively), but absent in
heterosexual women regardless of partner. Although the OR was low in MSM overall,
an association was detected in MSM without HIV-infected regular partners (OR
2.44, 95% CI 1.39-4.31). In conclusion, we demonstrated an association between
depression and non-condom use driven by heterosexual men and MSM without HIV
infected regular partners. Sexual risk should be addressed when intervening on
depressive symptoms in these subgroups.
PMID- 27878640
TI - In-vitro examination of the biocompatibility of fibroblast cell lines on
alloplastic meshes and sterilized polyester mosquito mesh.
AB - INTRODUCTION: The use of alloplastic implants for tissue strengthening when
treating hernias is an established therapy worldwide. Despite the high incidence
of hernias in Africa and Asia, the implantation of costly mesh netting is not
financially feasible. Because of that various investigative groups have examined
the use of sterilized mosquito netting. The animal experiments as well as the
clinical trials have both shown equivalent short- and long-term results. The goal
of this paper is the comparison of biocompatibility of human fibroblasts on the
established commercially available nets and on sterilized polyester mosquito mesh
over a period of 12 weeks. MATERIALS AND METHODS: Three commercially available
plastic mesh types and a gas-sterilized mosquito polyethylenterephtalate
(polyester) mesh were examined. Human fibroblasts from subcutaneous healthy
tissue were used. Various tests for evaluating the growth behavior and the cell
morphology of human fibroblasts were conducted. The semi-quantitative (light
microscopy) and qualitative (scanning electron microscopy) analyses were
performed after 1 week and then again after 12 weeks. The cell proliferation and
cytotoxicity of the implants were investigated with the help of the 5'-bromo-2'
deoxyuridine (BrdU)-cell proliferation test and the LDH-cytotoxicity test. The
number of live cells per ml was determined with the Burker counting chamber. In
addition, analyses were made of the cell metabolism (oxidative stress) by
measuring the pH value, hydrogen peroxide, and glycolysis. RESULTS: After 12
weeks, a proliferation of fibroblasts on all mesh is documented. No mesh showed a
complete apoptosis of the cells. This qualitative observation could be confirmed
quantitatively in a biochemical assay by marking the proliferating cells with
BrdU. The biochemical analysis brought the proof that the materials used,
including the polyester of the mosquito mesh, are not cytotoxic for the
fibroblasts. The vitality of the cells was between 94 and 98%. The glucose
metabolism as well as the pH value of the fibroblasts showed no significant
differences between the tested meshes. The examination of the oxidative stress
via measurement of the H2O2 concentration showed values in the normal range for
the commercially alloplastic meshes and the mosquito mesh. CONCLUSIONS: Our
examination showed no significant difference with regard to biocompatibility
between the officially approved and cost-intensive meshes and the sterilized
(autoclaved) mosquito mesh. Due to the proven strength and stability of the
mosquito mesh and their proven compatibility, the implantation of the sterilized
mosquito mesh in additional in vivo studies must be considered. A wide-scale and
cost-effective treatment of hernias could thus be guaranteed, not only in Third
World countries.
PMID- 27878641
TI - Dental arch spatial changes after premature loss of first primary molars: a
systematic review of controlled studies.
AB - Studies investigating dental arch spatial changes following first primary molar
premature loss are controversial regarding clinical significance. The purpose of
this review was to systematically investigate the relevant literature. Controlled
studies investigating changes before and after premature loss of first primary
molars were searched in various databases. Split-mouth design studies were
considered eligible for inclusion. The risk of bias was judged according to ADA
Clinical Practice Guidelines. Only two analyzable split-mouth studies on
mandibular first primary molar loss were identified. Space loss in the extraction
side was greater at 2, 4, 6 and 8-month follow-ups, reaching a -1.5 mm difference
in the final examination (95% Confidence Interval: -2.080 to -0.925; p = 0.000;
random effects model). Studies were judged to be at unclear risk of bias. The
amount of space decrease after premature loss of first primary molars may have
management implications under certain circumstances. Comprehensive assessment of
the various characteristics of each patient should precede management decisions
in individual cases.
PMID- 27878643
TI - The evolution of drug design at Merck Research Laboratories.
AB - On October 5, 1981, Fortune magazine published a cover article entitled the "Next
Industrial Revolution: Designing Drugs by Computer at Merck". With a 40+ year
investment, we have been in the drug design business longer than most. During its
history, the Merck drug design group has had several names, but it has always
been in the "design" business, with the ultimate goal to provide an actionable
hypothesis that could be tested experimentally. Often the result was a small
molecule but it could just as easily be a peptide, biologic, predictive model,
reaction, process, etc. To this end, the concept of design is now front and
center in all aspects of discovery, safety assessment and early clinical
development. At present, the Merck design group includes computational chemistry,
protein structure determination, and cheminformatics. By bringing these groups
together under one umbrella, we were able to align activities and capabilities
across multiple research sites and departments. This alignment from 2010 to 2016
resulted in an 80% expansion in the size of the department, reflecting the
increase in impact due to a significant emphasis across the organization to
"design first" along the entire drug discovery path from lead identification
(LID) to first in human (FIH) dosing. One of the major advantages of this
alignment has been the ability to access all of the data and create an adaptive
approach to the overall LID to FIH pathway for any modality, significantly
increasing the quality of candidates and their probability of success. In this
perspective, we will discuss how we crafted a new strategy, defined the
appropriate phenotype for group members, developed the right skillsets, and
identified metrics for success in order to drive continuous improvement. We will
not focus on the tactical implementation, only giving specific examples as
appropriate.
PMID- 27878644
TI - Erratum to: Subsequent risk of ipsilateral and contralateral invasive breast
cancer after treatment for ductal carcinoma in situ: incidence and the effect of
radiotherapy in a population-based cohort of 10,090 women.
PMID- 27878642
TI - Are Th17 Cells Playing a Role in Immunity to Dermatophytosis?
AB - Despite their superficial localization in the skin, pathogenic dermatophytes can
induce a complex but still misunderstood immune response in their hosts. The cell
mediated immunity (CMI) is correlated with both clinical recovery and protection
against reinfection, and CD4+ T lymphocytes have been recognized as a crucial
component of the immune defense against dermatophytes. Before the discovery of
the Th17 pathway, CMI was considered to be only dependent of Th1 cells, and thus
most studies on the immunology of dermatophytosis have focused on the Th1
pathway. Nevertheless, the fine comparative analysis of available scientific data
on immunology of dermatophytosis in one hand and on the Th17 pathway mechanisms
involved in opportunistic mucosal fungal infections in the other hand reveals
that some key elements of the Th17 pathway can be activated by dermatophytes.
Stimulation of the Th17 pathway could occur through the activation of some C-type
lectin-like receptors and inflammasome in antigen-presenting cells. The Th17
cells could go back to the affected skin and by the production of signature
cytokines could induce the effector mechanisms like the recruitment of
polymorphonuclear neutrophils and the synthesis of antimicrobial peptides. In
conclusion, besides the Th1 pathway, which is important to the immune response
against dermatophytes, there are also growing evidences for the involvement of
the Th17 pathway.
PMID- 27878645
TI - The Synaptojanins in the murine small and large intestine.
AB - The expression of the phosphoinositides phosphatases Synaptojanins (Synjs) 1 and
2 has been shown in brain and in some peripheral tissues, but their expression in
the intestine has not been reported. Herein we show that the small and large
intestine express Synj1 and Synj2. Their mRNA levels, measured by RT-PCR, are not
affected by development in the small intestine but in the colon they increase
with age. Immunostaining assays reveal that both Synjs localize at the apical
domain of the epithelial cells and at the lamina propria at sites also expressing
the neuron marker calretinin. Synj2 staining at the lamina propria is fainter
than that of Synj1. In colonocytes Synjs are at the apical membrane and cytosolic
membrane vesicles. Synj2 is also at the mitochondria. Western blots reveal that
the intestinal mucosa expresses at least two Synj1 (170- and 139-kDa) and two
Synj2 (160- and 148-kDa) isoforms. The observations suggest that Synj1-170, Synj2
160, and Synj2-148 in colonocytes, might participate in processes that take place
mainly at the apical domain of the epithelial cells whereas Synj1-139 in those at
the enteric nervous system. Experimental colitis augments the mRNA abundance of
both Synjs in colon but only Synj2 mRNA levels are increased in colon tumors. In
conclusion, as far as we know, this is the first report showing expression,
location and isoforms of Synj1 and Synj2 in the small and large intestine and
that they might participate in intestinal pathology.
PMID- 27878646
TI - Using Search Engine Query Data to Explore the Epidemiology of Common
Gastrointestinal Symptoms.
AB - BACKGROUND: Internet searches are an increasingly used tool in medical research.
To date, no studies have examined Google search data in relation to common
gastrointestinal symptoms. AIMS: The aim of this study was to compare trends in
Internet search volume with clinical datasets for common gastrointestinal
symptoms. METHODS: Using Google Trends, we recorded relative changes in volume of
searches related to dysphagia, vomiting, and diarrhea in the USA between January
2008 and January 2011. We queried the National Inpatient Sample (NIS) and the
National Hospital Ambulatory Medical Care Survey (NHAMCS) during this time period
and identified cases related to these symptoms. We assessed the correlation
between Google Trends and these two clinical datasets, as well as examined
seasonal variation trends. RESULTS: Changes to Google search volume for all three
symptoms correlated significantly with changes to NIS output (dysphagia: r = 0.5,
P = 0.002; diarrhea: r = 0.79, P < 0.001; vomiting: r = 0.76, P < 0.001). Both
Google and NIS data showed that the prevalence of all three symptoms rose during
the time period studied. On the other hand, the NHAMCS data trends during this
time period did not correlate well with either the NIS or the Google data for any
of the three symptoms studied. Both the NIS and Google data showed modest
seasonal variation. CONCLUSIONS: Changes to the population burden of chronic GI
symptoms may be tracked by monitoring changes to Google search engine query
volume over time. These data demonstrate that the prevalence of common GI
symptoms is rising over time.
PMID- 27878647
TI - Predicting Suboptimal Bowel Preparation: Taking It Up a PEG.
PMID- 27878648
TI - Jaundice and Pregnancy: Why Going Viral Is Out of Style.
PMID- 27878649
TI - 15N and 13C- SOFAST-HMQC editing enhances 3D-NOESY sensitivity in highly
deuterated, selectively [1H,13C]-labeled proteins.
AB - The ongoing NMR method development effort strives for high quality
multidimensional data with reduced collection time. Here, we apply 'SOFAST-HMQC'
to frequency editing in 3D NOESY experiments and demonstrate the sensitivity
benefits using highly deuterated and 15N, methyl labeled samples in H2O. The
experiments benefit from a combination of selective T 1 relaxation (or L
optimized effect), from Ernst angle optimization and, in certain types of
experiments, from using the mixing time for both NOE buildup and magnetization
recovery. This effect enhances sensitivity by up to 2.4* at fast pulsing versus
reference HMQC sequences of same overall length and water suppression
characteristics. Representative experiments designed to address interesting
protein NMR challenges are detailed. Editing capabilities are exploited with
heteronuclear 15N,13C-edited, or with diagonal-free 13C aromatic/methyl-resolved
3D-SOFAST-HMQC-NOESY-HMQC. The latter experiment is used here to elucidate the
methyl-aromatic NOE network in the hydrophobic core of the 19 kDa FliT-FliJ
flagellar protein complex. Incorporation of fast pulsing to reference experiments
such as 3D-NOESY-HMQC boosts digital resolution, simplifies the process of NOE
assignment and helps to automate protein structure determination.
PMID- 27878650
TI - Ultra-high magnetic resonance imaging (MRI): a potential examination for deep
brain stimulation devices and the limitation study concerning MRI-related heating
injury.
AB - Nowadays, the patients with deep brain stimulation (DBS) devices are restricted
to undertake 1.5T magnetic resonance imaging (MRI) according to the guideline.
Nevertheless, we conducted an experiment to test pathological change near the
leads in different field-strength MRI. Twenty-four male New Zealand rabbits were
assigned to Group 1 (G1, n = 6, 7.0T, DBS), Group 2 (G2, n = 6, 3.0T, DBS), Group
3 (G3, n = 6, 1.5T, DBS), and Group 4 (G4, n = 6, 1.5T, paracentesis). DBS leads
were implanted in G1, G2 and G3, targeting left nucleus ventralis posterior
thalami. Paracentesis was performed in G4. 24 h after MRI scan, all animals were
killed for examining pathological alternation (at different distance from lead)
via transmission electron microscopy. Our results suggest that the severity of
tissue injury correlates with the distance to electrode instead of field strength
of MRI. Up to now, the reason for the restriction of MRI indicated no
significantly different pathological change.
PMID- 27878653
TI - Single-cell RNA-seq reveals lincRNA expression differences in Hela-S3 cells.
AB - OBJECTIVE: To characterize transcriptome-wide lincRNAs of Hela-S3 cell line by
analyzing RNA sequencing data to provide a foundation for further functional
verification and clinical application of cervical carcinoma development. RESULTS:
Single-cell RNA sequencing data of 37 Hela-S3 cells were analysed. On average,
511 lincRNAs were expressed in each cell. Comparing the expression difference of
the lincRNAs and protein-coding genes, we found that lincRNAs expression
displayed more cell specificity than that of protein-coding genes (t-test, P<2.2E
16). In co-expression network analysis, we identified seven modules and one of
them was enriched in pathways of mitotic, packaging of telomere ends, and
chromosome maintenance. CONCLUSION: incRNAs are specifically expressed and form a
network to perform function at single cell level. Their expression was more
specific than that of protein-coding genes.
PMID- 27878651
TI - Messages on small RNA duplexes in plants.
AB - Small RNA-mediated gene silencing encompasses diverse developmental events,
stress responses, defense against pathogens, and maintenance of genome integrity.
Extensive studies in model organisms have unveiled the molecular mechanisms
underpinning the RNA silencing phenomena, and the accumulating knowledge have
characterized the intricate pathways and the repertoire of proteins responsible
for the actions of small RNAs characterized as microRNAs (miRNAs) or small
interfering RNAs (siRNAs). Although the single-stranded, matured guide small RNAs
direct the effector ribonucleoprotein complexes to induce gene silencing in
sequence-specific manner, the double-stranded intermediate, the small RNA
duplexes, which are processed as nascent products of the RNase III enzyme
activities, act as key to determine the downstream molecular pathways and the
fate of small RNAs. Based at the small RNA duplex-centered view, this review
describes the recent advances in understanding the small RNA pathways in plants.
PMID- 27878654
TI - Coating polypropylene surfaces with protease weakens the adhesion and increases
the dispersion of Candida albicans cells.
AB - OBJECTIVES: To investigate the ability of the proteases, subtilisin and alpha
chymotrypsin (aCT), to inhibit the adhesion of Candida albicans biofilm to a
polypropylene surface. RESULTS: The proteases were immobilized on plasma-treated
polypropylene by covalently linking them with either glutaraldehyde (GA) or N'
diisopropylcarbodiimide (DIC) and N-hydroxysuccinimide (NHS). The immobilization
did not negatively affect the enzyme activity and in the case of subtilisin, the
activity was up to 640% higher than that of the free enzyme when using N-acetyl
phenylalanine ethyl ester as the substrate. The efficacies against biofilm
dispersal for the GA-linked SubC and aCT coatings were 41 and 55% higher than the
control (polypropylene coated with only GA), respectively, whereas no effect was
observed with enzymes immobilized with DIC and NHS. The higher dispersion
efficacy observed for the proteases immobilized with GA could be both steric
(proper orientation of the active site) and dynamic (higher protein
mobility/flexibility). CONCLUSIONS: Proteases immobilized on a polypropylene
surface reduced the adhesion of C. albicans biofilms and therefore may be useful
in developing anti-biofilm surfaces based on non-toxic molecules and sustainable
strategies.
PMID- 27878655
TI - The use of donor scleral patch in ophthalmic surgery.
AB - Scleral tissue has been in use in ophthalmology for many years although
indications for use have varied. We retrospectively reviewed scleral transplant
tissue requests over a 12 month period at a local eye bank and confirmed a small
but significant demand for the use of scleral tissue. Iatrogenic surgical
complications are the primary indication for use. Our understanding of the
indications and outcomes of scleral graft procedures is derived from case reports
and small cohort series. We reviewed the current literature on existing
indications for its use and discuss the relative outcomes. To our knowledge this
represents the first review of scleral transplant indications and further
summarises usage rates in the Lions NSW Eye Bank which may provide practical
information for those surgeons who use scleral tissue and Eye Banks who supply
it.
PMID- 27878652
TI - Genome-wide identification and phylogenetic analysis of the chalcone synthase
gene family in rice.
AB - The enzymes of the chalcone synthase family are also known as type III polyketide
synthases (PKS), and produce a series of secondary metabolites in bacteria, fungi
and plants. In a number of plants, genes encoding PKS comprise a large multigene
family. Currently, detailed reports on rice (Oryza sativa) PKS (OsPKS) family
genes and tissue expression profiling are limited. Here, 27 candidate OsPKS genes
were identified in the rice genome,and 23 gene structures were confirmed by EST
and cDNA sequencing; phylogenetic analysis has indicated that these 23 OsPKS
members could be clustered into three groups (I-III). Comparative analysis has
shown OsPKS08 and OsPKS26 could be classified with the CHS genes of other
species. Two members OsPKS10 and OsPKS21 were grouped into anther specific
chalcone synthase-like (ASCL) clade. Intron/exon structure analysis revealed that
nearly all of the OsPKS members contained one phase-1 intron at a conserved Cys.
Analysis of chromosomal localization and genome distribution showed that some of
the members were distributed on a chromosome as a cluster. Expression data
exhibited widespread distribution of the rice OsPKS gene family within plant
tissues, suggesting functional diversification of the OsPKS genes. Our results
will contribute to future study of the complexity of the OsPKS gene family in
rice.
PMID- 27878656
TI - Mille modis morimur: We die in a thousand ways.
AB - Dying cells subjected to apoptotic programs are engulfed by neighboring cells or
by professional phagocytes, without inflammation or immunological reactions in
the tissue where apoptosis takes place. Apoptotic cells release danger-associated
project signals to their neighbours, through different molecular patterns,
stimulate antigen production and immune responses. Microenvironmental effects
with several functional consequences indicate that cell death is a complex
process and may take place in several ways. This idea is expressed by the title
of the Special Issue and by the title of the guest editorial "Mille modis
morimur" meaning that not only multicellular organisms, but also single cells may
die in a thousand ways. This idea is demonstrated by the papers serving as
examples for cell death. Apoptosis was induced by clary sage oil in Candida
cells. Heavy metal (Gd) induced cell motility and apoptosis was found in
mammalian cells. RNA oxidation enhanced the reversion frequency of apoptosis in
yeast mutants. The frequency of apoptotic micronucleus formation increased in a
concentration-dependent manner by methotrexate. The antioxidant coenzyme Q10
protected renal proximal tubule cells against nicotine-induced apoptosis. The
synergy of 2-deoxy-D-glucose combined with berberine induced lysosome/autophagy.
The mitochondrial apoptotic pathway could be regulated by glucocorticoid receptor
in collaboration with Bcl-2 family proteins in developing T cells.
Cylindrospermopsin induced biochemical changes led to apoptosis in plants.
Mechanisms of stress seriously impacted the risk of apoptosis. Transcriptional
control of apoptotic cell clearance was achieved by macrophage nuclear receptors.
Finally, the clinical aspects of apoptosis-induced lymphopenia were reviewed in
sepsis and other severe injuries. These examples not only support the view of
many ways of cell death, but predict further potential ways to induce or reduce
the risk of cell death.
PMID- 27878657
TI - C3 glomerulopathy and current dilemmas.
AB - C3 glomerulopathy (C3G) is a recently identified disease entity caused by
dysregulation of the alternative complement pathway, and dense deposit disease
(DDD) and C3 glomerulonephritis (C3GN) are its components. Because laboratory
detection of complement dysregulation is still uncommon in practice, "dominant C3
deposition by two orders greater than that of immunoglobulins in the glomeruli by
immunofluorescence", as stated in the consensus report, defines C3G. However,
this morphological definition possibly includes the cases with glomerular
diseases of different mechanisms such as post-infectious glomerulonephritis. In
addition, the differential diagnosis between DDD and C3GN is often difficult
because the distinction between these two diseases is based solely on electron
microscopic features. Recent molecular and genetic advances provide information
to characterize C3G. Some C3G cases are found with genetic abnormalities in
complement regulatory factors, but majority of cases seem to be associated with
acquired factors that dysregulate the alternative complement pathway. Because
clinical courses and prognoses among glomerular diseases with dominant C3
deposition differ, further understanding the background mechanism, particularly
complement dysregulation in C3G, is needed. This may resolve current dilemmas in
practice and shed light on novel targeted therapies to remedy the dysregulated
alternative complement pathway in C3G.
PMID- 27878660
TI - Residual bone growth after lengthening procedures.
AB - The prognosis of limb length discrepancy is a major subject in paediatric
orthopaedic surgery. The strategy depends on the prognosis and must be adapted to
each patient. The residual growth of the lengthened segment often remains
unknown, but is dependent on age, the percentage of lengthening and other
factors. Using a large cohort of 150 children who had undergone bone lengthening
procedures, we describe five patterns of post-intervention growth and identify
factors that are favourable for normal residual growth. The criteria for bone
lengthening which should maintain good residual growth are-bone age at
lengthening should be before the pubertal growth spurt; the interval between two
lengthening procedures should be over three years; the percentage of lengthening
should be <30% of the initial segment; and no more than two lengthening
procedures should be carried out during infancy.
PMID- 27878659
TI - Neural Signaling Metabolites May Modulate Energy Use in Hibernation.
AB - Despite an epidemic in obesity and metabolic syndrome limited means exist to
effect adiposity or metabolic rate other than life style changes. Here we review
evidence that neural signaling metabolites may modulate thermoregulatory pathways
and offer novel means to fine tune energy use. We extend prior reviews on
mechanisms that regulate thermogenesis and energy use in hibernation by focusing
primarily on the neural signaling metabolites adenosine, AMP and glutamate.
PMID- 27878661
TI - Phosphate starvation induced OsPHR4 mediates Pi-signaling and homeostasis in
rice.
AB - KEY MESSAGE: OsPHR4 mediates the regulation of Pi-starvation signaling and Pi
homeostasis in a PHR1-subfamily dependent manner in rice. Phosphate (Pi)
starvation response is a sophisticated process for plant in the natural
environment. In this process, PHOSPHATE STARVATION RESPONSE 1 (PHR1) subfamily
genes play a central role in regulating Pi-starvation signaling and Pi
homeostasis. Besides the three PHR1 orthologs in Oryza sativa L. (Os) [(Os) PHR1,
(Os) PHR2, and (Os) PHR3], which were reported to regulated Pi-starvation
signaling and Pi-homeostasis redundantly, a close related PHR1 ortholog
[designated as (Os) PHR4] is presented in rice genome with unknown function. In
this study, we found that OsPHR4 is a Pi-starvation induced gene and mainly
expresses in vascular tissues through all growth and development periods. The
expression of OsPHR4 is positively regulated by OsPHR1, OsPHR2 and OsPHR3. The
nuclear located OsPHR4 can respectively interact with other three PHR1 subfamily
members to regulate downstream Pi-starvation induced genes. Consistent with the
positive role of PHR4 in regulating Pi-starvation signaling, the OsPHR4
overexpressors display higher Pi accumulation in the shoot and elevated
expression of Pi-starvation induced genes under Pi-sufficient condition. Besides,
moderate growth retardation and repression of the Pi-starvation signaling in the
OsPHR4 RNA interfering (RNAi) transgenic lines can be observed under Pi-deficient
condition. Together, we propose that OsPHR4 mediates the regulation of Pi
starvation signaling and Pi-homeostasis in a PHR1-subfamily dependent manner in
rice.
PMID- 27878662
TI - A thrombotic storm.
PMID- 27878663
TI - Prevention and treatment of venous thromboembolism in patients with solid brain
neoplasms: results of a survey among Italian physicians.
AB - The decision concerning the introduction of primary and secondary prophylaxis of
venous thromboembolism (VTE) in patients with solid brain neoplasms and brain
metastases is often challenging due to the concomitant increased risk of
intracranial hemorrhage and to limited evidence from available literature. A
standardized questionnaire composed of nine multiple-choice questions regarding
primary VTE prevention in non-surgical patients during high-risk conditions and
VTE secondary prevention in patients with a solid brain neoplasm or cerebral
metastases was sent via electronic mail to all the members (n = 2420) of the
Italian Federation of the Internal Medicine Hospital Executives' Associations
(FADOI) in June 2015. Three hundred and fifty two physicians (14.5%) returned it
(participants' median age 51 years; females 46.9%). The majority of respondents
prescribe primary thromboprophylaxis (usually with heparin) in non-surgical
patients with solid brain neoplasms and brain metastases in concomitance with
high-risk conditions. Full-dose anticoagulation with either low-molecular-weight
heparin or fondaparinux is the preferred option for acute VTE (69.6%), while a
reduced dose is chosen by 21.0% of physicians. The presence of a highly vascular
brain neoplasm histotype mandates the prescription of a reduced-dose
antithrombotic regimen in a minority of respondents. Vena cava filter placement
is an option for the treatment of acute VTE in more than 6% of respondents.
Anticoagulants are often prescribed for both VTE primary prevention and
treatment. In conclusion, physicians' managements are partially in contrast to
recent guidelines, reinforcing the need for educational programs and other
studies in this setting.
PMID- 27878658
TI - Role of Matricellular Proteins in Disorders of the Central Nervous System.
AB - Matricellular proteins (MCPs) are actively expressed non-structural proteins
present in the extracellular matrix, which rapidly turnover and possess
regulatory roles, as well as mediate cell-cell interactions. MCPs
characteristically contain binding sites for other extracellular proteins, cell
surface receptors, growth factors, cytokines and proteases, that provide
structural support for surrounding cells. MCPs are present in most organs,
including brain, and play a major role in cell-cell interactions and tissue
repair. Among the MCPs found in brain include thrombospondin-1/2, secreted
protein acidic and rich in cysteine family (SPARC), including Hevin/SC1, Tenascin
C and CYR61/Connective Tissue Growth Factor/Nov family of proteins, glypicans,
galectins, plasminogen activator inhibitor (PAI-1), autotaxin, fibulin and
perisostin. This review summarizes the potential role of MCPs in the pathogenesis
of major neurological disorders, including Alzheimer's disease, amyotrophic
lateral sclerosis, ischemia, trauma, hepatic encephalopathy, Down's syndrome,
autism, multiple sclerosis, brain neoplasms, Parkinson's disease and epilepsy.
Potential therapeutic opportunities of MCP's for these disorders are also
considered in this review.
PMID- 27878664
TI - Diagnosis and management of acquired thrombotic thrombocytopenic purpura in
southeast China: a single center experience of 60 cases.
AB - Acquired thrombotic thrombocytopenic purpura (TTP) is a rare life-threatening
thrombotic microangiopathy. This study aimed to provide a profile of the
diagnosis and management of patients with acquired TTP collected in 10 years in a
single center in southeast China. A total of 60 patients diagnosed with acute
acquired TTP from March 2005 to August 2015 were enrolled. Among the 60 patients,
52 patients presented with their first episodes, and eight patients had two or
more episodes. The median age at presentation was 49 (range, 17 to 78) years with
a female predominance (male:female ratio, 1:1.60). ADAMTS 13 activity were
analyzed in 43 patients, among whom 33 (76.7%) patients had a baseline level of <
5%. Mortality was 30%. Plasma exchange (PEX) was performed in 62 of 69 (89.9%)
episodes. Corticosteroids were administered in 54 of 69 (78.3%) episodes. Other
immunosuppressants (e.g., vincristine, cyclosporine, and cyclosporin) were used
in 7 of 69 (10.1%) episodes. Rituximab was documented in 4 patients with
refractory/relapsed TTP for 5 episodes, showing encouraging results. In
conclusion, the diagnosis of TTP depended on a comprehensive analysis of clinical
data. Plasma ADAMTS13 activity assay helped confirm a diagnosis. PEX was the
mainstay of the therapy, and rituximab can be used in relapsed/refractory
disease.
PMID- 27878666
TI - Have health insurance reforms in Tunisia attained their intended objectives?
AB - A growing number of developing countries are currently promoting health system
reforms with the aim of attaining ' universal health coverage' (UHC). In Tunisia,
several reforms have been undertaken over the last two decades to attain UHC with
the goals of ensuring financial protection in health and enhancing access to
healthcare. The first of these goals has recently been addressed in a companion
paper by Abu-Zaineh et al. (Int J Health Care Financ Econ 13(1):73-93, 2013). The
present paper seeks to assess whether these reforms have in fact enhanced access
to healthcare. The average treatment effects of two insurance schemes, formal
mandatory (MHI) and state-subsidized (MAS) insurance, on the utilization of
outpatient and inpatient healthcare are estimated using propensity score
matching. Results support the hypothesis that both schemes (MHI and MAS) increase
the utilization of healthcare. However, significant variations in the average
effect of these schemes are observed across services and areas. For all the
matching methods used and compared with those the excluded from cover, the
increase in outpatient and inpatient services for the MHI enrollees was at least
19 and 26 %, respectively, in urban areas, while for MAS beneficiaries this
increase was even more pronounced (28 and 75 % in the urban areas compared with
27 and 46 % in the rural areas for outpatient and inpatient services,
respectively). One important conclusion that emerges is that the current health
insurance schemes, despite improving accessibility to healthcare services, are
nevertheless incapable of achieving effective coverage of the whole population
for all services. Attaining the latter goal requires a strategy that targets the
"trees" not the "forest".
PMID- 27878665
TI - Payment schemes and cost efficiency: evidence from Swiss public hospitals.
AB - This paper aims at analysing the impact of prospective payment schemes on cost
efficiency of acute care hospitals in Switzerland. We study a panel of 121 public
hospitals subject to one of four payment schemes. While several hospitals are
still reimbursed on a per diem basis for the treatment of patients, most face
flat per-case rates-or mixed schemes, which combine both elements of
reimbursement. Thus, unlike previous studies, we are able to simultaneously
analyse and isolate the cost-efficiency effects of different payment schemes. By
means of stochastic frontier analysis, we first estimate a hospital cost
frontier. Using the two-stage approach proposed by Battese and Coelli (Empir Econ
20:325-332, 1995), we then analyse the impact of these payment schemes on the
cost efficiency of hospitals. Controlling for hospital characteristics, local
market conditions in the 26 Swiss states (cantons), and a time trend, we show
that, compared to per diem, hospitals which are reimbursed by flat payment
schemes perform better in terms of cost efficiency. Our results suggest that
mixed schemes create incentives for cost containment as well, although to a
lesser extent. In addition, our findings indicate that cost-efficient hospitals
are primarily located in cantons with competitive markets, as measured by the
Herfindahl-Hirschman index in inpatient care. Furthermore, our econometric model
shows that we obtain biased estimates from frontier analysis if we do not account
for heteroscedasticity in the inefficiency term.
PMID- 27878667
TI - Eligibility for free GP care and the utilisation of GP services by children in
Ireland.
AB - The majority of the Irish population pay the full out-of-pocket price of a GP
visit, with only those on low incomes exempt. While there is an extensive
literature analysing the impact of the Irish system of eligibility for free GP
care on GP visiting rates among adults, there is a lack of evidence for children.
Given the importance of socio-economic health inequalities in shaping the future
outcomes of children, it is important to analyse the extent to which the current
system of eligibility leads to inequities in access to GP services among Irish
children. In addition, some private health insurance plans have started to offer
cover for GP expenses, which adds an additional layer of complexity to the
existing system of eligibility, and to date, this has not been studied. Using a
large, nationally-representative data-set covering two cohorts of Irish children
(9-month olds and 9-year olds), we examine the role of eligibility for free GP
care in determining GP visiting rates among children. As with the adult
population, the results show that, even with controls for child health, and
parental and family characteristics, eligibility for free GP care is a
significant determinant of GP utilisation among Irish children.
PMID- 27878668
TI - Effectiveness of micro health insurance on financial protection: Evidence from
India.
AB - Iatrogenic poverty caused by inadequate public expenditure on health, lack of
social health insurance and low penetration of private health insurance can be
mitigated by micro health insurance (MHI) schemes that provide financial
protection. The empirical evidence on the impact of MHI on financial protection
is limited in India. This paper elucidates the effect of Sampoorna Suraksha
Programme (SSP), a MHI scheme in Karnataka on financial protection. Cross
sectional study was undertaken in Karnataka and the data was gathered from 416
insured, 366 newly insured and 364 uninsured households. The impact of SSP on out
of pocket expenses (OOPE), catastrophic health expenditure (CHE), non-medical
consumption expenditure, hardship financing and labour supply was analysed using
linear and logistic regression methods. Results of the study demonstrate that
insured members incurred lower OOPE, CHE and hardship finance. There was no
effect on consumption expenditure and no direct impact on labour supply measured
in terms of withdrawal from workforce and substitution of labour. We advocate a
larger role of MHI in health financing in India since it curtails impoverishment
of households in informal sector by reducing OOPE and hardship financing.
PMID- 27878669
TI - Market power and provider consolidation in physician markets.
AB - Physician services comprise a substantial share of total health care spending,
and the price of health care services has been cited as a key contributor to the
disproportionately high rate of health care spending in the US. However, despite
a large literature analyzing market power in the hospital and insurance
industries, less is known about the extent to which physicians exercise market
power. In this study we make use of a private health insurance claims data set to
analyze physician market power for two specialties within three mid-sized US
metropolitan areas. Using a method developed for hospital competition analysis,
we estimate measures of consumer willingness-to-pay for physician practices
within each of these markets and relate these to the prices paid to these
practices for a set of physician services. Our results are suggestive of the
presence of market power in the markets that we analyze. We simulate physician
practice mergers for the two largest practices in each market for each specialty
analyzed. Results suggest that practice mergers could result in price increases
deemed significant by antitrust authorities in some markets but not in others.
PMID- 27878670
TI - Editorial: Relaunch of the journal.
PMID- 27878671
TI - The demand for health care workers post-ACA.
AB - Concern abounds about whether the health care workforce is sufficient to meet
changing demands spurred by the Affordable Care Act (ACA). We project that by
2022 the health care industry needs three to four million additional workers,
forty percent of which is related to demand growth under the ACA. We project
faster job growth in the ambulatory care sector, especially in home health care.
Given the current profile, we expect that the future health care workforce will
be increasingly female, young, racially/ethnically diverse, not US-born, at or
below the poverty level and at a low level of educational attainment.
PMID- 27878672
TI - Public reporting and the evolution of diabetes quality.
AB - We address three questions related to public reports of diabetes quality. First,
does clinic quality evolve over time? Second, does the quality of reporting
clinics converge to a common standard? Third, how persistent are provider quality
rankings across time? Since current methods of public reporting rely on historic
data, measures of clinic quality are most informative if relative clinic
performance is persistent across time. We use data from the Minnesota Community
Measurement spanning 2007-2012. We employ seemingly-unrelated regression to
measure quality improvement conditional upon cohort effects and changes in
quality metrics. Basic autoregressive models are used to measure quality
persistence. There were striking differences in initial quality across cohorts of
clinics and early-reporting cohorts maintained higher quality in all years. This
suggests that consumers can infer, on average, that non-reporting clinics have
poorer quality than reporting clinics. Average quality, however, improves slowly
in all cohorts and quality dispersion declines over time both within and across
cohorts. Relative clinic quality is highly persistent year-to-year, suggesting
that publicly-reported measures can inform consumers in choice of clinics, even
though they represent measured quality for a previous time period. Finally,
definition changes in measures can make it difficult to draw appropriate
inferences from longitudinal public reports data.
PMID- 27878673
TI - Reforming the Swedish pharmaceuticals market: consequences for costs per defined
daily dose.
AB - In 2009 and 2010, the Swedish pharmaceuticals market was reformed. One of the
stated policy goals was to achieve low costs for pharmaceutical products
dispensed in Sweden. We use price and sales data for off-patent brand-name and
generic pharmaceuticals to estimate a log-linear regression model, allowing us to
assess how the policy changes affected the cost per defined daily dose. The
estimated effect is an 18 % cost reduction per defined daily dose at the retail
level and a 34 % reduction in the prices at the wholesale level (pharmacies'
purchase prices). The empirical results suggest that the cost reductions were
caused by the introduction of a price cap, an obligation to dispense the lowest
cost generic substitute available in the whole Swedish market, and the
introduction of well-defined exchange groups. The reforms thus reduced the cost
per defined daily dose for consumers while being advantageous also for the
pharmacies, who saw their retail margins increase. However, pharmaceutical firms
supplying off-patent pharmaceuticals experienced a clear reduction in the price
received for their products.
PMID- 27878674
TI - Dispensing physicians, asymmetric information supplier-induced demand: evidence
from the Swiss Health Survey.
AB - In this paper, we apply a two-part model to estimate the effect of health
literacy on the demand for physician visits under different institutional
settings. Using a constructed measure of health information, we find evidence for
supplier-induced demand in some parts of Switzerland. While the level of health
information is uncorrelated with the likelihood of visiting a physician (contact
decision), the conditional number of visits (frequency decision) depends on the
individual's information status and the regulation of physician drug dispensing.
In cantons with a drug prescription scheme, we do not find a significant
difference in the number of visits between well-informed individuals and people
with relatively little health literacy. In contrast, the existence of self
dispensing general practitioner and specialists is associated with a gap in
demand that is strongly related to health literacy: Compared to cantons with
prescription schemes, uninformed patients exhibit a higher number of outpatient
visits in the cantons that (partly) allow the dispensation of drugs by
physicians. However, patients with a high level of health information seem to be
rather unaffected by physician drug dispensing. As a consequence, we observe an
information-related gap in the number of outpatient contacts that only prevails
in areas where doctors are entitled to sell drugs themselves. These findings
suggest that self-dispensing doctors succeed in inducing demand that affects the
number of physician-patient contacts. Health literacy, on the other hand, tends
to counter these incentives.
PMID- 27878675
TI - Supplementing gatekeeping with a revenue scheme for secondary care providers.
AB - We study implications of a change in the payment scheme for radiology providers
in Norway that was implemented in 2008. The change implies reduced fee-for
service and increased fixed budget for a contracted volume of services. A
consequence of the change is that private providers have less incentive to
conduct examinations beyond the contracted volume. Different from the situation
observed before the change in 2008, the volume is no longer determined by the
demand side, and a rationing of the supply occurs. We employ data on radiological
examinations initiated by GPs' referrals. We apply monthly data at the physician
practice level for 2007-2010. The data set is unique because it includes
information about all GPs in the Norwegian patient-list system. The results
indicate that private providers conducted fewer examinations in 2008-2010
compared with previous periods and that public hospitals did either the same
volume or more. We find that GPs who operate in a more competitive environment
experienced a greater reduction in magnetic resonance imaging, both performed by
private providers and in total for their patients. We argue that this result
supports a hypothesis that patients with lower expected benefits are rationed.
Hence, rationing from the supply side might supplement GP gatekeeping.
PMID- 27878676
TI - Health insurance coverage and self-reported health: new estimates from the
NLSY97.
AB - This paper provides new estimates of the relationship between health insurance
coverage and health status of young adults using the confidential version of the
National Longitudinal Survey of Youth, 1997 Cohort (NLSY97). Using a regression
discontinuity design, I find that approximately 6 % of young adults lose their
health insurance coverage once they turn 19. However, in contrast to the findings
from the recent literature, the effect of this discrete change in health
insurance coverage on self-reported health status of young adults is quite
limited and often statistically insignificant.
PMID- 27878678
TI - Reference pricing in the presence of pseudo-generics.
AB - This paper looks at producers of branded and generic pharmaceuticals' pricing
decisions under two possible reimbursement schemes-reference pricing and fixed
percentage reimbursement-and under two settings-one where the branded producer
only sells the (off-patent) branded pharmaceutical and another where, in
addition, it may also sell its own generic version, a so called pseudo-generic.
We find different pricing responses from firms under the two reimbursement
schemes and across the two settings analysed (with or without a pseudo-generic),
and show that pseudo-generics may have an anticompetitive effect. Our results
have important policy implications such as showing that the presence of pseudo
generics reinforces reference pricing's advantages over alternative reimbursement
schemes.
PMID- 27878677
TI - Rating the digital help: electronic medical records, software providers, and
physicians.
AB - To separate the effects of physicians' characteristics on the perceived
productivity of EMRs from the effects of limitations on usability inherent in EMR
design, a multivariate regression model is used to estimate the factors
influencing physicians' rankings of five attributes of their EMRs, namely; ease
of use and reliability; the EMRs effect on physician and staff productivity and
the EMRs performance vs. vendor's promises. We divide the factors influencing the
rankings into three groups: physician characteristics, EMR characteristics and
practice characteristics (type of practice, size, and location). The data are
from approximately 1800 practicing physicians in Arizona. Physician's
characteristics influence perceived ease of use and physicians' productivity, but
not staff productivity, reliability or vendors' promised performance. Practice
type and EMR characteristics affect perceived productivity, reliability and
performance versus vendors' promises. Vendor-specific effects are highly
correlated across all five attributes and are always jointly significant. EMR
characteristics are the most significant influence on physicians' perceptions of
the EMRs effect on their productivity and that of their staff. Physicians'
characteristics (particularly age) have a small but significant influence on
perceived productivity.
PMID- 27878679
TI - The financial burden of out of pocket prescription drug expenses in Canada.
AB - Pharmaceutical expenditures account for approximately 15.9 % of total health
expenditures in Canada. Unlike hospital and physician services, in which costs
are universally covered, most pharmacological therapy does not fall under the
umbrella of 'medically necessary' services set out by the Canada Health Act, and
therefore is funded through a mix of public and private plans. Little is known
about the actual financial burden experienced by Canadians from out-of-pocket
drug expenditures (OOPDE). This paper examines the burden of OOPDE in Canada. 1.1
% of Canadian households exceed our catastrophic threshold (9 %) of the drug
budget share. Additionally, 2.6 and 8.2 % of households exceed lower thresholds
of 6 and 3 % respectively. We find an inverse relationship between household
income and the burden of OPPDE. Low-income households have the highest likelihood
of being in the 'catastrophic' drug expenditure category. This finding suggests
that a vulnerable population of 'working poor' are likely to be experiencing
disproportionate financial burden because they are not eligible for public
assistance programs. Seniors experience the highest burden of OPPDE when compared
to other age groups. We also find that there is significant interprovincial
variation in the burden of OOPDE, which partly reflects different provincial
government drug coverage policies.
PMID- 27878680
TI - Catastrophic out-of-pocket payments for health and poverty nexus: evidence from
Senegal.
AB - Out-of-pocket payments are the primary source through which health expenditure is
met in Senegal. However, these payments are financial burdens that lead to
impoverishment when they become catastrophic. The purpose of this study is to
cast light on the determinants of catastrophic household out-of-pocket health
expenditures and to assess their implications on poverty. The 2011 poverty
monitoring survey is used in this study. This survey aims to draw poverty
profiles and to highlight the socio-economic characteristics of different social
groups. In line with the concerns raised by the new Supplemental Poverty Measure,
poverty statistics are adjusted to take into account household health
expenditures and to estimate their impoverishing effects. To identify the
determinants of the magnitude of catastrophic health expenditure, we implement a
seemingly unrelated equations system of Tobit regressions to take into account
censoring through a conditional mixed-process estimator procedure. We identify
major causes of catastrophic expenditures, such as the level of overall health
spending, the expensiveness of health goods and services, the characteristics of
health facilities, the health stock shocks, the lack of insurance, etc. Results
show evidence that catastrophic health expenditures jeopardize household welfare
for some people that fall into poverty as a result of negative effects on
disposable income and disruption of the material living standards of households.
Our findings warrant further policy improvements to minimize the financial risks
of out-of-pocket health expenditures and increase the efficiency of health care
system for more effective poverty reduction strategies.
PMID- 27878681
TI - The impact of pharmaceutical innovation on premature cancer mortality in Canada,
2000-2011.
AB - The premature cancer mortality rate has been declining in Canada, but there has
been considerable variation in the rate of decline across cancer sites. I analyze
the effect that pharmaceutical innovation had on premature cancer mortality in
Canada during the period 2000-2011, by investigating whether the cancer sites
that experienced more pharmaceutical innovation had larger declines in the
premature mortality rate, controlling for changes in the incidence rate.
Premature mortality before age 75 is significantly inversely related to the
cumulative number of drugs registered at least 10 years earlier. Since mean
utilization of drugs that have been marketed for less than 10 years is only one
sixth as great as mean utilization of drugs that have been marketed for at least
a decade, it is not surprising that premature mortality is strongly inversely
related only to the cumulative number of drugs that had been registered at least
ten years earlier. Premature mortality before age 65 and 55 is also strongly
inversely related to the cumulative number of drugs that had been registered at
least ten years earlier. None of the estimates of the effect of incidence on
mortality are statistically significant. Controlling for the cumulative number of
drugs, the cumulative number of chemical subgroups does not have a statistically
significant effect on premature mortality. This suggests that drugs (chemical
substances) within the same class (chemical subgroup) are not therapeutically
equivalent. During the period 2000-2011, the premature (before age 75) cancer
mortality rate declined by about 9 %. The estimates imply that, in the absence of
pharmaceutical innovation during the period 1985-1996, the premature cancer
mortality rate would have increased about 12 % during the period 2000-2011. A
substantial decline in the "competing risk" of death from cardiovascular disease
could account for this. The estimates imply that pharmaceutical innovation during
the period 1985-1996 reduced the number of years of potential life lost to cancer
before age 75 in 2011 by 105,366. The cost per life-year before age 75 gained
from previous pharmaceutical innovation is estimated to have been 2730 USD. Most
of the previously-registered drugs were off-patent by 2011, but evidence suggests
that, even if these drugs had been sold at branded rather than generic prices,
the cost per life-year gained would have been below 11,000 USD, a figure well
below even the lowest estimates of the value of a life-year gained. The largest
reductions in premature mortality occur at least a decade after drugs are
registered, when their utilization increases significantly. This suggests that,
if Canada is to obtain substantial additional reductions in premature cancer
mortality in the future (a decade or more from now) at a modest cost,
pharmaceutical innovation (registration of new drugs) is needed today.
PMID- 27878682
TI - DFT study on the effects of beta-cyclodextrin in synthesis of 2
phenylbenzimidazole via benzaldehyde and o-phenylenediamine.
AB - The conversion of 2-phenylbenzimidazole using o-phenylenediamine and benzaldehyde
can be improved significantly under beta-cyclodextrin (beta-CD). The density
functional theory (DFT) method was applied to study the whole process. According
to energy parameters (binding energy, deformation energy) and structural
deformation, entry models and the reaction process can be pinpointed, with o
phenylenediamine embedding beta-CD from a wide rim, and then benzaldehyde passing
into the inclusion from the narrow rim. Subsequently, natural bonding orbital
(NBO), Mulliken charge, frontier orbital, FuKui function and nuclear magnetic
resonance (NMR) methods were employed to reveal the mechanism of electron
transfer. The results illustrate that beta-CD plays a catalytic role in synthesis
reaction mechanism on the secondary side, improving the reactivity and
selectivity of the process. Graphical Abstract Density functional theory study of
the effects of beta-cyclodextrin in synthesis of 2-phenylbenzimidazole via
benzaldehyde and o-phenylenediamine.
PMID- 27878683
TI - APRIL gene polymorphism and serum sAPRIL levels in children with systemic lupus
erythematosus.
AB - Systemic lupus erythematosus (SLE) is a multi-factor autoimmune disorder with
diverse clinical manifestations and unclear pathogenesis. Genetic components play
important roles in the incidence and development of SLE. Among these, APRIL as a
cytokine has roles in the stimulation and antibody production in B cells. APRIL
was hypothesized to be associated with SLE. The aim of this study was to assess
the involvement of the APRIL gene in SLE susceptibility in Iranian patients. A
single-nucleotide polymorphism (SNP) for rs11552708 of APRIL gene was analyzed by
real-time PCR in 60 SLE Iranian children and 64 healthy controls. DNA samples of
patients and healthy controls were extracted from peripheral blood leukocytes by
phenol-chloroform. Serum samples obtained from 45 children with SLE and 45
healthy controls were assayed by enzyme-linked immunosorbent assay (ELISA). The
G/G genotype (odds ratio (OR) 0.67, 95% confidence interval (CI) 0.22-2.07; P =
0.68) and G allele (OR 0.81, 95% CI 0.25-2.56; P = 0.89) frequencies of
polymorphism at codon 67 (67G) do not differ significantly in the SLE patients
compared with those in the healthy controls. The serum APRIL levels in the SLE
patients (mean +/- SD = 29.27 ng/ml +/- 20.77, range from 0 to 55.33 ng/ml) were
significantly higher than those in the healthy controls (P = 0.02). Our results
demonstrated that rs11552708 of the APRIL gene is not associated with SLE
susceptibility in Iranian children. Likewise, these findings suggest that APRIL
antagonist could be a potential therapeutic target to control SLE in children.
PMID- 27878684
TI - Bakuchiol Protects Against Acute Lung Injury in Septic Mice.
AB - Sepsis is a systemic inflammatory reaction that may lead to multiple organ damage
and acute lung injury (ALI). Bakuchiol (Bak) has been reported to confer
protection against inflammation and oxidative stress. However, its effect on
sepsis-induced acute lung injury remains unclear. In the present study, male
C57BL/6 mice were subjected to cecal ligation and puncture (CLP), and Bak (15,
30, 60 mg/kg) was administered intragastrically after 0 and 3 h of surgery. Lung
water content was detected. Pathologic changes in lung tissues were evaluated via
hematoxylin and eosin (H&E) staining. The levels of myeloperoxidase (MPO), IL
1beta, IL-6, and TNF-alpha were evaluated using ELISA. In addition, expression
levels of phosphorylated (p)-IkappaB, ICAM-1, HMGB1, nitrotyrosine (3-NT),
claudin-1, and VE-cadherin were detected using Western blot. Further, IL-1beta
expression was evaluated using immunofluorescence. SOD activity, contents of MDA,
and 8-OHdG were detected to determine the level of oxidative stress. Our results
suggested that Bak (60 mg/kg) treatment significantly attenuated pathologic
changes and edema in lung tissues and attenuated inflammation and oxidative
stress in the lung following sepsis. Additionally, Bak treatment alleviated
sepsis-induced lung endothelial barrier disruption. In conclusion, Bak treatment
attenuates ALI following sepsis by suppressing inflammation, oxidative stress,
and endothelial barrier disruption. Our study indicates that Bak is a potential
candidate to treat sepsis-induced ALI.
PMID- 27878685
TI - The Role of IL-33 on LPS-Induced Acute Lung Injury in Mice.
AB - The objective of the study is to investigate the role and specific molecular
mechanism of interleukin-33 (IL-33) acted on acute lung injury (ALI) induced by
lipopolysaccharide (LPS). C57BL/6 mice intratracheally instilled LPS to induce
ALI model. The mice were randomly divided into three groups: the sham operation
group (Sham), ALI group (ALI), and pretreatment with IL-33 of ALI group (IL-33).
By observing the survival rate, inflammatory cytokines in bronchoalveolar lavage
fluid (BALF), myeloperoxidase (MPO) levels in lung tissue, lung histopathological
examination, pulmonary capillary leakage, lung wet/dry (W/D) weight ratio,
fibrosis levels in lung tissue, and associated pathways changes among the
different groups, comparing to explore the role of IL-33 pretreatment on ALI mice
and the possible molecular mechanisms. IL-33 pretreatment overall decreased the
survival rate of ALI mice. IL-33 aggravated inflammation reaction showing as
increasing the release of proinflammatory cytokines TNF-alpha and IL-6,
increasing MPO levels in lung tissue, and aggravating lung pathology injury. In
addition, IL-33 pretreatment further destroyed adherens junctions (AJs) by
increasing the phosphorylation of VE-cadherin, resulting in the concomitantly
pulmonary capillary barrier damage and pulmonary edema. During this process,
mitogen-activated protein kinase (MAPK) pathways further activated. However, IL
33 pretreatment had no significant impact on collagen content of lung tissue. Our
results indicated that IL-33 aggravated inflammatory reaction and increased
microvascular permeability, but had little effect on pulmonary fibrosis,
associated with the further activation of MAPK family proteins in the process. To
sum up, IL-33 decreased survival rate and aggravated LPS-induced ALI.
PMID- 27878686
TI - Role of Anti-inflammatory Cytokines IL-35 and IL-37 in Asthma.
AB - Asthma is a chronic airway inflammation that is characterized by intense
eosinophil infiltrates, mucus hypersecretion, airway remodeling, and airway
hyperresponsiveness. Interleukin (IL)-35 and IL-37 are two cytokines with anti
inflammatory effects found in immune response. Recent findings suggested that
expressions of IL-35 and IL-37 are abnormal in asthma. Functional analysis
further confirmed the important roles of them in the pathogenesis of asthma. The
present study reviewed the updated evidence indicating the roles of IL-35 and IL
37 in asthma. Hopefully, the information obtained may lead to a better
understanding of the pathogenesis of the disease.
PMID- 27878688
TI - Outpatient visits after retirement in Europe and the US.
AB - I conduct an empirical analysis of the relation between retirement and outpatient
care use in Europe and the US, and investigate the potential driving factors of
that. I link the empirical analysis to a theoretical model of medical care
demand. I document that pensioners tend to visit a doctor with higher probability
and more often than the rest of the 50+ population. Ceteris paribus, being
retired implies 3-10 % more outpatient visits in Europe. The estimates are of
similar magnitude in the US. The paper contributes to the understanding of how
population ageing plays a part in the rising health care expenditures. I find
evidence that retirement related individual characteristics, increasing leisure
time and stronger health preferences all contribute to the positive relation
between retirement and outpatient care use, which is mainly driven by the
healthier individuals. The gatekeeper role of general practitioners can mitigate
the increased demand for outpatient care services after retirement.
PMID- 27878689
TI - For-profit status and industry evolution in health care markets: evidence from
the dialysis industry.
AB - This paper examines why for-profit dialysis providers have displaced non-profit
providers over the last 25 years. Using detailed data on individual markets'
evolutions, I find that for-profit facilities were quicker to enter growing
markets and slower to exit declining ones than non-profit facilities. Moreover,
for-profit providers' presence in a market had a larger impact on the exit and
entry behavior of competitors. These results suggest that for-profit dialysis
providers have an advantage in static competition relative to non-profit
providers, and that this-rather than lower entry costs-explains their increasing
prominence. Additional empirical analyses indicate that for-profits' advantage
cannot solely be attributed to efficiencies related to membership in a large,
multi-facility chain. This further suggests that managerial incentives have had
an economically significant impact on long-run market structure in this industry.
PMID- 27878687
TI - Aptamers Against Pro- and Anti-Inflammatory Cytokines: A Review.
AB - Inflammatory disorders result from continuous inflammation in injured sites. Many
molecules are involved in this process; the inhibition of which could prevent the
inflammation. Chemokines are a group of these biological mediators which are
categorized into pro-, anti-, and pro-/anti-inflammatory. Thus, targeting these
essential molecules can be an effective way for prevention and control of
inflammatory diseases. Various therapeutic agents have been developed for primary
and secondary prevention of these disorders, but each of them has its own
limitations. Aptamers, as novel therapeutic agents, are a new generation of drugs
which could replace other medications even antibodies. Aptamer can bind to its
target molecule to trap it and prohibit its function. Among large group of
inflammatory cytokines, only 11 aptamers have been selected either against
cytokines or their related receptors. These cytokines include interleukin (IL)-2,
IL-6, IL-10, IL-11, IL-17, IL-32, TGF-beta, TNF-alpha, IFN-gamma, CCL2, and IP
10. Most of the isolated aptamers are against pro-inflammatory or dual function
cytokines, and it seems that they could be used for diagnosis, prevention, and
treatment of the related inflammatory diseases. Most of the aptamers have been
tested in vitro, but so far, none of them has been approved for in vivo use.
Given a vast number of inflammatory cytokines, more aptamers against this group
of biological molecules will be selected in the near future. The available
aptamers will also be tested in clinical trials. Therefore, a significant
improvement is expected for the prevention and control of inflammatory disorders.
PMID- 27878690
TI - Willingness to pay and the sensitivity of willingness to pay for
interdisciplinary musculoskeletal clinics: a contingent valuation study in
Quebec, Canada.
AB - Orthopedics is a discipline that requires a continuum of care in close
collaboration with physicians, nurses, and healthcare professionals to ensure
effective rehabilitation. In some cases, the wait time for a consultation may be
very long, which can jeopardize the patient's rehabilitation and sometimes even
cause complications that lead to a loss of autonomy. In Quebec, there is a severe
shortage of healthcare professionals-and of orthopedic surgeons, in particular
specializing in musculoskeletal problems. To address this problem, public
managers have decided to establish interdisciplinary musculoskeletal clinics in
regions outside the two major cities of Montreal and Quebec. The major benefits
of these clinics are that they reduce the wait time for consultation and
treatment while maintaining service quality. Although their benefits are certain,
these clinics remain threatened by relatively high initial costs. This study's
objective is to evaluate whether the population of Quebec has a quantifiable
willingness to pay (WTP) to establish these clinics. To our knowledge, this is
the first study of its kind either in the province of Quebec or elsewhere. We
selected 3822 subjects randomly within the target population using Internet
surveys, telephone surveys and self-administered paper surveys as our methods of
recruitment. Three payment vehicles were used and each participant was randomly
allocated among these: tax, donation or lump-sum fee. A contingent valuation
question using a referendum format with the option "don't know" was used.
Econometric estimates were performed using probit and Wang's models. Our results
indicate that the population of Quebec may potentially have a mean WTP of 42.3
Canadian dollars per person for such clinics, which would enable a mean reduction
from 12 to 4 months of wait time. However, the WTP is found to be very sensitive
to the survey mode and the payment vehicle used: about 1.2-2 times more important
in the tax and the lump-sum fee scenarios than in the donation scenario, and
about 3-4 times less important in the Internet survey than in the telephone or
self-administered paper surveys. In addition, this amount was strongly affected
by the introduction of a new governmental health-related policy that arose during
the survey and led to a minimal drop in WTP of about 30-50 %. This strong
sensitivity led us to the three following recommendations for future contingent
valuation studies: (1) favour Internet surveys, (2) use a payment vehicle that
limit uncertainty in the WTP answer and allow to socialize benefits, as the tax
scenario in our study, and (3) strictly apply the "divide by 2" rule of the NOAA
panel.
PMID- 27878691
TI - Can patent duration hinder medical innovation.
AB - We argue that, in the pharmaceutical industry, excessive patent duration can
deter investments in innovative treatments in favor of me-too drugs. The point is
that too-long durations foster incentives to collude to delay investments in R&D
for innovative treatments. We give a set of sufficient conditions for which
collusion is a subgame-perfect equilibrium; that is, the threat of punishing any
deviator is credible. We then show that reducing current duration always breaks
down market discipline, and so does an increase in duration for innovative
treatments. Optimal patent duration must then be a trade-off between breaking
down market discipline and rewarding innovation.
PMID- 27878692
TI - Medical employment growth, unemployment, and the opportunity cost of health care.
AB - This policy note examines the relationship between the growth in the share of the
workforce in medical care and the shares of workers who are unemployed, working
in services or government employment, or working elsewhere in the economy. These
changes provide measures of the opportunity cost of higher medical care spending,
the majority of which is on labor. Using state data over the period 1990-2010, we
find that, in years of high economy-wide unemployment, growth in medical
employment in a state reduces the unemployment rate significantly; it does not
appear to displace employment in other services or government employment. In
periods of low economy wide-unemployment, the growth in the medical employment
share does not reduce unemployment. We argue that the opportunity cost of higher
medical care employment may sometimes not be so high in terms of real labor
resources, nor in terms of employment for needed government services.
PMID- 27878693
TI - Is medicines parallel trade 'regulatory arbitrage'?
AB - Parallel trade (PT) is a phenomenon that takes place at the distribution level,
when a patented product is diverted from the official distribution chain to
another one where it competes as a parallel distributor. Although some research
regards PT in Europe as a 'common' form of arbitrage, there are reasons to
believe that it is a type of 'regulatory arbitrage' that does not necessarily
produce equivalent welfare effects. We draw upon a unique dataset that contains
source country records of parallel imported medicine sales to the Netherlands for
one therapeutic group (statins), that accounts for 5 % of the market at the time
of study and it faced no generic competition. We estimate precise differences in
prices and statutory distribution margins for each source country/product and,
examine whether they drive parallel import flows using a gravity specification
and an instrumental variable strategy. Our findings reveal that parallel imports
are driven by cross-country differences in statutory distribution margins in
addition to price differences, consistently with the hypothesis of PT being a
type of 'regulatory arbitrage'.
PMID- 27878694
TI - Physical activity and time preference.
AB - This paper investigates the link between time preference (whether a person is
more present or future oriented) and time spent participating in physical
activity. Using data on time spent engaged in physical activity from the National
Longitudinal Surveys of Youth 1979 cohort, 2006 wave, where time preference is
proxied by the expected share of money saved from a hypothetical $1000 cash
prize. I find that time preference is a significant predictor of the amount of
time spent participating in both vigorous and light-to-moderate physical activity
for women and vigorous physical activity for men. The results are robust to
various sample restrictions and alternative measures of time preference. The
findings in this paper fill in a gap in the relationship between time preference
and body composition by examining one of the pathways through which the former
might affect the latter using a large, nationally representative dataset.
PMID- 27878695
TI - Medical expenditure in urban China: a quantile regression analysis.
AB - Many countries have been trying to expand their public health insurance coverage
in recent years. To achieve two fundamental policy goals-equity in health care
utilization and control of health care costs-policymakers need a better
understanding of the underlying determinants of individual health care
expenditure beyond the results of mean regressions. In this paper, we apply a
quantile regression method to investigate the heterogeneous effects of various
determinants of medical expenditure in China. Comparing with the average effects,
we find that health care expenditures at the upper end of the distribution are
under stronger influences of need factors such as poor health status, and weaker
influences of socioeconomic factors and insurance status. On the other hand,
health care expenditures at the lower end of the distribution are under stronger
influences of socioeconomic factors and insurance status, and weaker influences
of need factors. Our study may provide useful information to policymakers for the
optimal design of their health care systems, and it may be of particular
interests to the health policymakers in China, where is currently still in a
period of reshaping its health-care system.
PMID- 27878696
TI - Under regional characteristics of rural China: a clearer view on the performance
of the New Rural Cooperative Medical Scheme.
AB - The New Cooperative Medical Scheme (NCMS) was implemented in 2003 in response to
the poor state of health care in rural China. Considering the substantial
differences in regional socioeconomics, preferences for health care needs, and
concurrent implementation of other health-related policies, the extent to which
the impact of the NCMS differs in rural communities across China is unclear. The
objective of this paper, therefore, was to explore the variation in the
determinants of household enrolment and the impact of enrolment on health care
utilization and medical expenditures in three large geographic regions in China.
A quasi-experiment study was designed based on the panel data of the China Health
and Nutrition Survey. The bounding approach was used to conduct a robust check of
impact estimation under the assumption of unobserved bias. A major finding is
that household income plays no significant role for enrolment, which indicates
the equity of program coverage in income terms. However, regional circumstances
matter. In the generally poorer western regions, households with a high ratio of
migrant workers are less attracted to the NCMS program, and adoption of the
program is related to the regional infrastructure environment variables in the
eastern and western regions. The NCMS has improved medical care utilization for
poor income groups and regions (western regions). The NCMS's impact on reducing
the incidence of catastrophic expenditures is not shown for all regions.
PMID- 27878697
TI - NFkappaBP65 transcription factor modulates resistance to doxorubicin through ABC
transporters in breast cancer.
AB - BACKGROUND: Shedding light on chemoresistance biology of breast cancer could
contribute to enhance the clinical outcome. Intrinsic or acquired resistance to
chemotherapy is a major problem in breast cancer treatment. METHODS AND
MATERIALS: The NFkappaB pathway by siRNAP65 and JSH-23 as a translocational
inhibitor of NFkappaBP65 in the doxorubicin-resistant MCF-7 (MCF-7/Dox) and MCF-7
cells was blocked. Then, the ABC transporter expression and function were
assessed by real-time qRT-PCR and flow cytometry, respectively. Induction of
apoptosis was evaluated after inhibition of the NFKappaB pathway as well.
RESULTS: Our study underlined the upregulation of NFkappaBP65 and anti-apoptotic
Bcl-2 and downregulation of pro-apoptotic Bax in the MCF-7/Dox cells compared
with control MCF-7 cells. Here, we showed that interplay between nuclear factor
kappa B P65 (NFkBP65) as a transcriptional regulator and ABC transporters in the
MCF-7/Dox cancer cells. We found that inhibition of the elevated expression of
NFkappaBP65 in the resistant breast cancer, whether translocational inhibition or
silencing by siRNA, decreased the expression and function of MDR1 and MRP1 efflux
pumps. Furthermore, the blockade of NFkappaBP65 promoted apoptosis via modulating
Bcl-2 and BAX expression. After inhibition of the NFkappaBP65 signaling pathway,
elevated baseline expression of survival Bcl-2 gene in the resistant breast cells
significantly decreased. CONCLUSION: Suppression of the NFkappaB pathway has a
profound dual impact on promoting the intrinsic apoptotic pathway and reducing
ABC transporter function and expression, which are some of the chemoresistance
features. It was speculated that the NFkappaB pathway directly acts on
doxorubicin-induced MDR1 and MRP1 expression in MCF-7/Dox cells.
PMID- 27878698
TI - Mitral valve enhancement in a patient with active Rheumatic Fever: An uncommon
phenomenon on the MRI examination with possible clinical significance.
AB - Intense enhancement of the mitral valve is documented in a MRI examination
performed on a patient with rheumatic multi-valvular disease. Patient had the
elevated inflammatory markers indicating active inflammatory process. Such
hitherto undocumented phenomenon may have important clinical significance in
disease evaluation, thus provide a feasible option to document and follow up,
active valvular involvement in patients with active rheumatic fever.
PMID- 27878699
TI - Differentiation of light-chain cardiac amyloidosis from hypertrophic
cardiomyopathy using myocardial mechanical parameters by velocity vector imaging
echocardiography.
AB - We aimed to evaluate the diagnostic efficacy of layered velocity vector imaging
(VVI)-derived left ventricular (LV) mechanical parameters in the differential
diagnosis of primary light-chain cardiac amyloidosis (AL-CA) and hypertrophic
cardiomyopathy (HCM). We recruited 35 subjects with histologically-diagnosed AL
CA, 35 subjects with HCM, and 30 age-matched healthy controls. We used
conventional echocardiography and electrocardiogram to evaluate general heart
function and electrophysiology properties. Furthermore, we applied two
dimensional VVI echocardiography to assess the layered mechanical parameters
during systole, including endocardial and epicardial longitudinal strain (ENDO
and EPI LSsys), circumferential strain (CSsys), radial strain (RSsys), rotation
(ROT) and twist (TWI), in different LV walls and levels. Two groups of patients
had similarly elevated LV wall thickness and mild diastolic dysfunction, but
normal ejection fraction. ENDO LSsys of three circular LV levels and six LV walls
was markedly decreased in AL-CA patients, with the most prominent reduction in
the basal level. The reduction of ENDO and EPI LSsys in HCM subjects was less
profound, and was restricted to certain LV wall and levels. AL-CA patients had
significantly reduced RSsys in the LV basal level compared with control or HCM
patients. Two groups of patients exhibited similar reduction in layered regional
CSsys, ROT and TWI. ROC analysis revealed that the sensitivity and specificity of
basal ENDO LSsys for predicting AL-CA was 86 and 89%. Assessment of layered LSsys
of LV walls and levels by VVI appeared to provide a more sensitive and specific
diagnostic index for the differential diagnosis of AL-CA from HCM than
conventional echocardiography. Future studies are warranted to evaluate its
diagnostic efficacy for AL-CA diagnosis in the large population.
PMID- 27878701
TI - Physicians' balance billing, supplemental insurance and access to health care.
AB - Some countries allow physicians to balance bill patients, that is, to bill a fee
above the one that is negotiated with, and reimbursed by the health authorities.
Balance billing is known for restricting access to physicians' services while
supplemental insurance against balance billing amounts is supposed to alleviate
the access problem. This paper analyzes in a theoretical setting the consequences
of balance billing on the fees setting and on the inequality of access among the
users of physicians' services. It also shows that supplemental insurance against
the expenses associated with balance billing, rather than alleviating the access
problem, increases it.
PMID- 27878700
TI - Performance of native and contrast-enhanced T1 mapping to detect myocardial
damage in patients with suspected myocarditis: a head-to-head comparison of
different cardiovascular magnetic resonance techniques.
AB - Myocardial T1 mapping is a novel technique that has proven to be superior to
standard imaging for differentiation between healthy individuals in acute
myocarditis. Aim of this study was comparison of T1 mapping with a clinical
biomarker. We retrospectively investigated 171 patients undergoing cardiovascular
magnetic resonance (CMR) examination with suspected myocarditis by performing
native and contrast enhanced T1-mapping. Additionally, T2w and T1w images and
late gadolinium enhancement sequences (LGE) were utilized for myocardial
evaluation; Lake Louise Criteria comprise T1w, T2w and LGE imaging in a score.
Reference for positive myocarditis diagnosis was a ten-fold increase of troponin
level above normal (0.14 ng/ml). Native T1 and extracellular volume (ECV) showed
good association with relevant troponin elevations. Area under the curve (AUC)
was 81% (p = 0.0001) for native T1 with an optimal threshold of 979 ms and 86% (p
< 0.0001) for ECV with an optimal cutoff of 32.4%. AUC for T2w imaging (T2-signal
intensity ratio to skeletal muscle) was 77% (p = 0.0003). AUC for T2w imaging (T2
signal intensity compared to remote myocardium) was 69% (p = 0.012).
Additionally, we found positive correlation for native T1 and ECV with the Lake
Louise Criteria (r = 0.44, p = 0.0001 for native T1 and r = 0.45, p = 0.0001 for
ECV). Correlated to troponin as biomarker, ECV and native T1 mapping perform at
least equally well in comparison to established CMR-techniques LGE, T2w imaging
and the combined Lake Louise Criteria in detecting acute myocardial damage.
Normal ECV values rule out myocardial damage with very high certainty. T1 mapping
qualifies for further prospective evaluations to evolve as a separate biomarker.
PMID- 27878702
TI - Unmet needs in formal care: kindling the spark for caregiving behavior.
AB - This paper studies if a situation of formal care unmet needs is a strong
motivation for the onset of caregiving behavior, and if becoming caregiving is a
compelling argument for leaving current job (in the presence/absence of formal
care unmet needs). We use data from the Eurobarometer 67.3 for 18 European
countries and estimate a three simultaneous equations model taking into account
the potential endogeneity of labor participation and formal care unmet needs and
assuming non-zero correlation among the error terms of the three equations.
Results show that individuals who anticipate that becoming caregiver can suppose
an obstacle for continuing working feel more refractory and are more prone to
avoid caregiving responsibilities. Knowing someone with an unmet needs problem
increases the probability of becoming caregiver by +19.23 pp (with a maximum of
+39.39 pp for difficult access unmet needs) and raises the probability of leaving
employment by 5.77 pp. Having to possibility of receiving economic benefits for
caregivers encourage more labor market exit as compared to payment of social
security contributions during care leaves.
PMID- 27878704
TI - The effect of physician remuneration on regional variation in hospital
treatments.
AB - We study medical practice variations for nine hospital treatments in the
Netherlands. Our panel data estimations include various control factors and
physician's role to explain hospital treatments in about 3,000 Dutch zip code
regions over the period 2006-2009. In particular, we exploit the physicians'
remuneration difference-fee-for-service (FFS) versus salary-to explain the effect
of financial incentives on medical production. We find that utilization rates are
higher in geographical areas where more patients are treated by physicians that
are paid FFS. This effect is strong for supply sensitive treatments, such as
cataracts and tonsillectomies, while we do not find an effect for non-supply
sensitive treatments, such as hip fractures.
PMID- 27878703
TI - Can universal access and competition in long-term care insurance be combined?
AB - In countries with a public long-term care (LTC) insurance scheme administered by
multiple non-competing insurers, these insurers typically lack incentives for
purchasing cost-effective LTC because they are not at risk for LTC expenses.
Plans to introduce these incentives by allowing competition among risk bearing
LTC insurers are likely to jeopardize universal access. Combining universal
access and competition among risk bearing LTC-insurers requires an adequate
system of risk adjustment. While risk adjustment is now widely adopted in health
insurance, LTC-specific features cause uncertainty about the feasibility of risk
adjustment for LTC insurance. We examine the feasibility of appropriate risk
adjustment in LTC insurance by using a rich set of linked nationwide Dutch
administrative data. As expected, prior LTC use and demographic information are
found to explain much of the variation in individual LTC expenses. However, we
find that prior health care expenditures are also important in reducing predicted
losses for subgroups of health care users. Nevertheless, incentives for risk
selection against some easily identifiable subgroups persist. Moreover, using
prior utilization and expenditure as risk adjusters reduces incentives for
efficiency, creating a trade-off between equity and efficiency. To ease this
trade-off, data on individuals' underlying needs for LTC are required.
PMID- 27878705
TI - Do preferences of drinker-drivers differ?
AB - Why people engage in illegal activities is not well understood. Using data
collected for this research from eight cities in four states, this study
investigates alternative explanations as to why people drive while intoxicated
(DWI). We find that preferences and subjective beliefs about arrest/incarceration
of persons who drink and drive do differ systematically from others in terms of
benefits and costs of drink and driving, and in their risk tolerance. While most
findings imply that DWI is a deliberate choice, we do find that drinker drivers
tend to be more impulsive and lack self-control in their drinking.
PMID- 27878706
TI - The effect of health care expenditures on self-rated health status and the Health
Utility Index: Evidence from Canada.
AB - Studies of the effect of health care expenditures on health status suggest
conflicting evidence of a relationship using data from numerous countries. We use
data from the Canadian National Population Health Survey and the Canadian
Institute for Health Information to estimate the relationship between per capita
provincial health care expenditures and both self-assessed health status and the
Health Utility Index. Our sample includes all individuals who were 18 years old
or over at the beginning of the survey in 1994. We use random effects ordered
probits for self-assessed health status and quantile regressions for the Health
Utility Index (HUI). Our results show that provincial health care expenditures
have a limited effect on self-rated health status and the HUI. It may be that
self-rated health status and the HUI are noisy measures of heath status and as
such, combined with the small variation observed in health care expenditure
trends over the period, make the magnitude of the relationship between health
care expenditures and health difficult to estimate.
PMID- 27878707
TI - Local house prices and mental health.
AB - This paper examines the impact of local (county-level) house prices on individual
self-reported mental health using individual level data from the United States
Behavioral Risk Factor Surveillance System between 2005 and 2011. Exploiting a
fixed-effects model that relies on within-county variations, relative to the
corresponding changes in other counties, I find that while individuals are likely
to experience worse self-reported mental health when local house prices decline,
this association is most pronounced for individuals who are least likely to be
homeowners. This finding is not consistent with a prediction from a pure wealth
mechanism but rather with the hypothesis that house prices act as an economic
barometer. I also demonstrate that the association between self-reported mental
health and local house prices is not driven by unemployment or foreclosure. The
primary result-that lower local house prices have adverse impact on self-reported
mental health of homeowners and renters-is consistent with studies using data
from the United Kingdom.
PMID- 27878708
TI - The U.S. health production function: evidence from 2001 to 2009.
AB - This study estimates the impact of the 2007 financial crisis upon U.S. health as
measured by age adjusted death rates. OLS regression results suggest that the
average death rate was lower in the post-crisis period than the pre-crisis
period. The majority of the average decline in the death rate was a result of the
time period and not a result of changes in the values of the underlying
explanatory variables. We continue to find this result even adding state fixed
effects. Contrary to other research, we find that the unemployment rate has no
statistically significant impact on death rates either for the U.S. as a whole or
for any states individually. Rather, the impact of the financial crisis is felt
via year fixed effects that increased over time during the post-crisis period.
PMID- 27878709
TI - Is income relevant for health expenditure and economic growth nexus?
AB - This paper examines the relationship between health expenditure and economic
growth using panel data consisting low and high-income countries. Using dynamic
panel data methodology, we analyze twenty five high-income and nineteen low
income economies for the periods of 1995-2012 and 1997-2009, respectively. We
find reciprocal relationship between health expenditure and economic growth in
the short run and one-way causality from economic growth to public health
expenditure in the long-run. In high-income countries, there is a two-way
causality for both private and public health expenditures in the short-run, while
in the long-run there is a one-way causality between economic growth and private
health expenditures. The crucial finding of this study is that private health
expenditures have negative influence on economic growth while public health
expenditures have both negative and statistically significant effect.
PMID- 27878710
TI - The differential effect of compensation structures on the likelihood that firms
accept new patients by insurance type.
AB - Adequate access to primary care is not universally achieved in many countries,
including the United States, particularly for vulnerable populations. In this
paper we use multiple years of the U.S.-based Community Tracking Survey to
examine whether a variety of physician compensation structures chosen by
practices influence the likelihood that the practice takes new patients from a
variety of different types of insurance. Specifically, we examine the roles of
customer satisfaction and quality measures on the one hand, and individual
physician productivity measures on the other hand, in determining whether or not
firms are more likely to accept patients who have private insurance, Medicare, or
Medicaid. In the United States these different types of insurance mechanisms
cover populations with different levels of vulnerability. Medicare (elderly and
disabled individuals) and Medicaid (low income households) enrollees commonly
have lower ability to pay any cost sharing associated with care, are more likely
to have multiple comorbidities (and so be more costly to treat), and may be more
sensitive to poor access. Further, these two insurers also generally reimburse
less generously than private payors. Thus, if lower reimbursements interact with
compensation mechanisms to discourage physician practices from accepting new
patients, highly vulnerable populations may be at even greater risk than
generally appreciated. We control for the potential endogeneity of incentive
choice using a multi-level propensity score method. We find that the compensation
incentives chosen by practices are statistically and economically significant
predictors for the types of new patients that practices accept. These findings
have important implications for both policy makers and private health care
systems.
PMID- 27878711
TI - A framework for guiding efforts to reward value instead of volume.
AB - The U.S. healthcare system is in the midst of a major shift from fee-for-service
to value-based reimbursement models. To date, these new reimbursement models have
been focused on quality-contingent bonuses and cost-of-care risk sharing for
providers, both of which have yielded only modest success.An analysis of health
policy and business strategy literature was performed to identify the mechanisms
of how value is rewarded in other industries and to understand the barriers to
those mechanisms operating in the healthcare industry. A framework was developed
to organize these findings. Rewarding healthcare providers for delivering value
can only be achieved by enabling profitability to increase as value increases
relative to competitors. Four variables determine a provider's profitability,
each of which is considered as a potential lever to reward value with profit. The
lever that offers the greatest potential is quantity (i.e., market share).
Ironically, this means rewarding value with volume. The major barriers to value
improvements being rewarded with market share are identified, and the profound
impact of minimizing or removing those barriers is illustrated using a variety of
examples from our healthcare system. Trending reforms that rely on quality
contingent bonuses and cost-of-care risk sharing are limited in the degree of
value improvement they will stimulate because they rely on ineffective levers to
reward value; instead, reform efforts must focus on removing barriers to
rewarding value with market share. The framework presented can be used to predict
the impact of any proposed reform.
PMID- 27878712
TI - Much ado about nothing? The financial impact of physician-owned specialty
hospitals.
AB - The U.S. hospital industry has recently witnessed a number of policy changes
aimed at aligning hospital payments to costs and these can be traced to
significant concerns regarding selection of profitable patients and procedures by
physician-owned specialty hospitals. The policy responses to specialty hospitals
have alternated between payment system reforms and outright moratoriums on
hospital operations including one in the recently enacted Affordable Care Act. A
key issue is whether physician-owned specialty hospitals pose financial strain on
the larger group of general hospitals through cream-skimming of profitable
patients, yet there is no study that conducts a systematic analysis relating such
selection behavior by physician-owners to financial impacts within hospital
markets. The current paper takes into account heterogeneity in specialty hospital
behavior and finds some evidence of their adverse impact on profit margins of
competitor hospitals, especially for-profit hospitals. There is also some
evidence of hospital consolidation in response to competitive pressures by
specialty hospitals. Overall, these findings underline the importance of the
payment reforms aimed at correcting distortions in the reimbursement system that
generate incentives for risk-selection among providers groups. The identification
techniques will also inform empirical analysis on future data testing the
efficacy of these payment reforms.
PMID- 27878713
TI - Exit from catastrophic health payments: a method and an application to Malawi.
AB - This paper proposes three measures of average exit time from catastrophic health
payments; the first measure is non-normative in that the weights placed on
catastrophic payments incurred by poor and nonpoor households are the same. It
ignores the fact that the opportunity cost of health spending is different
between poor and nonpoor households. The other two measures allow for
distribution sensitivity but differ in their conceptualization of inequality; one
is based on socioeconomic inequalities in catastrophic health payments, and the
other uses pure inequalities in catastrophic health payments. The proposed
measures are then applied to Malawian data from the Third Integrated Household
Survey. The empirical results show that when the threshold of pre-payment income
is increased from 5 to 15 %, the average exit time decreases from 2.1 to 0.2
years; and as the catastrophic threshold rises from 10 to 40 % of ability to pay,
the average exit time falls from 3.6 to 0.1 years. It is found that adjusting for
socioeconomic inequality leads to small changes in the exit times, however, using
pure inequality leads to large reductions in the exit time.
PMID- 27878715
TI - Prescription drug coverage and chronic pain.
AB - Chronic pain is one of the most common chronic conditions affecting more than 50
% of older adults. While pain management can be quite complex, prescription drugs
are the most commonly used treatment modality. In this study, I examine whether
increased access to prescription drugs due to the introduction of the Medicare
Part D program in 2006 led to better management of pain among the elderly. While
prior work has identified increases in the utilization of analgesics due to the
introduction of Medicare Part D, the extent to which this increase in drug use
actually improved the well-being of older adults is not known. Using data from
the Health and Retirement Study, I employ a difference-in-differences strategy
that compares pre versus post 2006 changes in pain related outcomes between
Medicare eligible persons and a younger ineligible group. I find that Medicare
Part D significantly reduced pain related activity limitations among a sample of
older adults who report being troubled by pain.
PMID- 27878714
TI - Going beyond life expectancy in assessments of health systems' performance: life
expectancy adjusted by perceived health status.
AB - International comparisons of health systems data have been used to guide health
policy. Health systems performance is generally evaluated on how different
factors contribute to mortality and longevity. Fewer studies scrutinize the
factors that determine morbidity in different countries, partly because
indicators that assess morbidity on a country level are not as widely available
as mortality and longevity data. We introduce a new health status indicator able
to combine mortality and morbidity in a single composite measure for each country
and gender at a point in time (LEAPHS), yielding the average number of years that
men (women) can expect to live in "good" (or better) health. Using the Sullivan
method we combine the mortality risk, calculated for specific age and gender
groups, with perceived health status for the same age and gender groups, and we
estimate how medical care and various socio-economic, environmental and
structural, lifestyle, and technological factors affect LEAPHS and life
expectancy at birth for a large panel of thirty OECD countries. We find that some
variables (alcohol consumption, urbanization) have a significant effect on both
LEAPHS and life expectancy, while one variable (the number of hospitals) has a
significant effect for both genders on life expectancy only. However, the effects
of many other variables (health expenditure per capita, health expenditure per
capita squared, GDP growth, and technology) were only significant predictors for
LEAPHS. This leads us to conclude that LEAPHS is able to capture the impact of
some health determinants not captured by life expectancy at birth. While we
believe this new measure may be useful for health economists and statisticians
doing cross-country analyses, further comparisons with other measures may be
useful.
PMID- 27878716
TI - Inhibition of Tobacco Ringspot Virus by the Culture Fluid of L-Lysine-alpha
Oxidase Producing Strain.
AB - A producing strain of an anti-tumor and antiviral enzyme L-lysine-alpha-oxidase
from Trichoderma was cultured using a technological device of G. K. Skryabin
Institute of Biochemistry and Physiology of Microorganisms, Russian Academy of
Sciences (Pushchino). L-lysine-alpha-oxidase activity in the obtained metabolite
concentrate was 5.4 U/ml. We studied the effects of the concentrate of active L
lysine-alpha-oxidase producer on the highly infectious Tobacco ringspot virus and
revealed anti-viral activity of it when enzyme concentration was at least 1.0
U/ml.
PMID- 27878717
TI - Antitumor Effects of Sorbus aucuparia L. Extract Highly Saturated with Anthocyans
and Their Mechanisms.
AB - The effects of Fructus Sorbi aucupariae extract, originally saturated with
anthocyans, on the development of Lewis lung carcinoma and B-16 melanoma in
C57Bl/6 mice and the efficiency of cyclophosphamide treatment were studied.
Antitumor activity of the extract and potentiation of the antimetastatic activity
of the cytostatic were demonstrated. Studies on melanoma B-16 model revealed an
increase in the counts of stromal progenitor cells in the tumor node and their
accelerated maturation after treatment with the extract. No effects towards the
tumor stem and committed cells were detected.
PMID- 27878718
TI - Proinflammatory Cytokines as Regulators of Vaginal Microbiota.
AB - It was shown that IL-1beta, IL-8, and IL-6 in concentrations similar to those in
the vagina of healthy women stimulated the growth of normal microflora
(Lactobacillus spp.) and suppressed the growth and biofilm production by S.
aureus and E. coli. On the contrary, these cytokines in higher concentrations
typical of vaginal dysbiosis suppressed normal microflora and stimulated the
growth of opportunistic microorganisms. TGF-beta1 in both doses produced a
stimulating effects on study vaginal microsymbionts. It is hypothesized that pro
inflammatory cytokines serve as the molecules of interspecies communication
coordinating the interactions of all components of the vaginal symbiotic system.
PMID- 27878719
TI - Transmural Differences in Mechanical Properties of Isolated Subendocardial and
Subepicardial Cardiomyocytes.
AB - We studied the differences in twitch force of subendocardial and subepicardial
cardiomyocytes isolated from mouse left ventricular wall at different preloads
using an original single cell stretch method recently developed by us. Then, we
used our mathematical models of subendocardial and subepicardial cells to predict
underlying cellular mechanisms. Transmural differences in the amplitudes of
active tension of subendocardial and subepicardial cardiomyocytes were revealed
that could be related to the differences in cooperative end-to-end interaction
between the neighboring regulatory units of the thin filament.
PMID- 27878720
TI - Selank Inhibits Ethanol-Induced Hyperlocomotion and Manifestation of Behavioral
Sensitization in DBA/2 Mice.
AB - The effect of non-benzodiazepine anxiolytics on the ethanol-induced
hyperlocomotion and behavioral sensitization was assessed in male DBA/2 mice.
Selank that enhances activity of the endogenous opioid system (0.3 mg/kg,
intraperitoneally), similar to the nonselective opiate receptor blocker naloxone
(1.0 mg/kg, intraperitoneally), prevented the development of ethanol-induced (2.0
g/kg intraperitoneally) hyperlocomotion, in contrast to sigma1-receptors agonist
Afobazole (1.0 mg/kg, intraperitoneally) that did not inhibit ethanol-induced
behavioral stimulation. Single dose of Selank significantly blocked manifestation
of motor sensitization without affecting its formation. These findings suggest
that Selank can modulate the motivational effects of ethanol.
PMID- 27878721
TI - Evaluation In Vitro of Immunoregulatory Cytokines Secretion by Dendritic Cells in
Mountain Skiers.
AB - In vitro production of immunoregulatory cytokines (IFN-alpha, IL-31, TNF-beta, IL
17A, IL-7, IL-1RA, IL-1alpha, IL-10, IL-15, IL-21, IL-22, IL-23, IL-27, and IL-9)
by dendritic cell cultures was compared in ski athletes and healthy donors.
Effect of prolonged intense physical exercise on secretory activity of immune
cells was investigated. In both groups, secretion of IL-1RA, IL-10, IL-1alpha by
dendritic cells was revealed, but there were significant differences in IL-1RA,
IL-1alpha content (p<0.05) with lower level in the group of athletes. Production
of IL-17A and IL-7 by dendritic cells in the group of athletes was not detected.
In athletes, several proinflammatory cytokines (IFN-alpha, IL-31, and TNF-beta)
were secreted by cells in high concentrations, in contrast to the control group.
In both groups, dendritic cells did not secrete IL-15, IL-21, IL-22, IL-23, IL
27, and IL-9.
PMID- 27878722
TI - Role of PI3K, MAPK/ERK 1/2, and p38 in Production of Erythropoietic Activity by
Bone Marrow Cells after Blood Loss.
AB - The leading role in the regulation of erythropoietic activity of adherent bone
marrow cells under conditions of post-hemorrhagic anemia is played by classical
MAP kinase pathway (ERK pathway). Erythropoietin is not the decisive factor in
the formation of erythropoietic activity of adherent cells. PI3K, MAPK/ERK 1/2,
and p38-signaling proteins are not the main regulators of local production of
erythropoietin after 30% loss of circulating blood volume.
PMID- 27878723
TI - Antioxidant and Antimutagenic Metabolites in Animals with Opposite Sensitivity to
Tuberculosis Mycobacteria and Mutagenic Xenobiotics.
AB - Different sensitivity of guinea pigs and rats to Mycobacterium tuberculosis and
membranotropic mutagenic xenobiotics is associated with differences in the
metabolism of amino acid precursors of phospholipids. In turn, specific features
of phospholipid metabolism are determined by differences in the level of sulfur
containing regulatory metabolites (methionine, taurine, and glutathione) in
tissues. Taurine and methionine increase organism's resistance to Mycobacterium
tuberculosis (typical of rats), glutathione and its constituent amino acids
improve resistance to the mutagenic effects of xenobiotics (typical of guinea
pigs). These metabolites can be used for strengthening of natural resistance to
tuberculosis and mutagenic and carcinogenic xenobiotics.
PMID- 27878724
TI - Production of Recombinant Polypeptides Containing One GA-Module and Analysis of
Their Ability to Bind to Human Albumin.
AB - Surface proteins of many bacterial species interact with human serum albumin
(HSA) via a special region of amino acid sequence termed GA module. For instance,
surface peptostreptococcal albumin-binding protein of anaerobic bacteria
Peptostreptococcus magnus contains one HSA-binding GA-module. Protein G from
group G and C Streptococcus strains isolated from humans has HSA-binding region
consisting of three GA-modules. HSA-binding protein containing two GA-modules was
found in strains of group G Streptococcus of animal origin. We obtained two
recombinant polypeptides GA1 and GA2 congaing one GA-module each. Recombinant
polypeptide with two GA-modules binds HSA with a much higher affinity than
polypeptides GA1 and GA2 containing one GA-module. Polypeptide with the second
GAmodule more effectively binds HSA than polypeptides with the GA-module.
PMID- 27878725
TI - Effect of Cardiac Myosin-Binding Protein C on Tropomyosin Regulation of Actin
Myosin Interaction Using In Vitro Motility Assay.
AB - We studied the modulating role of cardiac myosin-binding protein C (cMyBP-C) in
tropomyosin regulation of the actin-myosin interaction. The effect of cMyBP-C on
the velocity of actin-tropomyosin filament sliding over cardiac and slow skeletal
myosins was evaluated using in vitro motility assay. The effect of cMyBP-C on the
actin-tropomyosin filaments sliding depended on the type of myosin. The
regulatory effect of cMyBP-C differs for cardiac and slow skeletal myosin because
of the presence of specific essential light chain (LC1sa) in slow skeletal myosin
isoform.
PMID- 27878726
TI - Association of -717A>G Polymorphism in the C-Reactive Protein Gene (CRP) with
Schizotypal Personality Traits.
AB - Associations between schizotypal traits and genes coding for inflammation markers
(Creactive protein and TNF-alpha) were studied in 222 healthy men who completed
the Schizotypal Personality Questionnaire (SPQ-74). CRP -717A>G and TNFalpha -308
G>A polymorphisms were genotyped. Carriers of low-active allele G of the CRP gene
differed from subjects with genotype AA by a trend toward more manifest
schizotypal traits in general and scores on the Interpersonal factor, which
corresponds to negative syndrome in schizophrenia, and Constricted affect and Odd
behavior scales. These results could be interpreted in favor of the hypothesis on
a compensatory increase of CRP concentrations in subjects with abnormalities of
CNS development that predispose to schizophrenia.
PMID- 27878727
TI - Effectiveness of Lifestyle Modification in Corrections of States Associated with
Metabolic Syndrome.
AB - In a 3-month study, 30 obese patients were assigned to lifestyle modification
therapy, which is the major component of all modern strategies correcting the
metabolic syndrome. The 3-month course of non-pharmacological therapy
significantly diminished the major index evaluating the state of these patients,
i.e., the risk of cardiovascular disasters. This therapy positively affected all
the states associated with metabolic syndrome: arterial BP attained the targets
in 53% patients together with normalization of their nocturnal arterial BP
dipping status; the lipid panel and carbohydrate metabolic indices normalized in
46 and 44% patients, respectively; hepatic steatosis moderated in 56% patients;
and the disturbances in hemostasis were annihilated in 67% patients.
PMID- 27878728
TI - Structural Organization of Cerebral Basolateral Nucleus of the Amygdaloid Complex
in WAG-Rij Rats with Different Genotypes by the TAQ 1A Locus of Dopamine 2
Receptor Gene (DRD2).
AB - Anxiety levels and structural organization of the basolateral nucleus of the
amygdaloid complex were analyzed in WAG/Rij rats with genotypes A1/A1 and A2/A2
by DRD2 locus Taq 1A. Association of anxious behavior with A2/A2 genotype and the
relationship between the structural organization of the nucleus and polymorphic
variants of this locus are detected.
PMID- 27878729
TI - Features of the Left Ventricular Functional Geometry in Patients with Myocardial
Diseases with Varying Degrees of Systolic Dysfunction.
AB - We revealed some features of the left ventricular functional geometry in patients
with myocardial diseases with different degrees of left ventricular systolic
dysfunction. A negative correlation was found between the spatio-temporal
heterogeneity of the kinetics of the left ventricular wall during systole and
ejection fraction in normal heart and in systolic dysfunction. The differences in
the quantitative characteristics of the functional geometry between patients and
normal subjects and between different groups of patients depended on the
severityof left-ventricular systolic dysfunction. In particular, spatial
heterogeneity index that characterizes heterogeneity of systolic movement of the
wall segments and end-systolic Fourier shape-power index characterizing
complexity of the left ventricle shape during systole differed significantly in
the examined groups of patients and have the greatest diagnostic power.
PMID- 27878730
TI - Mesenchymal-Epithelial Transition in Culture of Stromal Progenitor Cells Isolated
from the Liver of a Patient with Alcoholic Cirrhosis.
AB - The cells isolated from biopsy specimen of a patient with alcoholic liver
cirrhosis and cultured under standard conditions for obtaining stromal cell
culture clearly diverged during early passages into two morphologically and
phenotypically different subtypes: epithelial and mesenchymal. Mesenchymal cells
expressed CD90 and CD44 and epithelial cells expressed CD166, CD227, and
hepatocyte growth factor receptor Met. Starting from passage 6, the culture
underwent spontaneous morphological changes and by passages 8-10 contained only
epithelium-like cells. CD90 and CD44 expression disappeared, CD166 and CD227
expression remained unchanged, and Met expression increased. A small fraction of
cells expressed GATA-4, HNF3beta, HNF1alpha, and HNF4alpha. After addition of
inducers of hepatogeneic differentiation, the cells started producing albumin.
PMID- 27878732
TI - Endomorphins and beta-Endorphin Do Not Affect Heart Tolerance to the Pathogenic
Effect of Reperfusion.
AB - Selective agonists of MU1- and MU2-opioid receptors endomorphin-2 and endomorphin
1 injected intravenously in a dose of 4500 nmol/kg in 5 min before coronary blood
flow resumption had no effect on cardiac reperfusion damage. Consequently, MU1-
and MU2-opioid receptors are not involved in the regulation of heart tolerance to
reperfusion injury. Nonselective opioid receptor agonist beta-endorphin (100
nmol/kg) also did not affect heart tolerance to the pathogenic effect of
reperfusion.
PMID- 27878731
TI - Effect of Cardiomyopathic Mutations in Tropomyosin on Calcium Regulation of the
Actin-Myosin Interaction in Skeletal Muscle.
AB - Tropomyosin plays an important role in the regulation of actin-myosin interaction
in striated muscles. Mutations in the tropomyosin gene disrupt actin-myosin
interaction and lead to myopathies and cardiomyopathies. Tropomyosin with
mutations in the alpha-chain is expressed in both the myocardium and skeletal
muscles. We studied the effect of mutations in the alpha-chain of tropomyosin
related to hypertrophic (D175N and E180G) and dilated cardiomyopathies (E40K and
E54K) on calcium regulation of the actin-myosin interaction in skeletal muscles.
We analyzed the calcium-dependent sliding velocity of reconstructed thin
filaments containing F-actin, troponin, and tropomyosin over myosin surface in an
in vitro motility assay. Mutations D175N and E180G in tropomyosin increased the
sliding velocity and its calcium sensitivity, while mutation E40K reduced both
these parameters. E54K mutation increased the sliding velocity of thin filaments,
but did not affect its calcium sensitivity.
PMID- 27878734
TI - CB-Receptor Agonist HU-210 Mimics the Postconditioning Phenomenon of Isolated
Heart.
AB - CB receptor agonist HU-210 exhibits an infarction-limiting effect during in vitro
reperfusion of the heart after focal ischemia. This effect is paralleled by a
decrease in left-ventricular developed pressure and double product. In addition,
HU-210 reduces end-diastolic pressure during the reperfusion period, which
indirectly attests to reduced Ca2+ overload of cardiomyocytes.
PMID- 27878733
TI - Hypolipidemic Effect of Mannan in Mice with Acute Lipemia Induced by Poloxamer
407.
AB - We studied biological effects of mannan, a polysaccharide immunomodulator from C.
albicans, that interacts with mannose receptor in vivo. It is shown that
preliminary administration of mannan (5 times in a dose of 50 mg/kg or 2 times in
a dose of 100 mg/kg) to mice with acute lipemia induced by lipase inhibitor
poloxamer 407 (300 mg/kg) reduces the serum concentrations of atherogenic LDL,
cholesterol, and triglycerides. Administration of mannan to intact mice and
animals with acute lipemia reduces triglyceride concentration and causes
labilization of lysosomal membranes in the liver. Serum activity of
chitotriosidase, a marker of macrophage activation, was elevated in mice with
acute lipemia treated with mannan. Thus, mannan from C. albicans is a promising
hypolipidemic polysaccharide compound, similar by its activity to beta-glycan, a
component of LPS.
PMID- 27878735
TI - A multi-material coating containing chemically-modified apatites for combined
enhanced bioactivity and reduced infection via a drop-on-demand micro-dispensing
technique.
AB - Prevention of infection and enhanced osseointegration are closely related, and
required for a successful orthopaedic implant, which necessitate implant designs
to consider both criteria in tandem. A multi-material coating containing 1:1
ratio of silicon-substituted hydroxyapatite and silver-substituted hydroxyapatite
as the top functional layer, and hydroxyapatite as the base layer, was produced
via the drop-on-demand micro-dispensing technique, as a strategic approach in the
fight against infection along with the promotion of bone tissue regeneration. The
homogeneous distribution of silicon-substituted hydroxyapatite and silver
substituted hydroxyapatite micro-droplets at alternate position in silicon
substituted hydroxyapatite-silver-substituted hydroxyapatite/hydroxyapatite
coating delayed the exponential growth of Staphylococcus aureus for up to 24 h,
and gave rise to up-regulated expression of alkaline phosphatase activity, type I
collagen and osteocalcin as compared to hydroxyapatite and silver-substituted
hydroxyapatite coatings. Despite containing reduced amounts of silicon
substituted hydroxyapatite and silver-substituted hydroxyapatite micro-droplets
over the coated area than silicon-substituted hydroxyapatite and silver
substituted hydroxyapatite coatings, silicon-substituted hydroxyapatite-silver
substituted hydroxyapatite/hydroxyapatite coating exhibited effective
antibacterial property with enhanced bioactivity. By exhibiting good
controllability of distributing silicon-substituted hydroxyapatite, silver
substituted hydroxyapatite and hydroxyapatite micro-droplets, it was demonstrated
that drop-on-demand micro-dispensing technique was capable in harnessing the
advantages of silver-substituted hydroxyapatite, silicon-substituted
hydroxyapatite and hydroxyapatite to produce a multi-material coating along with
enhanced bioactivity and reduced infection.
PMID- 27878736
TI - In vitro cyto-biocompatibility study of thin-film transistors substrates using an
organotypic culture method.
AB - Thin-Film-Transistors Liquid-Crystal Display has become a standard in the field
of displays. However, the structure of these devices presents interest not only
in that field, but also for biomedical applications. One of the key components,
called here TFT substrate, is a glass substrate with a dense and large array of
thousands of transparent micro-electrodes that can be considered as a large scale
multi-electrode array(s). Multi-electrode array(s) are widely used for in vitro
electrical investigations on neurons and brain, allowing excitation,
registration, and recording of their activity. However, the range of application
of conventional multi-electrode array(s) is usually limited to some tens of cells
in a homogeneous cell culture, because of a small area, small number and a low
density of the micro-electrodes. TFT substrates do not have these limitations and
the authors are currently studying the possibility to use TFT substrates as new
tools for in vitro electrical investigation on tissues and organoids. In this
respect, experiments to determine the cyto-biocompatibility of TFT substrates
with tissues were conducted and are presented in this study. The investigation
was performed using an organotypic culture method with explants of brain and
liver tissues of chick embryos. The results in term of morphology, cell
migration, cell density and adhesion were compared with the results from
Thermanox(r), a conventional plastic for cell culture, and with
polydimethylsiloxane, a hydrophobic silicone. The results with TFT substrates
showed similar results as for the Thermanox(r), despite the TFT hydrophobicity.
TFT substrates have a weak cell adhesion and promote cell migration similarly to
Thermanox(r). It could be concluded that the TFT substrates are cyto
biocompatible with the two studied organs.
PMID- 27878737
TI - Sebelipase Alfa: A Review in Lysosomal Acid Lipase Deficiency.
AB - Sebelipase alfa (Kanuma(r), KanumaTM), the first commercially available
recombinant human lysosomal acid lipase (LAL), is approved in various countries
worldwide, including those of the EU, the USA and Japan, as a long-term enzyme
replacement therapy for patients diagnosed with LAL deficiency (LAL-D), an ultra
rare, autosomal recessive, progressive metabolic liver disease. In an ongoing
study in nine infants presenting with early-onset LAL-D (Wolman disease), open
label treatment with sebelipase alfa significantly improved 1-year survival
compared with historical controls. A substantial mortality benefit was maintained
at 2 years of age, as was a reduction in disease-related activity. In an ongoing
study of 66 children and adults with late-onset LAL-D (cholesteryl ester storage
disease), 20 weeks' double-blind treatment with sebelipase alfa significantly
reduced multiple disease-related hepatic and lipid abnormalities compared with
placebo. Sustained improvements in markers of liver damage and dyslipidaemia were
seen after 76 weeks' open-label treatment in an extension of this trial and,
similarly, after 2 years' open-label treatment in an extension of another study
in nine adults with late-onset LAL-D. Sebelipase alfa therapy has thus far been
generally well tolerated, with signs and symptoms consistent with anaphylaxis
being the most serious adverse reactions experienced by patients receiving the
drug in clinical trials. Due to the rarity of the disease, these studies have
enrolled a limited number of patients. Nonetheless, the available data indicate
that sebelipase alfa is an effective disease-specific therapy for individuals
with LAL-D who have historically been managed using supportive therapies (e.g.
cholesterol reduction, hematopoietic stem cell transplantation, and liver
transplantation).
PMID- 27878738
TI - Size Constancy is Preserved but Afterimages are Prolonged in Typical Individuals
with Higher Degrees of Self-Reported Autistic Traits.
AB - Deficits in perceptual constancies from early infancy have been proposed to
contribute to autism and exacerbate its symptoms (Hellendoorn et al., Frontiers
in Psychology 6:1-16, 2015). Here, we examined size constancy in adults from the
general population (N = 106) with different levels of self-reported autistic
traits using an approach based on negative afterimages. The afterimage strength,
as indexed by duration and vividness, was also quantified. In opposition to the
Hellendoorn and colleagues' model, we were unable to demonstrate any kind of
relationship between abilities in size constancy and autistic traits. However,
our results demonstrated that individuals with higher degrees of autistic traits
experienced more persistent afterimages. We discuss possible retinal and post
retinal explanations for prolonged afterimages in people with higher levels of
autistic traits.
PMID- 27878740
TI - Brief Report: A Comparison of the Preference for Viewing Social and Non-social
Movies in Typical and Autistic Adolescents.
AB - The recently proposed Social Motivation theory (Chevallier et al., Trends in
cognitive sciences 16(4):231-239, 2012) suggests that social difficulties in
Autism Spectrum Condition (ASC) might be caused by a difference in the motivation
to engage with other people. Here we compared adolescents with (N = 31) and
without (N = 37) ASC on the Choose-a-Movie paradigm that measures the social
seeking. The results showed a preference for viewing objects over smiling faces
in ASC, which is in line with the theory of low social motivation. However,
typical adolescents did not show any stimuli preferences, raising questions about
developmental changes in social motivation. Age was found to play a significant
role in moderating the choice behaviour of the participants. We discuss the
implications of these findings in detail.
PMID- 27878739
TI - Divergence of Age-Related Differences in Social-Communication: Improvements for
Typically Developing Youth but Declines for Youth with Autism Spectrum Disorder.
AB - Although social-communication difficulties and repetitive behaviors are hallmark
features of autism spectrum disorder (ASD) and persist across the lifespan, very
few studies have compared age-related differences in these behaviors between
youth with ASD and same-age typically developing (TD) peers. We examined this
issue using SRS-2 (Social Responsiveness Scale-Second Edition) measures of social
communicative functioning and repetitive behaviors in a stratified cross
sectional sample of 324 youth with ASD in the absence of intellectual disability,
and 438 TD youth (aged 4-29 years). An age-by-group interaction emerged
indicating that TD youth exhibited age-related improvements in social
communication scores while the ASD group demonstrated age-related declines in
these scores. This suggests that adolescents/adults with ASD may fall
increasingly behind their same-age peers in social-communicative skills.
PMID- 27878741
TI - Coexistence of 9p Deletion Syndrome and Autism Spectrum Disorder.
AB - Deletion or duplication of the short arm of chromosome 9 may lead to a variety of
clinical conditions including craniofacial and limb abnormalities, skeletal
malformations, mental retardation, and autism spectrum disorder. Here, we present
a case report of 5-year-old boy with 9p deletion syndrome and autism spectrum
disorder.
PMID- 27878742
TI - Brief Report: Diminished Gaze Preference for Dynamic Social Interaction Scenes in
Youth with Autism Spectrum Disorders.
AB - In this study, we present an eye-tracking paradigm, adapted from previous work
with toddlers, for assessing social-interaction looking preferences in youth ages
5-17 with ASD and typically-developing controls (TDC). Videos of children playing
together (Social Scenes, SS) were presented side-by-side with animated geometric
shapes (GS). Participants with ASD demonstrated reduced SS preferences compared
to TDC, results also represented continuously by associations between higher SS
preferences and fewer social difficulties across the combined sample. Exploratory
analyses identified associations between increased SS preferences and higher
Vineland Daily Living Skills in ASD and suggested SS preferences in TDC females
might drive ASD versus TDC between-group differences. These findings describe
potentially sex-linked couplings between preferences for social information and
social functioning in school-aged children.
PMID- 27878743
TI - Production of Ethanol From Newly Developed and Improved Winter Barley Cultivars.
AB - Winter barley has attracted strong interest as a potential feedstock for fuel
ethanol production in regions with mild winter climate such as the mid-Atlantic
and northeastern USA. Ten recently developed and improved winter barley cultivars
and breeding lines including five hulled and five hull-less lines were
experimentally evaluated for potential ethanol production. The five hulled barley
lines included three released cultivars (Thoroughbred, Atlantic, and Secretariat)
and two breeding lines (VA09B-34 and VA11B-4). The five hull-less lines also
included three released cultivars (Eve, Dan, and Amaze 10) and two breeding lines
(VA08H-65 and VA13H-34). On the average, the hull-less barley cultivars produced
more ethanol per unit mass because of their higher starch and beta-glucan
contents. However, since the hulled barley cultivars had higher agronomic yield,
the potential ethanol production per acre of land for the two types were
approximately equal. Among the ten cultivars tested, the hull-less cultivar Amaze
10 was the best one for ethanol production. The ethanol yield values obtained for
this cultivar were 2.61 gal per bushel and 292 gal per acre.
PMID- 27878744
TI - Protective Effect of Saccharomyces boulardii on Deoxynivalenol-Induced Injury of
Porcine Macrophage via Attenuating p38 MAPK Signal Pathway.
AB - The aims of our study were to evaluate the effects of Saccharomyces boulardii (S.
boulardii) on deoxynivalenol (DON)-induced injury in porcine alveolar macrophage
cells (PAMCs) and to explore the underlying mechanisms. 3-(4,5-Dimethylthiazol-2
yl)-2,5-diphenyltetrazolium bromide (MTT) assay, flow cytometric analysis, ELISA,
qRT-PCR, and western blot were performed to assess whether S. boulardii could
prevent DON-induced injury by p38 mitogen-activated protein kinase (p38 MAPK)
signal pathway. The results showed that pretreatment with 8 MUM DON could
decrease the viability of PAMC and significantly increase the apoptosis rate of
PAMC, whereas S. boulardii could rescue apoptotic PAMC cells induced by DON.
Further experiments revealed that S. boulardii effectively reversed DON-induced
cytotoxicity via downregulating the expression of TNF-alpha, IL-6, and IL-lbeta.
In addition, S. boulardii significantly alleviated DON-induced phosphorylation
and mRNA expression of p38 and further increased the expression of apoptosis
regulation genes Bcl-xl and Bcl-2 and inhibited the activation of Bax. Our
results suggest that S. boulardii could suppress DON-induced p38 MAPK pathway
activation and reduce the expression of downstream inflammatory cytokines, as
well as promote the expression of anti-apoptotic genes to inhibit apoptosis
induced by DON in PAMC.
PMID- 27878745
TI - Characterization of a Marine Microbial Community Used for Enhanced Sulfate
Reduction and Copper Precipitation in a Two-Step Process.
AB - Marine microorganisms that are obtained from hydrothermal vent sediments present
a great metabolic potential for applications in environmental biotechnology.
However, the work done regarding their applications in engineered systems is
still scarce. Hence, in this work, the sulfate reduction process carried out by a
marine microbial community in an upflow anaerobic sludge blanket (UASB) reactor
was investigated for 190 days under sequential batch mode. The effects of 1000 to
5500 mg L-1 of SO4-2 and the chemical oxygen demand (COD)/SO4-2 ratio were
studied along with a kinetic characterization with lactate as the electron donor.
Also, the feasibility of using the sulfide produced in the UASB for copper
precipitation in a second column was studied under continuous mode. The system
presented here is an alternative to sulfidogenesis, particularly when it is
necessary to avoid toxicity to sulfide and competition with methanogens. The
bioreactor performed better with relatively low concentrations of sulfate (up to
1100 mg L-1) and COD/SO4-2 ratios between 1.4 and 3.6. Under the continuous
regime, the biogenic sulfide was sufficient to precipitate copper at a removal
rate of 234 mg L-1 day-1. Finally, the identification of the microorganisms in
the sludge was carried out; some genera of microorganisms identified were
Desulfitobacterium and Clostridium.
PMID- 27878746
TI - Electricity Production and Characterization of High-Strength Industrial
Wastewaters in Microbial Fuel Cell.
AB - Microbial fuel cells (MFCs) convert electrochemical energy into electrical energy
immediately and have a big potential usage for the same time wastewater treatment
and energy recovery via electro-active microorganisms. However, MFCs must be
efficiently optimized due to its limitations such as high cost and low power
production. Finding new materials to increase the cell performance and reduce
cost for MFC anodes is mandatory. In the first step of this study, different
inoculation sludges such as anaerobic gum industry wastewater, anaerobic brewery
wastewater and anaerobic phosphate were tested, and MFC that was set up with
anaerobic gum industry wastewater inoculation sludge exhibited the highest
performance. In the second step of this study, various wastewaters such as
chocolate industry, gum industry and slaughterhouse industry were investigated
for anode bacteria sources. Several electrochemical techniques have been employed
to elucidate how wastewaters affect the MFCs' performance. Among all the
mentioned wastewaters, the best performance was achieved by the MFCs fed with
slaughterhouse wastewater; this device produced a maximum power density of 267
mW.m-2.
PMID- 27878748
TI - Erratum to: Delivery of the Porcupine Inhibitor WNT974 in Mice.
PMID- 27878749
TI - The combination of osthole with baicalin protects mice from Staphylococcus aureus
pneumonia.
AB - We reported the inhibition of alpha-Hemolysin (Hla) production in methicillin
resistant Staphylococcus aureus USA300 by osthole and further investigated the
combination of osthole and baicalin in the treatment of staphylococcal pneumonia.
Using cytotoxicity assays and a mouse model of intranasal lung infection, we
evaluated the effect of combined therapy. Our results suggest that the
combination of osthole and baicalin alleviated S. aureus-mediated A549 cell
injury and protected mice from S. aureus pneumonia.
PMID- 27878750
TI - The relationship between patients' knowledge of diabetes therapeutic goals and
self-management behaviour, including adherence.
AB - : Background The Middle East region has one the highest prevalence rates of
diabetes in the world. Little is known about the determinants of adherence and
the role of knowledge in diabetes self-management within these populations.
Objective To investigate the relationship between patients knowledge of diabetes
therapeutic targets with adherence to self-care measures in a sample of patients
with type 2 diabetes in Kuwait. Setting Primary care chronic care clinics within
the Ministry of Health of Kuwait. Methods A cross sectional survey was carried
out with 238 patients from six clinics. A multistage stratified clustered
sampling method was used to first randomly select the clinics and the patients.
MAIN OUTCOME MEASURE: Self-reported adherence to three behaviours: medication
taking, diet and physical activity. Results Respondents were able to correctly
report a mean (SD) of 1.6 (1.3) out of 5 of the pre-specified treatment targets.
Optimal adherence to physical activity, diet and medications was reported in 25,
33 and 47 % of the study cohort, respectively. A structural equation model
analysis showed better knowledge of therapeutic goals and own current levels
translated into better adherence to medications, diet and physical activity.
Conclusion Knowledge of therapeutic goals and own recent levels is associated
with adherence to medications, diet, or physical activity in this Kuwaiti cohort
of patients with diabetes. Low adherence to self-care management and poor overall
knowledge of diabetes is a big challenge to successful diabetes care in Kuwait.
PMID- 27878752
TI - Re: Abdel-Kader MS. Evaluation of the efficacy of sexual intercourse in expulsion
of distal ureteric stones. Int Urol Nephrol 2016 [Epub ahead of print].
PMID- 27878751
TI - Assessment of the relationship between serum paraoxonase activity and epicardial
adipose tissue in hemodialysis patients.
AB - PURPOSE: Paraoxonase-1 (PON-1) is a high-density lipoprotein-associated (HDL)
enzyme, which has been shown to reduce susceptibility to low-density lipoprotein
(LDL) peroxidation. Epicardial adipose tissue (EAT) is a marker of
atherosclerosis. The aim of this study was to determine the relationship between
PON-1 activity and EAT in hemodialysis (HD) patients. METHODS: This is a cross
sectional study conducted on 72 (43 males) HD patients with end-stage renal
disease. Serum levels for lipid profiles, C-reactive protein, calcium, phosphate,
and parathyroid hormone were measured. PON-1 activity was also measured and
compared to the rate of enzymatic hydrolysis of paraoxon to p-nitrophenol.
Echocardiography was used to measure EAT thickness (EATT). The correlation
between PON-1 and EATT was assessed, while independent predictors of EATT in HD
patients were similarly assessed using multivariate regression analysis. RESULTS:
There was a significant low mean value of PON-1 activity in HD patients compared
with the control group (82.1 +/- 31.6 vs. 164.3 +/- 61.5 U/l, p = 0.0001) and
significant high mean value of EATT in HD patients, compared with controls (6.2
+/- 1.7 vs. 3.9 +/- 1.1 mm, p = 0.0001). In addition, there was a significant
negative correlation between PON-1 activity and EATT (r = -0.484, p = 0.0001) and
a significant positive correlation between PON-1 activity and HDL-C (r = 0.417, p
= 0.0003). PON-1, total cholesterol, triglycerides, LDL, HDL, age, and body mass
index were found to be independent predictors of EATT. CONCLUSION: Our study
demonstrated that PON-1 activity was significantly lower in HD patients compared
with healthy controls and that PON-1 activity was inversely correlated with EATT
in this population.
PMID- 27878753
TI - Helicobacter pylori Does not Affect Postoperative Outcomes After Sleeve
Gastrectomy.
AB - BACKGROUND: The effect of Helicobacter pylori (HP) colonization of the stomach of
patients undergoing laparoscopic sleeve gastrectomy (LSG) is unclear. OBJECTIVE:
The objective of this study is to evaluate the effect of HP colonization on
postoperative outcomes of LSG. SETTING: The setting of this study is in
University Hospital. METHODS: This study was a retrospective cohort study of
prospectively maintained database of all patients who underwent LSG at Jordan
University Hospital from 2008 to 2015. RESULTS: Five hundred patients were
included (362 females and 138 males). The mean preoperative weight was 124.5 +/-
23.8 kg. The mean preoperative body mass index (BMI) was 45.5 +/- 6.9 kg/m2. Two
hundred sixteen (43.2%) patients were found to be HP positive. The differences
between the HP positive and negative groups in respect of sex, baseline weight,
and BMI were not statistically significant. However, patients who were HP
positive were significantly older. All patients were followed for at least 3
months. We had an overall complication rate of 2.6%. Differences in the
complication rate between the two groups were not statistically significant.
Follow-up was 61% at 1 year. The mean percent total weight loss (%TWL) was 32.9
+/- 7.9, and the mean percent excess weight loss (%EWL) was 76.9 +/- 21.1. The
decrease in BMI was 15.2 +/- 5.2. The difference between the two groups was not
statistically significant. CONCLUSION: There is no clear association between HP
infection at the time of surgery and postoperative complications, especially
leaks.
PMID- 27878754
TI - Efficiency and Safety Effects of Applying ERAS Protocols to Bariatric Surgery: a
Systematic Review with Meta-Analysis and Trial Sequential Analysis of Evidence.
AB - Application of the enhanced recovery after surgery (ERAS) to the bariatric
surgical procedures is at its early stages with little consolidated evidence.
This meta-analysis evaluates present literature and indicates pathways for
development of evidence-based standardized ERAS protocols for bariatric surgery.
Comparative trials between ERAS and conventional bariatric surgery published till
June 2016 were searched in the medical database. Comparisons were made for length
of stay (LOS), readmission, complications (major/minor), and reoperation rates.
Trial sequential analysis (TSA) for the strength of meta-analysis was performed
for the primary outcome LOS. Five subgroups with a total of 394 and 471 patients
in ERAS and conventional group respectively were included. LOS was shorter in
ERAS group by 1.56 +/- 0.18 days (random-effects, p < 0.001, I 2 = 93.07 %). The
sample size in ERAS was well past the "information size" variable which was
calculated to be 189 as per the TSA for power 85%. MH odds ratio [1.41 (95% CI
1.13 to1.76)] was higher for minor complications in the ERAS group (fixed
effects, I 2 = 0, p < 0.001). Superiority/inferiority of ERAS could not be
established for major or overall complications, readmission, and anastomotic leak
rates. No publication bias was found in the included trials (Egger's test, X
intercept = 6.14, p = 0.66). Evaluation based on Cochrane collaboration
recommendations suggested that all the five included trials had a high risk of
methodological bias. ERAS protocols for bariatric procedures allow faster return
to home for patients. The present bariatric ERAS protocols have high
heterogeneity and would benefit from standardization. Minor complication rates
increase with implementation of ERAS, however without any significant effect on
overall patient morbidity. Further randomized trials comparing ERAS with
conventional care are required to consolidate these findings.
PMID- 27878755
TI - Double Pigtail Stent Insertion for Healing of Leaks Following Roux-en-Y Gastric
Bypass. Our Experience (with Videos).
AB - BACKGROUND: Roux-en-Y gastric bypass (RYGB) is complicated by a leak in 0-4.3% of
cases. Treatment by fully covered stents has been reported to be associated with
some life-threatening complications. We report our experience of insertion of
double pigtail stents. METHODS: Thirty-three patients (20M, 43 years-20/65),
presenting with a leak at an average of 10 days after RYGB (4-35), were treated
by double pigtail stent insertion and a nasojejunal feeding tube. Sixty percent
of these patients had undergone surgical drainage prior to stenting for control
of sepsis. Thirty leaks were located at the top of staple line and three at the
gastro-jejunal anastomosis. At a 4-weekly follow-up, ablation or re-stenting was
performed depending on status of fistula closure and patients were placed on
normal diet. RESULTS: At the first follow-up, 10/33 fistulae healed, one patient
presented with clinical failure (3%) and needed surgery, and 22/33were re
stented. Twenty-one out of these 22 developed a secondary sub-clinical gastro
gastric fistula and one, instead, developed complex (gastro-gastric, gastro
colic) fistula. All (22) primary fistulae healed following four more weeks of
treatment. Average treatment duration was of 61 days (28-99). Thirty-two patients
(97%) at a follow-up of 1-33 months are asymptomatic. CONCLUSIONS: Leaks
following RYGB can be successfully and safely managed by double pigtail stents.
Upper gastric staple line leaks are responsible for the formation of a secondary
sub-clinic gastro-gastric fistula which needs no additional treatment.
PMID- 27878756
TI - Imaging findings of adrenal primitive neuroectodermal tumors: a series of seven
cases.
AB - OBJECTIVE: To explore the imaging features of adrenal primitive neuroectodermal
tumors (PNETs). MATERIALS AND METHODS: This retrospective study included seven
patients with surgically and pathologically confirmed adrenal PNETs. Among them,
six underwent computed tomography (CT) scans, and one underwent magnetic
resonance imaging. The imaging findings, including size, shape, margin,
hemorrhage, calcification, cystic degeneration, regional lymph nodes involvement,
tumor thrombus formation and enhancement pattern, were retrospectively analyzed.
RESULTS: Among the seven adrenal PNET patients, six were male, and one was
female. The median age was 26 years (range 2-56 years). The disease generally
presented with either insidious symptoms (n = 4) or non-specific symptoms,
including right flank pain (n = 1) and left upper abdominal discomfort (n = 2).
On the pre-enhanced CT images, the tumor usually appeared as a well-defined,
rounded or oval, heterogeneous mass without calcification. Certain tissue
characteristics, such as cystic degeneration (n = 5), capsule (n = 4) and
hemorrhage (n = 2), were observed. Regional lymph node involvement was observed
in three cases, and renal vein thrombus was observed in one case. All cases
showed mild heterogeneous enhancement of the tumor on the enhanced CT images.
CONCLUSION: An adrenal PNET commonly presents as a relatively large, well
defined, heterogeneous mass with cystic degeneration, necrosis and a
characteristic mild contrast-enhancement pattern on multiphase enhanced images.
PNET should be considered when the diagnosis of common tumors is not favored by
signs on images. CLINICAL TRIAL REGISTRATION STATEMENT: This study was approved
by the medical ethics committee of Xiangya Hospital, Central South University.
The approval number is 201512538.
PMID- 27878757
TI - Targeting CCR3 to Reduce Amyloid-beta Production, Tau Hyperphosphorylation, and
Synaptic Loss in a Mouse Model of Alzheimer's Disease.
AB - The majority of Alzheimer's disease (AD) patients have a late onset, and chronic
neuroinflammation, characterized by glial activation and secretion of pro
inflammatory cytokines and chemokines, plays a role in the pathogenesis of AD.
The chemokine CCL11 has been shown to be a causative factor of cognitive decline
in the process of aging, but little is known whether it is involved in the
pathogenesis of AD. In the present study, we showed that CCR3, the receptor for
CCL11, was expressed by hippocampal neurons and treatment of primary hippocampal
neuronal cultures (14 days in vitro) with CCL11 resulted in activation of cyclin
dependent kinase 5 and glycogen synthase kinase-3beta, associated with elevated
tau phosphorylation at multiple sites. CCL11 treatment also induced the
production of Abeta and dendritic spine loss in the hippocampal neuronal
cultures. All these effects were blocked by the CCR3 specific antagonist,
GW766994. An age-dependent increase in CCL11, predominantly expressed by the
activated microglia, was observed in the cerebrospinal fluid of both APP/PS1
double transgenic mice and wild-type (WT) littermates, with a markedly higher
level in APP/PS1 double transgenic mice than that in WT littermates. Deletion of
CCR3 in APP/PS1 double transgenic mice significantly reduced the phosphorylation
of CDK5 and GSK3beta, tau hyperphosphorylation, Abeta deposition, microgliosis,
astrogliosis, synaptic loss, and spatial learning and memory deficits. Thus, the
age-related increase in CCL11 may be a risk factor of AD, and antagonizing CCR3
may bring therapeutic benefits to AD.
PMID- 27878758
TI - Gender-Specific Expression of Ubiquitin-Specific Peptidase 9 Modulates Tau
Expression and Phosphorylation: Possible Implications for Tauopathies.
AB - Public transcriptomic studies have shown that several genes display pronounced
gender differences in their expression in the human brain, which may influence
the manifestations and risk for neuronal disorders. Here, we apply a
transcriptome-wide analysis to discover genes with gender-specific expression and
significant alterations in public postmortem brain tissue from Alzheimer's
disease (AD) patients compared to controls. We identify the sex-linked ubiquitin
specific peptidase 9 (USP9) as an outstanding candidate gene with highly
significant expression differences between the genders and male-specific
underexpression in AD. Since previous studies have shown that USP9 can modulate
the phosphorylation of the AD-associated protein MAPT, we investigate functional
associations between USP9 and MAPT in further detail. After observing a high
positive correlation between the expression of USP9 and MAPT in the public
transcriptomics data, we show that USP9 knockdown results in significantly
decreased MAPT expression in a DU145 cell culture model and a concentration
dependent decrease for the MAPT orthologs mapta and maptb in a zebrafish model.
From the analysis of microarray and qRT-PCR experiments for the knockdown in
DU145 cells and prior knowledge from the literature, we derive a data-congruent
model for a USP9-dependent regulatory mechanism modulating MAPT expression via
BACH1 and SMAD4. Overall, the analyses suggest USP9 may contribute to molecular
gender differences observed in tauopathies and provide a new target for
intervention strategies to modulate MAPT expression.
PMID- 27878759
TI - Loss of Adenylyl Cyclase Type-5 in the Dorsal Striatum Produces Autistic-Like
Behaviors.
AB - Autism spectrum disorders (ASDs) are a heterogeneous group of psychiatric illness
characterized by common core symptoms including sociability deficits and
stereotyped behaviors. ASD is caused by various genetic and non-genetic factors.
The genetic effects of autism-related genes are usually global and are presented
with multiple symptoms, which hamper understanding of the mechanism through which
the diverse causes of ASD produce common symptoms. In the present study, we
demonstrate that genetic or molecular disruption of an array of molecular
networks centered on adenylyl cyclase type-5 (AC5 or ADCY5) in the dorsal
striatum produces autistic-like behaviors. AC5 knockout (KO) mice exhibit
increased repetitive behaviors and sociability deficits, the two core domains of
ASD, and that siRNA-mediated suppression of AC5 within the dorsal striatum is
sufficient to replicate these behavioral phenotypes. Notably, the autistic-like
behaviors of AC5 KO mice are rescued by blocking mGluR5 glutamate receptors
within the dorsal striatum. Furthermore, pharmacological or siRNA-mediated
inhibition of mGluR3, GluA and GluN glutamate receptors in the dorsal striatum in
wildtype mice also induces autistic-like behaviors. Optogenetic inhibition of the
prelimbic cortical neurons projecting to the dorsal striatum in AC5 KO mice
rescues the deficits in social and object novelty preferences. Our results
suggest that AC5 mutation produces autistic-like symptoms through the
upregulation of mGluR5 functions in the dorsal striatum and that the dorsal
striatum regulated by AC5 is a neural correlate responsible for core ASD
symptoms.
PMID- 27878760
TI - Reduced Plasma Levels of 25-Hydroxycholesterol and Increased Cerebrospinal Fluid
Levels of Bile Acid Precursors in Multiple Sclerosis Patients.
AB - Multiple sclerosis (MS) is an autoimmune, inflammatory disease of the central
nervous system (CNS). We have measured the levels of over 20 non-esterified
sterols in plasma and cerebrospinal fluid (CSF) from patients suffering from MS,
inflammatory CNS disease, neurodegenerative disease and control patients.
Analysis was performed following enzyme-assisted derivatisation by liquid
chromatography-mass spectrometry (LC-MS) exploiting multistage fragmentation (MS
n ). We found increased concentrations of bile acid precursors in CSF from each
of the disease states and that patients with inflammatory CNS disease classified
as suspected autoimmune disease or of unknown aetiology also showed elevated
concentrations of 25-hydroxycholestertol (25-HC, P < 0.05) in CSF. Cholesterol
concentrations in CSF were not changed except for patients diagnosed with
amyotrophic lateral sclerosis (P < 0.01) or pathogen-based infections of the CNS
(P < 0.05) where they were elevated. In plasma, we found that 25-HC (P < 0.01),
(25R)26-hydroxycholesterol ((25R)26-HC, P < 0.05) and 7alpha-hydroxy-3-oxocholest
4-enoic acid (7alphaH,3O-CA, P < 0.05) were reduced in relapsing-remitting MS
(RRMS) patients compared to controls. The pattern of reduced plasma levels of 25
HC, (25R)26-HC and 7alphaH,3O-CA was unique to RRMS. In summary, in plasma, we
find that the concentration of 25-HC in RRMS patients is significantly lower than
in controls. This is consistent with the hypothesis that a lower propensity of
macrophages to synthesise 25-HC will result in reduced negative feedback by 25-HC
on IL-1 family cytokine production and exacerbated MS. In CSF, we find that the
dominating metabolites reflect the acidic pathway of bile acid biosynthesis and
the elevated levels of these in CNS disease is likely to reflect cholesterol
release as a result of demyelination or neuronal death. 25-HC is elevated in
patients with inflammatory CNS disease probably as a consequence of up-regulation
of the type 1 interferon-stimulated gene cholesterol 25-hydroxylase in
macrophages.
PMID- 27878761
TI - Genome-Wide Association Analysis of the Sense of Smell in U.S. Older Adults:
Identification of Novel Risk Loci in African-Americans and European-Americans.
AB - The human sense of smell decreases with age, and a poor sense of smell are among
the most important prodromal symptoms of several neurodegenerative diseases.
Recent evidence further suggests a racial difference in the sense of smell among
U.S. older adults. However, no genome-wide association study (GWAS) on the sense
of smell has been conducted in African-Americans (AAs). We performed the first
genome-wide meta-analysis of the sense of smell among 1979 AAs and 6582 European
Americans (EAs) from three U.S. aging cohorts. In the AA population, we
identified nine novel regions (KLF4-ACTL7B, RAPGEF2-FSTL5, TCF4-LOC100505474,
PCDH10, KIAA1751, MYO5B, MIR320B1-CD2, NR5A2-LINC00862, SALL1-C16orf97) that were
associated with the sense of smell (P < 5 * 10-8). Many of these regions have
been previously linked to neuropsychiatric (schizophrenia or epilepsy) or
neurodegenerative (Parkinson's or Alzheimer's disease) diseases associated with a
decreased sense of smell. In the EA population, we identified two novel loci in
or near RASGRP1 and ANXA2P3 associated with sense of smell. In conclusion, this
study identified several ancestry-specific loci that are associated with the
sense of smell in older adults. While these findings need independent
confirmation, they may lead to novel insights into the biology of the sense of
smell in older adults and its relationships to neuropsychological and
neurodegenerative diseases.
PMID- 27878764
TI - The involvement of supratentorial white matter in multiple system atrophy: a
diffusion tensor imaging tractography study.
AB - It has been assumed that cognitive disorder and visual-spatial disturbance in
multiple system atrophy of the predominantly cerebellar type (MSA-C) are
attributable to degradation of cerebellar function. The purpose of this study was
to use diffusion tensor imaging (DTI) tractography to determine if patients with
MSA-C characterized in part by visual-spatial disorders and cognitive disorders
have changes of the structural connectivity network of nerve fibers, and to
further describe the structural connectivity network. The study included 20
patients with MSA-C and 30 age- and sex-matched healthy controls. A 1.5T magnetic
resonance imaging (MRI) scanner was used to obtain images for DTI tractography.
Image preprocessing was done by large deformation diffeomorphic metric mapping.
Whole-brain connectivity analysis was carried out. The patients had decreased
numbers of long association fibers connecting the right parietal lobe to the
frontal lobe. The commissural fibers and short association fibers connecting the
bilateral frontal and occipital lobes and the number of short association fibers
at the bilateral frontal and occipital region were also decreased significantly.
The patients had a significant decrease in fiber density in the cerebellum
compared to the healthy subjects. Our results provide DTI evidence suggesting
that frontal and occipital white matter is involved in patients with MSA-C. This
finding may correlate with their clinical symptoms such as cognitive disturbance
as well as visual-spatial impairment. Therefore, cognitive disturbance and visual
spatial deficits in MSA-C might not be due to cerebellar lesions only as is
widely believed but also involve cerebral lesions.
PMID- 27878765
TI - Primary central nervous system lymphoma of T-cell origin: an unusual cause of
spinal cord disease.
PMID- 27878766
TI - The Role of Patient Navigation on Colorectal Cancer Screening Completion and
Education: a Review of the Literature.
AB - Although the general assumption is that patient navigation helps patients adhere
to CRC screening recommendations, concrete evidence for its effectiveness is
still currently under investigation. The present literature review was conducted
to explore effectiveness of patient navigation and education on colorectal cancer
(CRC) screening completion in medically underserved populations. Data collection
included PubMed, Google Scholar, and Cochrane reviews searches. Study inclusion
criteria included randomized controlled trials and prospective investigations
that included an intervention and control group. Case series, brief
communications, commentaries, case reports, and uncontrolled studies were
excluded. Twenty-seven of the 36 studies screened for relevance were selected for
inclusion. Most studies explored the utility of lay and clinic-based patient
navigation. Others implemented interventions that included tailored messaging,
and culturally and linguistically appropriate outreach and education efforts to
meet CRC screening needs of medically underserved individuals. More recent
studies have begun to conduct cost-effectiveness analyses of patient navigation
programs that impacted CRC screening and completion. Peer-reviewed publications
consistently indicate a positive impact of patient navigation programs on CRC
screening completion, as well have provided preliminary evidence for their cost
effectiveness.
PMID- 27878763
TI - Enhancement of Neural Stem Cell Survival, Proliferation, Migration, and
Differentiation in a Novel Self-Assembly Peptide Nanofibber Scaffold.
AB - Considerable efforts have been made to combine biologically active molecules into
the self-assembling peptide in order to improve cells growth, survival, and
differentiation. In this study, a novel three-dimensional scaffold
(RADA4GGSIKVAV; R-GSIK) was designed by adding glycine and serine between RADA4
and IKVAV to promote the strength of the peptide. The cell adhesion, viability,
proliferation, migration, and differentiation of rat embryonic neural stem cells
(NSCs) in R-GSIK were investigated and compared to laminin-coated, two
dimensional, and Puramatrix cultures. The scanning electron microscopy studies of
the R-GSIK showed an open porous structure and a suitable surface area available
for cell interaction. R-GSIK promoted the cell adhesion, viability,
proliferation, and migration compared to the other cultures. In addition, the R
GSIK enhanced NSCs differentiation into neuronal cells. The NSCs injected in R
GSIK had a lower glial differentiation rate than in the Puramatrix. The results
suggest that R-GSIK holds great promise for cell therapies and neuronal tissue
repair.
PMID- 27878762
TI - miR Cluster 143/145 Directly Targets Nrl and Regulates Rod Photoreceptor
Development.
AB - Retinal histogenesis requires coordinated and temporal functioning of factors by
which different cell types are generated from multipotent progenitors.
Development of rod photoreceptors is regulated by multiple transcription factors,
and Nrl is one of the major factors involved in their fate specification.
Presence or absence of Nrl at the postnatal stages decides the generation of cone
photoreceptors or other later retinal cells. This suggests the need for regulated
expression of Nrl in order to accelerate the generation of other cell types
during retinal development. We found that miR cluster 143/145, comprising miR-143
and miR-145, targets and imparts a posttranscriptional inhibition of Nrl.
Expression of both miRNAs was differentially regulated during retinal development
and showed least expression at PN1 stage in which most of the rod photoreceptors
are generated. Downregulation of rod photoreceptor regulators and markers upon
miR cluster 143/145 overexpression demonstrated that this cluster indeed
negatively regulates rod photoreceptors. Further, we prove that Nrl positively
regulates miR cluster 143/145, thus establishing a feedback loop regulatory
mechanism. This may be one possible mechanism by which Nrl is
posttranscriptionally regulated to facilitate the generation of other cell types
in retina.
PMID- 27878767
TI - Phenobarbital Versus Valproate for Generalized Convulsive Status Epilepticus in
Adults: A Prospective Randomized Controlled Trial in China.
AB - OBJECTIVE: Although generalized convulsive status epilepticus (GCSE) is a life
threatening emergency, evidence-based data to guide initial drug treatment
choices are lacking in the Chinese population. We conducted this prospective,
randomized, controlled trial to evaluate the relative efficacy and safety of
intravenous phenobarbital and valproate in patients with GCSE. METHODS: After the
failure of first-line diazepam treatment, Chinese adult patients with GCSE were
randomized to receive either intravenous phenobarbital (standard doses, low rate)
or valproate (standard). Successful treatment was considered when clinical and
electroencephalographic seizure activity ceased. Adverse events following
treatment, as well as the neurological outcomes at discharge and 3 months later,
were also evaluated. RESULTS: Overall, 73 cases were enrolled in the study.
Intravenous phenobarbital was successful in 81.1% of patients, and intravenous
valproate was successful in 44.4% of patients (p < 0.05). The relapse rate of
status epilepticus within 24 h of receiving phenobarbital (6.7%) was
significantly lower than that in patients receiving valproate (31.3%), and the
total number of adverse events did not differ significantly between the two
groups (p > 0.05). In the phenobarbital group, two patients (5.4%) required
ventilation and two patients (5.4%) developed serious hypotension. The
neurological outcomes of the phenobarbital group were generally better than those
of the valproate group; however, no significant differences were observed between
phenobarbital and valproate with respect to mortality (8.1 vs. 16.6%) at
discharge, or mortality (16.2 vs. 30.5%) and post-symptomatic epilepsy (26.3 vs.
42.8%) at 3-month follow-up. CONCLUSIONS: Intravenous phenobarbital appears to be
more effective than intravenous valproate for Chinese adult patients with GCSE.
The occurrence of serious respiratory depression and hypotension caused by
phenobarbital was reduced by decreasing the intravenous infusion rate; however,
even at a lower infusion rate than typically used in other institutions,
intravenous phenobarbital resulted in more serious adverse events than
intravenous valproate. The better outcomes in the phenobarbital group compared
with the valproate group suggest that phenobarbital should be considered for the
early successful treatment of GCSE.
PMID- 27878768
TI - Congenital forward shoulder with clavicle hypoplasia: surgical lengthening by
intercalary graft positioning and plate fixation.
AB - BACKGROUND: Congenital forward shoulder with clavicular hypoplasia is a rare
disease which can be caused by an alteration of clavicular development in such a
way that the clavicles are short. Symptoms are often absent; in most cases the
main problem is represented by the cosmetic implications. There is no general
consensus about the correct management, and surgery is the only way to correct
the deformity. MATERIALS AND METHODS: The aim of the study is to describe a new
surgical treatment in patients with congenital forward shoulder and clavicular
hypoplasia. In 2010, three patients suffering from bilateral congenital forward
shoulder were managed surgically by a intercalary graft positioning with plate
fixation aimed at the elongation of the clavicles. RESULTS: Patients were
followed by up to 2 years. All the patients treated were satisfied with the
results, regarding the resolution of mild pain, but especially from the cosmetic
point of view. CONCLUSION: The intercalary graft positioning was found to be easy
to perform and allowed an elongation of the clavicles with immediate stability.
PMID- 27878770
TI - A low-cost method for chromoendoscopy for surveillance in ulcerative colitis.
AB - The risk of colorectal cancer in Indian patients with long-standing ulcerative
colitis is high and similar to that in the West. Surveillance for dysplasia in
these patients is therefore important. Recent studies and guidelines suggest an
increasing role for chromoendoscopy-guided biopsy in surveillance for dysplasia.
We report our experience with the technique of chromoendoscopy and an economical
method of performing it. Reconstituting indigo carmine from a powder form rather
than the dyes available commercially is a better economical alternative and
should help make chromoendoscopy the standard of care for dysplasia surveillance
across the country.
PMID- 27878769
TI - To what extent residual alveolar ridge can be preserved by implant? A systematic
review.
AB - BACKGROUND: It has been reported that the load for (or to) implant-supported
restoration may lead to bone remodeling as bone resorption and/or formation.
While many authors supported the process of bone resorption, others elaborated
bone apposition and increasing bone density close and remote to implant body (or
fixture). This may suggest the role of the implant to reserve alveolar ridge from
physiologic/pathologic resorption. The aim of this systematic review was to
predict to how extend dental implants can preserve the residual alveolar ridge
based on previous clinical investigations. METHODS: This systematic review based
on the retrospective and prospective studies, randomized clinical trial, and case
reports. The process of searching for proposed articles included PubMed, Ovid,
and Web of Science databases, with specific inclusion and exclusion criterion.
RESULTS: A total 2139 citations were identified. After expunging the repeated
articles between databases and application of exclusion and inclusion criteria,
18 articles were found to meet the topic of this systematic review. Many of the
articles reported bone preservation with implant-assisted restorations, and the
rest denoted noticeable bone apposition. CONCLUSION: According to the published
clinical studies, the behavior of bone remodeling around implant predicts a sort
of residual alveolar bone preservation.
PMID- 27878771
TI - Growth hormone deficiency and hypopituitarism in adults after complicated mild
traumatic brain injury.
AB - PURPOSE: Traumatic brain injury is considered the main cause of hypopituitarism
in adults, and GH deficiency appears to be the most frequent pituitary deficit.
Most of the available studies have included all degrees of severity of trauma. We
aimed to assess pituitary function and GH deficiency in adult patients at
different time lengths after complicated mild TBI according to Glasgow Coma
Scale. We also aimed to evaluate whether mild TBI patients with GH deficiency had
developed alterations in the glycolipid profile. METHODS: Forty-eight patients
(34 men and 14 women) with complicated mild TBI were included in the study.
Twenty-three patients were evaluated at 1 year (Group A) and 25 patients at 5
years or longer after the injury (Group B). All patients underwent basal hormonal
evaluation for pituitary function. GH deficiency was investigated by the combined
test (GH releasing hormone + arginine). The glycolipid profile was also
evaluated. RESULTS: GH deficiency occurred in 8/23 patients (34.7 %) of Group A
and in 12/25 patients (48 %) of Group B. In addition, two patients, one in each
group, showed evidence of central hypothyroidism. Patients with GH deficiency,
especially in Group A, presented a higher frequency of visceral adiposity and
adverse metabolic profile as compared to no-GH deficiency patients. CONCLUSIONS:
Patients examined at 1 year or several years from complicated mild TBI had a
similarly high occurrence of isolated GH deficiency, which was associated with
visceral adiposity and metabolic alterations. Our findings suggest that patients
undergone complicated mild TBI should be evaluated for GH deficiency even after
several years from trauma.
PMID- 27878772
TI - Chronic lymphocytic thyroiditis does not influence the risk of recurrence in
patients with papillary thyroid carcinoma and excellent response to initial
therapy.
AB - BACKGROUND: This study evaluated the recurrence in patients with papillary
thyroid cancer and an excellent response to initial therapy, comparing those with
and without chronic lymphocytic thyroiditis. METHODS: This was a prospective
study. Patients who met the following criteria were selected: diagnosis of
papillary thyroid cancer; submitted to total thyroidectomy followed or not by
ablation with 131I; and neck ultrasonography without abnormalities, nonstimulated
thyroglobulina (Tg) <=0.2 ng/ml, and undetectable antithyroglobulin antibodies
(TgAb) 12-18 months after initial therapy. The patients were divided into two
groups: group A, with chronic lymphocytic thyroiditis on histology; group B,
without chronic lymphocytic thyroiditis on histology. RESULTS: Groups A and B
were similar in terms of sex and age of the patients, characteristics of the
tumor, tumor-node-metastase stage and risk category. The time of follow-up ranged
from 24 to 120 months (median 66 months). During follow-up, 5 patients of group A
(2.6 %) and 9 patients of group B (2 %) developed recurrence (p = 0.77). Patients
with chronic lymphocytic thyroiditis were more likely to progress to persistently
borderline TgAb. No patient had positive TgAb (above the reference value) during
follow-up. Recurrences occurred in 12/588 patients (2 %) with undetectable TgAb
in all measurements, in 1/32 (3.1 %) with detectable TgAb on some occasion but
that returned to undetectable spontaneously, and in 1/13 (7.7 %) with
persistently borderline TgAb. These rates did not differ significantly (p =
0.25). CONCLUSION: The results of the present study showed the absence of an
association between chronic lymphocytic thyroiditis and recurrence risk at least
in patients with an excellent response to initial therapy.
PMID- 27878774
TI - A model for determination of operational conditions for successful shortcut
nitrification.
AB - Accumulation of nitrite in shortcut nitrification is influenced by several
factors including dissolved oxygen concentration (DO), pH, temperature, free
ammonia (FA), and free nitrous acid (FNA). In this study, a model based on
minimum dissolved oxygen concentration (DOmin), minimum/maximum substrate
concentration (Smin and Smax), was developed. The model evaluated the influence
of pH (7-9), temperature (10-35 degrees C), and solids retention time (SRT) (5
days-infinity) on MSC values. The evaluation was conducted either by controlling
total ammonium nitrogen (TAN) or total nitrite nitrogen (TNN), concentration at
50 mg N/L while allowing the other to vary from 0 to 1000 mg N/L. In addition,
specific application for shortcut nitrification-anammox process at 10 degrees C
was analyzed. At any given operational condition, the model was able to predict
if shortcut nitrification can be achieved and provide the operational DO range
which is higher than the DOmin of AOB and lower than that of NOB. Furthermore,
experimental data from different literature studies were taken for model
simulation and the model prediction fit well the experiment. For the Sharon
process, model prediction with default kinetics did not work but the model could
make good prediction after adjusting the kinetic values based on the Sharon
specific kinetics reported in the literature. The model provides a method to
identify feasible combinations of pH, DO, TAN, TNN, and SRT for successful
shortcut nitrification.
PMID- 27878773
TI - Ramadan fasting in patients with adrenal insufficiency.
AB - AIM: The risks of fasting during Ramadan in patients with adrenal insufficiency
are unknown. The aims of this study were to evaluate these risks in such
patients, to determine the risk factors and finally to set some recommendations.
METHODS: It is a cross-sectional study about 180 patients with known and treated
adrenal insufficiency. The patients responded to a 14-item questionnaire
concerning their knowledge about the disease and fasting during the last month of
Ramadan. RESULTS: There were 132 women and 48 men. The mean age was 47.6 +/- 15.0
years (14-79). One hundred and thirty eight patients (76.7 %) were advised by
their physician not to fast. Ninety-one patients (50.5 %) tried to fast.
Complications occurred in 61 cases (67.0 %): asthenia in 88.5 % of cases, intense
thirst in 32.8 %, symptoms of dehydration in 49.2 % and symptoms of hypoglycaemia
in 18 %. One patient was hospitalized. Fifty-five patients (60.4 %) were able to
fast for the whole month. Age, gender, duration of the disease, its primary
origin, associated hypothyroidism, diabetes mellitus, hypertension or diabetes
insipidus and daily dose of hydrocortisone did not significantly differ between
fasters and non-fasters, full-month-fasters and partial-month-fasters, and
fasters with complications and fasters without complications. The frequency of
adequate knowledge about the disease was significantly higher in full-month
fasters vs. partial-month-fasters, and in fasters without complications vs. those
with complications. CONCLUSION: In patients with adrenal insufficiency, fasting
can cause complications especially if the level of knowledge about the disease is
low.
PMID- 27878775
TI - Bioremoval of priority polycyclic aromatic hydrocarbons by a microbial community
with high sorption ability.
AB - The treatment of large volumes of wastewater during oil refining is presently a
challenge. Bioremediation has been considered an eco-friendly approach for the
removal of polycyclic aromatic hydrocarbons (PAHs), which are one of the most
hazardous groups of organic micropollutants. However, it is crucial to identify
native PAH-removing microorganisms for the development of an effective
bioremediation process. This study reports the high potential of an anaerobic
microbial consortium enriched from a petrochemical refinery wastewater to remove
two priority PAHs-acenaphthene and phenanthrene. Seventy-seven percent of
acenaphthene was removed within 17 h, whereas phenanthrene was no longer detected
after 15 h. Bioremoval rates were extremely high (0.086 and 0.156 h-1 for
acenaphthene and phenanthrene, respectively). The characterization of the
microbial communities by next-generation sequencing and fluorescence in situ
hybridization showed that the PAH-removing consortium was mainly composed by
bacteria affiliated to Diaphorobacter and Paracoccus genera, independently of the
PAH tested. Moreover, besides biodegradation, biosorption was a relevant
mechanism involved in the removal of both PAHs, which is an important finding
since biosorption is less expensive than biodegradation and can be carried out
with dead biomass. Although biodegradation is the most commonly reported
biological mechanism for PAH removal, this study demonstrated that biosorption by
this microbial community may be extremely efficient for their removal. Given the
outstanding ability of this microbial consortium to quickly remove the compounds
addressed, it could be further applied for the bioremediation of PAHs in refinery
wastewaters and other contaminated environments.
PMID- 27878776
TI - Successive chlorothalonil applications inhibit soil nitrification and
discrepantly affect abundances of functional genes in soil nitrogen cycling.
AB - Broad-spectrum fungicide chlorothalonil (CTN) is successively applied into
intensive agriculture soil. However, the impacts of successive CTN applications
on soil nitrification and related microorganisms remain poorly understood. A
microcosm study was conducted to reveal the effects of successive CTN
applications on soil nitrification and functional genes involved in soil nitrogen
(N) cycling. The CTN at the dosages of 5 mg kg-1 dry soil (RD) and 25 mg kg-1 dry
soil (5RD) was successively applied into the test soil at 7-day intervals which
resulted in the accumulations of CTN residues. After 28 days of incubation, CTN
residues in the RD and 5RD treatments were 3.14 and 69.7 mg kg-1 dry soil
respectively. Net nitrification rates in the RD and 5RD treatments were lower
than that obtained from the blank control (CK). Real-time PCR analysis revealed
that AOA and AOB amoA gene abundances were significantly decreased by CTN
applications. Moreover, CTN applications also discrepantly decreased the
abundances of functional genes involved in soil denitrification, with the
exception of nosZ gene. Principal component analysis further supported the
observation that successive CTN applications could result in enhanced ecological
toxicity.
PMID- 27878777
TI - Vitamin K supplementation to prevent hemorrhagic morbidity and mortality of
newborns in India and China.
AB - BACKGROUND: Vitamin K deficiency bleeding (VKDB) can cause prolonged and bleeding
(intracranial hemorrhage) among newborns, which can be life-threatening or lead
to long-term morbidity. The aim of this review article is to reiterate empirical
evidence to support the argument that vitamin K should be mandatory for newborns
in India and China, as well as in other countries with a high burden of neonatal
deaths. DATA SOURCES: Studies were integrated from the PubMed/MEDLINE database
search, as well as related literature available elsewhere. RESULTS: Both India
and China have been slow in adopting an effective program for administering
vitamin K injections to newborns to prevent VKDB-related morbidity and mortality.
VKDB cases in China and India have shown inadequate attention to routine use of
vitamin K by injection. CONCLUSIONS: While no reliable data are publicly
available, the issue of VKDB is at last receiving some attention from the Chinese
public health system as well as the Indian government. In both countries, routine
vitamin K administration to newborns would prove to be a cost-effective
intervention to reduce preventable neonatal morbidity and mortality. VKDB is a
global neonatal care issue, including countries where parental resistance is
preventing babies from defense against this life-threatening condition.
PMID- 27878778
TI - Elevated serum levels of ghrelin and TNF-alpha in patients with cyanotic and
acyanotic congenital heart disease.
AB - BACKGROUND: The levels of ghrelin and tumor necrosis factor alpha (TNF-alpha) are
considered biological markers of congenital heart diseases (CHD). The present
meta-analysis was conducted to investigate the clinical significance of serum
levels of ghrelin and TNF-alpha in children with (CHD). METHODS: Chinese and
English scientific literature databases were searched to retrieve published
studies relevant to ghrelin, TNF-alpha and CHD. Manual search was additionally
employed to identify other relevant studies from cross-references. The retrieved
studies were screened on the basis of our stringent inclusion and exclusion
criteria to select high quality case-control studies for meta-analysis. RESULTS:
We initially retrieved 108 published studies (20 in Chinese and 88 in English)
from database searches. Finally, 6 case-control studies (5 in English and 1 in
Chinese) were enrolled in our meta-analysis, and contained a total of 160
cyanotic congenital heart disease (CCHD) patients and 215 acyanotic congenital
heart disease (ACHD) patients, along with 162 healthy controls. The results of
meta-analysis showed that serum levels of ghrelin and TNF-alpha in CCHD or ACHD
children were significantly higher than those in healthy controls. CONCLUSIONS:
Our meta-analysis results showed that serum levels of ghrelin and TNF-alpha are
elevated in children with CHD, and could be used as effective biologic markers in
early diagnosis of CHD.
PMID- 27878779
TI - Total estimated effective doses from radiologic imaging modalities of children
with cancer: a single center experience.
AB - BACKGROUND: Recently, awareness of the cumulative radiation exposure for
pediatric oncology patients has been increasing, together with increased survival
rates and longer life expectancy. The aim of our study was to quantify the amount
of ionising radiation from imaging modalities of pediatric oncology patients.
METHODS: Eighty-eight patients who were diagnosed with childhood cancer and
followed up for 5 years between 2004-2014 in our center were included in the
study. Patients' medical files were reviewed retrospectively for imaging history
in the first 5 years after diagnosis. Total estimated effective doses from
radiologic imaging modalities were determined. Also, the basic demographic data,
histologic type, stage, and outcomes of disease were collected for all patients.
RESULTS: The individual total estimated effective doses ranged from 8.73 to 167
mSv, with a median of 62.92 mSv. Computed tomography was the greatest contributor
of total effective doses. The doses ranged 21.45-113.20 mSv (median: 62.92 mSv)
in Hodgkin lymphoma, 12.53-167.10 mSv (median: 52 mSv) in non-Hodgkin lymphoma,
4.13-172.98 mSv (median: 52 mSv) in neuroblastoma, 31-149.89 mSv (median: 63.10
mSv) in Wilms' tumor, 11.50-73.72 mSv (median: 36.90 mSv) in germ cell tumor,
26.46-125.86 mSv (median: 80.90 mSv) in other solid tumor and 0.02-13.31 mSv
(5.25 mSv) in brain tumor subgroup. Twenty-two children (25%) died with
progressive disease during the 5-year follow-up period. CONCLUSIONS: Similar to
previous studies, the total estimated effective doses in children with cancer
have been found various according to diagnosis, stage and clinical course. To
clarify the harmfull effects of radiation burden, prospective studies should be
conducted in children with cancer.
PMID- 27878780
TI - A pilot study using lactulose in management of minimal hepatic encephalopathy in
children with extrahepatic portal vein obstruction.
AB - BACKGROUND: Minimal hepatic encephalopathy (MHE) is not associated with overt
neuropsychiatric symptoms but rather with subtle changes in psychometric and/or
neurophysiologic tests. We aimed to diagnose MHE in children with extrahepatic
portal vein obstruction (EHPVO) and to evaluate the effect of lactulose on MHE.
METHODS: A prospective study was carried out on 30 patients with EHPVO (21 males;
mean age 10+/-2.5 years). The study was carried out in the Pediatric Hepatology
Unit, Cairo University Pediatric Hospital, Cairo, Egypt, between 2011 and 2013.
All patients were subjected to clinical and laboratory assessment,
neuropsychmetric testing using the arabic version of Wechsler intelligence tests,
neurophysiological testing by visual electroencephalogram and P300 event related
potentials (ERP). RESULTS: The prevalence of MHE among children with EHPVO was
20% (6/30). After randomization to treatment and no-treatment groups using
lactulose, all tests were repeated after three months. Among four patients with
MHE who received lactulose, three (75%) improved. On the other hand, one of the
patients in the no-treatment group developed MHE. Only one patient in the
treatment arm had to discontinue lactulose because of severe diarrhea.
CONCLUSIONS: This pilot study revealed that the prevalence of MHE was 20%.
Improvement on psychometic tests was seen in 75% of our patients (3/4) after
treatment with lactulose. Lactulose treatment was well tolerated.
PMID- 27878781
TI - Successful elimination of extended-spectrum beta-lactamase (ESBL)-producing
nosocomial bacteria at a neonatal intensive care unit.
AB - BACKGROUND: Extended-spectrum beta-lactamase (ESBL)-producing Gram-negative
bacteria are highly dangerous to neonates. At our Neonatal Intensive Care Unit
(NICU), the presence of these bacteria became so threatening in 2011 that
immediate intervention was required. METHODS: This study was conducted during a
nearly two-year period consisting of three phases: retrospective (9 months),
educational (3 months) and prospective (9 months). Based on retrospective data
analysis, a complex management plan was devised involving the introduction of the
INSURE protocol, changes to the antibiotic regimen, microbiological screening at
short intervals, progressive feeding, a safer bathing protocol, staff hand
hygiene training and continuous monitoring of the number of newly infected and
newly colonized patients. During these intervals, a total of 355 patients were
monitored. RESULTS: Both ESBL-producing Enterobacter cloaceae and Klebsiella
pneumoniae were found (in both patients and environmental samples). In the
prospective period a significant reduction could be seen in the average number of
both colonized (26/167 patients; P=0.029) and infected (3/167 patients; P=0.033)
patients compared to data from the retrospective period regarding colonized
(72/188 patients) and infected (9/188 patients) patients. There was a decrease in
the average number of patient-days (from 343.72 to 292.44 days per months),
though this difference is not significant (P=0.058). During the prospective
period, indirect hand hygiene compliance showed a significant increase (from the
previous 26.02 to 33.6 hand hygiene procedures per patient per hospital day,
P<0.001). CONCLUSION: Colonizations and infections were rolled back successfully
in a multi-step effort that required an interdisciplinary approach.
PMID- 27878782
TI - Trajectory of cause of death among brought dead neonates in tertiary care public
facilities of Pakistan: A multicenter study.
AB - BACKGROUND: Considering the fact that Pakistan is amongst the countries with very
high neonatal mortality rates, we conducted a research study to determine the
possible causes and characteristics of neonates presenting dead to the emergency
department of tertiary public health care facilities of Pakistan using verbal
autopsies. METHODS: A descriptive case series study was conducted in emergency
department/pediatrics ward/neonatal ward/nursery unit of ten tertiary care public
health facilities, situated in seven major cities of Pakistan from November, 2011
to June, 2013. Precoded verbal autopsy proforma was used to collect information
regarding cause of death, family narratives and other associated risks
accountable for pathway to mortality. RESULTS: We identified 431 neonates
presenting dead to the emergency department (238 males and 193 females). Sepsis
(26.7%), birth asphyxia (18.8%) and persistent pulmonary arrest (17.2%) were main
primary causes of brought death. Around 72% brought dead neonates were referred
from doctors/health care facilities and more than 28% caregivers mentioned that
they were not informed about the diagnosis/ailment of their deceased newborn.
CONCLUSIONS: Findings of our study suggest that infectious disease remains the
main primary cause of neonatal mortality. Underweight in newborns (64%) was
estimated as a leading associated risk. Delays in referrals to respective health
care facility enlightened the concern of sub-standard prerequisites of neonatal
care that could be one of the major contributing risk factor of high mortality
rates.
PMID- 27878783
TI - Prediction of significant hyperbilirubinemia in term neonates by early non
invasive bilirubin measurement.
AB - BACKGROUND: Neonatal jaundice is a common problem. We evaluated the utility and
best cut-off values of 24-and 48-hour transcutaneous bilirubin indices (TcBI) in
predicting subsequent significant hyperbilirubinemia and evaluated various
associated maternal and fetal risk factors. METHODS: TcBI at 24 and 48 hours and
serum bilirubin levels at 72 hours of age were obtained for healthy, term,
appropriate for gestational age neonates. Neonates with prematurity, birth weight
<2500 g, ABO or Rh incompatibility, onset of clinical jaundice <24 hours,
clinical suspicion of septicemia, positive pressure ventilation at birth,
admission in neonatal intensive care unit and contraindications for BiliChek were
excluded. Twently-four and 48-hour TcB indices were assessed as predictors of
subsequent hyperbilirubinemia, defined as serum bilirubin >17 mg/dL after 72
hours of life and various cut-offs, and were evaluated by calculating
sensitivity, specificity and predictive values. RESULTS: Of 500 newborns, 4.6%
had significant hyperbilirubinemia, 27% had TcBI (mg/dL) <5 at 24 hours, and
27.4% had TcBI <8 at 48 hours. None of them had subsequent hyperbilirubinemia
(100% negative predictive value). The percentage of newborns with subsequent
hyperbilirubinemia increased from 3.4% to 13.2% as their 24-hour TcBI increased
from 6 to above 9 mg/dL and from 4.2% to 7.4% as their 48-hour TcBI increased
from 8 to above 11 mg/dL. The best cut-off value was TcBI (mg/dL) 7 (odd
ratio=4.86, 95% confidence interval: 1.66-15.22) at 24 hours and 10 (odd
ratio=2.87, 95% confidence interval: 1.04-8.29) at 48 hours. Area under the
receiver operating characteristic curve for 24- and 48-hour measurements was
0.750 and 0.715, respectively. Maternal premature rupture of membranes, deep
transverse arrest, post-date pregnancy, and fetal distress were significant risk
factors for hyperbilirubinemia. CONCLUSIONS: Twenty-four and 48-hour TcB indices
are good predictors of subsequent hyperbilirubinemia. Twenty-four-hour TcBI had
better predictive ability than 48-hour TcBI.
PMID- 27878784
TI - A population-based study of prevalence of Down syndrome in Southern Thailand.
AB - BACKGROUND: Down syndrome (DS) is the most common chromosomal disorder that
causes mental retardation. In 2009, a population-based birth defects study was
implemented in three provinces in southern Thailand. This study aimed to
determine the prevalence of DS in the studied regions, and the proportion of DS
fetuses detected by prenatal screening. METHODS: Data were obtained from a
population-based surveillance study undertaken during 2009-2013. Entries in the
birth defects registry included live births, stillbirths after 24 weeks
gestational age, and terminations of pregnancy following prenatal diagnosis.
Infants with clinical characteristics of DS had a chromosomal study to make a
definite diagnosis. RESULTS: Of the total 186 393 births recorded during the
study period, 226 DS cases were listed, giving a prevalence of 1.21 per 1000
births [95% confidence interval (CI) 1.05-1.37]. The median maternal age was 36.5
years with a percentage of maternal age >=35 years of 60.6%. Seventy-seven cases
(34.1% of all cases) were diagnosed prenatally and these pregnancies were
terminated. The prevalence of DS per 1000 births was significantly higher in
older women, from 0.47 (95% CI 0.28-0.67) in mothers aged <30 years to 0.88 (95%
CI 0.59-1.17) in mothers 30-<35 years (P<0.01), and to 4.74 (95% CI 3.95-5.53) in
mothers >=35 years (P<0.001). CONCLUSIONS: The prevalence of DS significantly
increased with maternal age. About 35% of DS cases were detected prenatally and
later terminated. Hence, examining only registry live births will result in an
inaccurate prevalence rate of DS.
PMID- 27878785
TI - Lesions requiring wound management in a central tertiary neonatal intensive care
unit.
AB - BACKGROUND: Most of the skin disorders that occur in neonatal intensive care
units are due in part to the immaturity and vulnerability of the neonatal skin.
Various iatrogenic diagnostic and therapeutic procedures are also conducive to
iatrogenic damage. This study was to review the neonates admitted to our neonatal
intensive care unit who needed wound management, and to assess the most common
skin injuries and wounds, and their aetiology. METHODS: Data were extracted from
medical records of neonates who needed wound management in our Neonatal Intensive
Care Unit between January 31, 2012 and January 31, 2013. Information about
gestational age, sex, birth weight, area of involvement, wound aetiology, and
therapy were collected. RESULTS: Among the 211 neonates observed, wound
management was required in 10 cases of diaper dermatitis, 7 epidermal stripping,
6 extravasation injuries, 5 pressure ulcers, 1 surgical wound and infection, 1
thermal burn, and 5 other lesions. CONCLUSIONS: International guidelines in
neonatal wound care practice are not available, and further research concerns are
clearly needed. Dressings and antiseptic agents should be chosen with great care
for application to neonates, with particular attention to the prevention of
adverse events in this sensitive population. Team work among dermatologists,
neonatologists and nurses is crucial for the successful treatment of neonates.
PMID- 27878787
TI - Interdisciplinary decision-making and treatment of intracranial aneurysms in the
era of complementary microsurgical and endovascular techniques.
AB - Rupture of an intracranial aneurysm is a life-threatening event. Only one third
of intracranial aneurysms rupture during a patient's lifetime. Accurate markers
that predict which intracranial aneurysms rupture and which do not are currently
lacking in routine clinical practice. Therefore, the treatment decision is a
careful balance between the natural history of the intracranial aneurysm and the
risk of intervention based on aneurysm- and patient-specific risk factors. Many
of these risk factors are also used to determine the modality of intervention. In
this review, the authors discuss the interdisciplinary decision-making process
and treatment approach in the era of complementary techniques for intracranial
aneurysm obliteration.
PMID- 27878786
TI - Enhancing poly(3-hydroxyalkanoate) production in Escherichia coli by the removal
of the regulatory gene arcA.
AB - Recombinant Escherichia coli is a desirable platform for the production of many
biological compounds including poly(3-hydroxyalkanoates), a class of naturally
occurring biodegradable polyesters with promising biomedical and material
applications. Although the controlled production of desirable polymers is
possible with the utilization of fatty acid feedstocks, a central challenge to
this biosynthetic route is the improvement of the relatively low polymer yield, a
necessary factor of decreasing the production costs. In this study we sought to
address this challenge by deleting arcA and ompR, two global regulators with the
capacity to inhibit the uptake and activation of exogenous fatty acids. We found
that polymer yields in a DeltaarcA mutant increased significantly with respect to
the parental strain. In the parental strain, PHV yields were very low but
improved 64-fold in the DeltaarcA mutant (1.92-124 mg L-1) The DeltaarcA mutant
also allowed for modest increases in some medium chain length polymer yields,
while weight average molecular weights improved by approximately 1.5-fold to 12
fold depending on the fatty acid substrate utilized. These results were supported
by an analysis of differential gene expression, which showed that the key genes
(fadD, fadL, and fadE) encoding fatty acid degradation enzymes were all
upregulated by 2-, 10-, and 31-fold in an DeltaarcA mutant, respectively.
Additionally, the short chain length fatty acid uptake genes atoA, atoE and atoD
were upregulated by 103-, 119-, and 303-fold respectively, though these values
are somewhat inflated due to low expression in the parental strain. Overall, this
study demonstrates that arcA is an important target to improve PHA production
from fatty acids.
PMID- 27878789
TI - Regional sociocultural differences as important correlate of physical activity
and sedentary behaviour in Swiss preschool children.
AB - QUESTION: Regional differences in physical activity in school-aged children and
adults even within one country with the same political and health care system
have been observed and could not be explained by sociodemographic or individual
variables. We analysed whether such differences were already present in preschool
children. METHODS: Swiss children from 84 childcare centres in five cantons
(Aargau, Bern, Fribourg, Vaud, Zurich) comprising about 50% of the population of
the country participated. Physical activity was quantified with accelerometers
(ActiGraph, wGT3X-BT) and potential correlates were assessed with measurements at
the childcare centre or questionnaires. Mixed regression models were used to test
associations between potential correlates of total physical activity (TPA),
moderate-to-vigorous physical activity (MVPA), light physical activity (LPA) or
sedentary behaviour with a special focus on regional differences. RESULTS: 394 of
476 children (83%) provided valid physical activity data (at least 2 weekdays and
1 weekend day with 10 h recording; mean age 3.9 +/- 0.7 years, 54% boys) with 26%
and 74% living in the French- and German-speaking parts of Switzerland,
respectively. Days consisted of (mean +/- standard deviation) 1.5 +/- 0.5 h MVPA,
5.0 +/- 0.6 h LPA, and 6.3 +/- 0.8 h sedentary behaviour with an average of 624
+/- 150 counts/min TPA. TPA and MVPA (but not sedentary behaviour or LPA)
increased with age, were higher in boys and children with better motor skills.
Despite controlling for individual characteristics, familial factors and
childcare exposure, children from the French-speaking part of Switzerland showed
13% less TPA, 14% less MVPA, 6% less LPA and 8% more sedentary behaviour than
German-speaking children. CONCLUSION: Beside motor skills and non-modifiable
individual factors, the regional sociocultural difference was the most important
correlate of phyical activity and sedentary behaviour. Therefore, regionally
adapted public health strategies may be needed.
PMID- 27878788
TI - Sun protective behaviour and sunburn prevalence in primary and secondary
schoolchildren in western Switzerland.
AB - AIMS OF THE STUDY: Although solar overexposure during childhood and adolescence
increases the risk of melanoma, determinants of sunburn and sun protective
behaviours of Swiss children have scarcely been explored. We investigated sunburn
occurrence and sun protective behaviours of schoolchildren in western
Switzerland, the region with the highest incidence of melanoma in Europe.
MATERIAL AND METHODS: Self-reported questionnaires were administered during
regular classes to pupils in 5th (primary school, n = 431), 8th and 11th grades
(secondary school, n = 837) in the 18 public schools of La Chaux-de-Fonds.
Descriptive statistics and multivariate logistic regression analyses were
performed to assess predictors of sunburns and of three sun protective behaviours
(sunscreen, shade, wear of covering clothes). RESULTS: Response rate was 91%.
Sunburn prevalence over the preceding year was high (60% at least one sunburn,
30% at least two, 43% at least one severe sunburn). Younger age, fair skin,
regular sunscreen use, higher sun-related knowledge and preference for a tanned
skin were predictors of sunburn. Sunscreen was the most used protective measure
(69%), followed by seeking shade (33%) and wearing long-sleeved shirts (32%).
Decline in all protective measures was observed in older pupils and those with
pro-tan attitudes. The wear of covering clothes was significantly associated with
sunscreen use and seeking shade. Parental encouragement favoured sunscreen use
and wearing of protective clothes. CONCLUSIONS: Sunscreen use as a last
protective barrier against ultraviolet radiation should be better emphasised in
prevention campaigns targeting children and adolescents. Multi-faceted
interventions, including role models, parents and peers should help to improve
children's sun protective behaviours.
PMID- 27878790
TI - Additional malignancies in patients with neuroendocrine tumours: analysis of the
SwissNET registry.
AB - PRINCIPLES: Neuroendocrine neoplasms (NENs) are believed to be associated with an
increased risk for additional malignancies (AMs). We aimed to (1) assess the
occurrence of AM in NEN patients (2) investigate the characteristics and temporal
relationship of NEN patients with and without AM. METHODS: The SwissNET registry
has prospectively documented patients with NEN since 2008, covering the entire
area of Switzerland. Clinical characteristics, functionality, location and
histology of NEN as well as survival of all consecutive patients were retrieved.
The characteristics of the AM (location, histology, time point of diagnosis in
relation to diagnosis of NEN) were extracted. RESULTS: Out of 934 patients, 193
patients (21%) presented with AMs. There was no statistically significant
difference with regard to location, functionality and grading (G1-G3) between the
NEN patients with and without AM. AMs were diagnosed synchronously (+/-3 months),
before (>-3 months) and after (>+3 months) diagnosis of NEN in 82 (42%), 96 (50%)
and 13 (7%) patients, respectively. Location of NEN correlated with the
anatomical origin of the AM. Age- and gender- corrected survival was not
significantly different between NEN patients with and without AM. CONCLUSION: The
prevalence of AM in NEN is high. The comparable characteristics with regard to
functionality and grading in the NEN cohorts with and without AM and the similar
location of AM and NEN suggest a selection bias towards frequent imaging
procedures in NEN patients with AM.
PMID- 27878791
TI - New concepts in the management of dyslipidaemiaa.
AB - Recently, the European Society of Cardiology (ESC) and the European
Atherosclerosis Society (EAS) published a consensus paper giving guidance on the
definition and management of statin-associated muscle symptoms (SAMS), as well as
the use of proprotein convertase subtilisin kexin 9 (PCSK9) inhibitors in very
high-risk patients. The occurrence of SAMS can have a major negative impact on
treatment adherence and, consequently, on the prognosis of cardiovascular
diseases. In addition, both the ESC guidelines on the prevention of
cardiovascular disease (CVD) in clinical practice with sections addressing global
strategies to minimise the burden of CVD at population and individual levels, and
the 2016 ESC/EAS guideline for the management of dyslipidaemias, focus on
evaluation and treatment of SAMS. The release of these guidelines was a source of
great interest to clinicians, as new emergent therapies, such as the PCSK9
inhibitors, have been approved for the treatment of dyslipidaemias: recently,
both the US Food and Drugs Administration (FDA) and the European Medicines Agency
(EMA) approved the use of PCSK9 inhibitors as add-ons for the treatment of
hypercholesterolaemia in cases where low-density lipoprotein cholesterol (LDL-C)
target levels could not be reached with maximum tolerated statin doses alone, or
instead of statins in the event of SAMS. Because of the relatively high cost of
these new therapies, physicians need to justify the use of PCSK9 inhibitors by
demonstrating that their high-risk patients' LDL-C levels have remained high (1)
despite a well-conducted, but insufficiently effective high-intensity statin
therapy (e.g. rosuvastatin 10-20 mg or atorvastatin 40-80 mg), or (2) in the
event of the patient developing side effects, in particular severe SAMS, during
treatment with at least three statins. In addition to SAMS, the use of PCSK9
inhibitors may be considered in patients with documented atherosclerotic
cardiovascular disease or in patients with familial hypercholesterolaemia and
poorly controlled LDL-C under the combination of maximum tolerated stain and
ezetimibe.
PMID- 27878792
TI - Astrocyte power fuels neurons during stroke.
PMID- 27878793
TI - Novel cases of amyotrophic lateral sclerosis after treatment of cerebral
arteriovenous malformationss.
AB - Previous case studies reported nine patients with cerebral arteriovenous
malformations (AVM) who developed amyotrophic lateral sclerosis (ALS) after AVM
embolisation. Here, we describe three novel cases of ALS which developed 13-34
years after treatment, including embolisation, of cerebral AVM. This study
provides further arguments supporting the thesis that embolisation of cerebral
AVM might influence the risk of later ALS development.
PMID- 27878794
TI - Varicosities of the lower extremity, new approaches: cosmetic or therapeutic
needs?
AB - Varicose veins of the lower extremity (VVLE) are a frequently encountered
vascular disorder in the general population. The general view that VVLE are a non
serious disease with primarily aesthetic impact is a common misconception, as the
disease can have a significant negative impact on generic and disease-specific
quality of life. Further, VVLE may be associated with potentially threatening
clinical conditions, such as chronic venous ulceration, venous thromboembolism
and haemorrhage from ruptured varicose veins. In the case of symptomatic varicose
veins, in the presence of advanced lower limb skin changes or when venous
complications occur, a referral for dedicated evaluation by the vascular medicine
specialist is recommended. The initial diagnostic test of choice to detect the
extent of the varicose disease and to plan treatment is duplex ultrasound.
Traditionally, compression therapy, surgical high ligation and stripping of the
truncal veins have been considered standard of care for VVLE. Driven by the aim
to reduce surgical trauma and improve the long-term effectiveness, minimally
invasive treatment options have been developed in the last two decades, namely
endovascular vein ablation techniques (EVA). Endovenous laser ablation and
radiofrequency ablation have been established as first-line treatments for
varicose veins associated with axial reflux on the basis of the most recent
international guidelines. For practical purposes and depending on the concrete
clinical situation, the various EVA and surgical techniques are often combined,
leading to multimodal varicose vein therapy management. Knowledge of the
different techniques is of utmost importance for the vascular medicine
specialist. The purpose of this article is to provide an overview of the new EVA
techniques and to elucidate the different therapeutic strategies for VVLE.
PMID- 27878795
TI - Transcutaneous versus blood carbon dioxide monitoring during acute noninvasive
ventilation in the emergency department - a retrospective analysis.
AB - QUESTIONS UNDER STUDY: Transcutaneous measurement of carbon dioxide (PtCO2) has
been suggested as an alternative to invasively obtained PaCO2 for the monitoring
of patients with hypercapnic respiratory failure during noninvasive ventilation
(NIV). Current data on monitoring in hypoxaemic respiratory failure are scarce
and show conflicting results in hypercapnic patients in the emergency department.
METHODS AND SETTING: We performed a retrospective comparison of real-time PtCO2
(SenTec Digital Monitor) and arterial/venous carbon dioxide tension (PaCO2/PvCO2)
measurements in patients with severe hypoxaemic and/or hypercapnic respiratory
failure during NIV. Agreement between PtCO2 and PaCO2/PvCO2 was the primary
endpoint. Bland-Altman analysis and linear regression were used. RESULTS: 102
patients had at least one matched measurement of PtCO2 and PaCO2/PvCO2. For
patients with arterial blood gas analysis, the mean difference was 0.46 kPa at
baseline (95% confidence interval [CI] 0.23 to 0.60, limits of agreement 95% CI
0.54 to 1.45) and 0.12 kPa after NIV (95% CI -0.04 to 0.29, limits of agreement
95% CI: -0.61 to 0.86). The linear regression analysis found a correlation R2 of
0.88 (p <0.001) at baseline and an R2 of 0.99 (p <0.001) after initiating NIV.
For patients with venous blood gas analysis, the mean difference was 0.64 kPa at
baseline (95% CI 0.04 to 1.24, limits of agreement 95% CI -0.72 to 2) and 0.80
kPa after NIV (95% CI 0.51 to 1.10, limits of agreement 95% CI 0.29 to 1.32), R2
0.78 (p <0.001) at baseline and R2 0.91 (p <0.001) after initiating NIV. A
PaCO2/PvCO2 >8 kPa was associated with a lesser degree of agreement between the
levels of PtCO2 and PaCO2/PvCO2 (p <0.001). CONCLUSION: Transcutaneous PCO2
monitoring shows a good concordance with PaCO2 and is a reliable, feasible,
patient-friendly and safe alternative to repeated blood gas analysis for patients
with severe hypoxaemic and/or hypercapnic respiratory failure receiving emergency
NIV in the emergency department. An initial blood gas analysis to evaluate the
respiratory and metabolic state and to rule out a significant discrepancy
compared with the transcutaneous measurement is recommended.
PMID- 27878796
TI - Patent foramen ovale: a novel cardiovascular risk factor in patients with sleep
disordered breathing and high altitude dwellers?
AB - Diseases associated with chronic hypoxaemia are a leading cause of morbidity and
mortality in Western countries. Epidemiological data indicate that cardiovascular
diseases contribute substantially to this problem, but the underlying mechanisms
are incompletely understood. Sleep disordered breathing and high altitude
exposure are frequent conditions associated with hypoxaemia. Recent evidence
suggests that in these conditions the concomitant presence of a patent foramen
ovale plays an important pathogenic role. For example, in patients with
obstructive sleep apnoea the presence of a patent foramen ovale is associated
with more severe sleep disordered breathing, nocturnal oxygen desaturation,
generalised endothelial dysfunction and arterial hypertension. After patent
foramen ovale closure, both sleep disordered breathing and cardiovascular
phenotype improve, suggesting the existence of a possible causal link. During
short-term high altitude exposure, the presence of a patent foramen ovale, by
aggravating altitude-induced hypoxaemia, facilitates exaggerated pulmonary
hypertension. Interestingly, there is increasing evidence showing that in high
altitude dwellers a patent foramen ovale also alters the cardiovascular
phenotype. In this article we will summarise recent evidence demonstrating how a
patent foramen ovale alters the cardiovascular phenotype and increases
cardiovascular risk in patients with sleep disordered breathing and high-altitude
dwellers.
PMID- 27878797
TI - Choosing wisely at the end of life: the crucial role of medical indication.
AB - At the end of life, several treatments are administered routinely that lack
medical indication and may cause significant harm to patients. Examples include
artificial hydration and oxygen therapy in the dying phase, as well as enteral
nutrition in advanced dementia. Medical indication is defined as the
appropriateness of a therapeutic or diagnostic measure in the patient's concrete
clinical situation, in light of the best available evidence. The decision about
the absence or presence of a medical indication is a core competence of
physicians. They have no obligation to perform or even mention measures that are
not indicated. The decision about medical indication is a clinical compound
decision, composed of both a factual, evidence-based judgement and a value
judgement, which should always be patient-centred. Acknowledging the crucial role
of medical indication in clinical decision making in medicine generally and at
the end of life specifically opens up ways of enhancing patient-physician
communication by clarifying roles, responsibilities and competencies. This may
facilitate preventing overtreatment, improving patient wellbeing, and realising
the patients' goals of care.
PMID- 27878798
TI - How can patients and their physicians contribute to an outbreak investigation?
Experiences from a nationwide listeriosis outbreak in Switzerland.
AB - QUESTIONS UNDER STUDY/PRINCIPLES: Gathering patient information to contain an
outbreak of Listeria monocytogenes is difficult because of the patients' severe
illness or death. Extending the range of interviewees to acquire epidemiological
data can thus be important to maximise information. METHODS: We built the current
analysis on a case-case outbreak investigation conducted during a Swiss
listeriosis outbreak between 30 January and 11 May 2014, including 31 patients
with confirmed L. monocytogenes infection. We interviewed treating physicians and
patients or their next of kin to gather information on clinical aspects, eating
habits and food consumption. We compared the different information sources with
regards to their potential to provide specific, complete and rapid information on
the affected population and their food consumption history. RESULTS: We obtained
a 100% response rate among physicians, providing detailed information on the
affected population by describing health status, underlying conditions, and signs
and symptoms. Detailed information on food history could not be obtained from
physicians, making the information vague and unspecific. Less than 50% of
patients could be interviewed, limiting our information base. Nevertheless,
patient information on the food history was sufficiently detailed and helped to
identify the outbreak source CONCLUSIONS: Outbreak investigation teams confronted
with limited information from patients and with small numbers of cases can
enhance information on the affected population and the outbreak source by
combining information from physicians and patients. Physicians provided
comprehensive information on signs and symptoms, underlying conditions and the
general health status. Patients remain vital to provide detailed information on
the food consumption history.
PMID- 27878799
TI - Microsurgery robots: addressing the needs of high-precision surgical
interventions.
AB - Robotics has a significant potential to enhance the overall capacity and
efficiency of healthcare systems. Robots can help surgeons perform better quality
operations, leading to reductions in the hospitalisation time of patients and in
the impact of surgery on their postoperative quality of life. In particular,
robotics can have a significant impact on microsurgery, which presents stringent
requirements for superhuman precision and control of the surgical tools.
Microsurgery is, in fact, expected to gain importance in a growing range of
surgical specialties as novel technologies progressively enable the detection,
diagnosis and treatment of diseases at earlier stages. Within such scenarios,
robotic microsurgery emerges as one of the key components of future surgical
interventions, and will be a vital technology for addressing major surgical
challenges. Nonetheless, several issues have yet to be overcome in terms of
mechatronics, perception and surgeon-robot interfaces before microsurgical robots
can achieve their full potential in operating rooms. Research in this direction
is progressing quickly and microsurgery robot prototypes are gradually
demonstrating significant clinical benefits in challenging applications such as
reconstructive plastic surgery, ophthalmology, otology and laryngology. These are
reassuring results offering confidence in a brighter future for high-precision
surgical interventions.
PMID- 27878800
TI - A single-centre experience of the implementation of adrenal vein sampling
procedure: the impact on the diagnostic work-up in primary aldosteronism.
AB - BACKGROUND: Primary aldosteronism is one of the most common causes of secondary
hypertension. Adrenal vein sampling (AVS) remains a "gold standard" procedure in
differentiation between unilateral (adenoma) and bilateral (hyperplasia) disease.
AIM: The aim of this study was to present our single-centre experience in
establishing and implementating the AVS procedure. METHODS: All patients had
primary aldosteronism confirmed in a salt-infusion test. AVS was performed
sequentially during a continuous intravenous infusion of cosyntropin and was
preceded by multislice contrast-enhanced computed tomography (CT) examination of
adrenal glands performed a few weeks before AVS in the majority of patients. AVS
was regarded as successful if the ratio of each adrenal vein cortisol to inferior
vena cava cortisol levels (selectivity index [SI]) was higher than 3.0. In the
case of failure, a second attempt was considered in a few weeks. Patients were
divided into four groups according to the order of the procedure by quartiles.
RESULTS: Between 31 May, 2012 and 5 May, 2016, AVS was performed in 124 patients
(69% males, aged 55.3 +/- 10.3 years) and was successful in 120 (96.8%) patients.
All failed cases were due to the failure of cannulation of the right adrenal
vein. The first-attempt success rate was 94.3% (117 of 124 patients) and
increased from 83.9% in the first 31 patients to 100% in the last 31 patients.
Similarly, the overall success rate increased from 93.5% to 100%. The right SI
was significantly higher than the left one (26.4 vs. 11.0, p < 0.0001). Both
indices did not differ across quartiles of patients. No complications occurred
during the procedure. CONCLUSIONS: The AVS procedure, preceded by adrenal CT, may
be implemented into daily diagnostic practice safely with an excellent success
rate.
PMID- 27878801
TI - The effect of strength training on quality of prolonged basic cardiopulmonary
resuscitation.
AB - BACKGROUND: Providing high-quality chest compressions and rescue breaths are key
elements in the effectiveness of cardio-pulmonary resuscitation. AIM: To
investigate the effects of a strength training programme on the quality of
prolonged basic cardiopulmonary resuscitation on a manikin. METHODS: This was a
quasi-experimental trial. Thirty-nine participants with prior basic life support
knowledge were randomised to an experimental or control group. They then
performed a test of 10 min of chest compressions and mouth-to-mouth ventilation
on manikins equipped with a skill reporter tool (baseline or test 1). The
experimental group participated in a four-week strength training programme
focused on the muscles involved in chest compressions. Both groups were
subsequently tested again (test 2). RESULTS: After training, the experimental
group significantly increased the mean depth of compression (53.7 +/- 2.3 mm vs.
49.9 +/- 5.9 mm; p = 0.003) and the correct compression fraction (68.2 +/- 21.0%
vs. 46.4 +/- 29.1%; p = 0.004). Trained subjects maintained chest compression
quality over time better than the control group. The mean tidal volume delivered
was higher in the experimental than in the control group (701.5 +/- 187.0 mL vs.
584.8 +/- 113.6 mL; p = 0.040) and above the current resuscitation guidelines. In
test 2, the percentage of rescue breaths with excessive volume was higher in the
experi-mental group than in the controls (31.5 +/- 19.6% vs. 15.6 +/- 13.0%; p =
0.007). CONCLUSIONS: A simple strength training programme has a significant
impact on the quality of chest compressions and its maintenance over time.
Additional training is needed to avoid over-ventilation of potential patients.
PMID- 27878802
TI - Improvement of quality of life following transcatheter aortic valve implantation
in the elderly: a multi-centre study based on the Polish national TAVI registry.
AB - BACKGROUND: Transcatheter aortic valve implantation (TAVI) is an effective
therapeutic method for elderly high-risk patients with symptomatic severe aortic
stenosis, not eligible for surgical treatment. AIM: The aim of the study was to
evaluate short-term changes in elderly people's quality of life following TAVI,
based on the POL-TAVI registry. METHODS: One hundred and eighty-four
octogenarians' and nonagenarians' samples from the POL-TAVI database were
included in the study. The quality of life status was assessed with EQ-5D-3L
questionnaire at baseline and after one-month follow-up. RESULTS: The mean of
patients' age was 84 (80-93) years. Logistic EuroSCORE mean was 19.83% (3.48
83.94%), and transaortic mean gradient was 54.99 (19.0-149.0) mm Hg. At baseline,
up to 24.50% of patients declared severe problems in performing usual activities.
13.60% felt extreme pain or discomfort; 9.80% were unable to wash and dress by
themselves; 8.20% were extremely anxious or depressed; and 7.10% of the patients
in the study were confined to bed. After 30 days the percentage of patients
declaring severe problems in each dimension did not exceed 4.00%. The comparison
between quality of life data at baseline and after one-month follow-up showed a
significant improvement in each of five dimensions: mobility, self-care, usual
activities, pain/discomfort, and anxiety/depression (p < 0.001). Patients'
quality of life was increasing regardless of gender (female vs. male), the type
of anaesthesia (general vs. local), and the type of procedure (TA vs. TF).
CONCLUSIONS: Short-term quality of life in the elderly subjects was significantly
improved after one-month follow-up following TAVI.
PMID- 27878803
TI - Tissue Doppler echocardiography detects subclinical left ventricular dysfunction
in patients undergoing chemotherapy for colon cancer: insights from ONCOECHO
multicentre study.
AB - BACKGROUND: Colorectal cancer (CRC) is the second most common cancer in women and
the third in men in Poland. The role of chemotherapy (CTX) depends on the stage
of CRC: adjuvant CTX is a standard treatment in stage III and should also be
considered in stage II with risk factors. AIM: The aim of the paper was to assess
the cardiovascular consequences of CTX in CRC enrolled to the ONCOECHO
multicentre study (2012-2014). To identify potential cardiotoxicity, we focused
on myocardial function, heart rhythm and conduction disorders, and adverse
cardiovascular events. METHODS: Twenty-five CRC patients (12 women, mean age 61.3
[35-76] years), all receiving six-month adjuvant CTX were included. Thirteen
patients received 5-fluorouracil (5FU)-based CTX, and 12 patients received a
capecitabine-based scheme. Subjects were assessed at baseline and followed-up
three, six, and 12 months after the onset of treatment. In this analysis we
focused on conduction abnormalities, systolic and diastolic function of the left
ventricle (LV), and cardiovascular events. RESULTS: In 12-month follow-up a
decrease of selected tissue Doppler parameters (e.g. S'IVS, S'lat, and E'sept)
was observed, and it was significant. LV structural parameters and ejection
fraction (EF) remained unaffected. Changes in myocardial performance were not
influenced by CTX regimen or treatment with beta-blockers or angiotensin
converting enzyme inhibitors. CTX did not affect LV structural parameters, EF, or
conduction system, nor was it associated with cardiovascular events during the 12
month follow-up. CONCLUSIONS: CTX in CRC patients does not affect LV structural
parameters and EF. It may, however, trigger subtle changes in myocardial
performance detectable by tissue Doppler echocardiography after 12 months.
Moreover, it causes a transient increase of QT, which resolves after CTX
cessation.
PMID- 27878804
TI - Assessment of cardiovascular risk in patients undergoing total joint alloplasty:
the CRASH-JOINT study.
AB - BACKGROUND: Risk assessment is of particular importance for patients undergoing
surgical interventions. Orthopaedic procedures, especially total joint
alloplasty, are major procedures associated with high perioperative risk, as well
as one of the highest rates of complications. AIM: The aim of the present study
was to establish the prevalence of classical and non-classical cardiovascular
risk factors in patients undergoing total hip or knee alloplasty. METHODS: The
CRASH-JOINT (Cardiovascular Risk Assessment ScHeme in JOINT alloplasty) was a
prospective, epidemiological study performed in consecutive patients scheduled
for total joint (hip or knee) replacement surgery. Patients enrolled into the
study were screened for cardiovascular risk factors and had ambulatory blood
pressure performed for the diagnosis of hypertension. RESULTS: The present study
enrolled 98 patients. During initial screening eight patients were disqualified
from the study and the surgery, in the majority due to the cardiac causes. Sixty
five patients had a hip joint replacement and 25 had knee joint replacement (mean
age 63.7 +/- 12.2 years, 62.2% female). Fifty (55.6%) patients were diagnosed
with arterial hypertension in the past, ten (11.1%) patients had diabetes
mellitus, two (2.2%) had a history of myocardial infarction, and family history
of cardiovascular disease was present in 24 (26.7%) cases. Mean body mass index
(BMI) was 28.0 +/- 5.1 kg/m2 and 39 (43.3%) patients were overweight, while 28
(31.1%) were obese. Patients undergoing hip replacement were significantly
younger (61.8 +/- 12.6 vs. 68.5 +/- 10.0 years; p = 0.02), were more often
current smokers (24.6% vs. 4.0%; p = 0.03), had significantly lower BMI (26.8 +/-
4.5 vs. 31.2 +/- 5.3 kg/m2; p < 0.0001), and were less often obese (18.5% vs.
64.0%; p < 0.0001). There were no significant differences between patients
scheduled for primary surgery and reoperation. CONCLUSIONS: The study showed that
classical cardiovascular risk factors in patients undergoing total hip or knee
alloplasty have a higher prevalent than in the general population, which can
potentially contribute to the higher risk of development of perioperative
complications.
PMID- 27878805
TI - Pancreatic enzyme replacement therapy for people with cystic fibrosis.
AB - BACKGROUND: Most people with cystic fibrosis (80% to 90%) need pancreatic enzyme
replacement therapy to prevent malnutrition. Enzyme preparations need to be taken
whenever food is taken, and the dose needs to be adjusted according to the food
consumed. A systematic review on the efficacy and safety of pancreatic enzyme
replacement therapy is needed to guide clinical practice, as there is variability
between centres with respect to assessment of pancreatic function, time of
commencing treatment, dose and choice of supplements. This is an updated version
of a published review. OBJECTIVES: To evaluate the efficacy and safety of
pancreatic enzyme replacement therapy in children and adults with cystic fibrosis
and to compare the efficacy and safety of different formulations of this therapy
and their appropriateness in different age groups. Also, to compare the effects
of pancreatic enzyme replacement therapy in cystic fibrosis according to
different diagnostic subgroups (e.g. different ages at introduction of therapy
and different categories of pancreatic function). SEARCH METHODS: We searched the
Cochrane Cystic Fibrosis and Genetic Disorders Group Trials Register comprising
references identified from comprehensive electronic database searches and
handsearches of relevant journals and abstract books of conference proceedings.
Most recent search: 15 July 2016.We also searched an ongoing trials website and
the websites of the pharmaceutical companies who manufacture pancreatic enzyme
replacements for any additional trials. Most recent search: 22 July 2016.
SELECTION CRITERIA: Randomised and quasi-randomised controlled trials in people
of any age, with cystic fibrosis and receiving pancreatic enzyme replacement
therapy, at any dosage and in any formulation, for a period of not less than four
weeks, compared to placebo or other pancreatic enzyme replacement therapy
preparations. DATA COLLECTION AND ANALYSIS: Two authors independently assessed
trials and extracted outcome data. They also assessed the risk of bias of the
trials included in the review. MAIN RESULTS: One parallel trial and 12 cross-over
trials of children and adults with cystic fibrosis were included in the review.
The number of participants in each trial varied between 14 and 129 with a total
of 512 participants included in the review. All the included trials were for a
duration of four weeks. The included trials had mostly an unclear risk of bias
from the randomisation process as the details of this were not given; they also
mostly had a high risk of attrition bias and reporting bias.We could not combine
data from all the trials as they compared different formulations. Findings from
individual studies provided insufficient evidence to determine the size and
precision of the effects of different formulations. Ten studies reported
information on the review's primary outcome (nutritional status); however, we
were only able to combine data from two small cross-over studies (n = 41). The
estimated gain in body weight was imprecise, 0.32 kg (95% confidence interval
0.03 to 0.67; P = 0.07). Combined data from the same studies gave statistically
significant results favouring enteric-coated microspheres over enteric-coated
tablets for our secondary outcomes stool frequency, mean difference -0.58 (95%
confidence interval -0.85 to -0.30; P < 0.0001); proportion of days with
abdominal pain, mean difference -7.96% (95% confidence interval -12.97 to -2.94;
P = 0.002); and fecal fat excretion, mean difference -11.79 g (95% confidence
interval -17.42 to -6.15; P < 0.0001). Data from another single small cross-over
study also favoured enteric-coated microspheres over non-enteric-coated tablets
with adjuvant cimetidine in terms of stool frequency, mean difference -0.70 (95%
confidence interval -0.90 to -0.50; P < 0.00001). AUTHORS' CONCLUSIONS: There is
limited evidence of benefit from enteric-coated microspheres when compared to non
enteric coated pancreatic enzyme preparations up to one month. In the only
comparison where we could combine any data, the fact that these were cross-over
studies is likely to underestimate the level of inconsistency between the results
of the studies due to over-inflation of confidence intervals from the individual
studies.There is no evidence on the long-term effectiveness and risks associated
with pancreatic enzyme replacement therapy. There is also no evidence on the
relative dosages of enzymes needed for people with different levels of severity
of pancreatic insufficiency, optimum time to start treatment and variations based
on differences in meals and meal sizes. There is a need for a properly designed
study that can answer these questions.
PMID- 27878806
TI - Larval and juvenile development of Tatia intermedia (Siluriformes:
Auchenipteridae).
AB - This study describes the morphology, morphometry and meristic characters of
larval and juvenile Tatia intermedia collected in the middle Tocantins River and
some of its tributaries. Six larvae of T. intermedia were examined and they have
a moderately elongate body, head slightly dorso-ventrally depressed with a convex
snout, small and round eyes and a subterminal mouth. In five juvenile stages
observed, the head and eye are relatively smaller than in the larval stage and
the snout remains convex and mouth becomes terminal.
PMID- 27878807
TI - Manic switches induced by antidepressants: an umbrella review comparing
randomized controlled trials and observational studies.
AB - OBJECTIVE: We aimed to explore whether the prevalence of manic switch was
underestimated in randomized controlled trials (RCTs) compared to observational
studies (OSs). METHOD: Meta-analyses and simple and systematic reviews were
identified by two reviewers in a blinded, standardized manner. All relevant
references were extracted to include RCTs and OSs that provided data about manic
switch prevalence after antidepressant treatment for a major depressive episode.
The primary outcome was manic switch prevalence in the different arms of each
study. A meta-regression was conducted to quantify the impact of certain
variables on manic switch prevalence. RESULTS: A total of 57 papers (35 RCTs and
22 OSs) were included in the main analysis. RCTs underestimated the rate of manic
switch [0.53 (0.32-0.87)]. Overestimated prevalence was related to imipraminics
[1.85 (1.22-2.79)]; to serotonin-norepinephrine reuptake inhibitors [1.74 (1.06
2.86)]; and to other classes of drugs [1.58 (1.08-2.31)], compared to placebo
treatment. The prevalence of manic switch was lower among adults than among
children [0.2 (0.07-0.59)]; and higher [20.58 (8.41-50.31)] in case of bipolar
disorder. CONCLUSION: Our results highlight an underestimation of the rates of
manic switch under antidepressants in RCTs compared to the rates observed in
observational studies.
PMID- 27878808
TI - Interventions for tobacco use cessation in people in treatment for or recovery
from substance use disorders.
AB - BACKGROUND: Smoking rates in people with alcohol and other drug dependencies are
two to four times those of the general population. Concurrent treatment of
tobacco dependence has been limited due to concern that these interventions are
not successful in this population or that recovery from other addictions could be
compromised if tobacco cessation was combined with other drug dependency
treatment. OBJECTIVES: To evaluate whether interventions for tobacco cessation
are associated with tobacco abstinence for people in concurrent treatment for or
in recovery from alcohol and other drug dependence. SEARCH METHODS: We searched
the Cochrane Tobacco Addiction Group Specialised Register, the Cochrane Central
Register of Controlled Trials (CENTRAL), MEDLINE, and clinicaltrials.gov
databases, with the most recent search completed in August 2016. A grey
literature search of conference abstracts from the Society on Nicotine Research
and Treatment and the ProQuest database of digital dissertations yielded one
additional study, which was excluded. SELECTION CRITERIA: We included randomised
controlled trials assessing tobacco cessation interventions among people in
concurrent treatment for alcohol or other drug dependence or in outpatient
recovery programmes. DATA COLLECTION AND ANALYSIS: Two review authors
independently assessed study risk of bias and extracted data. We resolved
disagreements by consensus. The primary outcome was abstinence from tobacco use
at the longest period of follow-up, and the secondary outcome was abstinence from
alcohol or other drugs, or both. We reported the strictest definition of
abstinence. We summarised effects as risk ratios and 95% confidence intervals
(CI). Two clustered studies did not provide intraclass correlation coefficients,
and were excluded from the sensitivity analysis. We used the I2 statistic to
assess heterogeneity. MAIN RESULTS: Thirty-five randomised controlled trials, one
ongoing, involving 5796 participants met the criteria for inclusion in this
review. Included studies assessed the efficacy of tobacco cessation
interventions, including counselling, and pharmacotherapy consisting of nicotine
replacement therapy (NRT) or non-NRT, or the two combined, in both inpatient and
outpatient settings for participants in treatment and in recovery. Most studies
did not report information to assess the risk of allocation, selection, and
attrition bias, and were classified as unclear.Analyses considered the nature of
the intervention, whether participants were in treatment or recovery and the type
of dependency. Of the 34 studies included in the meta-analysis, 11 assessed
counselling, 11 assessed pharmacotherapy, and 12 assessed counselling in
combination with pharmacotherapy, compared to usual care or no intervention.
Tobacco cessation interventions were significantly associated with tobacco
abstinence for two types of interventions. Pharmacotherapy appeared to increase
tobacco abstinence (RR 1.60, 95% CI 1.22 to 2.12, 11 studies, 1808 participants,
low quality evidence), as did combined counselling and pharmacotherapy (RR 1.74,
95% CI 1.39 to 2.18, 12 studies, 2229 participants, low quality evidence) at the
period of longest follow-up, which ranged from six weeks to 18 months. There was
moderate evidence of heterogeneity (I2 = 56% with pharmacotherapy and 43% with
counselling plus pharmacotherapy). Counselling interventions did not
significantly increase tobacco abstinence (RR 1.33, 95% CI 0.90 to
1.95).Interventions were significantly associated with tobacco abstinence for
both people in treatment (RR 1.99, 95% CI 1.59 to 2.50) and people in recovery
(RR 1.33, 95% CI 1.06 to 1.67), and for people with alcohol dependence (RR 1.47,
95% CI 1.20 to 1.81) and people with other drug dependencies (RR 1.85, 95% CI
1.43 to 2.40).Offering tobacco cessation therapy to people in treatment or
recovery for other drug dependence was not associated with a difference in
abstinence rates from alcohol and other drugs (RR 0.97, 95% CI 0.91 to 1.03, 11
studies, 2231 participants, moderate evidence of heterogeneity (I2 = 66%)).Data
on adverse effect of the interventions were limited. AUTHORS' CONCLUSIONS: The
studies included in this review suggest that providing tobacco cessation
interventions targeted to smokers in treatment and recovery for alcohol and other
drug dependencies increases tobacco abstinence. There was no evidence that
providing interventions for tobacco cessation affected abstinence from alcohol
and other drugs. The association between tobacco cessation interventions and
tobacco abstinence was consistent for both pharmacotherapy and combined
counselling and pharmacotherapy, for participants both in treatment and in
recovery, and for people with alcohol dependency or other drug dependency. The
evidence for the interventions was low quality due primarily to incomplete
reporting of the risks of bias and clinical heterogeneity in the nature of
treatment. Certain results were sensitive to the length of follow-up or the type
of pharmacotherapy, suggesting that further research is warranted regarding
whether tobacco cessation interventions are associated with tobacco abstinence
for people in recovery, and the outcomes associated with NRT versus non-NRT or
combined pharmacotherapy. Overall, the results suggest that tobacco cessation
interventions incorporating pharmacotherapy should be incorporated into clinical
practice to reduce tobacco addiction among people in treatment for or recovery
from alcohol and other drug dependence.
PMID- 27878809
TI - Telephone-assisted self-help for parents of children with attention
deficit/hyperactivity disorder who have residual functional impairment despite
methylphenidate treatment: a randomized controlled trial.
AB - BACKGROUND: Self-help parenting interventions have been shown to be effective in
the management of children with attention-deficit/hyperactivity disorder (ADHD)
and may be useful when there are barriers to face-to-face therapist-led parent
trainings. Previous studies indicate that behavioral interventions might be a
useful adjunct to medication in children with residual ADHD symptoms, and
regarding comorbid oppositional symptoms and multiple domains of functional
impairment. In the present study, we examined whether a telephone-assisted self
help (TASH) parenting behavioral intervention (written materials plus telephone
counseling) enhanced the effects of methylphenidate treatment in children with
ADHD. METHODS: In this randomized controlled trial, parents of 103 school-aged
children with ADHD and residual functional impairment despite methylphenidate
treatment were randomly assigned to either the enhancement group, which received
the TASH intervention as adjunct to routine clinical care (including continued
medication), or to the active control group, which received routine clinical care
only (including continued medication). Parent-completed outcome measures at
baseline and at 12 months (postassessment) included functional impairment, ADHD
symptoms, oppositional defiant disorder (ODD) symptoms, parenting behavior, and
parental satisfaction with the intervention (ClinicalTrials.gov: NCT01660425;
URL: https://clinicaltrials.gov/ct2/show/NCT01660425). RESULTS: Intention-to
treat analyses of covariance (ANCOVAs), which controlled for baseline data,
revealed significant and moderate intervention effects for ODD symptoms and
negative parenting behavior at the postassessment, whereas per-protocol analyses
additionally showed significant and moderate effects on functional impairment
(primary outcome). Parents expressed high satisfaction with the program.
CONCLUSIONS: The TASH program enhances effects of methylphenidate treatment in
families who complete the intervention. The discontinuation rate of about 30% and
comparison between completing and discontinuing families suggest that the program
may be more suitable for families with a higher educational level and fewer
additional stresses.
PMID- 27878810
TI - Improvement of phytoplankton culture isolation using single cell sorting by flow
cytometry.
AB - Flow cytometry provides a tool to physically sort single algal cells in order to
obtain clonal cultures. During sorting, cells are submitted to physical stress
factors such as high fluidic pressure, exposure to the laser beam, electrostatic
charges, deflection through high voltage fields, and collisions with container
surfaces. All of these can damage the cells of interest and success rates for
initiation of cultures from flow-sorted cells are generally very low. We found
that the addition of bovine serum albumin in the culture medium into which cells
were sorted drastically improved the success of initiation of pico- and nano
eukaryotic phytoplankton strains. Adding a mixture of antibiotics (Penicillin,
Neomycin, Streptomycin) to the medium in order to slow down bacterial growth
further improved culture development. This approach was successfully used to
isolate taxonomically diverse strains, including novel taxa, from a fresh sample
obtained in the English Channel and from enrichment cultures established during
an Atlantic meridional transect cruise. We anticipate that these improvements
will be useful to clone or purify existing cultures and to isolate novel cultures
from oceanic samples.
PMID- 27878811
TI - Adjuvant intraperitoneal chemotherapy for the treatment of gastric cancer at risk
for peritoneal carcinomatosis: A systematic review.
AB - The peritoneal surface is a frequent site of recurrence following surgery for
gastric cancer. A systematic review and random effect analysis was undertaken to
analyze current literature regarding the role of adjuvant intraperitoneal
chemotherapy in gastric cancer. While pooled analysis supports the use of
adjuvant IP chemotherapy in resectable gastric cancer, maximal benefit occured
with intra-operative delivery, and possibly the use of MMC. J. Surg. Oncol.
2017;115:192-201. (c) 2016 Wiley Periodicals, Inc.
PMID- 27878812
TI - Perioperative blood transfusion: does it influence survival and cancer
progression in metastatic spine tumor surgery?
AB - BACKGROUND: Despite advances in surgical techniques for spinal metastases, there
is often substantial blood loss, resulting in patients requiring blood
transfusion during the perioperative period. Allogeneic blood transfusion (ABT)
has been the main replenishment method for lost blood. However, the impact of ABT
on cancer-related outcomes has been controversial in various studies. We aimed to
evaluate the influence of perioperative ABT on disease progression and survival
in patients undergoing metastatic spinal tumor surgery (MSTS). STUDY DESIGN AND
METHODS: We conducted a retrospective study that included 247 patients who
underwent MSTS at a single tertiary institution between 2005 and 2014. The impact
of using perioperative ABT (either exposure to or quantities of transfusion) on
disease progression and survival was assessed using Cox regression analyses while
adjusting for potential confounding variables. RESULTS: Of 247 patients, 133
(54%) received ABT. The overall median number of blood units transfused was 2
(range, 0-10 units). Neither blood transfusion exposure nor quantities of
transfusion were associated with overall survival (hazard ratio [HR], 1.15 [p =
0.35] and 1.10 [p = 0.11], respectively) and progression-free survival (HR, 0.87
[p = 0.18] and 0.98 [p = 0.11], respectively). The factors that influenced
overall survival were primary tumor type and preoperative Eastern Cooperative
Oncology Group performance status, whereas primary tumor type was the only factor
that had an impact on progression-free survival. CONCLUSIONS: This is the first
study providing evidence that disease progression and survival in patients who
undergo MSTS are less likely to be influenced by perioperative ABT. The worst
oncologic outcomes are more likely to be caused by the clinical circumstances
necessitating blood transfusion, but not transfusion itself. However, because ABT
can have a propensity toward developing postoperative infections, including
surgical site infection, the use of patient blood management interventions would
be worthwhile rather than relying solely on ABTs for these patients, if and
whenever possible.
PMID- 27878813
TI - No cases of perioperative allergy to local anaesthetics in the Danish Anaesthesia
Allergy Centre.
AB - BACKGROUND: Local anaesthetics (LA) are often suspected as possible causes of
allergic reactions. The Danish Anaesthesia Allergy Centre (DAAC) is the national
reference centre for investigation of perioperative allergic reactions. The
purpose of this study was to investigate the incidence of IgE-mediated immediate
type perioperative allergic reactions to LA. METHODS: In the period 2004-2013, a
total of 409 patients (244 women/165 men; median age 49 years, range 1-86 years)
were investigated in DAAC on suspicion of allergy associated with anaesthesia and
surgery. A total of 162 (40%) patients were exposed to one or more LA. Suspected
allergy to LA was investigated by prick test, intradermal test and subcutaneous
provocation with the suspected drug. Patients with positive skin tests still
underwent subcutaneous provocation, as false positive skin tests can occur.
RESULTS: A total of 203 test series with LA were carried out on 162 patients (89
women/73 men; mean age 49 years, range 2-85 years) with the following drugs:
Lidocaine n = 80 (49%), bupivacaine n = 82 (51%), ropivacaine n = 31 (19%) and
mepivacaine n = 10 (6%). All 162 patients had negative subcutaneous provocation
for all tested LA (95% CI: 0-1.8%). Investigations revealed another allergen in
52 of 162 patients. CONCLUSION: None of the 162 patients with suspected
perioperative allergic reactions and exposure to LA reacted on subcutaneous
provocation with the relevant LA. Thus, no patients have been diagnosed with
allergy to LA in DAAC in the period 2004-2013 and allergy to LA must be
considered very rare in this population.
PMID- 27878814
TI - Giant kelp vegetative propagation: Adventitious holdfast elements rejuvenate
senescent individuals of the Macrocystis pyrifera "integrifolia" ecomorph.
AB - Recent findings on holdfast development in the giant kelp highlighted its key
importance for Macrocystis vegetative propagation. We report here for the first
time the development of adventitious holdfasts from Macrocystis stipes. Swellings
emerge spontaneously from different areas of the stipes, especially in senescent
or creeping individuals. After being manually fastened to solid substrata, these
swellings elongated into haptera, which became strongly attached after 1 month.
Within 4 months, new thalli increased in size and vitality, and developed
reproductive fronds. Our results suggest the usage of these structures for
auxiliary attachment techniques. These could act as a backup, when primary
holdfasts are weak, and thus improve the survival rate of the giant kelp in
natural beds.
PMID- 27878815
TI - Interventions to reduce cognitive impairments following critical illness: a
topical systematic review.
AB - BACKGROUND: Critical illness is associated with cognitive impairments. Effective
treatment or prevention has not been established. The aim of this review was to
create a systematic summary of the current evidence concerning clinical
interventions during intensive care admission to reduce cognitive impairments
after discharge. METHODS: Medline, Embase, Cochrane Central, PsycInfo and Cinahl
were searched. Inclusion criteria were studies assessing the effect of
interventions during intensive care admission on cognitive function in adult
patients. Studies were excluded if they were reviews or reported solely on
survivors of cardiac arrest, stroke or traumatic brain injury. RESULTS: Of 4877
records were identified. Seven studies fulfilled the eligibility criteria. The
interventions described covered strategies for enteral nutrition, fluids,
sedation, weaning, mobilization, cognitive activities, statins and sleep quality
improvement. Data were synthesized to provide an overview of interventions,
quality, follow-up assessments and neuropsychological outcomes. CONCLUSION: None
of the interventions had significant positive effects on cognitive impairments
following critical illness. Quality was negatively affected by study limitations,
imprecision and indirectness in evidence. Clinical research on cognition is
feasible, but large, well designed trials with a specific aim at reducing
cognitive impairments are needed.
PMID- 27878816
TI - Diel shifts in the structure and function of nearshore estuarine fish
communities.
AB - Day-night shifts in the nearshore fish fauna of a temperate microtidal estuary
were assessed using a holistic suite of structural and functional community
attributes. Mean fish species richness and diversity (taxonomic distinctness)
were higher at night across all regions of the estuary and seasons, concurring
with the findings of numerous comparable studies reviewed worldwide, while the
diel period in which mean abundance was higher varied among seasons. Likewise,
species and functional guild compositions (the latter based on feeding modes and
habitat use) both differed significantly between day and night, with the extent
of the diel shift again varying seasonally. Daytime fish communities were
characterized by higher abundances of Atherinidae, Sillaginidae and Mugilidae,
while Gobiidae were far more abundant at night. Marked shifts in size composition
were also evident, with smaller fishes (<100 mm total length, LT ) being more
prevalent during the day and larger fishes (>=200 mm LT ) proportionally more
abundant at night. The above diel shifts were feasibly related to a range of
predator-prey interactions and feeding-related movements, namely a nocturnal
decrease in top-order avian piscivory coupled with an increase in invertebrate
prey availability, resulting in changes in the presence and catchability of
certain fish species in shallow estuarine waters.
PMID- 27878817
TI - Structural characterization of CYP260A1 from Sorangium cellulosum to investigate
the 1alpha-hydroxylation of a mineralocorticoid.
AB - In this study, we report the crystal structure of the cytochrome P450 CYP260A1
(PDB 5LIV) from the myxobacterium Sorangium cellulosum So ce56. In addition, we
investigated the hydroxylation of 11-deoxycorticosterone by CYP260A1 by
reconstituting the enzyme with the surrogate redox partners adrenodoxin and
adrenodoxin reductase. The major product of this steroid conversion was
identified as 1alpha-hydroxy-11-deoxycorticosterone, a novel Delta4 C-21
steroidal derivative. Furthermore, we docked the substrate into the crystal
structure and replaced Ser326, the residue responsible for substrate orientation,
with asparagine and observed that the mutant S326N displayed higher activity and
selectivity for the formation of 1alpha-hydroxy-11-deoxycorticosterone compared
to the wild-type CYP260A1. Thus, our findings highlight the usefulness of the
obtained crystal structure of CYP260A1 in identifying biotechnologically more
efficient reactions.
PMID- 27878818
TI - Virtual reconstruction of the skeletal labyrinth of two lamnid sharks
(Elasmobranchii, Lamniformes).
AB - The first virtual reconstruction of the skeletal labyrinth of the porbeagle shark
Lamna nasus and the shortfin mako shark Isurus oxyrinchus is presented here using
high-resolution micro-computed tomography. The results, in comparison with
previously published information, suggest relationships between skeletal
labyrinth morphology and locomotion mode in chondrichthyans, but also show that
further studies are required to establish such connections. Nevertheless, this
study adds to the knowledge of the skeletal labyrinth morphology in two apex
elasmobranch species.
PMID- 27878819
TI - Vitiligo and depression: a systematic review and meta-analysis of observational
studies.
AB - Vitiligo is a common depigmenting disorder with profound psychosocial impacts.
Previous observational studies have suggested a link between vitiligo and
psychiatric morbidity, such as depression. However, variability in study design
makes it difficult to quantify accurately the relationship between vitiligo and
depression. We aimed to investigate the underlying prevalence and risk of
depression among patients with vitiligo. A comprehensive search of MEDLINE,
Embase and the Cochrane Library was conducted. Cross-sectional, case-control or
cohort studies that assessed the prevalence of depression among patients with
vitiligo or the relationship between vitiligo and depression were included.
DerSimonian and Laird random-effects models were utilized to calculate the pooled
prevalence and relative risks. Publication bias was evaluated by funnel plots and
Egger's tests. Twenty-five studies with 2708 cases of vitiligo were included.
Based on diagnostic codes, the pooled prevalence of depression among patients
with vitiligo was 0.253 [95% confidence interval (CI) 0.16-0.34; P < 0.001)].
Using self-reported questionnaires, the pooled prevalence of depressive symptoms
was 0.336 (95% CI 0.25-0.42; P < 0.001). The pooled odds ratio of depression
among patients with vitiligo was 5.05 vs. controls (95% CI 2.21-11.51; P <
0.001). Moderate-to-high heterogeneity was observed between the studies. Patients
with vitiligo were significantly more likely to suffer from depression. Clinical
depression or depressive symptoms can be prevalent, with the actual prevalence
differing depending on screening instruments or, possibly, geographical regions.
Clinicians should actively evaluate patients with vitiligo for signs/symptoms of
depression and provide appropriate referrals to manage their psychiatric symptoms
accordingly.
PMID- 27878820
TI - Youth and the Great Recession: Are values, achievement orientation and outlook to
the future affected?
AB - This special section brings together leading experts in psychology and sociology
to examine the consequences of the Great Recession for young people's values,
achievement orientation, and outlook to the future. Evidence from Europe and the
United States suggests that the impact of the recession varies for distinct
outcomes and by age, the latter pointing to potential sensitive periods for
interventions. Although the direct effects of the recession are not strong, they
accelerate pre-existing trends towards more prolonged and precarious transitions
to independence, and are likely to bring with them long-term scarring with
respect to health and well-being. While feelings of self-confidence and self
worth have eroded, young people continue to subscribe to the "American Dream,"
the belief that in principle it is possible to make it - even if limitations to
one's own capabilities are recognised. Trust in institutions has declined, but
support and concern for others have increased, suggesting that in times of
economic hardship and uncertainty social relationships become more salient. Young
people's response to the recession appears to be less of a "me-first" reaction
than "let's help each other": a form of "collective agency" to counter the
inadequacy of social safety nets.
PMID- 27878821
TI - Liver transplantation for fibrolamellar hepatocellular carcinoma: A national
perspective.
AB - BACKGROUND: Fibrolamellar Hepatocellular Carcinoma (FL-HCC) is a rare primary
liver tumor that usually presents in younger patients without underlying liver
disease. METHODS: We queried the United Network of Organ Sharing (UNOS) database
between October 1988 and January 2013 to evaluate outcomes in patients with FL
HCC undergoing liver transplantation in the United States compared to patients
with conventional Hepatocellular Carcinoma (HCC). RESULTS: Sixty-three patients
were identified (57% female, mean age 30 years). Only one patient (2%) had an
associated Hepatitis C Virus. Mean Model for End-Stage Liver Disease (MELD) score
at the time of transplantation was 11.3. Mean waiting time was 325 days and mean
cold ischemic time was 6 hr. Overall survival of FL-HCC patients at 1, 3, and 5
years was 96%, 80%, and 48% as compared to HCC patients whose rates were 89%,
77%, and 68%. Six patients had tumor recurrence (10%). The Cox Model demonstrated
that MELD and cold ischemic time are the strongest predictors of overall survival
in FL-HCC patients. Age and wait time were not associated with poor patient
survival in this series. CONCLUSIONS: Good results can be obtained in selected
patients transplanted for FL-HCC. FL-HCC patients had similar survival compared
to those transplanted for HCC. J. Surg. Oncol. 2017;115:319-323. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27878823
TI - Owning the tooth: exploring the ethical and legal issues relating to the use of
extracted human teeth in dental education in Australia.
AB - Extracted human teeth have been used to practice operative techniques for a very
long time. As a natural surrogate for a live tooth in vivo, their use has
traditionally been very important for the development of skills in trainee
dentists, as well as their qualified colleagues who wish to practise existing or
new skills. As synthetic alternatives develop greater authenticity, alongside a
society in which many retain their natural dentition well into old age, the
current paradigm relating to how extracted teeth in dental education are used
needs to be revisited. An ethical and legal dilemma that must be addressed within
dental education relates to where and how teeth may be sourced. This article will
seek to question whether there is a legal or ethical requirement to gain consent
for the use of extracted teeth from patients, as well as exploring the status of
whether extracted dental tissue can be considered to be the property of either
patient or surgeon. Whilst synthetic alternatives are being utilized more
frequently in education, it is unlikely that they will completely replace
extracted natural teeth in the immediate future. It is therefore imperative that
their use complies with legal doctrine and contemporary ethical thought.
PMID- 27878824
TI - Minimal intervention dentistry for early childhood caries and child dental
anxiety: a randomized controlled trial.
AB - BACKGROUND: To compare changes in child dental anxiety after treatment for early
childhood caries (ECC) using two treatment approaches. METHODS: Children with ECC
were randomized to test (atraumatic restorative treatment (ART)-based approach)
or control (standard care approach) groups. Children aged 3 years or older
completed a dental anxiety scale at baseline and follow up. Changes in child
dental anxiety from baseline to follow up were tested using the chi-squared
statistic, Wilcoxon rank sum test, McNemar's test and multinomial logistic
regression. RESULTS: Two hundred and fifty-four children were randomized (N = 127
test, N = 127 control). At baseline, 193 children completed the dental anxiety
scale, 211 at follow up and 170 completed the scale on both occasions. Children
who were anxious at baseline (11%) were no longer anxious at follow up, and 11%
non-anxious children became anxious. Multinomial logistic regression found each
increment in the number of visits increased the odds of worsening dental anxiety
(odds ratio (OR), 2.2; P < 0.05), whereas each increment in the number of
treatments lowered the odds of worsening anxiety (OR, 0.50; P = 0.05).
CONCLUSIONS: The ART-based approach to managing ECC resulted in similar levels of
dental anxiety to the standard treatment approach and provides a valuable
alternative approach to the management of ECC in a primary dental care setting.
PMID- 27878822
TI - Wide variations in blood product transfusion practices among providers who care
for patients with acute leukemia in the United States.
AB - BACKGROUND: Transfusion of blood products is a key component of the supportive
management in patients with acute leukemia (AL). However high-quality trial
evidence and clinical outcome data to support specific transfusion goals for
blood products for patients with AL remain limited leading to diverse transfusion
practices. The primary objective of this study was to determine the spectrum of
transfusion patterns in a variety of care settings among providers who treat AL
patients. STUDY DESIGN AND METHODS: A 31-question survey queried providers caring
for AL patients about the existence of institutional guidelines for transfusion
of blood products, transfusion triggers for hemoglobin (Hb), platelets (PLTs),
and fibrinogen in various settings including inpatient and outpatient and before
procedures. RESULTS: We analyzed 130 responses and identified divergent
transfusion Hb goals in hospitalized and ambulatory patients, fibrinogen goals
for cryoprecipitate transfusions, and variation in practice for use of certain
PLTs and red blood cell products. The least variable transfusion patterns were
reported for PLT goals in thrombocytopenia and in the setting of invasive
procedures such as bone marrow biopsy and lumbar punctures. CONCLUSIONS: This
survey confirmed wide variations in blood product transfusion practices across
several clinical scenarios in patients with AL. The findings emphasized the need
for large prospective randomized trials to develop standardized evidence-based
guidelines for blood product transfusions in patients with AL with the goal of
limiting unnecessary transfusions without compromising outcomes.
PMID- 27878826
TI - A multifaceted risk analysis of fathers' self-reported physical violence toward
their children.
AB - Existing research has shown that child maltreatment is carried out by both
mothers and fathers. There is also an extensive body of literature analyzing
reasons for mothers' violent behavior. Among fathers, reasons are less well
studied, resulting in the lack of a comprehensive picture of paternal child
abuse. In this study, 20 child-, parent-, and family-related factors have been
included in a combined analysis to assess which of these may pose a risk for
fathers' severe violent behavior toward their children. The study is based on
merged data from Finland and Sweden, in which an anonymous survey was answered by
parents, based on representative samples of parents with 0-12-year-old children.
The merged data set included 679 fathers and analyses were carried out using
logistic regression models. Six percent of the fathers had committed severe
violent acts, that is, slapped, hit, punched, kicked, bit, hit/tried to hit their
child with an object or shook (under 2-year-old) their child at least once during
the 12 months preceding the survey. Corporal punishment experienced by the
fathers when they were children, or used by the father as a method of discipline,
strongly increased the likelihood of severe violent acts. The findings emphasize
the importance of preventing all forms of corporal punishment in seeking to
minimize the occurrence of severe physical violence by fathers toward their
children. Aggr. Behav. 43:317-328, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27878825
TI - Mismatch of morphological and molecular identifications in native and invasive
subspecies of Codium fragile (Bryopsidophyceae, Chlorophyta).
AB - Several subspecies are defined within Codium fragile, including the invasive C.
fragile ssp. fragile, first reported in New Zealand in 1973. An endemic
subspecies, C. fragile ssp. novae-zelandiae, is also found throughout New
Zealand. The two subspecies exhibit morphological and molecular variation,
although these have never been evaluated together. We compared variation between
subspecies at locations in Auckland, identifying subspecies using rps3-rpl16 DNA
sequence data, and assessing gross morphological differences, anatomical utricle
characters and morphometrics. The taxonomic utility of the morphometric data sets
was assessed by linear discriminant analysis. Utricle characters and measurements
varied within individual thalli and between different preservation methods. The
phenotypes of both subspecies were highly variable and influenced by environment.
Accurate subspecies delimitation using morphological data was not possible; the
discriminant analyses performed no better than chance for all combinations of the
morphological data. Specimens from New Zealand, Canada, Australia and Ireland
were sequenced using both the rps3-rpl16 and tufA plastid markers. The tufA
elongation factor was shown to be a good candidate for differentiating subspecies
of C. fragile. This marker is twice the length of the rps3-rpl16 spacer, shows
greater variation between ssp. fragile and novae-zelandiae, and is less prone to
sequencing error. A simple restriction enzyme digest of the tufA amplicon can
distinguish ssp. fragile and ssp. novae-zelandiae. Our study expands the known
range of the ssp. fragile in New Zealand, including the first record of this
subspecies from the west coast of Auckland, and points to a need to re-evaluate
morphological and molecular criteria for subspecies currently defined within C.
fragile.
PMID- 27878827
TI - Review article: mesenchymal stromal cell therapy for inflammatory bowel diseases.
AB - BACKGROUND: Inflammatory bowel diseases (IBD) are chronic relapsing diseases in
which pro-inflammatory immune cells and cytokines induce intestinal tissue damage
and disability. Mesenchymal stromal cells (MSCs) exert powerful immunomodulatory
effects and stimulate tissue repair. AIM: To review the current data on
mesenchymal stromal cell therapy in IBD. METHOD: We searched PubMed and
'ClinicalTrials.gov' databases using the terms 'mesenchymal stromal cells',
'mesenchymal stem cell transplantation', 'inflammatory bowel diseases', 'Crohn
disease' and 'colitis, ulcerative'. Additional publications were identified from
individual article reference lists. RESULTS: MSCs include inhibition of Th1/Th17
lymphocytes and recruitment of regulatory T lymphocytes, induction of antigen
presenting cells into a regulatory-like profile, and stimulation of epithelial
cell differentiation and proliferation. More than 200 patients with refractory
fistulas have been treated with local injections of MSCs, resulting in complete
response in more than half, and in overall response in approximately two thirds
of patients. In refractory luminal Crohn's disease, 49 cases of systemic MSC
infusions have been reported, while trials with autologous MSCs resulted in
mitigated responses, studies using allogeneic MSCs were promising, with around
60% of patients experiencing a response and around 40% achieving clinical
remission. CONCLUSIONS: Mesenchymal stromal cells might represent a promising
therapy for IBD, especially for Crohn's disease. There remain many unsolved
questions concerning the optimal origin and source of mesenchymal stromal cells,
dosage and modalities of administration. Moreover, mesenchymal stromal cells
still need to prove their effectiveness compared with conventional treatments in
randomised controlled trials.
PMID- 27878828
TI - RHS6 coordinately regulates the Th2 cytokine genes by recruiting GATA3, SATB1,
and IRF4.
AB - BACKGROUND: Asthma is a Th2 cell-driven inflammatory disease and a major public
health concern. The cis-acting element Rad50 hypersensitive site 6 (RHS6) in the
Th2 locus control region is essential for regulation of the Th2 cytokine genes;
however, its role in allergic airway inflammation and underlying molecular
mechanisms of the regulation by RHS6 are poorly understood. OBJECTIVE: We sought
to understand the role of RHS6 in the development of allergic airway inflammation
and its molecular mechanism for Th2 cytokine expression. METHODS: We used an
ovalbumin-induced allergic inflammation model with RHS6-deficient mice to examine
the role of RHS6 in this process. To examine molecular mechanism of RHS6 for Th2
cytokine expression, we used DNA affinity chromatography and mass spectrometry,
quantitative RT-PCR, ELISA, intracellular cytokine staining, chromatin
immunoprecipitation, and co-immunoprecipitation. RESULTS: Deletion of RHS6 caused
a dramatic resistance to allergic airway inflammation. RHS6 recruited
transcription factors GATA3, SATB1, and IRF4, which play important roles in
expression of all three Th2 cytokine genes. RHS6 deficiency caused inhibition of
transcription factor-induced Th2 cytokine gene expression. CONCLUSION: RHS6 is a
critical regulatory element for allergic airway inflammation and for coordinate
regulation of Th2 cytokine genes by recruiting GATA3, SATB1, and IRF4.
PMID- 27878829
TI - Genetic association of PLAG1, SCD, CYP7B1 and FASN SNPs and their effects on
carcass weight, intramuscular fat and fatty acid composition in Hanwoo steers
(Korean cattle).
PMID- 27878830
TI - Clinical Assessment of Histidine-Tryptophan-Ketoglutarate Solution and Modified
St. Thomas' Solution in Pediatric Cardiac Surgery of Tetralogy of Fallot.
AB - The objective of this study is to compare the myocardium protective effect of
Bretschneider's histidine-tryptophan-ketoglutarate (HTK) solution versus Modified
St. Thomas' (STH) solution in pediatric cardiac surgery of Tetralogy of Fallot
(TOF). Seventy-seven pediatric patients of TOF who received the total surgical
repair were reviewed, from January 2014 to October 2015. A horizontal comparison
between HTK solution and modified STH solution has been made since the HTK
solutions were started to be used in our hospital. The patients were divided into
the HTK group (n = 35) and the STH group (n = 33). The perioperative values of
the groups were assessed in this study. The primary endpoints including
spontaneous cardiac re-beating time, intensive care unit (ICU) stay, overall
stay, mechanical ventilation postoperation, postoperation stay, overall stay, and
perioperative echocardiographic results were analyzed in this study. We found
that spontaneous cardiac re-beating time of the HTK group was significantly
shorter than that of the STH group (0.26 min +/- 0.56 vs. 1.33 +/- 1.02, P <
0.001). There were no significant differences between the two groups in ICU stay
(P = 0.29), postoperative mechanical ventilation time (P = 0.84), overall stay
(0.73); and the mortalities of the two groups were similar (2.9 vs. 3.0%). Aimed
at pediatric cardiac surgery of TOF, this study suggests that with similar aorta
cross-clamping time, modified STH solution is as safe as HTK solution.
PMID- 27878831
TI - IkappaBNS induces Muc5ac expression in epithelial cells and causes airway hyper
responsiveness in murine asthma models.
AB - BACKGROUND: In allergic asthma, environmental allergens including house dust mite
(HDM) trigger pattern recognition receptors and activate downstream signaling
pathways including NF-kappaB pathways not only in immune cells but also in airway
epithelial cells. Recent studies have shown that NF-kappaB activation is
regulated positively or negatively depending on the cellular context by IkappaBNS
(encoded by the gene Nfkbid), one of atypical IkappaB proteins, in the nucleus.
Therefore, we hypothesized that IkappaBNS expressed in immune cells or epithelial
cells is involved in the regulation of asthmatic responses. AIM: To determine the
roles of IkappaBNS in HDM-induced asthmatic responses. METHODS: Roles of
IkappaBNS in HDM-induced airway inflammation and airway hyper-responsiveness
(AHR) were examined by using IkappaBNS-deficient (Nfkbid-/- ) mice. Roles of
IkappaBNS expressed in hematopoietic cells and nonhematopoietic cells were
separately evaluated by bone marrow chimeric mice. Roles of IkappaBNS expressed
in murine tracheal epithelial cells (mTECs) were examined by air-liquid interface
culture. RESULTS: House dust mite-induced airway inflammation and AHR were
exacerbated in mice lacking IkappaBNS in hematopoietic cells. In contrast, HDM
induced airway inflammation was exacerbated, but AHR was attenuated in mice
lacking IkappaBNS in nonhematopoietic cells. The induction of Muc5ac, a
representative mucin in asthmatic airways, was reduced in Nfkbid-/- mTEC, whereas
the induction of Spdef, a master regulator of goblet cell metaplasia, was not
impaired in Nfkbid-/- mTEC. Moreover, IkappaBNS bound to and activated the MUC5AC
distal promoter in epithelial cells. CONCLUSION: IkappaBNS is involved in
inducing Muc5ac expression in lung epithelial cells and causing AHR in HDM
induced asthma models.
PMID- 27878832
TI - Prenatal cfDNA screening results indicative of maternal neoplasm: survey of
current practice and management needs.
AB - OBJECTIVE: To determine genetic counselors' current practices and management
needs for patients with prenatal cfDNA screening results indicative of maternal
neoplasm. METHODS: A survey was completed by genetic counselors recruited via the
National Society of Genetic Counselors (NSGC). RESULTS: Over 300 genetic
counselors were surveyed. Almost all participants (95%) were aware that
Noninvasive Prenatal Testing (NIPT) results may suggest maternal neoplasm, and
77% reported they would disclose such results. However, only 29% routinely
communicate this possibility to patients in a pre-test setting. Management
recommendations made by counselors were highly variable, and over half (51.8%)
stated they would feel uncomfortable or very uncomfortable counseling a patient
with these results. While less than half (44.3%) believed the current benefits of
NIPT's ability to suggest maternal neoplasm outweigh its potential harms, 80.2%
recognized it would be beneficial in the future. A vast majority of counselors
(91.3%) felt institutional or national guidelines were needed for patient
management. CONCLUSION: A majority of counselors neither felt properly equipped
nor comfortable counseling patients with prenatal cfDNA results suggestive of
maternal neoplasm. This study demonstrates a need for collaboration amongst
clinicians, researchers, and laboratories to publish data regarding NIPT results
indicative of maternal neoplasm, and for the creation of management guidelines.
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27878833
TI - Presence of intraepithelial food antigen in patients with active eosinophilic
oesophagitis.
AB - BACKGROUND: Although eosinophilic oesophagitis (EoE) is putatively mediated by an
abnormal response to food antigen, the oesophagus is considered relatively
impermeable to large molecules. AIM: To assess whether food antigens penetrate
the oesophageal mucosa in patients with EoE. METHODS: Anti-gliadin staining was
performed in three groups: active EoE, inactive EoE and EoE patients on a low or
gluten free diet. To appraise the specificity of our results, we also performed
gliadin staining on six patients without oesophageal disease who were consuming
gluten. The groups with EoE on gluten also underwent endoscopic infusion with
gluten containing soy sauce and repeat biopsies during the endoscopy. We measured
eosinophil density, dilated intercellular spaces (on a 0-4+ scale) and gliadin in
oesophageal mucosa by immunofluorescence. RESULTS: Patients with active EoE had
significantly greater epithelial density of anti-gliadin staining when compared
to inactive EoE (P < 0.0065) and gluten-free patients (P < 0.0008) at baseline
and after soy infusion. Gliadin was not detected in non-EoE control patients. The
distribution of gliadin was both cytoplasmic and nuclear. There was good
correlation of dilated intercellular spaces grade and total gliadin staining
intensity (r = 0.577, P = 0.0077). Acute oesophageal perfusion of a commercial
gliadin-rich soy sauce did not lead to an increase in gliadin staining in active
or inactive EoE. CONCLUSION: These findings suggest, although do not prove, that
antigen penetration in active eosinophilic oesophagitis might be facilitated by
impairment of epithelial integrity.
PMID- 27878834
TI - Characterization of miRNAs involved in response to poly(I:C) in porcine airway
epithelial cells.
AB - MicroRNAs (miRNA) have been implicated in a variety of pathological conditions
including infectious diseases. Knowledge of the miRNAs affected by poly(I:C), a
synthetic analog of viral double-stranded RNA, in porcine airway epithelial cells
(PAECs) contributes to understanding the mechanisms of swine viral respiratory
diseases, which bring enormous economic loss worldwide every year. In this study,
we used high throughput sequencing to profile miRNA expression in PAECs treated
with poly(I:C) as compared to the untreated control. This approach revealed 23
differentially expressed miRNAs (DEMs), five of which have not been implicated in
viral infection before. Nineteen of the 23 miRNAs were down-regulated including
members of the miR-17-92 cluster, a well-known polycistronic oncomir and
extensively involved in viral infection in humans. Target genes of DEMs,
predicted using bioinformatic methods and validated by luciferase reporter
analysis on two representative DEMs, were significantly enriched in several
pathways including transforming growth factor-beta signaling. A large quantity of
sequence variations (isomiRs) were found including a substitution at position 5,
which was verified to redirect miRNAs to a new spectrum of targets by luciferase
reporter assay together with bioinformatics analysis. Twelve novel porcine miRNAs
conserved in other species were identified by homology analysis together with
cloning verification. Furthermore, the expression analysis revealed the potential
importance of three novel miRNAs in porcine immune response to viruses. Overall,
our data contribute to clarifying the mechanisms underlying the host immune
response against respiratory viruses in pigs, and enriches the repertoire of
porcine miRNAs.
PMID- 27878835
TI - First-line therapy for chronic lymphocytic leukemia in patients older than 79
years is feasible and achieves good results: A FILO retrospective study.
AB - The mean age at diagnosis of chronic lymphocytic leukemia (CLL) is 72 years, with
22.8% of patients being older than 80 years. However, the elderly are
underrepresented in clinical studies of CLL. We performed a retrospective study
of CLL patients aged 80 years or older at the initiation of first-line therapy in
hospitals affiliated with the French intergroup on CLL (French Innovative
Leukemia Organization) between 2003 and 2013. Here, we describe the clinical and
biological characteristics, treatment, and outcomes for 201 patients. The median
age of the cohort was 83.2 years (80-92 years). The median Cumulative Index
Rating Scale comorbidity score was 5 and the median creatinine clearance was 48
mL/min (Cockcroft-Gault formula). At treatment initiation, Binet stage was A
(26.4%), B (27.9%), or C (40.3%). Therapy consisted mainly of chlorambucil
(65.7%), bendamustine (10.5%), and rituximab (44.3%) as follows: chlorambucil
alone (45.3%) or immunochemotherapy (48.3%) with rituximab + chlorambucil
(22.7%), rituximab + bendamustine (10.4%), or rituximab + cyclophosphamide +
dexamethasone (5.5%). The overall response rate was 66.2% with 31.8% clinical
complete remission. The median overall and progression-free survival from
treatment initiation was 53.7 and 18.3 months, respectively. These results
suggest that treatment is feasible in this age group, even with
immunochemotherapy. Thus, prospective trials should target this population and
oncogeriatric evaluation and new targeted therapies should be part of such future
trials.
PMID- 27878836
TI - Social comparison, personal relative deprivation, and materialism.
AB - Across five studies, we found consistent evidence for the idea that personal
relative deprivation (PRD), which refers to resentment stemming from the belief
that one is deprived of deserved outcomes compared to others, uniquely
contributes to materialism. In Study 1, self-reports of PRD positively predicted
materialistic values over and above socioeconomic status, personal power, self
esteem, and emotional uncertainty. The experience of PRD starts with social
comparison, and Studies 2 and 3 found that PRD mediated the positive relation
between a tendency to make social comparisons of abilities and materialism. In
Study 4, participants who learned that they had less (vs. similar) discretionary
income than people like them reported a stronger desire for more money relative
to donating more to charity. In Study 5, during a windfall-spending task,
participants higher in PRD spent more on things they wanted relative to other
spending categories (e.g., paying off debts).
PMID- 27878837
TI - Thrombotic Depositions on Right Impeller of Double-Ended Centrifugal Total
Artificial Heart In Vivo.
AB - The development of total artificial heart devices is a complex undertaking that
includes chronic biocompatibility assessment of the device. It is considered
particularly important to assess whether device design and features can be
compatible long term in a biological environment. As part of the development
program for the Cleveland Clinic continuous-flow total artificial heart (CFTAH),
we evaluated the device for signs of thrombosis and biological material
deposition in four animals that had achieved the intended 14-, 30-, or 90-day
durations in each respective experiment. Explanted CFTAHs were analyzed for
possible clot buildup at "susceptible" areas inside the pump, particularly the
right pump impeller. Depositions of various consistency and shapes were observed.
We here report our findings, along with macroscopic and microscopic analysis post
explant, and provide computational fluid dynamics data with its potential
implications for thrombus formation.
PMID- 27878838
TI - Cluster detection of spatial regression coefficients.
AB - Popular approaches to spatial cluster detection, such as the spatial scan
statistic, are defined in terms of the responses. Here, we consider a varying
coefficient regression and spatial clusters in the regression coefficients. For
varying-coefficient regression, such as the geographically weighted regression,
different regression coefficients are obtained for different spatial units. It is
often of interest to the practitioners to identify clusters of spatial units with
distinct patterns in a regression coefficient, but there is no formal statistical
methodology for that. Rather, cluster identification is often ad-hoc such as by
eyeballing the map of fitted regression coefficients and discerning patterns. In
this paper, we develop new methodology for spatial cluster detection in the
regression setting based on hypotheses testing. We evaluate our methods in terms
of power and coverages for true clusters via simulation studies. For
illustration, our methodology is applied to a cancer mortality dataset. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27878839
TI - A U-statistics based approach to sample size planning of two-arm trials with
discrete outcome criterion aiming to establish either superiority or
noninferiority.
AB - In current practice, the most frequently applied approach to the handling of ties
in the Mann-Whitney-Wilcoxon (MWW) test is based on the conditional distribution
of the sum of mid-ranks, given the observed pattern of ties. Starting from this
conditional version of the testing procedure, a sample size formula was derived
and investigated by Zhao et al. (Stat Med 2008). In contrast, the approach we
pursue here is a nonconditional one exploiting explicit representations for the
variances of and the covariance between the two U-statistics estimators involved
in the Mann-Whitney form of the test statistic. The accuracy of both ways of
approximating the sample sizes required for attaining a prespecified level of
power in the MWW test for superiority with arbitrarily tied data is comparatively
evaluated by means of simulation. The key qualitative conclusions to be drawn
from these numerical comparisons are as follows: With the sample sizes calculated
by means of the respective formula, both versions of the test maintain the level
and the prespecified power with about the same degree of accuracy. Despite the
equivalence in terms of accuracy, the sample size estimates obtained by means of
the new formula are in many cases markedly lower than that calculated for the
conditional test. Perhaps, a still more important advantage of the nonconditional
approach based on U-statistics is that it can be also adopted for noninferiority
trials. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27878840
TI - Challenging behaviours in adults with an intellectual disability: A total
population study and exploration of risk indices.
AB - OBJECTIVES: Considerable variation has been reported in the prevalence and
correlates of challenging behaviour (CB) in adults with intellectual disabilities
(ID). To provide a robust estimate of prevalence, we identified the entire
administrative population of adults with ID in a defined geographical area and
used a behaviour assessment tool with good psychometric properties. METHODS: Data
from 265 adults who were known to services were collected using a demographic
survey tool and the Behavior Problems Inventory - Short Form. The prevalence of
self-injurious, aggressive/destructive, stereotyped, and overall CB was
evaluated. We explored the potential of developing cumulative risk indices (CRI)
to inform longitudinal research and clinical practice. RESULTS: The prevalence of
overall CB was 18.1% (95% CI: 13.94-23.19%). The prevalence of self-injurious
behaviour was 7.5% (95% CI: 4.94-11.37%), aggressive-destructive behaviour 8.3%
(95% CI: 5.54-12.25%), and stereotyped behaviour 10.9% (95% CI: 7.73-15.27%).
Communication problems and severity of ID were consistently associated with
higher risk of CBs. CRIs were significantly associated with CBs, and the five
methods of CRI development produced similar results. CONCLUSIONS: Findings
suggest a multi-element response to CB is likely to be required that includes
interventions for communication and daytime activity. Exploratory analyses of
CRIs suggested these show promise as simple ways to capture cumulative risk in
this population. Subject to longitudinal replication, such a tool may be
especially useful in clinical practice to identify adults who are priority for
interventions and predict future demand on services. PRACTITIONER POINTS: The
prevalence of challenging behaviour (CB) was 18.1% in this total population
study. Stereotypy was the most frequent type of CB. Communication difficulties
and severe-profound intellectual disabilities were most systematically related to
the presence of CB. Establishing the effect of multiple risk factors is likely to
identify people who are priority for interventions. Addressing multiple, rather
than singular risks, is likely to be more efficacious. We tested five different
methods of putting together a multiple risk index. All methods provided a
reasonable association with CB. The most user-friendly method was the additive
cumulative risk index (CRI). Limitations This is a cross-sectional design which
enabled factors currently associated with CB to be identified for the whole
cohort, but these variables may not be those conferring risk for the development
or maintenance of CB over time. Future longitudinal research is required to
replicate these CRI analyses before concluding about the CRI method with the
highest predictive validity.
PMID- 27878841
TI - Intraductal papillary mucinous neoplasms of the pancreas: reporting clinically
relevant features.
AB - Intraductal papillary mucinous neoplasms (IPMNs) of the pancreas can exhibit a
wide spectrum of macroscopic and microscopic appearances. This not only causes
occasional difficulties for the reporting pathologist in distinguishing these
tumours from other lesions, but is also relevant clinically. As evidence
accumulates, it becomes clear that multiple macroscopic and histological features
of these neoplasms are relevant to the risk for malignant transformation and,
consequently, of prime importance for clinical patient management. The need for
detailed reporting is therefore increasing. This review discusses the panoply of
gross and microscopic features of IPMN as well as the recommendations from recent
consensus meetings regarding the pathology reporting on this tumour entity.
PMID- 27878842
TI - Pharmacologic Treatment of Vitiligo in Children and Adolescents: A Systematic
Review.
AB - BACKGROUND: The true pathogenic mechanism of vitiligo is still unknown. About
half of the patients with this disease have onset before the age of 20 years,
making it a serious dermatologic disorder in childhood. OBJECTIVES: The objective
of this study was to review the literature in a systematic way and identify the
main pharmacologic treatments and outcomes in children and adolescents with
vitiligo. METHODS: Four databases-the National Library of Medicine (MEDLINE
PubMed), Web of Science, Scopus, and Latin American and Caribbean Health Sciences
(LILACS)-were used for the search up to January 2015. All electronic search
titles, selected abstracts and full-text articles were independently reviewed by
a minimum of two reviewers. RESULTS: There were 15 articles from 13 different
countries: 3 were retrospective and 12 were prospective; the number of
participants in the studies varied between 9 and 400, ages ranged from 0 to 18
years, and the duration of disease ranged from 1 to 17 years. The most commonly
used drugs were tacrolimus alone (or combined with clobetasol), pimecrolimus,
corticosteroids, and calcipotriol. Treatment duration ranged from 10 days to 6
months with a topical route of administration. CONCLUSIONS: The main outcome
measurements were morphometric analysis performed using a computer program,
hematologic or biochemical change, and photography (predominant). It is unclear
which was the most effective treatment for vitiligo, however, it was found that
these therapies are all promising in the treatment of the disease. With proper
care, disease control and repigmentation, even if partial, can be achieved.
PMID- 27878844
TI - Factors influencing new graduate nurse burnout development, job satisfaction and
patient care quality: a time-lagged study.
AB - AIM: To test a hypothesized model linking new graduate nurses' perceptions of
their manager's authentic leadership behaviours to structural empowerment, short
staffing and work-life interference and subsequent burnout, job satisfaction and
patient care quality. BACKGROUND: Authentic leadership and structural empowerment
have been shown to reduce early career burnout among nurses. Short-staffing and
work-life interference are also linked to burnout and may help explain the impact
of positive, empowering leadership on burnout, which in turn influences job
satisfaction and patient care quality. DESIGN: A time-lagged study of Canadian
new graduate nurses was conducted. METHODS: At Time 1, surveys were sent to 3,743
nurses (November 2012-March 2013) and 1,020 were returned (27.3% response rate).
At Time 2 (May-July 2014), 406 nurses who responded at Time 1 completed surveys
(39.8% response rate). Descriptive analysis was conducted in SPSS. Structural
equation modelling in Mplus was used to test the hypothesized model. RESULTS: The
hypothesized model was supported. Authentic leadership had a significant positive
effect on structural empowerment, which in turn decreased both short-staffing and
work-life interference. Short-staffing and work-life imbalance subsequently
resulted in nurse burnout, lower job satisfaction and lower patient care quality
1 year later. CONCLUSION: The findings suggest that short-staffing and work-life
interference are important factors influencing new graduate nurse burnout.
Developing nurse managers' authentic leadership behaviours and working with them
to create and sustain empowering work environments may help reduce burnout,
increase nurse job satisfaction and improve patient care quality.
PMID- 27878843
TI - Mixed-method research protocol: defining and operationalizing patient-related
complexity of nursing care in acute care hospitals.
AB - AIM: To define the concept of patient-related complexity of nursing care in acute
care hospitals and to operationalize it in a questionnaire. BACKGROUND: The
concept of patient-related complexity of nursing care in acute care hospitals has
not been conclusively defined in the literature. The operationalization in a
corresponding questionnaire is necessary, given the increased significance of the
topic, due to shortened lengths of stay and increased patient morbidity. DESIGN:
Hybrid model of concept development and embedded mixed-methods design. METHODS:
The theoretical phase of the hybrid model involved a literature review and the
development of a working definition. In the fieldwork phase of 2015 and 2016, an
embedded mixed-methods design was applied with complexity assessments of all
patients at five Swiss hospitals using our newly operationalized questionnaire
'Complexity of Nursing Care' over 1 month. These data will be analysed with
structural equation modelling. Twelve qualitative case studies will be embedded.
They will be analysed using a structured process of constructing case studies and
content analysis. In the final analytic phase, the quantitative and qualitative
data will be merged and added to the results of the theoretical phase for a
common interpretation. Cantonal Ethics Committee Zurich judged the research
programme as unproblematic in December 2014 and May 2015. DISCUSSION: Following
the phases of the hybrid model and using an embedded mixed-methods design can
reach an in-depth understanding of patient-related complexity of nursing care in
acute care hospitals, a final version of the questionnaire and an acknowledged
definition of the concept.
PMID- 27878845
TI - Evaluation of raw acceleration sedentary thresholds in children and adults.
AB - The aim was to develop sedentary (sitting/lying) thresholds from hip and wrist
worn raw tri-axial acceleration data from the ActiGraph and GENEActiv, and to
examine the agreement between free-living time spent below these thresholds with
sedentary time estimated by the activPAL. Sixty children and adults wore an
ActiGraph and GENEActiv on the hip and wrist while performing six structured
activities, before wearing the monitors, in addition to an activPAL, for 24 h.
Receiver operating characteristic (ROC) curves were used to determine sedentary
thresholds based on activities in the laboratory. Agreement between developed
sedentary thresholds during free-living and activPAL were assessed by Bland
Altman plots and by calculating sensitivity and specificity. Using laboratory
data and ROC-curves showed similar classification accuracy for wrist and hip
thresholds (Area under the curve = 0.84-0.92). Greatest sensitivity (97-98%) and
specificity (74-78%) were observed for the wrist thresholds, with no large
differences between brands. During free-living, Bland-Altman plots showed large
mean individual biases and 95% limits of agreement compared with activPAL, with
smallest difference for the ActiGraph wrist threshold in children (+30 min, P =
0.3). Sensitivity and specificity for the developed thresholds during free-living
were low for both age groups and for wrist (Sensitivity, 68-88%, Specificity, 46
59%) and hip placements (Sensitivity, 89-97%, Specificity, 26-34%). Laboratory
derived sedentary thresholds generally overestimate free-living sedentary time
compared with activPAL. Wrist thresholds appear to perform better than hip
thresholds for estimating free-living sedentary time in children and adults
relative to activPAL, however, specificity for all the developed thresholds are
low.
PMID- 27878846
TI - Are the classic signs of infection in concordance with results from percutaneous
aspiration to diagnose infection in pressure injuries?
AB - AIM: To explore the concordance between the presence of classic signs of
infection and the positive diagnosis identified by the microbiological culture of
fluid collected by percutaneous aspiration. BACKGROUND: The classic signs of
infection are commonly applied in some contexts to confirm infection in pressure
injuries, but its accuracy has been questioned in chronic wounds. Little is known
about the concordance of this method with others, such as the deep fluid culture
from pressure injuries collected by percutaneous aspiration. DESIGN: Multicentre,
cross-sectional observational study. METHODS: Pressure injuries of patients from
four health centres were analysed. Three types of data were recorded between
February 2011 and March 2012: i) socio-demographic and clinical data, ii) number
and type of infection signs and iii) microbiological results of deep fluid
culture from injuries. The concordance was calculated with the kappa index to
find a possible concordance between both methods. RESULTS: On 40.2% (n = 47) of
injuries, two or more classic signs of infection or purulent exudate as unique
sign were present, while the total positive results in the microbiological
cultures were 50.4% (n = 59). The disparity of positive results, depending on the
location and the stage of the pressure injury and the method applied, suggested a
poor concordance between methods. The -0.092 kappa index confirmed the non
concordance of the analysed methods. CONCLUSIONS: The tandem strategy is not
useful to indicate an infection in pressure injuries. We advocate exploring other
signs of infection and the adoption of other more reliable signs together with
the classic signs of infection.
PMID- 27878847
TI - Effectiveness of supporting intensive care units on implementing the guideline
'End-of-life care in the intensive care unit, nursing care': a cluster randomized
controlled trial.
AB - AIM: The aim of this study was to examine the effectiveness of supporting
intensive care units on implementing the guidelines. BACKGROUND: Quality of care
can be achieved through evidence-based practice. Guidelines can facilitate
evidence-based practice, such as the guidelines 'End-of-life care in the
intensive care unit, nursing care'. Before intensive care nurses are able to use
these guidelines, they needs to be implemented in clinical practice.
Implementation is a complex process and may need support. DESIGN: Cluster
randomized controlled trial. METHODS: Intensive care nurses of eight intensive
care units in the intervention group followed a supportive programme that
educated them on implementation, strategies, goals, project management and
leadership. The intervention group focused on a stepwise approach to implement
the guidelines. The control group (n = 5) implemented the guidelines
independently or used the standard implementation plan supplementary to the
guideline. The effectiveness of the programme was measured using questionnaires
for nurses, interviews with nurses and a questionnaire for family of deceased
patients, in the period from December 2014-December 2015. RESULTS: Overall, an
increase in adherence to the guidelines was found in both groups. Overall, use of
the guidelines in the intervention group was higher, but on some aspects the
control group showed a higher score. Care for the patient and the overall nursing
care scored significantly higher according to family in the intervention group.
CONCLUSION: The increase in adherence to the guidelines and the significantly
higher satisfaction of family in the intervention group indicate that the
supportive programme had a more positive effect.
PMID- 27878848
TI - The effectiveness of the COPE healthy lifestyles TEEN program: a school-based
intervention in middle school adolescents with 12-month follow-up.
AB - AIM: The goal of this study is to assess the applicability and long-term
effectiveness of the T-COPE Healthy TEEN program on adolescent health.
BACKGROUND: Obesity and mental health disorders are major public health problems
in adolescents. In this context, including long-term and multiple behavioural
obesity prevention programs are becoming increasingly important. DESIGN: A quasi
experimental design with pre-test/post-test control group and repeated
measurements. METHODS: Eighty-seven (45 in intervention group, 42 in control
group) adolescents were included from two different middle schools in the
intervention program. The data were analysed by using descriptive statistics,
Pearson chi-square, Fisher's exact test, Student-t test, repeated measures of
variance analysis and Cohen's d effect size. RESULTS: No significant difference
was found between the intervention and control groups in terms of descriptive
characteristics, behavioural and cognitive variables of the adolescents.
Following the intervention, the adolescents in intervention groups showed
improvements in nutritional behaviour, physical activity and stress management.
These improvements concerned increased physical walking measured in the number of
physical steps per week daily fruit and vegetable consumption and the daily
quantity of water ingested. While their nutrition/physical activity knowledge
significantly increased, their weight and anxiety symptoms significantly
decreased. The effect of the program on body mass index, depression and health
beliefs of the adolescents were not significant compared with the control group.
CONCLUSION: The applicability of the T-COPE Healthy TEEN program on Turkish
adolescents and its effectiveness on their health behaviours, nutrition and
physical activity knowledge and stress management were revealed in this study.
PMID- 27878849
TI - Leading change: a concept analysis.
AB - AIM: To report an analysis of the concept of leading change. BACKGROUND: Nurses
have been called to lead change to advance the health of individuals,
populations, and systems. Conceptual clarity about leading change in the context
of nursing and healthcare systems provides an empirical direction for future
research and theory development that can advance the science of leadership
studies in nursing. DESIGN: Concept analysis. DATA SOURCES: CINAHL, PubMed,
PsycINFO, Psychology and Behavioral Sciences Collection, Health Business Elite
and Business Source Premier databases were searched using the terms: leading
change, transformation, reform, leadership and change. Literature published in
English from 2001 - 2015 in the fields of nursing, medicine, organizational
studies, business, education, psychology or sociology were included. METHODS:
Walker and Avant's method was used to identify descriptions, antecedents,
consequences and empirical referents of the concept. Model, related and contrary
cases were developed. RESULTS: Five defining attributes of leading change were
identified: (a) individual and collective leadership; (b) operational support;
(c) fostering relationships; (d) organizational learning; and (e) balance.
Antecedents were external or internal driving forces and organizational
readiness. The consequences of leading change included improved organizational
performance and outcomes and new organizational culture and values. CONCLUSION: A
theoretical definition and conceptual model of leading change were developed.
Future studies that use and test the model may contribute to the refinement of a
middle-range theory to advance nursing leadership research and education. From
this, empirically derived interventions that prepare and enable nurses to lead
change to advance health may be realized.
PMID- 27878850
TI - Analysis of morphological changes after facial massage by a novel approach using
three-dimensional computed tomography.
AB - BACKGROUND/PURPOSE: Photograph-based visual scoring has been used for evaluation
of facial morphological changes. Here, we describe a three-dimensional computed
tomography (3D-CT) method for objective analysis of facial and intra-facial
(subcutaneous) changes. The effects of facial massage were examined using both
methods. METHODS: Subjects were 12 healthy female volunteers without facial scars
or deformation (age 30-54 years, mean 39.4 years). Photograph-based scoring of
massage-induced morphological changes was done at the nasolabial folds, upper,
lower and lateral cheeks and lower eyelids. For 3D-CT evaluation, the virtual
center axis (VCA) was set as the cranio-caudal longitudinal line, and the VCA
skin surface distances (VSDs) were measured. Massage-induced changes of VSD were
calculated (facial massage-induced change rate, FMCR). Intra-facial
(subcutaneous) changes were also evaluated. RESULTS: Photograph-based scoring
revealed marked morphological changes of the nasolabial folds after facial
massage, and changes of the lower, upper and lateral cheeks and lower eyelid were
also observed in more than half of the subjects. FMCR values were significantly
changed in the paranasal area, nasolabial fold area and cranial part of the
mandibular area. Photograph-based scores at the lower cheek and lower eyelid were
well correlated with FMCR in the inferior part of the nasolabial fold and the
mandibular area, respectively. Massage-induced changes of subcutaneous fat
tissues and facial expression muscles were also apparent on CT images.
CONCLUSION: 3D-CT imaging is useful for objective evaluation of the effects of
facial massage, including anatomical changes in subcutaneous structures.
PMID- 27878851
TI - Exploring partners' perspectives on participation in heart failure home care: a
mixed-method design.
AB - AIM: To describe the partners' perspectives on participation in the care for
patients with heart failure receiving home care. BACKGROUND: Partners are often
involved in care of patients with heart failure and have an important role in
improving patients' well-being and self-care. Partners have described both
negative and positive experiences of involvement, but knowledge of how partners
of patients with heart failure view participation in care when the patient
receives home care is lacking. DESIGN: A convergent parallel mixed-method design
was used, including data from interviews and questionnaires. METHODS: A
purposeful sample of 15 partners was used. Data collection lasted between
February 2010 - December 2011. Interviews were analysed with content analysis and
data from questionnaires (participation, caregiving, health-related quality of
life, depressive symptoms) were analysed statistically. Finally, results were
merged, interpreted and labelled as comparable and convergent or as being
inconsistent. RESULTS: Partners were satisfied with most aspects of
participation, information and contact. Qualitative findings revealed four
different aspects of participation: adapting to the caring needs and illness
trajectory, coping with caregiving demands, interacting with healthcare providers
and need for knowledge to comprehend the health situation. Results showed
confirmatory results that were convergent and expanded knowledge that gave a
broader understanding of partner participation in this context. CONCLUSION: The
results revealed different levels of partner participation. Heart failure home
care included good opportunities for both participation and contact during home
visits, necessary to meet partners' ongoing need for information to comprehend
the situation.
PMID- 27878853
TI - A comparison of nursing tasks undertaken by regulated nurses and nursing support
workers: a work sampling study.
AB - AIMS: The aim of this study was to determine which tasks unregulated nursing
support staff spend their work time undertaking and to determine differences
between the work undertaken by licensed/regulated nurses on units which have
nursing support workers and those on units which do not. BACKGROUND: Acute
hospital nursing teams often include nursing support staff; little is known about
what kinds of tasks these unregulated support workers do and how it affects the
work tasks of their licensed/regulated team members. DESIGN: Cross-sectional
analysis of nurse work sampling data. METHODS: Data collection took place between
March-October 2013. The proportion of time spent on 25 work activities by nursing
support staff and licensed/regulated nursing staff was compared. Logistic
regression models estimated whether nursing support staff or licensed/regulated
nurses were more likely to conduct direct and indirect patient care tasks and
whether licensed/regulated nurses on units with nursing support staff were more
likely to conduct direct or indirect tasks compared with those on units without
nursing support workers. RESULTS: Nursing support staff spent the majority of
their time engaged in direct care tasks, e.g. admission and assessment, hygiene
and mobility. Although licensed/regulated nurses were less likely to undertake
direct care tasks compared with support workers, those who worked on units with
support workers undertook more direct care compared with those who worked on
units without support workers. CONCLUSIONS: Nursing support workers were given
tasks that required substantial amounts of patient interaction. These staff may
be associated with an increase in direct care tasks for licensed/regulated
nurses, who may duplicate the direct care done by nursing support workers.
PMID- 27878852
TI - Optimal design of longitudinal data analysis using generalized estimating
equation models.
AB - Longitudinal studies are often applied in biomedical research and clinical trials
to evaluate the treatment effect. The association pattern within the subject must
be considered in both sample size calculation and the analysis. One of the most
important approaches to analyze such a study is the generalized estimating
equation (GEE) proposed by Liang and Zeger, in which "working correlation
structure" is introduced and the association pattern within the subject depends
on a vector of association parameters denoted by rho. The explicit sample size
formulas for two-group comparison in linear and logistic regression models are
obtained based on the GEE method by Liu and Liang. For cluster randomized trials
(CRTs), researchers proposed the optimal sample sizes at both the cluster and
individual level as a function of sampling costs and the intracluster correlation
coefficient (ICC). In these approaches, the optimal sample sizes depend strongly
on the ICC. However, the ICC is usually unknown for CRTs and multicenter trials.
To overcome this shortcoming, Van Breukelen et al. consider a range of possible
ICC values identified from literature reviews and present Maximin designs (MMDs)
based on relative efficiency (RE) and efficiency under budget and cost
constraints. In this paper, the optimal sample size and number of repeated
measurements using GEE models with an exchangeable working correlation matrix is
proposed under the considerations of fixed budget, where "optimal" refers to
maximum power for a given sampling budget. The equations of sample size and
number of repeated measurements for a known parameter value rho are derived and a
straightforward algorithm for unknown rho is developed. Applications in practice
are discussed. We also discuss the existence of the optimal design when an AR(1)
working correlation matrix is assumed. Our proposed method can be extended under
the scenarios when the true and working correlation matrix are different.
PMID- 27878854
TI - Special issue - Bill Reynolds's Legacy.
PMID- 27878855
TI - The personal cancer screening behaviours of nurses and midwives.
AB - AIM: The aim of this study was to identify the personal cancer screening
behaviours of nurses and midwives in New South Wales, Australia, and identify
factors predictive of cancer screening uptake. BACKGROUND: The nursing workforce
may have a higher risk for some cancers and is ageing. In Australia, more than
40% are over 50 years - an age where cancer incidence rises rapidly, but when
screening may reduce cancer mortality. Nurses and midwives are important health
role models for the population, but their engagement in cancer screening is
unknown. DESIGN: A cross-sectional survey conducted in 2014-2015. METHODS: Data
were obtained from the 'Fit for the Future' study on 5041 working nurses and
midwives in New South Wales, Australia and analyses were conducted on subsets of
age-eligible respondents. Demographic, geographical and occupational data were
analysed in relation to population-based screening for breast, cervical and bowel
cancers and opportunistic screening for prostate and skin cancer screening
participation, in line with Australian recommendations. RESULTS: Nurses' and
midwives' recent screening rates were higher than the Australian general
population across relevant age groups. Compared with full-time nurses and
midwives, part-time/casual/pool workers were significantly more likely to
undertake cervical, breast and bowel screening. Compared with those working
office hours, shift workers were significantly less likely to undertake breast
and bowel screening, but more likely to undertake skin screening. CONCLUSIONS:
Disparities in reported screening prevalence and factors predictive of screening
uptake indicate opportunities for targeted strategies to inform and/or promote
workforce engagement with screening programmes and protect the health of this
ageing workforce.
PMID- 27878856
TI - A semiparametric mixture cure survival model for left-truncated and right
censored data.
AB - In follow-up studies, the disease event time can be subject to left truncation
and right censoring. Furthermore, medical advancements have made it possible for
patients to be cured of certain types of diseases. In this article, we consider a
semiparametric mixture cure model for the regression analysis of left-truncated
and right-censored data. The model combines a logistic regression for the
probability of event occurrence with the class of transformation models for the
time of occurrence. We investigate two techniques for estimating model
parameters. The first approach is based on martingale estimating equations (EEs).
The second approach is based on the conditional likelihood function given
truncation variables. The asymptotic properties of both proposed estimators are
established. Simulation studies indicate that the conditional maximum-likelihood
estimator (cMLE) performs well while the estimator based on EEs is very unstable
even though it is shown to be consistent. This is a special and intriguing
phenomenon for the EE approach under cure model. We provide insights into this
issue and find that the EE approach can be improved significantly by assigning
appropriate weights to the censored observations in the EEs. This finding is
useful in overcoming the instability of the EE approach in some more complicated
situations, where the likelihood approach is not feasible. We illustrate the
proposed estimation procedures by analyzing the age at onset of the occiput-wall
distance event for patients with ankylosing spondylitis.
PMID- 27878857
TI - Selective serotonin reuptake inhibitors and risk of major congenital anomalies
for pregnancies in Japan: A nationwide birth cohort study of the Japan
Environment and Children's Study.
AB - We analyzed data from the Japan Environment and Children's Study (JECS), on the
association between selective serotonin reuptake inhibitors (SSRI) use during
pregnancy and the risk of developing of major congenital anomalies in Japan. JECS
is an ongoing nationwide birth cohort study. The study includes 95 994 single
pregnant women and their offspring. Among them, 172 used any SSRI up to the 12th
gestational week. Crude analyses show a significantly increased incidence of
upper limb, abdominal, and urogenital abnormalities. In particular, the incidence
of microcephaly, hydrencephalus, esophageal atresia, small intestinal atresia,
and achondroplasia was significantly higher with than without exposure to these
substances. On multivariate analyses, urogenital abnormality was significant
(odds ratio 3.227; 95% confidence interval: 1.460-7.134). This Japanese
nationwide birth cohort survey clarified that the use of any SSRI until the 12th
gestational week was associated with urogenital abnormality in children. The
survey for association with minor classification abnormality needs further
examination in Japan.
PMID- 27878858
TI - Non-invasive tools for the diagnosis of cutaneous melanoma.
AB - BACKGROUND: While the excisional biopsy and histological examination of
suspicious lesions remains the current gold standard for diagnosing cutaneous
melanoma (CM), there is a demand for more objective and non-invasive examination
methods that may support clinicians in their decision when to biopsy or not.
METHODS: This review is based on publications and guidelines retrieved by a
selective search in PubMed and MEDLINE and focused on non-invasive diagnostic
strategies for detecting melanoma. RESULTS: Ten different non-invasive techniques
were compared with regard to applicability, status of development, and resources
necessary for introduction into clinical routine (dermoscopy, sequential digital
dermoscopy, total body photography, computer-aided multispectral digital
analysis, electrical impedance spectroscopy, Raman spectroscopy, reflectance
confocal microscopy, multiphoton tomography, stepwise two-photon-laser
spectroscopy, quantitative dynamic infrared imaging). In an effort to create a
classification based on our analyses, we suggest to differentiate i) tools for
screening of patients in daily clinical routine, ii) tools for examination of a
restricted number of preselected lesions that produce an automated diagnostic
score, iii) tools for examination of a restricted number of preselected lesions
at specialized centers requiring extensive training, iv) devices at an
experimental stage of development. CONCLUSION: None of the discussed examination
techniques is able to provide a definite and final diagnosis or to completely
replace the histopathological examination. Up to date, the need for fully
automated devices offering a complete skin cancer screening has not been
satisfied.
PMID- 27878860
TI - The role of menstruation in women's objectification: a questionnaire study.
AB - AIM: The aim of this study was to investigate the concepts of objectification and
self-objectification among young women in the context of attitudes towards
menstruation, menstrual suppression, menstrual and menarche experiences, disorder
eating and exercise habits and to detect predictors of objectification and self
objectification. BACKGROUND: The negative effects of objectification are well
founded. Considering the central role menstruation plays in female biology, it
comes as quite a surprise that so little attention is given to the subject in the
research on objectification. DESIGN: Cross-sectional explorative survey design.
METHODS: A questionnaire that included the Self-Objectification Questionnaire,
the Objectified Body Consciousness Scale, the Belief and Attitudes Towards
Menstruation Questionnaire and the Suppress Menstruation subscale of the
Attitudes Towards Menstrual Suppression Questionnaire was administered at the end
of 2013 to 319 Icelandic women who represented the population by age. A stepwise
multiple linear regression model was employed to calculate significant predictors
of objectification and self-objectification. RESULTS: Four models of
objectification are presented: the self-objectification model, the body
surveillance model, the body shame model and the control belief model. Taken
together, the strongest predictors of these models were related to eating habits.
However, the findings also support the proposition that menstrual-related
experiences influence women's objectification, particularly in terms of age at
menarche, of belief in the prescriptive role of menstruation and of use of pain
medication for menstrual pain. CONCLUSION: Healthcare providers in general and
nurses in particular could and should assist girls and women in developing a
positive view of their body and its reproductive functions by discussing the body
and menstruation based on women's concerns.
PMID- 27878859
TI - Paying patient and caregiver research participants: putting theory into practice.
AB - AIM: To review and discuss the ethical and practical considerations about paying
patient and caregiver participants in nursing research and, based on this review,
to develop a set of guiding principles about payment of participants. BACKGROUND:
To increase recruitment and retention, it is becoming increasingly common in
nursing research to provide some form of payment to participants. The risk is
that the promise of a payment may influence a patient or caregiver's decision to
participate in research. However, research ethics protocols seldom provide
explicit guidance about paying participants. Even where formal policies or fee
schedules exist, there is little consistency in determining how payments should
be calculated or administered. This has resulted in highly variable payment
practices between locations, disciplines and institutions. DESIGN: Discussion
paper. DATA SOURCES: PubMed, MEDLINE with Full Text, CINAHL and Health Source
(Nursing/Academic Edition) were searched for terms related to paying research
participants published between 2000 - August 2016. IMPLICATIONS FOR NURSING:
Nurse researchers must comply with international, national and institutional
ethical standards. Important ethical and practical considerations should guide
the decision-making process about whether to pay research participants and how to
determine the nature or value of the payment. Guiding principles can support
researchers by highlighting key factors that may direct their decision-making in
this regard. CONCLUSION: A deeper understanding of the fundamental ethical and
practical considerations is needed to support researchers in their deliberations
about paying participants in nursing research.
PMID- 27878862
TI - Response to letter to the editor re. Hines J, Wilkinson SM, John SM, et al. The
three moments of skin cream application: an evidence-based proposal for use of
skin creams in the prevention of irritant contact dermatitis in the workplace.
PMID- 27878861
TI - Endoscopic ultrasonography-guided biopsy using a Franseen needle design: Initial
assessment.
AB - BACKGROUND AND AIM: Recently, a 22-gauge (G) needle with a Franseen tip design
was developed for endoscopic ultrasonography-guided fine-needle biopsy (EUS-FNB).
The present study evaluated the performance of the Franseen biopsy needle in EUS
guided tissue acquisition. METHODS: This is a retrospective study of patients who
underwent EUS-FNB using the Franseen needle. Lesions were sampled using either
the Franseen needle only or after failed diagnostic fine-needle aspiration (FNA).
After rapid onsite evaluation (ROSE), two dedicated passes were carried out for
histological assessment using the cell block technique. Main outcomes were: (i)
rates of diagnostic adequacy for ROSE and histological diagnosis; and (ii)
quality of histology as determined by total tissue area and tumor percentage in
tissue. RESULTS: Thirty patients underwent EUS-FNB of pancreatic or other masses
over a 3-month period. Twenty-four lesions were sampled using the Franseen needle
only and six after failed diagnostic FNA. Final diagnosis was pancreatic cancer
in 12, gastrointestinal stromal cell tumor in five, other tumors in four and
benign disease in nine. Diagnostic adequacy for ROSE was 96.6% and histological
diagnosis was established in 96.7% of patients. Median tissue area was 2.9 mm2
(IQR = 0.68-8.71 mm2 ) and median tumor percentage in tissue was 73.9% (IQR = 44
97.6). Rates of technical success and adverse events were 96.7 and 3.3%,
respectively. CONCLUSION: Preliminary data suggest that the Franseen needle
yields diagnostic material for ROSE and histology in >95% of patients.
PMID- 27878863
TI - Formation Mechanism and Reversible Expansion and Shrinkage of Magnesium-Based
Homochiral Metal-Organic Nanotubes.
AB - Two pairs of homochiral magnesium phosphonates, namely (R)-, (S)-[Mg(pemp)(H2 O)2
] (1) and (R)-, (S)-Mg10 (pemp)10 (H2 O)10 ]?3 H2 O (2) are reported (pemp2- =(R)
or (S)-(1-phenylethylamino) methylphosphonate). Compounds 1 show one-dimensional
tubular structures. The tube wall is purely inorganic, containing six-membered
rings made up of corner-sharing {MgO5 N} octahedra and {PO3 C} tetrahedra. The
organic groups reside outside the tube. A bottom-up "direct growing" mechanism is
proposed for the formation of the nanotubular structures of 1, based on the
electrospray ionization mass spectrometry studies. Compounds 2 display two
dimensional layered structures containing cross-linked squashed nanotubes.
Interestingly, the two structures can interconvert reversibly upon temperature
and pH modulation. This is the first report of a metal-organic nanotube that can
shrink and recover in a reversible manner.
PMID- 27878864
TI - Multidirectional Artificial Muscles from Nylon.
AB - Multidirectional artificial muscles are made from highly oriented nylon
filaments. Thanks to the low thermal conductivity of nylon and its anisotropic
thermal expansion, bending occurs when a nylon beam is differentially heated.
This heat can be generated via a Joule heating mechanism or high power laser
pulses.
PMID- 27878866
TI - From the Editors.
PMID- 27878865
TI - Fibroblast growth factor 21 night watch: advances and uncertainties in the field.
AB - Fibroblast growth factor (FGF) 21 belongs to a hormone-like subgroup within the
FGF superfamily. The members of this subfamily, FGF19, FGF21 and FGF23, are
characterized by their reduced binding affinity for heparin that enables them to
be transported in the circulation and function in an endocrine manner. It is
likely that FGF21 also acts in an autocrine and paracrine fashion, as multiple
organs can produce this protein and its plasma concentration seems to be below
the level necessary to induce a pharmacological effect. FGF21 signals via FGF
receptors, but for efficient receptor engagement it requires a cofactor, membrane
spanning betaKlotho (KLB). The regulation of glucose uptake in adipocytes was the
initial biological activity ascribed to FGF21, but this hormone is now recognized
to stimulate many other pathways in vitro and display multiple pharmacological
effects in metabolically compromised animals and humans. Understanding of the
precise physiology of FGF21 and its potential medicinal role has evolved
exponentially over the last decade, yet numerous aspects remain to be defined and
others are a source of debate. Here we provide a historical overview of the
advances in FGF21 biology focusing on the uncertainties in the mechanism of
action as well as the differing viewpoints relating to this intriguing protein.
PMID- 27878867
TI - Erratum.
PMID- 27878868
TI - Reproducible Risk Assessment.
AB - Reproducible research is a concept that has emerged in data and computationally
intensive sciences in which the code used to conduct all analyses, including
generation of publication quality figures, is directly available, and preferably
in open source manner. This perspective outlines the processes and attributes,
and illustrates the execution of reproducible research via a simple exposure
assessment of air pollutants in metropolitan Philadelphia.
PMID- 27878869
TI - Effects of percutaneous endoscopic gastrostomy on survival of patients in a
persistent vegetative state after stroke.
AB - AIMS AND OBJECTIVES: To assess the effect of percutaneous endoscopic gastrostomy
on short- and long-term survival of patients in a persistent vegetative state
after stroke and determine the relevant prognostic factors. BACKGROUND: Stroke
may lead to a persistent vegetative state, and the effect of percutaneous
endoscopic gastrostomy on survival of stroke patients in a persistent vegetative
state remains unclear. DESIGN: Prospective study. METHODS: A total of 97 stroke
patients in a persistent vegetative state hospitalised from January 2009 to
December 2011 at the Second Hospital, University of South China, were assessed in
this study. Percutaneous endoscopic gastrostomy was performed in 55 patients, and
mean follow-up time was 18 months. Survival rate and risk factors were analysed.
RESULTS: Median survival in the 55 percutaneous endoscopic gastrostomy-treated
patients was 17.6 months, higher compared with 8.2 months obtained for the
remaining 42 patients without percutaneous endoscopic gastrostomy treatment.
Univariate analyses revealed that age, hospitalisation time, percutaneous
endoscopic gastrostomy treatment status, family financial situation, family care,
pulmonary infection and nutrition were significantly associated with survival.
Multivariate analysis indicated that older age, no gastrostomy, poor family care,
pulmonary infection and poor nutritional status were independent risk factors
affecting survival. Indeed, percutaneous endoscopic gastrostomy significantly
improved the nutritional status and decreased pulmonary infection rate in
patients with persistent vegetative state after stroke. Interestingly, median
survival time was 20.3 months in patients with no or one independent risk factors
of poor prognosis (n = 38), longer compared with 8.7 months found for patients
with two or more independent risk factors (n = 59). CONCLUSION: Percutaneous
endoscopic gastrostomy significantly improves long-term survival of stroke
patients in a persistent vegetative state and is associated with improved
nutritional status and decreased pulmonary infection. RELEVANCE TO CLINICAL
PRACTICE: Percutaneous endoscopic gastrostomy is a promising option for the
management of stroke patients in a persistent vegetative state.
PMID- 27878871
TI - Hepatobiliary and Pancreatic: A hepatic mass involving diaphragm: A real
malignancy?
PMID- 27878870
TI - Epidermolysis bullosa simplex in sibling Eurasier dogs is caused by a PLEC non
sense variant.
AB - BACKGROUND: Plectin, a large linker protein found in many tissues, acts to
connect components of the cytoskeleton to each other. In the epidermis, plectin
binds keratin intermediate filaments to hemidesmosomes. A deficiency of plectin
in the skin leads to blister formation in the basal layer and the disease
epidermolysis bullosa simplex (EBS). HYPOTHESIS/OBJECTIVES: To describe a novel
blistering disease that arose spontaneously in a litter of puppies. ANIMALS: Two
female and one male 20-day-old Eurasier puppies, from a litter of six, were
presented for evaluation of failure to thrive and then euthanized due to poor
prognosis. The puppies had ulcers on the lips, tongue, nasal planum, paw pads and
abdomen. RESULTS: Immunolabelling on frozen skin for basement membrane proteins
revealed patchy and weak to absent staining for plectin as compared with strong
linear staining in normal dogs. Ultrastructurally, hemidesmosomes were
irregularly shaped and had loss of distinction between inner and outer plaques.
Pedigree analysis supported an autosomal recessive mode of inheritance. A
premature stop codon was discovered in exon 27 of PLEC that resulted in the
production of a severely truncated protein. CONCLUSION: The study describes the
first documented spontaneous EBS associated with a PLEC variant in domestic
animals.
PMID- 27878872
TI - Immobilizing Molecular Metal Dithiolene-Diamine Complexes on 2D Metal-Organic
Frameworks for Electrocatalytic H2 Production.
AB - Carbon electrocatalysts consisting of metal complexes such as MNx or MSx are
promising alternatives to high-cost Pt catalysts for the hydrogen evolution
reaction (HER). However, the exact HER active sites remain elusive. Here,
molecular metal dithiolene-diamine (MS2 N2 , M=Co and Ni), metal bis(dithiolene)
(MS4 ), and metal bis(diamine) (MN4 ) complexes were selectively incorporated
into carbon-rich 2D metal-organic frameworks (2D MOFs) as model carbon
electrocatalysts. The 2D MOF single layers, powders, and composites with graphene
were thus prepared and showed definite active sites for H2 generation. The
electrocatalytic HER activity of the 2D MOF-based catalysts with different metal
complexes follow the order of MS2 N2 >MN4 >MS4 . Moreover, the protonation
preferentially occurred on the metal atoms, and the concomitant heterolytic
elimination of H2 was favored on the M-N units in the MS2 N2 active centers. The
results provide an in-depth understanding of the catalytic active sites, thus
making way for the future development of metal complexes in carbon-rich electrode
materials for energy generation.
PMID- 27878874
TI - Lymphocytes from patients with cutaneous T-cell lymphoma show DNA instability and
reduced DNA repair using the Comet assay.
PMID- 27878873
TI - The effects of spaced retrieval training in improving hyperphagia of people
living with dementia in residential settings.
AB - AIMS AND OBJECTIVES: To investigate the effectiveness of spaced retrieval for
improving hyperphagia in patients with dementia in residential care settings.
BACKGROUND: Although 10-30% of patients with dementia have hyperphagia, most
studies have focused on eating difficulties. Only a few studies have focused on
hyperphagia. Various memory problems cause hyperphagia in patients with dementia.
Spaced retrieval, a cognitive technique for information learning, can be used as
a training method to improve memory loss. Recent studies showed that patients who
received the training successfully memorised information learned in the training
and correctly applied it to their daily lives. STUDY DESIGN: Single-blind
experiments were performed. METHODS: The 97 subjects with dementia were recruited
from seven institutions. All research participants were stratified into three
groups according to cognitive impairment severity and Hyperphagic Behavior Scale
scores and then randomly assigned to the experimental and control groups. The
experimental group received a six-week one-by-one spaced retrieval training for
hyperphagia behaviour. The control group received routine care. RESULTS: After
the intervention, the frequency and severity of hyperphagia in the patients with
dementia, and food intake were significantly lower in the experimental group than
in the control group. However, body mass index did not significantly differ.
CONCLUSION: Our results suggest that the spaced retrieval training could decrease
the frequency and severity of hyperphagia in patients with dementia. The content
of this training programme is consistent with the normal manner of eating in
daily life and is easy for patients to understand and perform. Therefore, it can
be applied in residents' daily lives. RELEVANCE TO CLINICAL PRACTICE: This study
confirms the efficacy of the spaced retrieval training protocol for hyperphagia
in patients with dementia. In future studies, the follow-up duration can be
increased to determine the long-term effectiveness of the intervention.
PMID- 27878875
TI - Prevalence of amyloid deposits determined by fine-needle aspiration of abdominal
fat in patients with psoriasis.
PMID- 27878876
TI - Estimation of total genetic effects for survival time in crossbred laying hens
showing cannibalism, using pedigree or genomic information.
AB - Mortality of laying hens due to cannibalism is a major problem in the egg-laying
industry. Survival depends on two genetic effects: the direct genetic effect of
the individual itself (DGE) and the indirect genetic effects of its group mates
(IGE). For hens housed in sire-family groups, DGE and IGE cannot be estimated
using pedigree information, but the combined effect of DGE and IGE is estimated
in the total breeding value (TBV). Genomic information provides information on
actual genetic relationships between individuals and might be a tool to improve
TBV accuracy. We investigated whether genomic information of the sire increased
TBV accuracy compared with pedigree information, and we estimated genetic
parameters for survival time. A sire model with pedigree information (BLUP) and a
sire model with genomic information (ssGBLUP) were used. We used survival time
records of 7290 crossbred offspring with intact beaks from four crosses. Cross
validation was used to compare the models. Using ssGBLUP did not improve TBV
accuracy compared with BLUP which is probably due to the limited number of sires
available per cross (~50). Genetic parameter estimates were similar for BLUP and
ssGBLUP. For both BLUP and ssGBLUP, total heritable variance (T2 ), expressed as
a proportion of phenotypic variance, ranged from 0.03 +/- 0.04 to 0.25 +/- 0.09.
Further research is needed on breeding value estimation for socially affected
traits measured on individuals kept in single-family groups.
PMID- 27878877
TI - Comments to: Hines J, Wilkinson SM, John SM, et al. The three moments of skin
cream application: an evidence-based proposal for use of skin creams in the
prevention of irritant contact dermatitis in the workplace. J Eur Acad Dermatol
Venereol. 2016, Aug 22.
PMID- 27878879
TI - Classificatory multiplicity: intimate partner violence diagnosis in emergency
department consultations.
AB - AIMS AND OBJECTIVES: To explore the naming, or classification, of physical
assaults by a partner as 'intimate partner violence' during emergency department
consultations. BACKGROUND: Research continues to evidence instances when intimate
partner physical violence is 'missed' or unacknowledged during emergency
department consultations. METHODS: Theoretically, this research was approached
through complexity theory and the sociology of diagnosis. Research design was an
applied, descriptive and explanatory, multiple-method approach that combined
qualitative semistructured interviews with service-users (n = 8) and emergency
department practitioners (n = 9), and qualitative and quantitative document
analysis of emergency department health records (n = 28). RESULTS: This study
found that multiple classifications of intimate partner violence were mobilised
during emergency department consultations and that these different versions of
intimate partner violence held different diagnostic categories, processes and
consequences. CONCLUSION: The construction of different versions of intimate
partner violence in emergency department consultations could explain variance in
people's experiences and outcomes of consultations. The research found that the
classificatory threshold for 'intimate partner violence' was too high.
Strengthening systems of diagnosis (identification and intervention) so that all
incidents of partner violence are named as 'intimate partner violence' would
reduce the incidence of missed cases and afford earlier specialist intervention
to reduce violence and limit its harms. RELEVANCE TO CLINICAL PRACTICE: This
research found that identification of and response to intimate partner violence,
even in contexts of severe physical violence, was contingent. By lowering the
classificatory threshold so that all incidents of partner violence are named as
'intimate partner violence', practitioners could make a significant contribution
to reducing missed intimate partner violence during consultations and improving
health outcomes for this population. This research has relevance for
practitioners in any setting where service-user report of intimate partner
violence is possible.
PMID- 27878878
TI - Efficacy and safety of amphotericin B lipid-based formulations-A systematic
review and meta-analysis.
AB - Invasive fungal infections, an important cause of mortality, are primarily
treated using amphotericin B, which is available in different formulations, both
conventional and lipid-based (liposomal, lipid complex, colloidal dispersion and
Intralipid(r) infusion). The aim of our study was to determine the efficacy and
safety of conventional amphotericin B vs its lipid-based formulations. A
systematic review followed by pairwise meta-analysis was performed, including
randomised controlled trials (RCTs) that evaluated the use of lipid-based
amphotericin B in patients with any degree of immunosuppression and
susceptibility to invasive fungal infection. An electronic search was conducted
using PubMed, Scopus, Web of Science and Scielo databases. Extracted outcomes
were related to efficacy (cure) and safety (incidence of adverse events). Results
were evaluated and meta-analyses were performed. Twenty-three RCTs were
identified (n=2677 participants) for meta-analysis. No significant differences
between conventional amphotericin B and any of the five formulations evaluated
were observed, with regard to the efficacy analysis. With respect to the adverse
events of nephrotoxicity, fever, chills and vomiting, all lipid formulations
presented better profiles than the conventional formulation. The present
systematic review and meta-analysis showed that conventional amphotericin B
presents the same efficacy profile as lipid-based formulations, although the
latter were associated with a safer profile.
PMID- 27878880
TI - Highly Conformationally Restricted Cyclopropane Tethers with Three-Dimensional
Structural Diversity Drastically Enhance the Cell Permeability of Cyclic
Peptides.
AB - The conformation of cyclic peptides is closely related to their physicochemical
and biological properties, but their rational design to obtain a conformation
with the desired properties is difficult. Herein, we present a new strategy by
using conformationally restricted cyclopropane tethers (CPTs) to control the
conformation and improve the cell permeability of cyclic peptides regardless of
the amino acid sequence. Newly designed cis- or trans-CPTs with three-dimensional
structural diversity were introduced into a model cyclic peptide, and the
relationship between the conformation of the cyclic peptides and their cell
permeability was analyzed. Peptides containing a CPT exhibited conformational
diversity due to the characteristic steric feature of cyclopropane, among which
peptides containing a CPT, cis-NfCf had remarkably higher cell permeability than
peptides containing other CPTs-even superior to that of cyclosporine A, a known
permeable cyclic peptide.
PMID- 27878881
TI - Beneficial effect of extracellular adenosine 5'-triphosphate treatment on the
Indochinese leopard (Panthera pardus delacouri) sperm quality after
cryopreservation.
AB - The Indochinese leopard (Panthera pardus delacouri) population, included in CITES
Appendix I, has been declining for decades. Proper gamete preservation condition
is critical for breeding programme management using artificial insemination or in
vitro fertilization (IVF). The present study aimed at investigating the impact of
post-thawing treatment of leopard semen with extracellular adenosine 5'
triphosphate (ATPe) on sperm quality (including morphological traits and ability
to fertilize an oocyte). Semen from six adult male leopards was collected by
electroejaculation (one ejaculation per cat). After the evaluation of the fresh
sample quality, the semen was cryopreserved (10 * 106 cells per straw; two straws
per cat). After thawing, the sperm sample from the first straw of each cat was
divided into three aliquots: control (no ATPe), supplemented with 1.0 or 2.5 mM
ATPe that were evaluated for sperm quality at 10, 30 min and 3 hr post-thawing.
The sperm sample from the second straw, supplemented with 0, 1.0 or 2.5 mM ATPe
for 30 min, was assessed for IVF with domestic cat oocytes. Sperm quality (all
metrics) was negatively affected by the cryopreservation process (p <= .05).
However, the percentage of sperm motility, level of progressive motility and
percentage of plasma membrane integrity did not differ (p > .05) among post
thawing groups. The sperm mitochondrial membrane potential was enhanced (p <=
.05) by ATPe treatment (1.0 and 2.5 mM; 10 min to 3 hr of incubation).
Furthermore, incubation of ATPe (1.0 and 2.5 mM) for 30 min could promote sperm
velocity patterns (curvilinear velocity; VCL and straight line velocity; VSL) (p
<= .05). The percentage of pronuclear formation and cleaved embryos was increased
(p <= .05) after 1.0 ATPe treatment (49.8 +/- 2.8; 45.9 +/- 1.5) compared to 0 mM
(41.4 +/- 3.3; 38.9 +/- 0.5) whereas the number of sperm binding/oocyte did not
significantly differ among groups. In summary, we suggest that ATPe activated the
velocity of Indochinese leopard sperm motility that may lead to faster
sperm/oocyte binding and sperm penetration (factors of successful embryo
development).
PMID- 27878882
TI - Tamoxifen may cause life-threatening angioedema attacks in patients with
hereditary angioedema.
PMID- 27878884
TI - Development of the Chinese version of Meaning in Life Scale for cancer patients
and psychometric evaluation.
AB - AIMS AND OBJECTIVES: To develop a Meaning in Life Scale for cancer patients in
Chinese version and to test the validity and reliability. BACKGROUND: Meaning in
life is a protective factor of psychological well-being and is negatively related
to depression and demoralisation among cancer patients. The existing scales
measuring meaning in life are mostly designed in English and there is no scale
designed for Chinese cancer patients based on Chinese cultural background.
DESIGN: Process of instrument development and psychometric evaluation were used.
METHODS: Items were generated from literature review and a focus group interview.
Delphi technique was used to test the content validity. Item analysis and
exploratory factor analysis were performed with data from 251 cancer patients.
The internal consistency of the scale was tested by Cronbach's alpha. RESULTS: A
25-item Meaning in Life Scale in Chinese version with five domains was developed.
The five factors explained 62.686% of the variance. The Cronbach's alpha for the
total scale was 0.897. CONCLUSIONS: The Meaning in Life Scale in Chinese version
has acceptable internal consistency reliability and good content validity and
acceptable construct validity. The content of the scale reflected the attitudes
of cancer patients towards meaning in life based on Chinese cultural background.
RELEVANCE TO CLINICAL PRACTICE: The Chinese version of Meaning in Life Scale for
Cancer Patients appears to be a new scale to assess meaning in life among Chinese
cancer patients exactly and the concept of meaning in life presented in this
scale provides new ideas of meaning intervention in routine clinical practice.
PMID- 27878883
TI - School start time and sleep in Canadian adolescents.
AB - Insufficient sleep is a serious problem in adolescents and school start time is
thought to be a key contributor. This study provided the first comprehensive
assessment of school start times across Canada and examined whether school start
times were associated with sleep duration and tiredness among adolescents. We
collected information on school start times from 362 schools that participated in
the 2013/2014 Health Behaviour in School-aged Children study. We calculated sleep
duration from weekday bedtime and wake time reported by 29 635 students (aged 10
18 years). We classified weekday sleep as sufficient if it met national
recommendations, and used data on self-reported tiredness at school in the
morning. Random-effects regression models estimated the association of school
start time with sleep duration, sleep sufficiency and tiredness. On average,
schools started at 08:43 hours. Students slept an average of 8:36 h on weekdays
and 69% met sleep duration recommendations, but 60% reported feeling tired in the
morning. Every 10-min delay in school start time corresponded with 3.2 [95%
confidence interval (CI): 2.0, 4.5] additional minutes of sleep, a 1.6% (95% CI:
0.5, 2.8) greater probability of sufficient sleep and a 2.1% (95% CI: 1.0, 3.2)
smaller probability of feeling tired at school in the morning. Students from
schools that started later slept longer, were more likely to meet sleep
recommendations and were less likely to report feeling tired in the morning. The
study adds weight to the mounting evidence that delaying school start time
benefits adolescent sleep.
PMID- 27878885
TI - Beware when the hair turns dark again: clinical presentation and management of
melanoma in situ in a giant congenital naevus on the scalp.
PMID- 27878886
TI - Challenges in the nurse's role in rehabilitation contexts.
AB - AIM AND OBJECTIVE: To shed light on how nurses perceive particular challenges
that they experience in encounters with patients in rehabilitation wards.
BACKGROUND: Rehabilitation is a tailor-made process that allows someone with
impairment to live well. Many rehabilitation institutions embrace strong beliefs
in patient participation as well as awareness of and listening to the needs and
wishes of patients. To our knowledge, few studies have investigated the
challenges encountered by nurses from patients in rehabilitation contexts and how
these challenges might influence their roles as nurses. DESIGN AND METHODS: This
study has a qualitative design that is based on three focus group interviews with
nurses working in three rehabilitation wards. A convenience sample of 15 nurses,
five from each ward, was recruited to participate in focus group interviews. The
participants worked in wards for patients suffering mainly from stroke and head
injuries. The analysis is inspired by hermeneutic principles to explore the
participants' challenges in their role in rehabilitation contexts. RESULTS: Data
analyses identified three main themes surrounding the challenges experienced by
nurses: (1) Adjusting patients' and next of kin's expectations, (2) Tailoring
support and information, (3) Recognising patients' knowledge. CONCLUSION: Our
results from the three rehabilitation wards indicate that nurses display various
educative strategies. There is, however, a need for further empirical work into
how knowledgeable patients and next of kin create new challenges with
implications for the nurse's role within rehabilitation contexts. RELEVANCE TO
CLINICAL PRACTICE: The study highlights the educative aspects of the nurse's role
in relation to patients and next of kin on rehabilitation wards as significant
contributors to recovery processes.
PMID- 27878887
TI - Why do young adults tan?
PMID- 27878888
TI - Metabolomics Reveals that Momordica charantia Attenuates Metabolic Changes in
Experimental Obesity.
AB - Momordica charantia L., also known as bitter melon, has been shown to ameliorate
obesity and insulin resistance. However, metabolic changes regulated by M.
charantia in obesity are not clearly understood. In this study, serums obtained
from obese and M. charantia-treated mice were analyzed by using gas and liquid
chromatography-mass spectrometry, and multivariate statistical analysis was
performed by Orthogonal partial least squares discriminant analysis. The results
from this study indicated that body weight fat and insulin levels of obese mice
are dramatically suppressed by 8 weeks of dietary supplementation of M.
charantia. Metabolomic data revealed that overproductions of energy and nutrient
metabolism in obese mice were restored by M. charantia treatment. The
antiinflammatory and inhibition of insulin resistance effect of M. charantia in
obesity was illustrated with the restoration of free fatty acids and eicosanoids.
The findings achieved in this study further strengthen the therapeutic value of
using M. charantia to treat obesity. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27878890
TI - A qualitative exploration of anesthesia trainees' experiences during transition
to a children's hospital.
AB - BACKGROUND: The stresses of starting a new job can make anyone feel tired and
inefficient. In health care, this may impair the ability to learn at a time when
there is most to learn, and increase the risk of error in a context where errors
may lead to patient harm. AIM: The aim of this study was to understand issues
which influence anesthesia trainees' transition to a pediatric setting. METHODS:
This qualitative study utilized in-depth semi-structured interviews to gather
data from 31 anesthesia trainees who had commenced work at a tertiary children's
hospital between 4 and 6 weeks previously. Data were examined using thematic
analysis. RESULTS: Two key themes were identified: feeling ineffective, which
appeared to have both a cognitive component (feeling disoriented) and an
emotional component (feeling useless), and feeling anxious or afraid. Trainees
found the pediatric environment highly unfamiliar, which made them feel
disoriented, inefficient, and at times incompetent. Many experienced difficulty
identifying a useful role in a highly specialized area of practice, leading to
loss of identity as an expert clinician. Many described an ever-present fear of
making an anesthetic error or being unable to manage a rapidly evolving clinical
situation. Some trainees developed a negative mindset, which was reinforced by
subsequent perceived failures. Overall, these experiences impeded trainees'
ability to concentrate and learn. CONCLUSIONS: The impact of disorientation and
anxiety on anesthesia trainees as they adapt to a highly specialized clinical
environment such as a children's hospital should not be underestimated. Study
findings illustrate the importance of helping new trainees to feel less afraid,
more useful, and more realistic in assessing their own performance during the
transition period.
PMID- 27878889
TI - Decision making in drug safety-a literature review of criteria used to prioritize
newly detected safety issues.
AB - PURPOSE: In drug safety, there is a lack of guidance on how prioritization of
safety issues should be performed. The aim of this literature review is to
provide an overview of criteria used for signal prioritization and of the
associated decision support frameworks. METHODS: A search strategy was
constructed to identify relevant articles in Medline/Embase databases from the
period from 1 January 1995 to 31 August 2015. The prioritization criteria were
extracted and classified in relevant categories. RESULTS: From an initial set of
63 articles, 11 were retained for full review. The articles mentioned 48 criteria
used in the prioritization process, with a median of six criteria per study
[range: 1-16]. More than half of the criteria (63%), referred to strength of
evidence while 19% related to public health impact, 14% to general public and
media attention and 4% to novelty of the drug event association. Fifteen criteria
were tested for predictive value with 11 showing positive results, most of them
from the strength of evidence category. Six decision-making frameworks are
presented, which incorporate criteria from various categories. Five of these
frameworks were tested against expert decisions or by other means, but only in
one database each and for a limited set of products. CONCLUSIONS: There is a wide
range of prioritization criteria described in the literature; however, few of
them demonstrated predictive value. Many criteria with predictive value were
related to strength of evidence category and to novelty. There were few attempts
at integrating different criteria in decision support frameworks. Five of the
frameworks were tested for validity and showed usefulness, while at least three
are already in use for prioritization. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27878891
TI - Cloning and characterization of the glutamate dehydrogenase gene in Streptococcus
bovis.
AB - Streptococcus bovis, an etiologic agent of rumen acidosis in cattle, is a rumen
bacterium that can grow in a chemically defined medium containing ammonia as a
sole source of nitrogen. To understand its ability to assimilate inorganic
ammonia, we focused on the function of glutamate dehydrogenase. In order to
identify the gene encoding this enzyme, we first amplified an internal region of
the gene by using degenerate primers corresponding to hexameric family I and
NAD(P)+ binding motifs. Subsequently, inverse PCR was used to identify the whole
gene, comprising an open reading frame of 1350 bp that encodes 449 amino acid
residues that appear to have the substrate binding site of glutamate
dehydrogenase observed in other organisms. Upon introduction of a recombinant
plasmid harboring the gene into an Escherichia coli glutamate auxotroph lacking
glutamate dehydrogenase and glutamate synthase, the transformants gained the
ability to grow on minimal medium without glutamate supplementation. When cell
extracts of the transformant were resolved by blue native polyacrylamide gel
electrophoresis followed by activity staining, a single protein band appeared
that corresponded to the size of S. bovis glutamate dehydrogenase. Based on these
results, we concluded that the gene obtained encodes glutamate dehydrogenase in
S. bovis.
PMID- 27878893
TI - Survival outcomes of consolidation chemoradiotherapy in esophageal cancer
patients who achieve clinical complete response but refuse surgery after
neoadjuvant chemoradiotherapy.
AB - Some esophageal cancer patients may be reluctant to accept the scheduled
resection after neoadjuvant chemoradiotherapy (nCRT) because of its potential
negative impact on quality of life as a result of high morbidity. This study was
performed to investigate the survival outcomes of these patients. Between 2000
and 2012, we identified 190 patients with resectable esophageal squamous cell
carcinoma (ESCC) who did not proceed to surgery following nCRT. Subjects who had
a clinical complete response (cCR) and were medically fit for surgery were deemed
eligible. Survival rates, recurrence patterns, and risk factors for recurrence
served as the main outcome measures. The study cohort consisted of 73 patients
(67 males and 6 females; mean age: 61.3 years). The 5-year overall survival was
39.6% (median survival time: 46.77 months). Cancer recurrences were observed in
44 patients (60.2%), with locoregional recurrence (LR) being the most common
failure pattern (n = 35). Endoscopic findings after nCRT were the most important
independent predictor of LR identified in multivariate analysis. Compared with
the 'normal findings' subgroup, the odds ratios for LR in cCR patients who
refused surgery were 4.774 (P = 0.026) and 2.844 (P = 0.16) in the 'scar' and
'other findings' subgroups, respectively. Patients with 'normal findings' had the
lowest rate of LR (22.2%), with no recurrences occurring within the first 6
months. Sixty percent of ESCC patients who achieve cCR following nCRT but refuse
esophagectomy develop disease recurrence, with LR being the most common pattern.
Post-nCRT endoscopic findings may serve as a predictor for LR.
PMID- 27878892
TI - Influence of SIGLEC9 polymorphisms on COPD phenotypes including exacerbation
frequency.
AB - BACKGROUND AND OBJECTIVE: The exacerbation-prone phenotype of COPD is
particularly important, as exacerbations lead to poor quality of life and disease
progression. We previously found that COPD patients who lack Siglec-14, a myeloid
cell protein that recognizes bacteria and triggers inflammatory responses, are
less prone to exacerbation. We hypothesized that the variations in other SIGLEC
genes could also influence COPD exacerbation frequency, and investigated the
association between SIGLEC9 polymorphisms and the exacerbation-prone phenotype of
COPD. METHODS: We examined whether SIGLEC9 polymorphisms affect the frequency of
COPD exacerbation in 135 subjects within our study population, and also analysed
the correlation between the genotypes and the severity of airflow obstruction and
emphysema in 362 Japanese smokers including 244 COPD patients. The association
between these single nucleotide polymorphisms (SNPs) and COPD phenotypes were
also assessed in a Caucasian population of ECLIPSE study. The effects of these
coding SNPs (cSNPs) on Siglec-9 protein functions were analysed using in vitro
assays. RESULTS: The G allele of rs2075803 and rs2075803 G/rs2258983 A(GA)
haplotype in SIGLEC9 was associated with higher frequency of exacerbations and
the extent of emphysema in COPD. These results did not replicate in the ECLIPSE
study. A myeloid cell line expressing the Siglec-9 variant corresponding to GA
haplotype produced more TNF-alpha than the one expressing the variant
corresponding to the other major haplotype. CONCLUSION: The SIGLEC9 rs2075803
G/rs2258983 A haplotype, which corresponds to a Siglec-9 variant that is less
effective at suppressing inflammatory response, may be a risk factor for the
development of emphysema.
PMID- 27878894
TI - Downregulation of B-myb promotes senescence via the ROS-mediated p53/p21 pathway,
in vascular endothelial cells.
AB - OBJECTIVES: To reveal whether B-myb is involved in preventing senescence of
vascular endothelial cells, and if so, to identify possible mechanisms for it.
MATERIALS AND METHODS: C57/BL6 male mice and primary human aortic endothelial
cells (HAECs) were used. Bleomycin was applied to induce stress-related premature
senescence. B-myb knockdown was achieved using an siRNA technique and cell
senescence was assessed using the senescence-associated beta-galactosidase (SA
beta-gal) assay. Intracellular reactive oxygen species (ROS) production was
analysed using an ROS assay kit and cell proliferation was evaluated using
KFluor488 EdU kit. Capillary tube network formation was determined by Matrigel
assay. Expressions of mRNA and protein levels were detected by real-time PCR and
western blotting. RESULTS: B-myb expression significantly decreased, while p53
and p21 expressions increased in the aortas of aged mice. This expression pattern
was also found in replicative senescent HAECs and senescent HAECs induced by
bleomycin. B-myb knockdown resulted in upregulation of p22phox , ROS accumulation
and cell senescence of HAECs. Downregulation of B-myb significantly inhibited
cell proliferation and capillary tube network formation and activated the p53/p21
signalling pathway. Blocking ROS production or inhibiting p53 activation
remarkably attenuated SA-beta-gal activity and delayed cell senescence induced by
B-myb-silencing. CONCLUSION: Downregulation of B-myb induced senescence by
upregulation of p22phox and activation of the ROS/p53/p21 pathway, in our
vascular endothelial cells, suggesting that B-myb may be a novel candidate for
regulating cell senescence to protect against endothelial senescence-related
cardiovascular diseases.
PMID- 27878895
TI - Polymorphisms of RDH16 and VEGFR1 influence M. trapezius steatosis in Japanese
Black carcass.
AB - The exact cause of steatosis, one of defects in Japanese beef carcasses, has not
been elucidated to date, because it is very difficult to diagnose cyclopedically
with certain reproducibility due to the bias in the outbreak. Therefore, the
objective of this study was to assess the influence of polymorphisms in retinol
dehydrogenase 16 (RDH16), myoferlin (MYOF) and vascular endothelial growth factor
receptors 1 and 2 (VEGFR1, VEGFR2) on carcass-graded Musculus trapezius
steatosis. For logistic regression analysis, 646 carcasses shipped from 29 farms
in Miyazaki, Japan, were used. The GG genotype in RDH16 showed significant odds
ratios against AA and AG. In VEGFR1, CT had a significant odds ratio against CC.
After evaluating for interaction, highly significant odds ratios were observed in
the combinations that included the GG risk genotype in RDH16. It is noteworthy
that there was no steatosis in the combination GG (RDH16) and CC (VEGFR1). It may
be concluded that there is a possibility that steatosis can be suppressed by the
CC genotype in VEGFR1. The current study revealed the influence of genetic
polymorphisms on M. trapezius steatosis that had not been reported until now, and
may help elucidate the cause of steatosis.
PMID- 27878896
TI - Bonding performance of universal adhesives to er,cr:YSGG laser-irradiated enamel.
AB - Universal adhesives have been recently introduced for use as self-etch or etch
and-rinse adhesives depending on the dental substrate and clinical condition.
However, their bonding effectiveness to laser-irradiated enamel is still not well
known. Thus, the aim of this study was to compare the shear bond strength (SBS)
of universal adhesives (Single Bond Universal; Nova Compo-B Plus) applied to
Er,Cr:YSGG laser-irradiated enamel with SBS of the same adhesives applied in self
etch and acid-etching modes, respectively. Crown segments of sixty bovine
incisors were embedded into standardized acrylic blocks. Flattened enamel
surfaces were prepared. Specimens were divided into six groups according to
universal adhesives and application modes randomly (n = 10), as follows: Single
Bond Universal/acid-etching mode; Nova Compo-B Plus/acid-etching mode; Single
Bond Universal/self-etching mode; Nova Compo-B Plus/self-etching mode; and Single
Bond Universal/Er,Cr:YSGG Laser-etching mode; Nova Compo-B Plus/Er,Cr:YSGG Laser
etching mode. After surface treatments, universal adhesives were applied onto
surfaces. SBS was determined after storage in water for 24 h using a universal
testing machine with a crosshead speed of 0.5 mm min-1 . Failure modes were
evaluated using a stereomicroscope. Data was analyzed using two-way of analyses
of variances (ANOVA) (p = 0.05). Two-way ANOVA revealed that adhesive had no
effect on SBS (p = 0.88), but application mode significantly influenced SBS (p =
0.00). Acid-etching significantly increased SBS, whereas there are no significant
differences between self-etch mode and laser-etching for both adhesives. The bond
strength of universal adhesives may depend on application mode. Acid etching may
significantly increase bond strength, while laser etching may provide similar
bond strength when compared to self-etch mode.
PMID- 27878897
TI - Minimal immune determinants connect Zika virus, human Cytomegalovirus, and
Toxoplasma gondii to microcephaly-related human proteins.
AB - Aiming at the molecular definition of the relationship(s) between prenatal
infections and fetal microcephaly and using pentapeptides as minimal immune
determinants, we analyzed the peptide matching between proteins from infectious
agents involved in microcephalic syndromes (namely Zika virus, human
Cytomegalovirus, and Toxoplasma gondii) and human proteins that, when altered,
have been specifically associated with microcephaly. We report that an unexpected
high number of epitopic pentapeptides (ie, 34) are common to the three
fetopathogenic agents and repeatedly occur throughout an important number of
microcephaly-related human proteins. The data introduce the issue of multiple
cross-reactivity into the etiology on ZIKV-associated pathologies. Indeed, the
commonality of immune determinants might lead to a sequence of boostered immune
responses if the host undergoes different fetopathogenic infections, thus
temporally scanning a potential clinical progression toward brain malformations.
At this juncture, the past history of maternal infections/vaccinations might
dictate the fetal pathologic outcome.
PMID- 27878899
TI - Conformational analysis of a modified RGD adhesive sequence.
AB - The conformational preferences of the Arg-GlE-Asp sequence, where GlE is an
engineered amino acid bearing a 3,4-ethylenedioxythiophene (EDOT) ring as side
group, have been determined combining density functional theory calculations with
a well-established conformational search strategy. Although the Arg-GlE-Asp
sequence was designed to prepare a conducting polymer-peptide conjugate with
excellent electrochemical and bioadhesive properties, the behavior of such hybrid
material as adhesive biointerface is improvable. Results obtained in this work
prove that the bioactive characteristics of the parent Arg-Gly-Asp sequence
become unstable in Arg-GlE-Asp because of both the steric hindrance caused by the
EDOT side group and the repulsive interactions between the oxygen atoms belonging
to the backbone amide groups and the EDOT side group. Detailed analyses of the
conformational preferences identified in this work have been used to re-engineer
the Arg-GlE-Asp sequence for the future development of a new electroactive
conjugate with improved bioadhesive properties. The preparation of this new
conjugate is in progress. Copyright (c) 2016 European Peptide Society and John
Wiley & Sons, Ltd.
PMID- 27878898
TI - Feasibility and safety of peroral endoscopic myotomy for achalasia after failed
endoscopic interventions.
AB - With advances in natural orifice transluminal endoscopic surgery, peroral
endoscopic myotomy (POEM) has become a novel treatment for esophageal achalasia.
In this study, we investigated the feasibility and safety of POEM in patients
with achalasia after failed endoscopic interventions. Data on all patients
undergoing POEM treatment of achalasia were collected prospectively. We enrolled
61 patients who underwent POEM for achalasia between July 2011 and January 2014.
The preoperative intervention group included patients who had undergone botulinum
toxin injection or pneumatic balloon dilation before POEM. The preoperative,
operative, and short-term outcome data between the groups were compared. Among
preoperative intervention group, 22 patients received endoscopic therapy before
being referred for operation (18 dilation only, 2 botulinum toxin only, and 2
both treatments). Procedure time in the preoperative intervention group was
similar to the nonpreoperative intervention group (60.8 +/- 30.9 vs. 62.0 +/-
21.0 minutes, P = 0.863). Both groups demonstrated significant improvement in
Eckardt scores and manometric outcomes at 1-year follow-up. There were no
significant differences in pretreatment and posttreatment D-values of symptom
scores and lower esophageal sphincter pressures between groups (6.2 +/- 2.2 vs.
6.1 +/- 1.8, P = 0.840; 27.9 +/- 17.6 vs. 24.9 +/- 15.2; P = 0.569). There was
also no significant difference in the incidence of intraoperative complications
(P = 0.958) and gastroesophageal reflux rate (23.5% vs. 20.0%, P = 0.771) between
the two groups. Our study demonstrated that POEM is safe and effective, even for
treating achalasia in the setting of failed endoscopic interventions.
PMID- 27878901
TI - Radioactive cesium and potassium in cattle living in the 'zone in preparation for
the lifting of the evacuation order' of the Fukushima nuclear accident.
AB - To contribute to the reconstruction of livestock industry in Fukushima,
radioactive cesium (134 Cs, 137 Cs) and potassium (4 degrees K) were measured in
various tissues of beef cattle living in an area where the evacuation order will
be lifted in the near future. Radioactive cesium concentration was less than 100
Bq/kg in most of the samples. Skeletal muscles and kidney had the highest
concentrations of radioactive cesium, whereas the liver was lowest among samples,
excepting blood. Radioactive cesium concentration in the sirloin, tenderloin and
top round was significantly higher than that in the neck muscle. Radioactive
cesium concentration in the urine was not correlated with that in the blood, but
the relationship became proportional when corrected with urinary 4 degrees K.
Distribution of 4 degrees K was similar but not identical to that of radioactive
cesium. These results suggest that it will be possible to resume livestock
production in this area after the decontamination measures are completed and the
evacuation order is lifted. Contamination level of living cattle can be estimated
not only by blood samples but also by urine samples. If 50-100 Bq/kg of cesium is
detected in the neck muscle at a slaughterhouse, the cattle should be reinspected
using a sample from different muscles.
PMID- 27878903
TI - Striking the Right Balance: Police Experience, Perceptions and Use of Independent
Support Persons During Interviews Involving People with Intellectual Disability.
AB - BACKGROUND: Several jurisdictions mandate the presence of an independent support
person during police interviews with vulnerable people. The current study
investigated police officers' experiences and perceptions of these volunteers
during interviews with people with intellectual disability(ies) (ID). METHODS:
The sample comprised 229 police officers who attended a mandatory firearms
training course in Melbourne, Australia, in 2010. RESULTS: Participants commonly
reported utilizing independent support persons and displayed a fair understanding
of their role. Overall, volunteers were engaged more frequently than
family/friends; police considered the volunteers to be more impartial during
interviews, whereas family/friends provided a greater level of emotional support
to interviewees. CONCLUSIONS: Independent support persons need to demonstrate two
quite different types of support to people with intellectual disability(ies)
during police interviews; these require quite different skill sets and suggest
the need for more tailored training and support for these volunteers.
Implications for future research and policy are discussed.
PMID- 27878900
TI - Lesbian, gay and bisexual college student perspectives on disparities in weight
related behaviours and body image: a qualitative analysis.
AB - AIMS AND OBJECTIVES: To (1) explore college students' perceived sexual
orientation-related barriers to engaging in physical activity, eating healthfully
and maintaining healthy body images and (2) identify types of campus resources on
physical activity, healthy eating and body image available to lesbian, gay and
bisexual college students. BACKGROUND: Previous research has highlighted sexual
orientation disparities in weight status, physical activity, healthy eating and
body image. Despite this, little is known about the context surrounding these
disparities. DESIGN: Cross-sectional study using individual interviews. METHODS:
Thirty (15 males, 15 females) lesbian, gay, bisexual, queer and pansexual
identified college students, aged 18-30 years participated in the study. Quasi
inductive coding was used to analyse transcribed interview data and an iterative
coding process was used to organise data into themes. RESULTS: Many felt their
sexual orientation helped them be physically active, engage in healthful eating
habits and have a positive body image. However, sexual orientation was also
identified as a stressor that adversely impacted physical activity and eating
habits. CONCLUSIONS: Lesbian, gay and bisexual students may have to negotiate
their sexuality in ways that could adversely influence their physical activity,
eating habits and body image. Both clinical and institutional interventions
should be inclusive of all people, including lesbian, gay and bisexual, queer,
and pansexual students. Further, tailored interventions to meet the specific
health needs of sexual minority populations are needed. RELEVANCE TO CLINICAL
PRACTICE: Clinicians need to understand the context in which sexual minority
young adults experience health promotion messaging and programming. Clinic-based
tailored interventions are critical as part of a multi-faceted approach in
promoting physical activity and healthier eating habits for all young people,
including lesbian, gay, bisexual, queer and pansexual, to more effectively
address the prevention of chronic diseases.
PMID- 27878902
TI - A retrospective comparison of intrathecal morphine and epidural hydromorphone for
analgesia following posterior spinal fusion in adolescents with idiopathic
scoliosis.
AB - BACKGROUND: Posterior spinal fusion to correct idiopathic scoliosis is associated
with severe postoperative pain. Intrathecal morphine is commonly used for
analgesia after adolescent posterior spinal fusion; however, anticipating and
managing the increase in pain scores after resolution of analgesic effect of
intrathecal morphine analgesia is challenging. In 2014, we developed a clinical
protocol detailing both the administration of intrathecal morphine
intraoperatively and the transition to routine, scheduled oral analgesics at 18 h
postoperatively. The goal of our study was to examine the efficacy of our
intrathecal morphine protocol vs epidural hydromorphone for postoperative
analgesia after posterior spinal fusion. METHODS: Following IRB approval, we
retrospectively identified developmentally intact children of ages 10-20 years in
our electronic database with a diagnosis of idiopathic scoliosis who had
undergone elective posterior spinal fusion surgery from June 2014 to April 2015.
For the intrathecal morphine group, intrathecal morphine was administered in a
dose of 12 MUg.kg-1 (max 1000 MUg) prior to incision. Postoperatively, all
children in the intrathecal morphine group had an order to receive oral oxycodone
(0.1 mg.kg-1 , max 5 mg) starting at 18 h postintrathecal morphine injection. For
the epidural hydromorphone group, catheters were placed by the surgeon and
bolused with 5 MUg.kg-1 hydromorphone (max 200 MUg) and 1 MUg.kg-1 fentanyl (max
50 MUg), followed by a continuous infusion of 40-60 MUg.h-1 , and patient
controlled bolus doses of 5 MUg with a lockout interval of 30 min. All patients
in both groups had postoperative orders for acetaminophen, diazepam, and
ketorolac. RESULTS: During the study time period, 20 patients received
intrathecal morphine and were successfully matched with 20 patients who received
epidural hydromorphone. All patients in the intrathecal morphine group were
transitioned to oral analgesics on the first postoperative day, without need for
intravenous opioids after discharge from the postanesthesia care unit. Compared
to the epidural hydromorphone group, the intrathecal morphine group reported
lower pain scores in the postanesthesia care unit (difference in means -4.26 [95%
CI -6.56, -1.96], P = 0.001) and first 8 h after surgery (difference in means
1.88 [95% CI -3.84, 0.082, P = 0.060) and higher pain scores on the 2nd
postoperative day (difference in means 1.60 [95% CI 0.10, 3.10], P = 0.037). The
documented time to ambulation and time of Foley catheter removal were
statistically earlier in the intrathecal morphine group, and the hospital length
of stay was significantly shorter (3.0 +/- 0.5 days vs 3.5 +/- 0.7 days; P =
0.03). Adverse events did not significantly differ between the groups.
CONCLUSION: The efficacy of intraoperative intrathecal morphine for postoperative
analgesia in the posterior spinal fusion patient population has been shown
previously; however, the pain and analgesic trajectory, including transition to
other analgesics, has not previously been studied. Our findings suggest that for
many patients, use of intrathecal morphine in addition to routine administration
of nonopioid medications facilitates direct transition to oral analgesics in the
early postoperative period and earlier routine ambulation and discharge of
posterior spinal fusion patients.
PMID- 27878904
TI - Venous thromboembolism in patients with esophageal or gastric cancer undergoing
neoadjuvant chemotherapy.
AB - There is a well-established link between cancer and venous thromboembolism (VTE),
and patients receiving chemotherapy for esophageal or gastric cancer appear at
high risk of developing VTE. The incidence of VTE in the neoadjuvant setting in
these patients is poorly understood, as is the role for thromboprophylaxis during
neoadjuvant chemotherapy. A PubMed search was conducted using a combination of
terms including; esophageal & gastric cancer, deep venous thrombosis (DVT), VTE,
neoadjuvant, chemotherapy and chemoradiotherapy. One hundred and fifty-four
articles were retrieved and a narrative review was conducted. For patients with
esophageal and gastric cancer the incidence of VTE ranged from 4 to 19%. Gastric
cancer (Odds Ratio [OR] 6.38, [95% CI: 1.96-20.80]) and Stage III/IV disease, (OR
5.16 [95% CI: 1.29-20.73]) were identified as risk factors for developing VTE.
Neoadjuvant chemotherapy was identified as an independent risk factor for
developing VTE. Symptomatic and asymptomatic VTE have a similar effect on
mortality. Median overall survival for asymptomatic VTE was 13.9 months (95% CI:
5.0-infinity) versus 12.8 months (95% CI: 4.7-30.3) if the VTE was symptomatic.
Neoadjuvant chemotherapy is a significant risk factor for VTE in patients with
esophageal and gastric cancer. Intervention to minimize the risk using
pharmacological and mechanical thromboprophylaxis should be considered, and this
should start in the neoadjuvant period.
PMID- 27878905
TI - Effects of labial adhesion on maxillary arch dimensions and nasolabial esthetics
in cleft lip and palate: a systematic review.
AB - Labial adhesion is a preliminary surgical lip approximation used in the early
management of unilateral and bilateral cleft lip and palate. Our objective was to
evaluate its effects on the maxillary arch dimensions and nasolabial esthetics by
means of a systematic review. The literature search (1955-2016) was based on
MEDLINE via PubMed, Web of Science, EMBASE, and the Cochrane Library. Studies
with labial adhesion as a single preliminary step for definitive lip closure, in
combination with nasoalveolar molding or in combination with infant orthopedics
were considered eligible. Fifteen articles were identified (1978-2015), including
587 patients who underwent labial adhesion. Reduction in alveolar cleft width was
between 60.9% and 100% in unilateral cases and between 47.5% and 100% in
bilateral cases. Reduction in palatal cleft width was between 28.4% and 59.8% in
unilateral cases and between 37.5% and 50.5% in bilateral cases. No conclusive
results could be drawn about the effect on nasolabial esthetics. This systematic
review comprises the available literature of the last 50 years about labial
adhesion. It showed reduction of alveolar and palatal cleft width after a
treatment with labial adhesion with or without infant orthopedics. The treatment
effect on nasolabial esthetics, especially in the long term, remains unclear.
PMID- 27878906
TI - Simeprevir, daclatasvir and sofosbuvir for hepatitis C virus-infected patients
with decompensated liver disease.
AB - Approximately three million individuals in the United States are chronically
infected with hepatitis C virus (HCV). Chronic HCV infection may lead to the
development of compensated as well as decompensated liver cirrhosis. The Phase II
IMPACT study was conducted in HCV genotype 1- or 4-infected cirrhotic patients
with portal hypertension or decompensated liver disease and assessed for the
first time the combination of the three direct-acting antivirals simeprevir,
daclatasvir and sofosbuvir. Treatment-naive or treatment-experienced adults with
Child-Pugh (CP) score <7 (CP A) and evidence of portal hypertension, or CP score
7-9 (CP B), received 12 weeks of simeprevir 150 mg, daclatasvir 60 mg and
sofosbuvir 400 mg, once daily. The primary efficacy endpoint was sustained
virologic response 12 weeks after end of treatment (SVR12). Pharmacokinetics and
safety were also assessed. Overall, 40 patients were enrolled (CP A: 19; CP B:
21). All 40 patients achieved SVR12. At week 8, the mean pharmacokinetic exposure
to simeprevir, sofosbuvir, daclatasvir and GS-331007 (sofosbuvir metabolite) was
2.2-, 1.5-, 1.2- and 1.2-fold higher in patients with CP B than CP A,
respectively. Grade 1/2 adverse events (AEs) occurred in 26 of 40 (65%) patients.
One CP B patient had a Grade 3 AE (gastrointestinal haemorrhage), which was
reported as a serious AE but not considered related to study drugs. Treatment for
12 weeks with simeprevir, daclatasvir and sofosbuvir was generally safe and well
tolerated, and resulted in 100% of cirrhotic patients with portal hypertension or
decompensated liver disease achieving SVR12.
PMID- 27878907
TI - From Childhood Trauma to Self-Harm: An Investigation of Theoretical Pathways
among Female Prisoners.
AB - BACKGROUND: Despite empirical evidence suggesting complex associations between
childhood trauma and self-harm, there is a dearth of research investigating this
association in the female prison population. The current study explored pathways
to self-harm following childhood trauma, by investigating the mediating roles of
Post-traumatic Stress Disorder (PTSD) symptoms, emotion regulation and
dissociation, in this relationship, within a sample of 89 female prisoners.
METHODS: Cross-sectional, interview-format, questionnaire study within a female
prison population. Measures of childhood trauma, self-harm, PTSD, emotion
regulation and dissociation were administered. RESULTS: The majority of the
sample (58.4%) reported history of self-harm. Bootstrapped mediation analyses
indicated an indirect effect of emotion regulation on the relationship between
childhood trauma and self-harm. An indirect effect was also found for PTSD
arousal/reactivity cluster of symptoms. Multiple mediation analyses revealed that
interactional effects were present for emotion regulation and arousal/reactivity,
and emotion regulation and dissociation, respectively. CONCLUSION: Self-harm is
highly prevalent among female prisoners. Interventions promoting emotion
regulation and addressing arousal/reactivity symptoms following traumatization
may provide an effective way of addressing this problem. Copyright (c) 2016 John
Wiley & Sons, Ltd. KEY PRACTITIONER MESSAGES: Self-harm is highly prevalent
amongst female prisoners, occurring in 58.4% of this sample. Emotion regulation
and the arousal/reactivity symptom cluster of PTSD were found to mediate the
relationship between childhood trauma and self-harm, both independently and
simultaneously. Emotion regulation and dissociation were found to interactionally
mediate this relationship. Strategies targeting emotion dysregulation and
hyperarousal symptoms, amongst female prisoners who have experienced childhood
trauma, may be helpful in reducing self-harming behaviours.
PMID- 27878908
TI - Parents with Intellectual Disabilities Experiencing Challenging Child Routines: A
Pilot Study Using Embedded Self-Determination Practices.
AB - BACKGROUND: Practices to facilitate self-determination have not received
appropriate attention in research concerning parents with intellectual
disabilities (ID). Likewise, parenting interventions for adults with intellectual
disabilities have seldom observed both parent and child behavioural outcomes.
METHODS: This study evaluated the effectiveness of a parenting intervention
embedded with self-determination facilitation practices for two dyads of a parent
with intellectual disabilities and their young child. The interventions focused
on increasing parents' ability to correctly implement steps of a parenting
routine while reducing occurrence of challenging child behaviour. RESULTS: The
results of the study demonstrated two basic effects of correctly completed steps
of the parenting routine and a reduction of challenging child behaviour. Parents
also reported decreased feelings of stress during the routine following
completion of the intervention. CONCLUSIONS: Implications for future research and
replication of this pilot study are discussed.
PMID- 27878909
TI - Role of MCP-1 in pleural effusion development in a carrageenan-induced murine
model of pleurisy.
AB - BACKGROUND AND OBJECTIVE: Exudative pleural effusions affect over 1500 patients
per million population each year. The pathobiology of pleural exudate formation
remains unclear. Our recent study revealed monocyte chemotactic protein-1 (MCP-1)
as a key driver of fibrinolytic-induced exudate effusion while another study
found a role for MCP-1 in malignant effusion formation. In the present study, we
further evaluated the role of MCP-1 in the development of pleural effusion in a
mouse model of acute pleural inflammation. METHODS: lambda-Carrageenan (CAR) was
injected into the pleural cavity of CD1 mice and pleural effusion volume measured
up to 16 h post-injection. Pleural effusion and serum protein and MCP-1
concentrations were measured and differential cell counts performed in fluids.
Mice were also treated with either intraperitoneal (i) anti-MCP-1 antibody or
isotype control or (ii) an MCP-1 receptor (CCR2) antagonist or vehicle control 12
h prior to and at the time of CAR injection. RESULTS: Intrapleural CAR induced
significant pleural fluid accumulation (300.0 +/- 49.9 MUL) in mice after 4 h.
Pleural fluid MCP-1 concentrations were significantly higher than corresponding
serum MCP-1 (144 603 +/- 23 204 pg/mL vs 3703 +/- 801 pg/mL, P < 0.0001). A
significant decrease in pleural fluid formation was seen both with anti-MCP-1
antibody (median (interquartile range, IQR): 36 (0-168) MUL vs controls 290 (70
436) MUL; P = 0.02) or CCR2 antagonist (153 (30-222) MUL vs controls 240 (151
331) MUL, P = 0.0049). CONCLUSIONS: Blockade of MCP-1 activity significantly
reduced inflammatory pleural effusion formation in a CAR model. Together with
recent successes in MCP-1 blockade in other effusion formation models, our data
strongly support clinical evaluation of MCP-1 antagonists as a novel approach to
pleural fluid management.
PMID- 27878910
TI - Mothering with an Intellectual Disability: A Phenomenological Exploration of
Making Infant-Feeding Decisions.
AB - BACKGROUND: Mothers with intellectual disability are less likely than mothers
without intellectual disability to breastfeed their infants, but there is little
literature that addresses infant-feeding decisions among this population. This
study explores experiences of mothers with intellectual disability in making and
carrying out infant-feeding decisions. METHODS: Using a phenomenological
approach, in-depth interviews were conducted with four mothers with intellectual
disability. RESULTS: Analysis focused on making and carrying out infant-feeding
decisions. Three sub-themes of making infant-feeding decisions emerged: being
familiar with benefits of breastfeeding, owning the initial decision and
preparing for infant-feeding. Two sub-themes of carrying out infant-feeding
decisions transpired: facing challenges and receiving support. CONCLUSIONS: The
prenatal period was a crucial time for the mothers regarding infant-feeding
decisions. It is the time in which they felt the most decision-making power, yet
opportunities to prepare for possible challenges were missed.
PMID- 27878911
TI - Effect of increased feeding of dietary alpha-linolenic acid by grazing on
formation of the cis9,trans11-18:2 isoform of conjugated linoleic acid in bovine
milk.
AB - Feeding systems such as grazing affect the fatty acid profile of bovine milk fat.
In addition, milk fat is formed as the product of fatty acid metabolism in cow
bodies before being secreted into milk. However, how grazing influences milk
fatty acid profile through the metabolism has not been completely characterized.
When fatty acid concentrations in Holstein milk were compared between grazing and
non-grazing periods, alpha-linolenic acid was significantly higher in the grazing
period than in the non-grazing period. This could be explained with an increase
in alpha-linolenic acid feeding with grazing. alpha-linolenic acid had a linear
positive correlation with conjugated linoleic acid (9c,11t-18:2) (CLA) and
vaccenic acid (VA) during the grazing period, whereas CLA had higher correlation
with linoleic acid rather than with alpha-linolenic acid during the non-grazing
period. These data indicate that the high content of dietary alpha-linolenic acid
affects CLA and VA formation in milk of grazing periods via alpha-linolenic acid
metabolism into VA.
PMID- 27878912
TI - Maternal Sensitivity in Mothers with Mild Intellectual Disabilities is Related to
Experiences of Maltreatment and Predictive of Child Attachment: A Matched
Comparison Study.
AB - BACKGROUND: Scientific knowledge on the quality of caregiving/maternal
sensitivity among mothers with mild intellectual disabilities (ID) is limited and
subject to many methodological shortcomings, but seems to suggest that these
mothers are less sensitive than mothers without intellectual disabilities.
METHODS: In this matched-comparison study (N = 48), the present authors observed
maternal sensitivity for 20 min in four different laboratory play situations. The
study also included semi-structured interviews to assess maternal experiences of
maltreatment and child attachment. RESULTS: The present authors found
significantly lower sensitivity among mothers with intellectual disabilities than
among a comparison group of mothers without intellectual disabilities. Among
mothers with intellectual disabilities, low sensitivity was related to maternal
experiences of maltreatment and predictive of disorganized child attachment. In
the comparison group, high maternal sensitivity was related to partner presence
and social support, and predictive of child intelligence. CONCLUSIONS: The
present authors highlight the importance of attending to intellectual
disabilities mothers' history of receiving care to understand their capacity for
giving adequate care.
PMID- 27878913
TI - Response of an ovine laryngeal injury model to a novel fibrosis inhibitor.
AB - BACKGROUND: Vocal fold injury results in severe voice alteration that limits
occupational function and social interaction. An ovine model of laryngeal injury
has been developed, validated and utilized to examine laryngeal wound healing and
the effect of a novel collagen inhibitor (halofuginone) on surgical wound
healing. The study design includes basic research and animal model. METHODS: An
ovine laryngeal model was utilized to study controlled vocal fold injury and
healing. Twenty-five sheep were divided into five groups. Sheep underwent right
vocal fold injury preceded or followed by administration of halofuginone orally,
topically or intralesionally. Biopsies were taken at commencement, 1 month and
larynges explanted at 3 months. Specimens were examined for elastin and collagen
density and epithelial changes. Pearson correlation statistics and Student's t
tests were used to assess inter-relationships. RESULTS: All sheep tolerated
halofuginone. One sheep death occurred in an untreated sheep. Vocal fold tissue
demonstrated a predictable histological response to injury. Elastin was
significantly reduced post-injury in the glottis. Halofuginone administered
orally for 10 weeks prevented elastin loss and demonstrated a trend of reducing
collagen density post-injury. CONCLUSION: In an ovine laryngeal injury model,
administration of a fibrosis inhibitor resulted in altered elastin and collagen
deposition after injury in the glottis. Further investigation is warranted to
examine whether these tissue changes affect vocal fold dynamics.
PMID- 27878914
TI - Severe hypoglycemia rates are not associated with HbA1c: a cross-sectional
analysis of 3 contemporary pediatric diabetes registry databases.
AB - OBJECTIVE: To examine the association between glycated hemoglobin (HbA1c) and
severe hypoglycemia rates in patients with type 1 diabetes receiving usual care,
by analysing data from the US Type 1 Diabetes Exchange (T1DX), German/Austrian
Diabetes Patienten Verlaufsdokumenation (DPV), and Western Australian Children
Diabetes Database (WACDD) diabetes registries. METHODS: Data for patients with
type 1 diabetes, aged <18 years with a minimum duration of diabetes of 2 years,
were extracted from each registry for a 12-month observation period between 2011
and 2012 (7,102 T1DX, 18,887 DPV, and 865 WACDD). Rates of severe hypoglycemia
(self-reported loss of consciousness/convulsion) were estimated per 100 patient
years and analyzed by HbA1c, source registry, treatment regimen, and age group.
RESULTS: Overall, the severe hypoglycemia rate per 100 patient years was 7.1,
3.3, and 6.7 in T1DX, DPV, and WACDD patients, respectively. Lower HbA1c was not
associated with an increased rate of severe hypoglycemia when examined by source
registry, treatment regimen, or age group. CONCLUSION: An inverse relationship
between mean HbA1c and risk of severe hypoglycemia was not observed in this study
of 3, independent cohorts of children and adolescents with type 1 diabetes.
Investigation in other large, longitudinal cohorts is recommended to further
characterize the contemporary relationship between glycemic control and risk of
severe hypoglycemia rates in pediatric patients with type 1 diabetes.
PMID- 27878915
TI - Plant-phytopathogen interactions: bacterial responses to environmental and plant
stimuli.
AB - Plant pathogenic bacteria attack numerous agricultural crops, causing devastating
effects on plant productivity and yield. They survive in diverse environments,
both in plants, as pathogens, and also outside their hosts as saprophytes. Hence,
they are confronted with numerous changing environmental parameters. During
infection, plant pathogens have to deal with stressful conditions, such as
acidic, oxidative and osmotic stresses; anaerobiosis; plant defenses; and contact
with antimicrobial compounds. These adverse conditions can reduce bacterial
survival and compromise disease initiation and propagation. Successful bacterial
plant pathogens must detect potential hosts and also coordinate their possibly
conflicting programs for survival and virulence. Consequently, these bacteria
have a strong and finely tuned capacity for sensing and responding to
environmental and plant stimuli. This review summarizes our current knowledge of
the signals and genetic circuits that affect survival and virulence factor
expression in three important and well-studied plant pathogenic bacteria with
wide host ranges and the capacity for long-term environmental survival. These
are: Ralstonia solanacerarum, a vascular pathogen that causes wilt disease;
Agrobacterium tumefaciens, a biotrophic tumorigenic pathogen responsible for
crown gall disease and Dickeya, a brute force apoplastic pathogen responsible for
soft-rot disease.
PMID- 27878916
TI - Can the outcomes of mesenchymal stem cell-based therapy for myocardial infarction
be improved? Providing weapons and armour to cells.
AB - Use of mesenchymal stem cell (MSC) transplantation after myocardial infarction
(MI) has been found to have infarct-limiting effects in numerous experimental and
clinical studies. However, recent meta-analyses of randomized clinical trials on
MSC-based MI therapy have highlighted the need for improving its efficacy. There
are two principal approaches for increasing therapeutic effect of MSCs: (i)
preventing massive MSC death in ischaemic tissue and (ii) increasing production
of cardioreparative growth factors and cytokines with transplanted MSCs. In this
review, we aim to integrate our current understanding of genetic approaches that
are used for modification of MSCs to enable their improved survival, engraftment,
integration, proliferation and differentiation in the ischaemic heart. Genetic
modification of MSCs resulting in increased secretion of paracrine factors has
also been discussed. In addition, data on MSC preconditioning with physical,
chemical and pharmacological factors prior to transplantation are summarized. MSC
seeding on three-dimensional polymeric scaffolds facilitates formation of both
intercellular connections and contacts between cells and the extracellular
matrix, thereby enhancing cell viability and function. Use of genetic and non
genetic approaches to modify MSC function holds great promise for regenerative
therapy of myocardial ischaemic injury.
PMID- 27878917
TI - Subjective well-being of mental health nurses in the United Kingdom: Results of
an online survey.
AB - The aim of the present study was to measure the subjective well-being of a group
of 225 UK registered mental health nurses (MHN) using three survey measures, and
to identify whether certain demographic and workplace factors correlated with
subjective well-being measure scores. An online survey incorporating the
subjective well-being questions used by the Office for National Statistics, the
Satisfaction with Life Scale, and the Warwick Edinburgh Mental Well-Being Scale
was administered to members of two professional bodies for MHN. There was good
consistency between the three subjective well-being measures, each demonstrating
that UK MHN had a relatively low subjective well-being. Apart from the Office for
National Statistics question, 'Overall, to what extent do you feel the things you
do in your life are worthwhile?', demographic and workplace factors did not
correlate with subjective well-being measure scores, although the characteristics
of being male, living alone, and being aged 40-49 years were associated with
lower mean scores on all three measures. The findings of the exploratory study
suggest that a similar study should be undertaken with a larger representative
population of MHN, and that qualitative research should explore why and how UK
MHN have relatively low subjective well-being. The limitations of this study,
namely the response rate and sample representativeness, mean that the results of
the present study must be tested in further research on the MHN population.
PMID- 27878918
TI - Survey of antipsychotic medication curriculum content in Australian university
nursing programmes.
AB - Antipsychotic medication has long been one of the first-line interventions for
people with serious mental illness, with outcomes including reductions in
symptoms and relapse rates. More recently, however, questions have been raised
about the efficacy of antipsychotic medications, especially in light of their
side-effect profile. Such questions have implications for the nurses
administering antipsychotic medications, particularly in relation to their
knowledge of the antipsychotic medication, its efficacy, and side-effect profile.
Also important is the education of nursing students about antipsychotic
medications, their use, and management. The present study reports findings of
research that explored current curriculum content concerning
psychopharmacological treatment in Australian undergraduate and postgraduate
nursing programmes. Using a survey design, the research examined the content and
modes of delivery of this content to gauge how well students are prepared for
administering antipsychotic medication to people with serious mental illness.
Findings of the research suggested the need for improvement in preparing nursing
students to administer antipsychotic medication, including indications,
contraindications, as well as recognition and management of side-effects.
PMID- 27878919
TI - Effect of health status on fattening performance in young crossbred polish
Holstein-Friesian * Limousin Bulls and steers.
AB - The aim of this study was to determine the effect of disease incidence on
selected parameters of cattle fattening performance and carcass quality, and the
fatty acid profile of beef. The experimental materials comprised 16 bulls and 16
steers, Polish Holstein-Friesian * Limousin crossbreeds (including 10 healthy and
six treated animals of each category). At 5 weeks of age, bloodless castration
was carried out using a rubber elastrator. The calves were fed milk replacer
provided in automatic feeding stations. Until 540 days of age, the animals were
fattened in an Animal Research Laboratory equipped with the Roughage Intake
Control (RIC) system (Insentec, the Netherlands). In comparison with healthy
(untreated) bulls and steers, sick (treated) animals had lower average body
weight at 180 days of age, by 37 kg (P <= 0.05) and lower average final body
weight at 540 days of age, by 56 kg (P <= 0.05). Sick animals were characterized
by lower feed intake and worse feed efficiency (not statistically significant
differences). Hot carcass weight reached 318 kg in healthy animals and 258 kg in
treated bulls (P <= 0.05). In treated steers, the percentage of lean meat and
bones in the three-rib section was higher and the percentage of fat was lower,
compared with their healthy counterparts (P <= 0.01). There was a category *
health status interaction for carcass tissue composition. There were no
significant influences of type of sickness on analyzed traits. In comparison with
healthy steers, intramuscular fat of Musculus longissimus dorsi (MLD) from
treated steers had significantly (P <= 0.05) higher concentrations of
polyunsaturated fatty acids (n-6 and n-3) and a lower content of conjugated
linoleic acid.
PMID- 27878920
TI - 'Framed': Terminating the Parenting Rights of Parents with Intellectual
Disability in Iceland.
AB - BACKGROUND: The aim was to investigate the role of measured intellectual function
in framing parents as 'unfit' in child custody deprivation cases. METHOD:
Grounded theory was used to analyse a national sample of custody deprivation
cases in Iceland 2002-2014. RESULTS: The terminology used to evaluate and
describe the intellectual and developmental status of parents in child
deprivation custody cases served as a device to define and shape the 'unfit
parent'. Intellect itself, whether as low, average or even above average at times
acts as a master narrative which informs and explains all manner of perceived
parental deficiencies. CONCLUSION: The intellectual and developmental status
served as a yardstick of identifying, understanding and interpreting the unfit
parent. As a tool to achieve an end, parents were framed in language and culture
using underlying belief set to make sense of events and issues.
PMID- 27878921
TI - Systematic review of reusable versus disposable laparoscopic instruments: costs
and safety.
AB - BACKGROUND: The quality of instruments and surgical expertise in minimally
invasive surgery has developed markedly in the last two decades. Attention is now
being turned to ways to allow surgeons to adopt more cost-effective and
environmental-friendly approaches. This review explores current evidence on the
cost and environmental impact of reusable versus single-use instruments. In
addition, we aim to compare their quality, functionality and associated clinical
outcomes. METHOD: The Medline and EMBASE databases were searched for relevant
literature from January 2000 to May 2015. Subject headings were Equipment Reuse/,
Disposable Equipment/, Cholecystectomy/, Laparoscopic/, Laparoscopy/, Surgical
Instruments/, Medical Waste Disposal/, Waste Management/, Medical Waste/,
Environmental Sustainability/ and Sterilization/. RESULTS: There are few
objective comparative analyses between single-use versus reusable instruments.
Current evidence suggests that limiting use of disposal instruments to necessity
may hold both economical and environmental advantages. Theoretical advantages of
single-use instruments in quality, safety, sterility, ease of use and importantly
patient outcomes have rarely been examined. Cost-saving methods, environmental
friendly methods, global operative costs, hidden costs, sterilization methods and
quality assurance systems vary greatly between studies making it difficult to
gain an overview of the comparison between single-use and reusable instruments.
CONCLUSIONS: Further examination of cost comparisons between disposable and
reusable instruments is necessary while externalized environmental costs,
instrument function and safety are also important to consider in future studies.
PMID- 27878922
TI - A NodD-like protein activates transcription of genes involved with naringenin
degradation in a flavonoid-dependent manner in Herbaspirillum seropedicae.
AB - Herbaspirillum seropedicae is an associative, endophytic non-nodulating
diazotrophic bacterium that colonises several grasses. An ORF encoding a LysR
type transcriptional regulator, very similar to NodD proteins of rhizobia, was
identified in its genome. This nodD-like gene, named fdeR, is divergently
transcribed from an operon encoding enzymes involved in flavonoid degradation
(fde operon). Apigenin, chrysin, luteolin and naringenin strongly induce
transcription of the fde operon, but not that of the fdeR, in an FdeR-dependent
manner. The intergenic region between fdeR and fdeA contains several generic LysR
consensus sequences (T-N11 -A) and we propose a binding site for FdeR, which is
conserved in other bacteria. DNase I foot-printing revealed that the interaction
with the FdeR binding site is modified by the four flavonoids that stimulate
transcription of the fde operon. Moreover, FdeR binds naringenin and chrysin as
shown by isothermal titration calorimetry. Interestingly, FdeR also binds in
vitro to the nod-box from the nodABC operon of Rhizobium sp. NGR234 and is able
to activate its transcription in vivo. These results show that FdeR exhibits two
features of rhizobial NodD proteins: nod-box recognition and flavonoid-dependent
transcription activation, but its role in H. seropedicae and related organisms
seems to have evolved to control flavonoid metabolism.
PMID- 27878923
TI - Prevalence, Trends and Custody Among Children of Parents with Intellectual
Disabilities in Norway.
AB - BACKGROUND: This study addresses children of parents with intellectual disability
in Norway. The aim was to examine: (i) the impact of definitions of intellectual
disability on prevalence, (ii) whether numbers were increasing, (iii) the
prevalence of motherhood and fatherhood and (iv) rates of lost custody. METHODS:
Analyses of national registers (n = 30 834) and mapping in four municipalities (n
= 85). RESULTS: 0.19% of all children had parents with recorded intellectual
disability, increasing to 0.87% with wider inclusion criteria. The number of
children born to parents with intellectual disability has been declining since
the mid-1980s. The proportion of mothers with intellectual disability was twice
that of fathers. Parental custody was revoked for 30-50% of children, with single
mothers being at particular risk. Parents with intellectual disability accounted
for 20-25% of all custody cases. CONCLUSIONS: The results show that prevalence
depends on the definition of intellectual disability. The decreasing number of
children and the need for development of specially adapted family supports are
discussed.
PMID- 27878924
TI - Differences in rumen fermentation characteristics between low-yield and high
yield dairy cows in early lactation.
AB - Relationship between rumen fermentation parameters, blood biochemical profiles
and milk production traits in different yielding dairy cows during early
lactation was investigated. Twelve dairy cows were divided into two groups based
on their milk yield, that is low-yield (LY) and high-yield (HY) groups. Rumen
fluid and blood were collected at 3 weeks prepartum and 4, 8 and 12 weeks
postpartum. Results showed that proportions of acetate, propionate to total short
chain fatty acids and acetate : propionate ratio were changed (P < 0.05) in both
groups during the peripartum period, whereas butyrate and acetate : butyrate
ratio were only altered in the HY group. Blood cholesterol, beta-hydroxybutyric
acid (BHBA) and glutamic oxaloacetic transaminase in the HY group were higher (P
< 0.01) than those in the LY group. Principal component analysis revealed that
milk yield and milk compositions were differently clustered between groups. These
parameters showed similar direction with dry matter intake in the HY group and
adverse direction in the LY group. Linear regression analysis indicated that
butyrate was positively correlated with BHBA (P < 0.05) in the HY group. This
study suggests that cows in the HY group seem to accommodate appropriately to
negative energy balance in early lactation through rumen fermentation.
PMID- 27878926
TI - The Effects of DWI-Infarct Lesion Volume on DWI-FLAIR Mismatch: Is There a Need
for Size Stratification?
AB - BACKGROUND: The lack of fluid-attenuated inversion-recovery (FLAIR)
hyperintensity in areas of diffusion-weighted imaging (DWI) high signal, or DWI
FLAIR mismatch, is a potential imaging biomarker for timing of stroke onset. We
aimed to determine the effects of DWI infarct lesion volume on DWI-FLAIR mismatch
and its accuracy for identification of strokes within intravenous (IV) the
thrombolytic therapy window. METHODS: Acute ischemic stroke patients with
magnetic resonance imaging scan within 12 hours of witnessed stroke were
included. Two neuroradiologists independently reviewed DWI and FLAIR sequences
for DWI-FLAIR mismatch in areas of restricted diffusion compared to the
contralateral normal side. RESULTS: DWI-FLAIR mismatch was identified in 21/82
(26%) patients. Infarct lesions with DWI-FLAIR mismatch were scanned earlier (3.8
+/- .3 vs. 7.5 +/- .3 hours from onset, P < .001) and were smaller in size (8.9+/
2.3 vs. 43.1+/-11.9 mL, P = .007) compared to lesions without mismatch.
Multivariate regression analysis showed a significant interaction between lesion
volume and time-from-onset in relationship with the presence of DWI-FLAIR
mismatch (P = .045). The presence of DWI-FLAIR mismatch had 56% sensitivity, 83%
specificity, 48% positive predictive value (PPV), and 87% negative predictive
value (NPV) for identification of infarction within 4.5 hours of symptom onset;
while for infarct lesions >15 mL, the DWI-FLAIR mismatch had 100% specificity and
PPV for acute infarcts within 4.5 hours of onset. CONCLUSION: The effects of
stroke onset-to-scan time gap on DWI-FLAIR mismatch are not the same for
different DWI lesion volumes. At DWI lesion volumes >15 mL, the DWI-FLAIR
mismatch is highly specific for acute infarcts within IV thrombolytic therapy
time, and can identify wake-up stroke patients eligible for treatment.
PMID- 27878927
TI - The septin protein Sep4 facilitates host infection by plant fungal pathogens via
mediating initiation of infection structure formation.
AB - Many phytopathogenic fungi use infection structures (IFSs, i.e., appressoria and
infection cushions) to penetrate host cuticles. However, the conserved mechanisms
that mediate initiation of IFS formation in divergent pathogens upon sensing the
presence of host plants remain obscure. Here, we demonstrate that a conserved
septin gene SEP4 plays crucial roles in this process. Disruption of SEP4 in the
plant grey mould fungus Botrytis cinerea completely blocked IFS formation and
abolished the virulence of DeltaBcsep4 mutants on unwounded hosts. During IFS
formation, mutants lacking SEP4 could produce reactive oxygen species (ROS)
normally. Inhibition of ROS production in strains harbouring the SEP4 gene
resulted in disordered assembly of Sep4 and the subsequent failure to form
infection cushions, suggesting that proper Sep4 assembly regulated by ROS is
required for initiation of IFS formation and infection. Moreover, loss of SEP4
severely impaired mutant conidiation, melanin and chitin accumulation in hyphal
tips and lesion expansion on wounded hosts, but significantly promoted germ tube
elongation and sclerotium production. SEP4-mediated fungal pathogenic
development, including IFS formation, was validated in the hemibiotroph
Magnaporthe oryzae. Our findings indicate that Sep4 plays pleiotropic roles in B.
cinerea development and specifically facilities host infection by mediating
initiation of IFS formation in divergent plant fungal pathogens in response to
ROS signaling.
PMID- 27878925
TI - Left ventricular deformation at rest predicts exercise-induced elevation in
pulmonary artery wedge pressure in patients with unexplained dyspnoea.
AB - AIMS: Impaired left ventricular (LV) deformation despite preserved LV ejection
fraction (LVEF) is common and predicts outcomes in heart failure with preserved
LVEF. We hypothesized that impaired LV deformation at rest is a marker of
impaired cardiac systolic and diastolic reserve, and aimed to determine whether
resting longitudinal (LS) and circumferential strain (CS) are associated with
invasively measured haemodynamic response to exercise in patients with dyspnoea
and a normal LVEF. METHODS AND RESULTS: We studied 85 patients with LVEF >=50%
and free of significant valvular disease who were referred for evaluation of
dyspnoea. All patients underwent rest echocardiography followed by right heart
catheterization and cardiopulmonary exercise testing with concomitant invasive
haemodynamic monitoring. The LS, CS and CS/LS ratio were measured by two
dimensional speckle-tracking echocardiography at rest. Lower absolute LS at rest
was associated with greater increase in pulmonary arterial wedge pressure (PAWP)
from rest to peak exercise (r = 0.23, P = 0.034). In contrast, higher absolute CS
at rest predicted a greater increase in PAWP (r = - 0.27, P = 0.032) and greater
stroke volume augmentation with exercise (r = - 0.26, P = 0.021). Higher CS/LS
ratio was most predictive of elevation in PAWP with exercise (r = 0.30, P =
0.015). Of the measures of LV systolic and diastolic function assessed, the CS/LS
ratio resulted in the highest area under the curve and specificity for the
presence of rest- or exercise-induced pulmonary venous hypertension. CONCLUSION:
Left ventricular deformation at rest predicts exercise-induced rise in PAWP among
patients with dyspnoea and a preserved LVEF. A pattern of rest deformation
characterized by worse LS and exaggerated CS is most strongly associated with
exercise-induced rise in PAWP.
PMID- 27878928
TI - Sentinel lymph node biopsy for early oral cancers: Westmead Hospital experience.
AB - BACKGROUND: Sentinel lymph node biopsy (SLNB) has become an alternative option to
elective neck dissection (END) for early oral cavity squamous cell carcinoma
(OCSCC) outside of Australia. We sought to assess the technical feasibility of
SLNB and validate its accuracy against that of END in an Australian setting.
METHODS: We performed a prospective cohort study consisting of 30 consecutive
patients with cT1-2 N0 OCSCC referred to the Head and Neck Cancer Service,
Westmead Hospital, Sydney, between 2011 and 2014. All patients underwent SLNB
followed by immediate selective neck dissection (levels I-III). RESULTS: A total
of 30 patients were diagnosed with an early clinically node-negative OCSCC (seven
cT1 and 23 cT2), with the majority located on the oral tongue. A median of three
(range: 1-14) sentinel nodes were identified on lymphoscintigraphy, and all
sentinel nodes were successfully retrieved, with 50% having a pathologically
positive sentinel node. No false-negative sentinel nodes were identified using
selective neck dissection as the gold standard. The negative predictive value
(NPV) of SLNB was 100%, with 40% having a sentinel node identified outside the
field of planned neck dissection on lymphoscintigraphy. Of these, one patient had
a positive sentinel node outside of the ipsilateral supraomohyoid neck dissection
template. CONCLUSION: SLNB for early OCSCC is technically feasible in an
Australian setting. It has a high NPV and can potentially identify at-risk
lymphatic basins outside the traditional selective neck dissection levels even in
well-lateralized lesions.
PMID- 27878929
TI - Ambulatory glucose profile analysis of the juvenile diabetes research foundation
continuous glucose monitoring dataset-Applications to the pediatric diabetes
population.
AB - BACKGROUND: Increased continuous glucose monitor (CGM) use presents both the
benefit and burden of increased data for clinicians to rapidly analyze. The
ambulatory glucose profile (AGP) is an evolving a universal software report for
CGM data analysis. OBJECTIVES/HYPOTHESES: We utilized the Juvenile Diabetes
Research Foundation-CGM dataset to evaluate the AGP across a broad spectrum of
patients to show how AGP can be used clinically to assist with CGM-related
decision making. We hypothesized that AGP metrics would be different across age
and HbA1c strata. SUBJECTS: AGPs were generated from the JDRF-CGM trial dataset
for all periods during which there were >=10 days of CGM coverage in the 2 weeks
adjacent to an HbA1c measurement yielding 1101 AGPs for 393 unique subjects.
METHODS: AGPs were stratified by age group (8-14, 15-24, and >=25 years) and
HbA1c (within or above target for age) and compared for between group differences
in AGP metrics via two-factor ANOVA. Glycemic differences between time periods
were analyzed via segmented regression analysis. RESULTS: Glucose exposure
(average and estimated A1c) and variability (standard deviation and interquartile
range) were different between the low and high HbA1c levels. Within a given HbA1c
level all age groups were significantly different from each other with older
patients having lower averages with less variability than younger patients.
CONCLUSIONS: AGP analysis of the JDRF-CGM data highlights significant differences
in glycemic profiles between pediatric and adult age groups and between well and
less well-controlled patient populations.
PMID- 27878930
TI - Good Enough Support? Exploring the Attitudes, Knowledge and Experiences of
Practitioners in Social Services and Child Welfare Working with Mothers with
Intellectual Disability.
AB - BACKGROUND: This study examined the attitudes, knowledge and experiences of
practitioners in social services and child welfare working with mothers with
intellectual disability. METHOD: The authors used a national survey, which was
completed by 329 participants. Descriptive statistics and frequency tables were
generated, and the associations between variables and differences between various
subgroups of the sample were made. The open questions were analysed using the
processes involved in content analysis. RESULTS: The findings indicated that the
participants had limited knowledge of available supports and services for mothers
with intellectual disability. Furthermore, many participants believed that
mothers with intellectual disability should have an abortion should they become
pregnant. The findings show practitioners' stereotyped attitudes towards mothers
with intellectual disability. CONCLUSIONS: Workers in the area of social services
and child welfare need access to training and professional development in the
area of supporting mothers with intellectual disability.
PMID- 27878932
TI - Glycerol metabolism and transport in yeast and fungi: established knowledge and
ambiguities.
AB - There is huge variability among yeasts with regard to their efficiency in
utilizing glycerol as the sole source of carbon and energy. Certain species show
growth rates with glycerol comparable to those reached with glucose as carbon
source; others are virtually unable to utilize glycerol, especially in synthetic
medium. Most of our current knowledge regarding glycerol uptake and catabolic
pathways has been gained from studying laboratory strains of the model yeast
Saccharomyces cerevisiae. The growth of these strains on glycerol is dependent on
the presence of medium supplements such as amino acids and nucleobases. In
contrast, there is only fragmentary knowledge about S. cerevisiae isolates able
to grow in synthetic glycerol medium without such supplements as well as about
growth of non-Saccharomyces yeast species on glycerol. Thus, more research is
required to understand why certain strains and species show superior growth
performance on glycerol compared with common S. cerevisiae laboratory strains.
This mini-review summarizes what is known so far about the gene products and
pathways involved in glycerol metabolism and transport in yeast and fungi as well
as the regulation of these processes.
PMID- 27878933
TI - Psychiatric disorders during early adulthood in those with childhood onset type 1
diabetes: Rates and clinical risk factors from population-based follow-up.
AB - AIM: To determine the incidence of and risk factors for psychiatric disorders in
early adulthood in patients with childhood onset type 1 diabetes (T1D). METHODS:
In this retrospective-cohort study, we identified a population-based childhood
onset T1D cohort and an age and sex matched (5:1) non-diabetic comparison cohort.
Data linkage was used to access inpatient hospitalization data, mental health
support service data, and mortality data to follow-up both cohorts into early
adulthood. RESULTS: The mean age of T1D diagnosis was 9.5 years (SD 4.1), with a
mean age at end of follow-up of 26.4 years (SD 5.2, max 37.7). The diagnosis of
any psychiatric disorder was observed for 187 of 1302 (14.3%) in the T1D cohort
and 400 of 6422 (6.2%) in the comparison cohort [adjusted hazard ratio (HR) 2.3;
95% CI 1.9, 2.7]. Anxiety, eating, mood, and personality and behaviour disorders
were observed at higher rates within the T1D cohort. Comorbid psychiatric
disorders were more frequent, at the cohort level, within the T1D cohort (2-3
disorders 3.76% vs 1.56%) and service utilization was higher (15+ contacts 6.8%
vs 2.8%); though these differences did not remain when restricted to only those
individuals diagnosed during follow-up. A history of poor glycaemic control was
associated with an increased risk of anxiety, mood, and 'any' disorder (HR
ranging from 1.35 to 1.42 for each 1% increase in mean paediatric HbA1c).
CONCLUSION: Our findings highlight the need for access to mental health support
services as part of routine patient care for young adults with T1D, and for
better predictive tools to facilitate targeting at-risk patients with early
intervention programs.
PMID- 27878934
TI - Two degradation strategies for overcoming the recalcitrance of natural
lignocellulosic xylan by polysaccharides-binding GH10 and GH11 xylanases of
filamentous fungi.
AB - The recalcitrance of lignocellulose forms a strong barrier for the bioconversion
of lignocellulosic biomass in chemical or biofuel industries. Filamentous fungi
are major plant biomass decomposer, and capable of forming all the required
enzymes. Here, they characterized the GH10 and GH11 endo-xylanases and a CE1
acetyl-xylan esterase (Axe1) from a superior biomass-degrading strain,
Aspergillus fumigatus Z5, and examined how they interact in xylan degradation.
Cellulose-binding (CBM1) domain inhibited GH10 xylanase activities for pure
xylan, but afforded them an ability to hydrolyze washed corncob particles (WCCP).
CBM1-containing GH10 xylanases also showed synergism with CBM1-containing Axe1 in
WCCP hydrolysis, and this synergy was strictly dependent on the presence of their
CBM1 domains. In contrast, GH11 xylanases had no CBM1, but still could bind xylan
and hydrolyzed WCCP; however, no synergism displayed with Axe1. GH10 xylanases
and GH11 xylanases showed a pronounced synergism in WCCP hydrolysis, which was
dependent on the presence of the CBM1 in GH10 xylanases and absence from GH11
xylanases. They exhibit different mechanisms to bind to cellulose and xylan, and
act in synergy when these two structures are intact. These findings will be
helpful for the further development of highly efficient enzyme mixtures for
lignocellulosic biomass conversion.
PMID- 27878935
TI - Public and patient involvement in health policy: A continuously growing field.
PMID- 27878936
TI - Citizens' preferences on healthcare expenditure allocation: evidence from Greece.
AB - BACKGROUND OF CONTEXT: Priority setting and resource allocation across various
healthcare functions are critical issues in health policy and strategic decision
making. As health resources are limited while there are so many health challenges
to resolve, consumers and payers have to make difficult decisions about
expenditure allocation. OBJECTIVE: Our research focus on the (dis)agreement
between citizens' preferences and actual public health expenditure across broad
healthcare functions, on whether this (dis)agreement is persistent, on whether
various demographic factors amplify this (dis)agreement and to derive useful
implications for public health policies. SETTING AND PARTICIPANTS: Using survey
data of 3029 citizens in Greece for the year 2012 and employing logit estimation
techniques, we analysed the effect of demographic and other factors in shaping
citizens' (dis)agreement with public health expenditure allocation. RESULTS: Our
results demonstrate the important role of income, family members and residence in
shaping citizens' preferences regarding health expenditure priorities in almost
all healthcare functions, while other demographic factors such as job, age,
gender and marital status do partly associate and play a significant role.
CONCLUSIONS: Government should encourage the citizens' participation in the
decision-making process in order to eliminate the unveiled and significant
disagreement between citizens' preferences and actual public health expenditure
across all healthcare functions.
PMID- 27878938
TI - The Impact of Affiliate Stigma on the Psychological Well-Being of Mothers of
Children with Specific Learning Disabilities in India: The Mediating Role of
Subjective Burden.
AB - BACKGROUND: Knowledge of the impact of affiliate stigma on the wellbeing of
caregivers to children with specific learning disability (SLD) in India is
limited. To fill in this gap in knowledge a cross-sectional quantitative study
was undertaken to assess the impact of affiliate stigma on the psychological well
being of mothers with children with SLD in India, and test the mediating role of
subjective burden, using an adapted version of Pearlin's stress and adaptation
model. METHODS: Sample included 100 mothers of children with SLD. Data was
collected using a structured interview schedule. Descriptive statistics,
correlation and stepwise regression were used as the primary analytic tools.
RESULTS: Affiliate stigma experienced by mothers significantly predicted low
levels of psychological well-being and subjective burden mediated the
relationship between affiliate stigma and psychological wellbeing. CONCLUSIONS:
The findings call for developing targeted interventions to address affiliate
stigma and positive appraisal of the caregiving situation, with the goal of
improving the psychological well-being of mothers of children with SLD.
PMID- 27878940
TI - People, processes, and systems: An observational study of the role of technology
in rural youth mental health services.
AB - The merits of technology-based mental health service reform have been widely
debated among academics, practitioners, and policy makers. The design of new
technologies must first be predicated on a detailed appreciation of how the
mental health system works before it can be improved or changed through the
introduction of new products and services. Further work is required to better
understand the nature of face-to-face mental health work and to translate this
knowledge to computer scientists and system designers responsible for creating
technology-based solutions. Intensive observation of day-to-day work within two
rural youth mental health services in South Australia, Australia, was undertaken
to understand how technology could be designed and implemented to enhance young
people's engagement with services and improve their experience of help seeking.
Data were analysed through a lens of complexity theory. Results highlight the
variety of professional roles and services that can comprise the mental health
system. The level of interconnectedness evident in the system contrasted with
high levels of service self-organization and disjointed information flow. A
mental health professional's work was guided by two main constructs: risk and
engagement. Most clients presented with a profile of disability, disadvantage,
and isolation, so complex client presentations and decision-making were core
practices. Clients (and frequently, their families) engaged with services in a
crisis-dependent manner, characterized by multiple disengagements and re
engagements over time. While significant opportunities exist to integrate
technology into existing youth mental health services, technologies for this
space must be usable for a broad range of medical, psychological and cognitive
disability, social disadvantage, and accommodate repeat cycles of
engagement/disengagement over time.
PMID- 27878941
TI - The Social-Emotional Well-Being of Children of Mothers with Intellectual
Impairment: A Population-Based Analysis.
AB - BACKGROUND: Children of parents with intellectual impairment are thought to be at
risk for poor social-emotional well-being. This study investigated the
relationship between maternal intellectual impairment and poor child social
emotional well-being. METHOD: Secondary analysis of data from waves 2-4 of the
Millennium Cohort Study (UK). Social-emotional well-being was measured by
maternal report at Waves 2-4, with teacher and child self-report at Wave 4.
Bivariate and multivariate analyses were conducted. RESULTS: Unadjusted, maternal
intellectual impairment was associated with an elevated risk of overall poor
social-emotional well-being at ages 3 and 5, but not at age 7. After controlling
for individual, family and environmental characteristics, no statistically
significant association was found between maternal intellectual impairment and
poor child social-emotional well-being. CONCLUSIONS: Children of mothers with
intellectual impairment are more likely than their peers to be exposed to adverse
living conditions. These living conditions may explain, at least in part, why
these children face a heightened risk of poor social-emotional well-being at ages
3 and 5. Improving the living conditions of mothers with intellectual impairment
may offer a pathway to child social-emotional well-being.
PMID- 27878939
TI - Botulinum toxin A for palmar hyperhidrosis: assessment with sympathetic skin
responses evoked by train of stimuli.
AB - Objective assessment of the effect of botulinum toxin A (BT) treatment in primary
palmar hyperhidrosis (PH) is attempted by different methods. We decided to use
for this purpose sympathetic skin responses evoked by train of stimuli (TSSR).
Twenty patients with severe PH (five female, median age 24, range 18-36) were
examined regularly over 3 months after receiving 50 UI BT in each palm. TSSR were
recorded from the palms after sensory stimulation by a train of three
supramaximal electric pulses 3 millisecond apart. Results were compared to
longitudinally studied TSSR of 20 healthy sex- and age-matched control subjects.
All hyperhidrosis patients reported excellent improvement. TSSR amplitudes
decreased at week 1 (mean 54% range 48%-67%) and over the following months in a
clinically significant trend (slope R=-.82, P<.0001). TSSR in controls changed
insignificantly (+/-13% from the baseline). The difference between patients and
controls was highly significant at any time point (P<.001). This study suggests
that TSSR may help in assessment of treatments in PH. It confirms objectively the
efficacy of BT in PH.
PMID- 27878937
TI - Patient and family involvement in adult critical and intensive care settings: a
scoping review.
AB - BACKGROUND: Despite international bodies calling for increased patient and family
involvement, these concepts remain poorly defined within literature on critical
and intensive care settings. OBJECTIVE: This scoping review investigates the
extent and range of literature on patient and family involvement in critical and
intensive care settings. Methodological and empirical gaps are identified, and a
future agenda for research into optimizing patient and family involvement is
outlined. METHODS: Searches of MEDLINE, CINAHL, Social Work Abstracts and
PsycINFO were conducted. English-language articles published between 2003 and
2014 were retrieved. Articles were included if the studies were undertaken in an
intensive care or critical care setting, addressed the topic of patient and
family involvement, included a sample of adult critical care patients, their
families and/or critical care providers. Two reviewers extracted and charted data
and analysed findings using qualitative content analysis. FINDINGS: A total of
892 articles were screened, 124 were eligible for analysis, including 61
quantitative, 61 qualitative and 2 mixed-methods studies. There was a significant
gap in research on patient involvement in the intensive care unit. The analysis
identified five different components of family and patient involvement: (i)
presence, (ii) having needs met/being supported, (iii) communication, (iv)
decision making and (v) contributing to care. CONCLUSION: Three research gaps
were identified that require addressing: (i) the scope, extent and nature of
patient involvement in intensive care settings; (ii) the broader socio-cultural
processes that shape patient and family involvement; and (iii) the bidirectional
implications between patient/family involvement and interprofessional teamwork.
PMID- 27878942
TI - Morphological evolution of the mammalian jaw adductor complex.
AB - The evolution of the mammalian jaw during the transition from non-mammalian
synapsids to crown mammals is a key event in vertebrate history and characterised
by the gradual reduction of its individual bones into a single element and the
concomitant transformation of the jaw joint and its incorporation into the middle
ear complex. This osteological transformation is accompanied by a rearrangement
and modification of the jaw adductor musculature, which is thought to have
allowed the evolution of a more-efficient masticatory system in comparison to the
plesiomorphic synapsid condition. While osteological characters relating to this
transition are well documented in the fossil record, the exact arrangement and
modifications of the individual adductor muscles during the cynodont-mammaliaform
transition have been debated for nearly a century. We review the existing
knowledge about the musculoskeletal evolution of the mammalian jaw adductor
complex and evaluate previous hypotheses in the light of recently documented
fossils that represent new specimens of existing species, which are of central
importance to the mammalian origins debate. By employing computed tomography (CT)
and digital reconstruction techniques to create three-dimensional models of the
jaw adductor musculature in a number of representative non-mammalian cynodonts
and mammaliaforms, we provide an updated perspective on mammalian jaw muscle
evolution. As an emerging consensus, current evidence suggests that the mammal
like division of the jaw adductor musculature (into deep and superficial
components of the m. masseter, the m. temporalis and the m. pterygoideus) was
completed in Eucynodontia. The arrangement of the jaw adductor musculature in a
mammalian fashion, with the m. pterygoideus group inserting on the dentary was
completed in basal Mammaliaformes as suggested by the muscle reconstruction of
Morganucodon oehleri. Consequently, transformation of the jaw adductor
musculature from the ancestral ('reptilian') to the mammalian condition must have
preceded the emergence of Mammalia and the full formation of the mammalian jaw
joint. This suggests that the modification of the jaw adductor system played a
pivotal role in the functional morphology and biomechanical stability of the jaw
joint.
PMID- 27878943
TI - Microbial community composition but not diversity changes along succession in
arctic sand dunes.
AB - The generality of increasing diversity of fungi and bacteria across arctic sand
dune succession was tested. Microbial communities were examined by high
throughput sequencing of 16S rRNA genes (bacteria) and internal transcribed
spacer (ITS) regions (fungi). We studied four microbial compartments (inside
leaf, inside root, rhizosphere and bulk soil) and characterized microbes
associated with a single plant species (Deschampsia flexuosa) across two sand
dune successional stages (early and late). Bacterial richness increased across
succession in bulk soil and leaf endosphere. In contrast, soil fungal richness
remained constant while root endosphere fungal richness increased across
succession. There was, however, no significant difference in Shannon diversity
indices between early and late successional stage in any compartment. There was a
significant difference in the composition of microbial communities between early
and late successional stage in all compartments, although the major microbial
OTUs were shared between early and late successional stage. Co-occurrence network
analysis revealed successional stage-specific microbial groups. There were more
co-occurring modules in early successional stage than in late stage. Altogether,
these results emphasize that succession strongly affects distribution of
microbial species, but not microbial diversity in arctic sand dune ecosystem and
that fungi and bacteria may not follow the same successional trajectories.
PMID- 27878946
TI - Targeted inhibition of Polo-like kinase 1 by a novel small-molecule inhibitor
induces mitotic catastrophe and apoptosis in human bladder cancer cells.
AB - Bladder cancer is a common cancer with particularly high recurrence after
transurethral resection. Despite improvements in neoadjuvant chemotherapy, the
outcome of patients with advanced bladder cancer has changed very little. In this
study, the anti-tumour activities of a novel Polo-like kinase 1 (PLK1) inhibitor
(RO3280) was evaluated in vitro and in vivo in the bladder carcinoma cell lines
5637 and T24. MTT assays, colony-formation assays, flow cytometry, cell
morphological analysis and trypan blue exclusion assays were used to examine the
proliferation, cell cycle distribution and apoptosis of bladder carcinoma cells
with or without RO3280 treatment. Moreover, real-time RT-PCR and Western blotting
were used to detect the expressions of genes that are related to these cellular
processes. Our results showed that RO3280 inhibited cell growth and cell cycle
progression, increased Wee1 expression and cell division cycle protein 2
phosphorylation. In addition, RO3280 induced mitotic catastrophe and apoptosis,
increased cleaved PARP (poly ADP-ribose polymerase) and caspase-3, and decreased
BubR1 expression. The in vivo assay revealed that RO3280 retarded bladder cancer
xenograft growth in a nude mouse model. Although further laboratory and pre
clinical investigations are needed to corroborate these data, our demonstration
of bladder cancer growth inhibition and dissemination using a pharmacological
inhibitor of PLK1 provides new opportunities for future therapeutic intervention.
PMID- 27878948
TI - Core outcomes for studies of pregnancy with epilepsy.
PMID- 27878947
TI - All together now: experimental multispecies biofilm model systems.
AB - Studies of microorganisms have traditionally focused on single species
populations, which have greatly facilitated our understanding of the genetics and
physiology that underpin microbial growth, adaptation and biofilm development.
However, given that most microorganisms exist as multispecies consortia, the
field is increasingly exploring microbial communities using a range of
technologies traditionally limited to populations, including meta-omics based
approaches and high resolution imaging. The experimental communities currently
being explored range from relatively low diversity, for example, two to four
species, to significantly more complex systems, comprised of several hundred
species. Results from both defined and undefined communities have revealed a
number of emergent properties, including improved stress tolerance, increased
biomass production, community level signalling and metabolic cooperation. Based
on results published to date, we submit that community-based studies are timely
and increasingly reveal new properties associated with multispecies consortia
that could not be predicted by studies of the individual component species. Here,
we review a range of defined and undefined experimental systems used to study
microbial community interactions.
PMID- 27878944
TI - The roles of bone-derived exosomes and exosomal microRNAs in regulating bone
remodelling.
AB - Pathological destructive bone diseases are primarily caused by the failure of a
lifelong self-renewal process of the skeletal system called bone remodelling. The
mechanisms underlying this process include enhanced osteoclast activity and
decreased generation of the osteoblast lineage. Intercellular interaction and
crosstalk among these cell types are crucial for the maintenance of bone
remodelling, either through the secretion of growth factors or direct cell-cell
physical engagement. Recent studies have revealed that exosomes derived from bone
cells, including osteoclasts, osteoblasts and their precursors, play pivotal
roles on bone remodelling by transferring biologically active molecules to target
cells, especially in the processes of osteoclast and osteoblast differentiation.
Here, we review the contents of bone-derived exosomes and their functions in the
regulatory processes of differentiation and communication of osteoclasts and
osteoblasts. In addition, we highlight the characteristics of microRNAs of bone
derived exosomes involved in the regulation of bone remodelling, as well as the
potential clinical applications of bone-derived exosomes in bone remodelling
disorders.
PMID- 27878945
TI - Regulatory non-coding RNAs in acute myocardial infarction.
AB - Acute myocardial infarction (AMI) is one of the most common cardiovascular
diseases that leads to high mortality and morbidity globally. Various therapeutic
targets for AMI have been investigated in recent years, including the non-coding
RNAs (ncRNAs). NcRNAs, a class of RNA molecules that typically do not code
proteins, are divided into several subgroups. Among them, microRNAs (miRNAs) are
widely studied for their modulation of several pathological aspects of AMI,
including cardiomyocyte apoptosis, inflammation, angiogenesis and fibrosis. It
has emerged that long ncRNAs (lncRNAs) and circular RNAs (circRNAs) also regulate
these processes via interesting mechanisms. However, the regulatory functions of
ncRNAs in AMI and their underlying functional mechanisms have not been
systematically described. In this review, we summarize the recent findings
involving ncRNA actions in AMI and briefly describe the novel mechanisms of these
ncRNAs, highlighting their potential application as therapeutic targets in AMI.
PMID- 27878949
TI - Dialytic hemodynamics are associated with changes in gait speed.
AB - INTRODUCTION: Functional impairment and reduced mobility are prevalent in
patients on chronic hemodialysis (HD). The impact of HD on physical performance
and mobility needs evaluation. METHODS: We measured gait speed in a cohort of
chronic HD patients both pre and post an HD session. We collected demographic and
laboratory data and dialytic hemodynamic parameters for the HD session.
Participants completed the Falls Efficacy Scale International (FES-I) survey to
assess concern for falling. We used linear regression analysis to tests for
associations between our predictor variables of intra-dialytic hemodynamic change
and change in gait speed from pre to post HD (primary outcome) and FES-I score
(secondary outcome). FINDINGS: Twenty-eight participants completed the study. The
mean (SD) age was 64.0 (10.5) years. The majority were male (71.4%), had
hypertension (85.7%) and diabetes (57.1%). The mean (SD) change in gait speed
from pre to post dialysis was -0.06 (0.08) m/s. A greater decrease in gait speed
was associated with greater decrease in SBP and DBP from pre to post HD (p = 0.02
and p = 0.04, respectively) and greater maximum drop in SBP and DBP during HD (p
= 0.01 and p <0.01, respectively). The association between maximum drop in SBP
and DBP and gait speed remained significant after adjustment for covariates.
There was no association between BP change and FES-I score. DISCUSSION: Our
results suggest that HD patients who have greater decrease in BP during HD are at
risk for decreased gait speed post HD.
PMID- 27878950
TI - Comparison of the chemical profiles of fresh-raw and dry-processed Juglans
mandshurica.
AB - The processing of Juglans mandshurica Maxim. is important to reduce its toxicity
and enhance its efficacy. Simple, efficient, and sensitive ultra-high performance
liquid chromatography coupled with a time-of-flight mass spectrometry based
chemical profiling approach was proposed to rapidly evaluate the chemical
difference between fresh and dry samples. Under the optimized ultra-high
performance liquid chromatography and quadrupole time-of-flight tandem mass
spectrometry conditions, 81 significantly different compounds were rapidly
discovered using principal component analysis, and then tentatively identified by
comparison with reference substances or inferred through mass spectral fragment
ion analysis and literature data. These compounds included 35 naphthoquinones, 11
diarylheptanoids, nine flavonoids, eight triterpenes, 12 phenolic acids, and six
aliphatics. The results demonstrated that chemical reactions occurring during
processing could be used to elucidate the processing mechanism of Juglans
mandshurica Maxim. This study provides a novel approach to identifying
complicated components of various complex mixtures in fresh-raw and dry-processed
traditional Chinese medicines, which could be used as a valid analytical method
to further understand the processing mechanisms of these medicines, as well as
providing intrinsic quality control of the medicines and their processed
products.
PMID- 27878951
TI - Alleviating Parenting Stress in Parents with Intellectual Disabilities: A
Randomized Controlled Trial of a Video-feedback Intervention to Promote Positive
Parenting.
AB - BACKGROUND: Adapted parenting support may alleviate the high levels of parenting
stress experienced by many parents with intellectual disabilities. METHODS:
Parents with mild intellectual disabilities or borderline intellectual
functioning were randomized to experimental (n = 43) and control (n = 42)
conditions. Parents in both groups received care-as-usual. The experimental group
also received an adapted version of video-feedback intervention for positive
parenting and learning difficulties (VIPP-LD). Measures of parenting stress were
obtained pre-test, post-test and 3-month follow-up. RESULTS: Randomization to the
experimental group led to a steeper decline in parenting stress related to the
child compared to the control group (d = 0.46). No statistically significant
effect on stress related to the parent's own functioning or situation was found.
CONCLUSIONS: The results of the study suggest the feasibility of reducing
parenting stress in parents with mild intellectual disability (MID) through
parenting support, to the possible benefit of their children.
PMID- 27878952
TI - Newly isolated Nodularia phage influences cyanobacterial community dynamics.
AB - Cyanophages, that is, viruses infecting cyanobacteria, are a key component
driving cyanobacterial community dynamics both ecologically and evolutionarily.
In addition to reducing biomass and influencing the genetic diversity of their
host populations, they can also have a wider community-level impact due to the
release of nutrients by phage-induced cell lysis. In this study, we isolated and
characterized a new cyanophage, a siphophage designated as vB_NpeS-2AV2, capable
of infecting the filamentous nitrogen fixing cyanobacterium Nodularia sp. AV2
with a lytic cycle between 12 and 18 hours. The role of the phage in the ecology
of its host Nodularia and competitor Synechococcus was investigated in a set of
microcosm experiments. Initially, phage-induced cell lysis decreased the number
of Nodularia cells in the cultures. However, around 18%-27% of the population was
resistant against the phage infection. Nitrogen was released from the Nodularia
cells as a consequence of phage activity, resulting in a seven-fold increase in
Synechococcus cell density. In conclusion, the presence of the cyanophage vB_NpeS
2AV2 altered the ecological dynamics in the cyanobacterial community and induced
evolutionary changes in the Nodularia population, causing the evolution from a
population dominated by susceptible cells to a population dominated by resistant
ones.
PMID- 27878953
TI - Long non-coding RNA linc00261 suppresses gastric cancer progression via promoting
Slug degradation.
AB - Gastric cancer (GC) remains a threat to public health with high incidence and
mortality worldwide. Increasing evidence demonstrates that long non-coding RNAs
(lncRNAs) play critical regulatory roles in cancer biology, including GC.
Previous profiling study showed that lncRNA linc00261 was aberrantly expressed in
GC. However, the role of linc00261 in GC progression and the precise molecular
mechanism remain unknown. In this study, we report that linc00261 was
significantly down-regulated in GC tissues and the expression level of linc00261
negatively correlated with advanced tumour status and clinical stage as well as
poor prognostic outcome. In vitro functional assays indicate that ectopic
expression of linc00261 suppressed cell invasion by inhibiting the epithelial
mesenchymal transition (EMT). By RNA pull-down and mass spectrum experiments, we
identified Slug as an RNA-binding protein that binds to linc00261. We confirmed
that linc00261 down-regulated Slug by decreasing the stability of Slug proteins
and that the tumour-suppressive function of linc00261 can be neutralized by Slug.
linc00261 may promote the degradation of Slug via enhancing the interaction
between GSK3beta and Slug. Moreover, linc00216 overexpression repressed lung
metastasis in vivo. Together, our findings suggest that linc00261 acts a tumour
suppressor in GC by decreasing the stability of Slug proteins and suppressing
EMT. By clarifying the mechanisms underlying GC progression, these findings may
facilitate the development of novel therapeutic strategies for GC.
PMID- 27878955
TI - Primary aldosteronism: from case detection to histopathology with up to 6 years
of follow-up.
AB - The authors aimed to investigate the clinical characteristics, accuracy of
diagnostic tests, and long-term outcomes after interventions in patients
diagnosed with primary aldosteronism (PA) in Iceland throughout 5 years. A
retrospective chart review was performed for all patients diagnosed with PA
during the years 2007-2011 at Landspitali Hospital in Iceland, a referral center
for the whole country. Workup after detection included salt loading test,
positional test, computed tomography, and adrenal vein sampling. Patients with
unilateral disease were offered treatment with adrenalectomy. A total of 33
patients were diagnosed with PA during the study period: 17 patients with
bilateral disease and 16 with unilateral disease. Results from salt loading test
were positive in 90% of patients. In patients with adenoma, 36% were responsive
on their positional test and computed tomography scan showed a nodule in 73%. All
patients with unilateral disease had a lateralization index >=3. After surgery,
patients had lower systolic blood pressure (P<.001) and number of hypertensive
medications (P<.01).
PMID- 27878956
TI - Improving the medical school-residency transition.
AB - BACKGROUND: In response to calls to improve the continuum between undergraduate
and graduate medical education, many medical schools are creating electives
designed to prepare students for residency training. There is a need for data
that link improvements from these residency preparation courses to residency
itself. Data is needed that links improvements from these residency preparation
courses to residency OBJECTIVE: To examine senior medical student performance on
the Association of Professors of Gynecology and Obstetrics (APGO) Preparation for
Residency Knowledge Assessment before and after an obstetrics and gynaecology
residency preparation elective, and to determine whether the knowledge
improvements persisted to the start of the residency. METHODS: All 13 students
enrolled in the course completed the APGO knowledge assessment on the first and
last day of the elective. Three months later, the students were asked to re-take
the assessment immediately prior to the start of their residency. RESULTS: There
was improvement in mean scores from the pre-test score of 66.4 per cent to the
post-test score of 77.4 per cent. At the time of the pre-test, three of the 13
students (23%) had passing scores (70% or greater), and at the time of the post
test, 11 of the 13 (85%) had passing scores. Nine of the 13 students (69%)
completed the APGO knowledge assessment immediately prior to the start of their
residency. Those nine students had a mean pre-residency score of 76.4 per cent.
Eight of the nine students (89%) passed the pre-residency test. CONCLUSIONS: Our
data support the value of residency preparation electives for improving
knowledge, and suggest that senior medical school electives can help to bridge
the continuum between undergraduate and graduate medical education.
PMID- 27878957
TI - The mental health tribunal: a missed opportunity?
PMID- 27878954
TI - Biomarkers of systemic lupus erythematosus identified using mass spectrometry
based proteomics: a systematic review.
AB - Advances in mass spectrometry technologies have created new opportunities for
discovering novel protein biomarkers in systemic lupus erythematosus (SLE). We
performed a systematic review of published reports on proteomic biomarkers
identified in SLE patients using mass spectrometry-based proteomics and highlight
their potential disease association and clinical utility. Two electronic
databases, MEDLINE and EMBASE, were systematically searched up to July 2015. The
methodological quality of studies included in the review was performed according
to Preferred Reporting Items for Systematic Reviews and Meta-analyses guidelines.
Twenty-five studies were included in the review, identifying 241 SLE candidate
proteomic biomarkers related to various aspects of the disease including disease
diagnosis and activity or pinpointing specific organ involvement. Furthermore, 13
of the 25 studies validated their results for a selected number of biomarkers in
an independent cohort, resulting in the validation of 28 candidate biomarkers. It
is noteworthy that 11 candidate biomarkers were identified in more than one
study. A significant number of potential proteomic biomarkers that are related to
a number of aspects of SLE have been identified using mass spectrometry proteomic
approaches. However, further studies are required to assess the utility of these
biomarkers in routine clinical practice.
PMID- 27878959
TI - Students today...educators tomorrow.
AB - BACKGROUND: The article describes the use of the mini clinical examination (mini
CEX) in a pilot study to introduce peer assessment in one allied health programme
to explore students' capacity as clinical educators. Preparing today's pre
professional health students to be clinical educators by engaging them in peer
teaching, learning and assessment may encourage them to become tomorrow's
clinical educators. CONTEXT: Peer assessment is common among many undergraduate
medical and allied health programmes, and is typically used as a means of
providing students with feedback on their clinical skill development. We argue
that peer assessment ought to be focused not only on the development of learners'
clinical skills and knowledge, but also on preparing learners for their
responsibilities as clinical educators. INNOVATION: Final-year Australian
osteopathy students in our on-campus university clinic undertook, without
training, peer assessment and provision of feedback related to clinical
performance using a discipline-specific adaptation of the mini-CEX. The current
study suggests that students are able to judge another's consultation skills and
case management in that they identify what we know are common learning issues for
students at this level. Peer assessment ought to be focused on preparing learners
for their responsibilities as clinical educators IMPLICATION: Students may be
willing to engage in peer assessment if they see the exercise as a way to improve
patient care and to develop their skills as educators - potentially encouraging
them to become clinical educators in the future.
PMID- 27878963
TI - Emergency medicine: An untilled field of public health.
PMID- 27878958
TI - Inhibition of Orai1-mediated Ca2+ entry enhances chemosensitivity of HepG2
hepatocarcinoma cells to 5-fluorouracil.
AB - Increasing evidence supports that activation of store-operated Ca2+ entry (SOCE)
is implicated in the chemoresistance of cancer cells subjected to chemotherapy.
However, the molecular mechanisms underlying chemoresistance are not well
understood. In this study, we aim to investigate whether 5-FU induces
hepatocarcinoma cell death through regulating Ca2+ -dependent autophagy. [Ca2+ ]i
was measured using fura2/AM dye. Protein expression was determined by Western
blotting and immunohistochemistry. We found that 5-fluorouracil (5-FU) induced
autophagic cell death in HepG2 hepatocarcinoma cells by inhibiting PI3K/AKT/mTOR
pathway. Orai1 expression was obviously elevated in hepatocarcinoma tissues. 5-FU
treatment decreased SOCE and Orai1 expressions, but had no effects on Stim1 and
TRPC1 expressions. Knockdown of Orai1 or pharmacological inhibition of SOCE
enhanced 5-FU-induced inhibition of PI3K/AKT/mTOR pathway and potentiated 5-FU
activated autophagic cell death. On the contrary, ectopic overexpression of Orai1
antagonizes 5-FU-induced autophagy and cell death. Our findings provide
convincing evidence to show that Orai1 expression is increased in hepatocarcinoma
tissues. 5-FU can induce autophagic cell death in HepG2 hepatocarcinoma cells
through inhibition of SOCE via decreasing Orai1 expression. These findings
suggest that Orai1 expression is a predictor of 5-FU sensitivity for
hepatocarcinoma treatment and blockade of Orai1-mediated Ca2+ entry may be a
promising strategy to sensitize hepatocarcinoma cells to 5-FU treatment.
PMID- 27878964
TI - In this December issue.
PMID- 27878965
TI - A simplified procedure for antibody engineering by yeast surface display:
Coupling display levels and target binding by ribosomal skipping.
AB - Yeast surface display is a valuable, widely used method for protein engineering.
However, current yeast display applications rely on the staining of epitope tags
in order to verify full-length presentation of the protein of interest on the
cell surface. We aimed at developing a modified yeast display approach that
relies on ribosomal skipping, thereby enabling the translation of two proteins
from one open reading frame and, in that manner, generating an intracellular
fluorescence signal. This improved setup is based on a 2A sequence that is
encoded between the protein to be displayed and a gene for green fluorescent
protein (GFP). The intracellular GFP fluorescence signal of yeast cells
correlates with full-length protein presentation and omits the need for the
immunofluorescence detection of epitope tags. For method validation, shark
derived IgNAR variable domains (vNAR) were subjected to affinity maturation using
the 2A-GFP system. Yeast library screening of full-length vNAR variants which
were detected via GFP expression yielded the same high-affinity binder that had
previously been isolated by our group using the conventional epitope tag-based
display format. The presented method obviates the need for additional
immunofluorescence cell staining, offering an easy and cost-friendly alternative
to conventional epitope tag detections.
PMID- 27878966
TI - Risk-adapted stratification for optimally intensive treatment assignment of
pediatric patients with non-Hodgkin lymphoma is an effective strategy in
developing countries.
AB - BACKGROUND: Pediatric patients with non-Hodgkin lymphoma (NHL) in developing
countries (DCs) present with greater tumor load even at lower stages and with
comorbidities that impact therapy delivery. This causes toxic mortality with
"standard" intensive protocols or recurrences with "gentler" treatment.
OBJECTIVES: We developed and evaluated a risk stratification schema that guides
intensity of therapy. DESIGN/METHODS: Sixty-nine patients were prospectively
assigned to five risk groups (A-E; n = 6, 15, 16, 15, and 17) following staging
and treated with protocols of risk-stratified intensity. Risk stratification
utilized St. Jude stage, disease bulk, and sites involved. RESULTS: Between 2006
and 2011, 69 patients with B-cell NHL were enrolled. Among these, 72.5% were boys
with mean age of 6.9 years (+/-3.33 [SD]; range 2.4-14.2 years). Eighty-seven
percent had Burkitt lymphoma, 82.6% had advanced stage (25 [36.2%] stage III; 32
[46.4%] stage IV), and 24.6% were central nervous system positive. Mean lactate
dehydrogenase increased progressively across the risk strata. Among these, 0/6,
1/15, 3/16, 2/15, and 7/17 patients relapsed/progressed within each risk stratum.
Fifteen patients died; three from treatment-related toxicity. At a median follow
up of 6.2 years, the overall and event-free survival (EFS) for all patients was
78.1 and 75.4%, respectively; EFS was related to risk assignment. The frequency
of documented infectious and noninfectious toxicities increased with higher risk
group assignment causing prolongation of admissions and potential treatment
delays. CONCLUSIONS: Reduction in treatment intensity for an identified subset of
patients with NHL is feasible, while high-intensity therapy is required for high
risk groups. This risk stratification system may be a first step toward improving
the outcomes in some DCs.
PMID- 27878967
TI - Synthesis, cytotoxic activity, and 2D- and 3D-QSAR studies of 19-carboxyl
modified novel isosteviol derivatives as potential anticancer agents.
AB - Two series of novel acylthiosemicarbazide and oxadiazole fused-isosteviol
derivatives were synthesized based on the 19-carboxyl modification. The target
compounds were evaluated for their cytotoxicities against three cancer cell lines
(HCT-116, HGC-27, and JEKO-1) using an MTT assay. Lead compounds from the
acylthiosemicarbazides (4) showed IC50 values in the lower micromolar range. For
example, compounds (4i, 4l, 4m, 4r, and 4s) exhibited significant inhibitory
activities against the three cell lines with IC50 values of 0.95-3.36 MUm.
Furthermore, 2D-HQSAR and 3D-topomer CoMFA analyses were established, which could
be used to develop second generation of isosteviol derivatives as anticancer
agents.
PMID- 27878969
TI - Readiness for discharge from subacute mental health units for older people: Using
available measures to support clinical decision-making.
AB - Prior to introduction of the Health of the Nation Outcome Scale 65+ (HoNOS65) as
a mandated measure, the three subacute mental health units for older people in
the present study routinely used the Care Planning Assessment Tool (CPAT) for
clinical review and discharge planning. The aims of the present study were to
compare these two measures of behavioural change during subacute admissions, to
examine associations with discharge readiness, and to assess their overall
contributions to discharge planning decisions. This is a prospective, comparative
measurement study. HoNOS65 (severity) and CPAT (frequency) behavioural subscale
ratings were collected from admission to discharge for older patients with very
severe and persistent behavioural and psychological symptoms of dementia.
Readiness for discharge data (yes/no), collected from multidisciplinary review
meetings, was used as the outcome in all analyses. In combination, these measures
achieved only modest positive predictive value (52.8%) but good negative
predictive value (90.4%). Consequently, patients above the cut-point on both
measures are reasonably unlikely to be discharge ready. The combined use of a
standard outcome measure of severity along with a specialized measure of
frequency is recommended to support and enhance discharge planning decisions in
this population.
PMID- 27878968
TI - Proprotein convertase inhibition promotes ciliated cell differentiation - a
potential mechanism for the inhibition of Notch1 signalling by decanoyl-RVKR
chloromethylketone.
AB - Chronic repetitive rounds of injury and repair in the airway lead to airway
remodelling, including ciliated cell loss and mucous cell hyperplasia. Airway
remodelling is mediated by many growth and differentiation factors including
Notch1, which are proteolytically processed by proprotein convertases (PCs). The
present study evaluated a novel approach for controlling basal cell-type
determination based on the inhibition of PCs. It was found that decanoyl-RVKR
chloromethylketone (CMK), a PC inhibitor, promotes ciliated cell differentiation
and has no effect on the ciliary beat frequency in air-liquid interface (ALI)
cultures of human nasal epithelial cells (HNECs). Comparative microarray analysis
revealed that CMK considerably increases ciliogenesis-related gene expression.
Use of cell-permeable and cell-impermeable PC inhibitors suggests that
intracellular PCs regulate basal cell-type determination in ALI culture.
Furthermore, CMK effect on ciliated cell differentiation was reversed by a Notch
inhibitor N-[N-(3,5-difluorophenacetyl)-l-alanyl]-S-phenylglycine t-butyl ester
(DAPT). CMK inhibited the processing of Notch1, a key regulator of basal cell
differentiation toward secretory cell lineages in the airway epithelium, and down
regulated the expression of Notch1 target genes together with furin, a PC.
Specific lentiviral shRNA-mediated knockdown of furin resulted in reduced Notch1
processing and increased numbers of ciliated cells in HNECs. Moreover, CMK
inhibited Notch1 processing and promoted regeneration and ciliogenesis of the
mouse nasal respiratory epithelium after ZnSO4 injury. These observations suggest
that PC inhibition promotes airway ciliated cell differentiation, possibly
through suppression of furin-mediated Notch1 processing. (c) 2016 The Authors
Journal of Tissue Engineering and Regenerative Medicine Published by John Wiley &
Sons Ltd.
PMID- 27878970
TI - Association between the dietary inflammatory index (DII) and telomere length and
C-reactive protein from the National Health and Nutrition Examination Survey-1999
2002.
AB - SCOPE: Leukocyte telomere length (LTL) is an important biomarker of aging. This
study examined whether inflammatory potential of diet, as measured by the Dietary
Inflammatory IndexTM (DII) has an impact on telomere shortening in the National
Health and Nutrition Examination Survey (NHANES). We also carried out validation
of the DII with C-reactive protein (CRP). METHODS AND RESULTS: Data came from
NHANES 1999-2002. LTL and CRP were assayed from leukocyte DNA and serum
specimens, respectively. The DII was calculated from food intakes assessed using
24-h dietary recalls and expressed per 1000 calories consumed. Associations were
examined using survey-based multivariable linear regression for log-transformed
LTL. After multivariable adjustment, higher DII scores (i.e. relatively more pro
inflammatory) were associated with shorter LTL both when used as continuous (b =
-0.003; 95% confidence interval [CI] = -0.005, -0.0002) and as quartiles
(bDIIquartile4vs1 = -0.013; 95% CI = -0.025, -0.001; Ptrend = .03). In
this same sample the DII also was associated with CRP >=3 mg/L (ORDIIcontinuous =
1.10; 95% CI = 1.06, 1.16). CONCLUSION: In these NHANES data there was an
association between DII and LTL. This study also provided a successful construct
validation of the DII using CRP in a nationally representative sample. These
results are consistent with the hypothesis that diet-associated inflammation
determines LTL.
PMID- 27878972
TI - The Interrater Reliability of Nursing Outcome Classification (NOC): "Caregiver
Performance: Direct Care".
AB - PURPOSE: The article investigates the interrater reliability of Nursing Outcome
Classification (NOC) "Caregiver Performance: Direct Care" in Indonesian language.
METHODS: Two raters assessed 31 caregivers of clients with mental disorders using
NOC "Caregiver Performance: Direct Care" in January 2016. This NOC was translated
into Indonesian and each indicator was operated in accordance with caregivers'
need in taking care of clients. Kappa and percent agreement were used for the
analysis. FINDINGS: The overall kappa value of NOC "Caregiver Performance: Direct
Care" was 0.752, while the percent agreement was 80. CONCLUSIONS: The interrater
reliability of NOC "Caregiver Performance: Direct Care" in Indonesian was at the
level of substantial reliability. IMPLICATION FOR NURSING PRACTICE: NOC
"Caregiver Performance: Direct Care" can be used in the clinical setting.
PMID- 27878971
TI - Pregnane X receptors regulate CYP2C8 and P-glycoprotein to impact on the
resistance of NSCLC cells to Taxol.
AB - Cytochrome P450 2C8 (CYP2C8) is one of the enzymes that primarily participate in
producing metabolisms of medications and P-glycoprotein (P-gp) has been regarded
as one of the important molecules in chemotherapeutically induced multidrug
resistance (MDR). In addition, the pregnane X receptor (PXR) is involved in
regulating both CYP2C8 and P-gp. We aim to research the effect of PXR on Taxol
resistant non-small-cell lung cancer (NSCLC cells) via regulating CYP2C8 and P
gp. NSCLC cells were treated with SR12813, LY335979, or PXR siRNA. Cell counting
kit (CCK-8) assay was used to detect cell vitality. Colony formation assay was
used to observe cell proliferation. Western blotting, real-time polymerase chain
reaction (RT-PCR), and immunofluorescence staining were conducted to analyze the
expressions of PXR, CYP2C8, and P-gp. Taxol and its metabolic products were
detected by high-performance liquid chromatography (HPLC). The expression of PXR
in A549 cell line was higher than that in other cell lines. The accumulation of
PXR was observed in the nucleus after cells were treated with SR12813. Besides,
SR12813 induced higher expressions of CYP2C8 and P-gp proteins. We also
discovered that pretreatment with SR12813 reversed the inhibition of cell
viability and proliferation after the Taxol treatment in comparison to the
SR12813 untreated group. Furthermore, the hydroxylation products of Taxol
analyzed by HPLC were increased in comparison to the SR12813 untreated group,
indicating that high expressions of CYP2C8 and P-gp enhanced the resistance of
A549 cells to Taxol. For cells treated with PXR siRNA, cell viability, cell
proliferation, and Taxol metabolites were significantly reduced after the Taxol
treatment in comparison to the siRNA-negative group. The cell viability, cell
proliferation, and Taxol metabolites were regulated by the expressions of PXR, P
gp, and CYP2C8. That is, PXR expression has an important effect on the resistance
of NSCLC cells to Taxol via upregulating P-gp and CYP2C8.
PMID- 27878973
TI - Metabolite labelling reveals hierarchies in Clostridium acetobutylicum that
selectively channel carbons from sugar mixtures towards biofuel precursors.
AB - Clostridial fermentation of cellulose and hemicellulose relies on the cellular
physiology controlling the metabolism of the cellulosic hexose sugar (glucose)
with respect to the hemicellulosic pentose sugars (xylose and arabinose) and the
hemicellulosic hexose sugars (galactose and mannose). Here, liquid chromatography
mass spectrometry and stable isotope tracers in Clostridium acetobutylicum were
applied to investigate the metabolic hierarchy of glucose relative to the
different hemicellulosic sugars towards two important biofuel precursors, acetyl
coenzyme A and butyryl-coenzyme A. The findings revealed constitutive metabolic
hierarchies in C. acetobutylicum that facilitate (i) selective investment of
hemicellulosic pentoses towards ribonucleotide biosynthesis without substantial
investment into biofuel production and (ii) selective contribution of
hemicellulosic hexoses through the glycolytic pathway towards biofuel precursors.
Long-term isotopic enrichment demonstrated incorporation of both pentose sugars
into pentose-phosphates and ribonucleotides in the presence of glucose. Kinetic
labelling data, however, showed that xylose was not routed towards the biofuel
precursors but there was minor contribution from arabinose. Glucose hierarchy
over the hemicellulosic hexoses was substrate-dependent. Kinetic labelling of
hexose-phosphates and triose-phosphates indicated that mannose was assimilated
but not galactose. Labelling of both biofuel precursors confirmed this metabolic
preference. These results highlight important metabolic considerations in the
accounting of clostridial mixed-sugar utilization.
PMID- 27878974
TI - Evidence for Circulation of Bovine Viral Diarrhoea Virus Type 2c in Ruminants in
Southern Italy.
AB - Recently, bovine viral diarrhoea virus type 2c (BVDV-2c) was responsible for a
severe outbreak in cattle in northern Europe. Here, we present the results of an
epidemiological survey for pestiviruses in ruminants in southern Italy. Pooled
serum samples were obtained from 997 bovine, 800 ovine, 431 caprine and eight
bubaline farms, and pestiviral RNA was detected by molecular methods in 44 farms
consisting of 16 cattle and one buffalo herds and of 21 sheep and six goat
flocks. Twenty-nine and 15 farms were infected by BVDV-1 and BVDV-2 strains,
respectively. BVDV-1 strains were recovered mainly from cattle and were
heterogeneous, belonging to the subtypes 1b, 1u, 1e, 1g and 1h. In contrast, all
BVDV-2 viruses but two were detected in sheep or goats and were characterized as
BVDV-2c by sequence analysis of 5'UTR. These strains displayed high genetic
identity to BVDV-2c circulating in cattle in northern Europe and were more
distantly related to a BVDV-2c isolate recovered from a cattle herd in southern
Italy more than 10 years before. The circulation of a BVDV-2c in small ruminants
suggests the need for a continuous surveillance for the emergence of pestivirus
induced clinical signs in southern Italian farms.
PMID- 27878975
TI - Multiplex RT-PCR and Automated Microarray for Detection of Eight Bovine Viruses.
AB - Microarrays can be a useful tool for pathogen detection as it allow for
simultaneous interrogation of the presence of a large number of genetic sequences
in a sample. However, conventional microarrays require extensive manual handling
and multiple pieces of equipment for printing probes, hybridization, washing and
signal detection. In this study, a reverse transcription (RT)-PCR with an
accompanying novel automated microarray for simultaneous detection of eight
viruses that affect cattle [vesicular stomatitis virus (VSV), bovine viral
diarrhoea virus type 1 and type 2, bovine herpesvirus 1, bluetongue virus,
malignant catarrhal fever virus, rinderpest virus (RPV) and parapox viruses] is
described. The assay accurately identified a panel of 37 strains of the target
viruses and identified a mixed infection. No non-specific reactions were observed
with a panel of 23 non-target viruses associated with livestock. Vesicular
stomatitis virus was detected as early as 2 days post-inoculation in oral swabs
from experimentally infected animals. The limit of detection of the microarray
assay was as low as 1 TCID50 /ml for RPV. The novel microarray platform automates
the entire post-PCR steps of the assay and integrates electrophoretic-driven
capture probe printing in a single user-friendly instrument that allows array
layout and assay configuration to be user-customized on-site.
PMID- 27878976
TI - Designing research funding schemes to promote global health equity: An
exploration of current practice in health systems research.
AB - International research is an essential means of reducing health disparities
between and within countries and should do so as a matter of global justice.
Research funders from high-income countries have an obligation of justice to
support health research in low and middle-income countries (LMICs) that furthers
such objectives. This paper investigates how their current funding schemes are
designed to incentivise health systems research in LMICs that promotes health
equity. Semi-structured in-depth interviews were performed with 16 grants
officers working for 11 funders and organisations that support health systems
research: the Alliance for Health Policy and Systems Research, Comic Relief,
Doris Duke Foundation, European Commission, International Development Research
Centre, Norwegian Agency for Development Cooperation, Research Council of Norway,
Rockefeller Foundation, UK Department of International Development, UK Medical
Research Council, and Wellcome Trust. Thematic analysis of the data demonstrates
their funding schemes promote health systems research with (up to) five key
features that advance health equity: being conducted with worst-off populations,
focusing on research topics that advance equitable health systems, having LMIC
ownership of the research agenda, strengthening LMIC research capacity, and
having an impact on health disparities. The different types of incentives that
encouraged proposed projects to have these features are identified and classified
by their strength (strong, moderate, weak). It is suggested that research funders
ought to create and maintain funding schemes with strong incentives for the
features identified above in order to more effectively help reduce global health
disparities.
PMID- 27878978
TI - Elimination of Power Overshoot at Bioanode through Assistance Current in
Microbial Fuel Cells.
AB - The power overshoot generated by electron depletion in microbial fuel cells
(MFCs) was characterized in this study. Various causes of power overshoot,
identified in previous studies, are discussed in terms of their plausible
contributions to electron depletion. We found that power overshoot occurred if
the anodic overpotential generated by electron depletion exceeded the cathodic
overpotential. The introduction of assistance current from anode connections,
which ameliorated the electron depletion in the MFCs, immediately eliminated the
power overshoot. As a result, if the electron production at the anode exceeded
electron reduction at the cathode, a power overshoot was not generated. The
results revealed that introducing assistance current supplied from an additional
anode to the limited anode eliminated power overshoot. The power overshoot is not
generated by kinetic limitation at the cathode; it is only generated by the
kinetic limitation at the anode. The mechanism underlying power overshoot should
be considered in the design of MFCs to improve reliability, particularly in
scaled-up plant applications. The proposed technique is more practical than
previously proposed methods.
PMID- 27878977
TI - Silk fibroin scaffolds with muscle-like elasticity support in vitro
differentiation of human skeletal muscle cells.
AB - Human adult skeletal muscle has a limited ability to regenerate after injury and
therapeutic options for volumetric muscle loss are few. Technologies to enhance
regeneration of tissues generally rely upon bioscaffolds to mimic aspects of the
tissue extracellular matrix (ECM). In the present study, silk fibroins from four
Lepidoptera (silkworm) species engineered into three-dimensional scaffolds were
examined for their ability to support the differentiation of primary human
skeletal muscle myoblasts. Human skeletal muscle myoblasts (HSMMs) adhered,
spread and deposited extensive ECM on all the scaffolds, but immunofluorescence
and quantitative polymerase chain reaction analysis of gene expression revealed
that myotube formation occurred differently on the various scaffolds. Bombyx mori
fibroin scaffolds supported formation of long, well-aligned myotubes, whereas on
Antheraea mylitta fibroin scaffolds the myotubes were thicker and shorter.
Myotubes were oriented in two perpendicular layers on Antheraea assamensis
scaffolds, and scaffolds of Philosamia/Samia ricini (S. ricini) fibroin poorly
supported myotube formation. These differences were not caused by fibroin
composition per se, as HSMMs adhered to, proliferated on and formed striated
myotubes on all four fibroins presented as two-dimensional fibroin films. The
Young's modulus of A. mylitta and B. mori scaffolds mimicked that of normal
skeletal muscle, but A. assamensis and S. ricini scaffolds were more flexible.
The present study demonstrates that although myoblasts deposit matrix onto
fibroin scaffolds and create a permissive environment for cell proliferation, a
scaffold elasticity resembling that of normal muscle is required for optimal
myotube length, alignment, and maturation. (c) 2016 The Authors Journal of Tissue
Engineering and Regenerative Medicine Published by John Wiley & Sons Ltd.
StartCopTextStartCopText(c) 2016 The Authors Journal of Tissue Engineering and
Regenerative Medicine Published by John Wiley & Sons Ltd.
PMID- 27878979
TI - Prevalence of the Novel Torque Teno Sus Virus Species k2b from Pigs in the United
States and Lack of Association with Post-Weaning Multisystemic Wasting Syndrome
or Mulberry Heart Disease.
AB - The family Anelloviridae includes a number of viruses infecting humans (Torque
teno viruses, TTV) and other animals including swine (Torque teno sus viruses,
TTSuV). Two genetically distinct TTSuV species have been identified from swine
thus far (TTSuV1 and TTSuVk2), although their definitive association with disease
remains debatable. In 2012, a novel TTSuV species was identified from commercial
swine serum and classified in the genus Kappatorquevirus as TTSuVk2b. The other
Kappatorquevirus species, TTSuVk2a, has been associated with post-weaning
multisystemic wasting syndrome (PMWS) when coinfected with porcine circovirus
type 2 (PCV2). Therefore, in this study, we initially amplified a portion of
TTSuVk2b ORF1 and, subsequently, assessed the molecular prevalence of the virus
in pigs in the United States. A total of 127 serum and 115 tissue samples were
obtained from pigs with PMWS or mulberry heart disease (MHD) in six states and
tested by PCR for the presence of TTSuVk2b DNA. Approximately 27.6% of the serum
and 21.7% of tissue samples tested positive for TTSuVk2b DNA, and the positive
products were confirmed by sequencing. However, we did not detect a correlation
between TTSuVk2b infection and PMWS or MHD. The near full-length genomic sequence
of US TTSuVk2b was determined, and sequence analysis revealed that the US
TTSuVk2b isolates were 95% identical to the TTSuVk2b isolate from Spain, with
most of the variations clustering in ORF1. We conclude that the novel TTSuVk2b
species is present in pigs in the United States and its potential association
with a disease warrants further investigation.
PMID- 27878980
TI - Cost-Effectiveness of Mass Dog Vaccination Campaigns against Rabies in Flores
Island, Indonesia.
AB - A dynamic deterministic simulation model was developed to determine the cost
effectiveness of different mass dog vaccination strategies against rabies in a
dog population representative of a typical village on Flores Island. Cost
effectiveness was measured as public cost per averted dog-rabies case.
Simulations started with the introduction of one infectious dog into a
susceptible dog population of 399 dogs and subsequently ran for a period of 10
years. The base scenario represented a situation without any control
intervention. Evaluated vaccination strategies were as follows: annual
vaccination campaigns with short-acting vaccine (immunity duration of 52 weeks)
(AV_52), annual campaigns with long-acting vaccine (immunity duration of 156
weeks) (AV_156), biannual campaigns with short-acting vaccine (BV_52) and once-in
2-years campaigns with long-acting vaccine (O2V_156). The effectiveness of the
vaccination strategies was simulated for vaccination coverages of 50% and 70%.
Cumulative results were reported for the 10-year simulation period. The base
scenario resulted in three epidemic waves, with a total of 1274 dog-rabies cases.
The public cost of applying AV_52 at a coverage of 50% was US$5342 for a village.
This strategy was unfavourable compared to other strategies, as it was costly and
ineffective in controlling the epidemic. The costs of AV_52 at a coverage of 70%
and AV_156 at a coverage of 70% were, respectively, US$3646 and US$3716,
equivalent to US$3.00 and US$3.17 per averted dog-rabies case. Increasing the
coverage of AV_156 from 50% to 70% reduced the number of cases by 7% and reduced
the cost by US$1452, resulting in a cost-effectiveness ratio of US$1.81 per
averted dog-rabies case. This simulation model provides an effective tool to
explore the public cost-effectiveness of mass dog vaccination strategies in
Flores Island. Insights obtained from the simulation results are useful for
animal health authorities to support decision-making in rabies-endemic areas,
such as Flores Island.
PMID- 27878982
TI - HLA-DQB1*03:01:34, a novel allele, which has arisen by silent mutation in codon
87.
AB - HLA-DQB1*03:01:34 has one nucleotide change from HLA- DQB1*03:01:01 at nucleotide
357 bp where C>A in exon 2.
PMID- 27878981
TI - Migration of breast cancer cell lines in response to pulmonary laminin 332.
AB - Because tumor cell motility is a requirement for metastasis, we hypothesized that
lung tissue harbors substances that induce tumor cell migration. MCF-7 breast
carcinoma cells exposed to small airway epithelial cells and conditioned medium
exhibited dose-dependent tumor cell migration. Among the extracellular matrix
proteins in the conditioned medium identified by mass spectrometry, laminin 332
(LM332) had the greatest contribution to the migration of MCF-7 cells.
Immunoblotting and immunohistochemistry for LM332-specific chains identified
LM332 in the lung and in pulmonary epithelial cells. Antibodies to either LM332
or its integrin receptor inhibited MCF-7 motility, and knockdown of LM332 chains
also reduced its migration-inducing activity. Taken together, these findings
implicate LM332 as a component of lung tissue that can induce motility in breast
carcinoma cells that have been transported to lung during metastasis. Earlier
studies on LM332 in tumor progression have examined LM332 expression in tumor
cells. This investigation, in comparison, provides evidence that the tumor
promoting potential of LM332 may originate in the lung microenvironment rather
than in tumor cells alone. Furthermore, this study provides evidence that the
motility-inducing properties of the microenvironment can reside in epithelial
cells. The findings raise the possibility that LM332 plays a role in the
pulmonary metastases of breast carcinoma and may provide a target for
antimetastasis therapy.
PMID- 27878985
TI - HLA-A*30:99 shows a new amino acid position 156 within HLA-A*30 subtypes.
AB - A novel HLA-A*30:99 allele was characterized in a Spanish individual.
PMID- 27878984
TI - Sulforaphane-induced apoptosis in Xuanwei lung adenocarcinoma cell line XWLC-05.
AB - BACKGROUND: Xuanwei district in Yunnan Province has the highest incidence of lung
cancer in China, especially among non-smoking women. Cruciferous vegetables can
reduce lung cancer risk by prompting a protective mechanism against respiratory
tract inflammation caused by air pollution, and are rich in sulforaphane, which
can induce changes in gene expression. We investigated the effect of sulforaphane
induced apoptosis in Xuanwei lung adenocarcinoma cell line (XWCL-05) to explore
the value of sulforaphane in lung cancer prevention and treatment. METHODS: Cell
growth inhibition was determined by methyl thiazolyl tetrazolium assay; cell
morphology and apoptosis were observed under transmission electron microscope;
cell cycle and apoptosis rates were detected using flow cytometry; B-cell
lymphoma 2 (Bcl-2) and Bcl-2-like protein 4 (Bax) messenger RNA expression were
determined by quantitative PCR; and p53, p73, p53 upregulated modulator of
apoptosis (PUMA), Bax, Bcl-2, and caspase-9 protein expression were detected by
Western blotting. RESULTS: Sulforaphane inhibited XWLC-05 cell growth with
inhibitory concentration (IC)50 of 4.04, 3.38, and 3.02 MUg/mL at 24, 48, and 72
hours, respectively. Sulforaphane affected the XWLC-05 cell cycle as cells
accumulated in the G2/M phase. The proportion of apoptotic cells observed was
27.6%. Compared with the control, the sulforaphane group showed decreased Bcl-2
and p53 expression, and significantly increased p73, PUMA, Bax, and caspase-9
protein expression (P < 0.05). CONCLUSION: Sulforaphane induces Xuanwei lung
adenocarcinoma cell apoptosis. Its possible mechanism may involve the
upregulation of p73 expression and its effector target genes PUMA and Bax in lung
cancer cells, downregulation of the anti-apoptotic gene B cl -2, and activation
of caspase-9. It may also involve downregulation of the mutant p53 protein.
PMID- 27878983
TI - Enzymatic Specific Production and Chemical Functionalization of Phenylpropanone
Platform Monomers from Lignin.
AB - Enzymatic catalysis is an ecofriendly strategy for the production of high-value
low-molecular-weight aromatic compounds from lignin. Although well-definable
aromatic monomers have been obtained from synthetic lignin-model dimers,
enzymatic-selective synthesis of platform monomers from natural lignin has not
been accomplished. In this study, we successfully achieved highly specific
synthesis of aromatic monomers with a phenylpropane structure directly from
natural lignin using a cascade reaction of beta-O-4-cleaving bacterial enzymes in
one pot. Guaiacylhydroxylpropanone (GHP) and the GHP/syringylhydroxylpropanone
(SHP) mixture are exclusive monomers from lignin isolated from softwood
(Cryptomeria japonica) and hardwood (Eucalyptus globulus). The intermediate
products in the enzymatic reactions show the capacity to accommodate highly
heterologous substrates at the substrate-binding sites of the enzymes. To
demonstrate the applicability of GHP as a platform chemical for bio-based
industries, we chemically generate value-added GHP derivatives for bio-based
polymers. Together with these chemical conversions for the valorization of lignin
derived phenylpropanone monomers, the specific and enzymatic production of the
monomers directly from natural lignin is expected to provide a new stream in
"white biotechnology" for sustainable biorefineries.
PMID- 27878987
TI - Identification and characterization of circular RNAs in zebrafish.
AB - Circular RNA (circRNA), a class of RNAs with circular structure, has received
little attention until recently, when some new features and functions were
discovered. In the present study, we sequenced circRNAs in zebrafish (Danio
rerio) and identified 3868 circRNAs using three algorithms (find_circ, CIRI,
segemehl). The analysis of microRNA target sites on circRNAs shows that some
circRNAs may function as miRNA sponges. Furthermore, we identified the existence
of reverse complementary sequences in the flanking regions of only 25 (2.64%)
exonic circRNAs, indicating that the mechanism of zebrafish exonic circRNA
biogenesis might be different from that in mammals. Moreover, 1122 (29%)
zebrafish circRNA sequences showed homology with human, mouse and coelacanth
circRNAs.
PMID- 27878986
TI - Catechol, a major component of smoke, influences primary root growth and root
hair elongation through reactive oxygen species-mediated redox signaling.
AB - Nicotiana attenuata germinates from long-lived seedbanks in native soils after
fires. Although smoke signals have been known to break seed dormancy, whether
they also affect seedling establishment and root development remains unclear. In
order to test this, seedlings were treated with smoke solutions. Seedlings
responded in a dose-dependent manner with significantly increased primary root
lengths, due mainly to longitudinal cell elongation, increased numbers of lateral
roots and impaired root hair development. Bioassay-driven fractionations and NMR
were used to identify catechol as the main active compound for the smoke-induced
root phenotype. The transcriptome analysis revealed that mainly genes related to
auxin biosynthesis and redox homeostasis were altered after catechol treatment.
However, histochemical analyses of reactive oxygen species (ROS) and the
inability of auxin applications to rescue the phenotype clearly indicated that
highly localized changes in the root's redox-status, rather than in levels of
auxin, are the primary effector. Moreover, H2 O2 application rescued the
phenotype in a dose-dependent manner. Chemical cues in smoke not only initiate
seed germination, but also influence seedling root growth; understanding how
these cues work provides new insights into the molecular mechanisms by which
plants adapt to post-fire environments.
PMID- 27878988
TI - Identification of a rhodanese-like protein involved in thiouridine biosynthesis
in Thermus thermophilus tRNA.
AB - Incorporation of a sulfur atom into 2-thioribothymidine (s2 T or 5-methyl-2
thiouridine) at position 54 in thermophile tRNA is accomplished by an elaborate
system composed of many proteins which confers thermostability to the translation
system. We identified ttuD (tRNA-two-thiouridine D) as a gene for the synthesis
of s2 T54 in Thermus thermophilus. The rhodanese-like protein TtuD enhances the
activity of cysteine desulfurases and receives the persulfide generated by
cysteine desulfurases in vitro. TtuD also enhances the formation of
thiocarboxylated TtuB, the sulfur donor for the tRNA sulfurtransferase TtuA.
Since cysteine desulfurases are the first enzymes in the synthesis of s2 T and
other sulfur-containing compounds, TtuD has a role to direct sulfur flow to s2 T
synthesis.
PMID- 27878990
TI - Hemodynamic-guided heart-failure management using a wireless implantable sensor:
Infrastructure, methods, and results in a community heart failure disease
management program.
AB - BACKGROUND: The real-world impact of remote pulmonary artery pressure (PAP)
monitoring on New York Heart Association (NYHA) class improvement and heart
failure (HF) hospitalization rate is presented here from a single center.
HYPOTHESIS: METHODS: Seventy-seven previously hospitalized outpatients with NYHA
class III HF were offered PAP monitoring via device implantation in a
multidisciplinary HF-management program. Prospective effectiveness analyses
compared outcomes in 34 hemodynamically monitored patients to a group of similar
patients (n = 32) who did not undergo device implantation but received usual
care. NYHA class and 6-minute walk testing were assessed at baseline and 90 days.
All hospitalizations were collected after 6 months of the implantation date
(average follow-up, 15 months) and compared with the number of hospitalizations
experienced prior to hemodynamic monitoring. RESULTS: Patients in both groups had
similar distributions of age, sex, and ejection fraction. After 90 days, 61.8% of
the monitored patients had NYHA class improvement of >=1, compared with 12.5% in
the controls (P < 0.001). Distance walked in 6 minutes increased by 54.5 meters
in the monitored group (253.0 +/- 25.6 meters to 307.4 +/- 26.3 meters; P <
0.005), whereas no change was seen in the usual-care group. After implantation,
19.4% of the monitored group had >=1 HF hospitalization, compared with 100% who
had been hospitalized in the year prior to implantation. The monitored group had
a significantly lower HF hospitalization rate (0.16; 95% confidence interval:
0.06-0.35 hospitalizations/patient-year) compared with the year prior (1.0
hospitalizations/patient-year; P < 0.001). CONCLUSIONS: Hemodynamic-guided HF
management leads to significant improvements in NYHA class and HF hospitalization
rate in a real-world setting compared with usual care delivered in a
comprehensive disease-management program.
PMID- 27878989
TI - Discovery and biological characterization of potent myeloid cell leukemia-1
inhibitors.
AB - : Myeloid cell leukemia 1 (Mcl-1) is an antiapoptotic member of the Bcl-2 family
of proteins that when overexpressed is associated with high tumor grade, poor
survival, and resistance to chemotherapy. Mcl-1 is amplified in many human
cancers, and knockdown of Mcl-1 using RNAi can lead to apoptosis. Thus, Mcl-1 is
a promising cancer target. Here, we describe the discovery of picomolar Mcl-1
inhibitors that cause caspase activation, mitochondrial depolarization, and
selective growth inhibition. These compounds represent valuable tools to study
the role of Mcl-1 in cancer and serve as useful starting points for the discovery
of clinically useful Mcl-1 inhibitors. PDB ID CODES: Comp. 2: 5IEZ; Comp. 5:
5IF4.
PMID- 27878991
TI - Crimp length decreases in lax tendons due to cytoskeletal tension, but is
restored with tensional homeostasis.
AB - Collagen crimp morphology is thought to contribute to the material behavior of
tendons and may reflect the local mechanobiological environment of tendon cells.
Following loss of collagen tension in tendons, tenocytes initiate a contraction
response that shortens tendon length which, in turn, may alter crimp patterns. We
hypothesized that changes in the crimp pattern of tendons are the result of cell
based contractions which are governed by relative tautness/laxity of the collagen
matrix. To determine the relationship between crimp pattern and tensional
homeostasis, rat tail tendon fascicles (RTTfs) were either allowed to freely
contract or placed in clamps with 10% laxity for 7 days. The freely contracting
RTTfs showed a significant decrease in percent crimp length on both day 5 (3.66%)
and day 7 (7.70%). This decrease in crimp length significantly correlated with
the decrease in freely contracting RTTf length. Clamped RTTfs demonstrated a
significant decrease in percent crimp length on day 5 (1.7%), but no significant
difference in percent crimp length on day 7 (0.57%). The results demonstrate that
the tendon crimp pattern appears to be under cellular control and is a reflection
of the local mechanobiological environment of the extracellular matrix. The
ability of tenocytes to actively alter the crimp pattern of collagen fibers also
suggests that tenocytes can influence the viscoelastic properties of tendon.
Understanding the interactions between tenocytes and their extracellular matrix
may lead to further insight into the role tendon cells play in maintaining tendon
heath and homeostasis. (c) 2016 Orthopaedic Research Society. Published by Wiley
Periodicals, Inc. J Orthop Res 35:573-579, 2017.
PMID- 27878993
TI - Forward for ICCP2015 issue of Biopolymers Peptide Science.
PMID- 27878992
TI - FUSCA3 interacting with LEAFY COTYLEDON2 controls lateral root formation through
regulating YUCCA4 gene expression in Arabidopsis thaliana.
AB - Lateral root (LR) development is a post-embryonic organogenesis event that gives
rise to most of the underground parts of higher plants. Auxin promotes LR
formation, but the molecular mechanisms involved in this process are still not
well understood. We analyzed LR formation induced by FUSCA3 (FUS3), a B3 domain
transcription factor, which may function by promoting auxin biosynthesis during
this process. We identified FUS3-interacting proteins that function in LR
formation. In addition, we searched for the common targets of both FUS3 and its
interacting protein. The role of their interactions in regulating auxin
accumulation and LR initiation was examined. We identified LEAFY COTYLEDON2
(LEC2) as an interacting factor of FUS3, and demonstrated that these two
homologous B3 transcription factors interact to bind to the auxin biosynthesis
gene YUCCA4 (YUC4) and synergistically activate its transcription during LR
formation. Furthermore, FUS3 expression is activated by LEC2 in LR initiation.
The observations indicate that the FUS3-LEC2 complex functions as a key regulator
in auxin-regulated LR formation. The results of this study provide new
information for understanding the mechanisms of LR regulation.
PMID- 27878995
TI - Myostatin serum concentrations are correlated with the severity of knee
osteoarthritis.
AB - OBJECTIVE: Myostatin, a member of the transforming growth factor-beta family,
contributes to joint deterioration in mice. Thus, we aimed to assess the
correlation of myostatin concentrations with the presence and severity of knee
osteoarthritis (OA). MATERIAL AND METHODS: We determined serum and synovial fluid
(SF) myostatin concentrations in a population of 184 patients with knee OA and
109 healthy controls. RESULTS: The knee OA group presented with higher serum
myostatin concentrations than the controls. Knee OA patients with KL grade 4
showed higher serum and SF myostatin concentrations compared with those with KL
grade 2 and 3. Knee OA patients with KL grade 3 had higher serum and SF myostatin
concentrations compared with those with KL grade 2. Serum and SF myostatin
concentrations were significantly correlated with KL grading. CONCLUSION: Serum
and SF myostatin concentrations were correlated with the presence and severity of
knee OA.
PMID- 27878994
TI - Direct observation of a deoxyadenosyl radical in an active enzyme environment.
AB - 5'-deoxyadenosyl radicals have been proposed as the first common intermediate in
the molecular reaction mechanism of the family of radical S-adenosyl-l-methionine
(SAM) enzymes. However, this radical species has not yet been directly observed
in a catalytically active enzyme environment. In a reduced and SAM-containing
C140A mutant of the spore photoproduct lyase from Geobacillus
thermodenitrificans, a mutant with altered catalytic activity, we were able to
identify an organic radical with pronounced hyperfine structure using electron
paramagnetic resonance spectroscopy. Guided by quantum-chemical computations at
the density functional theory level of theory, this radical could be tentatively
assigned to a deoxyadenosyl radical, which provides first experimental evidence
for this intermediate in the reaction mechanism of radical SAM enzymes.
PMID- 27878996
TI - On the Practical Interpretability of Cross-Lagged Panel Models: Rethinking a
Developmental Workhorse.
AB - Reciprocal feedback processes between experience and development are central to
contemporary developmental theory. Autoregressive cross-lagged panel (ARCL)
models represent a common analytic approach intended to test such dynamics. The
authors demonstrate that-despite the ARCL model's intuitive appeal-it typically
(a) fails to align with the theoretical processes that it is intended to test and
(b) yields estimates that are difficult to interpret meaningfully. Specifically,
using a Monte Carlo simulation and two empirical examples concerning the
reciprocal relation between spanking and child aggression, it is shown that the
cross-lagged estimates derived from the ARCL model reflect a weighted-and
typically uninterpretable-amalgam of between- and within-person associations. The
authors highlight one readily implemented respecification that better addresses
these multiple levels of inference.
PMID- 27878998
TI - The transition from somatic to germline identity shows conserved and specialized
features during angiosperm evolution.
AB - How and why specific plant cells adopt germline identity during ovule development
has proved challenging to address, and the pathways that are active in the ovules
of basal/early-divergent angiosperms possessing a multilayered nucellus are still
unclear. Here, we compare megasporogenesis between two early-divergent
angiosperms (Annona cherimola and Persea americana) and the evolutionarily
derived Arabidopsis thaliana, studying the three-dimensional spatial position of
the megaspore mother cell (MMC), the compositional details of the MMC wall and
the location of PIN1 expression. Specific wall polymers distinguished the central
position of the MMC and its meiotic products from surrounding tissues in early
divergent angiosperms, whereas, in A. thaliana, only callose (in mature MMCs) and
arabinogalactan proteins (AGPs) (in megaspores) distinguished the germline.
However, PIN1 expression, which regulates polar auxin transport, was observed
around the MMC in the single-layer nucellus of A. thaliana and in the
multilayered nucellus of A. cherimola, or close to the MMC in P. americana. The
data reveal a similar microenvironment in relation to auxin during
megasporogenesis in all three species. However, the different wall polymers that
mark MMC fate in early-divergent angiosperms may reflect a specific response to
mechanical stress during differentiation, or the specific recruitment of polymers
to sustain MMC growth.
PMID- 27879000
TI - Impact of prior permanent pacemaker on long-term clinical outcomes of patients
undergoing percutaneous coronary intervention.
AB - BACKGROUND: The impact of permanent pacemaker (PPM) on long-term clinical
outcomes of patients undergoing percutaneous coronary intervention (PCI) has not
been studied. HYPOTHESIS: PPM may increase heart failure (HF) burden on patients
undergoing PCI. METHODS: We recruited consecutive patients undergoing PCI and
carried out a nested case-control study. Patients with confirmed PPM undergoing
first PCI were identified and matched by age and sex in 1:1 fashion to patients
without PPM undergoing first PCI. Clinical data were collected and analyzed. The
primary endpoint outcomes were all-cause mortality and hospitalization for HF.
RESULTS: The final analysis included 156 patients. The mean follow-up period was
4.6 +/- 2.9 years. The overall all-cause mortality was 21.15%, without
significant difference between the 2 groups (21.79% vs 20.51%; P = 0.85).
However, the rate of HF-related hospitalization was significantly higher in
patients with PPM than in controls (26.92% vs 10.26%; P = 0.008). After
adjustment for hypertension, type 2 diabetes mellitus, hyperlipidemia, chronic
kidney disease, stroke, left ventricular ejection fraction, brain natriuretic
peptide, and acute coronary syndrome (ACS), PCI patients with PPM were still
associated with a greater hospitalization rate for HF (odds ratio: 4.31, 95%
confidence interval: 0.94-19.80, P = 0.061). Further analysis in the ACS subgroup
showed VVI-mode pacing enhanced the risk for HF-associated hospitalization
(adjusted odds ratio: 8.27, 95% confidence interval: 1.37-49.75, P = 0.02).
CONCLUSIONS: PPM has no effect on all-cause mortality in patients undergoing
first PCI but significantly increases the HF-associated hospitalization rate,
especially in ACS patients.
PMID- 27878999
TI - Experimental evaluation of multiscale tendon mechanics.
AB - Tendon's primary function is a mechanical link between muscle and bone. The
hierarchical structure of tendon and specific compositional constituents are
believed to be critical for proper mechanical function. With increased
appreciation for tendon importance and the development of various technological
advances, this review paper summarizes recent experimental approaches that have
been used to study multiscale tendon mechanics, includes an overview of studies
that have evaluated the role of specific tissue constituents, and also proposes
challenges/opportunities facing tendon study. Tendon has been demonstrated to
have specific structural characteristics (e.g., multi-level hierarchy, crimp
pattern, helix) and complex mechanical properties (e.g., non-linearity,
anisotropy, viscoelasticity). Physical mechanisms including uncrimping, fiber
sliding, and collagen reorganization have been shown to govern tendon mechanical
responses under both static and dynamic loading. Several tendon constituents with
relatively small quantities have been suggested to play a role in its mechanics,
although some results are conflicting. Further research should be performed to
understand the interplay and communication of tendon mechanical properties across
levels of the hierarchical structure, and further show how each of these
components contribute to tendon mechanics. The studies summarized and discussed
in this review have helped elucidate important aspects of multiscale tendon
mechanics, which is a prerequisite for analyzing stress/strain transfer between
multiple scales and identifying key principles of mechanotransduction. This
information could further facilitate interpreting the functional diversity of
tendons from different species, different locations, and even different
developmental stages, and then better understand and identify fundamental
concepts related to tendon degeneration, disease, and healing. (c) 2017
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
35:1353-1365, 2017.
PMID- 27879001
TI - Osteoarthritis alters the patellar bones subchondral trabecular architecture.
AB - Following the principles of "morphology reveals biomechanics," the cartilage
osseous interface and the trabecular network show defined adaptation in response
to physiological loading. In the case of a compromised relationship, the ability
to support the load diminishes and the onset of osteoarthritis (OA) may arise. To
describe and quantify the changes within the subchondral bone plate (SBP) and
trabecular architecture, 10 human OA patellae were investigated by CT and micro
CT. The results are presented in comparison to a previously published dataset of
10 non-OA patellae which were evaluated in the same manner. The analyzed OA
samples showed no distinctive mineralization pattern in regards to the
physiological biomechanics, but a highly irregular disseminated distribution. In
addition, no regularity in bone distribution and architecture across the
trabecular network was found. We observed a decrease of material as the bone
volume and trabecular thickness/number were significantly reduced. In comparison
to non-OA samples, greatest differences for all parameters were found within the
first mm of trabecular bone. The differences decreased toward the fifth mm in a
logarithmic manner. The interpretation of the logarithmic relation leads to the
conclusion that the main impact of OA on bony structures is located beneath the
SBP and lessens with depth. In addition to the clear difference in material with
approximately 12% less bone volume in the first mm in OA patellae, the
architectural arrangement is more rod-like and isotropic, accounting for an
architectural decrease in stability and support. (c) 2016 Orthopaedic Research
Society. Published by Wiley Periodicals, Inc. J Orthop Res 35:1982-1989, 2017.
PMID- 27878997
TI - Corneal epithelial cells function as surrogate Schwann cells for their sensory
nerves.
AB - The eye is innervated by neurons derived from both the central nervous system and
peripheral nervous system (PNS). While much is known about retinal neurobiology
and phototransduction, less attention has been paid to the innervation of the eye
by the PNS and the roles it plays in maintaining a functioning visual system. The
ophthalmic branch of the trigeminal ganglion contains somas of neurons that
innervate the cornea. These nerves provide sensory functions for the cornea and
are referred to as intraepithelial corneal nerves (ICNs) consisting of subbasal
nerves and their associated intraepithelial nerve terminals. ICNs project for
several millimeters within the corneal epithelium without Schwann cell support.
Here, we present evidence for the hypothesis that corneal epithelial cells
function as glial cells to support the ICNs. Much of the data supporting this
hypothesis is derived from studies of corneal development and the reinnervation
of the ICNs in the rodent and rabbit cornea after superficial wounds. Corneal
epithelial cells activate in response to injury via mechanisms similar to those
induced in Schwann cells during Wallerian Degeneration. Corneal epithelial cells
phagocytize distal axon fragments within hours of ICN crush wounds. During aging,
the proteins, lipids, and mitochondria within the ICNs become damaged in a
process exacerbated by UV light. We propose that ICNs shed their aged and damaged
termini and continuously elongate to maintain their density. Available evidence
points to new unexpected roles for corneal epithelial cells functioning as
surrogate Schwann cells for the ICNs during homeostasis and in response to
injury. GLIA 2017;65:851-863.
PMID- 27879002
TI - A study of Culicoides in Rondonia, in the Brazilian Amazon: species composition,
relative abundance and potential vectors.
AB - There is very little information available about Culicoides species (Diptera:
Ceratopogonidae) in the western Brazilian Amazon. However, studies of the fauna
of this region are essential to knowledge of the species and potential vectors
within it. Thus, the present study aims to evaluate the abundance, richness and
composition of Culicoides species in rural areas in the state of Rondonia,
Brazil. Culicoides specimens were collected in forest and pasture environments in
the municipality of Porto Velho, using light traps. A total of 1708 individuals
(1136 females and 572 males) belonging to 33 species were collected; 28 of these
samples represent new records for the state of Rondonia and include the first
record of Culicoides contubernalis in Brazil. Culicoides insignis was the most
abundant species (86.1%). Species richness was greater in forest areas (32
species, 96.96%), whereas pastures presented the greatest number of Culicoides
captured (n = 1540, 90.1%). This study shows that Culicoides populations differ
between forest and pasture environments and indicates that the abundance of C.
insignis is an important factor in epidemiological vigilance studies in the
region.
PMID- 27879003
TI - Risk and Protective Processes Predicting Rural African American Young Men's
Substance Abuse.
AB - Informed by a life course perspective, this study tested a cascade model linking
harsh, unresponsive parenting during childhood to young African American men's
substance abuse via precocious transitions, economic instability, and future
orientation. The moderating influence of community disadvantage and romantic
partner support on the hypothesized pathways was also examined. At the baseline,
the sample included 505 African American men between ages 19 and 22 years from
high-poverty rural communities. Follow-up data were collected 18 months after
baseline. Using structural equation modeling, we identified harsh, unresponsive
parenting influenced precocious transitions in adolescence, which in turn
increased economic instability during young adulthood. Economic instability was
associated with a reduction in future orientation, a proximal influence on
increases in substance abuse. Also, residence in a disadvantaged community
amplified the influence of precocious transitions on economic instability and the
influence of economic instability on future orientation. Involvement with
supportive romantic partnership evinced a protective effect, attenuating the
influence of precocious transitions on economic instability and the influence of
economic instability on a future orientation. This study expands understanding of
young adults' substance abuse by demonstrating the risk and protective processes
linking substance abuse to developmental factors across childhood, adolescence,
and young adulthood.
PMID- 27879004
TI - Plant domestication and the assembly of bacterial and fungal communities
associated with strains of the common sunflower, Helianthus annuus.
AB - Root and rhizosphere microbial communities can affect plant health, but it
remains undetermined how plant domestication may influence these bacterial and
fungal communities. We grew 33 sunflower (Helianthus annuus) strains (n = 5) that
varied in their extent of domestication and assessed rhizosphere and root
endosphere bacterial and fungal communities. We also assessed fungal communities
in the sunflower seeds to investigate the degree to which root and rhizosphere
communities were influenced by vertical transmission of the microbiome through
seeds. Neither root nor rhizosphere bacterial communities were affected by the
extent of sunflower domestication, but domestication did affect the composition
of rhizosphere fungal communities. In particular, more modern sunflower strains
had lower relative abundances of putative fungal pathogens. Seed-associated
fungal communities strongly differed across strains, but several lines of
evidence suggest that there is minimal vertical transmission of fungi from seeds
to the adult plants. Our results indicate that plant-associated fungal
communities are more strongly influenced by host genetic factors and plant
breeding than bacterial communities, a finding that could influence strategies
for optimizing microbial communities to improve crop yields.
PMID- 27879005
TI - Transient Distention of Right Posterior Located Sigma, a New Sonographic Sign for
the Prenatal Diagnosis of Anal Atresia.
AB - We describe a new sonographic sign for the detection of anal atresia in the early
midtrimester on transvaginal sonography. In six cases of fetal anal atresia, the
finding of a transient, distended, and right-sided sigmoid colon was observed at
13-16 weeks' gestation. Three cases have undergone pregnancy termination due to
multiple anomalies. In the other three, the colonic distension resolved by 19
weeks' gestation. In two of these, the finding was isolated, and no other
anomalies were detected. In all six cases, anal atresia or cloaca was confirmed
on postabortal autopsy or after delivery. (c) 2016 Wiley Periodicals, Inc. J Clin
Ultrasound 45:160-162, 2017.
PMID- 27879008
TI - Quality of life measurement in atopic dermatitis. Position paper of the European
Academy of Dermatology and Venereology (EADV) Task Force on quality of life.
AB - There is a need for researchers to have easy reference to the wide spectrum of
different types of quality of life (QoL) instruments that can be used in atopic
dermatitis (AD). Previous reviews on QoL in AD do not cover the full spectrum of
QoL measures used in studies on AD. This study, on behalf of the European Academy
of Dermatology and Venereology (EADV) Task Force on QoL, contains information on
instruments available for health-related QoL and family QoL assessment in AD
including information on validation, experience of QoL assessment in AD for
different purposes, peculiarities of QoL assessment in different age groups,
expert analysis of available instruments including data on limitations of their
use and recommendations of the Task Force.
PMID- 27879009
TI - Epidemiological, dermoscopical and pathological evaluation of melanocytic lesions
of the buttock in a 5-year period: a female prevalence.
PMID- 27879007
TI - Drotaverine - a Concealed Cytostatic!
AB - Drotaverine (also known as dihydroperparine or No-Spa(r) ) is an antispasmodic
drug closely related to papaverin. Drotaverin also acts as a cytostatic compound
for several human tumor cell lines and nonmalignant mouse fibroblasts, and EC50
values as low as 3.0 MUM were observed in SRB assays for HT-29 human colorectal
carcinoma cells. Small structural changes (e.g., aromatization, benzylic
oxidation) led to a reduced activity or a complete loss of cytotoxicity. Staining
of the cells with acridine orange showed the cell membrane of the dead cells to
be still intact, and a slight G1/G0 arrest in the treated cells was observed
after 24 h. Extra annexin V-FITC/PI assays and flow cytometry revealed
drotaverine mainly to act as a cytostatic and only to a minor extent as cytotoxic
agent.
PMID- 27879010
TI - Photochemical Reduction of CO2 with Visible Light Using a Polyoxometalate as
Photoreductant.
AB - The photochemical reduction of CO2 to CO requires two electrons and two protons
that, in the past, have been derived from sacrificial amine donors that are also
non-innocent in the catalytic cycle. Towards the realization of a water-splitting
reaction as the source of electrons and protons for CO2 reduction, we have found
that a reduced acidic polyoxometalate, H5 PWV2 W10 O40 , is a photoactive
electron and proton donor with visible light through excitation of the
intervalence charge-transfer band. Upon linking the polyoxometalate to a
dirhenium molecular catalyst, a cascade of transformations occurs where the
polyoxometalate is electrochemically reduced at a relatively low negative
potential of 1.3 V versus Ag/AgNO3 and visible light, a 60 W tungsten lamp, or a
red LED is used to transfer electrons from the polyoxometalate to the dirhenium
catalyst active for the selective reduction of CO2 to CO.
PMID- 27879011
TI - One-Pot Procedure for the Synthesis of 1,5-Benzodiazepines from N-Allyl-2
bromoanilines.
AB - A new one-pot procedure that includes an initial titanium-catalyzed
intermolecular hydroaminoalkylation of N-allyl-2-bromoanilines with N
methylanilines and a subsequent intramolecular Buchwald-Hartwig amination
directly gives access to pharmacologically relevant 1,5-benzodiazepines. The
process takes advantage of the excellent regioselectivity of the initial
hydroaminoalkylation performed in the presence of a titanium mono(formamidinate)
catalyst and the fact that the exclusively formed branched hydroaminoalkylation
products can only undergo palladium-catalyzed cyclization to 1,5-benzodiazepines.
PMID- 27879006
TI - Allosteric Modulation: An Alternate Approach Targeting the Cannabinoid CB1
Receptor.
AB - The cannabinoid CB1 receptor is a G protein coupled receptor and plays an
important role in many biological processes and physiological functions. A
variety of CB1 receptor agonists and antagonists, including endocannabinoids,
phytocannabinoids, and synthetic cannabinoids, have been discovered or developed
over the past 20 years. In 2005, it was discovered that the CB1 receptor contains
allosteric site(s) that can be recognized by small molecules or allosteric
modulators. A number of CB1 receptor allosteric modulators, both positive and
negative, have since been reported and importantly, they display pharmacological
characteristics that are distinct from those of orthosteric agonists and
antagonists. Given the psychoactive effects commonly associated with CB1 receptor
agonists and antagonists/inverse agonists, allosteric modulation may offer an
alternate approach to attain potential therapeutic benefits while avoiding
inherent side effects of orthosteric ligands. This review details the complex
pharmacological profiles of these allosteric modulators, their structure-activity
relationships, and efforts in elucidating binding modes and mechanisms of actions
of reported CB1 allosteric modulators. The ultimate development of CB1 receptor
allosteric ligands could potentially lead to improved therapies for CB1-mediated
neurological disorders.
PMID- 27879012
TI - Crusted impetigo-like lesion on the face: a case of IgG/IgA pemphigus.
PMID- 27879014
TI - Highly Efficient Reusable Sponge-Type Catalyst Carriers Based on Short
Electrospun Fibers.
AB - This study reports on gold nanoparticles (AuNPs) immobilized in a sponge made of
short electrospun fibers (Au-sponge), which show surprisingly high reaction rates
at extremely low gold amount. Au-sponges are made by freeze-drying of dispersions
of short electrospun fibers with preimmobilization of AuNPs. The resulting Au
sponges show very low densities around 7 mg cm-3 corresponding to a pore volume
of about 150 mL g-1 , but low surface area and very low amount of AuNPs in the
range of 0.29-3.56 wt%. In general, catalysts with immobilized AuNPs show much
low reaction rates compared to systems with dispersed AuNPs. By contrast, the Au
sponge catalyst with immobilized AuNPs is discerned here as an extremely
efficient catalyst even superior to other systems with dispersed AuNPs. The
fidelity of the Au-sponges after reactions is good enough for manifold use and
thereby provides a sustainable catalyst design as well.
PMID- 27879013
TI - Bright Multicolor Bandgap Fluorescent Carbon Quantum Dots for Electroluminescent
Light-Emitting Diodes.
AB - Multicolor bandgap fluorescent carbon quantum dots (MCBF-CQDs) from blue to red
with quantum yield up to 75% are synthesized using a solvothermal method. For the
first time, monochrome electroluminescent light-emitting diodes (LEDs) with MCBF
CQDs directly as an active emission layer are fabricated. The maximum luminance
of blue LEDs reaches 136 cd m-2 , which is the best performance for CQD-based
monochrome electroluminescent LEDs.
PMID- 27879015
TI - A Quantitative Study of the Effects of Guest Flexibility on Binding Inside a
Coordination Cage Host.
AB - We have performed a systematic investigation of the effects of guest flexibility
on their ability to bind in the cavity of a coordination cage host in water,
using two sets of isomeric aliphatic ketones that differ only in the branching
patterns of their alkyl chains. Apart from the expected increase in binding
strength for C9 over C7 ketones associated with their greater hydrophobic surface
area, within each isomeric set there is a clear inverse correlation between
binding free energy and guest flexibility, associated with loss of conformational
entropy. This can be parameterized by the number of rotatable C-C bonds in the
guest, with each additional rotatable bond resulting in a penalty of around 2 kJ
mol-1 in the binding free energy, in good agreement with values obtained from
protein/ligand binding studies. We used the binding data for the new flexible
guests to improve the scoring function that we had previously developed that
allowed us to predict binding constants of relatively rigid guests in the cage
cavity using the molecular docking programme GOLD (Genetic Optimisation of Ligand
Docking). This improved scoring function resulted in a significant improvement in
the ability of GOLD to predict binding constants for flexible guests, without any
detriment to its ability to predict binding for more rigid guests.
PMID- 27879016
TI - Pure Formamidinium-Based Perovskite Light-Emitting Diodes with High Efficiency
and Low Driving Voltage.
AB - A formamidinium(FA)-based perovskite showns superior optoelectronic properties
including better stability than methylammonium-based counterparts. Pure FA
perovskite-based light-emitting diodes (LEDs) with high efficiency are reported.
Interestingly, the LED clearly shows a sub-bandgap emission at 1.7 V (bandgap 2.3
eV). This important discovery provides further insights of the charge transport
mechanism in perovskite-based optoelectronic devices.
PMID- 27879018
TI - Structural Tuning of Anion-Templated Motifs with External Stimuli through Crystal
to-Crystal Transformation.
AB - Protonation of trans-1,2-bis(4-pyridyl)ethylene (4,4'-bpe) with dilute sulfuric
acid (33 %) afforded a protonated adduct [{4,4'-bpe?2 H+ }2 {HSO4 }-2 {SO4 }-2
{H2 O}2 ] (1). The neighboring olefinic bond in 1 is in a suitable range (3.931
4.064 A) to undergo a photochemical [2+2] cycloaddition reaction. Upon
irradiation with UV light (365 nm), 1 undergoes a molecular sliding involving the
4,4'-bpe?2 H+ units, affording 2, stabilized through OSO4 ???pi interactions.
Heating 1 to 50 degrees C leads to a 3D hydrogen-bonded organic framework (HOF)
(3). This process occurs through thermal dissociation of the bisulfate anion.
Diffusion of iodine through the crystal lattice of 1 and 3 enables the reduction
of sulfate to bisulfate, affording a 1D hydrogen-bonded chain (4). Solid-state 13
C CPMAS NMR, IR, DSC, and powder XRD studies further support stimuli-responsive
structural tuning through crystal-to-crystal transformation. All these
conversions occur with significant translational and rotational movements along
with a series of bond-breaking and bond-forming processes.
PMID- 27879017
TI - Domain architecture of vasohibins required for their chaperone-dependent
unconventional extracellular release.
AB - Vasohibins (VASH1 and VASH2) are recently identified regulators of angiogenesis
and cancer cell functions. They are secreted proteins without any classical
secretion signal sequences, and are thought to be secreted instead via an
unconventional protein secretion (UPS) pathway in a small vasohibin-binding
protein (SVBP)-dependent manner. However, the precise mechanism of SVBP-dependent
UPS is poorly understood. In this study, we identified a novel UPS regulatory
system in which essential domain architecture (VASH-PS) of VASHs, comprising
regions VASH191-180 and VASH280-169 , regulate the cytosolic punctate structure
formation in the absence of SVBP. We also demonstrate that SVBP form a complex
with VASH1 through the VASH1274-282 (SIa), VASH1139-144 (SIb), and VASH1133-137
(SIc), leading to the dispersion in the cytosol and extracellular release of
VASH1. The amino acid sequences of VASH-SIa and VASH-PS, containing SIb and SIc,
are highly conserved among VASH family members in vertebrates, suggesting that
SVBP-dependent UPS may be common within the VASH family. This novel UPS
regulatory system may open up new avenues for understanding fundamental protein
secretion in vertebrates.
PMID- 27879020
TI - Membrane protein insertase YidC in bacteria and archaea.
AB - The insertion of proteins into the prokaryotic plasma membrane is catalyzed by
translocases and insertases. On one hand, the Sec translocase operates as a
transmembrane channel that can open laterally to first bind and then release the
hydrophobic segments of a substrate protein into the lipid bilayer. On the other
hand, YidC insertases interact with their substrates in a groove-like structure
at an amphiphilic protein-lipid interface thus allowing the transmembrane
segments of the substrate to slide into the lipid bilayer. The recently published
high-resolution structures of YidC provide new mechanistic insights of how
transmembrane proteins achieve the transition from an aqueous environment in the
cytoplasm to the hydrophobic lipid bilayer environment of the membrane.
PMID- 27879019
TI - Screening for ALK abnormalities in central nervous system metastases of non-small
cell lung cancer.
AB - Anaplastic lymphoma kinase (ALK) gene rearrangement was reported in 3%-7% of
primary non-small-cell lung cancer (NSCLC) and its presence is commonly
associated with adenocarcinoma (AD) type and non-smoking history. ALK tyrosine
kinase inhibitors (TKIs) such as crizotinib, alectinib and ceritinib showed
efficiency in patients with primary NSCLC harboring ALK gene rearrangement.
Moreover, response to ALK TKIs was observed in central nervous system (CNS)
metastatic lesions of NSCLC. However, there are no reports concerning the
frequency of ALK rearrangement in CNS metastases. We assessed the frequency of
ALK abnormalities in 145 formalin fixed paraffin embedded (FFPE) tissue samples
from CNS metastases of NSCLC using immunohistochemical (IHC) automated staining
(BenchMark GX, Ventana, USA) and fluorescence in situ hybridization (FISH)
technique (Abbot Molecular, USA). The studied group was heterogeneous in terms of
histopathology and smoking status. ALK abnormalities were detected in 4.8%
(7/145) of CNS metastases. ALK abnormalities were observed in six AD (7.5%; 6/80)
and in single patients with adenosuqamous lung carcinoma. Analysis of clinical
and demographic factors indicated that expression of abnormal ALK was
significantly more frequently observed (P = 0.0002; chi2 = 16.783) in former
smokers. Comparison of IHC and FISH results showed some discrepancies, which were
caused by unspecific staining of macrophages and glial/nerve cells, which
constitute the background of CNS tissues. Their results indicate high frequency
of ALK gene rearrangement in CNS metastatic sites of NSCLC that are in line with
prior studies concerning evaluation of the presence of ALK abnormalities in such
patients. However, they showed that assessment of ALK by IHC and FISH methods in
CNS tissues require additional standardizations.
PMID- 27879021
TI - Click and Release: A Chemical Strategy toward Developing Gasotransmitter Prodrugs
by Using an Intramolecular Diels-Alder Reaction.
AB - Prodrug strategies have been proven to be a very effective way of addressing
delivery problems. Much of the chemistry in prodrug development relies on the
ability to mask an appropriate functional group, which can be removed under
appropriate conditions. However, developing organic prodrugs of gasotransmitters
represent unique challenges. This is especially true with carbon monoxide, which
does not have an easy "handle" for bioreversible derivatization. By taking
advantage of an intramolecular Diels-Alder reaction, we have developed a prodrug
strategy for preparations of organic CO prodrugs that are stable during synthesis
and storage, and yet readily release CO with tunable release rates under near
physiological conditions. The effectiveness of the CO prodrug system in
delivering a sufficient quantity of CO for possible therapeutic applications has
been studied using a cell culture anti-inflammatory assay and a colitis animal
model. These studies fully demonstrate the proof of concept, and lay a strong
foundation for further medicinal chemistry work in developing organic CO
prodrugs.
PMID- 27879022
TI - Catalytic Emulsion Based on Janus Nanosheets for Ultra-Deep Desulfurization.
AB - Catalytic Janus nanosheets were synthesized by using an anion-exchange reaction
between heteropolyacids (HPAs) and the modified ionic-liquid (IL) moieties of
Janus nanosheets. Their morphology and surface properties were characterized by
using SEM, energy-dispersive spectroscopy (EDS), FTIR spectroscopy, and X-ray
photoelectron spectroscopy (XPS) studies. Because of their inherent Janus
structure, the nanosheets exhibited good amphipathic character with ILs and oil
to form a stable ILs-in-oil emulsion. Therefore, these Janus nanosheets can be
used as both emulsifiers and catalysts to perform emulsive desulfurization.
During this process, sulfur-containing compounds at the interface could be easily
oxidized and efficiently removed from a model oil. Application of this Janus
emulsion brings an efficient, useful, and green procedure to the desulfurization
process. Compared with the desulfurization catalyzed by using HPAs in a
conventional two-phase system, the sulfur removal of dibenzothiophene (DBT)
achieved in a Janus emulsion system was improved from 68 to 97 % within 1.5 h.
Moreover, this emulsion system could be demulsified easily by simple
centrifugation to recover both the nanosheets and the ILs. Owing to the good
structural stability of the Janus nanosheets, the sulfur removal efficiency of
DBT could still reach 99.9 % after the catalytic nanosheets had been recycled at
least six times.
PMID- 27879023
TI - Potential effect of ezetimibe against Mycobacterium tuberculosis infection in
type II diabetes.
AB - BACKGROUND AND OBJECTIVE: Tuberculosis (TB) risk might be increased in patients
with diabetes by factors other than hyperglycaemia, such as dyslipidaemia. Host
lipids are essential energy sources used by mycobacteria to persist in a latent
TB state. A potential therapy targeting cholesterol catabolism of mycobacteria
has been proposed, but the potential of cholesterol-lowering drugs as anti-TB
therapy is unclear. The purpose of this study was to determine the effects of
ezetimibe, a 2-azetidinone cholesterol absorption inhibitor, on intracellular
mycobacteria survival and dormancy. METHODS: Intracellular mycobacteria survival
was determined by measurements of ATP activity and colony-formation units (CFUs).
Gene expression profiles of hypoxia-induced dormant Mycobacterium tuberculosis
(Mtb) were analysed by real-time PCR. Flow cytometry and microscopy analysis were
used to measure the lipid loads of human macrophages with or without ezetimibe
treatment. QuantiFERON-TB Gold In-Tube (QFT-G-IT) assays were performed to
diagnose latent TB infection. The levels of intracellular cholesterol/
triglyceride were measured by an enzymatic fluorometric method. RESULTS:
Ezetimibe was capable of effectively lowering intracellular growth of Mtb and
hypoxia-induced dormant Mtb. There was a significant decrease in Mtb growth in
leucocytes from ezetimibe-treated patients with diabetes in terms of ATP levels
of intracellular mycobacteria and CFU formation. Also, patients receiving
ezetimibe therapy had a lower prevalence of latent TB and had lower intracellular
lipid contents. CONCLUSION: Ezetimibe, which is a currently marketed drug, could
hold promise as an adjunctive, host-directed therapy for TB.
PMID- 27879025
TI - Trajectory of Approach of a Zinc-Hydrogen Bond to Transition Metals.
AB - Through a dramatic advance in the coordination chemistry of the zinc-hydride
bond, we describe the trajectory for the approach of this bond to transition
metals. The dynamic reaction coordinate was interrogated through analysis of a
series of solid state structures and is one in which the TM-H-Zn angle becomes
increasingly acute as the TM-Zn distance decreases. Parallels may be drawn with
the oxidative addition of boron-hydrogen and silicon-hydrogen bonds to transition
metal centers.
PMID- 27879024
TI - A newly emerged osteosarcomatous dedifferentiation of liposarcoma in lung
metastasis - a potential diagnostic pitfall.
PMID- 27879026
TI - Ionizing radiation promotes CCL27 secretion from keratinocytes through the cross
talk between TNF-alpha and ROS.
AB - The skin-associated chemokine CCL27 and its receptor CCR10 mediate the immune
response of skin-homing T cells. The CCL27 secreted from keratinocytes was
reportedly involved in inflammatory skin diseases such as atopic dermatitis,
contact dermatitis, and psoriasis. However, whether ionizing radiation increases
the levels of CCL27 secretion still remains unclear. In HaCaT cells, a human
keratinocyte cell line, CCL27 secretion was markedly increased after X-ray
irradiation. We further found that irradiation boosted the generation of reactive
oxygen species (ROS), which was concomitant with the release of tumor necrosis
factor-alpha (TNF-alpha). Moreover, alteration of ROS in irradiated HaCaT cells
correlated with TNF-alpha secretion, indicating a positive loop of TNF-alpha
secretion and ROS generation. This positive loop regulated the secretion of CCL27
from irradiated cells. We therefore concluded that the cross talk between TNF
alpha and ROS after keratinocytes was exposed to radiation, triggered CCL27
secretion for subsequent inflammation response.
PMID- 27879027
TI - Arenophile-Mediated Dearomative Reduction.
AB - A dearomative reduction of simple arenes has been developed which employs a
visible-light-mediated cycloaddition of arenes with an N-N-arenophile and in situ
diimide reduction. Subsequent cycloreversion or fragmentation of the arenophile
moiety affords 1,3-cyclohexadienes or 1,4-diaminocyclohex-2-enes, compounds that
are not synthetically accessible using existing dearomatization reactions.
Importantly, this strategy also provides numerous opportunities for further
derivatization as well as site-selective functionalization of polynuclear arenes.
PMID- 27879028
TI - The Orange Side of Disperse Red 1: Humidity-Driven Color Switching in
Supramolecular Azo-Polymer Materials Based on Reversible Dye Aggregation.
AB - Humidity detection, and the quest for low-cost facile humidity-sensitive
indicator materials is of great interest for many fields, including semi
conductor processing, food transport and storage, and pharmaceuticals. Ideal
humidity-detection materials for a these applications might be based on simple
clear optical readout with no power supply, i.e.: a clear color change observed
by the naked eye of any untrained observer, since it doesn't require any extra
instrumentation or interpretation. Here, the introduction of a synthesis-free one
step procedure, based on physical mixing of easily available commercial
materials, for producing a humidity memory material which can be easily painted
onto a wide variety of surfaces and undergoes a remarkable color change
(approximately 100 nm blue-shift of lambdaMAX ) upon exposure to various
thresholds of levels of ambient humidity is reported. This strong color change,
easily visible to as a red-to-orange color switch, is locked in until inspection,
but can then be restored reversibly if desired, after moderate heating. By taking
advantage of spontaneously-forming reversible 'soft' supramolecular bonds between
a red-colored azo dye and a host polymer matrix, a reversible dye 'migration'
aggregation appearing orange, and dis-aggregation back to red can be achieved, to
function as the sensor.
PMID- 27879029
TI - Progesterone receptor and prostaglandins mediate luteinizing hormone-induced
changes in messenger RNAs for ADAMTS proteases in theca cells of bovine
periovulatory follicles.
AB - Little is known about the ADAMTS (a disintegrin and metalloproteinase with
thrombospondin motifs) family of extracellular proteases in ovarian follicles of
non-rodent species, particularly in theca cells. In the present study, temporal
changes in the abundance of mRNA encoding four ADAMTS subtypes and hormonal
regulation of mRNA encoding two subtypes were investigated in theca interna cells
during the periovulatory period in cattle. Gonadotropin-releasing hormone (GnRH)
was injected into animals to induce a luteinizing hormone (LH)/follicle
stimulating hormone (FSH) surge, and follicles were obtained at 0 hr post-GnRH
(preovulatory) or at 6, 12, 18, or 24 hr (periovulatory). ADAMTS1, -2, -7, and -9
transcript abundance was then determined in the isolated theca interna. ADAMTS1
and -9 mRNA levels were up-regulated at 24 hr post-GnRH, whereas ADAMTS2 mRNA was
higher at 12-24 hr post-GnRH and ADAMTS7 mRNA increased transiently at 12 hr post
GnRH compared to other time points. Subsequent in vitro experiments using
preovulatory theca interna (0 hr post-GnRH) showed that application of LH in
vitro can mimic the effects of the gonadotropin surge on mRNAs encoding ADAMTS1
and -9 and that progesterone/progesterone receptor and/or prostaglandins may
regulate the levels of mRNA encoding ADAMTS1 and -9 in theca interna, downstream
of the LH surge. Time- and subtype-specific changes in ADAMTS mRNA abundance in
vivo, and their regulation in vitro by hormones, indicate that ADAMTS family
members produced by theca cells may play important roles in follicle rupture and
the accompanying tissue remodeling in cattle. Mol. Reprod. Dev. 84: 55-66, 2017.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27879031
TI - Combining Organocatalysis and Lanthanide Catalysis: A Sequential One-Pot
Quadruple Reaction Sequence/Hetero-Diels-Alder Asymmetric Synthesis of
Functionalized Tricycles.
AB - A stereoselective one-pot synthesis of functionalized complex tricyclic
polyethers has been achieved using the combination of secondary amine and
lanthanide catalysis. This one-pot quadruple reaction/Hetero-Diels-Alder sequence
gave good yields (per step) as well as excellent diastereo- and
enantioselectivities. Furthermore, the particular combination of lanthanide
complexes with organocatalysis is one of the first examples described for
sequential catalysis.
PMID- 27879030
TI - Age-related decline in task switching is linked to both global and tract-specific
changes in white matter microstructure.
AB - Task-switching performance relies on a broadly distributed frontoparietal network
and declines in older adults. In this study, they investigated whether this age
related decline in task switching performance was mediated by variability in
global or regional white matter microstructural health. Seventy cognitively
intact adults (43-87 years) completed a cued-trials task switching paradigm.
Microstructural white matter measures were derived using diffusion tensor imaging
(DTI) analyses on the diffusion-weighted imaging (DWI) sequence. Task switching
performance decreased with increasing age and radial diffusivity (RaD), a measure
of white matter microstructure that is sensitive to myelin structure. RaD
mediated the relationship between age and task switching performance. However,
the relationship between RaD and task switching performance remained significant
when controlling for age and was stronger in the presence of cardiovascular risk
factors. Variability in error and RT mixing cost were associated with RaD in
global white matter and in frontoparietal white matter tracts, respectively.
These findings suggest that age-related increase in mixing cost may result from
both global and tract-specific disruption of cerebral white matter linked to the
increased incidence of cardiovascular risks in older adults. Hum Brain Mapp
38:1588-1603, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27879032
TI - Altered expression of BRG1 and histone demethylases, and aberrant H3K4
methylation in less developmentally competent embryos at the time of embryonic
genome activation.
AB - Epigenetics is a fundamental regulator underlying many biological functions, such
as development and cell differentiation. Epigenetic modifications affect key
chromatin regulation, including transcription and DNA repair, which are critical
for normal embryo development. In this study, we profiled the expression of
epigenetic modifiers and patterns of epigenetic changes in porcine embryos around
the period of embryonic genome activation (EGA). We observed that Brahma-related
gene 1 (BRG1) and Lysine demethylase 1A (KDM1A), which can alter the methylation
status of lysine 4 in histone 3 (H3K4), localize to the nucleus at Day 3-4 of
development. We then compared the abundance of epigenetic modifiers between early
and late-cleaving embryos, which were classified based on the time to the first
cell cleavage, to investigate if their nuclear localization contributes to
developmental competence. The mRNA abundance of BRG1, KDM1A, as well as other
lysine demethylases (KDM1B, KDM5A, KDM5B, and KDM5C), were significantly higher
in late- compared to early-cleaving embryos near the EGA period, although these
difference disappeared at the blastocyst stage. The abundance of H3K4 mono-
(H3K4me) and di-methylation (H3K4me2) during the EGA period was reduced in late
cleaving and less developmentally competent embryos. By contrast, BRG1, KDM1A,
and H3K4me2 abundance was greater in embryos with more than eight cells at Day 3
4 of development compared to those with fewer than four cells. These findings
suggest that altered epigenetic modifications of H3K4 around the EGA period may
affect the developmental capacity of porcine embryos to reach the blastocyst
stage. Mol. Reprod. Dev. 84: 19-29, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27879034
TI - Template-Free Supracolloidal Self-Assembly of Atomically Precise Gold
Nanoclusters: From 2D Colloidal Crystals to Spherical Capsids.
AB - We report supracolloidal self-assembly of atomically precise and strictly
monodisperse gold nanoclusters involving p-mercaptobenzoic acid ligands (Au102
pMBA44 ) under aqueous conditions into hexagonally packed monolayer-thick two
dimensional facetted colloidal crystals (thickness 2.7 nm) and their bending to
closed shells leading to spherical capsids (d ca. 200 nm), as controlled by
solvent conditions. The 2D colloidal assembly is driven in template-free manner
by the spontaneous patchiness of the pMBA ligands around the Au102 -pMBA44
nanoclusters preferably towards equatorial plane, thus promoting inter
nanocluster hydrogen bonds and high packing to planar sheets. More generally, the
findings encourage to explore atomically precise nanoclusters towards highly
controlled colloidal self-assemblies.
PMID- 27879033
TI - Regulation of the placental BCRP transporter by PPAR gamma.
AB - Identifying regulators of placental breast cancer resistance protein (BCRP)
expression is critical as downregulation of this transporter may increase
exposure of the fetus to xenobiotics. Here, we sought to test whether the nuclear
receptor peroxisome proliferator-activated receptor gamma (PPARgamma) regulates
BCRP expression in the placenta. To test this, human BeWo placental
choriocarcinoma cells were cultured with the PPARgamma agonist rosiglitazone or
the PPARgamma antagonist T0070907 for 24 h. Messenger RNA (mRNA) expression of
syncytialization markers, GCM1 and hCGbeta, as well as BCRP increased with
PPARgamma agonist treatment. Conversely, BCRP mRNA and protein expression
decreased 30%-50% with PPARgamma antagonist treatment. Rosiglitazone enhanced
BCRP protein expression and transport activity, resulting in a 20% greater efflux
of the substrate Hoechst 33342 compared with control cells. These results suggest
that PPARgamma can upregulate BCRP expression in the placenta, which may be
important in understanding mechanisms that protect the fetus from xenobiotic
exposure during development.
PMID- 27879035
TI - Dynamic Nuclear Polarization Provides New Insights into Chromophore Structure in
Phytochrome Photoreceptors.
AB - Phytochromes are red/far-red photochromic photoreceptors acting as master
regulators of development in higher plants, thereby controlling transcription of
about 20 % of their genes. Light-induced isomerization of the bilin chromophore
leads to large rearrangements in protein structure, whereby the role of
protonation dynamics and charge distribution is of particular interest. To help
unravel the inherent mechanisms, we present two-dimensional dynamic nuclear
polarization (DNP) enhanced solid-state magic-angle spinning (MAS) NMR spectra of
the functional sensory module of the cyanobacterial phytochrome Cph1. To this
end, the pyrrole ring nitrogen signals were assigned unequivocally, enabling us
to locate the positive charge of the phycocyanobilin (PCB) chromophore. To help
analyze proton exchange pathways, the proximity of PCB ring nitrogen atoms and
functionally relevant H2 O molecules was also determined. Our study demonstrates
the value of DNP in biological solid-state MAS NMR spectroscopy.
PMID- 27879036
TI - fMRI single trial discovery of spatio-temporal brain activity patterns.
AB - There is growing interest in the description of short-lived patterns in the
spatiotemporal cortical activity monitored via neuroimaging. Most traditional
analysis methods, designed to estimate relatively long-term brain dynamics, are
not always appropriate to capture these patterns. Here we introduce a novel data
driven approach for detecting short-lived fMRI brain activity patterns.
Exploiting Density Peak Clustering (Rodriguez and Laio [2014]), our approach
reveals well localized clusters by identifying and grouping together voxels whose
time-series are similar, irrespective of their brain location, even when very
short time windows (~10 volumes) are used. The method, which we call Coherence
Density Peak Clustering (CDPC), is first tested on simulated data and compared
with a standard unsupervised approach for fMRI analysis, independent component
analysis (ICA). CDPC identifies activated voxels with essentially no false
positives and proves more reliable than ICA, which is troubled by a number of
false positives comparable to that of true positives. The reliability of the
method is demonstrated on real fMRI data from a simple motor task, containing
brief iterations of the same movement. The clusters identified are found in
regions expected to be involved in the task, and repeat synchronously with the
paradigm. The methodology proposed is especially suitable for the study of short
time brain dynamics and single trial experiments, where the event or task of
interest cannot be repeated for the same subject, as happens, for instance, in
problem-solving, learning and decision-making. A GUI implementation of our method
is available for download at https://github.com/micheleallegra/CDPC. Hum Brain
Mapp 38:1421-1437, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27879037
TI - Nanomembrane-Based, Thermal-Transport Biosensor for Living Cells.
AB - Knowledge of materials' thermal-transport properties, conductivity and
diffusivity, is crucial for several applications within areas of biology,
material science and engineering. Specifically, a microsized, flexible,
biologically integrated thermal transport sensor is beneficial to a plethora of
applications, ranging across plants physiological ecology and thermal imaging and
treatment of cancerous cells, to thermal dissipation in flexible semiconductors
and thermoelectrics. Living cells pose extra challenges, due to their small
volumes and irregular curvilinear shapes. Here a novel approach of simultaneously
measuring thermal conductivity and diffusivity of different materials and its
applicability to single cells is demonstrated. This technique is based on
increasing phonon-boundary-scattering rate in nanomembranes, having extremely low
flexural rigidities, to induce a considerable spectral dependence of the bandgap
emission over excitation-laser intensity. It is demonstrated that once in contact
with organic or inorganic materials, the nanomembranes' emission spectrally shift
based on the material's thermal diffusivity and conductivity. This NM-based
technique is further applied to differentiate between different types and
subtypes of cancer cells, based on their thermal-transport properties. It is
anticipated that this novel technique to enable an efficient single-cell thermal
targeting, allow better modeling of cellular thermal distribution and enable
novel diagnostic techniques based on variations of single-cell thermal-transport
properties.
PMID- 27879038
TI - Detecting and avoiding likely false-positive findings - a practical guide.
AB - Recently there has been a growing concern that many published research findings
do not hold up in attempts to replicate them. We argue that this problem may
originate from a culture of 'you can publish if you found a significant effect'.
This culture creates a systematic bias against the null hypothesis which renders
meta-analyses questionable and may even lead to a situation where hypotheses
become difficult to falsify. In order to pinpoint the sources of error and
possible solutions, we review current scientific practices with regard to their
effect on the probability of drawing a false-positive conclusion. We explain why
the proportion of published false-positive findings is expected to increase with
(i) decreasing sample size, (ii) increasing pursuit of novelty, (iii) various
forms of multiple testing and researcher flexibility, and (iv) incorrect P
values, especially due to unaccounted pseudoreplication, i.e. the non
independence of data points (clustered data). We provide examples showing how
statistical pitfalls and psychological traps lead to conclusions that are biased
and unreliable, and we show how these mistakes can be avoided. Ultimately, we
hope to contribute to a culture of 'you can publish if your study is rigorous'.
To this end, we highlight promising strategies towards making science more
objective. Specifically, we enthusiastically encourage scientists to preregister
their studies (including a priori hypotheses and complete analysis plans), to
blind observers to treatment groups during data collection and analysis, and
unconditionally to report all results. Also, we advocate reallocating some
efforts away from seeking novelty and discovery and towards replicating important
research findings of one's own and of others for the benefit of the scientific
community as a whole. We believe these efforts will be aided by a shift in
evaluation criteria away from the current system which values metrics of 'impact'
almost exclusively and towards a system which explicitly values indices of
scientific rigour.
PMID- 27879039
TI - Stereoselective Carbonyl Olefination with Fluorosulfoximines: Facile Access to Z
or E Terminal Monofluoroalkenes.
AB - Terminal monofluoroalkenes are important structural motifs in the design of
bioactive compounds, such as homeostasis regulators and mechanism-based enzyme
inhibitors. However, it is difficult to control the stereoselectivity of known
carbonyl olefination reactions, and olefin metathesis is limited to disubstituted
terminal monofluoroalkenes. Although sulfoximines have been used extensively in
organic synthesis, reports on their use in carbonyl olefination reactions have
not appeared to date. Herein, we report highly stereoselective carbonyl
monofluoroolefination with a fluorosulfoximine reagent. The potential of this
method is demonstrated by the synthesis of MDL 72161 and by the late-stage
monofluoromethylenation of complex molecules, such as haloperidol and steroid
derivatives.
PMID- 27879040
TI - Differential expression of cucumber RNA-dependent RNA polymerase 1 genes during
antiviral defence and resistance.
AB - RNA-dependent RNA polymerase 1 (RDR1) plays a crucial role in plant defence
against viruses. In this study, it was observed that cucumber, Cucumis sativus,
uniquely encodes a small gene family of four RDR1 genes. The cucumber RDR1 genes
(CsRDR1a, CsRDR1b and duplicated CsRDR1c1/c2) shared 55%-60% homology in their
encoded amino acid sequences. In healthy cucumber plants, RDR1a and RDR1b
transcripts were expressed at higher levels than transcripts of RDR1c1/c2, which
were barely detectable. The expression of all four CsRDR1 genes was induced by
virus infection, after which the expression level of CsRDR1b increased 10-20-fold
in several virus-resistant cucumber cultivars and in a broad virus-resistant
transgenic cucumber line expressing a high level of transgene small RNAs, all
without alteration in salicylic acid (SA) levels. By comparison, CsRDR1c1/c2
genes were highly induced (25-1300-fold) in susceptible cucumber cultivars
infected with RNA or DNA viruses. Inhibition of RDR1c1/c2 expression led to
increased virus accumulation. Ectopic application of SA induced the expression of
cucumber RDR1a, RDR1b and RDRc1/c2 genes. A constitutive high level of RDR1b gene
expression independent of SA was found to be associated with broad virus
resistance. These findings show that multiple RDR1 genes are involved in virus
resistance in cucumber and are regulated in a coordinated fashion with different
expression profiles.
PMID- 27879041
TI - Cyanine-Anchored Silica Nanochannels for Light-Driven Synergistic Thermo
Chemotherapy.
AB - Smart nanoparticles are increasingly important in a variety of applications such
as cancer therapy. However, it is still a major challenge to develop light
responsive nanoparticles that can maximize the potency of synergistic thermo
chemotherapy under light irradiation. Here, spatially confined cyanine-anchored
silica nanochannels loaded with chemotherapeutic doxorubicin (CS-DOX-NCs) for
light-driven synergistic cancer therapy are introduced. CS-DOX-NCs possess a J
type aggregation conformation of cyanine dye within the nanochannels and
encapsulate doxorubicin through the pi-pi interaction with cyanine dye. Under
near-infrared light irradiation, CS-DOX-NCs produce the enhanced photothermal
conversion efficiency through the maximized nonradiative transition of J-type
Cypate aggregates, trigger the light-driven drug release through the
destabilization of temperature-sensitive pi-pi interaction, and generate the
effective intracellular translocation of doxorubicin from the lysosomes to
cytoplasma through reactive oxygen species-mediated lysosomal disruption, thereby
causing the potent in vivo hyperthermia and intracellular trafficking of drug
into cytoplasma at tumors. Moreover, CS-DOX-NCs possess good resistance to
photobleaching and preferable tumor accumulation, facilitating severe
photoinduced cell damage, and subsequent synergy between photothermal and
chemotherapeutic therapy with tumor ablation. These findings provide new insights
of light-driven nanoparticles for synergistic cancer therapy.
PMID- 27879042
TI - High antibiotic resistance of Helicobacter pylori and its effect on tailored and
empiric eradication of the organism in Lower Silesia, Poland.
AB - BACKGROUND: At present, the resistance to antibiotics is considered the most
important reason for Helicobacter pylori (HP) eradication failure. The aim of
this study was to estimate the prevalence of antimicrobial resistance of HP
strains and to evaluate tailored and empiric therapeutic regimens in patients
with peptic ulcer disease associated with infection of this microorganism.
MATERIALS AND METHODS: Between May 2011 and February 2013, 185 consecutive Polish
patients with at least one positive Helicobacter pylori test (urease test,
histopathologic examination, and/or culture) underwent eradication therapy. Those
with positive culture were prescribed a tailored triple regimen, whereas those
with no culture available received an empiric quadruple concomitant regimen or
levofloxacin-containing triple therapy. RESULTS: There were no HP strains
resistant to amoxicillin; however, 56.7% were resistant to metronidazole, 55.2%
to clarithromycin, and 5.9% to levofloxacin. Dual resistance was detected in
32.8% of individuals. Tailored and empiric therapies achieve cure rates,
respectively, 95.5% and 86.6% by intention-to-treat and 95.5% and 91.3% by per
protocol analysis (P > 0.05). CONCLUSIONS: Antibiotic resistance is notably high
in Poland currently, but both tailored and empiric therapies can achieve
acceptable cure rates equal to or higher than 90%.
PMID- 27879043
TI - Uncovering Key Structural Features of an Enantioselective Peptide-Catalyzed
Acylation Utilizing Advanced NMR Techniques.
AB - We report on a detailed NMR spectroscopic study of the catalyst-substrate
interaction of a highly enantioselective oligopeptide catalyst that is used for
the kinetic resolution of trans-cycloalkane-1,2-diols via monoacylation. The
extraordinary selectivity has been rationalized by molecular dynamics as well as
density functional theory (DFT) computations. Herein we describe the
conformational analysis of the organocatalyst studied by a combination of nuclear
Overhauser effect (NOE) and residual dipolar coupling (RDC)-based methods that
resulted in an ensemble of four final conformers. To corroborate the proposed
mechanism, we also investigated the catalyst in mixtures with both trans
cyclohexane-1,2-diol enantiomers separately, using advanced NMR methods such as
T1 relaxation time and diffusion-ordered spectroscopy (DOSY) measurements to
probe molecular aggregation. We determined intramolecular distance changes within
the catalyst after diol addition from quantitative NOE data. Finally, we
developed a pure shift EASY ROESY experiment using PSYCHE homodecoupling to
directly observe intermolecular NOE contacts between the trans-1,2-diol and the
cyclohexyl moiety of the catalyst hidden by spectral overlap in conventional
spectra. All experimental NMR data support the results proposed by earlier
computations including the proposed key role of dispersion interaction.
PMID- 27879045
TI - Metal-Free Enantioselective Oxidative Arylation of Alkenes: Hypervalent-Iodine
Promoted Oxidative C-C Bond Formation.
AB - The enantioselective oxyarylation of (E)-6-aryl-1-silyloxylhex-3-ene was achieved
using a lactate-based chiral hypervalent iodine(III) reagent in the presence of
boron trifluoride diethyl etherate. The silyl ether promotes the oxidative
cyclization, and enhances the enantioselectivity. In addition, the corresponding
aminoarylation was achieved.
PMID- 27879044
TI - Clustering according to urolithin metabotype explains the interindividual
variability in the improvement of cardiovascular risk biomarkers in overweight
obese individuals consuming pomegranate: A randomized clinical trial.
AB - SCOPE: The pomegranate lipid-lowering properties remain controversial, probably
due to the interindividual variability in polyphenol (ellagitannins) metabolism.
OBJECTIVE: We aimed at investigating whether the microbially derived ellagitannin
metabolizing phenotypes, i.e. urolithin metabotypes A, (UM-A), B (UM-B), and 0
(UM-0), influence the effects of pomegranate extract (PE) consumption on 18
cardiovascular risk biomarkers in healthy overweight-obese individuals. METHODS
AND RESULTS: A double-blind, crossover, dose-response, randomized, placebo
controlled trial was conducted. The study (POMEcardio) consisted of two test
phases (dose-1 and dose-2, lasting 3 weeks each) and a 3-week washout period
between each phase. Forty-nine participants (BMI > 27 kg/m2 ) daily consumed one
(dose-1, 160 mg phenolics/day) or four (dose-2, 640 mg phenolics/day) PE or
placebo capsules. Notably, UM-B individuals showed the highest baseline
cardiovascular risk. After dose-2, total cholesterol (-15.5 +/- 3.7%), LDL
cholesterol (-14.9 +/- 2.1%), small LDL-cholesterol (-47 +/- 7%), non-HDL
cholesterol (-11.3 +/- 2.5%), apolipoprotein-B (-12 +/- 2.2%), and oxidized LDL
cholesterol -24 +/- 2.5%) dose dependently decreased (P < 0.05) but only in UM-B
subjects. These effects were partially correlated with urolithin production and
the increase in Gordonibacter levels. Three (50%) nonproducers (UM-0) became
producers following PE consumption. CONCLUSIONS: UM clustering suggests a
personalized effect of ellagitannin-containing foods and could explain the
controversial pomegranate benefits. Research on the specific role of urolithins
and the microbiota associated with each UM is warranted.
PMID- 27879046
TI - Lithium Germanate (Li2 GeO3 ): A High-Performance Anode Material for Lithium-Ion
Batteries.
AB - A simple, cost-effective, and easily scalable molten salt method for the
preparation of Li2 GeO3 as a new type of high-performance anode for lithium-ion
batteries is reported. The Li2 GeO3 exhibits a unique porous architecture
consisting of micrometer-sized clusters (secondary particles) composed of
numerous nanoparticles (primary particles) and can be used directly without
further carbon coating which is a common exercise for most electrode materials.
The new anode displays superior cycling stability with a retained charge capacity
of 725 mAh g-1 after 300 cycles at 50 mA g-1 . The electrode also offers
excellent rate capability with a capacity recovery of 810 mAh g-1 (94 %
retention) after 35 cycles of ascending steps of current in the range of 25-800
mA g-1 and finally back to 25 mA g-1 . This work emphasizes the importance of
exploring new electrode materials without carbon coating as carbon-coated
materials demonstrate several drawbacks in full devices. Therefore, this study
provides a method and a new type of anode with high reversibility and long cycle
stability.
PMID- 27879047
TI - 2-Substituted dATP Derivatives as Building Blocks for Polymerase-Catalyzed
Synthesis of DNA Modified in the Minor Groove.
AB - 2'-Deoxyadenosine triphosphate (dATP) derivatives bearing diverse substituents
(Cl, NH2 , CH3 , vinyl, ethynyl, and phenyl) at position 2 were prepared and
tested as substrates for DNA polymerases. The 2-phenyl-dATP was not a substrate
for DNA polymerases, but the dATPs bearing smaller substituents were good
substrates in primer-extension experiments, producing DNA substituted in the
minor groove. The vinyl-modified DNA was applied in thiol-ene addition and the
ethynyl-modified DNA was applied in a CuAAC click reaction to form DNA labelled
with fluorescent dyes in the minor groove.
PMID- 27879049
TI - Double-Helix Structure in Carrageenan-Metal Hydrogels: A General Approach to
Porous Metal Sulfides/Carbon Aerogels with Excellent Sodium-Ion Storage.
AB - The metal sulfide-carbon nanocomposite is a new class of anode material for
sodium ion batteries, but its development is restricted by its relative poor rate
ability and cyclic stability. Herein, we report the use of double-helix structure
of carrageenan-metal hydrogels for the synthesis of 3D metal sulfide (Mx Sy )
nanostructure/carbon aerogels (CAs) for high-performance sodium-ion storage. The
method is unique, and can be used to make multiple Mx Sy /CAs (such as FeS/CA,
Co9 S8 /CA, Ni3 S4 /CA, CuS/CA, ZnS/CA, and CdS/CA) with ultra-small
nanoparticles and hierarchical porous structure by pyrolyzing the carrageenan
metal hydrogels. The as-prepared FeS/CA exhibits a high reversible capacity and
excellent cycling stability (280 mA h-1 at 0.5 A g-1 over 200 cycles) and rate
performance (222 mA h-1 at 5 A g-1 ) when used as the anode material for sodium
ion batteries. The work shows the value of biomass-derived metal sulfide-carbon
heterostuctures in sodium-ion storage.
PMID- 27879048
TI - Coordinated protein co-expression in plants by harnessing the synergy between an
intein and a viral 2A peptide.
AB - A novel approach is developed for coordinated expression of multiple proteins
from a single transgene in plants. An Ssp DnaE mini-intein variant engineered for
hyper-N-terminal autocleavage is covalently linked to the foot-and-mouth disease
virus 2A (F2A) peptide with unique ribosome skipping property, via a peptide
linker, to create an 'IntF2A' self-excising fusion protein domain. This IntF2A
domain acts, in cis, to direct highly effective release of its flanking proteins
of interest (POIs) from a 'polyprotein' precursor in plants. This is successfully
demonstrated in stably transformed cultured tobacco cells as well as in different
organs of transgenic tobacco plants. Highly efficient polyprotein processing
mediated by the IntF2A domain was also demonstrated in lettuce and Nicotiana
benthamiana based on transient expression. Protein constituents released from the
polyprotein precursor displayed proper function and accumulated at similar levels
inside the cells. Importantly, no C-terminal F2A extension remains on the
released POIs. We demonstrated co-expression of as many as three proteins in
plants without compromising expression levels when compared with those using
single-protein vectors. Accurate differential cellular targeting of released POIs
is also achieved. In addition, we succeeded in expressing a fully assembled and
functional chimeric anti-His Tag antibody in N. benthamiana leaves. The IntF2A
based polyprotein transgene system overcomes key impediments of existing
strategies for multiprotein co-expression in plants, which is particularly
important for gene/trait stacking.
PMID- 27879050
TI - Structural studies of protein arginine methyltransferase 2 reveal its
interactions with potential substrates and inhibitors.
AB - : PRMT2 is the less-characterized member of the protein arginine
methyltransferase family in terms of structure, activity, and cellular functions.
PRMT2 is a modular protein containing a catalytic Ado-Met-binding domain and
unique Src homology 3 domain that binds proteins with proline-rich motifs. PRMT2
is involved in a variety of cellular processes and has diverse roles in
transcriptional regulation through different mechanisms depending on its binding
partners. PRMT2 has been demonstrated to have weak methyltransferase activity on
a histone H4 substrate, but its optimal substrates have not yet been identified.
To obtain insights into the function and activity of PRMT2, we solve several
crystal structures of PRMT2 from two homologs (zebrafish and mouse) in complex
with either the methylation product S-adenosyl-L-homocysteine or other compounds
including the first synthetic PRMT2 inhibitor (Cp1) studied so far. We reveal
that the N-terminal-containing SH3 module is disordered in the full-length
crystal structures, and highlights idiosyncratic features of the PRMT2 active
site. We identify a new nonhistone protein substrate belonging to the serine
/arginine-rich protein family which interacts with PRMT2 and we characterize six
methylation sites by mass spectrometry. To better understand structural basis for
Cp1 binding, we also solve the structure of the complex PRMT4:Cp1. We compare the
inhibitor-protein interactions occurring in the PRMT2 and PRMT4 complex crystal
structures and show that this compound inhibits efficiently PRMT2. These results
are a first step toward a better understanding of PRMT2 substrate recognition and
may accelerate the development of structure-based drug design of PRMT2
inhibitors. DATABASE: All coordinates and structure factors have been deposited
in the Protein Data Bank: zPRMT21-408 -SFG = 5g02; zPRMT273-408 -SAH = 5fub;
mPRMT21-445 -SAH = 5ful; mPRMT21-445 -Cp1 = 5fwa, mCARM1130-487 -Cp1 = 5k8v.
PMID- 27879051
TI - Vascular endothelial growth factor and apelin in plasma of patients with
retinopathy of prematurity.
PMID- 27879052
TI - Genotype and Phenotype in an unusual form of Laurence-Moon-Bardet-Biedl syndrome.
PMID- 27879054
TI - Spontaneous resolution of bilateral central retinal vein occlusion with cystoid
macular oedema during pregnancy.
PMID- 27879053
TI - N-Arylsulfonyl Indolines as Retinoic Acid Receptor-Related Orphan Receptor gamma
(RORgamma) Agonists.
AB - The nuclear retinoic acid receptor-related orphan receptor gamma (RORgamma;
NR1F3) is a key regulator of inflammatory gene programs involved in T helper 17
(TH 17) cell proliferation. As such, synthetic small-molecule repressors (inverse
agonists) targeting RORgamma have been extensively studied for their potential as
therapeutic agents for various autoimmune diseases. Alternatively, enhancing TH
17 cell proliferation through activation (agonism) of RORgamma may boost an
immune response, thereby offering a potentially new approach in cancer
immunotherapy. Herein we describe the development of N-arylsulfonyl indolines as
RORgamma agonists. Structure-activity studies reveal a critical linker region in
these molecules as the major determinant for agonism. Hydrogen/deuterium exchange
coupled to mass spectrometry (HDX-MS) analysis of RORgamma-ligand complexes help
rationalize the observed results.
PMID- 27879055
TI - Combining field-amplified sample stacking with moving reaction boundary
electrophoresis on a paper chip for the preconcentration and separation of metal
ions.
AB - A common drawback of paper-based separation devices is their poor detection
limit. In this study, we combined field-amplified sample stacking with moving
reaction boundary electrophoresis on a paper chip with six array channels for the
parallel separation and concentration of multiple samples. With a new hyphenated
technique, the brown I2 from the Fe3+ /I- oxidation-reduction reaction emerged
near the boundary between the dilute ethylene diamine tetraacetic acid and
potassium iodide and highly concentrated KCl solutions. For the separation and
concentration of three components, Cr3+ , Cu2+ , and Fe3+ , the Fe3+ detection
limit was improved at least 266-fold by comparing the hyphenated technique with
moving reaction boundary electrophoresis. The detection limit of Fe3+ was found
to be as low as 0.34 ng (20 MUM) on the paper chip. We also demonstrated the
analysis of a real sample of four metal ions, with detection limits as follows:
0.16 MUg Cr3+ , 1.5 MUg Ni2+ , 0.64 MUg Cu2+ , and 1.5 MUg Co2+ . The synergy of
field-amplified sample stacking and moving reaction boundary electrophoresis in
the micron paper-based array channels dramatically improved the detection limit
and throughput of paper-based electrophoresis.
PMID- 27879057
TI - Direct visualization of aqueous tear secretion from lacrimal gland.
AB - PURPOSE: To introduce a new method for direct observation of tear secretion from
the palpebral lobes of the main lacrimal glands and Wolfring glands using
fluorescein dye. METHODS: Twenty-six patients with dry eye and 26 healthy
subjects were included in the study. Fluorescein was applied to the conjunctiva
overlaying the palpebral lobe of the main lacrimal glands. Under cobalt blue
light, we captured tear secretion from the glands in movies. We compared the
number of excretory openings, the location and tear flow rate between the dry eye
and healthy-subject groups. To observe tear secretion from the Wolfring glands,
we everted the upper lids and applied fluorescein dye to the upper margin of the
tarsus. RESULTS: Mean number of excretory openings on the palpebral lobe was 2.5
in the dry eye group and 3.4 in the healthy-subject group (p = 0.031). Most of
the openings were observed at the ridge, the most protruding portion of the
palpebral lobe. Mean flow rate from the palpebral lobe was 0.45 MUl/min in the
dry eye group and 0.91 MUl/min in the healthy-subject group (p = 0.046). Mean
flow rate from the Wolfring glands was 0.007 MUl/min in the dry eye group and
0.009 MUl/min in the healthy-subject group (p = 0.615). CONCLUSION: This
visualization method allowed us to directly observe tear secretion from the
palpebral lobe of the main lacrimal glands and Wolfring glands, and to
quantitatively measure the tear flow rate from the lacrimal glands.
PMID- 27879056
TI - In Vitro Drug and Gene Delivery Using Random Cationic Copolymers Forming Stable
and pH-Sensitive Polymersomes.
AB - Stimuli-sensitive polymeric vesicles or polymersomes as self-assembled colloidal
nanocarriers have received paramount importance for their integral role as
delivery system for therapeutics and biotherapeutics. This work describes
spontaneous polymersome formation at pH 7, as evidenced by surface tension,
steady state fluorescence, dynamic light scattering, and microscopic studies, by
three hydrophilic random cationic copolymers synthesized using N,N
(dimethylamino)ethyl methacrylate (DMAEM) and methoxy poly(ethylene glycol)
monomethacrylate in different mole ratios. The results suggest that methoxy
poly(ethylene glycol) chains constitute the bilayer membrane of the polymersomes
and DMAEM projects toward water constituting the positively charged surface. The
polymersomes have been observed to release their encapsulated guest at acidic pH
as a result of transformation into polymeric micelles. All these highly
biocompatible cationic polymers show successful gene transfection ability as
nonviral vector on human cell line with different potential. Thus these polymers
prove their utility as a potential delivery system for hydrophilic model drug as
well as genetic material.
PMID- 27879058
TI - Sinus surgery can improve quality of life, lung infections, and lung function in
patients with primary ciliary dyskinesia.
AB - BACKGROUND: Chronic rhinosinusitis (CRS) and bacterial sinusitis are ubiquitous
in patients with primary ciliary dyskinesia (PCD). From the sinuses, Pseudomonas
aeruginosa can infect the lungs. METHODS: We studied the effect of endoscopic
sinus surgery (ESS) on symptoms of CRS and lower airway infections in PCD
patients in a prospective single-arm intervention study of ESS with adjuvant
therapy using nasal irrigation with saline, topical nasal steroids, and 2 weeks
of systemic antibiotics. Additional treatment with local colistin for 6 months
was instigated when P. aeruginosa was cultured at ESS. RESULTS: Twenty-four PCD
patients underwent ESS to search for an infectious focus (n = 10), due to severe
symptoms of CRS (n = 8), or both (n = 6). Bacteria were cultured from sinus
samples in 21 patients (88%), and simultaneous sinus and lung colonization with
identical pathogens were observed in 13 patients (62%). Four patients with
preoperative P. aeruginosa lung colonization (25%) had no regrowth during follow
up; 2 of these had P. aeruginosa sinusitis. Sinonasal symptoms were improved 12
months after ESS and we observed a trend toward better lung function after ESS.
CONCLUSION: We demonstrated an improvement in CRS-related symptoms after ESS and
adjuvant therapy. In selected PCD patients, the suggested regimen may postpone
chronic lung infection with P. aeruginosa and stabilize lung function.
PMID- 27879059
TI - Squash cytology of tanycytic ependymoma: A report of two cases.
AB - Tanycytic ependymoma is a rare variant of ependymoma which has a predilection for
the spinal cord. It is a WHO grade II tumour with favourable outcome. Although
squash cytology of ependymoma is well described, there is sparse literature
available on squash cytomorphology of tanycytic variant. Here we present two
cases of squash cytology of tanycytic ependymoma. In the first case the diagnosis
of tanycytic ependymoma was considered. However, in the second case a diagnosis
of usual ependymoma was offered. Subsequently histopathology confirmed tanycytic
nature in both the cases. In this article we discuss the squash cytological
features of tanycytic ependymoma along with its differential diagnosis. Diagn.
Cytopathol. 2017;45:270-273. (c) 2016 Wiley Periodicals, Inc.
PMID- 27879061
TI - Patterned SLIPS for the Formation of Arrays of Biofilm Microclusters with Defined
Geometries.
AB - Biofilms represent an immense problem in medicine due to their strong drug
resistant properties and inherent stress-response activities. Due to the
inhomogeneous and very complex architectures of large biofilm aggregates, biofilm
studies often suffer from low reproducibility. In this study, an approach to form
arrays of homogeneous biofilm microclusters with defined 2D geometries is
presented. The method is based on the formation of water-infused hydrophilic
porous polymer areas with precise geometries separated by "slippery" lubricant
infused porous surface (SLIPS). Due to the SLIPS' biofilm repellent properties,
multiple identical 3D biofilm clusters are formed in the hydrophilic patches that
can be used for biofilm screening. Formation of biofilm microcluster arrays of
different bacterial strains of Pseudomonas aeruginosa on the SLIPS micropatterns
is investigated. Critical parameters influencing minimal adhesive regions for
biofilm attachment and minimal SLIPS dimensions to avoid biofilm adhesion are
studied. The ability to produce arrays of biofilm microclusters with highly
uniform, well-defined shapes opens an opportunity to study interactions of
biofilms with various medically relevant factors with a better reproducibility
and to investigate the complex biofilm architecture, heterogeneity, and
interactions between biofilm subpopulations.
PMID- 27879060
TI - Evidence of microbiota dysbiosis in chronic rhinosinusitis.
AB - BACKGROUND: Despite considerable research, the pathogenesis of chronic
rhinosinusitis (CRS) remains poorly understood. Potential microbial roles in the
etiology or progression of CRS have long been hypothesized, yet few specific
associations have been identified. In this study we investigate associations
between patterns in resident bacterial communities and clinical variants of CRS.
METHODS: Bacterial communities were assessed in 94 patients with extensive
bilateral CRS undergoing endoscopic sinus surgery (ESS) and 29 controls
undergoing ESS for indications other than CRS. Patients were grouped on the basis
of phenotypic variants (with or without polyposis) and clinical parameters,
including asthma and cystic fibrosis. Bacterial communities were characterized
via 16S rRNA gene amplicon sequencing, and quantified by quantitative polymerase
chain reaction. RESULTS: Controls and idiopathic CRS subjects tended to be
dominated by members of the genera Corynebacterium and Staphylococcus, together
with lower abundances of several other genera, including Streptococcus,
Moraxella, and Haemophilus. Aberrant (dysbiotic) bacterial assemblages (with
changes in community membership and structure, reduced diversity, and increased
bacterial load) and increased inter- and intrasubject variability were more
common in subjects with comorbidities such as asthma and cystic fibrosis.
Dysbiotic communities were variably dominated by members of the genera
Staphylococcus, Streptococcus, Haemophilus, Pseudomonas, Moraxella, or
Fusobacterium. CONCLUSION: Bacterial community dysbiosis was more apparent than
specific associations with examined phenotypes or endotypes, and may play a role
in the pathogenesis or influence the severity of CRS. Reductions in several
common core bacterial taxa, increased inter- and intrasubject variability,
reduced bacterial diversity, and increased bacterial load characterized aberrant
bacterial communities in CRS.
PMID- 27879062
TI - Preparation of Heteroaromatic (Aryl)iodonium Imides as I-N Bond-Containing
Hypervalent Iodine.
AB - Hypervalent iodine(III) compounds containing iodine-nitrogen bonds are very
attractive amination reagents in organic synthesis. Heteroaromatic (aryl)iodonium
imides containing a iodine-nitrogen bond and a hypervalent iodine(III) atom were
prepared from heteroarenes, bis(sulfon)imides and (diacetoxyiodo)arenes under
mild conditions. These compounds were stable under air and in organic solvents,
and could be easily purified by precipitation. X-ray crystal structure analysis
indicated that the structure of N-pivaloyl indolyl(phenyl)iodonium
bis(tosyl)imides and N-pivaloyl indolyl(2-butoxyphenyl)iodonium bis(tosyl)imides
was a dimer with a T-shaped geometry at the iodine atom linked to an indole group
and a bis(tosyl)imide by a monomer unit. Moreover, the use of substituted
iodoarenes facilitated the purification of some of the heteroaromatic
(aryl)iodonium imides.
PMID- 27879063
TI - Carbazole Aminoalcohols Induce Antiproliferation and Apoptosis of Human Tumor
Cells by Inhibiting Topoisomerase I.
AB - Novel carbazole aminoalcohols were designed and synthesized as anticancer agents.
Among them, alkylamine-chain-substituted compounds showed the most promising
antiproliferative activity, with IC50 values in the single-digit micromolar range
against two human tumor cell lines. Topoisomerase I (topo I) is likely to be one
of the targets of these compounds. Results of comet assays and molecular docking
indicate that the representative compounds may act as topo I poisons, causing
single-strand DNA damage by stabilizing the topo I-DNA cleavage complex. In
particular, the most potent compound, 1-(butylamino)-3-(3,6-dichloro-9H-carbazol
9-yl)propan-2-ol (6), was shown to be able to induce G2 -phase cell-cycle arrest
and apoptosis in HeLa cells.
PMID- 27879064
TI - Clear cell carcinoma first suspected in Pap smear. The value of neutrophil
cannibalism by tumor cells.
PMID- 27879065
TI - Early diagnosis of intravascular large B-cell lymphoma.
PMID- 27879066
TI - XXIX. Symposium Augustanum - Jahrestagung der Deutsch-Italienischen Gesellschaft
fur Dermatologie e. V. in Genua am 27. Mai 2016.
PMID- 27879067
TI - Ustekinumab in the treatment of palmoplantar pustular psoriasis - a case series
of nine patients.
AB - BACKGROUND: Palmoplantar pustular psoriasis is a chronic inflammatory skin
disease that is associated with considerable impairment in quality of life and
resilience. Given the lack of approved pharmacological agents for this indication
and the frequently recalcitrant disease course, therapeutic options are limited.
PATIENTS AND METHODS: Following unsatisfactory therapeutic attempts with other
treatment modalities, nine patients (six women; three men) were treated with
ustekinumab (45 mg in individuals < 100 kg body weight, 90 mg in individuals >
100 kg) at weeks 0, 4, 12, and 24. Latent tuberculosis was ruled out prior to
treatment. Regular follow-up was done at week 4 and 12, and every 12 weeks
thereafter. RESULTS: Average age at the beginning of treatment was 48 years. Four
patients achieved 75 % improvement in the palmoplantar Psoriasis Area Severity
Index (PASI). Overall, there was an average improvement in the palmoplantar PASI
of 71.6 % after 24 weeks. Two patients exhibited complete resolution after 24
weeks. Besides local injection site reactions and mild infections, no adverse
effects were observed. CONCLUSIONS: This case series provides further evidence
for the effectiveness and tolerability of ustekinumab in the treatment of
palmoplantar pustular psoriasis. Controlled studies and observations in the
context of patient registries are required to assess long-term efficacy and
safety as well as the potential therapeutic benefit of intermittent therapy.
PMID- 27879068
TI - Chronische spontane Urtikaria in Verbindung mit einer primar billiaren
Cholangitis: ein Fallbericht und Literaturuberblick.
PMID- 27879069
TI - Einseitig wandernde entzundliche filiforme Rotung an der Fubetasohle.
PMID- 27879070
TI - Agminated orange papules on the face.
PMID- 27879071
TI - "Drug-Survival"-Raten und Grunde fur den Abbruch von Systemtherapien bei
Psoriasis.
AB - HINTERGRUND UND ZIELE: Mittelschwere bis schwere Psoriasis erfordert haufig eine
langfristige systemische Behandlung. Die Therapietreue bezuglich eines Medika
ments (Uberlebensrate, "Drug Survival") reflektiert dessen Wirksamkeit,
Sicherheit sowie die Zufriedenheit mit der Behandlung und ist ein Indikator fur
den Therapieerfolg. Das Ziel der vorliegenden Studie was die Ermittlung der "Drug
Survival"-Raten sowie der Grunde fur den Abbruch einer Behandlung mit
Fumarsaureestern (fumaric acid esters, FAE), Methotrexat (MTX), Acitretin (ACI),
Cyclosporin A (CyA), Adalimumab (ADA), Etanercept (ETA), Infliximab (INF) und
Ustekinumab (UST) bei Patienten mit mittelschwerer bis schwerer Psoriasis.
PATIENTEN UND METHODEN: Wir fuhrten eine retrospektive Analyse an 373 Patienten
durch, die im Zeitraum 1/2003-5/2014 insgesamt 696 Behandlungen an einem
deutschen Universitatsklinikum erhalten hatten. ERGEBNISSE: Die unbereinigte
Uberlebenswahrscheinlichkeit war fur UST am hochsten, gefolgt von ADA, ETA, INF,
FAE, MTX, ACI und CyA. In der multivariaten Regressionsanalyse mit FAE als
Referenz betrug die Hazard Ratio (HR) fur einen Abbruch 0,14 (95 %
Konfidenzintervall: 0,06-0,35) bei UST, 0,43 (0,26-0,73) bei ADA, 2,11 (1,14
3,91) bei ACI und 3,26 (1,44-7,39) bei CyA. Das "Drug Survival" von INF war
langer, wenn es in Kombination mit MTX eingesetzt wurde (HR 2,87; 1,21-6,81).
Traditionelle systemische Antipsoriatika sowie INF wurden am haufigsten aufgrund
von Nebenwirkungen abgesetzt; alle anderen Biologika aufgrund ihrer Unwirksamkeit
fur kutane Psoriasis-Lasionen. SCHLUSSFOLGERUNGEN: "Drug-Survival"-Raten sollten
bei der Therapieentscheidung berucksichtigt werden, um den Patienten eine
bestmogliche Langzeitstrategie zu bieten.
PMID- 27879072
TI - Keratosis lichenoides chronica mit untypischer klinischer Prasentation und
variblen histopathologischen Merkmalen.
PMID- 27879073
TI - Systemic sclerosis in a stonemason - an occupational disease?
PMID- 27879075
TI - Systemische Sklerose nach Tatigkeit als Steinmetz - eine Berufskrankheit?
PMID- 27879074
TI - S1 guidelines on the diagnosis and treatment of scabies - short version.
AB - The goals of this German guideline are the improvement of diagnosis and therapy
of scabies, the implementation of a coordinated action in outbreaks of scabies,
and the control of this infestation in large migration or refugee flows.Sarcoptes
scabiei var. hominis is transmitted by direct skin-to-skin contact of sufficient
duration. The infectivity of female mites when removed from patients does not
exceed 48 hours at room temperature (21 degrees C) and relative humidity of 40
80%. The risk of infection rises proportionally to the number of mites on the
skin and is particularly high in crusted scabies. As elderly persons tend to
develop crusted scabies due to disease- or medication-related immunosuppression,
there is an increased risk for outbreaks of scabies at nursing homes and extended
care facilities. The guideline contains detailed recommendations for management
of such outbreaks. In refugees the prevalence of scabies is higher than in the
general population in Germany, but the risk for outbreaks is not high. Scabies
infestation should be considered when a recent onset of itching is associated
with eczema and presence of burrows or comma-like papules at predilection sites.
It is confirmed by dermatoscopic detection of mites or by microscopic
identification of mites, mite eggs or fecal matter (scybala) from skin
scrapings.The treatment of choice for common scabies is topical permethrin 5%
cream applied for 8-12 hours. Permethrin can be considered for off-label use also
in infants of less than 3 months of age and pregnant women. For this group
crotamiton is another option, which, besides benzyl benzoate, presents a good
second line therapy for the other indications. Indications for oral ivermectin,
which has just been licensed in Germany, include patients with immunosuppression,
severe dermatitis, and low adherence.Crusted scabies is preferentially treated by
a combination of topical permethrin and oral ivermectin. Affected patients should
be isolated, and all contact persons should be treated. The guideline contains
lists for additional measures, including possible treatment of contact persons,
clothes, linen and other possibly infested articles.
PMID- 27879077
TI - Nachruf.
PMID- 27879076
TI - Drug survival rates and reasons for drug discontinuation in psoriasis.
AB - BACKGROUND AND OBJECTIVES: Moderate-to-severe psoriasis frequently requires long
term systemic therapy. Reflecting efficacy, safety, and treatment satisfaction,
drug survival is an indicator of therapeutic success. The objective of the
present study was to assess drug survival rates and reasons for discontinuation
of fumaric acid esters (FAE), methotrexate (MTX), acitretin (ACI), cyclosporine A
(CyA), adalimumab (ADA), etanercept (ETA), infliximab (INF), and ustekinumab
(UST) in patients with moderate-to-severe psoriasis. PATIENTS AND METHODS: We
performed a retrospective analysis of 373 patients who had received a total of
696 treatment courses at a German university hospital in the period 1/2003
5/2014. RESULTS: The crude probability of survival was highest for UST, followed
by ADA, ETA, INF, FAE, MTX, ACI, and CyA. In multivariate regression analysis
using FAE as reference, hazard ratios (HR) for discontinuation were 0.14 (95 %
confidence interval: 0.06-0.35) for UST, 0.43 (0.26-0.73) for ADA, 2.11 (1.14
3.91) for ACI, and 3.26 (1.44-7.39) for CyA. INF showed longer survival when
combined with MTX (HR 2.87, 1.21-6.81). Traditional systemic antipsoriatic agents
as well as INF were most frequently discontinued due to adverse events; all other
biologics, due to inefficacy with respect to cutaneous lesions. CONCLUSIONS: Drug
survival rates should be integrated into therapeutic decisions in order to
provide patients with an optimal long-term strategy.
PMID- 27879078
TI - Multiple basal cell carcinomas arising in an epidermal nevus.
PMID- 27879079
TI - Chronic spontaneous urticaria associated with primary biliary cirrhosis: a case
report and literature review.
PMID- 27879080
TI - S1-Leitlinie zur Diagnostik und Therapie der Skabies - Kurzfassung.
PMID- 27879081
TI - Hemophagocytic lymphohistiocytosis associated with subcutaneous panniculitis-like
T-cell lymphoma.
PMID- 27879082
TI - Potenzielle Arzneimittelwechsel-wirkungen und unerwunschte Arzneimittelwirkungen
bei stationaren dermatologischen Patienten.
AB - ZIELE: Informationen zur Haufigkeit von Arzneimittelwechselwirkungen und
unerwunschten Arzneimittelwirkungen zu prasentieren und Hilfestellung zu leisten,
wie diese wichtigen Probleme in der pharmakologischen Behandlung stationarer
dermatologischer Patienten minimiert werden konnen. PATIENTEN UND METHODEN: Die
Medikation von 1 099 stationaren dermatologischen Patienten wurde retrospektiv
mittels einer Internet-basierten Software fur Medikamenteninteraktionen
(Diagnosia(r) Check) auf Arzneimittelwechselwirkungen und unerwunschte
Arzneimittelwirkungen analysiert. ERGEBNISSE: Wir beschreiben eine
Gesamthaufigkeit relevanter Arzneimittelwechselwirkungen von 51,7 % mit
durchschnittlich 3,2 Interaktionen pro betroffenem stationaren Patienten.
Arzneimittelkombinationen, die gemieden werden sollten, wurden bei 5,7 % der
Studienpopulation festgestellt. Der wichtigste Risikofaktor war die Gesamtzahl
der verabreichten Medikamente. Die Arzneimittelgruppen, die bei der Mehrzahl der
Wechselwirkungen beteiligt waren, waren Analgetika, Herz-Kreislauf-Medikamente
und gerinnungshemmende Medikamente sowie Antidepressiva. Das Risiko unerwunschte
Arzneimittelwirkungen auszubilden wurde bei 53,1 % der stationaren Patienten als
"hoch" eingestuft. Die funf wichtigsten unerwunschten Nebenwirkungen in dieser
Patientengruppe waren Blutungen, Obstipation, anticholinerge Effekte, Sedierung
und orthostatische Effekte. SCHLUSSFOLGERUNGEN: Potenzielle
Arzneimittelwechselwirkungen sowie unerwunschte Arzneimittelwirkungen sind bei
stationaren dermatologischen Patienten alarmierend haufig. Bei jedem zweiten
Patienten besteht die Gefahr, derartige Wechselwirkungen oder unerwunschte
Nebenwirkungen zu erleiden und jeder zwanzigste Patient erhalt eine
Arzneimittelkombination, die nicht verabreicht werden sollte. Erhohte Wachsamkeit
ist erforderlich, um die gefahrdeten Patienten zu erkennen.
PMID- 27879083
TI - Ustekinumab in der Therapie der Pustulosis palmoplantaris - Eine Fallserie mit
neun Patienten.
AB - HINTERGRUND: Die Pustulosis palmoplantaris ist eine chronisch entzundliche
Hauterkrankung, die mit bedeutenden Einschrankungen der Lebensqualitat und der
Belastbarkeit einhergeht. Aufgrund von Zulassungsbeschrankungen und einem haufig
therapierefraktaren Verlauf sind die Behandlungsmoglichkeiten limitiert.
PATIENTEN UND METHODIK: Nach zuvor frustranen Therapien erhielten 9 Patienten mit
Pustulosis palmoplantaris nach Ausschluss einer latenten Tuberkulose Ustekinumab
(45 mg Ustekinumab bei < 100 kg Korpergewicht [KG], 90 mg Ustekinumab > 100 kg
KG) in Woche 0, 4, 12 und 24. Regulare Visiten erfolgten nach 4 und 12 Wochen, im
weiteren Verlauf alle 12 Wochen. ERGEBNISSE: Das Durchschnittsalter bei
Therapiebeginn betrug 48 Jahre. Drei Patienten waren mannlich. Bei n = 4
Patienten (44,4 %) wurde eine Verbesserung um 75 % des Palmoplantar-Psoriasis
Area-Severity-Index (PPPASI) erreicht. Insgesamt verbesserte sich der PPPASI nach
24 Wochen durchschnittlich um 71,6 %. Eine komplette Abheilung zeigte sich bei n
= 2 Patienten nach 24 Wochen. Bis auf lokale Injektionsreaktionen und leichte
Infekte wurden keine unerwunschten Wirkungen beobachtet. SCHLUSSFOLGERUNGEN: Die
Fallserie ist ein weiterer Beleg fur die Wirksamkeit und Vertraglichkeit von
Ustekinumab in der Therapie der Pustulosis palmoplantaris. Zur Beurteilung der
Langzeitwirkung und -sicherheit sowie der Wirksamkeit einer intermittierenden
Therapie sind kontrollierte Studiendaten sowie Beobachtungen im Rahmen von
Patientenregistern notwendig.
PMID- 27879084
TI - Topical preparations and their use in dermatology.
AB - The challenges of everyday clinical routine require dermatologists to have a
basic knowledge of the composition of topical preparations as well as the
regulatory background associated with their prescription. Proper selection,
prescription, and application of topical preparations, depending on the
respective indication, are key to professional and responsible medical practice.
Problems commonly arise with respect to regulatory classifications (medicinal
products, medical devices, or cosmetics), eligibility for reimbursement by the
statutory health insurances (GKV), and insufficient declaration of vehicle
systems. Apart from selecting the appropriate active substance and its proper
concentration, choosing a suitable pharmaceutical (galenic) formulation - and
thus utilizing the intrinsic effects thereof - is pivotal in enhancing the
intended therapeutic effects. When prescribing individual formulations,
dermatologists should, to the greatest extent possible, always resort to
standardized extemporaneous preparations. Given the multitude of potential
ingredients available for pharmaceutical formulations as well as the complexity
resulting therefrom, arbitrary changes in quality or quantity of individual
components are associated with a high risk of instability, thus jeopardizing
safety and the rationale behind any given formulation. Optimal use of topical
preparations also requires basic knowledge in pharmacokinetics as well as
evidence-based treatment planning.
PMID- 27879087
TI - Dr. Kurt und Eva Herrmann-Stipendium in Hohe von 10 000 Euro fur junge
Dermatologinnen/Dermatologen.
PMID- 27879085
TI - Potential drug-drug interactions and adverse drug reactions in dermatological
inpatients.
AB - OBJECTIVES: To present information on the frequency of drug-drug interactions and
adverse drug reactions, and to provide assistance on how to minimize these major
problems in the pharmacological treatment of dermatological inpatients. PATIENTS
AND METHODS: The medications given to 1,099 dermatological inpatients were
retrospectively analyzed for drug-drug interactions and adverse drug reactions
using web-based drug interaction software (Diagnosia(r) Check). RESULTS: We
report an overall frequency of relevant drug-drug interactions of 51.7 %, with an
average of 3.2 interactions per affected inpatient. Drug combinations that should
have been avoided were found in 5.7 % of the study population. Total drug count
was the most important risk factor. Drug groups involved in the majority of
interactions were analgesics, cardiovascular and antithrombotic agents, as well
as antidepressants. The risk of developing adverse drug reactions was rated as
"high" in 53.1 % of inpatients. The top five adverse reactions in this patient
group were bleeding, constipation, anticholinergic effects, sedation, and
orthostatic effects. CONCLUSIONS: Potential drug-drug interactions as well as
adverse drug reactions are alarmingly common in dermatological inpatients. Every
other patient is at risk of experiencing such interactions or adverse reactions,
and every twentieth patient receives a drug combination that should not be
administered. Increased alertness is a must in order to identify patients at
risk.
PMID- 27879088
TI - Aggregierte orangefarbene Papeln im Gesicht.
PMID- 27879089
TI - Keratosis lichenoides chronica with an atypical clinical presentation and
variable histopathological features.
PMID- 27879090
TI - Hamophagozytotisches Syndrom bei subkutanem pannikulitisartigen T-Zell-Lymphom.
PMID- 27879091
TI - Kongresskalender 2016.
PMID- 27879092
TI - Unilateral migratory linear plantar erythema.
PMID- 27879094
TI - Es hort doch jeder nur, was er versteht.
PMID- 27879093
TI - Prognostic value of the area and density of lymphatic vessels in cutaneous
squamous cell carcinoma.
AB - BACKGROUND AND OBJECTIVES: Cutaneous squamous cell carcinoma (SCC) is known for
its capacity to metastasize via lymphatic vessels. In recent studies, the level
of lymphangiogenesis has been reported as a potential prognostic factor for
several skin tumors. The aim of this study was to quantify lymphangiogenesis in
SCC using either computer-assisted image analysis or the Chalkley count
technique. Vascular parameters were evaluated and compared with respect to their
predictive power for tumor metastasis. PATIENT AND METHODS: In this case-control
study, clinical and histological data of 15 metastatic and 15 nonmetastatic SCC
patients were retrospectively analyzed. SCC samples were immunostained for the
lymphatic endothelial marker D2-40 and the panvascular marker CD31, and analyzed
using computer-assisted morphometric image analyses within hot spots as well as
the digitalized Chalkley counting method. RESULTS: Lymphatic vessel density,
relative lymphatic vessel area, and lymphatic Chalkley count were significantly
elevated in metastatic SCC. Tumor thickness was significantly higher in
metastatic SCC, and had the highest predictive power for metastatic disease.
Tumor thickness was a significant predictor of lymphangiogenic parameters.
CONCLUSIONS: Lymphangiogenesis is elevated in metastatic SCC but its extent is
influenced by tumor thickness. Tumor thickness remains the most reliable
predictive factor for metastatic disease.
PMID- 27879095
TI - Fruhzeitige Diagnose des intravaskularen grobetazelligen B-Zell-Lymphoms.
PMID- 27879098
TI - Auftreten multipler Basalzellkarzinome in einem epidermalen Navus.
PMID- 27879099
TI - Verleihung der Goldmedaille der Osterreichischen Gesellschaft fur Dermatologie
und Venerologie an Otto Braun-Falco.
PMID- 27879096
TI - Compression therapy in patients with venous leg ulcers.
AB - Wund-D.A.CH. is the umbrella organization of the various wound care societies in
German-speaking countries. The present consensus paper on practical aspects
pertinent to compression therapy in patients with venous leg ulcers was developed
by experts from Germany, Austria, and Switzerland. In Europe, venous leg ulcers
rank among the most common causes of chronic wounds. Apart from conservative and
interventional wound and vein treatment, compression therapy represents the basis
of all other therapeutic strategies. To that end, there are currently a wide
variety of materials and systems available. While especially short-stretch
bandages or multicomponent systems should be used in the initial decongestion
phase, ulcer stocking systems are recommended for the subsequent maintenance
phase. Another - to date, far less common - alternative are adaptive Velcro
bandage systems. Medical compression stockings have proven particularly
beneficial in the prevention of ulcer recurrence. The large number of treatment
options currently available enables therapists to develop therapeutic concepts
geared towards their patients' individual needs and abilities, thus resulting in
good acceptance and adherence. Compression therapy plays a crucial role in the
treatment of patients with venous leg ulcers. In recent years, a number of
different treatment options have become available, their use and application
differing among German-speaking countries. The present expert consensus is
therefore meant to outline concrete recommendations for routine implementation of
compression therapy in patients with venous leg ulcers.
PMID- 27879100
TI - Topika und deren Einsatz in der Dermatologie.
AB - Grundkenntnisse zur Zusammensetzung und den regulatorischen Hintergrunden von
Topika gehoren zu den alltaglich benotigten Herausforderungen dermatologisch
arztlichen Handelns. Ein professioneller und indikationsgerechter Umgang bei der
Auswahl, Verordnung und Anwendung topischer Praparate ist somit Voraussetzung fur
eine verantwortungsvolle facharztliche Tatigkeit. Dabei bereiten die
regulatorische Zuordnung (Arzneimittel, Medizinprodukt, Kosmetikum), die
Feststellung der Erstattungsfahigkeit durch die GKV und die unzureichende
Kennzeichnung des Vehikelsystems einzelner Praparate nicht selten Probleme.
Bestreben sollte es sein, neben der Wahl des geeigneten Wirkstoffs und der
geeigneten Konzentration ein an die Indikation der Therapie angepasstes
galenisches System auszuwahlen, um dessen Eigenwirkung fur den therapeutischen
Effekt nutzen zu konnen. Bei der Verschreibung von Rezepturarzneimitteln sollte
nach Moglichkeit immer auf eine standardisierte Rezeptur (Magistralrezeptur)
zuruckgegriffen werden. Durch die Vielzahl der moglichen Inhaltsstoffe und der
sich daraus ergebenden Komplexitat eines galenischen Systems ist eine
willkurliche Manipulation durch qualitative oder quantitative Veranderungen
einzelner Komponenten mit hohen Risiken fur eine Instabilitat und damit fur
Sicherheit und Sinnhaftigkeit verbunden. Eine optimierte Anwendung von Topika
setzt zudem Grundlagenkenntnisse zur Pharmakokinetik und zur evidenzbasierten
Therapieplanung voraus.
PMID- 27879101
TI - Kompressionstherapie bei Patienten mit Ulcus cruris venosum.
AB - Wund-D.A.CH. ist der Dachverband deutschsprachiger Fachgesellschaften, die sich
mit den Thematiken der Wundbehandlung beschaftigen. Experten verschiedener
Fachgesellschaften aus Deutschland, Osterreich und der Schweiz haben nun einen
aktuellen Konsens der Kompressionstherapie fur Patienten mit Ulcus cruris venosum
erstellt. In Europa ist das Ulcus cruris venosum eine der haufigsten Ursachen fur
chronische Wunden. Neben der konservativen und interventionellen Wund- und
Venentherapie, ist die Kompressionstherapie die Basis der Behandlungsstrategien.
Die Kompressionstherapie kann heute mit sehr unterschiedlichen Materialien und
Systemen durchgefuhrt werden. Wahrend in der Entstauungsphase insbesondere
Verbande mit Kurzzugbinden oder Mehrkomponentensysteme zur Anwendung kommen, sind
es anschliebetaend uberwiegend Ulkus-Strumpfsysteme. Eine weitere, bislang wenig
verbreitete Alternative sind adaptive Kompressionsbandagen. Insbesondere fur die
Rezidivprophylaxe werden medizinische Kompressionsstrumpfe empfohlen. Durch die
Vielzahl der heute zur Verfugung stehenden Behandlungsoptionen, kann fur nahezu
alle Patienten ein Konzept entwickelt werden, dass sich an den individuellen
Bedurfnissen und Fahigkeiten orientiert und daher auch akzeptiert und
durchgefuhrt wird. Die Kompressionstherapie ist fur die Behandlung von Patienten
mit Ulcus cruris venosum essentiell. In den letzten Jahren sind viele
verschiedene Therapieoptionen verfugbar, die in den deutschsprachigen Landern
unterschiedlich angewendet oder durchgefuhrt werden. Daher soll dieser
Expertenkonsens dazu beitragen, konkrete Empfehlungen fur die praktische
Durchfuhrung der Kompressionstherapie von Patienten mit Ulcus cruris venosum
darzustellen.
PMID- 27879102
TI - Prognostischer Wert der Flache und Dichte von Lymphgefabetaen bei kutanem
Plattenepithelkarzinom.
AB - HINTERGRUND UND ZIELE: Kutane Plattenepithelkarzinome (SCC) sind bekannt fur ihre
Fahigkeit, uber Lymphgefabetae zu metastasieren. In neueren Studien wird das
Ausmabeta der Lymphangiogenese als moglicher prognostischer Faktor bei einigen
Hauttumoren genannt. Ziel dieser Studie war die Quantifizierung der
Lymphangiogenese bei SCC entweder durch computergestutzte Bildanalyse oder
mithilfe der Zahlmethode nach Chalkley. Gefabetaparameter wurden im Hinblick auf
ihre Vorhersagekraft fur die Bildung von Tumormetastasen beurteilt und
verglichen. PATIENTEN UND METHODEN: In dieser Fallkontrollstudie wurden die
klinischen und histologischen Daten von jeweils 15 SCC-Patienten mit bzw. ohne
Metastasen retrospektiv analysiert. In den SCC-Proben wurde der fur das
Lymphendothel spezifische Marker D2-40 und der pan-vaskulare Marker CD31
immunhistochemisch angefarbt und durch computergestutzte morphometrische
Bildanalyse in Hotspots sowie mithilfe der digitalisierten Zahlmethode nach
Chalkley analysiert. ERGEBNISSE: Die Dichte von Lymphgefabetaen, die relative
Lymphgefabetaflache und die mit der Chalkley-Methode ermittelte Zahl an
Lymphgefabetaen (Chalkley-Count) waren bei metastasierten SCC signifikant erhoht.
Die Tumordicke war bei metastasierten SCC signifikant hoher und besabeta die
hochste Vorhersagekraft fur eine Metastasierung. Die Tumordicke war ein
signifikanter Pradiktor fur Lymphangiogeneseparameter. SCHLUSSFOLGERUNGEN: Die
Lymphangiogenese ist bei metastasierten SCC erhoht, doch ihr Ausmabeta wird von
der Tumordicke beeinflusst. Die Tumordicke bildet weiterhin den zuverlassigsten
pradiktiven Faktor fur die Metastasierung.
PMID- 27879103
TI - Nagelbefall kann bei Patienten mit Psoriasis auf eine Enthesiopathie hinweisen.
AB - HINTERGRUND: Obwohl subklinische Enthesiopathie ein gut etabliertes
diagnostisches Merkmal der Psoriasisarthritis (PsA) ist, wird sie haufig
ubersehen, da viele Patienten asymptomatisch sind. Gabe es klinische Hinweise auf
das Vorliegen einer Enthesiopathie, wurde dies den Klinikern die Moglichkeit
eroffnen, eine PsA fruhzeitig zu diagnostizieren. MATERIAL UND METHODEN: Es wurde
eine monozentrische prospektive Studie mit insgesamt 90 Psoriasis-Patienten
durchgefuhrt, um mittels Ultraschall das Vorliegen von Enthesenanomalien zu
untersuchen und eine Korrelation mit dem Befall der Nagel festzustellen.
ERGEBNISSE: Enthesenanomalien wurden bei 23 Patienten (25,5 %) gefunden, von
denen 19 (82,6 %) Nagelbefall aufwiesen. Bei 4 Patienten waren die Nagel nicht
betroffen. Enthesiopathie lag bei 31,1 % (19/61) der Patienten mit Onychopathie
vor, von den Patienten ohne Nagelbefall litten nur 13,8 % (4/29) an
Enthesiopathie (p = 0,07). Zwischen dem Target-NAPSI-Score und dem Vorliegen
einer Enthesiopathie bestand eine signifikante Korrelation. Eine signifikante
Korrelation bestand daruber hinaus auch zwischen dem Vorliegen einer
Enthesiopathie und der Anzahl der betroffenen Nagel (p = 0,035).
SCHLUSSFOLGERUNGEN: Klinische Belege fur eine Onychopathie konnen der Schlussel
fur die fruhe Diagnose einer Enthesiopathie bei Psoriasis-Patienten sein.
PMID- 27879104
TI - Multiplayer games and HIV transmission via casual encounters.
AB - Population transmission models have been helpful in studying the spread of HIV.
They assess changes made at the population level for different intervention
strategies. To further understand how individual changes affect the population as
a whole, game-theoretical models are used to quantify the decision-making
process. Investigating multiplayer nonlinear games that model HIV transmission
represents a unique approach in epidemiological research. We present here 2
player and multiplayer noncooperative games where players are defined by HIV
status and age and may engage in casual (sexual) encounters. The games are
modelled as generalized Nash games with shared constraints, which is completely
novel in the context of our applied problem. Each player's HIV status is known to
potential partners, and players have personal preferences ranked via utility
values of unprotected and protected sex outcomes. We model a player's strategy as
their probability of being engaged in a casual unprotected sex encounter (USE),
which may lead to HIV transmission; however, we do not incorporate a transmission
model here. We study the sensitivity of Nash strategies with respect to varying
preference rankings, and the impact of a prophylactic vaccine introduced in
players of youngest age groups. We also study the effect of these changes on the
overall increase in infection level, as well as the effects that a potential
prophylactic treatment may have on age-stratified groups of players. We conclude
that the biggest impacts on increasing the infection levels in the overall
population are given by the variation in the utilities assigned to individuals
for unprotected sex with others of opposite HIV status, while the introduction of
a prophylactic vaccine in youngest age group (15-20 yr olds) slows down the
increase in HIV infection.
PMID- 27879105
TI - Optimal control analysis of malaria-schistosomiasis co-infection dynamics.
AB - This paper presents a mathematical model for malaria--schistosomiasis co
infection in order to investigate their synergistic relationship in the presence
of treatment. We first analyse the single infection steady states, then
investigate the existence and stability of equilibria and then calculate the
basic reproduction numbers. Both the single-infection models and the co-infection
model exhibit backward bifurcations. We carrying out a sensitivity analysis of
the co-infection model and show that schistosomiasis infection may not be
associated with an increased risk of malaria. Conversely, malaria infection may
be associated with an increased risk of schistosomiasis. Furthermore, we found
that effective treatment and prevention of schistosomiasis infection would also
assist in the effective control and eradication of malaria. Finally, we apply
Pontryagin's Maximum Principle to the model in order to determine optimal
strategies for control of both diseases.
PMID- 27879106
TI - Global existence and uniqueness of classical solutions for a generalized
quasilinear parabolic equation with application to a glioblastoma growth model.
AB - This paper studies the global existence and uniqueness of classical solutions for
a generalized quasilinear parabolic equation with appropriate initial and mixed
boundary conditions. Under some practicable regularity criteria on diffusion item
and nonlinearity, we establish the local existence and uniqueness of classical
solutions based on a contraction mapping. This local solution can be continued
for all positive time by employing the methods of energy estimates, Lp-theory,
and Schauder estimate of linear parabolic equations. A straightforward
application of global existence result of classical solutions to a density
dependent diffusion model of in vitro glioblastoma growth is also presented.
PMID- 27879107
TI - Global stability of a multistrain SIS model with superinfection.
AB - In this paper, we study the global stability of a multistrain SIS model with
superinfection. We present an iterative procedure to calculate a sequence of
reproduction numbers, and we prove that it completely determines the global
dynamics of the system. We show that for any number of strains with different
infectivities, the stable coexistence of any subset of the strains is possible,
and we completely characterize all scenarios. As an example, we apply our method
to a three-strain model.
PMID- 27879108
TI - Detecting phase transitions in collective behavior using manifold's curvature.
AB - If a given behavior of a multi-agent system restricts the phase variable to an
invariant manifold, then we define a phase transition as a change of physical
characteristics such as speed, coordination, and structure. We define such a
phase transition as splitting an underlying manifold into two sub-manifolds with
distinct dimensionalities around the singularity where the phase transition
physically exists. Here, we propose a method of detecting phase transitions and
splitting the manifold into phase transitions free sub-manifolds. Therein, we
firstly utilize a relationship between curvature and singular value ratio of
points sampled in a curve, and then extend the assertion into higher-dimensions
using the shape operator. Secondly, we attest that the same phase transition can
also be approximated by singular value ratios computed locally over the data in a
neighborhood on the manifold. We validate the Phase Transition Detection (PTD)
method using one particle simulation and three real world examples.
PMID- 27879110
TI - Population models with quasi-constant-yield harvest rates.
AB - One-dimensional logistic population models with quasi-constant-yield harvest
rates are studied under the assumptions that a population inhabits a patch of
dimensionless width and no members of the population can survive outside of the
patch. The essential problem is to determine the size of the patch and the ranges
of the harvesting rate functions under which the population survives or becomes
extinct. This is the first paper which discusses such models with the Dirichlet
boundary conditions and can tell the exact quantity of harvest rates of the
species without having the population die out. The methodology is to establish
new results on the existence of positive solutions of semi-positone Hammerstein
integral equations using the fixed point index theory for compact maps defined on
cones, and apply the new results to tackle the essential problem. It is expected
that the established analytical results have broad applications in management of
sustainable ecological systems.
PMID- 27879109
TI - Altruistic aging: The evolutionary dynamics balancing longevity and evolvability.
AB - Altruism is typically associated with traits or behaviors that benefit the
population as a whole, but are costly to the individual. We propose that, when
the environment is rapidly changing, senescence (age-related deterioration) can
be altruistic. According to numerical simulations of an agent-based model, while
long-lived individuals can outcompete their short lived peers, populations
composed of long-lived individuals are more likely to go extinct during periods
of rapid environmental change. Moreover, as in many situations where other
cooperative behavior arises, senescence can be stabilized in a structured
population.
PMID- 27879111
TI - Modelling chemistry and biology after implantation of a drug-eluting stent. Part
I: Drug transport.
AB - Drug-eluting stents have been used widely to prevent restenosis of arteries
following percutaneous balloon angioplasty. Mathematical modelling plays an
important role in optimising the design of these stents to maximise their
efficiency. When designing a drug-eluting stent system, we expect to have a
sufficient amount of drug being released into the artery wall for a sufficient
period to prevent restenosis. In this paper, a simple model is considered to
provide an elementary description of drug release into artery tissue from an
implanted stent. From the model, we identified a parameter regime to optimise the
system when preparing the polymer coating. The model provides some useful order
of magnitude estimates for the key quantities of interest. From the model, we can
identify the time scales over which the drug traverses the artery wall and
empties from the polymer coating, as well as obtain approximate formulae for the
total amount of drug in the artery tissue and the fraction of drug that has
released from the polymer. The model was evaluated by comparing to in-vivo
experimental data and good agreement was found.
PMID- 27879112
TI - Machine learning of swimming data via wisdom of crowd and regression analysis.
AB - Every performance, in an officially sanctioned meet, by a registered USA swimmer
is recorded into an online database with times dating back to 1980. For the first
time, statistical analysis and machine learning methods are systematically
applied to 4,022,631 swim records. In this study, we investigate performance
features for all strokes as a function of age and gender. The variances in
performance of males and females for different ages and strokes were studied, and
the correlations of performances for different ages were estimated using the
Pearson correlation. Regression analysis show the performance trends for both
males and females at different ages and suggest critical ages for peak training.
Moreover, we assess twelve popular machine learning methods to predict or
classify swimmer performance. Each method exhibited different strengths or
weaknesses in different cases, indicating no one method could predict well for
all strokes. To address this problem, we propose a new method by combining
multiple inference methods to derive Wisdom of Crowd Classifier (WoCC). Our
simulation experiments demonstrate that the WoCC is a consistent method with
better overall prediction accuracy. Our study reveals several new age-dependent
trends in swimming and provides an accurate method for classifying and predicting
swimming times.
PMID- 27879113
TI - Dynamical analysis of a toxin-producing phytoplankton-zooplankton model with
refuge.
AB - To study the impacts of toxin produced by phytoplankton and refuges provided for
phytoplankton on phytoplankton-zooplankton interactions in lakes, we establish a
simple phytoplankton-zooplankton system with Holling type II response function.
The existence and stability of positive equilibria are discussed. Bifurcation
analyses are given by using normal form theory which reveals reasonably the
mechanisms and nonlinear dynamics of the effects of toxin and refuges, including
Hopf bifurcation, Bogdanov-Takens bifurcation of co-dimension 2 and 3. Numerical
simulations are carried out to intuitively support our analytical results and
help to explain the observed biological behaviors. Our findings finally show that
both phytoplankton refuge and toxin have a significant impact on the occurring
and terminating of algal blooms in freshwater lakes.
PMID- 27879114
TI - Global stability and uniform persistence of the reaction-convection-diffusion
cholera epidemic model.
AB - We study the global stability issue of the reaction-convection-diffusion cholera
epidemic PDE model and show that the basic reproduction number serves as a
threshold parameter that predicts whether cholera will persist or become globally
extinct. Specifically, when the basic reproduction number is beneath one, we show
that the disease-free-equilibrium is globally attractive. On the other hand, when
the basic reproduction number exceeds one, if the infectious hosts or the
concentration of bacteria in the contaminated water are not initially identically
zero, we prove the uniform persistence result and that there exists at least one
positive steady state.
PMID- 27879116
TI - Angiogenesis model with Erlang distributed delays.
AB - We consider the model of angiogenesis process proposed by Bodnar and Forys (2009)
with time delays included into the vessels formation and tumour growth processes.
Originally, discrete delays were considered, while in the present paper we focus
on distributed delays and discuss specific results for the Erlang distributions.
Analytical results concerning stability of positive steady states are illustrated
by numerical results in which we also compare these results with those for
discrete delays.
PMID- 27879115
TI - Preface.
AB - This volume was inspired by the topics presented at the international conference
"Micro and Macro Systems in Life Sciences" which was held on Jun 8-12, 2015 in
Bedlewo, Poland. System biology is an approach which tries to understand how
micro systems, at the molecular and cellular levels, affect macro systems such as
organs, tissue and populations. Thus it is not surprising that a major theme of
this volume evolves around cancer and its treatment. Articles on this topic
include models for tumor induced angiogenesis, without and with delays,
metastatic niche of the bone marrow, drug resistance and metronomic chemotherapy,
and virotherapy of glioma. Methods range from dynamical systems to optimal
control. Another well represented topic of this volume is mathematical modeling
in epidemiology. Mathematical approaches to modeling and control of more specific
diseases like malaria, Ebola or human papillomavirus are discussed as well as a
more general approaches to the SEIR, and even more general class of models in
epidemiology, by using the tools of optimal control and optimization. The volume
also brings up challenges in mathematical modeling of other diseases such as
tuberculosis. Partial differential equations combined with numerical approaches
are becoming important tools in modeling not only tumor growth and treatment, but
also other diseases, such as fibrosis of the liver, and atherosclerosis and its
associated blood flow dynamics, and our volume presents a state of the art
approach on these topics. Understanding mathematics behind the cell motion,
appearance of the special patterns in various cell populations, and age
structured mutations are among topics addressed inour volume. A spatio-temporal
models of synthetic genetic oscillators brings the analysis to the gene level
which is the focus of much of current biological research. Mathematics can help
biologists to explain the collective behavior of bacterial, a topic that is also
presented here. Finally some more across the discipline topics are being
addresses, which can appear as a challenge in studying problems in systems
biology on all, macro, meso and micro levels. They include numerical approaches
to stochastic wave equation arising in modeling Brownian motion, discrete
velocity models, many particle approximations as well as very important aspect on
the connection between discrete measurement and the construction of the models
for various phenomena, particularly the one involving delays. With the variety of
biological topics and their mathematical approaches we very much hope that the
reader of the Mathematical Biosciences and Engineering will find this volume
interesting and inspirational for their own research.
PMID- 27879117
TI - A singular limit for an age structured mutation problem.
AB - The spread of a particular trait in a cell population often is modelled by an
appropriate system of ordinary differential equations describing how the sizes of
subpopulations of the cells with the same genome change in time. On the other
hand, it is recognized that cells have their own vital dynamics and mutations,
leading to changes in their genome, mostly occurring during the cell division at
the end of its life cycle. In this context, the process is described by a system
of McKendrick type equations which resembles a network transport problem. In this
paper we show that, under an appropriate scaling of the latter, these two
descriptions are asymptotically equivalent.
PMID- 27879118
TI - On the initial value problem for a class of discrete velocity models.
AB - In this paper we investigate the initial value problem for a class of hyperbolic
systems relating the mathematical modeling of a class of complex phenomena, with
emphasis on vehicular traffic flow. Existence and uniqueness for large times of
solutions, a basic requisite both for models building and for their numerical
implementation, are obtained under weak hypotheses on the terms modeling the
interaction among agents. The results are then compared with the existing
literature on the subject.
PMID- 27879119
TI - On the mathematical modelling of tumor-induced angiogenesis.
AB - An angiogenic system is taken as an example of extremely complex ones in the
field of Life Sciences, from both analytical and computational points of view,
due to the strong coupling between the kinetic parameters of the relevant
branching - growth - anastomosis stochastic processes of the capillary network,
at the microscale, and the family of interacting underlying biochemical fields,
at the macroscale. To reduce this complexity, for a conceptual stochastic model
we have explored how to take advantage of the system intrinsic multiscale
structure: one might describe the stochastic dynamics of the cells at the vessel
tip at their natural microscale, whereas the dynamics of the underlying fields is
given by a deterministic mean field approximation obtained by an averaging at a
suitable mesoscale. But the outcomes of relevant numerical simulations show that
the proposed model, in presence of anastomosis, is not self-averaging, so that
the ''propagation of chaos" assumption cannot be applied to obtain a
deterministic mean field approximation. On the other hand we have shown that
ensemble averages over many realizations of the stochastic system may better
correspond to a deterministic reaction-diffusion system.
PMID- 27879120
TI - Network-based analysis of a small Ebola outbreak.
AB - We present a method for estimating epidemic parameters in network-based
stochastic epidemic models when the total number of infections is assumed to be
small. We illustrate the method by reanalyzing the data from the 2014 Democratic
Republic of the Congo (DRC) Ebola outbreak described in Maganga et al. (2014).
PMID- 27879121
TI - Emergence of spatial patterns in a mathematical model for the co-culture dynamics
of epithelial-like and mesenchymal-like cells.
AB - Accumulating evidence indicates that the interaction between epithelial and
mesenchymal cells plays a pivotal role in cancer development and metastasis
formation. Here we propose an integro-differential model for the co-culture
dynamics of epithelial-like and mesenchymal-like cells. Our model takes into
account the effects of chemotaxis, adhesive interactions between epithelial-like
cells, proliferation and competition for nutrients. We present a sample of
numerical results which display the emergence of spots, stripes and honeycomb
patterns, depending on parameters and initial data. These simulations also
suggest that epithelial-like and mesenchymal-like cells can segregate when there
is little competition for nutrients. Furthermore, our computational results
provide a possible explanation for how the concerted action between epithelial
cell adhesion and mesenchymal-cell spreading can precipitate the formation of
ring-like structures, which resemble the fibrous capsules frequently observed in
hepatic tumours.
PMID- 27879122
TI - Controlling imported malaria cases in the United States of America.
AB - We extend the mathematical malaria epidemic model framework of Dembele et al. and
use it to ''capture" the 2013 Centers for Disease Control and Prevention (CDC)
reported data on the 2011 number of imported malaria cases in the USA.
Furthermore, we use our ''fitted" malaria models for the top 20 countries of
malaria acquisition by USA residents to study the impact of protecting USA
residents from malaria infection when they travel to malaria endemic areas, the
impact of protecting residents of malaria endemic regions from mosquito bites and
the impact of killing mosquitoes in those endemic areas on the CDC number of
imported malaria cases in USA. To significantly reduce the number of imported
malaria cases in USA, for each top 20 country of malaria acquisition by USA
travelers, we compute the optimal proportion of USA international travelers that
must be protected against malaria infection and the optimal proportion of
mosquitoes that must be killed.
PMID- 27879123
TI - On application of optimal control to SEIR normalized models: Pros and cons.
AB - In this work we normalize a SEIR model that incorporates exponential natural
birth and death, as well as disease-caused death. We use optimal control to
control by vaccination the spread of a generic infectious disease described by a
normalized model with L1 cost. We discuss the pros and cons of SEIR normalized
models when compared with classical models when optimal control with L1 costs are
considered. Our discussion highlights the role of the cost. Additionally, we
partially validate our numerical solutions for our optimal control problem with
normalized models using the Maximum Principle.
PMID- 27879124
TI - Many particle approximation of the Aw-Rascle-Zhang second order model for
vehicular traffic.
AB - We consider the follow-the-leader approximation of the Aw-Rascle-Zhang (ARZ)
model for traffic flow in a multi population formulation. We prove rigorous
convergence to weak solutions of the ARZ system in the many particle limit in
presence of vacuum. The result is based on uniform BV estimates on the discrete
particle velocity. We complement our result with numerical simulations of the
particle method compared with some exact solutions to the Riemann problem of the
ARZ system.
PMID- 27879125
TI - Mathematical modeling of liver fibrosis.
AB - Fibrosis is the formation of excessive fibrous connective tissue in an organ or
tissue, which occurs in reparative process or in response to inflammation.
Fibrotic diseases are characterized by abnormal excessive deposition of fibrous
proteins, such as collagen, and the disease is most commonly progressive, leading
to organ disfunction and failure. Although fibrotic diseases evolve in a similar
way in all organs, differences may occur as a result of structure and function of
the specific organ. In liver fibrosis, the gold standard for diagnosis and
monitoring the progression of the disease is biopsy, which is invasive and cannot
be repeated frequently. For this reason there is currently a great interest in
identifying non-invasive biomarkers for liver fibrosis. In this paper, we develop
for the first time a mathematical model of liver fibrosis by a system of partial
differential equations. We use the model to explore the efficacy of potential and
currently used drugs aimed at blocking the progression of liver fibrosis. We also
use the model to develop a diagnostic tool based on a combination of two
biomarkers.
PMID- 27879126
TI - Estimation of initial functions for systems with delays from discrete
measurements.
AB - The work presents a gradient-based approach to estimation of initial functions of
time delay elements appearing in models of dynamical systems. It is shown how to
generate the gradient of the estimation objective function in the initial
function space using adjoint sensitivity analysis. It is assumed that the system
is continuous-time and described by ordinary differential equations with delays
but the estimation is done based on discrete-time measurements of the signals
appearing in the system. Results of gradient-based estimation of initial
functions for exemplary models are presented and discussed.
PMID- 27879127
TI - Numerical simulations of a 3D fluid-structure interaction model for blood flow in
an atherosclerotic artery.
AB - The inflammatory process of atherosclerosis leads to the formation of an
atheromatous plaque in the intima of the blood vessel. The plaque rupture may
result from the interaction between the blood and the plaque. In each cardiac
cycle, blood interacts with the vessel, considered as a compliant nonlinear
hyperelastic. A three dimensional idealized fluid-structure interaction (FSI)
model is constructed to perform the blood-plaque and blood-vessel wall
interaction studies. An absorbing boundary condition (BC) is imposed directly on
the outflow in order to cope with the spurious reflexions due to the truncation
of the computational domain. The difference between the Newtonian and non
Newtonian effects is highlighted. It is shown that the von Mises and wall shear
stresses are significantly affected according to the rigidity of the wall. The
numerical results have shown that the risk of plaque rupture is higher in the
case of a moving wall, while in the case of a fixed wall the risk of progression
of the atheromatous plaque is higher.
PMID- 27879128
TI - Local controllability and optimal control for a model of combined anticancer
therapy with control delays.
AB - We study some control properties of a class of two-compartmental models of
response to anticancer treatment which combines anti-angiogenic and cytotoxic
drugs and take into account multiple control delays. We formulate sufficient
local controllability conditions for semilinear systems resulting from these
models. The control delays are related to PK/PD effects and some clinical
recommendations, e.g., normalization of the vascular network. The optimized
protocols of the combined therapy for the model, considered as solutions to an
optimal control problem with delays in control, are found using necessary
conditions of optimality and numerical computations. Our numerical approach uses
dicretization and nonlinear programming methods as well as the direct
optimization of switching times. The structural sensitivity of the considered
control properties and optimal solutions is also discussed.
PMID- 27879129
TI - On drug resistance and metronomic chemotherapy: A mathematical modeling and
optimal control approach.
AB - Effects that tumor heterogeneity and drug resistance have on the structure of
chemotherapy protocols are discussed from a mathematical modeling and optimal
control point of view. In the case when two compartments consisting of sensitive
and resistant cells are considered, optimal protocols consist of full dose
chemotherapy as long as the relative proportion of sensitive cells is high. When
resistant cells become more dominant, optimal controls switch to lower dose
regimens defined by so-called singular controls. The role that singular controls
play in the structure of optimal therapy protocols for cell populations with a
large number of traits is explored in mathematical models.
PMID- 27879130
TI - Newton's method for nonlinear stochastic wave equations driven by one-dimensional
Brownian motion.
AB - We consider nonlinear stochastic wave equations driven by one-dimensional white
noise with respect to time. The existence of solutions is proved by means of
Picard iterations. Next we apply Newton's method. Moreover, a second-order
convergence in a probabilistic sense is demonstrated.
PMID- 27879131
TI - Spatio-temporal models of synthetic genetic oscillators.
AB - Signal transduction pathways play a major role in many important aspects of
cellular function e.g. cell division, apoptosis. One important class of signal
transduction pathways is gene regulatory networks (GRNs). In many GRNs, proteins
bind to gene sites in the nucleus thereby altering the transcription rate. Such
proteins are known as transcription factors. If the binding reduces the
transcription rate there is a negative feedback leading to oscillatory behaviour
in mRNA and protein levels, both spatially (e.g. by observing fluorescently
labelled molecules in single cells) and temporally (e.g. by observing
protein/mRNA levels over time). Recent computational modelling has demonstrated
that spatial movement of the molecules is a vital component of GRNs and may cause
the oscillations. These numerical findings have subsequently been proved
rigorously i.e. the diffusion coefficient of the protein/mRNA acts as a
bifurcation parameter and gives rise to a Hopf bifurcation. In this paper we
first present a model of the canonical GRN (the Hes1 protein) and show the effect
of varying the spatial location of gene and protein production sites on the
oscillations. We then extend the approach to examine spatio-temporal models of
synthetic gene regulatory networks e.g. n-gene repressilators and activator
repressor systems.
PMID- 27879132
TI - Sufficient optimality conditions for a class of epidemic problems with control on
the boundary.
AB - In earlier paper of V. Capasso et al it is considered a simply model of
controlling an epidemic, which is described by three functionals and systems of
two PDE equations having the feedback operator on the boundary. Necessary
optimality conditions and two gradient-type algorithms are derived. This paper
constructs dual dynamic programming method to derive sufficient optimality
conditions for optimal solution as well epsilon-optimality conditions in terms of
dual dynamic inequalities. Approximate optimality and numerical calculations are
presented too.
PMID- 27879133
TI - A criterion of collective behavior of bacteria.
AB - It was established in the previous works that hydrodynamic interactions between
the swimmers can lead to collective motion. Its implicit evidences were confirmed
by reduction in the effective viscosity. We propose a new quantitative criterion
to detect such a collective behavior. Our criterion is based on a new
computationally effective RVE (representative volume element) theory based on the
basic statistic moments (e-sums or generalized Eisenstein-Rayleigh sums). The
criterion can be applied to various two-phase dispersed media (biological
systems, composites etc). The locations of bacteria are modeled by short segments
having a small width randomly embedded in medium without overlapping. We compute
the e-sums of the simulated disordered sets and of the observed experimental
locations of Bacillus subtilis. The obtained results show a difference between
these two sets that demonstrates the collective motion of bacteria.
PMID- 27879134
TI - On a mathematical model of bone marrow metastatic niche.
AB - We propose a mathematical model to describe tumor cells movement towards a
metastasis location into the bone marrow considering the influence of chemotaxis
inhibition due to the action of a drug. The model considers the evolution of the
signaling molecules CXCL-12 secreted by osteoblasts (bone cells responsible of
the mineralization of the bone) and PTHrP (secreted by tumor cells) which
activates osteoblast growth. The model consists of a coupled system of second
order PDEs describing the evolution of CXCL-12 and PTHrP, an ODE of logistic type
to model the Osteoblasts density and an extra equation for each cancer cell. We
also simulate the system to illustrate the qualitative behavior of the solutions.
The numerical method of resolution is also presented in detail.
PMID- 27879135
TI - The role of TNF-alpha inhibitor in glioma virotherapy: A mathematical model.
AB - Virotherapy, using herpes simplex virus, represents a promising therapy of
glioma. But the innate immune response, which includes TNF-alpha produced by
macrophages, reduces the effectiveness of the treatment. Hence treatment with TNF
alpha inhibitor may increase the effectiveness of the virotherapy. In the present
paper we develop a mathematical model that includes continuous infusion of the
virus in combination with TNF-alpha inhibitor. We study the efficacy of the
treatment under different combinations of the two drugs for different scenarios
of the burst size of newly formed virus emerging from dying infected cancer
cells. The model may serve as a first step toward developing an optimal strategy
for the treatment of glioma by the combination of TNF-alpha inhibitor and
oncolytic virus injection.
PMID- 27879136
TI - Optimal control of a tuberculosis model with state and control delays.
AB - We introduce delays in a tuberculosis (TB) model, representing the time delay on
the diagnosis and commencement of treatment of individuals with active TB
infection. The stability of the disease free and endemic equilibriums is
investigated for any time delay. Corresponding optimal control problems, with
time delays in both state and control variables, are formulated and studied.
Although it is well-known that there is a delay between two to eight weeks
between TB infection and reaction of body's immune system to tuberculin, delays
for the active infected to be detected and treated, and delays on the treatment
of persistent latent individuals due to clinical and patient reasons, which
clearly justifies the introduction of time delays on state and control measures,
our work seems to be the first to consider such time-delays for TB and apply time
delay optimal control to carry out the optimality analysis.
PMID- 27879137
TI - A male-female mathematical model of human papillomavirus (HPV) in African
American population.
AB - We introduce mathematical human papillomavirus (HPV) epidemic models (with and
without vaccination) for African American females (AAF) and African American
males (AAM) with ''fitted'' logistic demographics and use these models to study
the HPV disease dynamics. The US Census Bureau data of AAF and AAM of 16 years
and older from 2000 to 2014 is used to ''fit'' the logistic demographic models.
We compute the basic reproduction number, R0, and use it to show that R0 is less
than 1 in the African American (AA) population with or without implementation of
HPV vaccination program. Furthermore, we obtain that adopting a HPV vaccination
policy in the AAF and AAM populations lower R0 and the number of HPV infections.
Sensitivity analysis is used to illustrate the impact of each model parameter on
the basic reproduction number.
PMID- 27879138
TI - [Total pancreatectomy for pancreatic malignancy - from history to the present
day].
AB - Currently, total pancreatectomy (TP) is indicated in approximately one in ten
surgical patients with pancreatic cancer. Key role in the decision falls in the
competence of the multidisciplinary team, alternatively of the surgeon in the
intraoperative period in some cases. Exceptionally, TP is approached in the so
called salvage surgery. Perioperative mortality of TP as an elective procedure
does not exceed that of partial resections; however, mortality of up to 50% is
associated with salvage surgery in acute postoperative pancreatitis.
Postoperatively, patients are afflicted with the so-called brittle diabetes
comparable with type 1 diabetes. The aim of our overview is to inform about the
current position of TP in the treatment of malignant pancreatic diseases.Key
words: pancreatic cancer - total pancreatectomy - multidisciplinary team.
PMID- 27879139
TI - [Liver metastases of malignant melanoma - utility of resection or radiofrequency
ablation].
AB - INTRODUCTION: Incidence of cutaneous and uveal forms of malignant melanoma (MM)
has increased significantly in the population in the last years. Biological
behavior of both these forms of MM is different and is associated with the
development of liver metastases. The prognosis of patients with MM liver
metastases is generally poor. The authors seek to consider the sense and the
possibilities of surgical treatment of MM liver metastases. METHOD: Seven
patients with liver metastases of MM were operated on in the Hepatobiliary Center
of the Department of Surgery, University Hospital in Pilsen during the last ten
years. Four patients suffered from the uveal and three from the cutaneous form of
MM. Mean age of the patients was 58.8 years. R0 liver resection was performed in
3, and radiofrequency ablation in 1 patient. In the remaining 3 patients the
operation finished by exploratory laparotomy due to tumor progression. RESULTS:
Two patients died in the interval of 6 and 25 months after liver surgery for
tumor dissemination. Two patients continue to show disease free survival,
currently of 22 and 28 months. CONCLUSION: Liver metastases of MM have a very
poor prognosis. Surgical treatment indicated by the multidisciplinary team
provides, together with further multimodal treatment, a chance for long-term
survival and its indication is justified in selected patients.Key words:
malignant melanoma - liver metastases - surgical treatment.
PMID- 27879140
TI - [Fluorescence angiography in the detection of anastomotic perfusion during rectal
and sigmoid resection - preliminary report].
AB - INTRODUCTION: The aim of the study was to evaluate the results of fluorescence
angiography for assessing anastomotic perfusion after resection of the sigmoid
colon and rectum since its introduction into clinical practice at the authors'
workplace and to evaluate the incidence of necessary resection line repositioning
based on the quality of perfusion, and also to record any complications in
anastomotic healing. METHOD: Retrospective unicentric analysis of prospectively
collected data from patients with resection of the sigmoid colon and rectum with
primary anastomosis. The patient set included 50 patients, 27 males and 23
females; the median age was 64.5 years (33-80). Forty-four patients were
indicated for resection for cancer of the sigmoid colon or rectum, while 6
patients had a benign disease. Twenty-nine patients underwent total mesorectal
excision with coloanal mechanical or hand-sewn anastomosis and 21 underwent
resection of the sigmoid colon or upper rectum with mechanical anastomosis. Prior
to the construction of the anastomosis, assessment of perfusion of the
anastomotic segments by near infrared (NIR) indocyanine green (ICG) fluorescence
angiography was performed in all patients. The quality of perfusion of the
mesocolon and bowel wall and its impact on moving the resection line and
complications of anastomotic healing 30 days postoperatively were all evaluated.
RESULTS: Assessment of perfusion using fluorescence angiography was technically
successfully performed in all 50 patients. In 5 cases (10%) the resection line
had to be moved for signs of poor perfusion of the bowel wall. Postoperatively,
healing of the anastomosis was complicated in four patients (8%). Dehiscence was
recorded in 3 patients (10.3%) with total mesorectal excision and in 1 patient
(4.8%) after resection of the sigmoid colon and upper rectum. CONCLUSION: The
presented results indicate that fluorescence angiography may lead to a decrease
in the incidence of anastomotic dehiscence after colorectal resections by mapping
in detail the perfusion of the anastomosed segments.Key words: fluorescence
angiography - indocyanine green - anastomotic leak - colorectal resection.
PMID- 27879142
TI - [Myxofibrosarcoma in the abdominal cavity].
AB - A number of benign and malignant tumors may develop in the abdominal cavity.
Sarcomas are rather rare tumors of the abdominal cavity. They are often diagnosed
at advanced growth stages as their local growth can cause clinical problems to
the patients. The author presents a case report of myxofibrosarcoma in the
abdominal cavity.Key words: myxofibrosarcoma.
PMID- 27879141
TI - [Complete mesocolic excision during right hemicolectomy].
AB - INTRODUCTION: Complete mesocolic excision (CME) ensures the removal of all
sentinel and regional lymph nodes during colon cancer surgery. For right-sided
hemicolectomy it is essential to dissect the wall of vena mesenterica superior,
which increases the risk of injuring surrounding organs. So far, no randomized
studies comparing long-term oncological results of standard right hemicolectomy
and hemicolectomy with CME have been published. METHOD: 83 patients operated for
colon carcinoma in 2014 and 2015 were included in this study, all of them
undergoing right-sided hemicolectomy using laparotomy access. The standard
procedure was done in 63 cases and hemicolectomy with CME was done in 20 cases.
We compared the incidence of complications, and the characteristics and
descriptions of obtained specimens evaluated by a pathologist for both groups.
RESULTS: The operation times of right-sided hemicolectomies with CME was longer
by 20 minutes on average. The incidence of postoperative complications was
similar in both groups. Pancreatic fistula in the group of patients undergoing
right-sided hemicolectomy with CME was an unusual complication; the fistula was
healed through conservative treatment. Comparing the resecate parameters, we
found no significant differences in the lengths of the resected terminal ileum.
But the length of the resected colon was significantly longer for the CME
technique (median 42 cm versus 22 cm). The incidence of lymph node metastases was
similar in both groups. However, the total number of removed lymph nodes in the
group with CME was significantly higher (median 23). CONCLUSION: Right-sided
hemicolectomy with complete mesocolic excision offers the removal of more lymph
nodes than the standard technique. The central vascular ligation technique
elongates the operation time and may be associated with more intraoperative
injuries. Introduction of the concept of complete mesocolic excision is derived
from an effort to standardize the surgical technique for colon cancer
resection.Key words: right-sided hemicolectomy complete mesocolic excision colon
cancer lymphadenectomy.
PMID- 27879143
TI - [Perforated jejunal diverticulitis].
AB - Jejunal diverticulosis is a rare disorder and the course of the disease is mostly
asymptomatic. Surgical treatment is generally needed in case of complications in
the acute phase of the disease, when the disease symptoms most commonly include
bleeding and perforation. Jejunum is difficult to examine using the common
visualization methods and endoscopic methods. The authors present case reports of
a 92 years old female patient and a 66 years old male patient operated for
perforated jejunal diverticles.Key words: acute abdomen jejunal diverticulitis
perforation.
PMID- 27879144
TI - [Recurrent retroperitoneal sarcoma].
AB - Retroperitoneal sarcomas are rare malignant neoplasms that are often diagnosed
late because of their typical nonspecific symptomatology. Radical surgical
resection, if possible given the local anatomical conditions, is the most
important treatment modality. However, even if the surgery is feasible and
successful, the prognosis of retroperitoneal sarcoma is not good. The most
frequent type of recurrence is local recurrence, and radical surgical resection
remains the most important treatment modality even in such cases.Our study
presents a case report of a patient with a retroperitoneal leiomyosarcoma that
was radically (R0) removed. Two years later a local recurrence developed, which
was eventually diagnosed by MRI. Again, radical (R0) surgical resection was
approached (because of tumor invasion into inferior caval vein, the vein had to
be partially resected as well and substituted with a vascular prosthesis).The
report describes a relatively typical case of retroperitoneal sarcoma, while
pointing out the importance of timely diagnosis (which is definitely not easy to
achieve) and especially of radical surgical treatment.Key words: retroperitoneal
sarcoma recurrence surgery.
PMID- 27879145
TI - Variations in endothelin receptor B subtype 2 (EDNRB2) coding sequences and mRNA
expression levels in 4 Muscovy duck plumage colour phenotypes.
AB - 1. Endothelin receptor B subtype 2 (EDNRB2) is a paralog of EDNRB, which encodes
a 7-transmembrane G-protein coupled receptor. Previous studies reported that
EDNRB was essential for melanoblast migration in mammals and ducks. 2. Muscovy
ducks have different plumage colour phenotypes. Variations in EDNRB2 coding
sequences (CDSs) and mRNA expression levels were investigated in 4 different
Muscovy duck plumage colour phenotypes, including black, black mutant, silver and
white head. 3. The EDNRB2 gene from Muscovy duck was cloned; it had a length of
6435 bp and encoded 437 amino acids. The coding region was screened and potential
single nucleotide polymorphisms were identified. Eight mutations were obtained,
including one missense variant (c.64C > T) and 7 synonymous substitutions. The
substitutions were associated with plumage colour phenotypes. 4. The EDNRB2 mRNA
expression levels were compared between feather pulp from black birds and black
mutant birds. The results indicated that EDNRB2 transcripts in feather pulp were
significantly higher in black feathers than in white feathers. 5. The results
determined the variation of EDNRB2 CDS and mRNA expression in Muscovy ducks of
various plumage colours.
PMID- 27879146
TI - Chemical composition and antifungal activity of essential oils from medicinal
plants of Kazakhstan.
AB - The composition of essential oils from leaves of Kazakhstan medicinal plants was
analysed by GC-MS. The major compounds identified were 1,8-cineole (34.2%),
myrcene (19.1%) and alpha-pinene (9.4%) in Ajania fruticulosa; 1,8-cineole
(21.0%), beta-thujone (11.0%), camphor (8.5%), borneol (7.3%) and alpha-thujone
(6.5%), in Achillea nobilis; camphor (47.3%), 1,8-cineole (23.9%), camphene
(9.8%) and beta-thujone (6.0%) in Artemisia terrae-albae; 1,8-cineole(55.8%) and
beta-pinene (6.2%) in Hyssopus ambiguus; alpha-thuyene(46.3%) and delta
cadinene(6.3%) in Juniperus sibirica; sabinene (64%) in Juniperus sabina; and
alpha-pinene (51.5%), beta-phellandrene (11.2%) and delta-cadinene (6.3%) in
Pinus sibirica. The essential oils did not show antifungal effect (MIC > 1.20
mg/mL) on Aspergillus carbonarius and Aspergillus niger, while the oils from A.
nobilis, A. terrae-albae, H. ambiguus and J. sabina exhibited moderate and
moderate to weak antimicrobial activities on Fusarium verticillioides (MIC = 0.60
mg/mL) and Fusarium graminearum (MIC = 0.60-1.20 mg/mL), respectively. A
principal component analysis associated the antifungal activity (r2 > 0.80, p =
0.05) with the presence of borneol, camphor, camphene, 1,8-cineole,alpha- and
beta-thujone, and of the oxygenated monoterpenes.
PMID- 27879148
TI - Corrigendum.
PMID- 27879147
TI - Pre-transplantation novel agent induction predicts progression-free survival for
patients with immunoglobulin light-chain amyloidosis undergoing high-dose
melphalan and autologous stem cell transplantation.
AB - INTRODUCTION: High-dose melphalan and autologous stem cell transplantation
(HDM/SCT) is an effective treatment modality for immunoglobulin light-chain (AL)
amyloidosis; however, its application remains restricted to patients with good
performance status and limited organ involvement. In recent years, the paradigm
for AL amyloidosis has changed with the introduction of novel agents such as
immunomodulatory drugs (IMiDs) and proteasome inhibitors (PIs). We hypothesized
that use of novel agent induction regimens has improved outcomes for patients
with AL amyloidosis undergoing HDM/SCT at our center. METHODS: All patients with
AL amyloidosis, age >=18 years who underwent HDM/SCT between 2001 and 2014 at the
Fred Hutchinson Cancer Research Center and University of Washington Medical
Center were included in this study. Any regimen administered within 6 months
prior to HDM/SCT including an IMiD or a PI was considered a novel induction
regimen. Use of induction regimen was evaluated in a Cox proportional hazard
model for association with progression-free survival (PFS) and overall survival
(OS). RESULTS: Forty-five patients with AL amyloidosis underwent HDM/SCT. The
median age was 57.2 years (range 39-74.4), 15 (33.3%) were women. The median
number of organs involved was 2 (range 1-5), with 20 patients having only 1
(44.4%), 10 patients having 2 (22.2%), and 15 patients (33.3%) having >= 3 organs
involved. Novel agent induction regimens were used prior to HDM/SCT in 21
patients (46.7%); these comprised PI in 13/21 (57.1%), IMiD alone in 6/21
(28.6%), PI and cyclophosphamide (CyBorD) in 3/21 (14.3%), and IMiD and PI in
3/21 (14.3%). Use of a novel agent induction regimen was associated with
improved, but not OS. The 3-year PFS for patients who received a novel agent
induction was 79%, while for those who did not was 53% (hazard ratio [HR] =
0.317, p = 0.048). The 3-year OS for patients who received novel agent induction
regimens was 95%, while for those who did not was 71% (HR = 0.454, p = 0.247).
DISCUSSION: Our data suggest that use of a novel agent induction regimen
including an IMiD or PI prior to HDM/SCT for patients with AL amyloidosis could
improve outcomes, with improvement in PFS. Although these results are limited by
sample size and lack of randomization, these results support possible further
investigation of novel agent induction regimens in the context of a prospective
clinical trial.
PMID- 27879149
TI - Increasing amount of amyloid are associated with the severity of clinical
features in hereditary gelsolin (AGel) amyloidosis.
AB - BACKGROUND: Patients with hereditary gelsolin (AGel) amyloidosis (HGA) present
with hanging skin (cutis laxa) and bilateral cranial neuropathy, and require
symptomatic plastic surgery. Our clinical observation of tissue fragility
prompted us to design a prospective study. METHODS: Twenty-nine patients with HGA
undergoing surgery were interviewed and clinically examined. The height and
thickness of skin folds in standard anatomical localizations were measured. The
presence and distribution of amyloid in skin samples were analyzed using Congo
red staining and immunohistochemistry using antibodies against gelsolin amyloid
(AGel) subunit. RESULTS: The measured skin folds stretched more in patients with
HGA (e.g. skin over olecranon, p < 0.001). The skin folds were thinner in
patients with HGA (e.g. forehead skin, p < 0.001). The skin and subcutaneous fat
were abnormally fragile during surgery. The total amount of AGel amyloid, and its
presence in the deep layers of the skin and subcutaneous fat correlated with the
measurements of skin folds, age and extent of cranial neuropathy. CONCLUSIONS:
The AGel amyloid in the skin and subcutis, together with morphologic changes in
the dermal stroma and skin adnexa contribute to the atrophied and fragile
structure of HGA skin. This is the first study to demonstrate the correlation
between AGel amyloid accumulation and clinical disease severity.
PMID- 27879151
TI - Overcoming drug resistance with on-demand charged thermoresponsive dendritic
nanogels.
AB - AIM: To develop nanogels (NG) able to modulate the encapsulation and release of
drugs, in order to circumvent drug resistance mechanisms in cancer cells.
MATERIALS & METHODS: Poly-N-isopropylacrylamide-dendritic polyglycerol NG were
semi-interpenetrated with 2-acrylamido-2-methylpropane sulfonic acid or (2
dimethylamino) ethyl methacrylate. Physico-chemical properties of the NGs as well
as doxorubicin (DOXO) loading and release were characterized. Drug delivery
performance was investigated in vitro and in vivo in a multidrug-resistant tumor
model. RESULTS: Both the DOXO loaded semi-interpenetrating polymer network NGs
were more efficient in multidrug resistant cancer cell proliferation inhibition
studies. In vivo, the DOXO loaded NG semi-interpenetrated with 2-acrylamido-2
methylpropane sulfonic acid was able to overcome drug resistance and reduce the
tumor volume to about 25%. CONCLUSION: The innovative semi-interpenetrating
polymer network NGs appear to be promising drug carriers for drug resistant
cancer therapy.
PMID- 27879150
TI - Acetabular cup position and risk of dislocation in primary total hip
arthroplasty.
AB - Background and purpose - Hip dislocation is one of the most common complications
following total hip arthroplasty (THA). Several factors that affect dislocation
have been identified, including acetabular cup positioning. Optimal values for
cup inclination and anteversion are debatable. We performed a systematic review
to describe the different methods for measuring cup placement, target zones for
cup positioning, and the association between cup positioning and dislocation
following primary THA. Methods - A systematic search of literature in the PubMed
database was performed (January and February 2016) to identify articles that
compared acetabular cup positioning and the risk of dislocation. Surgical
approach and methods for measurement of cup angles were also considered. Results
28 articles were determined to be relevant to our research question. Some
articles demonstrated that cup positioning influenced postoperative dislocation
whereas others did not. The majority of articles could not identify a
statistically significant difference between dislocating and non-dislocating THA
with regard to mean angles of cup anteversion and inclination. Most of the
articles that assessed cup placement within the Lewinnek safe zone did not show a
statistically significant reduction in dislocation rate. Alternative target
ranges have been proposed by several authors. Interpretation - The Lewinnek safe
zone could not be justified. It is difficult to draw broad conclusions regarding
a definitive target zone for cup positioning in THA, due to variability between
studies and the likely multifactorial nature of THA dislocation. Future studies
comparing cup positioning and dislocation rate should investigate surgical
approach separately. Standardized tools for measurement of cup positioning should
be implemented to allow comparison between studies.
PMID- 27879152
TI - Preparation and immunogenicity of gold glyco-nanoparticles as antipneumococcal
vaccine model.
AB - AIM: Nanotechnology-based fully synthetic carbohydrate vaccines are promising
alternatives to classic polysaccharide/protein conjugate vaccines. We have
prepared gold glyco-nanoparticles (GNP) bearing two synthetic carbohydrate
antigens related to serotypes 19F and 14 of Streptococcus pneumoniae and
evaluated their immunogenicity in vivo. RESULTS: A tetrasaccharide fragment of
serotype 14 (Tetra-14), a trisaccharide fragment of serotype 19F (Tri-19F), a T
helper peptide and d -glucose were loaded onto GNP in different ratios. Mice
immunization showed that the concomitant presence of Tri-19F and Tetra-14 on the
same nanoparticle critically enhanced the titers of specific IgG antibodies
toward type 14 polysaccharide compared with GNP exclusively displaying Tetra-14,
while no IgG antibodies against type 19F polysaccharide were elicited.
CONCLUSION: This work is a step forward toward synthetic nanosystems combining
carbohydrate antigens and immunogenic peptides as potential carbohydrate-based
vaccines.
PMID- 27879153
TI - Polymeric micelles of suberoylanilide hydroxamic acid to enhance the anticancer
potential in vitro and in vivo.
AB - AIM: To improve the bioavailability and anticancer potential of suberoylanilide
hydroxamic acid (SAHA) by developing a drug-loaded polymeric nanomicellar system.
METHODS: SAHA-loaded Poly(ethylene glycol)-block-poly(caprolactone) (PEG-PCL)
micelles were developed, and physico-chemically characterized. In vitro cellular
uptake, viability and apoptosis-inducing ability of the SAHA-PEG-PCL micelles
were investigated. In vivo anticancer activity was evaluated in C57BL/6 mice
bearing tumor. RESULTS: The SAHA-PEG-PCL micelles had optimum size (~130 nm) with
an entrapment efficiency of approximately 67%. The SAHA-PEG-PCL induced stronger
cell cycle arrest in G2/M phase leading to higher rate of apoptosis compared to
free SAHA. SAHA-PEG-PCL demonstrated significant tumor suppression compared to
free SAHA in vivo. CONCLUSION: The physicochemical properties and the antitumor
efficacy of SAHA were improved by encapsulating in polymeric micelles.
PMID- 27879154
TI - 20 years since the International Society of the Ageing Male (ISSAM) was founded.
PMID- 27879157
TI - Secondary submucosal vocal cyst following pulsed dye laser application for sulcus
vocalis.
AB - CONCLUSION: Submucosal vocal cyst can occur as a distinct long-term sequelae
following pulsed dye laser (PDL) application for sulcus vocalis. It is
recommended that the cyst lesion should be surgically removed for treatment.
Optimal and precise irradiation of PDL is considered important to avoid the
development of a such complication. OBJECTIVE: After several years of clinical
practice and an extended period of follow-up of the patients who received PDL
application in treating sulcus vocalis, the authors have encountered several
cases of secondary submucosal vocal cyst development. Therefore, this study aims
to investigate this late complication following PDL laryngeal surgery. METHODS:
Patients who developed secondary submucosal vocal cyst after last PDL laryngeal
surgery between March 2008 and January 2016 were analyzed after retrospectively
reviewing clinical and operative data. RESULTS: There were a total 11 patients
who had been identified as presenting secondary submucosal vocal cyst among 186
patients who underwent PDL laryngeal surgery (5.9% incidence). All five patients
who received surgery showed complete resolution and were free of symptoms. The
remaining six patients were closely observed with conservative measures, with
only one patient who showed resolution of the cyst.
PMID- 27879156
TI - Enhancing tablet disintegration characteristics of a highly water-soluble high
drug-loading formulation by granulation process.
AB - The objective of this study was to improve the disintegration and dissolution
characteristics of a highly water-soluble tablet matrix by altering the
manufacturing process. A high disintegration time along with high dependence of
the disintegration time on tablet hardness was observed for a high drug loading
(70% w/w) API when formulated using a high-shear wet granulation (HSWG) process.
Keeping the formulation composition mostly constant, a fluid-bed granulation
(FBG) process was explored as an alternate granulation method using a 2(4-1)
fractional factorial design with two center points. FBG batches (10 batches) were
manufactured using varying disingtegrant amount, spray rate, inlet temperature
(T) and atomization air pressure. The resultant final blend particle size was
affected significantly by spray rate (p = .0009), inlet T (p = .0062),
atomization air pressure (p = .0134) and the interaction effect between inlet
T*spray rate (p = .0241). The compactibility of the final blend was affected
significantly by disintegrant amount (p < .0001), atomization air pressure (p =
.0013) and spray rate (p = .05). It was observed that the fluid-bed batches gave
significantly lower disintegration times than the HSWG batches, and mercury
intrusion porosimetry data revealed that this was caused by the higher internal
pore structure of tablets manufactured using the FBG batches.
PMID- 27879155
TI - Managing cognition in progressive supranuclear palsy.
AB - Cognitive impairment is integral to the syndrome of progressive supranuclear
palsy. It is most commonly described as a frontal dysexecutive syndrome but other
impairments include apathy, impulsivity, visuospatial and memory functions.
Cognitive dysfunction may be exacerbated by mood disturbance, medication and
communication problems. In this review we advocate an individualized approach to
managing cognitive impairment in progressive supranuclear palsy with the
education of caregivers as a central component. Specific cognitive and behavioral
treatments are complemented by treatment of mood disturbances, rationalizing
medications and a patient-centered approach to communication. This aims to
improve patients' quality of life, reduce carer burden and assist people with
progressive supranuclear palsy in decisions about their life and health,
including discussions of feeding and end-of-life issues.
PMID- 27879158
TI - Potential of lysophosphatidylinositol as a prognostic indicator of cardiac arrest
using a rat model.
AB - AIMS: The potential of a lysophosphatidylinositol species, LPI(18:0), as a
biomarker of ischaemia was tested using a rat model of cardiac arrest (CA).
METHODS: Male Sprague-Dawley rats were subjected to asphyxia-induced CA or CA
followed by cardiopulmonary bypass (CPB) resuscitation. The brain, heart, kidney
and liver tissues were harvested from rats after 0, 5, 10, 20, 30 and 60 min CA
and 30 min CA followed by 60 min CPB resuscitation. Blood samples were collected
from inferior vena cava and hepatic veins following 30 min CA. Phospholipids were
extracted from the four tissues and blood and analysed by HPLC-MS. RESULTS: The
relative content of LPI(18:0) compared to a phosphatidylinositol species,
PI(18:0,22:4), was significantly increased in the brain, heart, liver and kidney
following 30 min CA and decreased following CPB resuscitation. In addition, the
increase of the LPI(18:0)/PI(18:0,22:4) ratio in the four tissues was
proportional to the duration of ischaemia for CA lasting up to 60 min. The ratio
was also found to be increased in plasma from the hepatic vein following 30 min
CA. CONCLUSION: LPI(18:0) is a good indicator of CA downtime and has a potential
to be used for early prognostication of outcome in CA.
PMID- 27879159
TI - Poor interexaminer agreement on Friedman tongue position.
AB - CONCLUSION: The Friedman tongue position demonstrated only a slight inter
examiner agreement among 15 medical doctors, indicating that the method is
difficult to perform and could be an uncertain method to select patients for
uvulopalatopharyngoplasty. OBJECTIVE: The Friedman staging system is a clinical
tool for selecting patients with obstructive sleep apnea who are appropriate for
uvulopalatopharyngoplasty. The objective of this study was to evaluate the
staging system by determining the inter-examiner agreement of one of its key
components, the Friedman tongue position. METHODS: Eleven residents and four
specialists in Otorhinolaryngology were recruited from a course in surgical
management of obstructive sleep apnea. They examined each other's tongue
positions, resulting in a total of 210 evaluations. Cohen's kappa analysis was
performed to assess the inter-examiner agreement. The range of kappa is usually
between 0-1, where 0 is complete disagreement and 1 is complete agreement.
RESULTS: The median kappa was 0.36 (1st and 3rd quartile, 0.23 and 0.42),
corresponding to only a slight agreement.
PMID- 27879161
TI - Neopterin and oxidative stress markers in the diagnosis of extrapulmonary
tuberculosis.
AB - BACKGROUND: Extrapulmonary tuberculosis (EPTB) often presents with nonspecific
signs and symptoms. Further the paucibacillary nature of extrapulmonary specimens
and irregular distribution of bacilli lower the sensitivity of conventional
diagnostic methods making EPTB, a diagnostic dilemma. OBJECTIVE: To study
neopterin, protein carbonyl and malondialdehyde (MDA) in EPTB. METHODS: Sixty
nine clinically confirmed cases with an equal number of age and sex matched
healthy controls were enrolled. Ziehl-Neelsen staining for acid fast bacilli and
culture on Lowenstein-Jensen medium were performed on all the extrapulmonary
specimens. Serum neopterin and protein carbonyl levels were estimated using
commercial ELISA kits. Malondialdehyde was determined by measuring thiobarbituric
acid reactive substances. RESULTS: Serum neopterin, protein carbonyl and MDA
levels were significantly discriminative for cases of EPTB from healthy controls
(p < 0.05). Levels of all the three biomarkers under study significantly differed
between culture as well as smear positive and negative cases. A positive
correlation between neopterin and protein carbonyl was seen among the cases.
CONCLUSIONS: So far few studies have integrated combination of validated host
biomarkers for active disease in EPTB. Our study suggests the potential
diagnostic role of neopterin, protein carbonyl and MDA in EPTB.
PMID- 27879160
TI - Development of mannose-anchored thiolated amphotericin B nanocarriers for
treatment of visceral leishmaniasis.
AB - AIM: Our goal was to improve treatment outcomes for visceral leishmaniasis by
designing nanocarriers that improve drug biodistribution and half-life. Thus,
long-acting mannose-anchored thiolated chitosan amphotericin B nanocarrier
complexes (MTC AmB) were developed and characterized. MATERIALS & METHODS: A
mannose-anchored thiolated chitosan nanocarrier was manufactured and
characterized. MTC AmB was examined for cytotoxicity, biocompatibility, uptake
and antimicrobial activities. RESULTS: MTC AmB was rod shaped with a size of 362
nm. MTC AmB elicited 90% macrophage viability and 71-fold enhancement in drug
uptake compared with native drug. The antileishmanial IC50 for MTC AmB was 0.02
MUg/ml compared with 0.26 MUg/ml for native drug. CONCLUSION: These studies show
that MTC can serve as a platform for clearance of Leishmania in macrophages.
PMID- 27879163
TI - A study of critical functionality-related characteristics of HPMC for sustained
release tablets.
AB - The drug release profile from hydrophilic matrix tablets can be crucially
affected by the variability of physicochemical properties of the controlled
release agent. This study investigates and seeks to understand the functionality
related characteristics (FRCs) of hydroxypropyl methylcellulose (HPMC) type 2208,
K4M grade, that influence the release rate of the model drug carvedilol from
hydrophilic matrix tablets during the entire dissolution profile. The following
FRCs were examined: particle size distribution, degree of substitution, and
viscosity. Eight different HPMC samples were used to create a suitable design
space. Multiple linear regression (MLR) and partial least squares regression
(PLSR) analyses were used to create models for each time point. The PLSR results
show that the first part of the drug release profiles is mainly regulated by the
HPMC particle size. Apparent viscosity and hydroxypropoxy content (%HP) become
important in later stages of the drug release profile, when the influence of
particle size distribution decreases. These findings make it possible to better
understand the importance of FRCs. Larger HPMC particles increase drug release in
the first part of the drug release profile, whereas decreased apparent viscosity
and a higher degree of %HP increase the drug release rate in the later part of
the drug release profile.
PMID- 27879162
TI - Polyanion-tobramycin nanocomplexes into functional microparticles for the
treatment of Pseudomonas aeruginosa infections in cystic fibrosis.
AB - AIM: Efficacy of antibiotics in cystic fibrosis (CF) is compromised by the poor
penetration through mucus barrier. This work proposes a new 'nano-into-micro'
approach, used to obtain a combinatorial effect: achieve a sustained delivery of
tobramycin and overcome mucus barrier. METHODS: Mannitol microparticles (MPs)
were loaded with a tobramycin polymeric nanocomplex and characterized in presence
of CF artificial mucus. RESULTS & DISCUSSION: MPs are able to alter the
rheological properties of CF artificial mucus, enhancing drug penetration into it
and allowing a prolonged drug release. MPs resulted to be effective in
Pseudomonas aeruginosa infections if compared with free tobramycin. CONCLUSION:
MPs resulted to be a formulation of higher efficacy, with potential positive
implications, as lower required dose, administration frequency, side effects and
antibiotic resistance problems.
PMID- 27879164
TI - What is most relevant in preoperative rectal cancer chemoradiotherapy - the
chemotherapy, the radiation dose or the timing to surgery?
PMID- 27879165
TI - EAHFE - TROPICA2 study. Prognostic value of troponin in patients with acute heart
failure treated in Spanish hospital emergency departments.
AB - OBJECTIVE: Evaluate the use of different cardiac troponin (cTn) immunoassays and
the prognostic value of increased cTn values in patients diagnosed with acute
heart failure (AHF) in the emergency department (ED). METHOD: The epidemiology
acute heart failure emergency-TROPonin in acute heart failure2 (EAHFE-TROPICA2)
is a retrospective study including patients with AHF admitted in 34 Spanish EDs
with cTn values determined in the ED. We studied the prevalence of elevated
troponin (value above the established reference limit) for the different types of
troponin. We also assessed crude and adjusted primary (1-year all-cause death)
and secondary (30 d ED revisit due to AHF) outcomes for every type of cTn and
different magnitudes of troponin elevation. RESULTS: We analysed 4705 episodes of
AHF. Troponin was elevated in 48.4% of the cases (25.3% in cTnI, 37.9% in cTnT
and 82.2% in hs-cTnT). Mortality at one year was higher in patients with elevated
troponin (adjusted HR 1.61; CI 95% 1.38-1.88) regardless of the type of cTn
determined. Elevated troponin was not related to ED revisit within 30 d after
discharge (1.01; 0.87-1.19). CONCLUSIONS: The use of conventional troponin in the
ED is useful to predict one-year mortality in patients with AHF. Highly sensitive
cTnT (hs-cTnT) elevations less than double the reference value have no impact on
patient outcome.
PMID- 27879167
TI - Rapid detection of TiO2 (E171) in table sugar using Raman spectroscopy.
AB - The potential toxic effects of titanium dioxide (TiO2) to humans remain debatable
despite its broad application as a food additive. Thus, confirmation of the
existence of TiO2 particles in food matrices and subsequently quantifying them
are becoming increasingly critical. This study developed a facile, rapid (< 30
min) and highly reliable method to detect and quantify TiO2 particles (E171) from
food products (e.g., table sugar) by Raman spectroscopy. To detect TiO2 particles
from sugar solution, sequential centrifugation and washing procedures were
effectively applied to separate and recover 97% of TiO2 particles from the sugar
solution. The peak intensity of TiO2 sensitively responded to the concentration
of TiO2 with a limit of detection (LOD) of 0.073 mg kg-1. In the case of sugar
granules, a mapping technique was applied to directly estimate the level of TiO2,
which can be potentially used for rapid online monitoring. The plot of averaged
intensity to TiO2 concentration in the sugar granules exhibited a good linear
relationship in the wide range of 5-2000 mg kg-1, with an LOD of 8.46 mg kg-1.
Additionally, we applied Raman spectroscopy to prove the presence of TiO2 in
sugar-coated doughnuts. This study begins to fill in the analytical gaps that
exist regarding the rapid detection and quantification of TiO2 in food, which
facilitate the risk assessment of TiO2 through food exposure.
PMID- 27879166
TI - Sex differences in release of cardiac troponin T after endurance exercise.
AB - CONTEXT: Post-exercise cardiac troponin release has been extensively described in
athletic groups but little attention has been given to any role of sex in
mediating this phenomenon. OBJECTIVE: We compared the release of cardiac troponin
T (cTnT) after endurance running in training-experience, biological-age and
maturity-matched young male and female runners. MATERIALS AND METHODS: Nineteen
male (training history: 2.3 +/- 1.0 years; mean age: 16.1 +/- 1.2 years; Tanner
stage: 3.7 +/- 0.6) and 19 female (training history: 2.2 +/- 1.0 years; mean age:
15.9 +/- 1.4 years; Tanner stage: 4.0 +/- 0.4) runners performed a 21 km run with
"all-out" effort. Serum cTnT levels were assessed at pre-exercise (Pre-ex) and at
4 h post-exercise (Post-ex). RESULTS: At Pre-ex, cTnT concentrations were below
the 99th percentile value (10 ng.l-1) in 32/38 runners. Post-ex cTnT increased in
all subjects but the response was substantially higher (p < 0.05) in males
[median (range): 210 (20-1360) ng.l-1] than females [median (range): 80 (10-550)
ng.l-1]. At Post-ex, 95% (95% confidence interval: 75-99%) of males and 63% (95%
confidence interval: 41-81%) of females (p < 0.05) had cTnT concentrations above
the cut-off for acute myocardial infarction. CONCLUSIONS: The present data
suggest that post-exercise cTnT elevation occurs in all runners but is augmented
in young male compared to female athletes.
PMID- 27879168
TI - Situation criticality and basketball officials' stress levels.
AB - Officials are expected to perform impeccably despite the wide range of stressors
they experience. A stressor that officials frequently report is situation
criticality. Situation criticality is comprised of score differential (i.e., more
pressure in close games) and time remaining in a game (i.e., more pressure as
time expires), which affects athletes' stress levels. The present study explored
the effect of situation criticality on officials' stress levels. High school
basketball officials (n = 108) with an average of 18.1 (SD = 11.2) years of
officiating experience were given a survey packet containing game situations that
varied in criticality. For each game situation (n = 9) officials completed the
overall stress and appraisal portions of the Stress Appraisal Measure (SAM).
Results revealed that situation criticality has an effect on officials' perceived
stress levels. Both threat and challenge appraisals were positively correlated
with perceived stress. Overall, these findings indicate that officials' stress
levels fluctuate within games depending on score differential and time of game.
The findings encourage officials to recognise and manage their stress, possibly
through their appraisals. Additionally, the findings can affect the training of
officials in the management of stress, as well as prompt the consideration of
potential rule changes that reflect the increased situational demands on
officials in critical situations (e.g., expanded instant replay).
PMID- 27879169
TI - Goal orientation and well-being in college athletes: The importance of athletic
social connectedness.
AB - The present study examined the ability of an interpersonal construct called
athletic connectedness to mediate the relationship between task and ego goal
orientations and well-being. We operationalised athletic social connectedness as
a sense of social belonging and sense of connection with teammates. We
hypothesised that athletic social connectedness would be positively associated
with task goals, negatively associated with ego goals, and would at least
partially mediate the relationship between achievement goals and well-being. We
administered questionnaires to female (N = 106; mean age = 20.47, SD = 1.12) and
male (N = 100; mean age = 20.95, SD = 1.21) NCAA Division III college athletes.
We tested our hypothesised model using structural equation modelling, which
included testing a measurement model that specified four latent variables and
then comparing the estimates generated by our hypothesised model with our data.
We also tested three alternative models and found our hypothesised model to fit
best. As predicted, there were significant indirect effects of task and ego
motivation on well-being through athletic connectedness, demonstrating formal
evidence of mediation. The r2 coefficient indicated that the model explained 30%
of the variance in well-being, a moderate effect size (Cohen, 1988). Discussion
focuses on the importance of considering interpersonal constructs as a way to
improve our understanding of relationship between task and ego goal orientations
to well-being in athletes.
PMID- 27879171
TI - Detection and quantification of adulterants in milk powder using a high
throughput Raman chemical imaging technique.
AB - Milk is a vulnerable target for economically motivated adulteration. In this
study, a line-scan high-throughput Raman imaging system was used to authenticate
milk powder. A 5 W 785 nm line laser (240 mm long and 1 mm wide) was used as a
Raman excitation source. The system was used to acquire hyperspectral Raman
images in a wave number range of 103-2881 cm-1 from the skimmed milk powder mixed
with two nitrogen-rich adulterants (i.e., melamine and urea) at eight
concentrations (w/w) from 50 to 10,000 ppm. The powdered samples were put in
sample holders with a surface area of 150 *100 mm and a depth of 2 mm for push
broom image acquisition. Varying fluorescence signals from the milk powder were
removed using a correction method based on adaptive iteratively reweighted
penalised least squares. Image classifications were conducted using a simple
thresholding method applied to single-band fluorescence-corrected images at
unique Raman peaks selected for melamine (673 cm-1) and urea (1009 cm-1).
Chemical images were generated by combining individual binary images of melamine
and urea to visualise identification, spatial distribution and morphological
features of the two adulterant particles in the milk powder. Limits of detection
for both melamine and urea were estimated in the order of 50 ppm. High
correlations were found between pixel concentrations (i.e., percentages of the
adulterant pixels in the chemical images) and mass concentrations of melamine and
urea, demonstrating the potential of the high-throughput Raman chemical imaging
method for the detection and quantification of adulterants in the milk powder.
PMID- 27879170
TI - The past, present and future of ligament regenerative engineering.
AB - Regenerative engineering has been defined as the convergence of Advanced
Materials Sciences, Stem Cell Sciences, Physics, Developmental Biology and
Clinical Translation for the regeneration of complex tissues and organ systems.
Anterior cruciate ligament (ACL) reconstruction necessitates the regeneration of
bone, ligament and their interface to achieve superior clinical results. In the
past, the ACL has been repaired with the use of autologous and allogeneic grafts,
which have their respective drawbacks. Currently, investigations on the use of
biodegradable matrices to achieve knee stability and permit tissue regeneration
are making promising advancements. In the future, utilizing regenerative biology
cues to induce an endogenous regenerative response may aid the enhancement of
clinical ACL reconstruction outcomes.
PMID- 27879172
TI - Polychlorobiphenyls in freshwater fish: a new strategy to set maximum
contamination limits.
AB - Polychlorinated biphenyls (PCBs) are persistent organic pollutants accumulating
along the food chain, and particularly in fish. Consequently, the European
Commission has set regulatory limits for PCBs in both sea- and freshwater fish.
Focusing on freshwater fish, the French Agency for Food Environmental and
Occupational Health & Safety has developed a method to determine the areas in
France where the consumption of locally caught freshwater fish is not recommended
due to PCB contamination. To determine these areas of potential health concern,
an existing statistical model of the relationship between the consumption of
local fish by freshwater anglers and their PCB body burden is linked to a newly
determined critical PCB body burden threshold for the population. The main
conclusions of this study are that the consumption of two freshwater fish per
week from rivers in the areas of France where the median contamination level in
fish is greater than 250 ng g-1 could lead to some exceedance of the critical
body burden threshold.
PMID- 27879173
TI - Residue depletion of oxytetracycline (OTC) and 4-epi-oxytetracycline (4-epi-OTC)
in broiler chicken's claws by liquid chromatography-tandem mass spectrometry (LC
MS/MS).
AB - Antibiotics are widely used in poultry production for the treatment of bacterial
diseases. However, residues may remain in products and by-products destined for
human consumption or animal feeding. The claws of chickens, which are a by
product of the poultry industry, can directly or indirectly enter the food chain
as meals destined to feed other productive animals. Thus, it becomes necessary to
determine and quantify antimicrobial residues present in this matrix. The
objective of the study was to assess the depletion of oxytetracycline (OTC) and
its metabolite 4-epi-OTC in broiler chicken's claws. Claws of 32 broilers treated
with a therapeutic dosage of 10% OTC during 7 days were analysed. Samples were
taken at days 3, 9, 15 and 19 post-treatment. As for the control group, eight
broiler chickens were raised under the same conditions. Extraction was carried
out through EDTA-McIlvaine buffer, and clean-up employed a SPE C-18 Sep-Pak(r).
Instrumental analysis was performed through LC-MS/MS. The concentrations of both
analytes were determined in claw samples until day 19 post-treatment. Average
concentrations were within the LOD (20 MUg kg-1) and LOQ (22 ug kg-1) for OTC and
84 MUg kg-1 for 4-epi-OTC. Withdrawal times (WDTs) of 39 days for OTC and 54 days
for 4-epi-OTC were established in claws based on 95% confidence. These findings
demonstrate that claws can be a source of antimicrobial residue entry into the
food chain, since the results showed that OTC and its metabolite can be found in
chicken's claws for long periods, even exceeding the average lifespan of a
broiler chicken.
PMID- 27879174
TI - Determination of sub-ng g-1 levels of total inorganic arsenic and selenium in
foods by hydride-generation atomic absorption spectrometry after pre
concentration.
AB - A new and simple ultrasonic-assisted extraction (UAE) procedure was developed for
the determination of inorganic arsenic and selenium in foods by hydride
generation atomic absorption spectrometry (HG-AAS). The various analytical
variables affecting complex formation and extraction efficiency were investigated
and optimised. The method is based on selective complex formation of As(III) and
Se(IV) in the presence of excess As(V) and Se(VI) with toluidine red in the
presence of tartaric acid at pH 4.5, and then extraction of the resulting
condensation products into the micellar phase of non-ionic surfactant,
polyethylene glycol dodecyl ether, Brij 35. Under optimised conditions, good
linear relationships were obtained in the ranges of 4-225 and 12-400 ng l-1 with
limits of detection of 1.1 and 3.5 ng l-1 for As(III) and Se(IV), respectively.
The repeatability was better than 3.9% for both analytes (n = 10, 25 ng l-1)
while reproducibility ranged from 4.2% to 4.8%. The recoveries of As(III) and
Se(IV) spiked at 25-100 ng l-1 were in the range of 94.2-104.8%. After pre
concentration of a 5.0 ml sample, the sensitivity enhancement factors for As(III)
and Se(IV) were 185 and 140, respectively. Accuracy was assessed by analysis of
two standard reference materials (SRMs) and spiked recovery experiments. The
method was successfully applied to the accurate and reliable determination of
total As and total Se by HG-AAS after pre-reduction with a mixture of L-cysteine
and tartaric acid. Finally, the method was shown to be rapid and sensitive, with
good results for extraction, pre-concentration and determination of total As and
Se contents (as As(III) and Se(IV)) from food samples.
PMID- 27879175
TI - Identifying talented track and field athletes: The impact of relative age effect
on selection to the Spanish National Athletics Federation training camps.
AB - This study examined the impact of relative age effect (RAE) on selection to the
Spanish National Athletics Federation (RFEA) training camps (TC) between 2006 and
2013. Overall, 1,334 selected athletes at U15 years (cadet) and U17 years
(juvenile) were compared against 27,711 licensed but unselected athletes for the
same age groups. The results highlighted the influential role of the RAE on
selection to national level track and field training camp opportunities.
Interestingly, this effect was mediated by age and gender, where effects were
stronger for both males and younger athletes (U15), with no evidence of RAE for
older (U17) female athletes. These results support the "maturation-selection"
hypothesis as a mechanism for RAE. Particularly given the long-term goals of RFEA
(e.g., production of successful senior elite athletes), these results highlight
the need to consider the impact of current selection processes on effective
provision of opportunities to those athletes with most potential to succeed in
the long term. A number of possible context-relevant solutions are discussed,
including education and awareness raising, using holistic selection criteria and
correction adjustments techniques.
PMID- 27879176
TI - Focus-of-attention behavioral experiment: an examination of a therapeutic
procedure to reduce social anxiety.
AB - A clinical protocol based on contemporary cognitive behavioral treatment for
social anxiety was developed and examined. Previously published instructions for
conducting a focus-of-attention behavioral experiment targeting self-focused
attention and safety behaviors during exposure were used to create a structured
protocol. Individuals (n = 45) with high levels of social anxiety and public
speaking anxiety were randomly assigned to either a focus-of-attention behavioral
experiment (FABE) or an Exposure-Only Control (EOC) condition. During four
exposure trials, those in the FABE condition (n = 24) were alternately instructed
to engage in self-focused attention vs. externally focused attention and to
eliminate safety behaviors. Those in the EOC condition (n = 21) were not so
instructed. At post-intervention, individuals in the FABE condition showed
significantly less self-focused attention and anxiety, and better observed
performance as rated by audience members. Focus-of-attention statistically
mediated the effect of condition on anxiety. For those in the FABE condition, the
degree of association between focus-of-attention and anxiety during the
intervention predicted less self-focused attention post-intervention. The FABE
appears to be a useful procedure for implementing part of the contemporary
cognitive behavioral treatment model.
PMID- 27879177
TI - [Retinal haemorrhages as a symptom of child abuse].
AB - Inflicted traumatic brain injury (ITBI) - a possible result of child abuse - is
difficult to diagnose, yet the diagnosis bears great impact on patients and their
relatives. The purpose of this paper is to describe ophthalmologic findings that
can be seen in relation to ITBI. For exemplification, three different cases are
described in detail. ITBI is diagnosed through a multidisciplinary approach by
exclusion of other causes that could explain the clinical findings, and by
linking factors that together raise a high suspicion of ITBI. The typical triad
of ITBI includes intracerebral haemorrhage, encephalopathy and retinal
haemorrhages. Therefore, detailed fundus examination by an ophthalmologist is
important when ITBI is suspected. A pattern of bilateral, multiple retinal
haemorrhages present in different retinal layers and widespread from posterior
pole to the retinal periphery, is highly suspicious for ITBI, and contributes to
the final diagnosis.
PMID- 27879178
TI - [Minocycline-induced hyperpigmentation: not uncommon, but nonetheless important
to recognise].
AB - BACKGROUND: Minocycline, a broad-spectrum antibiotic from the group of
tetracyclins, is frequently prescribed for acne vulgaris and rosacea.
Hyperpigmentation is a relatively common side effect of this drug and can lead to
multiple unsightly skin lesions, which are not always reversible. It can take a
long period, from a few months to several years, before the lesions have
completely vanished. CASE DESCRIPTION: A 24-year-old male was seen in our
outpatient clinic because of slowly progressive pigmented lesions on his shins.
His medical history included chronic use of minocycline for the treatment of acne
vulgaris. Based on the morphology of the lesions, the patient's medical history
and analysis of a skin biopsy, the diagnosis 'minocycline-induced
hyperpigmentation type 2'was made. CONCLUSION: Hyperpigmentation is a relatively
common and undesirable side-effect of minocycline use. Long-term prescription of
this drug for more than one year should therefore be considered with caution,
especially if the dose exceeds 100 milligrams per day.
PMID- 27879179
TI - [Two sisters with lung emphysema].
AB - BACKGROUND: alpha1-antitrypsin is an antiprotease that is mainly produced in the
liver; it plays a crucial role in the protection of lung parenchyma against the
destructive effects of proteases. Mutations in the alpha1-antitrypsin gene can
cause alpha1-antitrypsin deficiency. Individuals homozygous for the Z-genotype
have drastically lowered serum alpha1-antitrypsine concentrations and often
develop lung emphysema at an early age. CASE DESCRIPTION: A 38-year-old woman and
her 43-year-old sister both developed lung emphysema at an early age; this could
be attributed to severe alpha1-antitrypsin deficiency. The only treatment for
this condition is alpha1-antitrypsin supplement therapy, but this therapy is not
reimbursed by health insurance companies in the Netherlands. CONCLUSION: alpha1
antitrypsin deficiency is a relatively rare cause of lung emphysema and can be
seen as an orphan phenotype of chronic obstructive pulmonary disease (COPD). We
appeal for reconsideration of coverage of alpha1-antitrypsine supplement therapy
by basic health insurance in the Netherlands, on the basis of a recent randomised
placebo-controlled study in which the protective effect of this therapy on
progressive emphysema was demonstrated by CT lung densitometry.
PMID- 27879181
TI - [Integral obstetrics impeded by history? Midwives and gynaecologists through the
ages].
AB - There is a long and complicated history concerning the interprofessional
collaboration between midwives and gynaecologists, which is still evident in
current practice. Yet, in the analysis of collaborative problems, history and its
lessons are often overlooked. Consequently, less effective solutions to problems
may be found, because the root cause of a problem is not addressed. In this
historical perspective we show how policies of the respective professions have
often focused on self-preservation and competition, rather than on effective
collaboration. We also highlight how the independent midwives lost and regained
authorisation, status and income. Finally, using a theoretical model for
interprofessional collaboration, we reflect on where history impedes the
development of integral obstetrics. The focus must be averted away from
professional self-interest and power struggles, but this proves to be a complex
exercise.
PMID- 27879180
TI - [Web-based interventions targeting cardiovascular risk factors in older people; a
systematic review and meta-analysis].
AB - OBJECTIVE: To evaluate whether web-based interventions for cardiovascular risk
factor management reduce the risk of cardiovascular disease in older people.
DESIGN: Systematic review and meta-analysis. METHOD: Embase, Medline, Cochrane
Library and CINAHL were systematically searched from January 1995 to 3 November
2014. We included all randomised controlled trials for web-based interventions
targeting cardiovascular risk factors in populations with a mean age of 50 and
older. The outcome measures were cardiovascular risk factors (blood pressure,
HbA1c, LDL cholesterol, weight, smoking status and physical activity) and the
incidence of cardiovascular disease. We used random-effects models to pool the
results of the studies. RESULTS: A total of 57 studies (19,862 participants)
fulfilled eligibility criteria, and 47 of these were suitable for meta-analysis.
We found a significant reduction in systolic blood pressure (-2.66 mmHg, 95% CI
3.81 to -1.52), diastolic blood pressure (-1.26 mmHg, 95% CI -1.92 to -0.60),
HbA1c level (-0.13%, 95% CI -0.22 to -0.05), LDL cholesterol level (-0.06 mmol/l,
95% CI -0.10 to -0.01), weight (-1.34 kg, 95% CI -1.91 to -0.77), and an increase
in physical activity (standardized mean difference 0.25, 95% CI 0.10-0.39) in the
intervention group when compared with the control group. Treatment effects were
more pronounced in studies of short duration (< 12 months) and when combining the
web-based intervention with human support by a health care professional. No
difference in the incidence of cardiovascular disease was found between groups.
CONCLUSION: Web-based interventions have a beneficial effect on the
cardiovascular risk profile, but this effect is modest and declines with time.
Currently, there is insufficient evidence that this can prevent cardiovascular
disease. A focus on long-term effects, effect-sustainability and clinical
endpoints is recommended for future studies.
PMID- 27879182
TI - [Acute respiratory failure caused by minocycline].
AB - BACKGROUND: In the case of pneumonia an infectious cause is always considered
first. However, toxic agents and medicines can also be the cause of pneumonia.
CASE DESCRIPTION: A 54-year-old woman was referred to the emergency department
because of progressive dyspnoea, a non-productive cough, headache, and fever. She
was admitted with the diagnosis community acquired pneumonia. Despite treatment
with antibiotics and oxygen she developed hypoxic respiratory failure, which
necessitated invasive mechanical ventilation. Imaging diagnostics showed
extensive bilateral pulmonary consolidation, despite the absence of a causative
agent in cultures. Further medical history-taking revealed that the patient had
recently commenced a course of minocycline. She had used this medicine previously
and had twice before developed pneumonia without the presence of a proven
causative agent. Our differential diagnosis included the toxic effect of
minocycline and we treated the patient with methylprednisolone. This resulted in
rapid clinical improvement and full recovery of our patient. CONCLUSION: Acute
respiratory failure as a side effect of medication is rare, but nonetheless
potentially life-threatening. Despite repeated exposure to minocycline, the link
with pneumonia was not previously made in this patient.
PMID- 27879183
TI - [Supporting patients in self-management: moving to a personalised approach].
AB - OBJECTIVE: The aim of this research was to assess the effect of providing
personalised self-management support on patient activation (knowledge, skills,
self-efficacy) and self-management behaviour. DESIGN: Cluster randomised trial in
15 general practices (Dutch Trial Register No.: NTR 3960). METHOD: Patients aged
18 years or older with a chronic condition were invited to participate in the
study. The Self-Management Screening (SeMaS) questionnaire - which illustrates
barriers to self-management - was used as a tool for personalised self-management
support. Nurse practitioners in the intervention practices were trained for 2
hours in using SeMaS and personalising self-management support on the basis of
the SeMaS profile. At baseline and after 6 months, patients filled in
questionnaires on patient activation (PAM-13) and lifestyle. Using data from the
questionnaires and medical records, the use of individual care plans, referrals
to self-management interventions, self-monitoring and healthcare use were
assessed. We used a multiple multilevel regression model for data analysis.
RESULTS: After 6 months, no difference was found in patient activation between
the control group (n = 348) and the intervention group (n = 296). 29.4% of the
patients in the intervention group performed self-monitoring, versus 15.2% in the
control group (regression coefficient r = 0.9, p = 0.01). In the per-protocol
analysis (control n = 348; intervention n = 136), the number of individual care
plans (r = 1.3, p = 0.04) and the number of patients performing self-monitoring
(r = 1.0; p = 0.01) were higher in the intervention group. CONCLUSION:
Personalised self-management support with the use of the SeMaS method stimulates
self-monitoring and the use of individual care plans. The intervention had no
effect on patient activation or lifestyle. Given the positive secondary outcomes,
the further potential of the tool should be researched.
PMID- 27879184
TI - [Myopia, a growing health problem].
AB - - Myopia is the eye disorder with the most rapid increase in prevalence
worldwide. It develops in childhood, with a peak incidence between the ages of 13
to 15 years. - Especially high myopia, i.e. a refractive error of -6 diopters or
more, increases the risk of permanent visual impairment during adulthood due to
structural abnormalities of the retina and optic nerve.- The cause of myopia is
complex. Lifestyle factors in childhood, such as limited time spent outdoors and
close work - such as reading and smartphone usage - are risk factors.
Furthermore, genetic studies have revealed more than 100 factors associated with
the development of myopia. - Pharmacological and optical interventions to inhibit
myopia progression are increasingly applied. The use of atropine eye drops in
children and has shown to be an effective treatment.
PMID- 27879185
TI - [An integrated approach including paediatric and forensic medical expertise on
suspicion of child abuse].
AB - A false accusation of child abuse has a major impact on child and family.
Conversely, a missed diagnosis of child abuse may have significant and lifelong
consequences for the child. For health professionals the assessment of the nature
of the injury and differentiating between accidental and inflicted injury,
disease manifestation or a physiological phenomenon can be challenging. For
adequate determination of the cause of injury, an integrated approach including
paediatric knowledge and forensic medical expertise is essential. Therefore, a
national expertise centre for child abuse (LECK) was established in the
Netherlands in 2014. The first results of this integrated approach are described
and illustrated with three case reports. Case A, a 7-month-old boy with an
accidental humerus fracture. Case B, an 8-year-old boy with a false positive
suspicion of child abuse who was eventually diagnosed with Henoch-Schonlein
syndrome. Case C, boy of 3 months with bruises and a metaphyseal fracture of the
femur, both highly suspected of being inflicted injury.
PMID- 27879186
TI - [To reimburse or not? Evaluating expensive drugs differently].
AB - Health insurance organisations grant reimbursement for drug treatment on the
basis of results of placebo-controlled randomised clinical trials showing a
clinically meaningful and statistically significant effect over placebo. This
often proves problematic in rare diseases as well as in many chronic diseases
that are difficult to treat. Clinical scientists may address the issue by testing
the drug on surrogate outcome parameters and ask for post-marketing studies
conducted by expert reference centres as expediency research, using budgets
provided by the government to show that the drug really works in terms of real
life patient experience. In the past 5 years, the pharmaceutical industry has
released an increasing number of expensive drugs for rare diseases; this
jeopardises the solidarity of health insurance cover for all EU citizens. To
facilitate drug development, a new model might benefit all key players involved.
The foundation Fair Medicine recently called for coalitions that jointly develop
medicines based on contribution and complementarity, sharing responsibilities,
risks and rewards.
PMID- 27879188
TI - The Sustainable Kidney Care Foundation's contribution to the improvement of AKI
management in developing countries using peritoneal dialysis.
AB - Professional organizations, such as kidney foundations, have been active for over
half a century in the field of nephrology, serving as the basic institutions for
advocacy, disease education, prevention, and treatment. These organizations have
focused efforts in four areas: supporting the training of clinical specialists,
raising awareness about kidney disease, improving patient outcomes, and
organizing continuing medical education. These activities, while essential for
the success of nephrology organizations, do not usually initiate renal service
programs in the neediest of places. To remedy the lack of renal programs in many
developing countries, the Sustainable Kidney Care Foundation (SKCF) was founded
with the objective of establishing treatment programs for acute kidney injury
(AKI) in areas of the world where none exist. Today SKCF is active in 5 sub
Saharan African countries and is growing.
PMID- 27879187
TI - Recent trends in primary-care antidepressant prescribing to children and young
people: an e-cohort study.
AB - BACKGROUND: Concerns relating to increased use of psychotropic medication
contrast with those of under-treatment and under-recognition of common mental
disorders in children and young people (CYP) across developed countries. Little
is known about the indications recorded for antidepressant prescribing in primary
care in CYP. METHOD: This was an electronic cohort study of routinely collected
primary-care data from a population of 1.9 million, Wales, UK. Poisson regression
was undertaken to model adjusted counts of recorded depression symptoms,
diagnoses and antidepressant prescriptions. Associated indications were explored.
RESULTS: 3 58 383 registered patients aged 6-18 years between 1 January 2003 and
31 December 2013 provided a total of 19 20 338 person-years of follow-up. The
adjusted incidence of antidepressant prescribing increased significantly
[incidence rate ratio (IRR) for 2013 = 1.28], mainly in older adolescents. The
majority of new antidepressant prescriptions were for citalopram. Recorded
depression diagnoses showed a steady decline (IRR = 0.72) while depression
symptoms (IRR = 2.41) increased. Just over half of new antidepressant
prescriptions were associated with depression (diagnosis or symptoms). Other
antidepressant prescribing, largely unlicensed, was associated with diagnoses
such as anxiety and pain. CONCLUSION: Antidepressant prescribing is increasing in
CYP while recorded depression diagnoses decline. Unlicensed citalopram
prescribing occurs outside current guidelines, despite its known toxicity in
overdose. Unlicensed antidepressant prescribing is associated with a wide range
of diagnoses, and while accepted practice, is often not supported by safety and
efficacy studies. New strategies to implement current guidance for the management
of depression in CYP are required.
PMID- 27879189
TI - Gemcitabine-induced hemolytic-uremic syndrome treated with eculizumab or
plasmapheresis: two case reports?.
AB - BACKGROUND: Drug-induced hemolytic-uremic syndrome (HUS) has shown good response
to eculizumab (ECU). We present 2 cases of patients with gemcitabine-induced HUS
(GEM-HUS), one of whom was treated with ECU and the other with conventional
treatment. Patient 1: A 74-year-old male with resected adenocarcinoma of the
pancreas started adjuvant treatment with GEM, but after 5 months GEM was
discontinued due to acute kidney injury and severe hypertension. Laboratory
analyses identified microangiopathic hemolytic anemia (MHA) and thrombocytopenia.
Plasmapheresis (Pph) was initiated but was stopped due to a severe adverse
reaction. Treatment with ECU was initiated at the time of clinical progression
requiring hemodialysis. After 7 doses of ECU, hemolysis and kidney function
improved and the patient was able to stop hemodialysis. 1 month after the last
dose of ECU serum creatinine (sCr) was 1.8 mg/dL. Patient 2: A 68-year-old male
with resected urothelial carcinoma stopped GEM after 2 months due to hematologic
toxicity. 1 month later the patient visited the emergency room due to minimal
effort dyspnea, hypertension, and peripheral edema. Laboratory analyses showed
decreased kidney function, MHA, and thrombocytopenia. Symptomatic treatment was
started. After an initial recovery, kidney dysfunction, hemolysis, and
thrombocytopenia progressed. Corticoid boluses were ineffective and hemodialysis
was initiated. Eleven Pph treatments were necessary to recover hematologic data.
The patient remained on hemodialysis for 2 months and evolved to stage IV chronic
kidney disease. 8 months after hospital release, sCr was 3.5 mg/dL. CONCLUSION:
ECU successfully improved kidney function in a patient with GEM-HUS, while
conventional treatment did not.?.
PMID- 27879190
TI - Transplantation in Africa - an overview.
AB - Africa is underdeveloped in terms of treatment options for patients with end
stage renal failure. Economic growth and corresponding increases in health
expenditures in the African region mean that we can confidently anticipate
increased demand for organ transplantation within the region over the next few
years. Renal failure in Africa occurs mainly due to glomerular nephropathies,
hypertension, diabetes, and HIV. For the subset of the population that might be
considered medically suitable for transplantation, demand for transplantation is
tightly constrained by the availability of specialist physicians and surgeons,
pathology facilities, capacity to achieve acceptable graft outcomes, cultural and
religious attitudes towards organ donation, trust in the health system, and the
extent to which patients are able to meet the costs of surgery and ongoing
immunosuppression. There are currently several countries in Africa which are
building up living-related-donor transplantation. Active living-donor
transplantation already takes place in South Africa, Tunisia, and Sudan, but
deceased donation is only available in South Africa. Whereas living-donor
transplantation might be successfully driven by a motivated individual and a
single institution, deceased-donor transplantation requires dialysis programs,
tissue typing and crossmatching facilities, an organ procurement program, an on
call surgical team, capacity to fund this infrastructure, and an appropriate
legislative framework. A significant and recurring barrier to transplantation in
the African region is the high cost of transplantation and follow-up care, and,
in particular, the cost of maintenance immunosuppression. A positive environment
that could potentially change this scenario will have to include governmental
funding, academic support to clinicians as well as a legislative framework, which
is still needed in many African countries.
PMID- 27879191
TI - Replacement of SFC-DPI with SFC-MDI exhaled through the nose improves
eosinophilic chronic rhinosinusitis in patients with bronchial asthma.
AB - OBJECTIVE: Eosinophilic chronic rhinosinusitis (ECRS), a subgroup of chronic
rhinosinusitis with nasal polyps, is a refractory disease closely associated with
bronchial asthma. We recently reported on the efficacy of ultra-fine particle
inhaled corticosteroids (ICS) (hydrofluoroalkane-134a-beclomethasone
dipropionate: HFA-BDP) exhalation through the nose (ETN) treatment for mild-to
moderate asthmatics with ECRS. However, the effect of HFA-BDP ETN was found to be
transient in some cases with severe ECRS and asthma, requiring treatment with
higher-dose ICS and long-acting beta2-agonists (LABA). Here, we present a case of
refractory ECRS with severe asthma treated with a combination of high-dose ICS
and LABA ETN, and we discuss the mechanisms for its effectiveness. METHODS: A 57
year-old man was treated with the combined regimen of HFA-BDP ETN and
salmeterol/fluticasone combination (SFC) dry powder inhaler (DPI) for his
refractory ECRS with severe asthma. For better control, we replaced SFC-DPI with
SFC metered-dose inhaler (MDI) ETN and evaluated the clinical effect and
corticosteroid sensitivity. We also examined the flow and deposition of fine
particles released by SFC-MDI ETN. RESULTS: After switching to SFC-MDI ETN, the
patient's conditions markedly resolved with the restoration of corticosteroid
sensitivity and PP2A activity. The fine particles released by SFC-MDI ETN at
least partially flowed out through the external nares and seemed to be deposited
on the ethmoid sinus. CONCLUSION: Fine particle ICS/LABA ETN might be an
additional therapeutic option for refractory ECRS with severe asthma and
corticosteroid insensitivity.?.
PMID- 27879192
TI - N-terminal probrain natriuretic peptide in patients with acute coronary
syndrome?.
AB - OBJECTIVE: To observe the changes and evaluate the significance of serum N
terminal probrain natriuretic peptide (NT-proBNP) levels in patients with acute
coronary syndrome and to discuss its clinical significance and relationship with
the severity of disease. METHODS: Serum NT-proBNP levels were determined rapidly
by using the triage BNP test for 98 consecutive patients with coronary heart
disease (CAD) admitted to the hospital from March 2013 to December 2013; the
correlation between the concentration of NT-proBNP and the degree of severity of
the disease was analyzed. RESULTS: The levels of NT-proBNP in the acute
myocardial infarction (AMI) group were higher compared with unstable angina
pectoris (UAP), stable angina pectoris (SAP), and control groups, and the levels
of NT-proBNP in UAP were higher compared to the SAP and control groups. Levels of
NT-proBNP in the extensive anterior wall infarction group were higher compared to
that of the inferior or anteroseptal wall infarction groups: p < 0.05; the levels
of NT-proBNP in the inferior wall and posterior wall infarction group were higher
compared with the inferior wall infarction group and anteroseptal wall infarction
group: p < 0.05; the levels of NT-proBNP in the multi-vessel group were higher
than those in the single-vessel group: p < 0.05. The BNP level was positively
correlated with age, heart rate, creatinine kinase-myocardial band (CK-MB),
cardiac troponin T (cTnT), and blood urea nitrogen (BUN), whereas it was
negatively correlated with left ventricular ejection fraction (LVEF).
CONCLUSIONS: NT-proBNP is related to the lowering of left ventricular ejection
fraction and the severity of myocardial ischemia.?.
PMID- 27879194
TI - Prescription patterns and drug costs in German patients with dementia in nursing
homes and home-care settings?.
AB - AIMS: To analyze prescription patterns and drug costs in German patients with
dementia who are in home-care settings and nursing homes. METHODS: The present
retrospective study based on the Disease Analyzer epidemiological database and
included 41,064 patients treated by general practitioners (GPs) and 20,649
patients treated by psychiatric practitioners (PPs), who were diagnosed with
dementia in 2014. Four different types of antidementia therapy were included in
the analysis. The shares of prescriptions and the associated costs in dementia
patients in home-care settings and nursing homes were estimated. Regression
analyses were performed to study the impact of the type of residence on the
likelihood of receiving a defined therapy and incurring its associated cost.
RESULTS: Antidementives were more frequently prescribed to patients in home-care
settings, whereas antidepressants, antipsychotics, and benzodiazepines were more
commonly administered to nursing-home patients in both the GP and the PP groups.
Individuals residing in nursing homes had a lower likelihood of receiving
antidementives but exhibited a higher likelihood of being prescribed
antidepressants, antipsychotics, and benzodiazepines. The total cost of therapy
was higher in nursing homes than in home-care settings (GPs: difference of ?
27.20; PPs: difference of ? 107.90). The cost of antidementives was significantly
lower in GP patients residing in nursing homes than in GP patients living at
home. There was no significant difference in the cost of antidementives in the PP
groups. By contrast, the costs of the three other families of drugs were lower in
individuals cared for at home than in individuals residing in nursing homes, in
both practice types. CONCLUSION: Prescription patterns and the drug costs in
dementia patients significantly differed between home-care settings and nursing
home settings.?.
PMID- 27879193
TI - Pharmacokinetic comparison of two formulations of talniflumate 370 mg tablets in
healthy Korean volunteers?.
AB - BACKGROUND: Talniflumate, a prodrug of niflumic acid, is a potent analgesic and
anti-inflammatory drug that has been widely used for the treatment of rheumatoid
diseases. OBJECTIVE: The aim of this study was to compare the pharmacokinetics
and to evaluate the bioequivalence of two formulations of talniflumate 370 mg
tablets (test formulation: Flumagen(r) 370 mg tablet; reference
formulation: Somalgen(r) 370 mg tablet). METHODS: A randomized, open
label, single dose, two-sequence, two-period crossover clinical study was
conducted. After oral administration of the study drug in each period, blood
samples were collected up to 15 hours post-dose. The plasma concentration of
niflumic acid, a metabolite of talniflumate, was determined using HPLC-MS/MS. The
pharmacokinetic parameters were estimated by non-compartmental method. RESULTS:
The maximum plasma concentration (Cmax) and area under the concentration-time
curve from zero to the time point with the last measurable concentration
(AUClast) for the test formulation were 290.7 +/- 199 ug/L and 1,154 +/- 643
ug*h/L, respectively, and the corresponding values for the reference formulation
were 286.8 +/- 193 ug/L and 1,151 +/- 577 ug*h/L, respectively. The geometric
mean ratio and 90% confidence intervals (CI) of the test formulation to the
reference formulation for the Cmax and -AUClast were 0.983 (0.829 - 1.166) and
0.979 (0.856 - 1.121), respectively. CONCLUSIONS: The pharmacokinetic profiles of
the test and reference formulations were found not to be significantly different,
meeting the Korean regulatory criteria for bioequivalence.?.
PMID- 27879195
TI - Pharmacokinetic comparison and bioequivalence evaluation of two 10-mg baclofen
formulations in healthy male subjects?.
AB - BACKGROUNDS: Baclofen is used as a skeletal muscle relaxant for multiple
sclerosis patients. It depresses the transmission of monosynaptic and
polysynaptic reflex by stimulating GABAbeta (gamma-aminobutyric acid)
receptors. OBJECTIVES: The aim of this study was to compare the pharmacokinetic
characteristics of two 10-mg baclofen formulations and to assess bioequivalence.
METHODS: A randomized, single-dose, two-period, two-sequence crossover study was
conducted in healthy male subjects. Each subject received the test or reference
formulations. After washout period, all subjects received the alternative
formulation. Blood samples were collected for up to 24 hours after the dose in
each period. Pharmacokinetic (PK) parameters, including tmax,
Cmax, and AUClast were calculated by noncompartmental
methods. The geometric mean ratio (GMR) of the test to the reference formulation
and its 90% confidence interval (CI) for Cmax and AUClast
were calculated for assessment of bioequivalence. RESULTS: A total of 22 subjects
completed the study. The median tmax of the test and the reference
formulation were 1.50 and 1.25 hours, respectively. The mean (+/- SD)
Cmax of the test and the reference formulation were 141.401 +/- 29.447
ng/mL and 138.837 +/- 31.392 ng/mL, respectively. The mean (+/- SD)
AUClast of the two formulations were 702.404 +/- 82.149 ng*h/mL and
726.803 +/- 90.638 ng*h/mL, respectively. The GMR (90% CI) of the test to the
reference formulation for the Cmax and AUClast were 1.0306
(0.9564 - 1.1106) and 0.9674 (0.9437 - 0.9916), respectively. CONCLUSIONS: The
two different baclofen 10-mg formulations had similar PK profiles and were
bioequivalent based on Cmax and AUClast.?.
PMID- 27879196
TI - Treatment persistence in the use of basal insulins in Poland and Germany?.
AB - AIMS: To compare short-term basal insulin therapy persistence and its predictors
in Poland and Germany. METHODS: Persistence was defined as proportions of
patients remaining on the initial basal insulin (analogs: Poland: n = 6,889,
Germany: n = 454,067; neutral protamine Hagedorn (NPH) insulins: Poland: n =
50,761, Germany: n = 226,064) over 2 years based on nationwide prescription
databases (LRx; IMS Health) in Poland and Germany from 2013 to 2015. Persistence
was evaluated by Kaplan-Meier curves (log-rank tests). Risk of discontinuation of
initial basal insulin was investigated using Cox regression models adjusting for
age, sex, comedication with other glucose-lowering agents and baseline or
comedication with antihypertensives, lipid-lowering drugs, antidepressants, and
antiepileptics. RESULTS: In Poland, 2-year persistence was 83.0% in analog
insulin and 73.3% in NPH users (p < 0.001). In Germany, persistence was also
higher in patients with analog insulins (92.6% vs. 79.0%; p < 0.001). Analog
insulin users were less likely to discontinue basal insulin compared with NPH
users (adjusted hazard ratio (95%CI): Poland: 0.73 (0.67 - 0.79); Germany: 0.27
(0.27 - 0.28)). Higher age (> 75 vs. <= 60 years: Poland: 1.24 (1.16 - 1.33),
Germany: 1.09 (1.07 - 1.11)) and GLP-1 receptor agonist use (Poland: 2.76 (1.38 -
5.53), Germany: 1.21 (1.16 - 1.26)) were related to higher risk of
discontinuation. Male sex, metformin, sulfonylurea, thiazolidinedione, and short
acting insulin prescriptions as well as antihypertensive, anti-epileptic, and
lipid-lowering drug use were associated with lower risk of discontinuation in
both countries (all p < 0.05). CONCLUSIONS: This real-world study shows that both
in Poland and Germany treatment persistence of newly-prescribed basal insulin is
influenced by type of insulin (analog vs. NPH) and by glucose-lowering and other
comedications.?.
PMID- 27879197
TI - Pelvic Inflammatory Disease: Diagnosis And Treatment In The Emergency Department.
AB - Pelvic inflammatory disease is a common disease that is associated with
significant complications including infertility, chronic pelvic pain, ruptured
tubo-ovarian abscess, and ectopic pregnancy. The diagnosis may be delayed when
the presentation has nonspecific signs and symptoms. Even when it is properly
identified, pelvic inflammatory disease is often treated suboptimally. This
review provides evidence-based recommendations for the diagnosis, treatment,
disposition, and follow-up of patients with pelvic inflammatory disease.
Arranging follow-up of patients within 48 to 72 hours and providing clear patient
education are fundamental to ensuring good patient outcomes. Emerging issues,
including new pathogens and evolving resistance patterns among pelvic
inflammatory disease pathogens are reviewed.
PMID- 27879198
TI - Registered report: Coadministration of a tumor-penetrating peptide enhances the
efficacy of cancer drugs.
AB - The Reproducibility Project: Cancer Biology seeks to address growing concerns
about reproducibility in scientific research by conducting replications of 50
papers in the field of cancer biology published between 2010 and 2012. This
Registered report describes the proposed replication plan of key experiments from
'Coadministration of a tumor-penetrating peptide enhances the efficacy of cancer
drugs' by Sugahara and colleagues, published in Science in 2010 (Sugahara et al.,
2010). The key experiments being replicated include Figure 2 and Supplemental
Figure 9A. In Figure 2, Sugahara and colleagues presented data on the tumor
penetrance of doxorubicin (DOX) when co-administered with the peptide iRGD, as
well as the effect of co-treatment of DOX and iRGD on tumor weight and cell
death. In Supplemental Figure 9A, they tracked body weight of mice treated with
DOX and iRGD to provide evidence that iRGD does not increase known DOX toxicity.
The Reproducibility Project: Cancer Biology is a collaboration between the Center
for Open Science and Science Exchange, and the results of the replications will
be published by eLife.
PMID- 27879199
TI - An NMDA receptor-dependent mechanism for subcellular segregation of sensory
inputs in the tadpole optic tectum.
AB - In the vertebrate CNS, afferent sensory inputs are targeted to specific depths or
layers of their target neuropil. This patterning exists ab initio, from the very
beginning, and therefore has been considered an activity-independent process.
However, here we report that, during circuit development, the subcellular
segregation of the visual and mechanosensory inputs to specific regions of tectal
neuron dendrites in the tadpole optic tectum requires NMDA receptor activity.
Blocking NMDARs during the formation of these sensory circuits, or removing the
visual set of inputs, leads to less defined segregation, and suggests a
correlation-based mechanism in which correlated inputs wire to common regions of
dendrites. This can account for how two sets of inputs form synapses onto
different regions of the same dendrite. Blocking NMDA receptors during later
stages of circuit development did not disrupt segregation, indicating a critical
period for activity-dependent shaping of patterns of innervation.
PMID- 27879201
TI - Visual attention is available at a task-relevant location rapidly after a
saccade.
AB - Maintaining attention at a task-relevant spatial location while making eye
movements necessitates a rapid, saccade-synchronized shift of attentional
modulation from the neuronal population representing the task-relevant location
before the saccade to the one representing it after the saccade. Currently, the
precise time at which spatial attention becomes fully allocated to the task
relevant location after the saccade remains unclear. Using a fine-grained
temporal analysis of human peri-saccadic detection performance in an attention
task, we show that spatial attention is fully available at the task-relevant
location within 30 milliseconds after the saccade. Subjects tracked the
attentional target veridically throughout our task: i.e. they almost never
responded to non-target stimuli. Spatial attention and saccadic processing
therefore co-ordinate well to ensure that relevant locations are attentionally
enhanced soon after the beginning of each eye fixation.
PMID- 27879200
TI - Mechanism of cargo-directed Atg8 conjugation during selective autophagy.
AB - Selective autophagy is mediated by cargo receptors that link the cargo to the
isolation membrane via interactions with Atg8 proteins. Atg8 proteins are
localized to the membrane in an ubiquitin-like conjugation reaction, but how this
conjugation is coupled to the presence of the cargo is unclear. Here we show that
the S. cerevisiae Atg19, Atg34 and the human p62, Optineurin and NDP52 cargo
receptors interact with the E3-like enzyme Atg12~Atg5-Atg16, which stimulates
Atg8 conjugation. The interaction of Atg19 with the Atg12~Atg5-Atg16 complex is
mediated by its Atg8-interacting motifs (AIMs). We identify the AIM-binding sites
in the Atg5 subunit and mutation of these sites impairs selective autophagy. In a
reconstituted system the recruitment of the E3 to the prApe1 cargo is sufficient
to drive accumulation of conjugated Atg8 at the cargo. The interaction of the
Atg12~Atg5-Atg16 complex and Atg8 with Atg19 is mutually exclusive, which may
confer directionality to the system.
PMID- 27879202
TI - Quantifying beta-catenin subcellular dynamics and cyclin D1 mRNA transcription
during Wnt signaling in single living cells.
AB - Signal propagation from the cell membrane to a promoter can induce gene
expression. To examine signal transmission through sub-cellular compartments and
its effect on transcription levels in individual cells within a population, we
used the Wnt/beta-catenin signaling pathway as a model system. Wnt signaling
orchestrates a response through nuclear accumulation of beta-catenin in the cell
population. However, quantitative live-cell measurements in individual cells
showed variability in nuclear beta-catenin accumulation, which could occur in two
waves, followed by slow clearance. Nuclear accumulation dynamics were initially
rapid, cell cycle independent and differed substantially from LiCl stimulation,
presumed to mimic Wnt signaling. beta-catenin levels increased simultaneously at
adherens junctions and the centrosome, and a membrane-centrosome transport system
was revealed. Correlating beta-catenin nuclear dynamics to cyclin D1
transcriptional activation showed that the nuclear accumulation rate of change of
the signaling factor, and not actual protein levels, correlated with the
transcriptional output of the pathway.
PMID- 27879204
TI - Establishment and maintenance of heritable chromatin structure during early
Drosophila embryogenesis.
AB - During embryogenesis, the initial chromatin state is established during a period
of rapid proliferative activity. We have measured with 3-min time resolution how
heritable patterns of chromatin structure are initially established and
maintained during the midblastula transition (MBT). We find that regions of
accessibility are established sequentially, where enhancers are opened in advance
of promoters and insulators. These open states are stably maintained in highly
condensed mitotic chromatin to ensure faithful inheritance of prior accessibility
status across cell divisions. The temporal progression of establishment is
controlled by the biological timers that control the onset of the MBT. In
general, acquisition of promoter accessibility is controlled by the biological
timer that measures the nucleo-cytoplasmic (N:C) ratio, whereas timing of
enhancer accessibility is regulated independently of the N:C ratio. These
different timing classes each associate with binding sites for two transcription
factors, GAGA-factor and Zelda, previously implicated in controlling chromatin
accessibility at ZGA.
PMID- 27879203
TI - Fetal and neonatal hematopoietic progenitors are functionally and
transcriptionally resistant to Flt3-ITD mutations.
AB - The FLT3 Internal Tandem Duplication (FLT3ITD) mutation is common in adult acute
myeloid leukemia (AML) but rare in early childhood AML. It is not clear why this
difference occurs. Here we show that Flt3ITD and cooperating Flt3ITD/Runx1
mutations cause hematopoietic stem cell depletion and myeloid progenitor
expansion during adult but not fetal stages of murine development. In adult
progenitors, FLT3ITD simultaneously induces self-renewal and myeloid commitment
programs via STAT5-dependent and STAT5-independent mechanisms, respectively.
While FLT3ITD can activate STAT5 signal transduction prior to birth, this
signaling does not alter gene expression until hematopoietic progenitors
transition from fetal to adult transcriptional states. Cooperative interactions
between Flt3ITD and Runx1 mutations are also blunted in fetal/neonatal
progenitors. Fetal/neonatal progenitors may therefore be protected from leukemic
transformation because they are not competent to express FLT3ITD target genes.
Changes in the transcriptional states of developing hematopoietic progenitors may
generally shape the mutation spectra of human leukemias.
PMID- 27879207
TI - Integration-free erythroblast-derived human induced pluripotent stem cells
(iPSCs) from an individual with Ataxia-Telangiectasia (A-T).
AB - Peripheral blood was obtained from a 12-year old male carrying bialleleic
inactivating mutations at the ATM locus, causing Ataxia-Telangiectasia (A-T).
Blood erythroid cells were briefly expanded in vitro and induced pluripotent stem
cells (iPSCs) were generated via transfection with episomal vectors carrying
hOCT4, hSOX2, hKLF4, hMYC and hBCL2L1. SF-003 iPSCs were free of genomically
integrated reprogramming genes, had the specific compound heterozygous mutations,
stable karyotype, expressed pluripotency markers and formed teratomas in
immunodeficient (NOD scid gamma; NGS) mice. The SF-003 iPSC line may be a useful
resource for in vitro modeling of A-T.
PMID- 27879205
TI - Structure of protein O-mannose kinase reveals a unique active site architecture.
AB - The 'pseudokinase' SgK196 is a protein O-mannose kinase (POMK) that catalyzes an
essential phosphorylation step during biosynthesis of the laminin-binding glycan
on alpha-dystroglycan. However, the catalytic mechanism underlying this activity
remains elusive. Here we present the crystal structure of Danio rerio POMK in
complex with Mg2+ ions, ADP, aluminum fluoride, and the GalNAc-beta3-GlcNAc-beta4
Man trisaccharide substrate, thereby providing a snapshot of the catalytic
transition state of this unusual kinase. The active site of POMK is established
by residues located in non-canonical positions and is stabilized by a disulfide
bridge. GalNAc-beta3-GlcNAc-beta4-Man is recognized by a surface groove, and the
GalNAc-beta3-GlcNAc moiety mediates the majority of interactions with POMK.
Expression of various POMK mutants in POMK knockout cells further validated the
functional requirements of critical residues. Our results provide important
insights into the ability of POMK to function specifically as a glycan kinase,
and highlight the structural diversity of the human kinome.
PMID- 27879208
TI - Generation of induced pluripotent stem cells (iPSCs) from a retinoblastoma
patient carrying a c.2663G>A mutation in RB1 gene.
AB - Skin fibroblasts were obtained from a male patient diagnosed with retinoblastoma
(RB) carrying a c.2663G>A mutation in the 25 exon of RB1 gene. RB-iPS cells was
generated via delivered four reprogramming factors (OCT4, SOX2, NANOG and LIN28)
into these skin fibroblasts. The RB-iPS cells retained the RB1 heterozygous
mutation resulted in a truncated RB1 mRNA. Characteristic tests proved that the
iPSC line presented typical markers of pluripotency and had the capability to
form the three germ layers in vitro.
PMID- 27879206
TI - Exon junction complex proteins bind nascent transcripts independently of pre-mRNA
splicing in Drosophila melanogaster.
AB - Although it is currently understood that the exon junction complex (EJC) is
recruited on spliced mRNA by a specific interaction between its central protein,
eIF4AIII, and splicing factor CWC22, we found that eIF4AIII and the other EJC
core proteins Y14 and MAGO bind the nascent transcripts of not only intron
containing but also intronless genes on Drosophila polytene chromosomes.
Additionally, Y14 ChIP-seq demonstrates that association with transcribed genes
is also splicing-independent in Drosophila S2 cells. The association of the EJC
proteins with nascent transcripts does not require CWC22 and that of Y14 and MAGO
is independent of eIF4AIII. We also show that eIF4AIII associates with both
polysomal and monosomal RNA in S2 cell extracts, whereas Y14 and MAGO fractionate
separately. Cumulatively, our data indicate a global role of eIF4AIII in gene
expression, which would be independent of Y14 and MAGO, splicing, and of the EJC,
as currently understood.
PMID- 27879209
TI - Generation of an induced pluripotent stem cell line from a patient with chronic
myeloid leukemia (CML) resistant to targeted therapies.
AB - Chronic myeloid leukemia (CML) is a clonal malignancy initiated by the occurrence
of a t (9;22) translocation, generating Ph1 chromosome and BCR-ABL oncogene in a
primitive hematopoietic stem cell (HSC). The resistance of HSC to targeted
therapies using tyrosine kinase inhibitors remains a major obstacle towards the
cure. We have generated an iPSC line from a patient with CML using leukemic CD34+
cells cryopreserved at diagnosis. Ph1+ CML cells were reprogrammed by non
integrative viral transduction. These iPSCs harboured Ph1 chromosome and
expressed pluripotency hallmarks as well as BCR-ABL. Teratoma assays revealed
normal differentiation after injection in immunodeficient mice.
PMID- 27879210
TI - Murine transgenic iPS cell line for monitoring and selection of cardiomyocytes.
AB - We report here a transgenic murine induced pluripotent stem cell (iPSC) line
expressing puromycin N-acetyltransferase (PAC) and enhanced green fluorescent
protein (EGFP) under the control of alpha-myosin heavy chain promoter. This
transgenic cell line reproducibly differentiates into EGFP-expressing
cardiomyocytes (CMs) which can be generated at high purity with puromycin
treatment and exhibit molecular and functional properties of immature heart
muscle cells. This genetically modified iPSC line can be used for assessment of
the utility of CMs for myocardial repair, pharmacological and toxicological
applications and development of improved cardiac differentiation protocols.
PMID- 27879211
TI - Generation of a human induced pluripotent stem cell (iPSC) line from a patient
carrying a P33T mutation in the PDX1 gene.
AB - Homozygous loss-of-function mutations in the gene coding for the homeobox
transcription factor PDX1 leads to pancreatic agenesis, whereas certain
heterozygous point mutations are associated with Maturity-Onset Diabetes of the
Young 4 (MODY4) and Type 2 Diabetes Mellitus (T2DM). To understand the
pathomechanism of MODY4 and T2DM, we have generated iPSCs from a woman with a
P33T heterozygous mutation in the transactivation domain of PDX1. The resulting
PDX1 P33T iPSCs generated by episomal reprogramming are integration-free, have a
normal karyotype and are pluripotent in vitro and in vivo. Taken together, this
iPSC line will be useful to study diabetes pathomechanisms.
PMID- 27879212
TI - Generation of a gene-corrected isogenic control cell line from an Alzheimer's
disease patient iPSC line carrying a A79V mutation in PSEN1.
AB - Alzheimer's disease (AD) is a progressive and irreversible neurodegenerative
disease causing neural cell degeneration and brain atrophy and is considered to
be the most common form of dementia. We previously generated an induced
pluripotent stem cell (iPSC) line from an AD patient carrying an A79V mutation in
PSEN1 as an in vitro disease model. Here we generated a gene-corrected version
from this hiPSC line by substituting the point mutation with the wild-type
sequence. The reported A79V-GC-iPSCs line is a very useful resource in
combination with the A79V-iPSC line in order to study pathological cellular
phenotypes related to this particular mutation.
PMID- 27879213
TI - Generation of HEXA-deficient hiPSCs from fibroblasts of a Tay-Sachs disease
patient.
AB - Human iPSC line TSD-01-hiPSC was generated from fibroblasts of a patient with
infantile Tay-Sachs disease (TSD). The patient is compound heterozygous at the
HEXA gene by carrying a 1278insTATC allele and an IVS12+1G>C allele. STEMCCA
lentivirus, which expresses OCT4, SOX2, KLF4, and c-MYC from a polycistronic
transcript, were used for reprogramming. TSD-01-hiPSC express pluripotency
markers such as OCT4, SOX2, NANOG, Tra-1-60, and alkaline phosphatase, and can
differentiate into tissues from all the three embryonic germ layers. This TSD
patient-derived hiPSC line may serve as a valuable in vitro tool for disease
modeling and drug test.
PMID- 27879214
TI - Generation of a human induced pluripotent stem cell (iPSC) line from a patient
with family history of diabetes carrying a C18R mutation in the PDX1 gene.
AB - Homozygous loss-of-function mutations in the gene coding for the homeobox
transcription factor PDX1 leads to pancreatic agenesis, whereas certain
heterozygous point mutations are associated with Maturity-Onset Diabetes of the
Young 4 (MODY4) and Type 2 Diabetes Mellitus (T2DM). To understand the
pathomechanism of MODY4 and T2DM, we have generated iPSCs from a woman with a
C18R heterozygous mutation in the transactivation domain of PDX1. The resulting
PDX1 C18R iPSCs generated by episomal reprogramming are integration-free, have a
normal karyotype and are pluripotent in vitro and in vivo. Taken together, this
iPSC line will be useful to study diabetes pathomechanisms.
PMID- 27879215
TI - A human VE-cadherin-tdTomato and CD43-green fluorescent protein dual reporter
cell line for study endothelial to hematopoietic transition.
AB - Human embryonic stem cell line WA01 was genetically modified using zinc-finger
nucleases and the PiggyBac/transponson system to introduce a fluorescence
reporter for VE-cadherin (VEC; tdTomato) and CD43 (eGFP). Phenotypic and
functional assays for pluripotency revealed the modified hES cell reporter lines
remained normal. When the cells were differentiated into hematoendothelial
lineages, either by directed differentiation or direct reprogramming, flow
cytometric and fluorescence microscopy showed that VEC+ endothelial cells express
tdTomato and CD43+ hematopoietic progenitors express eGFP.
PMID- 27879216
TI - Generation of induced pluripotent stem cells (iPSCs) from a hereditary spastic
paraplegia patient carrying a homozygous R486C mutation in CYP7B1 (SPG5).
AB - Skin fibroblasts were obtained from a 47-year-old hereditary spastic paraplegia
patient carrying a homozygous mutation R486C in CYP7B1 (Cytochrome P450, Family
7, Subfamily B, Polypeptide 1), responsible for causing hereditary spastic
paraplegia type 5 (SPG5). Induced pluripotent stem cells (iPSCs) were generated
by transfection with episomal plasmids carrying hOCT4, hSOX2, hKLF4, hL-MYC and
hLIN28. The generated line iPS-SPG5-R486C was transgene-free, retained the
specific mutation with no additional genomic aberrations, expressed pluripotency
markers and was able to differentiate into cells of all germ layers in vitro. The
generated iPS-SPG5-R486C line may be a useful resource for disease modelling of
SPG5.
PMID- 27879217
TI - Generation of optic atrophy 1 patient-derived induced pluripotent stem cells (iPS
OPA1-BEHR) for disease modeling of complex optic atrophy syndromes (Behr
syndrome).
AB - Human skin fibroblasts were isolated from a 48-year-old patient carrying compound
heterozygous mutations (c.610+364G>A and c.1311A>G) in OPA1, responsible for
early onset optic atrophy complicated by ataxia and pyramidal signs (Behr
syndrome; OMIM #210000). Fibroblasts were reprogrammed using episomal plasmids
carrying hOCT4, hSOX2, hKLF4, hL-MYC and hLIN28. The generated transgene-free
line iPS-OPA1-BEHR showed no additional genomic aberrations, maintained the
disease-relevant mutations, expressed important pluripotency markers and was
capable to differentiate into cells of all three germ layers in vitro. The
generated iPS-OPA1-BEHR line might be a useful platform to study the
pathomechanism of early onset complicated optic atrophy syndromes.
PMID- 27879218
TI - Generation of a TLE1 homozygous knockout human embryonic stem cell line using
CRISPR-Cas9.
AB - Here, we generated a biallelic mutation in the TLE1 (Transducin Like Enhancer of
Split 1) gene using CRISPR-Cas9 editing in the human embryonic stem cell (hESC)
line WA01. The homozygous knockout cell line, TLE1-464-G04, displays loss of TLE1
protein expression while maintaining pluripotency, differentiation potential and
genomic integrity.
PMID- 27879219
TI - Induced pluripotent stem cells (iPSCs) derived from cerebrotendinous
xanthomatosis (CTX) patient's fibroblasts carrying a R395S mutation.
AB - Induced pluripotent stem cells (iPSCs) were generated from dermal fibroblasts
from a 60-year-old cerebrotendinous xanthomatosis (CTX) patient, carrying a
homozygous mutation c. [1183C>A]; p. R395S in CYP27A1. Episomal plasmids encoding
the pluripotency genes OCT4, SOX2, KLF4, L-MYC and LIN28 were introduced via
electroporation. The generated line iPS-CTX-R395S has no sign of plasmid
integration or chromosomal aberration and retained the mutation site in CYP27A1.
Furthermore, iPSCs express pluripotency markers and are able to differentiate in
all germ layers in vitro. The generated line may be a useful tool for disease
modelling of CTX.
PMID- 27879220
TI - Generation of induced pluripotent stem cells (iPSCs) from a hereditary spastic
paraplegia patient carrying a homozygous Y275X mutation in CYP7B1 (SPG5).
AB - Skin fibroblasts were obtained from a 47-year-old hereditary spastic paraplegia
patient carrying a homozygous mutation Y275X in CYP7B1 (Cytochrome P450, Family
7, Subfamily B, Polypeptide 1), responsible for causing hereditary spastic
paraplegia type 5 (SPG5). Induced pluripotent stem cells (iPSCs) were generated
by transfection with episomal plasmids carrying hOCT4, hSOX2, hKLF4, hL-MYC and
hLIN28. The generated line iPS-SPG5-Y275X was transgene-free, retained the
specific mutation with no additional genomic aberrations, expressed pluripotency
markers and was able to differentiate into cells of all germ layers in vitro. The
generated iPS-SPG5-Y275X line may be a useful resource for disease modelling of
SPG5.
PMID- 27879221
TI - Generation of a TLE3 heterozygous knockout human embryonic stem cell line using
CRISPR-Cas9.
AB - Here, we generated a monoallelic mutation in the TLE3 (Transducin Like Enhancer
of Split 3) gene using CRISPR-Cas9 editing in the human embryonic stem cell
(hESC) line WA01. The heterozygous knockout cell line, TLE3-447-D08-A01, displays
partial loss of TLE3 protein expression while maintaining pluripotency,
differentiation potential and genomic integrity.
PMID- 27879222
TI - An integration-free, virus-free rhesus macaque induced pluripotent stem cell line
(riPSC89) from embryonic fibroblasts.
AB - We generated a rhesus macaque induced pluripotent stem cell (riPSC) line,
riPSC89, from rhesus embryonic fibroblasts (REFs). Fibroblasts were expanded from
the skin of a rhesus macaque embryo at embryonic day 47. REFs and riPSCs had a
normal male (42, XY) karyotype. The riPSC89 line was positive for markers of self
renewal including OCT4, NANOG, TRA-1-81 and SSEA4. Pluripotency was demonstrated
through the generation of teratomas using transplantation into immunocompromised
mice. The riPSC89 line may be a useful non-human primate resource to uncover
developmental origins of disease, or used as a basic model to understand lineage
specification in the primate embryo.
PMID- 27879223
TI - Generation of human induced pluripotent stem cells in defined, feeder-free
conditions.
AB - Herein, we describe a modified protocol for the generation of human induced
pluripotent stem cells (hiPS) and expansion under defined, serum free and feeder
free conditions. These cells exhibit a high level of plasticity towards various
differentiation pathways both in vitro and in vivo. Ultimately, hiPS-derived
lines achieved high standards of three dimensional differentiations on
biomaterial scaffolds and promoted in vivo regeneration of complex organs, such
as Anterior Cruciate Ligament (in swine ACL-rupture models) and other tissues as
well.
PMID- 27879224
TI - A Comparison of Cartilage Palisades and Temporal Fascia in Type 1 Tympanoplasty
for Bilateral Tympanic Membrane Perforations in Children.
AB - OBJECTIVE: To compare the graft success rates and audiological outcomes of
bilaterally performed type 1 tympanoplasty using cartilage palisades or temporal
fascia in children. MATERIALS AND METHODS: We retrospectively analyzed the
medical records of patients who underwent type 1 tympanoplasty at Medical Park
Hospital between May 2007 and February 2013. 27 patients (54 ears) were enrolled
in the study: 15 (30 ears) in the palisade cartilage group and 12 (24 ears) in
the fascia group. RESULTS: The graft success rate for the fascia group was 79.2%,
and that for the cartilage group was 96.7%. The difference was statistically
insignificant (p=0.078). Audiological improvements were seen in both groups, and
the difference was statistically insignificant. CONCLUSION: The use of temporalis
fascia grafting has similar outcomes to palisade cartilage tympanoplasty for both
success rate and audiological values in children who have bilateral disease.
PMID- 27879225
TI - Efficacy of Posterior Canal Wall Reconstruction Using Autologous Auricular
Cartilage and Bone Pate in Chronic Otitis Media with Cholesteatoma.
AB - OBJECTIVE: This study was designed to investigate the long-term results using the
technique of canal wall up mastoidectomy and reconstruction of the posterior
canal wall using bone pate and auricular cartilage in the treatment of chronic
otitis media with cholesteatoma. MATERIALS AND METHODS: A retrospective review
was performed on 42 patients who underwent canal wall up mastoidectomy and
posterior canal wall reconstruction at a single institution between November 2005
and November 2012. RESULTS: Of the 42 patients, postoperative tympanic membranes
were normal in 38 (90.5%), perforated in 1 (2.4%), and retracted in 3 (7.1%).
Mean preoperative and postoperative values of the air-bone gap (ABG) were 29.4+/
12.8 and 23.4+/-11.7 dB, respectively, which represented a significant average
improvement. For patients with ossiculoplasty (n=24), the mean preoperative and
postoperative ABG values were 34.7+/-6.0 and 27.5+/-8.0 dB, respectively, which
also represented a significant average improvement. Thirty-one (73.8%) of the
patients were followed up without any complication, but 5 had otorrhea (11.9%), 4
had dizziness (9.5%), and 2 had facial palsy (4.8%). CONCLUSION: A canal wall up
mastoidectomy with reconstruction of the posterior canal wall using auricular
cartilage and bone pate provides successful preservation of the anatomic
structure and a significant improvement in hearing without the long-term
disadvantages of a canal wall down mastoidectomy.
PMID- 27879227
TI - Correlation between cVEMP and ABR for the Evaluation of Vestibular Migraine.
AB - OBJECTIVE: Vestibular migraine (VM) is a clinical condition characterized by
temporal overlap between vestibular symptoms and migraine. In this study, we
aimed to determine the changes in vestibular myogenic potential (cVEMP) and
auditory brainstem response (ABR) in patients with VM and migraine. MATERIALS AND
METHODS: A total of 86 participants with no hearing loss or additional disease
between the ages of 18 and 45 were enrolled in three different groups: group 1,
VM; group 2, migraine without aura; and group 3, healthy controls. cVEMP and ABR
were performed for all participants during attacks and attack-free periods. The
differences between the right and left sides were calculated. RESULTS: There was
no significant difference in cVEMP p13-n23 latencies between any of the groups.
There were statistically significant differences related to cVEMP p13-n23
amplitudes between groups 1, 2, and 3. This significant difference originated
from group 1 when compared with the other groups (p<0.05). When we compared the
cVEMP results of patients with VM during attack and attack-free periods, a
statistically significant decrease was determined in the p13-n23 amplitude values
during the attack period (p<0.01). Additionally, when we compared group 1 and
group 3, the wave V peak latencies in ABR were significantly prolonged in group 1
(p<0.05). CONCLUSION: cVEMP and ABR can be used as diagnostic criteria for
patients with VM during attacks. Further studies with larger groups are needed to
verify our findings.
PMID- 27879226
TI - Speech-Evoked Auditory Brainstem Response in Individuals with Diabetes Mellitus
Type 2.
AB - OBJECTIVE: Diabetes is the most common glucose level dependent metabolic disorder
and studies have shown that hearing impairment can be a long-term subclinical
complication. Studies to investigate auditory system involvement in diabetes has
focused majorly on the auditory brainstem response (ABR), otoacoustic emission,
and basic audiological measures. Hence in the current study, we used speech
evoked ABR (S-ABR) as a tool to see the effect of diabetes on both a transient
and sustained response of the auditory brainstem to a conventionally used
consonant-vowel (CV) stimuli /da/. MATERIALS AND METHODS: This preliminary
investigation was done on 22 individuals in the age range of 40-55 years. 11
individuals were diabetics for a minimum period of five years. The S-ABR was
recorded for all the participants with speech stimuli /da/ of 40 ms duration.
Latency analysis of the waves V, A, D, E, F, and O were carried out. The
statistical analysis included descriptive measures, paired t tests, and MANOVA.
RESULTS: The findings of the current study suggest that middle-aged individuals
with diabetes have a significant deficiency in auditory processing at the
brainstem level. Both transient (wave V (p=0.00), A (p=0.00), and O (p=0.00)) and
sustained responses (wave D (p=0.001), E (p=0.00), and F (p=0.00)) of the S-ABR
were found to be affected in diabetic individuals compared to age-matched non
diabetic individuals. CONCLUSION: Considering diabetes is a common metabolic
disorder in the middle-aged Indian population, the findings of the present study
can have significant clinical implication.
PMID- 27879228
TI - Identifying the Optimal Water-Occluding Earplugs: A Scientific Simulation Study.
AB - OBJECTIVE: Numerous types of water-occluding earplugs are available as a means of
preventing infection in patients with external and middle ear disease. However,
little is known about the comparative efficacies of these earplugs with prolonged
water exposure. In this study, we assessed the water impermeability of various
earplug materials to prolonged water exposure. MATERIALS AND METHODS: Nine
earplugs were tested: cotton wool mixed with petroleum jelly, cotton wool
externally coated with petroleum jelly, Blu-Tack, foam earplugs, silicone putty,
silicone earplugs, flanged earplugs, and hard and soft silicone custom-moulds.
Precision-engineered cups were filled with 30 mL water and sealed with lids that
contained a 10 mm diameter hole to simulate the ear canal. The aperture was
occluded with different earplugs, and the cup was inverted. Computer software was
used to record the water loss to the nearest 10 milligrams 720 times over a three
hour period. The test was repeated five times for each material. RESULTS: The
water permeability onset, rate, and total amount of water loss varied markedly
between the materials; cotton wool mixed with petroleum jelly demonstrated the
fastest onset of leak and the highest rate of water loss (p < 0.00001), as well
as the largest amount of cumulative water loss (p = 0.00213). The soft silicone
custom-mould plugs, hard silicone custom-mould plugs, foam plugs, and silicone
putty demonstrated no leaks. CONCLUSION: This study demonstrates a wide range of
water permeabilities of commonly used ear-occluding materials during prolonged
water exposure. We found that the generally suggested regimen of cotton wool
mixed with petroleum jelly may be inefficacious for substantial periods of water
exposure.
PMID- 27879229
TI - The Incidence of Ototoxicity in Patients Using Iron Chelators.
AB - OBJECTIVE: In this study, we aimed to detect the incidences of ototoxicity in
patients with hemoglobinopathies taking deferoxamine (DFO), deferiprone, and
deferasirox using the National Cancer Institute (NCI) Common Terminology Criteria
for Adverse Events (CTCAE) scale to obtain more objective data. MATERIALS AND
METHODS: Fifty-five transfusion-dependent patients were evaluated in this study.
The NCI CTCAE scale was used to assess ototoxicity levels. The average ferritin
and hemoglobin levels, the type of iron chelator, and the duration of therapy of
all the patients were recorded. RESULTS: Ototoxicity was observed in 15 patients
(31.9 %), all of whom were taking DFO. The median age was 19.5 (6-43) in patients
without ototoxicity and 29 (16-50) in those with ototoxicity; this difference was
statistically significant (p<0.05). The median ferritin and pre-tx Hb levels were
1391 ng/mL and 9.06 mg/dL, respectively, in patients with ototoxicity and 986.7
ng/mL and 9.24 mg/dL, respectively, in those without ototoxicity; these
differences were not significant (p>0.05). Ototoxicity was not observed in the
eight patients who used only deferasirox and deferiprone. CONCLUSION: The
ototoxicity incidence with DFO at doses below 50 mg/kg/day was 27.3%. Deferiprone
and deferasirox were not associated with ototoxic effects in patients taking
these drugs.
PMID- 27879231
TI - Corrigenda for vol. 265, p. E210.
PMID- 27879230
TI - Bilateral Cochleovestibulopathy Due to Internal Auditory Canal Metastasis in a
Patient with Stomach Cancer.
AB - Bilateral sudden onset hearing loss and vestibular dysfunction due to metastatic
carcinoma of the internal auditory canal (IAC) on both sides is an extremely rare
occurrence. This is a challenging case in neuro-otology that is difficult to
relieve by medical support, and spontaneous compensation by vestibular
rehabilitation is minimal. The spread of tumor cells to the cerebrospinal space
indicates poor prognosis. We present a patient with stage-III gastric carcinoma
who experienced sudden hearing loss and severe imbalance. Radiological
investigation revealed bilateral metastasis of the IAC. Clinical aspects,
diagnosis, and treatment issues related to bilateral cochleovestibulopathy are
discussed.
PMID- 27879232
TI - ASHP Foundation Pharmacy Forecast 2017: Strategic Planning Advice for Pharmacy
Departments in Hospitals and Health Systems.
PMID- 27879233
TI - ASHP Research and Education Foundation's Pharmacy Forecast: An essential resource
for pharmacy practice.
PMID- 27879234
TI - Corrigenda for vol. 266, p. E560.
PMID- 27879235
TI - Corrigenda for vol. 270, p. E413.
PMID- 27879236
TI - Corrigenda for vol. 270, p. E413.
PMID- 27879237
TI - Corrigenda for vol. 266, p. E17.
PMID- 27879238
TI - Government must not shy away from bold action on public health, says MP.
PMID- 27879240
TI - Adrenal suppression with glucocorticoid therapy: still a problem after all these
years?
PMID- 27879241
TI - Sixty seconds on . . . cryopreservation.
PMID- 27879242
TI - What next for refugees after demolition of the Calais camp?
PMID- 27879243
TI - Digital hub cuts care home referrals to GPs by more than a third.
PMID- 27879244
TI - Ailing NHS finances are not sustainable, government auditors warn.
PMID- 27879245
TI - Sam Everington: the proud generalist.
PMID- 27879247
TI - General practices must appoint whistleblowing guardian.
PMID- 27879246
TI - Father involvement in early child-rearing and behavioural outcomes in their pre
adolescent children: evidence from the ALSPAC UK birth cohort.
AB - OBJECTIVE: To explore the nature of paternal involvement in early child-rearing
adopting a social developmental perspective, and estimate its effect on
behavioural outcomes of children aged 9 and 11 years. SETTING: The data come from
the Avon Longitudinal Study of Parents and Children (ALSPAC) cohort recruited in
the former county of Avon in the southwest of England. PARTICIPANTS: Out of the
14 701 children in this cohort who were alive at 1 year, 10 440 children were
living with both parents at 8 months and were therefore eligible. Outcome data
were available for 6898 children at 9 years and 6328 children at 11 years. MAIN
EXPOSURE: Paternal involvement was measured using factor scores obtained through
factor analysis of fathers' responses on their participation in, understanding
of, and feelings about their child's early upbringing. OUTCOME: Behavioural
problems were measured using the Strengths and Difficulties Questionnaire (SDQ)
total difficulties score. RESULTS: 3 factors were identified in the factor
analysis: Factor 1 described fathers' emotional response to the child; factor 2
measured the frequency of fathers' involvement in domestic and childcare
activities; factor 3 characterised fathers' feelings of security in their role as
parent and partner. Children of fathers with high scores on factors 1 and 3 had
14% (OR 0.86, 95% CI 0.79 to 0.94, p=0.001) and 13% (OR 0.87, 95% CI 0.79 to
0.96, p=0.006), respectively, lower adjusted odds of behavioural problems at 9
years. Factors 1 and 3 were associated with comparable reduction in adjusted odds
of behavioural problems at 11 years (OR 0.89, 95% CI 0.81 to 0.98, p=0.017 and OR
0.89, 95% CI 0.81 to 0.99, p=0.034, respectively). Factor 2 was not associated
with the outcome. CONCLUSIONS: Psychological and emotional aspects of paternal
involvement in children's early upbringing, particularly how new fathers see
themselves as parents and adjust to the role, rather than the quantity of direct
involvement in childcare, is associated with positive behavioural outcomes in
children.
PMID- 27879249
TI - Glucosamine induces ER stress by disrupting lipid-linked oligosaccharide
biosynthesis and N-linked protein glycosylation.
AB - Glucosamine is an essential substrate for N-linked protein glycosylation.
However, elevated levels of glucosamine can induce endoplasmic reticulum (ER)
stress. Glucosamine-induced ER stress has been implicated in the development of
diabetic complications, including atherosclerosis and hepatic steatosis. In this
study, we investigate the potential relationship between the effects of
glucosamine on lipid-linked oligosaccharide (LLO) biosynthesis, N-linked
glycosylation, and ER homeostasis. Mouse embryonic fibroblasts (MEFs) were
cultured in the presence of 0-5 mM glucosamine for up to 18 h, and LLO
biosynthesis was monitored by fluorescence-assisted carbohydrate electrophoresis.
ER stress was determined by quantification of unfolded protein response (UPR)
gene expression. We found that exposure of MEFs to >=1 mM glucosamine
significantly impaired the biosynthesis of mature (Glc3Man9GlcNAc2) LLOs before
the activation of the UPR, which resulted in the accumulation of an LLO
intermediate (Man3GlcNAc2). The addition of 4-phenylbutyric acid (4-PBA), a
chemical chaperone, was able to alleviate ER stress but did not rescue LLO
biosynthesis. Other ER stress-inducing agents, including dithiothreitol and
thapsigargin, had no effect on LLO levels. Together, these data suggest that
elevated concentrations of glucosamine induce ER stress by interfering with lipid
linked oligosaccharide biosynthesis and N-linked glycosylation. We hypothesize
that this pathway represents a causative link between hyperglycemia and the
development of diabetic complications.
PMID- 27879248
TI - High-fat diet-induced obesity regulates MMP3 to modulate depot- and sex-dependent
adipose expansion in C57BL/6J mice.
AB - Increased adipocyte size is hypothesized to signal the recruitment of adipose
progenitor cells (APCs) to expand tissue storage capacity. To investigate depot
and sex differences in adipose growth, male and female C57BL/6J mice (10 wk-old)
were challenged with high-fat (HF) or low-fat (LF) diets (D) for 14 wk. The HFD
increased gonadal (GON) depot weight by adipocyte hypertrophy and hyperplasia in
females but hypertrophy alone in males. In both sexes, inguinal (ING) adipocytes
were smaller than GON, and depot expansion was due to hypertrophy. Matrix
metalloproteinase 3 (Mmp3), an antiadipogenic factor, and its inhibitor Timps
modulate the extracellular matrix remodeling needed for depot expansion. Mmp3
mRNA was depot different (ING > GON), higher in females than males and mainly
expressed in APCs. In males, HFD-induced obesity increased tissue and APC Mmp3
mRNA levels and MMP3 protein and enzymatic activity. In females however, HFD
significantly decreased MMP3 protein without affecting its mRNA levels. MMP3
activity also decreased (significant in ING). Timp4 mRNA was expressed mainly in
adipocytes, and HFD-induced obesity tended to increase the ratio of TIMP4 to MMP3
protein in females, whereas it decreased it in males. Overexpression of Mmp3 in
3T3-L1 preadipocytes or rhMMP3 protein added to primary human preadipocytes
inhibited differentiation, whereas rhTIMP4 improved adipogenesis and attenuated
the inhibitory effect of rhMMP3. These data suggest that HFD-induced obesity
downregulates APC MMP3 expression to trigger adipogenesis, and adipocyte TIMP4
may modulate this process to regulate hyperplastic vs. hypertrophic adipose
tissue expansion, fat distribution, and metabolic health in a sex- and depot
dependent manner.
PMID- 27879252
TI - High-Density Lipoprotein-Associated Apolipoprotein M Limits Endothelial
Inflammation by Delivering Sphingosine-1-Phosphate to the Sphingosine-1-Phosphate
Receptor 1.
AB - OBJECTIVE: Plasma high-density lipoproteins (HDL) are potent antiatherogenic and
anti-inflammatory particles. However, HDL particles are highly heterogenic in
composition, and different HDL-mediated functions can be ascribed to different
subclasses of HDL. Only a small HDL population contains apolipoprotein M (ApoM),
which is the main plasma carrier of the bioactive lipid mediator sphingosine-1
phosphate (S1P). Vascular inflammation is modulated by S1P, but both pro- and
anti-inflammatory roles have been ascribed to S1P. The goal of this study is to
elucidate the role of ApoM and S1P in endothelial anti-inflammatory events
related to HDL. APPROACH AND RESULTS: Aortic or brain human primary endothelial
cells were challenged with tumor necrosis factor-alpha (TNF-alpha) as
inflammatory stimuli. The presence of recombinant ApoM-bound S1P or ApoM
containing HDL reduced the abundance of adhesion molecules in the cell surface,
whereas ApoM and ApoM-lacking HDL did not. Specifically, ApoM-bound S1P decreased
vascular adhesion molecule-1 (VCAM-1) and E-selectin surface abundance but not
intercellular adhesion molecule-1. Albumin, which is an alternative S1P carrier,
was less efficient in inhibiting VCAM-1 than ApoM-bound S1P. The activation of
the S1P receptor 1 was sufficient and required to promote anti-inflammation.
Moreover, ApoM-bound S1P induced the rearrangement of the expression of S1P
related genes to counteract TNF-alpha. Functionally, HDL/ApoM/S1P limited
monocyte adhesion to the endothelium and maintained endothelial barrier integrity
under inflammatory conditions. CONCLUSIONS: ApoM-bound S1P is a key component of
HDL and is responsible for several HDL-associated protective functions in the
endothelium, including regulation of adhesion molecule abundance, leukocyte
endothelial adhesion, and endothelial barrier.
PMID- 27879254
TI - Henry J M Barnett.
PMID- 27879251
TI - Altered Smooth Muscle Cell Force Generation as a Driver of Thoracic Aortic
Aneurysms and Dissections.
AB - The importance of maintaining contractile function in aortic smooth muscle cells
(SMCs) is evident by the fact that heterozygous mutations in the major structural
proteins or kinases controlling contraction lead to the formation of aneurysms of
the ascending thoracic aorta that predispose to life-threatening aortic
dissections. Force generation by SMC requires ATP-dependent cyclic interactions
between filaments composed of SMC-specific isoforms of alpha-actin (encoded by
ACTA2) and myosin heavy chain (MYH11). ACTA2 and MYH11 mutations are predicted or
have been shown to disrupt this cyclic interaction predispose to thoracic aortic
disease. Movement of the myosin motor domain is controlled by phosphorylation of
the regulatory light chain on the myosin filament, and loss-of-function mutations
in the dedicated kinase for this phosphorylation, myosin light chain kinase
(MYLK) also predispose to thoracic aortic disease. Finally, a mutation in the
cGMP-activated protein kinase (PRKG1) results in constitutive activation of the
kinase in the absence of cGMP, thus driving SMC relaxation in part through
increased dephosphorylation of the regulatory light chain and predisposes to
thoracic aortic disease. Furthermore, SMCs cannot generate force without
connections to the extracellular matrix through focal adhesions, and mutations in
the major protein in the extracellular matrix, fibrillin-1, linking SMCs to the
matrix also cause thoracic aortic disease in individuals with Marfan syndrome.
Thus, disruption of the ability of the aortic SMC to generate force through the
elastin-contractile units in response to pulsatile blood flow may be a primary
driver for thoracic aortic aneurysms and dissections.
PMID- 27879255
TI - US must address addiction as an illness, not as a moral failing, Surgeon General
says.
PMID- 27879253
TI - The Yin-Yang Dynamics of DNA Methylation Is the Key Regulator for Smooth Muscle
Cell Phenotype Switch and Vascular Remodeling.
AB - OBJECTIVE: DNA methylation plays an important role in chronic diseases such as
atherosclerosis, yet the mechanisms are poorly understood. The objective of our
study is to indicate the regulatory mechanisms of DNA methylation in vascular
smooth muscle cells (VSMCs) and its roles in atherosclerosis. APPROACH AND
RESULTS: In ApoE-/- mice fed a Western diet, DNA methyltransferase inhibitor, 5
aza-2'-deoxycytidine, significantly attenuated atherosclerotic lesions (20.1+/
2.2% versus 30.8+/-7.5%; P=0.016) and suppressed DNA methyltransferase activity
and concomitantly decreased global 5-methylcytosine content in atherosclerotic
lesions of ApoE-/- mice. Using a carotid ligation model, we found that 5-aza-2'
deoxycytidine also dramatically inhibited neointimal formation (intimal area:
2.25+/-0.14*104 versus 4.07+/-0.22*104 MUm2; P<0.01). Abnormal methylation status
at the promoter of ten-eleven translocation 2, one of the key demethylation
enzymes in mammals, was ameliorated after 5-aza-2'-deoxycytidine treatment, which
in turn caused an increase in global DNA hydroxymethylation and 5
hydroxymethylcytosine enrichment at the promoter of Myocardin. In vitro, 5-aza-2'
deoxycytidine treatment or DNA methyltransferase 1 knockdown decreased global 5
methylcytosine content and restored Myocardin expression in VSMCs induced by
platelet-derived growth factor, thus preventing excessive VSMCs
dedifferentiation, proliferation, and migration. Furthermore, DNA
methyltransferase 1 binds to ten-eleven translocation 2 promoter and is required
for ten-eleven translocation 2 methylation in VSMCs. CONCLUSIONS: The inhibitory
effects of DNA demethylation on global 5-methylcytosine content and ten-eleven
translocation 2 hypermethylation in atherosclerotic aorta can recover 5
hydroxymethylcytosine enrichment at the Myocardin promoter and prevent VSMC
dedifferentiation and vascular remodeling.
PMID- 27879256
TI - Determination and regulation of body composition in elite athletes.
AB - In 2011, the International Association of Athletics Federations (IAAF) and IOC
introduced a 'hyperandrogenism' rule that excluded women with a serum
testosterone >10 nmol/L from participating in elite sport. This rule was based on
the false premise that the greater lean body mass in men was a consequence of
their higher serum testosterone. This rule did not have scientific backing and
the Court of Arbitration for Sport subsequently rescinded the rule following an
appeal from an Indian athlete barred from the Commonwealth Games. This review
covers the scientific knowledge about the development and regulation of body
composition in humans but also considers the lessons learnt from evolution and
breeding in animals. The importance of heredity has been documented in family and
twin studies. The roles of growth hormone and sex steroids are reviewed. The
Androgen Insensitivity Syndrome (AIS) is considered as a model of the role of
testosterone in development of body composition and also as evidence of the
importance of other factors carried on the Y-chromosome that are of prime
importance but have been systematically ignored. Finally the key factors
determining body composition are considered and placed in a suggested order of
importance.
PMID- 27879257
TI - A phase 2 study of rituximab plus lenalidomide for mucosa-associated lymphoid
tissue lymphoma.
PMID- 27879258
TI - Nucleophosmin-anaplastic lymphoma kinase: the ultimate oncogene and therapeutic
target.
AB - Anaplastic lymphoma kinase (ALK) is a receptor tyrosine kinase physiologically
expressed by fetal neural cells. However, aberrantly expressed ALK is involved in
the pathogenesis of diverse malignancies, including distinct types of lymphoma,
lung carcinoma, and neuroblastoma. The aberrant ALK expression in nonneural cells
results from chromosomal translocations that create novel fusion proteins. These
protein hybrids compose the proximal part of a partner gene, including its
promoter region, and the distal part of ALK, including the coding sequence for
the entire kinase domain. ALK was first identified in a subset of T-cell
lymphomas with anaplastic large cell lymphoma (ALCL) morphology (ALK+ ALCL), the
vast majority of which harbor the well-characterized nucleophosmin (NPM)-ALK
fusion protein. NPM-ALK co-opts several intracellular signal transduction
pathways, foremost being the STAT3 pathway, normally activated by cytokines from
the interleukin-2 (IL-2) family to promote cell proliferation and to inhibit
apoptosis. Many genes and proteins modulated by NPM-ALK are also involved in
evasion of antitumor immune response, protection from hypoxia, angiogenesis, DNA
repair, cell migration and invasiveness, and cell metabolism. In addition, NPM
ALK uses epigenetic silencing mechanisms to downregulate tumor suppressor genes
to maintain its own expression. Importantly, NPM-ALK is capable of transforming
primary human CD4+ T cells into immortalized cell lines indistinguishable from
patient-derived ALK+ ALCL. Preliminary clinical studies indicate that inhibition
of NPM-ALK induces long-lasting complete remissions in a large subset of heavily
pretreated adult patients and the vast majority of children with high-stage ALK+
ALCL. Combining ALK inhibition with other novel therapeutic modalities should
prove even more effective.
PMID- 27879261
TI - Limited linkages of aboveground and belowground phenology: A study in grape.
AB - PREMISE OF THE STUDY: Plant phenology influences resource utilization, carbon
fluxes, and interspecific interactions. Although controls on aboveground
phenology have been studied to some degree, controls on root phenology are
exceptionally poorly understood. METHODS: We used minirhizotrons to examine the
timing of grape root production over 5 yr in Fredonia, New York, USA, in a humid
continental climate; and over 3 yr in Oakville, California, USA, in a
Mediterranean climate. We used data from previous experiments to examine the
relationship of root phenology with aboveground phenology. We compared
interannual variability in root and shoot growth and determined the influence of
abiotic factors on the timing of root initiation, peak root standing crop, peak
root growth rate, and cessation of root growth. KEY RESULTS: Root phenology was
not tightly coupled with aboveground phenological periods. Both sites typically
had one yearly root flush and high interannual variability in root growth. Root
phenology was more variable in California than in New York. In this and other
published studies, interannual variation in root phenology was greater than
variation in aboveground phenology. The three phenological phases of root growth
root initiation, peak root growth, and root cessation-were related to different
suites of abiotic factors. CONCLUSIONS: Root phenology is highly variable among
years. Analysis of potential controlling factors over several years suggest that
belowground phenological phases should be analyzed separately from each other. If
aboveground grape phenology responds differently than belowground phenology to
changes in air temperature, global warming may further uncouple the timing of
aboveground and belowground growth.
PMID- 27879259
TI - Unexpected role for p19INK4d in posttranscriptional regulation of GATA1 and
modulation of human terminal erythropoiesis.
AB - Terminal erythroid differentiation is tightly coordinated with cell-cycle exit,
which is regulated by cyclins, cyclin-dependent kinases, and cyclin-dependent
kinase inhibitors (CDKI), yet their roles in erythropoiesis remain to be fully
defined. We show here that p19INK4d, a member of CDKI family, is abundantly
expressed in erythroblasts and that p19INK4d knockdown delayed erythroid
differentiation, inhibited cell growth, and led to increased apoptosis and
generation of abnormally nucleated late-stage erythroblasts. Unexpectedly,
p19INK4d knockdown did not affect cell cycle. Rather, it led to decreased
expression of GATA1 protein. Importantly, the differentiation and nuclear defects
were rescued by ectopic expression of GATA1. Because the GATA1 protein is
protected by nuclear heat shock protein family (HSP) member HSP70, we examined
the effects of p19INK4d knockdown on HSP70 and found that p19INK4d knockdown led
to decreased expression of HSP70 and its nuclear localization. The reduced levels
of HSP70 are the result of reduced extracellular signal-regulated kinase (ERK)
activation. Further biochemical analysis revealed that p19INK4d directly binds to
Raf kinase inhibitor PEBP1 and that p19INK4d knockdown increased the expression
of PEBP1, which in turn led to reduced ERK activation. Thus we have identified an
unexpected role for p19INK4d via a novel PEBP1-p-ERK-HSP70-GATA1 pathway. These
findings are likely to have implications for improved understanding of disordered
erythropoiesis.
PMID- 27879260
TI - Antibiotics impair murine hematopoiesis by depleting the intestinal microbiota.
AB - Bone marrow suppression is an adverse effect associated with many antibiotics,
especially when administered for prolonged treatment courses. Recent advances in
our understanding of steady-state hematopoiesis have allowed us to explore the
effects of antibiotics on hematopoietic progenitors in detail using a murine
model. Antibiotic-treated mice exhibited anemia, thrombocytosis, and leukopenia,
with pronounced pan-lymphopenia as demonstrated by flow cytometric analysis of
peripheral blood. Bone marrow progenitor analysis revealed depletion of
hematopoietic stem cells and multipotent progenitors across all subtypes.
Granulocytes and B cells were also diminished in the bone marrow, whereas the
number of CD8+ T cells increased. Reductions in progenitor activity were not
observed when cells were directly incubated with antibiotics, suggesting that
these effects are indirect. Hematopoietic changes were associated with a
significant contraction of the fecal microbiome and were partially rescued by
fecal microbiota transfer. Further, mice raised in germ-free conditions had
hematopoietic abnormalities similar to those seen in antibiotic-treated mice, and
antibiotic therapy of germ-free mice caused no additional abnormalities. The
effects of antibiotics were phenocopied in Stat1-deficient mice, with no
additional suppression by antibiotics in these mice. We conclude that microbiome
depletion as a result of broad-spectrum antibiotic treatment disrupts basal Stat1
signaling and alters T-cell homeostasis, leading to impaired progenitor
maintenance and granulocyte maturation. Methods to preserve the microbiome may
reduce the incidence of antibiotic-associated bone marrow suppression.
PMID- 27879262
TI - Influence of biological and social-historical variables on the time taken to
describe an angiosperm.
AB - PREMISE OF THE STUDY: By convention, scientific naming of angiosperm species
began in 1753; it is estimated that 10-20% of species remain undescribed. To
complete this task before rare, undescribed species go extinct, a better
understanding of the description process is needed. The South American Cerrado
biodiversity hotspot was considered a suitable model due to a high diversity of
plants, habitats, and social history of species description. METHODS: A
randomized sample of 214 species (2% of the angiosperm flora) and 22 variables
were analyzed using multivariate analyses and analysis of variance. KEY RESULTS:
Plants with wide global distributions, recorded from many areas, and above 2.6 m
were described significantly earlier than narrowly distributed, uncommon species
of smaller stature. The beginning of the career of the botanist who first
collected the species was highly significant, with an average delay between first
collection and description of 29 yr, and between type collection and description
19 yr; standard deviations were high and rose over time. Over a third of first
collections were not cited in descriptions. Trends such as scientific
specialization and decline of undescribed species were highlighted. Descriptions
that involved potential collaboration between collectors and authors were
significantly slower than those that did not. CONCLUSIONS: Results support four
recommendations to hasten discovery of new species: (1) preferential collecting
of plants below 2.6 m, at least in the Cerrado; (2) access to undetermined
material in herbaria; (3) fieldwork in areas where narrow-endemic species occur;
(4) fieldwork by knowledgeable botanists followed by descriptive activity by the
same.
PMID- 27879264
TI - Differential Regulation of the Melanoma Proteome by eIF4A1 and eIF4E.
AB - Small molecules and antisense oligonucleotides that inhibit the translation
initiation factors eIF4A1 and eIF4E have been explored as broad-based therapeutic
agents for cancer treatment, based on the frequent upregulation of these two
subunits of the eIF4F cap-binding complex in many cancer cells. Here, we provide
support for these therapeutic approaches with mechanistic studies of eIF4F-driven
tumor progression in a preclinical model of melanoma. Silencing eIF4A1 or eIF4E
decreases melanoma proliferation and invasion. There were common effects on the
level of cell-cycle proteins that could explain the antiproliferative effects in
vitro Using clinical specimens, we correlate the common cell-cycle targets of
eIF4A1 and eIF4E with patient survival. Finally, comparative proteomic and
transcriptomic analyses reveal extensive mechanistic divergence in response to
eIF4A1 or eIF4E silencing. Current models indicate that eIF4A1 and eIF4E function
together through the 5'UTR to increase translation of oncogenes. In contrast, our
data demonstrate that the common effects of eIF4A1 and eIF4E on translation are
mediated by the coding region and 3'UTR. Moreover, their divergent effects occur
through the 5'UTR. Overall, our work shows that it will be important to evaluate
subunit-specific inhibitors of eIF4F in different disease contexts to fully
understand their anticancer actions. Cancer Res; 77(3); 613-22. (c)2016 AACR.
PMID- 27879265
TI - IL6 Signaling in Peripheral Blood T Cells Predicts Clinical Outcome in Breast
Cancer.
AB - IL6 is a pleiotropic cytokine with both pro- and anti-inflammatory properties,
which acts directly on cancer cells to promote their survival and proliferation.
Elevated serum IL6 levels negatively correlate with survival of cancer patients,
which is generally attributed to the direct effects of IL6 on cancer cells. How
IL6 modulates the host immune response in cancer patients is unclear. Here, we
show the IL6 signaling response in peripheral blood T cells is impaired in breast
cancer patients and is associated with blunted Th17 differentiation. The
mechanism identified involved downregulation of gp130 and IL6Ralpha in breast
cancer patients and was independent of plasma IL6 levels. Importantly, defective
IL6 signaling in peripheral blood T cells at diagnosis correlated with worse
relapse-free survival. These results indicate that intact IL6 signaling in T
cells is important for controlling cancer progression. Furthermore, they
highlight a potential for IL6 signaling response in peripheral blood T cells at
diagnosis as a predictive biomarker for clinical outcome of breast cancer
patients. Cancer Res; 77(5); 1119-26. (c)2016 AACR.
PMID- 27879266
TI - Threshold Analysis and Biodistribution of Fluorescently Labeled Bevacizumab in
Human Breast Cancer.
AB - In vivo tumor labeling with fluorescent agents may assist endoscopic and surgical
guidance for cancer therapy as well as create opportunities to directly observe
cancer biology in patients. However, malignant and nonmalignant tissues are
usually distinguished on fluorescence images by applying empirically determined
fluorescence intensity thresholds. Here, we report the development of fSTREAM, a
set of analytic methods designed to streamline the analysis of surgically excised
breast tissues by collecting and statistically processing hybrid multiscale
fluorescence, color, and histology readouts toward precision fluorescence
imaging. fSTREAM addresses core questions of how to relate fluorescence intensity
to tumor tissue and how to quantitatively assign a normalized threshold that
sufficiently differentiates tumor tissue from healthy tissue. Using fSTREAM we
assessed human breast tumors stained in vivo with fluorescent bevacizumab at
microdose levels. Showing that detection of such levels is achievable, we
validated fSTREAM for high-resolution mapping of the spatial pattern of labeled
antibody and its relation to the underlying cancer pathophysiology and tumor
border on a per patient basis. We demonstrated a 98% sensitivity and 79%
specificity when using labeled bevacizumab to outline the tumor mass. Overall,
our results illustrate a quantitative approach to relate fluorescence signals to
malignant tissues and improve the theranostic application of fluorescence
molecular imaging. Cancer Res; 77(3); 623-31. (c)2016 AACR.
PMID- 27879267
TI - Pifithrin-MU Prevents Cisplatin-Induced Chemobrain by Preserving Neuronal
Mitochondrial Function.
AB - Cognitive impairment, termed chemobrain, is a common neurotoxicity associated
with chemotherapy treatment, affecting an estimated 78% of patients. Prompted by
the hypothesis that neuronal mitochondrial dysfunction underlies chemotherapy
induced cognitive impairment (CICI), we explored the efficacy of administering
the small-molecule pifithrin (PFT)-MU, an inhibitor of mitochondrial p53
accumulation, in preventing CICI. Male C57BL/6J mice injected with cisplatin +/-
PFT-MU for two 5-day cycles were assessed for cognitive function using novel
object/place recognition and alternation in a Y-maze. Cisplatin impaired
performance in the novel object/place recognition and Y-maze tests. PFT-MU
treatment prevented CICI and associated cisplatin-induced changes in coherency of
myelin basic protein fibers in the cingular cortex and loss of doublecortin+
cells in the subventricular zone and hippocampal dentate gyrus. Mechanistically,
cisplatin decreased spare respirator capacity of brain synaptosomes and caused
abnormal mitochondrial morphology, which was counteracted by PFT-MU
administration. Notably, increased mitochondrial p53 did not lead to cerebral
caspase-3 activation or cytochrome-c release. Furthermore, PFT-MU administration
did not impair the anticancer efficacy of cisplatin and radiotherapy in tumor
bearing mice. Our results supported the hypothesis that neuronal mitochondrial
dysfunction induced by mitochondrial p53 accumulation is an underlying cause of
CICI and that PFT-MU may offer a tractable therapeutic strategy to limit this
common side-effect of many types of chemotherapy. Cancer Res; 77(3); 742-52.
(c)2016 AACR.
PMID- 27879269
TI - Basophils Promote Tumor Rejection via Chemotaxis and Infiltration of CD8+ T
Cells.
AB - Elevated numbers of regulatory T cells (Treg) in patient tumors are known to
inhibit efficient antitumor T-cell responses. To study the mechanisms controlling
tumor rejection, we assessed different mouse models for Treg depletion. In
Foxp3DTR knock-in mice, about 99% Treg depletion was achieved, resulting in
complete rejection of transplanted HCmel12 melanomas in a CD8+ T-cell-dependent
way. In contrast, about 90% Treg depletion obtained in BAC transgenic
Foxp3.LuciDTR4 mice failed to induce complete rejection of HCmel12 melanomas,
demonstrating that residual Tregs were able to control CD8+ T-cell responses
against the tumor. Ninety-nine percent of Treg depletion provoked drastic changes
in the tumor microenvironment, such as strong infiltration of CD8+ T cells and
basophils. Intratumoral basophils enhanced CD8+ T-cell infiltration via
production of chemokines CCL3 and CCL4; antibody-based blocking of these
chemokines inhibited CD8+ T-cell infiltration. Therapeutic induction of
basophilia by IL3/anti-IL3 antibody complexes, combined with transfer of CD8+ T
cells, resulted in enhanced T-cell infiltration and tumor rejection. Our study
identifies a critical role basophils play in tumor rejection and that this role
can be exploited for therapeutic intervention. Cancer Res; 77(2); 291-302.
(c)2016 AACR.
PMID- 27879268
TI - Transcriptional Selectivity of Epigenetic Therapy in Cancer.
AB - A central challenge in the development of epigenetic cancer therapy is the
ability to direct selectivity in modulating gene expression for disease-selective
efficacy. To address this issue, we characterized by RNA-seq, DNA methylation,
and ChIP-seq analyses the epigenetic response of a set of colon, breast, and
leukemia cancer cell lines to small-molecule inhibitors against DNA
methyltransferases (DAC), histone deacetylases (Depsi), histone demethylases
(KDM1A inhibitor S2101), and histone methylases (EHMT2 inhibitor UNC0638 and EZH2
inhibitor GSK343). We also characterized the effects of DAC as combined with the
other compounds. Averaged over the cancer cell models used, we found that DAC
affected 8.6% of the transcriptome and that 95.4% of the genes affected were
upregulated. DAC preferentially regulated genes that were silenced in cancer and
that were methylated at their promoters. In contrast, Depsi affected the
expression of 30.4% of the transcriptome but showed little selectivity for gene
upregulation or silenced genes. S2101, UNC0638, and GSK343 affected only 2% of
the transcriptome, with UNC0638 and GSK343 preferentially targeting genes marked
with H3K9me2 or H3K27me3, respectively. When combined with histone methylase
inhibitors, the extent of gene upregulation by DAC was extended while still
maintaining selectivity for DNA-methylated genes and silenced genes. However, the
genes upregulated by combination treatment exhibited limited overlap, indicating
the possibility of targeting distinct sets of genes based on different epigenetic
therapy combinations. Overall, our results demonstrated that DNA
methyltransferase inhibitors preferentially target cancer-relevant genes and can
be combined with inhibitors targeting histone methylation for synergistic effects
while still maintaining selectivity. Cancer Res; 77(2); 470-81. (c)2016 AACR.
PMID- 27879271
TI - Vitamin D3 Prevents Calcium-Induced Progression of Early-Stage Prostate Tumors by
Counteracting TRPC6 and Calcium Sensing Receptor Upregulation.
AB - Active surveillance has emerged as an alternative to immediate treatment for men
with low-risk prostate cancer. Accordingly, identification of environmental
factors that facilitate progression to more aggressive stages is critical for
disease prevention. Although calcium-enriched diets have been speculated to
increase prostate cancer risk, their impact on early-stage tumors remains
unexplored. In this study, we addressed this issue with a large interventional
animal study. Mouse models of fully penetrant and slowly evolving prostate
tumorigenesis showed that a high calcium diet dramatically accelerated the
progression of prostate intraepithelial neoplasia, by promoting cell
proliferation, micro-invasion, tissue inflammation, and expression of
acknowledged prostate cancer markers. Strikingly, dietary vitamin D prevented
these calcium-triggered tumorigenic effects. Expression profiling and in vitro
mechanistic studies showed that stimulation of PC-3 cells with extracellular Ca2+
resulted in an increase in cell proliferation rate, store-operated calcium entry
(SOCE) amplitude, cationic channel TRPC6, and calcium sensing receptor (CaSR)
expression. Notably, administration of the active vitamin D metabolite calcitriol
reversed all these effects. Silencing CaSR or TRPC6 expression in calcium
stimulated PC3 cells decreased cell proliferation and SOCE. Overall, our results
demonstrate the protective effects of vitamin D supplementation in blocking the
progression of early-stage prostate lesions induced by a calcium-rich diet.
Cancer Res; 77(2); 355-65. (c)2016 AACR.
PMID- 27879270
TI - Breast Cancer Resistance to Antiestrogens Is Enhanced by Increased ER Degradation
and ERBB2 Expression.
AB - Endocrine therapies effectively improve the outcomes of patients with estrogen
receptor (ER)-positive breast cancer. However, the emergence of drug-resistant
tumors creates a core clinical challenge. In breast cancer cells rendered
resistant to the antiestrogen fulvestrant, we defined causative mechanistic roles
for the transcription factor YBX1 and the levels of ER and the ERBB2 receptor.
Enforced expression of YBX1 in parental cells conferred resistance against
tamoxifen and fulvestrant in vitro and in vivo Furthermore, YBX1 overexpression
was associated with decreased and increased levels of ER and ERBB2 expression,
respectively. In antiestrogen-resistant cells, increased YBX1 phosphorylation was
associated with a 4-fold higher degradation rate of ER. Notably, YBX1 bound the
ER, leading to its accelerated proteasomal degradation, and induced the
transcriptional activation of ERBB2. In parallel fashion, tamoxifen treatment
also augmented YBX1 binding to the ERBB2 promoter to induce increased ERBB2
expression. Together, these findings define a mechanism of drug resistance
through which YBX1 contributes to antiestrogen bypass in breast cancer cells.
Cancer Res; 77(2); 545-56. (c)2016 AACR.
PMID- 27879272
TI - Quantification of Pathway Cross-talk Reveals Novel Synergistic Drug Combinations
for Breast Cancer.
AB - Combinatorial therapeutic approaches are an imperative to improve cancer
treatment, because it is critical to impede compensatory signaling mechanisms
that can engender drug resistance to individual targeted drugs. Currently
approved drug combinations result largely from empirical clinical experience and
cover only a small fraction of a vast therapeutic space. Here we present a
computational network biology approach, based on pathway cross-talk inhibition,
to discover new synergistic drug combinations for breast cancer treatment. In
silico analysis identified 390 novel anticancer drug pairs belonging to 10 drug
classes that are likely to diminish pathway cross-talk and display synergistic
antitumor effects. Ten novel drug combinations were validated experimentally, and
seven of these exhibited synergy in human breast cancer cell lines. In
particular, we found that one novel combination, pairing the estrogen response
modifier raloxifene with the c-Met/VEGFR2 kinase inhibitor cabozantinib,
dramatically potentiated the drugs' individual antitumor effects in a mouse model
of breast cancer. When compared with high-throughput combinatorial studies
without computational prioritization, our approach offers a significant advance
capable of uncovering broad-spectrum utility across many cancer types. Cancer
Res; 77(2); 459-69. (c)2016 AACR.
PMID- 27879273
TI - Seeing and Sampling the Surface of the Atherosclerotic Plaque: Red or White Can
Make Blue.
PMID- 27879274
TI - Cortactin in Atherosclerosis: Just Say NO.
PMID- 27879276
TI - Novel Therapeutic Targets of Pulmonary Hypertension.
PMID- 27879275
TI - Von Willebrand Factor and ADAMTS13: Too Much or Too Little of a Good Thing?
PMID- 27879278
TI - Formation of Functional Conduction Block During the Onset of Reentrant
Ventricular Tachycardia.
PMID- 27879277
TI - Eukaryotic translation initiation factor 5A2 promotes metabolic reprogramming in
hepatocellular carcinoma cells.
AB - Reprogramming of intracellular metabolism is common in liver cancer cells.
Understanding the mechanisms of cell metabolic reprogramming may present a new
basis for liver cancer treatment. In our previous study, we reported that a novel
oncogene eukaryotic translation initiation factor 5A2 (EIF5A2) promotes
tumorigenesis under hypoxic condition. Here, we aim to investigate the role of
EIF5A2 in cell metabolic reprogramming during hepatocellular carcinoma (HCC)
development. In this study, we reported that the messenger RNA (mRNA) level of
EIF5A2 was upregulated in 59 of 105 (56.2%) HCC clinical samples (P = 0.015), and
EIF5A2 overexpression was significantly associated with shorter survival time of
patients with HCC (P = 0.021). Ectopic expression of EIF5A2 in HCC cell lines
significantly promoted cell growth and accelerated glucose utilization and
lipogenesis rates. The high rates of glucose uptake and lactate secretion
conferred by EIF5A2 revealed an abnormal activity of aerobic glycolysis in HCC
cells. Several key enzymes involved in glycolysis including glucose transporter
type 1 and 2, hexokinase 2, phosphofructokinase liver type, glyceraldehyde 3
phosphate dehydrogenase, pyruvate kinase M2 isoform, phosphoglycerate mutase 1
and lactate dehydrogenase A were upregulated by overexpression of EIF5A2.
Moreover, EIF5A2 showed positive correlations with FASN and ACSS2, two key
enzymes involved in the fatty acid de novo biosynthetic pathway, at both protein
and mRNA levels in HCC. These results indicated that EIF5A2 may regulate fatty
acid de novo biosynthesis by increasing the uptake of acetate. In conclusion, our
findings demonstrate that EIF5A2 has a critical role in HCC cell metabolic
reprogramming and may serve as a prominent novel therapeutic target for liver
cancer treatment.
PMID- 27879279
TI - Heart Rate Turbulence Is a Powerful Predictor of Cardiac Death and Ventricular
Arrhythmias in Postmyocardial Infarction and Heart Failure Patients: A Systematic
Review and Meta-Analysis.
AB - BACKGROUND: Heart rate turbulence (HRT) has been proposed as a candidate marker
of altered autonomic tone, and some studies showed its prognostic value for both
cardiac death (CD) and sudden death. Nevertheless, HRT is not currently used in
the clinical practice. METHODS AND RESULTS: We performed a systematic review and
meta-analysis of the predictive value of HRT for the end points of total
mortality, CD, and fatal and nonfatal ventricular arrhythmias in postacute
myocardial infarction and heart failure patients. MEDLINE and The Cochrane
Library databases were systematically searched to identify studies, which
analyzed the predictive value of abnormal HRT for the defined end points. Twenty
studies (25 cohorts: 12 832 patients) were identified by the systematic review,
and 15 studies (20 cohorts: 11 499 patients) were included in the meta-analyses.
Abnormal HRT was a predictive marker for all the end points in heart failure
patients and more markedly in postacute myocardial infarction patients, where 9
out of the 10 cohorts had an ejection fraction >30%. In postacute myocardial
infarction patients, HRT had pooled risk ratios of 3.53 (95% confidence interval
[CI], 2.54-4.90), 4.82 (95% CI, 3.12-7.45), and 4.48 (95% CI, 3.04-6.60), and
positive likelihood ratios of 3.5 (95% CI, 2.6-4.8), 4.1 (95% CI, 3.0-5.7), and
2.7 (95% CI, 2.2-3.3) for total mortality, CD, and arrhythmic events,
respectively. The combination of abnormal HRT and T-wave alternans (5 cohorts:
1516 patients) increased the predictive power for CD and arrhythmic events.
CONCLUSIONS: HRT is a powerful predictor of both CD and arrhythmic events,
particularly in postacute myocardial infarction patients with ejection fraction
>30%. HRT power increases in combination with T-wave alternans analysis.
PMID- 27879281
TI - Retraction.
PMID- 27879280
TI - Fifteen-minute consultation: the complexities of empirical antibiotic selection
for serious bacterial infections-a practical approach.
AB - Potentially life-threatening infections require immediate antibiotic therapy.
Most early stage antibiotic treatment for these infections is empirical, that is,
covering a range of possible target bacteria while awaiting culture results.
Empirical antibiotic regimens need to reflect the epidemiology of most likely
causative bacteria, type of infection and patient risk factors. Summary data from
relevant isolates in similar patients help to identify appropriate empirical
regimens. At present, such data are mostly presented as hospital or other
aggregate antibiograms, showing antimicrobial susceptibility testing results by
bacterial species. However, a more suitable method is to calculate weighted
incidence syndromic combination antibiograms for different types of infections
and regimens, allowing head-to-head comparisons of empirical regimens. Once there
is confirmatory or negative microbiological evidence of infection, empirical
regimens should be adapted to the identified bacterial species and
susceptibilities or discontinued.
PMID- 27879282
TI - Sex-Related Discordance Between Aortic Valve Calcification and Hemodynamic
Severity of Aortic Stenosis: Is Valvular Fibrosis the Explanation?
AB - RATIONALE: Calcific aortic stenosis (AS) is characterized by calcium deposition
in valve leaflets. However, women present lower aortic valve calcification loads
than men for the same AS hemodynamic severity. OBJECTIVE: We, thus, aimed to
assess sex differences in aortic valve fibrocalcific remodeling. METHODS AND
RESULTS: One hundred and twenty-five patients underwent Doppler echocardiography
and multidetector computed tomography within 3 months before aortic valve
replacement. Explanted stenotic tricuspid aortic valves were weighed, and
fibrosis degree was determined. Sixty-four men and 39 women were frequency
matched for age, body mass index, hypertension, renal disease, diabetes mellitus,
and AS severity. Mean age (75+/-9 years), mean gradient (41+/-18 mm Hg), and
indexed aortic valve area (0.41+/-0.12 cm2/m2) were similar between men and women
(all P>=0.18). Median aortic valve calcification (1973 [1124-3490] Agatston
units) and mean valve weight (2.36+/-0.99 g) were lower in women compared with
men (both P<0.0001). Aortic valve calcification density correlated better with
valve weight in men (r2=0.57; P<0.0001) than in women (r2=0.26; P=0.0008). After
adjustment for age, body mass index, aortic valve calcification density, and
aortic annulus diameter, female sex was an independent risk factor for higher
fibrosis score in AS valves (P=0.003). Picrosirius red staining of explanted
valves showed greater amount of collagen fibers (P=0.01), and Masson trichrome
staining revealed a greater proportion of dense connective tissue (P=0.02) in
women compared with men. CONCLUSIONS: In this series of patients with tricuspid
aortic valve and similar AS severity, women have less valvular calcification but
more fibrosis compared with men. These findings suggest that the pathophysiology
of AS and thus potential targets for drug development may be different according
to sex.
PMID- 27879283
TI - Infant Videofluoroscopic Swallow Study Testing, Swallowing Interventions, and
Future Acute Respiratory Illness.
AB - OBJECTIVES: Tube feedings are commonly prescribed to infants with swallowing
abnormalities detected by videofluoroscopic swallow study (VFSS), but there are
no studies demonstrating efficacy of these interventions to reduce risk of acute
respiratory illness (ARI). We sought to measure the association between
swallowing interventions and future ARI, among VFSS-tested infants. METHODS:
Retrospective cohort of all infants (<12 months) tested with VFSS at a children's
hospital between January 1, 2010, and January 1, 2012. Hospital ARI encounters
(emergency, observation, or inpatient status) in a 22-hospital integrated health
care delivery system, between the first VFSS and age 3 years, were measured. VFSS
results were grouped by normal, intermediate, and oropharyngeal aspiration (OPA),
with OPA further subdivided by silent versus cough and thin versus thick liquid
OPA. Cox regression modeled the association between swallowing interventions
(thickened or nasal tube feedings) and ARI, accounting for changes in swallowing
and interventions over time. RESULTS: 576 infants were tested with a VFSS in
their first year of life, receiving a total of 1051 VFSSs in their first 3 years
of life. More than 60% of infants received a measured feeding intervention. With
the exception of infants with silent OPA who received thickened feedings, neither
thickening nor nasal tube feedings, compared with no intervention, were
associated with a decreased risk of subsequent ARI. CONCLUSIONS: Swallowing
interventions and repeated testing are common among VFSS-tested infants. However,
the importance of diagnosing and intervening on VFSS-detected swallowing
abnormalities for the majority of tested infants remains unclear.
PMID- 27879285
TI - Telephone delivery of interpersonal psychotherapy by certified nurse-midwives may
help reduce symptoms of postpartum depression.
PMID- 27879284
TI - Seipin regulates ER-lipid droplet contacts and cargo delivery.
AB - Seipin is an endoplasmic reticulum (ER) membrane protein implicated in lipid
droplet (LD) biogenesis and mutated in severe congenital lipodystrophy (BSCL2).
Here, we show that seipin is stably associated with nascent ER-LD contacts in
human cells, typically via one mobile focal point per LD Seipin appears critical
for such contacts since ER-LD contacts were completely missing or morphologically
aberrant in seipin knockout and BSCL2 patient cells. In parallel, LD mobility was
increased and protein delivery from the ER to LDs to promote LD growth was
decreased. Moreover, while growing LDs normally acquire lipid and protein
constituents from the ER, this process was compromised in seipin-deficient cells.
In the absence of seipin, the initial synthesis of neutral lipids from exogenous
fatty acid was normal, but fatty acid incorporation into neutral lipids in cells
with pre-existing LDs was impaired. Together, our data suggest that seipin helps
to connect newly formed LDs to the ER and that by stabilizing ER-LD contacts
seipin facilitates the incorporation of protein and lipid cargo into growing LDs
in human cells.
PMID- 27879286
TI - Staffing and nurse-perceived quality of care.
PMID- 27879287
TI - Tissue-specific programming of memory CD8 T cell subsets impacts protection
against lethal respiratory virus infection.
AB - How tissue-specific anatomical distribution and phenotypic specialization are
linked to protective efficacy of memory T cells against reinfection is unclear.
Here, we show that lung environmental cues program recently recruited central
like memory cells with migratory potentials for their tissue-specific functions
during lethal respiratory virus infection. After entering the lung, some central
like cells retain their original CD27hiCXCR3hi phenotype, enabling them to
localize near the infected bronchiolar epithelium and airway lumen to function as
the first line of defense against pathogen encounter. Others, in response to
local cytokine triggers, undergo a secondary program of differentiation that
leads to the loss of CXCR3, migration arrest, and clustering within
peribronchoarterial areas and in interalveolar septa. Here, the immune system
adapts its response to prevent systemic viral dissemination and mortality. These
results reveal the striking and unexpected spatial organization of central-
versus effector-like memory cells within the lung and how cooperation between
these two subsets contributes to host defense.
PMID- 27879289
TI - Remote multifocal bleeding points producing a Sylvian subpial hematoma during
endovascular coiling of an acutely ruptured cerebral aneurysm.
AB - Subpial hematoma is a rare type of intracranial hemorrhage with a poor prognosis.
A new mechanism to explain subpial Sylvian hematoma formation in acute post
aneurysmal subarachnoid hemorrhage (SAH) was observed during coiling of a
posterior communicating artery aneurysm. Multiple small bleeding points from pial
branches of the left middle cerebral artery, which were remote from the ruptured
aneurysm, were observed on conventional angiography. This bleeding led to the
formation of a large expanding subpial Sylvian hematoma. Similar observations
have been recently demonstrated on 4D CT angiography (4D CTA). We present a case
that adds evidence to the literature in support of a new mechanism of subpial
hematoma formation in the setting of acute SAH. This may advocate the early use
of 4D CTA and conveys a poor prognosis, which might influence treatment
decisions.
PMID- 27879290
TI - The Moderating Influences of Retirement Transition, Age, and Gender on Daily
Stressors and Psychological Distress.
AB - This study investigated the influences of retirement transition, age, and gender
on aspects of daily experiences in adults (aged 50-75 years) who stayed working (
n = 138) and who transitioned into retirement ( n = 72). Data derived from the
first and second waves of the Daily Diary Study of the National Survey of Midlife
in the United States. Participants completed telephone interviews about their
experiences across eight consecutive days. Findings showed a significant
interaction effect of retirement transition and age on daily stressors. Gender
did not significantly moderate the associations between retirement transition and
daily experiences. These findings suggest that retirement transition must be
considered in the context of life course influences, especially age, to better
determine the quality of daily experiences of midlife and older adults, and these
life course influences should be considered in programs and services aimed to
help adults navigate the retirement experiences.
PMID- 27879291
TI - In a challenging environment, intelligent use of surveillance data can help guide
sexual health commissioners' choices to maximise public health benefit.
PMID- 27879288
TI - Multi-omics Analysis of Serum Samples Demonstrates Reprogramming of Organ
Functions Via Systemic Calcium Mobilization and Platelet Activation in Metastatic
Melanoma.
AB - Pathophysiologies of cancer-associated syndromes such as cachexia are poorly
understood and no routine biomarkers have been established, yet. Using shotgun
proteomics, known marker molecules including PMEL, CRP, SAA, and CSPG4 were found
deregulated in patients with metastatic melanoma. Targeted analysis of 58
selected proteins with multiple reaction monitoring was applied for independent
data verification. In three patients, two of which suffered from cachexia, a
tissue damage signature was determined, consisting of nine proteins, PLTP, CD14,
TIMP1, S10A8, S10A9, GP1BA, PTPRJ, CD44, and C4A, as well as increased levels of
glycine and asparagine, and decreased levels of polyunsaturated
phosphatidylcholine concentrations, as determined by targeted metabolomics.
Remarkably, these molecules are known to be involved in key processes of cancer
cachexia. Based on these results, we propose a model how metastatic melanoma may
lead to reprogramming of organ functions via formation of platelet activating
factors from long-chain polyunsaturated phosphatidylcholines under oxidative
conditions and via systemic induction of intracellular calcium mobilization.
Calcium mobilization in platelets was demonstrated to alter levels of several of
these marker molecules. Additionally, platelets from melanoma patients proved to
be in a rather exhausted state, and platelet-derived eicosanoids implicated in
tumor growth were found massively increased in blood from three melanoma
patients. Platelets were thus identified as important source of serum protein and
lipid alterations in late stage melanoma patients. As a result, the proposed
model describes the crosstalk between lipolysis of fat tissue and muscle wasting
mediated by oxidative stress, resulting in the metabolic deregulations
characteristic for cachexia.
PMID- 27879292
TI - Autonomy, age and sterilisation requests.
AB - Sterilisation requests made by young, child-free adults are frequently denied by
doctors, despite sterilisation being legally available to individuals over the
age of 18. A commonly given reason for denied requests is that the patient will
later regret their decision. In this paper, I examine whether the possibility of
future regret is a good reason for denying a sterilisation request. I argue that
it is not and hence that decision-competent adults who have no desire to have
children should have their requests approved. It is a condition of being
recognised as autonomous that a person ought to be permitted to make decisions
that they might later regret, provided that their decision is justified at the
time that it is made. There is also evidence to suggest that sterilisation
requests made by men are more likely to be approved than requests made by women,
even when age and number of children are factored in. This may indicate that
attitudes towards sterilisation are influenced by gender discourses that define
women in terms of reproduction and mothering. If this is the case, then it is
unjustified and should be addressed. There is no good reason to judge people's
sterilisation requests differently in virtue of their gender.
PMID- 27879293
TI - Living with the animals: animal or robotic companions for the elderly in smart
homes?
AB - Although the use of pet robots in senior living facilities and day-care centres,
particularly for individuals suffering from dementia, has been intensively
researched, the question of introducing pet robots into domestic settings has
been relatively neglected. Ambient assisted living (AAL) offers many interface
opportunities for integrating motorised companions. There are diverse medical
reasons, as well as arguments from animal ethics, that support the use of pet
robots in contrast to living with live animals. However, as this paper makes
clear, we should not lose sight of the option of living with animals at home for
as long as possible and in conformity with the welfare of the animal assisted by
AAL technology.
PMID- 27879294
TI - CXCR2 is involved in pulmonary intravascular macrophage accumulation and
angiogenesis in a rat model of hepatopulmonary syndrome.
AB - Hepatopulmonary syndrome (HPS) is a lung complication in various liver diseases,
with high incidence, poor prognosis and no effective non-surgical treatments in
patients with hepatocirrhosis. Therefore, assessing HPS pathogenesis to explore
proper therapy strategies is clinically relevant. In the present study, male
Sprague-Dawley rats underwent sham operation or common bile duct ligation (CBDL).
Two weeks post-surgery, the following groups were set up for 2 weeks of
treatment: sham + normal saline, CBDL + CXCR2 antagonist SB225002, CBDL + tumour
necrosis factor alpha (TNF-alpha) antagonist PTX and CBDL + normal saline groups.
Liver and lung tissues were collected after mean arterial pressure (MAP) and
portal venous pressure (PVP) measurements. Haematoxylin and eosin (H&E) staining
(lung) and Masson staining (liver) were performed for pathological analyses.
Finally, pulmonary tissue RNA and total protein were assessed for target
effectors. The mRNA and protein levels of CXCR2 were significantly increased in
the pulmonary tissue of CBDL rats. What's more, CXCR2 inhibition by SB225002
reduced the expression of CD68 and von Willebrand factor (vWf) in CBDL rats.
Importantly, CXCR2 inhibition suppressed the activation of Akt and extracellular
signal-regulated kinase (ERK) in CBDL rats. Antagonization of TNF-alpha with PTX
down-regulated the expression of CXCR2. During HPS pathogenesis in rats, CXCR2
might be involved in the accumulation of pulmonary intravascular macrophages and
angiogenesis, possibly by activating Akt and ERK, with additional regulation by
TNF-alpha that enhanced pulmonary angiogenesis by directly acting on the
pulmonary tissue. Finally, the present study may provide novel targets for the
treatment of HPS.
PMID- 27879295
TI - Evaluating Coincident Relationships Between Obesity Incidence and Normal Weight
Incidence From Birth Through Kindergarten for US Children.
AB - PURPOSE: We examine the concurrent relationship between obesity incidence and
normal weight status incidence and prevalence in children between 9 months and
kindergarten. DESIGN: Multistage, probability sample from the Early Childhood
Longitudinal Study-Birth cohort. SETTING: United States. PARTICIPANTS:
Representative sample of US preschool children (n = 9950) followed from birth
through kindergarten. MEASURES: From direct, anthropometric measures, we reported
prevalence and incidence rates across 4 follow-up periods. ANALYSIS: In addition
to prevalence and incidence rates, we reported risk ratios based on multiple
definitions and estimated predicted probabilities of obesity and normal weight
status using clinically meaningful body mass index (BMI)-for-age percentiles.
RESULTS: Obesity prevalence (13%-20%) was much smaller than normal weight status
prevalence (66%-70%). Lower socioeconomic status, Hispanic, and non-Hispanic
black children had greater risk of obesity. During 9 months to kindergarten,
obesity incidence decreased two-thirds (15.6%), while normal weight status
incidence decreased almost one-half (44.6%). Coincidently, normal weight status
incidence (ranged from 23% to 45%) was consistently and substantially higher than
obesity incidence (ranged from 5% to 15%). During 4 years to kindergarten, the
obesity risk for overweight children was 13 times higher than that for normal
weight status children. CONCLUSION: Overall rates of obese and normal weight
incidence were substantial at 9 months, trended lower, but remained high through
kindergarten. At 4 years to kindergarten, children with relatively high initial
BMI were very likely to become obese but far less likely to achieve normal weight
status.
PMID- 27879296
TI - Elevated Modified Shock Index Within 24 Hours of ICU Admission Is an Early
Indicator of Mortality in the Critically Ill.
AB - PURPOSE: To assess whether exposure to modified shock index (MSI) in the first 24
hours of intensive care unit (ICU) admission is associated with increased in
hospital mortality. METHODS: Adult critically ill patients were included in a
case-control design with 1:2 matching. Cases (death) and controls (alive) were
abstracted by a reviewer blinded to exposure status (MSI). Cases were matched to
controls on 3 factors-age, end-stage renal disease, and ICU admission diagnosis.
RESULTS: Eighty-three cases and 159 controls were included. On univariate
analysis, lorazepam administration (odds ratio [OR]: 5.75, confidence interval
[CI] = 2.28-14.47; P <= .01), shock requiring vasopressors (OR: 3.62, CI = 1.77
7.40; P <= .01), maximum MSI (OR: 2.77 per unit, CI = 1.63-4.71; P <= .001), and
elevated acute physiologic and chronic health evaluation (APACHE) III score at 1
hour (OR: 1.41 per 10 units, CI = 1.19-1.66; P <= .001) were associated with
mortality. Maximum MSI (OR: 1.93 per unit, CI = 1.07-3.48, P = .03) and APACHE
III score at 1 hour (OR: 1.29 per 10 units, CI = 1.09-1.53; P = .003) remained
significant with mortality in the multivariate analysis. The optimal cutoff point
for high MSI and mortality was 1.8. CONCLUSION: Critically ill patients who
demonstrate an elevated MSI within the first 24 hours of ICU admission have a
significant mortality risk. Given that MSI is easily calculated at the bedside,
clinicians may institute interventions earlier which could improve survival.
PMID- 27879297
TI - Addressing the Mental Health Needs of Latino Children in Immigrant Families.
AB - Latino children in the United States, whether immigrants themselves or children
in immigrant families, are at high risk for mental health disorders stemming from
poverty, exposure to trauma, assimilation stressors, and discrimination. The
timely identification and treatment of mental health disorders in Latino children
are compromised by limited healthcare access and quality as well as the lack of
routine mental health screening in pediatric primary care. Here we review Spanish
language validity and implementation studies of Bright Futures previsit mental
health screening tools and models of care. We identify strengths and weaknesses
in the literature and suggest tools for use in mental health care assessment,
management, and treatment for Latino children in pediatric primary care.
Pediatricians can improve care of Latino children through awareness of risk
factors for mental health disorders, integration of evidence-based screening
tools, and advocacy for culturally tailored mental health resources.
PMID- 27879298
TI - Withdrawals and Retirements in Professional Tennis Players.
AB - BACKGROUND: Injuries and illnesses for professional tennis athletes disrupt
training, competition, and progression in the sport and represent a major reason
for athlete withdrawal or retirement from a tournament. Few descriptive
epidemiological studies have focused on these trends in elite tennis athletes.
PURPOSE: To examine the causes of professional tennis player withdrawal or
retirement from United States Tennis Association (USTA) Pro Circuit tournaments
during 2013. STUDY DESIGN: Descriptive epidemiology study. LEVEL OF EVIDENCE:
Level 4. METHODS: Tournament records from the 2013 USTA Pro Circuit season were
retrospectively reviewed for incidences of injury and illness that resulted in
athlete withdrawal from the tournament. Data were reported as incidence rates per
1000 match exposures and rate ratios. RESULTS: There were 70 medical conditions
over the course of 27 competitions (20,988 match exposures), for an overall
incidence rate of 3.34 per 1000 match exposures. Women were more likely to injure
themselves on clay courts compared with hard courts (rate ratio, 4.67; 95%
confidence interval [CI], 1.41-19.85) and in the first half of the season
compared with the second half (rate ratio, 3.95; 95% CI, 1.13-21.17). Men had a
higher injury rate than women (rate ratio, 1.88; 95% CI, 1.17-3.63), and muscle
/tendon-related injuries were 6 times more likely than all other injuries (95%
CI, 2.81-14.69). CONCLUSION: Women were more likely to experience an injury when
playing on clay court surfaces, and they also experienced more injuries during
the first half of the season. Injury rates for men often peaked during the months
that players could qualify for Grand Slam competitions. There was a predominance
of injuries in men compared with women.
PMID- 27879300
TI - Bladder explosion, a rare complication following transurethral resection of the
prostate.
AB - We present a man aged 69 years who suffered from bladder explosion during
transurethral resection of the prostate. Exploratory laparotomy was performed and
the bladder was primarily repaired with running sutures. This patient was
discharged without symptoms of urinary dysfunction on day 8 after operation. We
provide prevention methods that can decrease the risk of this important
complication.
PMID- 27879299
TI - Return to Golf After Lumbar Fusion.
AB - BACKGROUND: Spinal fusion surgery is being increasingly performed, yet few
studies have focused on return to recreational sports after lumbar fusion and
none have specifically analyzed return to golf. HYPOTHESIS: Most golfers
successfully return to sport after lumbar fusion surgery. STUDY DESIGN: Case
series. LEVEL OF EVIDENCE: Level 4. METHODS: All patients who underwent 1- or 2
level primary lumbar fusion surgery for degenerative pathologies performed by a
single surgeon between January 2008 and October 2012 and had at least 1-year
follow-up were included. Patients completed a specifically designed golf survey.
Surveys were mailed, given during follow-up clinic, or answered during telephone
contact. RESULTS: A total of 353 patients met the inclusion and exclusion
criteria, with 200 responses (57%) to the questionnaire producing 34 golfers. The
average age of golfers was 57 years (range, 32-79 years). In 79% of golfers,
preoperative back and/or leg pain significantly affected their ability to play
golf. Within 1 year from surgery, 65% of patients returned to practice and 52%
returned to course play. Only 29% of patients stated that continued back/leg pain
limited their play. Twenty-five patients (77%) were able to play the same amount
of golf or more than before fusion surgery. Of those providing handicaps, 12
(80%) reported the same or an improved handicap. CONCLUSION: More than 50% of
golfers return to on-course play within 1 year of lumbar fusion surgery. The
majority of golfers can return to preoperative levels in terms of performance
(handicap) and frequency of play. CLINICAL RELEVANCE: This investigation offers
insight into when golfers return to sport after lumbar fusion surgery and
provides surgeons with information to set realistic expectations postoperatively.
PMID- 27879301
TI - Primary lymphoma of the uterine cervix: a rare constellation of symptoms.
PMID- 27879302
TI - Neonatal osteomyelitis of the first rib causing lung abscess.
AB - Rib osteomyelitis (OM) is a rare disease that occurs in ~1% or less of all
haematogenous OM. Acute OM of the rib has rarely been reported and its treatment
is difficult. Prompt recognition and aggressive treatment will reduce mortality
and morbidity in a new born.
PMID- 27879303
TI - Smooth muscle tumour of uncertain malignant potential (SMTUMP) in the nasal
cavity: an incidental finding.
AB - Sino-nasal smooth muscle tumours of uncertain malignant potential (SMTUMP) are
very rare neoplasms of mesenchymal origin with features in between a benign
leiomyoma and a leiomyosarcoma. We report a rare case of SMTUMP in a 44-year-old
woman, who presented with vague symptoms of pharyngitis. Nasal endoscopy revealed
a smooth mass in left nasal cavity. Contrast-enhanced CT and MRI scans showed
features likely to be inverted papilloma or olfactory neuroblastoma or
meningioma. Excision was planned and intraoperatively, frozen section revealed a
probable spindle cell lesion. Final histopathological report following
immunohistochemistry (IHC) & immunofluoresence (IF) confirmed it to be a SMTUMP.
This patient underwent complete resection via endoscopic KTP laser assisted,
anterior skull base surgery with no recurrence on follow-up.
PMID- 27879304
TI - Aortic valve fibroelastoma: a rare cause of stroke.
AB - The prevalence of primary cardiac tumours varies from 0.02% to 0.45%. Cardiac
papillary fibroelastoma (CPF) is a rare tumour diagnosed incidentally on imaging.
The clinical manifestations result from thromboembolisation and include transient
ischaemic attack, stroke and sudden cardiac death. We present a patient aged 57
years with CPF arising from the aortic valve. The patient presented with right
hemiparesis due to acute stroke. He received tissue plasminogen activator with
complete resolution of neurological symptoms. Echocardiography revealed a broad
based, gelatinous, non-mobile mass on the left aortic cusp. The tumour was
excised sparing the aortic valve. The patient recovered rapidly without any
complications. The histopathological examination confirmed the diagnosis of CPF.
A review of the literature suggests that CPF is a rare but treatable cause of
stroke. The course is not clear and there are no tumours or patient-related
characteristics which could predict the risk of thromboembolisation. Surgical
treatment is definite and is relatively safe.
PMID- 27879305
TI - Partial segmental thrombosis of the corpus cavernosum presenting with perineal
pain.
AB - We describe the case of a man aged 43 years who presented with a 2-week history
of a palpable lump in the right proximal penile shaft. This was preceded by a 6
month history of perineal pain, accompanied by erectile dysfunction. An urgent
MRI scan of his penis identified a thrombus within the right crus and corpus of
the penis. His thrombophilia screen was normal. The patient was started on oral
anticoagulation and a phosphodiesterase inhibitor (PDE-5i) to prevent thrombus
progression and maintain erectile function. At 5 months, the patients' symptoms
had resolved and an MRI showed a reduction in the thrombus size. MRI is a useful
imaging modality to diagnose a thrombus within the corpus cavernosum in patients
presenting with a history of penile and perineal pain together with a palpable
lump. The non-enhancement of the lesion helps to differentiate this from
alternative rare lesions within the penis and perineum.
PMID- 27879307
TI - Unusual cause of profound weight loss in a young woman.
AB - A 27-year-old woman presented with anorexia, weight loss and psychiatric symptoms
for the past 4 years. She did not have history of headache, visual disturbances
or symptoms of raised intracranial pressure. She was sarcopenic with body mass
index of 10.16 kg/m2Her systemic examination was normal except for temporal
hemianopia suggesting a sellar/suprasellar lesion. Hormonal evaluation revealed
pan-hypopituitarism with central diabetes insipidus. Subsequent neuroimaging
revealed sellar-suprasellar mass lesion with intense contrast enhancement and
leptomeningeal metastases. Cerebrospinal fluid analysis showed elevated beta
human chorionic gonadotropin and the presence of syncytiotrophoblast germ cells.
Histopathology from the mass lesion confirmed the diagnosis of germinoma.
Immunohistochemistry of the tumour tissue was positive for c-kit and placental
alkaline phosphatise. She received a combination of chemotherapy with
craniospinal irradiation. Significant weight loss in a young woman may not always
be an eating disorder like anorexia nervosa albeit more common than germ cell
tumour.
PMID- 27879306
TI - Symmetrical digital gangrene after a high dose intravenous infusion of
epinephrine and dopamine following resuscitation from cardiac arrest.
PMID- 27879308
TI - Rare cause of arterial hypertension.
PMID- 27879310
TI - Large thymoma mass invading cardiac structures.
PMID- 27879309
TI - Brief episodes of non-specific psychosis later diagnosed as periodic catatonia.
AB - A 73-year-old woman was known to have discrete episodes of psychosis not
otherwise specified that would require a brief admission to hospital and total
remission following a short course of benzodiazepine or antipsychotic treatment.
She had no underlying schizophrenic or affective disorder and was completely
unimpaired in between episodes, which could last several years. She presented to
us with psychotic symptoms but also noted to have many catatonic features, which
were also present on previous presentations. Following failure with antipsychotic
trials on this index presentation, she completely remitted with a short course of
electroconvulsive therapy. We discuss the importance of identifying and treating
catatonia and the lesser-known variant of periodic catatonia. Current
presentations should always take into account the lifetime context of psychiatric
illness. Rarely do patients with primary psychotic disorders not have any
impairment or treatment in between episodes.
PMID- 27879311
TI - Ascites and adnexal masses: not always ovarian or tubal carcinoma.
PMID- 27879312
TI - Association of peripheral differential leukocyte counts with dyslipidemia risk in
Chinese patients with hypertension: insight from the China Stroke Primary
Prevention Trial.
AB - The aim of the present study was to examine the association between peripheral
differential leukocyte counts and dyslipidemia in a Chinese hypertensive
population. A total of 10,866 patients with hypertension were enrolled for a
comprehensive assessment of cardiovascular risk factors using data from the China
Stroke Primary Prevention Trial. Plasma lipid levels and total leukocyte,
neutrophil, and lymphocyte counts were determined according to standard methods.
Peripheral differential leukocyte counts were consistently and positively
associated with serum total cholesterol (TC), LDL cholesterol (LDL-C), and TG
levels (all P < 0.001 for trend), while inversely associated with HDL cholesterol
levels (P < 0.05 for trend). In subsequent analyses where serum lipids were
dichotomized (dyslipidemia/normolipidemia), we found that patients in the highest
quartile of total leukocyte count (>=7.6 * 109 cells/l) had 1.64 times the risk
of high TG [95% confidence interval (CI): 1.46, 1.85], 1.34 times the risk of
high TC (95% CI: 1.20, 1.50), and 1.24 times the risk of high LDL-C (95% CI:
1.12, 1.39) compared with their counterparts in the lowest quartile of total
leukocyte count. Similar patterns were also observed with neutrophils and
lymphocytes. In summary, these findings indicate that elevated differential
leukocyte counts are directly associated with serum lipid levels and increased
odds of dyslipidemia.
PMID- 27879313
TI - International Registry of Patients Carrying TGFBR1 or TGFBR2 Mutations: Results
of the MAC (Montalcino Aortic Consortium).
AB - BACKGROUND: The natural history of aortic diseases in patients with TGFBR1 or
TGFBR2 mutations reported by different investigators has varied greatly. In
particular, the current recommendations for the timing of surgical repair of the
aortic root aneurysms may be overly aggressive. METHODS AND RESULTS: The
Montalcino Aortic Consortium, which includes 15 centers worldwide that specialize
in heritable thoracic aortic diseases, was used to gather data on 441 patients
from 228 families, with 176 cases harboring a mutation in TGBR1 and 265 in
TGFBR2. Patients harboring a TGFBR1 mutation have similar survival rates (80%
survival at 60 years), aortic risk (23% aortic dissection and 18% preventive
aortic surgery), and prevalence of extra-aortic features (29% hypertelorism, 53%
cervical arterial tortuosity, and 27% wide scars) when compared with patients
harboring a TGFBR2 mutation. However, TGFBR1 males had a greater aortic risk than
females, whereas TGFBR2 males and females had a similar aortic risk.
Additionally, aortic root diameter prior to or at the time of type A aortic
dissection tended to be smaller in patients carrying a TGFBR2 mutation and was
<=45 mm in 6 women with TGFBR2 mutations, presenting with marked systemic
features and low body surface area. Aortic dissection was observed in 1.6% of
pregnancies. CONCLUSIONS: Patients with TGFBR1 or TGFBR2 mutations show the same
prevalence of systemic features and the same global survival. Preventive aortic
surgery at a diameter of 45 mm, lowered toward 40 in females with low body
surface area, TGFBR2 mutation, and severe extra-aortic features may be
considered.
PMID- 27879314
TI - Genetic Variation in the SLC8A1 Calcium Signaling Pathway Is Associated With
Susceptibility to Kawasaki Disease and Coronary Artery Abnormalities.
AB - BACKGROUND: Kawasaki disease (KD) is an acute pediatric vasculitis in which host
genetics influence both susceptibility to KD and the formation of coronary artery
aneurysms. Variants discovered by genome-wide association studies and linkage
studies only partially explain the influence of genetics on KD susceptibility.
METHODS AND RESULTS: To search for additional functional genetic variation, we
performed pathway and gene stability analysis on a genome-wide association study
data set. Pathway analysis using European genome-wide association study data
identified 100 significantly associated pathways (P<5*10-4). Gene stability
selection identified 116 single nucleotide polymorphisms in 26 genes that were
responsible for driving the pathway associations, and gene ontology analysis
demonstrated enrichment for calcium transport (P=1.05*10-4). Three single
nucleotide polymorphisms in solute carrier family 8, member 1 (SLC8A1), a
sodium/calcium exchanger encoding NCX1, were validated in an independent Japanese
genome-wide association study data set (meta-analysis P=0.0001). Patients
homozygous for the A (risk) allele of rs13017968 had higher rates of coronary
artery abnormalities (P=0.029). NCX1, the protein encoded by SLC8A1, was
expressed in spindle-shaped and inflammatory cells in the aneurysm wall.
Increased intracellular calcium mobilization was observed in B cell lines from
healthy controls carrying the risk allele. CONCLUSIONS: Pathway-based association
analysis followed by gene stability selection proved to be a valuable tool for
identifying risk alleles in a rare disease with complex genetics. The role of
SLC8A1 polymorphisms in altering calcium flux in cells that mediate coronary
artery damage in KD suggests that this pathway may be a therapeutic target and
supports the study of calcineurin inhibitors in acute KD.
PMID- 27879316
TI - Structure-based Design of Cyclically Permuted HIV-1 gp120 Trimers That Elicit
Neutralizing Antibodies.
AB - A major goal for HIV-1 vaccine development is an ability to elicit strong and
durable broadly neutralizing antibody (bNAb) responses. The trimeric envelope
glycoprotein (Env) spikes on HIV-1 are known to contain multiple epitopes that
are susceptible to bNAbs isolated from infected individuals. Nonetheless, all
trimeric and monomeric Env immunogens designed to date have failed to elicit such
antibodies. We report the structure-guided design of HIV-1 cyclically permuted
gp120 that forms homogeneous, stable trimers, and displays enhanced binding to
multiple bNAbs, including VRC01, VRC03, VRC-PG04, PGT128, and the quaternary
epitope-specific bNAbs PGT145 and PGDM1400. Constructs that were cyclically
permuted in the V1 loop region and contained an N-terminal trimerization domain
to stabilize V1V2-mediated quaternary interactions, showed the highest
homogeneity and the best antigenic characteristics. In guinea pigs, a DNA prime
protein boost regimen with these new gp120 trimer immunogens elicited potent
neutralizing antibody responses against highly sensitive Tier 1A isolates and
weaker neutralizing antibody responses with an average titer of about 115 against
a panel of heterologous Tier 2 isolates. A modest fraction of the Tier 2 virus
neutralizing activity appeared to target the CD4 binding site on gp120. These
results suggest that cyclically permuted HIV-1 gp120 trimers represent a viable
platform in which further modifications may be made to eventually achieve
protective bNAb responses.
PMID- 27879315
TI - Monoubiquitination Inhibits the Actin Bundling Activity of Fascin.
AB - Fascin is an actin bundling protein that cross-links individual actin filaments
into straight, compact, and stiff bundles, which are crucial for the formation of
filopodia, stereocillia, and other finger-like membrane protrusions. The
dysregulation of fascin has been implicated in cancer metastasis, hearing loss,
and blindness. Here we identified monoubiquitination as a novel mechanism that
regulates fascin bundling activity and dynamics. The monoubiquitination sites
were identified to be Lys247 and Lys250, two residues located in a positive
charge patch at the actin binding site 2 of fascin. Using a chemical
ubiquitination method, we synthesized chemically monoubiquitinated fascin and
determined the effects of monoubiquitination on fascin bundling activity and
dynamics. Our data demonstrated that monoubiquitination decreased the fascin
bundling EC50, delayed the initiation of bundle assembly, and accelerated the
disassembly of existing bundles. By analyzing the electrostatic properties on the
solvent-accessible surface of fascin, we proposed that monoubiquitination
introduced steric hindrance to interfere with the interaction between actin
filaments and the positively charged patch at actin binding site 2. We also
identified Smurf1 as a E3 ligase regulating the monoubiquitination of fascin. Our
findings revealed a previously unidentified regulatory mechanism for fascin,
which will have important implications for the understanding of actin bundle
regulation under physiological and pathological conditions.
PMID- 27879317
TI - Correlated evolution between CK1delta Protein and the Serine-rich Motif
Contributes to Regulating the Mammalian Circadian Clock.
AB - Understanding the mechanism underlying the physiological divergence of species is
a long-standing issue in evolutionary biology. The circadian clock is a highly
conserved system existing in almost all organisms that regulates a wide range of
physiological and behavioral events to adapt to the day-night cycle. Here, the
interactions between hCK1epsilon/delta/DBT (Drosophila ortholog of
CK1delta/epsilon) and serine-rich (SR) motifs from hPER2 (ortholog of Drosophila
per) were reconstructed in a Drosophila circadian system. The results indicated
that in Drosophila, the SR mutant form hPER2S662G does not recapitulate the mouse
or human mutant phenotype. However, introducing hCK1delta (but not DBT) shortened
the circadian period and restored the SR motif function. We found that hCK1delta
is catalytically more efficient than DBT in phosphorylating the SR motif, which
demonstrates that the evolution of CK1delta activity is required for SR motif
modulation. Moreover, an abundance of phosphorylatable SR motifs and the striking
emergence of putative SR motifs in vertebrate proteins were observed, which
provides further evidence that the correlated evolution between kinase activity
and its substrates set the stage for functional diversity in vertebrates. It is
possible that such correlated evolution may serve as a biomarker associated with
the adaptive benefits of diverse organisms. These results also provide a concrete
example of how functional synthesis can be achieved through introducing
evolutionary partners in vivo.
PMID- 27879318
TI - Inactivation of Regulatory-associated Protein of mTOR (Raptor)/Mammalian Target
of Rapamycin Complex 1 (mTORC1) Signaling in Osteoclasts Increases Bone Mass by
Inhibiting Osteoclast Differentiation in Mice.
AB - Mammalian target of rapamycin complex 1 (mTORC1) is involved in anabolic
metabolism in both osteoblasts and chondrocytes, but the role of mTORC1 in
osteoclast biology in vivo remains to be elucidated. In this study, we showed
that deletion of regulatory-associated protein of mTOR (Raptor) in osteoclasts
led to an increase in bone mass with decreased bone resorption. Raptor-deficient
bone marrow-derived macrophages exhibited lower mTORC1-S6K1 signaling and
retarded osteoclast differentiation, as determined by the number of osteoclasts,
tartrate-resistant acid phosphatase activity, and expression of osteoclast
specific genes. Enforced expression of constitutively active S6K1 rescued the
impaired osteoclast differentiation in Raptor-deficient bone marrow-derived
macrophages. Furthermore, pharmacological inhibition of mTORC1 signaling by
rapamycin could also inhibit osteoclast differentiation and osteoclast-specific
gene expression. Taken together, our findings demonstrate that mTORC1 plays a key
role in the network of catabolic bone resorption in osteoclasts and may serve as
a potential pharmacological target for the regulation of osteoclast activity in
bone metabolic disorders.
PMID- 27879320
TI - The Relationship Between Mental Representations of Welfare Recipients and
Attitudes Toward Welfare.
AB - Scholars have argued that opposition to welfare is, in part, driven by
stereotypes of African Americans. This argument assumes that when individuals
think about welfare, they spontaneously think about Black recipients. We
investigated people's mental representations of welfare recipients. In Studies 1
and 2, we used a perceptual task to visually estimate participants' mental
representations of welfare recipients. Compared with the average non-welfare
recipient image, the average welfare-recipient image was perceived (by a separate
sample) as more African American and more representative of stereotypes
associated with welfare recipients and African Americans. In Study 3,
participants were asked to determine whether they supported giving welfare
benefits to the people pictured in the average welfare-recipient and non-welfare
recipient images generated in Study 2. Participants were less supportive of
giving welfare benefits to the person shown in the welfare-recipient image than
to the person shown in the non-welfare-recipient image. The results suggest that
mental images of welfare recipients may bias attitudes toward welfare policies.
PMID- 27879321
TI - When Misinformation Improves Memory.
AB - In two experiments, we explored the effects of noticing and remembering change in
the misinformation paradigm. People watched slide shows, read narratives
containing misinformation about the events depicted in the slide shows, and took
a recognition test on which they reported whether any details had changed between
the slides and the narratives. As expected, we found a strong misinformation
effect overall. In some cases, however, misinformation led to improved
recognition, which is opposite the usual finding. Critically, misinformation led
to improved recognition of the original event when subjects detected and
remembered a change between the original event and the postevent information. Our
research agrees with other findings from retroactive-interference paradigms and
can be interpreted within the recursive-remindings framework, according to which
detecting and remembering change can enhance retention. We conclude that the
misinformation effect occurs mostly for witnessed details that are not
particularly memorable. In the case of more memorable details, providing
misinformation can actually facilitate later recollection of the original events.
PMID- 27879322
TI - The Whole Warps the Sum of Its Parts.
AB - The efficiency of averaging properties of sets without encoding redundant details
is analogous to gestalt proposals that perception is parsimoniously organized as
a function of recurrent order in the world. This similarity suggests that
grouping and averaging are part of a broader set of strategies allowing the
visual system to circumvent capacity limitations. To examine how gestalt grouping
affects the manner in which information is averaged and remembered, I compared
the error in observers' adjustments of remembered sizes of individual circles in
two different mean-size sets defined by similarity, proximity, connectedness, or
a common region. Overall, errors were more similar within the same gestalt
defined groups than between different gestalt-defined groups, such that the
remembered sizes of individual circles were biased toward the mean size of their
respective gestalt-defined groups. These results imply that gestalt grouping
facilitates perceptual averaging to minimize the error with which individual
items are encoded, thereby optimizing the efficiency of visual short-term memory.
PMID- 27879319
TI - AMP-activated Kinase (AMPK) Promotes Innate Immunity and Antiviral Defense
through Modulation of Stimulator of Interferon Genes (STING) Signaling.
AB - The host protein Stimulator of Interferon Genes (STING) has been shown to be
essential for recognition of both viral and intracellular bacterial pathogens,
but its regulation remains unclear. Previously, we reported that mitochondrial
membrane potential regulates STING-dependent IFN-beta induction independently of
ATP synthesis. Because mitochondrial membrane potential controls calcium
homeostasis, and AMP-activated protein kinase (AMPK) is regulated, in part, by
intracellular calcium, we postulated that AMPK participates in STING activation;
however, its role has yet to be been defined. Addition of an intracellular
calcium chelator or an AMPK inhibitor to either mouse macrophages or mouse
embryonic fibroblasts (MEFs) suppressed IFN-beta and TNF-alpha induction
following stimulation with the STING-dependent ligand 5,6-dimethyl xanthnone-4
acetic acid (DMXAA). These pharmacological findings were corroborated by showing
that MEFs lacking AMPK activity also failed to up-regulate IFN-beta and TNF-alpha
after treatment with DMXAA or the natural STING ligand cyclic GMP-AMP (cGAMP). As
a result, AMPK-deficient MEFs exhibit impaired control of vesicular stomatitis
virus (VSV), a virus sensed by STING that can cause an influenza-like illness in
humans. This impairment could be overcome by pretreatment of AMPK-deficient MEFs
with type I IFN, illustrating that de novo production of IFN-beta in response to
VSV plays a key role in antiviral defense during infection. Loss of AMPK also led
to dephosphorylation at Ser-555 of the known STING regulator, UNC-51-like kinase
1 (ULK1). However, ULK1-deficient cells responded normally to DMXAA, indicating
that AMPK promotes STING-dependent signaling independent of ULK1 in mouse cells.
PMID- 27879323
TI - Issues and Challenges in Diagnostic Sequencing for Inherited Cardiac Conditions.
AB - BACKGROUND: Inherited cardiac conditions are a relatively common group of
Mendelian diseases associated with ill health and death, often in the young.
Research into the genetic causes of these conditions has enabled confirmatory and
predictive diagnostic sequencing to become an integral part of the clinical
management of inherited cardiomyopathies, arrhythmias, aortopathies, and
dyslipidemias. CONTENT: Currently, the principle benefit of clinical genetic
testing is the cascade screening of family members of patients with a pathogenic
variant, enabling targeted follow up of presymptomatic genotype-positive
individuals and discharge of genotype-negative individuals to health. For the
affected proband, diagnostic sequencing can also be useful in discriminating
inherited disease from alternative diagnoses, directing treatment, and for
molecular autopsy in cases of sudden unexplained death. Advances in sequencing
technology have expanded testing panels for inherited cardiac conditions and
driven down costs, further improving the cost-effectiveness of genetic testing.
However, this expanded testing requires great rigor in the identification of
pathogenic variants, with domain-specific knowledge required for variant
interpretation. SUMMARY: Diagnostic sequencing has the potential to become an
integral part of the clinical management of patients with inherited cardiac
conditions. However, to move beyond just confirmatory and predictive testing, a
much greater understanding is needed of the genetic basis of these conditions,
the role of the environment, and the underlying disease mechanisms. With this
additional information it is likely that genetic testing will increasingly be
used for stratified and preventative strategies in the era of genomic medicine.
PMID- 27879324
TI - The Changing Face of HDL and the Best Way to Measure It.
AB - BACKGROUND: HDL cholesterol (HDL-C) is a commonly used lipid biomarker for
assessing cardiovascular health. While a central focus has been placed on the
role of HDL in the reverse cholesterol transport (RCT) process, our appreciation
for the other cardioprotective properties of HDL continues to expand with further
investigation into the structure and function of HDL and its specific
subfractions. The development of novel assays is empowering the research
community to assess different aspects of HDL function, which at some point may
evolve into new diagnostic tests. CONTENT: This review discusses our current
understanding of the formation and maturation of HDL particles via RCT, as well
as the newly recognized roles of HDL outside RCT. The antioxidative,
antiinflammatory, antiapoptotic, antithrombotic, antiinfective, and
vasoprotective effects of HDL are all discussed, as are the related methodologies
for assessing these different aspects of HDL function. We elaborate on the
importance of protein and lipid composition of HDL in health and disease and
highlight potential new diagnostic assays based on these parameters. SUMMARY:
Although multiple epidemiologic studies have confirmed that HDL-C is a strong
negative risk marker for cardiovascular disease, several clinical and
experimental studies have yielded inconsistent results on the direct role of HDL
C as an antiatherogenic factor. As of yet, our increased understanding of HDL
biology has not been translated into successful new therapies, but will
undoubtedly depend on the development of alternative ways for measuring HDL
besides its cholesterol content.
PMID- 27879325
TI - Cushing syndrome in a child due to pro-opiomelanocortin (POMC) secretion from a
yolk sac tumor.
AB - CONTEXT: Pituitary microadenomas and adrenal tumours are the most common causes
for endogenous Cushing syndrome (CS) in children. CASE DESCRIPTION: We describe a
two-year old girl with Cushing syndrome due to ectopic pro-opiomelanocortin
(POMC) production from an abdominal yolk sac tumor. Cortisol concentrations were
elevated but adrenocorticotropic hormone (ACTH) concentrations were equivocal.
The use of antibodies specifically detecting ACTH precursors revealed that plasma
ACTH precursors were elevated. Additionally, an ACTH assay with a low cross
reactivity for precursors showed low concentrations of ACTH. Immunohistochemistry
suggested POMC but not ACTH production by the tumour. CONCLUSION: We describe a
yolk sac tumour as a novel source of ectopic POMC production leading to CS in a
young girl.
PMID- 27879326
TI - Effects of levothyroxine treatment on pregnancy outcomes in pregnant women with
autoimmune thyroid disease.
AB - BACKGROUND: Despite some studies indicating that thyroid antibody positivity
during pregnancy has been associated with adverse pregnancy outcomes, evidence
regarding the effects of levothyroxine (LT4) treatment of euthyroid/subclinical
hypothyroid pregnant women with autoimmune thyroid disease on pregnancy outcome
is limited. We aimed to assess whether pregnant women with autoimmune thyroid
disease, but without overt thyroid dysfunction are affected by higher rates of
adverse pregnancy outcomes. In addition, we aimed to explore whether LT4
treatment improves the pregnancy outcome of affected women. METHODS: A
prospective study was carried out on pregnant women from the first trimester to
delivery. The study was conducted among pregnant women receiving prenatal care in
centers under coverage of Shahid Beheshti University of Medical Sciences. Of a
total of 1746 pregnant women, screened for thyroid dysfunction, 1028 euthyroid
TPOAb-negative (TPOAb-) and 131 thyroid peroxidase antibody-positive (TPOAb+)
women without overt thyroid dysfunction entered the second phase of the study.
TPOAb+ women were randomly divided into two groups: group A (n = 65), treated
with LT4 and group B (n = 66), received no treatment. The 1028 TPOAb- women
(group C) served as a normal population control group. Primary outcomes were
preterm delivery and miscarriage and secondary outcomes included placenta
abruption, still birth, neonatal admission and neonatal TSH levels. RESULTS:
Groups A and C displayed a lower rate of preterm deliveries compared with group B
(RR = 0.30, 95% CI: 0.1-0.85, P = 0.0229) and (RR = 0.23, 95% CI: 0.14-0.40, P <
0.001) respectively. There was no statistically significant difference in the
rates of preterm labor between groups A and C (RR = 0.79, 95% CI: 0.30-2.09, P =
0.64). The number needed to treat (NNT) for preterm birth was 5.9 (95% CI: 3.33
25.16). CONCLUSIONS: Treatment with LT4 decreases the risk of preterm delivery in
women who are positive for TPOAb.
PMID- 27879330
TI - Quantitative Transcriptomics Reveals the Growth- and Nutrient-Dependent Response
of a Streamlined Marine Methylotroph to Methanol and Naturally Occurring
Dissolved Organic Matter.
AB - The members of the OM43 clade of Betaproteobacteria are abundant coastal
methylotrophs with a range of carbon-utilizing capabilities. However, their
underlying transcriptional and metabolic responses to shifting conditions or
different carbon substrates remain poorly understood. We examined the
transcriptional dynamics of OM43 isolate NB0046 subjected to various inorganic
nutrient, vitamin, and carbon substrate regimes over different growth phases to
(i) develop a quantitative model of its mRNA content; (ii) identify
transcriptional markers of physiological activity, nutritional state, and carbon
and energy utilization; and (iii) identify pathways involved in methanol or
naturally occurring dissolved organic matter (DOM) metabolism. Quantitative
transcriptomics, achieved through addition of internal RNA standards, allowed for
analyses on a transcripts-per-cell scale. This streamlined bacterium exhibited
substantial shifts in total mRNA content (ranging from 1,800 to 17 transcripts
cell-1 in the exponential and deep stationary phases, respectively) and gene
specific transcript abundances (>1,000-fold increases in some cases), depending
on the growth phase and nutrient conditions. Carbon metabolism genes exhibited
substantial dynamics, including those for ribulose monophosphate, tricarboxylic
acid (TCA), and proteorhodopsin, as well as methanol dehydrogenase (xoxF), which,
while always the most abundant transcript, increased from 5 to 120 transcripts
cell-1 when cultures were nutrient and vitamin amended. In the DOM treatment,
upregulation of TCA cycle, methylcitrate cycle, vitamin, and organic phosphorus
genes suggested a metabolic route for this complex mixture of carbon substrates.
The genome-wide inventory of transcript abundances produced here provides insight
into a streamlined marine bacterium's regulation of carbon metabolism and energy
flow, providing benchmarks for evaluating the activity of OM43 populations in
situ IMPORTANCE: Bacteria exert a substantial influence on marine organic matter
flux, yet the carbon components targeted by specific bacterial groups, as well as
how those groups' metabolic activities change under different conditions, are not
well understood. Gene expression studies of model organisms can identify these
responses under defined conditions, which can then be compared to environmental
transcriptomes to elucidate in situ activities. This integration, however, is
limited by the data's relative nature. Here, we report the fully quantitative
transcriptome of a marine bacterium, providing a genome-wide survey of cellular
transcript abundances and how they change with different states of growth,
nutrient conditions, and carbon substrates. The results revealed the dynamic
metabolic strategies this methylotroph has for processing both simple one-carbon
compounds and the complex multicarbon substrates of naturally derived marine
organic matter and provide baseline quantitative data for identifying their in
situ activities and impact on the marine carbon cycle.
PMID- 27879329
TI - Phenotypic Profiling Reveals that Candida albicans Opaque Cells Represent a
Metabolically Specialized Cell State Compared to Default White Cells.
AB - : The white-opaque switch is a bistable, epigenetic transition affecting multiple
traits in Candida albicans including mating, immunogenicity, and niche
specificity. To compare how the two cell states respond to external cues, we
examined the fitness, phenotypic switching, and filamentation properties of white
cells and opaque cells under 1,440 different conditions at 25 degrees C and 37
degrees C. We demonstrate that white and opaque cells display striking
differences in their integration of metabolic and thermal cues, so that the two
states exhibit optimal fitness under distinct conditions. White cells were fitter
than opaque cells under a wide range of environmental conditions, including
growth at various pHs and in the presence of chemical stresses or antifungal
drugs. This difference was exacerbated at 37 degrees C, consistent with white
cells being the default state of C. albicans in the mammalian host. In contrast,
opaque cells showed greater fitness than white cells under select nutritional
conditions, including growth on diverse peptides at 25 degrees C. We further
demonstrate that filamentation is significantly rewired between the two states,
with white and opaque cells undergoing filamentous growth in response to distinct
external cues. Genetic analysis was used to identify signaling pathways impacting
the white-opaque transition both in vitro and in a murine model of commensal
colonization, and three sugar sensing pathways are revealed as regulators of the
switch. Together, these findings establish that white and opaque cells are
programmed for differential integration of metabolic and thermal cues and that
opaque cells represent a more metabolically specialized cell state than the
default white state. IMPORTANCE: Epigenetic transitions are an important
mechanism by which microbes adapt to external stimuli. For Candida albicans, such
transitions are crucial for adaptation to complex, fluctuating environments, and
therefore contribute to its success as a human pathogen. The white-opaque switch
modulates multiple C. albicans attributes, from sexual competency to niche
specificity. Here, we demonstrate that metabolic circuits are extensively rewired
between white and opaque states, so that the two cell types exhibit optimal
fitness under different nutritional conditions and at different temperatures. We
thereby establish that epigenetic events can profoundly alter the metabolism of
fungal cells. We also demonstrate that epigenetic switching regulates
filamentation and biofilm formation, two phenotypes closely associated with
pathogenesis. These experiments reveal that white cells, considered the most
clinically relevant form of C. albicans, are a "general-purpose" state suited to
many environments, whereas opaque cells appear to represent a more metabolically
specialized form of the species.
PMID- 27879331
TI - Brd4 Activates Early Viral Transcription upon Human Papillomavirus 18 Infection
of Primary Keratinocytes.
AB - : Human papillomaviruses (HPVs) replicate in the cutaneous and mucosal epithelia,
and the infectious cycle is synchronous with the differentiation program of the
host keratinocytes. The virus initially infects dividing cells in the lower
layers of the epithelium, where it establishes a persistent infection. The viral
genome is maintained as a low-copy-number, extrachromosomal element in these
proliferating cells but switches to the late stage of the life cycle in
differentiated cells. The cellular chromatin adaptor protein Brd4 is involved in
several stages and processes of the viral life cycle. In concert with the viral
transcriptional regulator E2, Brd4 can repress transcription from the early viral
promoter. Brd4 and E2 form a complex with the viral genome that associates with
host chromosomes to partition the viral genome in dividing cells; Brd4 also
localizes to active sites of productive HPV DNA replication. However, because of
the difficulties in producing HPV viral particles, the role of Brd4 in modulating
viral transcription and replication at the initial stage of infection is unclear.
In this study, we have used an HPV18 quasivirus-based genome delivery system to
assess the role of Brd4 in the initial infectivity of primary human
keratinocytes. We show that, upon infection of primary human keratinocytes with
HPV18 quasivirus, Brd4 activates viral transcription and replication.
Furthermore, this activation is independent of the functional interaction between
Brd4 and the HPV18 E2 protein. IMPORTANCE: HPVs lack encapsidated proteins and so
rely exquisitely on host cellular factors to initiate their gene expression
programs in newly infected cells. Brd4 is an important cellular chromatin adaptor
molecule that normally activates host transcription initiation and elongation. In
this study, we further optimize and utilize a quasivirus infection system to show
that Brd4 activates HPV18 transcription at early stages of infection. HPVs are
important human pathogens causing a wide range of cutaneous and tumorigenic
morbidities. Therefore, specifically targeting this protein could provide a new
target of therapeutic prevention of establishment of HPV infections.
PMID- 27879332
TI - Mucosal BCG Vaccination Induces Protective Lung-Resident Memory T Cell
Populations against Tuberculosis.
AB - : Mycobacterium bovis Bacille Calmette-Guerin (BCG) is the only licensed vaccine
against tuberculosis (TB), yet its moderate efficacy against pulmonary TB calls
for improved vaccination strategies. Mucosal BCG vaccination generates superior
protection against TB in animal models; however, the mechanisms of protection
remain elusive. Tissue-resident memory T (TRM) cells have been implicated in
protective immune responses against viral infections, but the role of TRM cells
following mycobacterial infection is unknown. Using a mouse model of TB, we
compared protection and lung cellular infiltrates of parenteral and mucosal BCG
vaccination. Adoptive transfer and gene expression analyses of lung airway cells
were performed to determine the protective capacities and phenotypes of different
memory T cell subsets. In comparison to subcutaneous vaccination, intratracheal
and intranasal BCG vaccination generated T effector memory and TRM cells in the
lung, as defined by surface marker phenotype. Adoptive mucosal transfer of these
airway-resident memory T cells into naive mice mediated protection against TB.
Whereas airway-resident memory CD4+ T cells displayed a mixture of effector and
regulatory phenotype, airway-resident memory CD8+ T cells displayed prototypical
TRM features. Our data demonstrate a key role for mucosal vaccination-induced
airway-resident T cells in the host defense against pulmonary TB. These results
have direct implications for the design of refined vaccination strategies.
IMPORTANCE: BCG remains the only licensed vaccine against TB. Parenterally
administered BCG has variable efficacy against pulmonary TB, and thus, improved
prevention strategies and a more refined understanding of correlates of vaccine
protection are required. Induction of memory T cells has been shown to be
essential for protective TB vaccines. Mimicking the natural infection route by
mucosal vaccination has been known to generate superior protection against TB in
animal models; however, the mechanisms of protection have remained elusive. Here
we performed an in-depth analysis to dissect the immunological mechanisms
associated with superior mucosal protection in the mouse model of TB. We found
that mucosal, and not subcutaneous, BCG vaccination generates lung-resident
memory T cell populations that confer protection against pulmonary TB. We
establish a comprehensive phenotypic characterization of these populations,
providing a framework for future vaccine development.
PMID- 27879333
TI - The Genome-Wide Interaction Network of Nutrient Stress Genes in Escherichia coli.
AB - : Conventional efforts to describe essential genes in bacteria have typically
emphasized nutrient-rich growth conditions. Of note, however, are the set of
genes that become essential when bacteria are grown under nutrient stress. For
example, more than 100 genes become indispensable when the model bacterium
Escherichia coli is grown on nutrient-limited media, and many of these nutrient
stress genes have also been shown to be important for the growth of various
bacterial pathogens in vivo To better understand the genetic network that
underpins nutrient stress in E. coli, we performed a genome-scale cross of
strains harboring deletions in some 82 nutrient stress genes with the entire E.
coli gene deletion collection (Keio) to create 315,400 double deletion mutants.
An analysis of the growth of the resulting strains on rich microbiological media
revealed an average of 23 synthetic sick or lethal genetic interactions for each
nutrient stress gene, suggesting that the network defining nutrient stress is
surprisingly complex. A vast majority of these interactions involved genes of
unknown function or genes of unrelated pathways. The most profound synthetic
lethal interactions were between nutrient acquisition and biosynthesis. Further,
the interaction map reveals remarkable metabolic robustness in E. coli through
pathway redundancies. In all, the genetic interaction network provides a powerful
tool to mine and identify missing links in nutrient synthesis and to further
characterize genes of unknown function in E. coli Moreover, understanding of
bacterial growth under nutrient stress could aid in the development of novel
antibiotic discovery platforms. IMPORTANCE: With the rise of antibiotic drug
resistance, there is an urgent need for new antibacterial drugs. Here, we studied
a group of genes that are essential for the growth of Escherichia coli under
nutrient limitation, culture conditions that arguably better represent nutrient
availability during an infection than rich microbiological media. Indeed, many
such nutrient stress genes are essential for infection in a variety of pathogens.
Thus, the respective proteins represent a pool of potential new targets for
antibacterial drugs that have been largely unexplored. We have created all
possible double deletion mutants through a genetic cross of nutrient stress genes
and the E. coli deletion collection. An analysis of the growth of the resulting
clones on rich media revealed a robust, dense, and complex network for nutrient
acquisition and biosynthesis. Importantly, our data reveal new genetic
connections to guide innovative approaches for the development of new
antibacterial compounds targeting bacteria under nutrient stress.
PMID- 27879334
TI - Intrinsic Resistance of Burkholderia cepacia Complex to Benzalkonium Chloride.
AB - : Pharmaceutical products that are contaminated with Burkholderia cepacia complex
(BCC) bacteria may pose serious consequences to vulnerable patients.
Benzyldimethylalkylammonium chloride (BZK) cationic surfactants are extensively
used in medical applications and have been implicated in the coselection of
antimicrobial resistance. The ability of BCC to degrade BZK,
tetradecyldimethylbenzylammonium chloride (C14BDMA-Cl),
dodecyldimethylbenzylammonium chloride (C12BDMA-Cl), decyldimethylbenzylammonium
chloride (C10BDMA-Cl), hexyldimethylbenzylammonium chloride, and
benzyltrimethylammonium chloride was determined by incubation in 1/10-diluted
tryptic soy broth (TSB) to determine if BCC bacteria have the ability to survive
and inactivate these disinfectants. With BZK, C14BDMA-Cl, and C12BDMA-Cl,
inhibition of the growth of 20 BCC strains was observed in disinfectant solutions
that ranged from 64 to 256 ug/ml. The efflux pump inhibitor carbonyl cyanide m
chlorophenylhydrazone increased the sensitivity of bacteria to 64 ug/ml BZK. The
20 BCC strains grew well in 1/10-diluted TSB medium with BZK, C12BDMA-Cl, and
C10BDMA-Cl; they absorbed and degraded the compounds in 7 days. Formation of
benzyldimethylamine and benzylmethylamine as the initial metabolites suggested
that the cleavage of the C alkyl-N bond occurred as the first step of BZK
degradation by BCC bacteria. Proteomic data confirmed the observed efflux
activity and metabolic inactivation via biodegradation in terms of BZK resistance
of BCC bacteria, which suggests that the two main resistance mechanisms are
intrinsic and widespread. IMPORTANCE: Benzyldimethylalkylammonium chloride is
commonly used as an antiseptic in the United States. Several recent microbial
outbreaks were linked to antiseptics that were found to contain strains of the
Burkholderia cepacia complex. Burkholderia species survived in antiseptics,
possibly because of the degradation of antiseptic molecules or regulation of
relevant gene expression. In this study, we assessed the efflux pump and the
potential of B. cepacia complex bacteria to degrade benzyldimethylalkylammonium
chloride and improved our understanding of the resistance mechanisms, by using
proteomic and metabolic information. To our knowledge, this is the first
systematic report of the intrinsic mechanisms of B. cepacia complex strain
resistance to benzyldimethylalkylammonium chloride, based on the metabolic and
proteomic evidence for efflux pumps and the complete biodegradation of
benzyldimethylalkylammonium chloride.
PMID- 27879335
TI - Catabolite and Oxygen Regulation of Enterohemorrhagic Escherichia coli Virulence.
AB - : The biogeography of the gut is diverse in its longitudinal axis, as well as
within specific microenvironments. Differential oxygenation and nutrient
composition drive the membership of microbial communities in these habitats.
Moreover, enteric pathogens can orchestrate further modifications to gain a
competitive advantage toward host colonization. These pathogens are versatile and
adept when exploiting the human colon. They expertly navigate complex
environmental cues and interkingdom signaling to colonize and infect their hosts.
Here we demonstrate how enterohemorrhagic Escherichia coli (EHEC) uses three
sugar-sensing transcription factors, Cra, KdpE, and FusR, to exquisitely regulate
the expression of virulence factors associated with its type III secretion system
(T3SS) when exposed to various oxygen concentrations. We also explored the effect
of mucin-derived nonpreferred carbon sources on EHEC growth and expression of
virulence genes. Taken together, the results show that EHEC represses the
expression of its T3SS when oxygen is absent, mimicking the largely anaerobic
lumen, and activates its T3SS when oxygen is available through Cra. In addition,
when EHEC senses mucin-derived sugars heavily present in the O-linked and N
linked glycans of the large intestine, virulence gene expression is initiated.
Sugars derived from pectin, a complex plant polysaccharide digested in the large
intestine, also increased virulence gene expression. Not only does EHEC sense
host- and microbiota-derived interkingdom signals, it also uses oxygen
availability and mucin-derived sugars liberated by the microbiota to stimulate
expression of the T3SS. This precision in gene regulation allows EHEC to be an
efficient pathogen with an extremely low infectious dose. IMPORTANCE: Enteric
pathogens have to be crafty when interpreting multiple environmental cues to
successfully establish themselves within complex and diverse gut
microenvironments. Differences in oxygen tension and nutrient composition
determine the biogeography of the gut microbiota and provide unique niches that
can be exploited by enteric pathogens. EHEC is an enteric pathogen that colonizes
the colon and causes outbreaks of bloody diarrhea and hemolytic-uremic syndrome
worldwide. It has a very low infectious dose, which requires it to be an
extremely effective pathogen. Hence, here we show that EHEC senses multiple sugar
sources and oxygen levels to optimally control the expression of its virulence
repertoire. This exquisite regulatory control equips EHEC to sense different
intestinal compartments to colonize the host.
PMID- 27879337
TI - Interactions between Melanin Enzymes and Their Atypical Recruitment to the
Secretory Pathway by Palmitoylation.
AB - : Melanins are biopolymers that confer coloration and protection to the host
organism against biotic or abiotic insults. The level of protection offered by
melanin depends on its biosynthesis and its subcellular localization. Previously,
we discovered that Aspergillus fumigatus compartmentalizes melanization in
endosomes by recruiting all melanin enzymes to the secretory pathway.
Surprisingly, although two laccases involved in the late steps of melanization
are conventional secretory proteins, the four enzymes involved in the early steps
of melanization lack a signal peptide or a transmembrane domain and are thus
considered "atypical" secretory proteins. In this work, we found interactions
among melanin enzymes and all melanin enzymes formed protein complexes.
Surprisingly, the formation of protein complexes by melanin enzymes was not
critical for their trafficking to the endosomal system. By palmitoylation
profiling and biochemical analyses, we discovered that all four early melanin
enzymes were strongly palmitoylated during conidiation. However, only the
polyketide synthase (PKS) Alb1 was strongly palmitoylated during both vegetative
hyphal growth and conidiation when constitutively expressed alone. This
posttranslational lipid modification correlates the endosomal localization of all
early melanin enzymes. Intriguingly, bioinformatic analyses predict that
palmitoylation is a common mechanism for potential membrane association of
polyketide synthases (PKSs) and nonribosomal peptide synthetases (NRPSs) in A.
fumigatus Our findings indicate that protein-protein interactions facilitate
melanization by metabolic channeling, while posttranslational lipid modifications
help recruit the atypical enzymes to the secretory pathway, which is critical for
compartmentalization of secondary metabolism. IMPORTANCE: Subcellular
compartmentalization is increasingly recognized as an important aspect of fungal
secondary metabolism. It facilitates sequential enzymatic reactions, provides
mobility for enzymes and metabolites, and offers protection against self
toxification. However, how compartmentalization is achieved remains unclear given
that the majority of enzymes encoded by secondary metabolism gene clusters are
predicted to be cytosolic proteins. Through studying melanization in Aspergillus,
we previously found that all enzymes involved in the early steps of melanization
are atypical secretory proteins. Here, we discovered physical interactions among
melanin enzymes. However, it was the posttranslational palmitoylation rather than
the physical interaction that was responsible for their recruitment to the
secretory pathway. Intriguingly, palmitoylation is likely a common mechanism for
potential membrane association of polyketide synthases (PKSs) and nonribosomal
peptide synthetases (NRPSs) in A. fumigatus Collectively, our findings suggest
that posttranslational lipid modification helps direct secondary metabolism to
defined organelles for biosynthesis and trafficking.
PMID- 27879336
TI - Beyond Antimicrobial Resistance: Evidence for a Distinct Role of the AcrD Efflux
Pump in Salmonella Biology.
AB - : For over 20 years, bacterial multidrug resistance (MDR) efflux pumps have been
studied because of their impact on resistance to antimicrobials. However,
critical questions remain, including why produce efflux pumps under non
antimicrobial treatment conditions, and why have multiple pumps if their only
purpose is antimicrobial efflux? Salmonella spp. possess five efflux pump
families, including the resistance-nodulation-division (RND) efflux pumps.
Notably, the RND efflux pump AcrD has a unique substrate profile, distinct from
other Salmonella efflux pumps. Here we show that inactivation of acrD results in
a profoundly altered transcriptome and modulation of pathways integral to
Salmonella biology. The most significant transcriptome changes were central
metabolism related, with additional changes observed in pathogenicity,
environmental sensing, and stress response pathway expression. The extent of
tricarboxylic acid cycle and fumarate metabolism expression changes led us to
hypothesize that acrD inactivation may result in motility defects due to
perturbation of metabolite concentrations, such as fumarate, for which a role in
motility has been established. Despite minimal detectable changes in flagellar
gene expression, we found that an acrD mutant Salmonella enterica serovar
Typhimurium isolate was significantly impaired for swarming motility, which was
restored by addition of fumarate. The acrD mutant outcompeted the wild type in
fitness experiments. The results of these diverse experiments provide strong
evidence that the AcrD efflux pump is not simply a redundant system providing
response resilience, but also has distinct physiological functions. Together,
these data indicate that the AcrD efflux pump has a significant and previously
underappreciated impact on bacterial biology, despite only minor perturbations of
antibiotic resistance profiles. IMPORTANCE: Efflux pumps in Gram-negative
bacteria are studied because of their important contributions to antimicrobial
resistance. However, the role of these pumps in bacterial biology has remained
surprisingly elusive. Here, we provide evidence that loss of the AcrD efflux pump
significantly impacts the physiology of Salmonella enterica serovar Typhimurium.
Inactivation of acrD led to changes in the expression of 403 genes involved in
fundamental processes, including basic metabolism, virulence, and stress
responses. Pathways such as these allow Salmonella to grow, survive in the
environment, and cause disease. Indeed, our data show that the acrD mutant is
more fit than wild-type Salmonella under standard lab conditions. We hypothesized
that inactivation of acrD would alter levels of bacterial metabolites, impacting
traits such as swarming motility. We demonstrated this by exogenous addition of
the metabolite fumarate, which partially restored the acrD mutant's swarming
defect. This work extends our understanding of the role of bacterial efflux
pumps.
PMID- 27879339
TI - MCT8 deficiency in Purkinje cells disrupts embryonic chicken cerebellar
development.
AB - Inactivating mutations in the human SLC16A2 gene encoding the thyroid hormone
transporter monocarboxylate transporter 8 (MCT8) result in the Allan-Herndon
Dudley syndrome accompanied by severe locomotor deficits. The underlying
mechanisms of the associated cerebellar maldevelopment were studied using the
chicken as a model. Electroporation of an MCT8-RNAi vector into the cerebellar
anlage of a 3-day-old embryo allowed knockdown of MCT8 in Purkinje cell
precursors. This resulted in the downregulation of the thyroid hormone-responsive
gene RORalpha and the Purkinje cell-specific differentiation marker LHX1/5 at day
6. MCT8 knockdown also results in a smaller and less complex dendritic tree at
day 18 suggesting a pivotal role of MCT8 for cell-autonomous Purkinje cell
maturation. Early administration of the thyroid hormone analogue 3,5,3'
triiodothyroacetic acid partially rescued early Purkinje cell differentiation.
MCT8-deficient Purkinje cells also induced non-autonomous effects as they led to
a reduced granule cell precursor proliferation, a thinner external germinal layer
and a loss of PAX6 expression. By contrast, at day 18, the external germinal
layer thickness was increased, with an increase in presence of Axonin-1-positive
post-mitotic granule cells in the initial stage of radial migration. The
concomitant accumulation of presumptive migrating granule cells in the molecular
layer, suggests that inward radial migration to the internal granular layer is
stalled. In conclusion, early MCT8 deficiency in Purkinje cells results in both
cell-autonomous and non-autonomous effects on cerebellar development and
indicates that MCT8 expression is essential from very early stages of
development, providing a novel insight into the ontogenesis of the Allan-Herndon
Dudley syndrome.
PMID- 27879338
TI - Functional Interplay Between Murine Leukemia Virus Glycogag, Serinc5, and Surface
Glycoprotein Governs Virus Entry, with Opposite Effects on Gammaretroviral and
Ebolavirus Glycoproteins.
AB - : Gammaretroviruses, such as murine leukemia viruses (MLVs), encode, in addition
to the canonical Gag, Pol, and Env proteins that will form progeny virus
particles, a protein called "glycogag" (glycosylated Gag). MLV glycogag contains
the entire Gag sequence plus an 88-residue N-terminal extension. It has recently
been reported that glycogag, like the Nef protein of HIV-1, counteracts the
antiviral effects of the cellular protein Serinc5. We have found, in agreement
with prior work, that glycogag strongly enhances the infectivity of MLVs with
some Env proteins but not those with others. In contrast, however, glycogag was
detrimental to MLVs carrying Ebolavirus glycoprotein. Glycogag could be replaced,
with respect to viral infectivity, by the unrelated S2 protein of equine
infectious anemia virus. We devised an assay for viral entry in which virus
particles deliver the Cre recombinase into cells, leading to the expression of a
reporter. Data from this assay showed that both the positive and the negative
effects of glycogag and S2 upon MLV infectivity are exerted at the level of virus
entry. Moreover, transfection of the virus-producing cells with a Serinc5
expression plasmid reduced the infectivity and entry capability of MLV carrying
xenotropic MLV Env, particularly in the absence of glycogag. Conversely, Serinc5
expression abrogated the negative effects of glycogag upon the infectivity and
entry capability of MLV carrying Ebolavirus glycoprotein. As Serinc5 may
influence cellular phospholipid metabolism, it seems possible that all of these
effects on virus entry derive from changes in the lipid composition of viral
membranes. IMPORTANCE: Many murine leukemia viruses (MLVs) encode a protein
called "glycogag." The function of glycogag is not fully understood, but it can
assist HIV-1 replication in the absence of the HIV-1 protein Nef under some
circumstances. In turn, Nef counteracts the cellular protein Serinc5. Glycogag
enhances the infectivity of MLVs with some but not all MLV Env proteins (which
mediate viral entry into the host cell upon binding to cell surface receptors).
We now report that glycogag acts by enhancing viral entry and that, like Nef,
glycogag antagonizes Serinc5. Surprisingly, the effects of glycogag and Serinc5
upon the entry and infectivity of MLV particles carrying an Ebolavirus
glycoprotein are the opposite of those observed with the MLV Env proteins. The
unrelated S2 protein of equine infectious anemia virus (EIAV) is functionally
analogous to glycogag in our experiments. Thus, three retroviruses (HIV-1, MLV,
and EIAV) have independently evolved accessory proteins that counteract Serinc5.
PMID- 27879340
TI - G Protein-Coupled Receptor Endocytosis Confers Uniformity in Responses to
Chemically Distinct Ligands.
AB - The ability of chemically distinct ligands to produce different effects on the
same G protein-coupled receptor (GPCR) has interesting therapeutic implications,
but, if excessively propagated downstream, would introduce biologic noise
compromising cognate ligand detection. We asked whether cells have the ability to
limit the degree to which chemical diversity imposed at the ligand-GPCR interface
is propagated to the downstream signal. We carried out an unbiased analysis of
the integrated cellular response elicited by two chemically and
pharmacodynamically diverse beta-adrenoceptor agonists, isoproterenol and
salmeterol. We show that both ligands generate an identical integrated response,
and that this stereotyped output requires endocytosis. We further demonstrate
that the endosomal beta2-adrenergic receptor signal confers uniformity on the
downstream response because it is highly sensitive and saturable. Based on these
findings, we propose that GPCR signaling from endosomes functions as a biologic
noise filter to enhance reliability of cognate ligand detection.
PMID- 27879341
TI - Dynamin Functions and Ligands: Classical Mechanisms Behind.
AB - Dynamin is a GTPase that plays a vital role in clathrin-dependent endocytosis and
other vesicular trafficking processes by acting as a pair of molecular scissors
for newly formed vesicles originating from the plasma membrane. Dynamins and
related proteins are important components for the cleavage of clathrin-coated
vesicles, phagosomes, and mitochondria. These proteins help in organelle
division, viral resistance, and mitochondrial fusion/fission. Dysfunction and
mutations in dynamin have been implicated in the pathophysiology of various
disorders, such as Alzheimer's disease, Parkinson's disease, Huntington's
disease, Charcot-Marie-Tooth disease, heart failure, schizophrenia, epilepsy,
cancer, dominant optic atrophy, osteoporosis, and Down's syndrome. This review is
an attempt to illustrate the dynamin-related mechanisms involved in the above
mentioned disorders and to help medicinal chemists to design novel dynamin
ligands, which could be useful in the treatment of dynamin-related disorders.
PMID- 27879342
TI - Tenacious assumptions of person-centred care? Exploring tensions and variations
in practice.
AB - In recent decades, the 'tenacious assumptions' of biomedicine regarding the
neutrality and universality of its knowledge claims have been significantly
challenged by the growth of new collaborative and patient-focused models of
Healthcare delivery. In this article, we discuss and critically reflect upon one
such alternative Healthcare model developed at the University of Gothenburg
Centre for Person-Centred Care in Sweden. This centre uses three clinical
routines of narrative, partnership and documentation to provide Healthcare to
people recognized as unique individuals rather than patients. Person-centred care
in Gothenburg and more broadly is based on the assumption that a person is
independently capable of reasoning and verbal expression and willing to provide
clear and genuine narratives and cooperate with Healthcare professionals.
However, we argue that by emphasizing individual capabilities of reasoning and
verbal expression, an unnecessarily limited conception of personhood risks being
imposed on these routines. Drawing upon semi-structured interviews with
researchers in three very different Gothenburg Centre for Person-Centred Care
research projects - about healthy ageing in migrant communities, neurogenic
communication disorders, and psychosis - we highlight that how persons are
recognized as unique and capable varies significantly in practice across
different Healthcare settings. Thus, we assert that person-centred care's own
potentially tenacious assumptions about the attributes of personhood risk
distracting attention away from the variety of creative ways that professionals
and persons promisingly find for translating the ideal of person-centred care
into practice.
PMID- 27879343
TI - Serine threonine kinase receptor associated protein regulates early follicle
development in the mouse ovary.
AB - The molecular mechanisms involved in regulating the development of small,
gonadotrophin-independent follicles are poorly understood; however, many studies
have highlighted an essential role for TGFB ligands. Canonical TGFB signalling is
dependent upon intracellular SMAD proteins that regulate transcription. STRAP has
been identified in other tissues as an inhibitor of the TGFB-SMAD signalling
pathway. Therefore, in this study we aimed to determine the expression and role
of STRAP in the context of early follicle development. Using qPCR, Strap, Smad3
and Smad7 revealed similar expression profiles in immature ovaries from mice aged
4-16 days containing different populations of early growing follicles. STRAP and
SMAD2/3 proteins co-localised in granulosa cells of small follicles using
immunofluorescence. Using an established culture model, neonatal mouse ovary
fragments with a high density of small non-growing follicles were used to examine
the effects of Strap knockdown using siRNA and STRAP protein inhibition by immuno
neutralisation. Both interventions caused a reduction in the proportion of small,
non-growing follicles and an increase in the proportion and size of growing
follicles in comparison to untreated controls, suggesting inhibition of STRAP
facilitates follicle activation. Recombinant STRAP protein had no effect on
small, non-growing follicles, but increased the mean oocyte size of growing
follicles in the neonatal ovary model and also promoted the growth of isolated
preantral follicles in vitro Overall findings indicate STRAP is expressed in the
mouse ovary and is capable of regulating development of small follicles in a
stage-dependent manner.
PMID- 27879344
TI - Failure to launch: aberrant cumulus gene expression during oocyte in vitro
maturation.
AB - In vitro maturation (IVM) offers significant benefits for human infertility
treatment and animal breeding, but this potential is yet to be fully realised due
to reduced oocyte developmental competence in comparison with in vivo matured
oocytes. Cumulus cells occupy an essential position in determining oocyte
developmental competence. Here we have examined the areas of deficient gene
expression, as determined within microarrays primarily from cumulus cells of
mouse COCs, but also other species, between in vivo matured and in vitro matured
oocytes. By retrospectively analysing the literature, directed by focussing on
downregulated genes, we provide an insight as to why the in vitro cumulus cells
fail to support full oocyte potential and dissect molecular pathways that have
important roles in oocyte competence. We conclude that the roles of epidermal
growth factor signalling, the expanded extracellular matrix, cumulus cell
metabolism and the immune system are critical deficiencies in cumulus cells of
IVM COCs.
PMID- 27879345
TI - The role of lymph node size and FOXP3+ regulatory T cells in node-negative colon
cancer.
AB - Recently, we demonstrated that the intratumoural density of CD3+ and CD8+ T cells
is independently prognostic and associated with lymph node (LN) harvest and LN
size in node-negative colon cancer. We assumed that FOXP3+ T cells (Tregs) could
be inversely associated with these LN features. Therefore, we performed a
retrospective immunohistochemical analysis using an already well-characterised
collection of stage I/II colon cancer cases. Receiver operating characteristic
analysis revealed the optimal cut-off for predicting cancer-related death to be
70 FOXP3+ Tregs/mm2 at the invasion front. Other than T-stage, none of the
relevant histopathological parameters were associated with the density of FOXP3+
cells. In particular, no relation to LN size and count were found. Cancer
specific survival was significantly improved in cases with high densities (115 vs
86 months; p=0.026) in univariable but not in multivariable analysis. In contrast
to other cancers, FOXP3+ T cells are associated with a favourable outcome.
PMID- 27879346
TI - A Zebrafish Model for a Human Myopathy Associated with Mutation of the
Unconventional Myosin MYO18B.
AB - Myosin 18B is an unconventional myosin that has been implicated in tumor
progression in humans. In addition, loss-of-function mutations of the MYO18B gene
have recently been identified in several patients exhibiting symptoms of nemaline
myopathy. In mouse, mutation of Myo18B results in early developmental arrest
associated with cardiomyopathy, precluding analysis of its effects on skeletal
muscle development. The zebrafish, frozen (fro) mutant was identified as one of a
group of immotile mutants in the 1996 Tubingen genetic screen. Mutant embryos
display a loss of birefringency in their skeletal muscle, indicative of disrupted
sarcomeric organization. Using meiotic mapping, we localized the fro locus to the
previously unannotated zebrafish myo18b gene, the product of which shares close
to 50% identity with its human ortholog. Transcription of myo18b is restricted to
fast-twitch myocytes in the zebrafish embryo; consistent with this, fro mutant
embryos exhibit defects specifically in their fast-twitch skeletal muscles. We
show that sarcomeric assembly is blocked at an early stage in fro mutants,
leading to the disorganized accumulation of actin, myosin, and alpha-actinin and
a complete loss of myofibrillar organization in fast-twitch muscles.
PMID- 27879347
TI - Recombinant Haplotypes Narrow the ARMS2/HTRA1 Association Signal for Age-Related
Macular Degeneration.
AB - Age-related macular degeneration (AMD) is the leading cause of blindness in
ageing societies, triggered by both environmental and genetic factors. The
strongest genetic signal for AMD with odds ratios of up to 2.8 per adverse allele
was found previously over a chromosomal region in 10q26 harboring two genes,
ARMS2 and HTRA1, although with little knowledge as to which gene or genetic
variation is functionally relevant to AMD pathology. In this study, we analyzed
rare recombinant haplotypes in 16,144 AMD cases and 17,832 controls from the
International AMD Genomics Consortium and identified variants in ARMS2 but not
HTRA1 to exclusively carry the AMD risk with P-values between 1.0 * 10-773 and
6.7 * 10-5 This now allows prioritization of the gene of interest for subsequent
functional studies.
PMID- 27879348
TI - The Effects of Migration and Assortative Mating on Admixture Linkage
Disequilibrium.
AB - Statistical models in medical and population genetics typically assume that
individuals assort randomly in a population. While this simplifies model
complexity, it contradicts an increasing body of evidence of nonrandom mating in
human populations. Specifically, it has been shown that assortative mating is
significantly affected by genomic ancestry. In this work, we examine the effects
of ancestry-assortative mating on the linkage disequilibrium between local
ancestry tracks of individuals in an admixed population. To accomplish this, we
develop an extension to the Wright-Fisher model that allows for ancestry-based
assortative mating. We show that ancestry-assortment perturbs the distribution of
local ancestry linkage disequilibrium (LAD) and the variance of ancestry in a
population as a function of the number of generations since admixture. This
assortment effect can induce errors in demographic inference of admixed
populations when methods assume random mating. We derive closed form formulae for
LAD under an assortative-mating model with and without migration. We observe that
LAD depends on the correlation of global ancestry of couples in each generation,
the migration rate of each of the ancestral populations, the initial proportions
of ancestral populations, and the number of generations since admixture. We also
present the first direct evidence of ancestry-assortment in African Americans and
examine LAD in simulated and real admixed population data of African Americans.
We find that demographic inference under the assumption of random mating
significantly underestimates the number of generations since admixture, and that
accounting for assortative mating using the patterns of LAD results in estimates
that more closely agrees with the historical narrative.
PMID- 27879350
TI - Response to: 'Carpal tunnel syndrome and its relationship with occupation and
sex: from objective evaluation to patients' care' by Coraci et al.
PMID- 27879351
TI - Carpal tunnel syndrome and its relationship with occupation and sex: from
objective evaluation to patients' care.
PMID- 27879353
TI - 2016 Health Care & Education Presidential Address: If DSME Were a Pill, Would You
Prescribe It?
AB - This address was delivered by Margaret A. Powers, PhD, RD, CDE, President, Health
Care & Education, of the American Diabetes Association (ADA), at the ADA's 76th
Scientific Sessions in New Orleans, LA, on 11 June 2016. Dr. Powers conducts
research and has a clinical practice as a registered dietitian and diabetes
educator at the International Diabetes Center at Park Nicollet in Minneapolis.
Her research focuses on improving diabetes outcomes including factors that affect
the clinical, psychosocial, and behavioral aspects of diabetes. Dr. Powers has
been an ADA volunteer for more than 25 years, including serving as a founding
editor of Diabetes Spectrum She is the lead author of the 2015 joint Position
Statement on Diabetes Self-management Education and Support published by the ADA,
American Association of Diabetes Educators, and Academy of Nutrition and
Dietetics. She is the recipient of the ADA's Outstanding Educator in Diabetes
Award and has published research, authored numerous articles and chapters,
published five books, and is an international presenter. Dr. Powers holds a
doctorate in education with a focus on performance improvement from Capella
University. She received her Master of Science from the University of Illinois at
Chicago and her Bachelor of Science from Michigan State University. She completed
her dietetic internship at Cook County Hospital in Chicago.
PMID- 27879356
TI - Harold E. Lebovitz, MD: Legendary Diabetologist.
PMID- 27879349
TI - NCAM1 Polysialylation: The Prion Protein's Elusive Reason for Being?
AB - Much confusion surrounds the physiological function of the cellular prion protein
(PrPC). It is, however, anticipated that knowledge of its function will shed
light on its contribution to neurodegenerative diseases and suggest ways to
interfere with the cellular toxicity central to them. Consequently, efforts to
elucidate its function have been all but exhaustive. Building on earlier work
that uncovered the evolutionary descent of the prion founder gene from an
ancestral ZIP zinc transporter, we recently investigated a possible role of PrPC
in a morphogenetic program referred to as epithelial-to-mesenchymal transition
(EMT). By capitalizing on PrPC knockout cell clones in a mammalian cell model of
EMT and using a comparative proteomics discovery strategy, neural cell adhesion
molecule-1 emerged as a protein whose upregulation during EMT was perturbed in
PrPC knockout cells. Follow-up work led us to observe that PrPC regulates the
polysialylation of the neural cell adhesion molecule NCAM1 in cells undergoing
morphogenetic reprogramming. In addition to governing cellular migration,
polysialylation modulates several other cellular plasticity programs PrPC has
been phenotypically linked to. These include neurogenesis in the subventricular
zone, controlled mossy fiber sprouting and trimming in the hippocampal formation,
hematopoietic stem cell renewal, myelin repair and maintenance, integrity of the
circadian rhythm, and glutamatergic signaling. This review revisits this body of
literature and attempts to present it in light of this novel contextual
framework. When approached in this manner, a coherent model of PrPC acting as a
regulator of polysialylation during specific cell and tissue morphogenesis events
comes into focus.
PMID- 27879354
TI - Ablation of the Duodenal Mucosa as a Strategy for Glycemic Control in Type 2
Diabetes: Role of Nutrient Signaling or Simple Weight Loss.
PMID- 27879355
TI - Pregnancy Outcomes in Women With Diabetes-Lessons Learned From Clinical Research:
The 2015 Norbert Freinkel Award Lecture.
AB - Among women with diabetes, the worst pregnancy outcome is seen in the subgroup of
women with diabetic nephropathy. Development of severe preeclampsia that leads to
early preterm delivery is frequent. Predictors and pathophysiological mechanisms
for the development of preeclampsia among women with diabetes and observational
studies that support antihypertension treatment for pregnant women with
microalbuminuria or diabetic nephropathy preventing preeclampsia and early
preterm delivery are presented here. Obtaining and maintaining strict glycemic
control before and during pregnancy is paramount to prevent preterm delivery. The
cornerstones of diabetes management are appropriate diet and insulin, although
the risk of severe hypoglycemia always needs to be taken into account when
tailoring a diabetes treatment plan. Pathophysiological mechanisms of the
increased risk of hypoglycemia during pregnancy are explored, and studies
evaluating the use of insulin analogs, insulin pumps, and continuous glucose
monitoring to improve pregnancy outcomes and to reduce the risk of severe
hypoglycemia in pregnant women with type 1 diabetes are reported. In addition to
strict glycemic control, other factors involved in fetal overgrowth are explored,
and restricting maternal gestational weight gain is a promising treatment area.
The optimal carbohydrate content of the diet is discussed. In summary, the
lessons learned from this clinical research are that glycemic control,
gestational weight gain, and antihypertension treatment all are of importance for
improving pregnancy outcomes in pregnant women with preexisting diabetes. An
example of how to use app technology to share the recent evidence-based clinical
recommendations for women with diabetes who are pregnant or planning pregnancy is
given.
PMID- 27879357
TI - Psychosocial Research and Care in Diabetes: Altering Lives by Understanding
Attitudes.
PMID- 27879361
TI - Comment on Crews et al. Role and Determinants of Adherence to Off-loading in
Diabetic Foot Ulcer Healing: A Prospective Investigation. Diabetes Care
2016;39:1371-1377.
PMID- 27879358
TI - Psychosocial Care for People With Diabetes: A Position Statement of the American
Diabetes Association.
PMID- 27879359
TI - Effect of DECIDE (Decision-making Education for Choices In Diabetes Everyday)
Program Delivery Modalities on Clinical and Behavioral Outcomes in Urban African
Americans With Type 2 Diabetes: A Randomized Trial.
AB - OBJECTIVE: To compare the effectiveness of three delivery modalities of Decision
making Education for Choices In Diabetes Everyday (DECIDE), a nine-module,
literacy-adapted diabetes and cardiovascular disease (CVD) education and problem
solving training, compared with an enhanced usual care (UC), on clinical and
behavioral outcomes among urban African Americans with type 2 diabetes. RESEARCH
DESIGN AND METHODS: Eligible participants (n = 182) had a suboptimal CVD risk
factor profile (A1C, blood pressure, and/or lipids). Participants were randomized
to DECIDE Self-Study (n = 46), DECIDE Individual (n = 45), DECIDE Group (n = 46),
or Enhanced UC (n = 45). Intervention duration was 18-20 weeks. Outcomes were
A1C, blood pressure, lipids, problem-solving, disease knowledge, and self-care
activities, all measured at baseline, 1 week, and 6 months after completion of
the intervention. RESULTS: DECIDE modalities and Enhanced UC did not
significantly differ in clinical outcomes at 6 months postintervention. In
participants with A1C >=7.5% (58 mmol/mol) at baseline, A1C declined in each
DECIDE modality at 1 week postintervention (P < 0.05) and only in Self-Study at 6
months postintervention (b = -0.24, P < 0.05). There was significant reduction in
systolic blood pressure in Self-Study (b = -4.04) and Group (b = -3.59) at 6
months postintervention. Self-Study, Individual, and Enhanced UC had significant
declines in LDL and Self-Study had an increase in HDL (b = 1.76, P < 0.05) at 6
months postintervention. Self-Study and Individual had a higher increase in
knowledge than Enhanced UC (P < 0.05), and all arms improved in problem-solving
(P < 0.01) at 6 months postintervention. CONCLUSIONS: DECIDE modalities showed
benefits after intervention. Self-Study demonstrated robust improvements across
clinical and behavioral outcomes, suggesting program suitability for broader
dissemination to populations with similar educational and literacy levels.
PMID- 27879362
TI - Response to Comment on Crews et al. Role and Determinants of Adherence to Off
loading in Diabetic Foot Ulcer Healing: A Prospective Investigation. Diabetes
Care 2016;39:1371-1377.
PMID- 27879363
TI - Comment on Ferrannini et al. CV Protection in the EMPA-REG OUTCOME Trial: A
"Thrifty Substrate" Hypothesis. Diabetes Care 2016;39:1108-1114.
PMID- 27879364
TI - Response to Comment on Ferrannini et al. CV Protection in the EMPA-REG OUTCOME
Trial: A "Thrifty Substrate" Hypothesis. Diabetes Care 2016;39:1108-1114.
PMID- 27879365
TI - Comment on Shahraz et al. Do Patient Characteristics Impact Decisions by
Clinicians on Hemoglobin A1c Targets? Diabetes Care 2016;38:e145-e146.
PMID- 27879366
TI - Response to Comment on Shahraz et al. Do Patient Characteristics Impact Decisions
by Clinicians on Hemoglobin A1c Targets? Diabetes Care 2016;38: e145-e146.
PMID- 27879368
TI - 99mTc-Duramycin SPECT Imaging of Early Tumor Response to Targeted Therapy: A
Comparison with 18F-FDG PET.
AB - Molecular imaging of cell death may provide a detailed readout of the cellular
response to novel therapies and prognostic information on tumor treatment
efficacy, assisting in the design of individualized therapy. We compared the
predictive power of cell death imaging using 99mTc-duramycin with the current
gold standard 18F-FDG for treatment response evaluation after targeted therapy.
Methods: Early therapy response evaluation was assessed by 99mTc-duramycin SPECT
and 18F-FDG PET imaging in treatment-sensitive COLO205 and treatment-resistant
HT29 human colorectal cancer xenografts 24 h after a single dose of conatumumab
or IgG1 control. The specificity of 99mTc-duramycin for apoptosis was assessed
using 99mTc-linear duramycin control radiotracer. Radiotracer uptake was
validated ex vivo by gamma-counting and autoradiography and compared with cleaved
caspase-3 (CC3) activation and DNA fragmentation (TdT-mediated dUTP nick-end
labeling [TUNEL]). Data were analyzed with the Student t test and Pearson
correlation. All statistical tests were 2-sided. Results: COLO205 tumor uptake of
99mTc-duramycin was increased 7-fold from baseline in conatumumab- versus IgG1
treated control mice (P < 0.001), in good correlation with histologic analysis of
apoptosis (CC3, r = 0.842, and TUNEL, r = 0.894; P < 0.001). No response was
detected in HT29 tumors. No change in 99mTc-linear duramycin uptake could be
detected in COLO205 tumors after treatment, indicating specificity of the 99mTc
duramycin tumor signal. 18F-FDG uptake was not significantly increased from
baseline in conatumumab- versus IgG1-treated COLO205 and HT29 tumor-bearing mice
(P = 0.104 and 0.779, respectively) and did not correlate with
immunohistochemical evidence of apoptosis. Conclusion: We have demonstrated that
99mTc-duramycin specifically accumulates in apoptotic tumors in which 18F-FDG was
not able to differentiate responding from nonresponding tumors early after
treatment. 99mTc-duramycin holds promise as a noninvasive imaging radiotracer for
early treatment evaluation in the clinic.
PMID- 27879369
TI - Response Assessment Criteria and Their Applications in Lymphoma: Part 2.
AB - Interim and end-of-treatment PET/CT have become central to the evaluation of
Hodgkin and non-Hodgkin lymphoma. This review article seeks to aid clinical
decision making by providing an overview of available data on the diagnostic and
prognostic value of PET/CT imaging for response assessment and pretransplant
evaluation in lymphoma. The relative strengths and limitations of these
techniques in various disease subtypes and clinical scenarios are explored, along
with their current standards for reporting and latest developments. Particular
attention is given to response-adapted therapy, which is emerging as a
cornerstone of clinical management.
PMID- 27879370
TI - Validation of MRI Determination of the Penumbra by PET Measurements in Ischemic
Stroke.
AB - The concept of the ischemic penumbra was formulated on the basis of animal
experiments showing functional impairment and electrophysiologic disturbances
with decreasing flow to the brain below defined values (the threshold for
function) and irreversible tissue damage with blood supply further decreased (the
threshold for infarction). The perfusion range between these thresholds was
termed the "penumbra," and restitution of flow above the functional threshold was
able to reverse the deficits without permanent damage. In further experiments,
the dependency of the development of irreversible lesions on the interaction of
the severity and the duration of critically reduced blood flow was established,
proving that the lower the flow, the shorter the time for efficient reperfusion.
As a consequence, infarction develops from the core of ischemia to the areas of
less severe hypoperfusion. The translation of this experimental concept as the
basis for the efficient treatment of stroke requires noninvasive methods with
which regional flow and energy metabolism can be repeatedly investigated to
demonstrate penumbra tissue, which can benefit from therapeutic interventions.
PET allows the quantification of regional cerebral blood flow, the regional
oxygen extraction fraction, and the regional metabolic rate for oxygen. With
these variables, clear definitions of irreversible tissue damage and of
critically hypoperfused but potentially salvageable tissue (i.e., the penumbra)
in stroke patients can be achieved. However, PET is a research tool, and its
complex logistics limit clinical routine applications. Perfusion-weighted or
diffusion-weighted MRI is a widely applicable clinical tool, and the "mismatch"
between perfusion-weighted and diffusion-weighted abnormalities serves as an
indicator of the penumbra. However, comparative studies of perfusion-weighted or
diffusion-weighted MRI and PET have indicated overestimation of the core of
irreversible infarction as well as of the penumbra by the MRI modalities. Some of
these discrepancies can be explained by the nonselective application of relative
perfusion thresholds, which might be improved by more complex analytic
procedures. The heterogeneity of the MRI signatures used for the definition of
the mismatch are also responsible for disappointing results in the application of
perfusion-weighted or diffusion-weighted MRI to the selection of patients for
clinical trials. As long as validation of the mismatch selection paradigm is
lacking, the use of this paradigm as a surrogate marker of outcome is limited.
PMID- 27879367
TI - Alternative Splicing of EZH2 pre-mRNA by SF3B3 Contributes to the Tumorigenic
Potential of Renal Cancer.
AB - Purpose: Deregulation or mutation of the EZH2 gene causes various tumors,
including clear cell renal cell carcinoma (ccRCC). Although several splice
variants of EZH2 have been identified, little is known about how EZH2 splicing is
regulated or the contribution of alternative splicing to its protumorigenic
functions.Experimental Design: We conducted RT-PCR, Western blot analysis, and
IHC techniques to examine EZH2 and its alternative splicing transcript expression
in renal cancer tissue and renal cancer cell lines. Proliferation, migration,
clonogenicity, and tumorigenicity of renal cancer cells either exhibiting
knockdown of EZH2 or its splicing factor SF3B3 were assessed by CCK8, Transwell
assay, and murine xenograft experiments.Results: We found that the inclusion of
alternative EZH2 exon 14 was significantly increased in ccRCC samples and renal
cancer cell lines. In ccRCC lines, enforced expression of EZH2Delta14 inhibited,
and EZH2 promoted, cell growth, migration, proliferation, and tumorigenicity in a
xenograft model. Mechanistic studies demonstrated that EZH2Delta14 isoform
functions as a dominant-negative inhibitor of full-length EZH2. Coexpression of
EZH2Delta14 variant with full-length EZH2 not only abrogated DAB2IP and HOXA9
suppression but also inhibited EZH2-driven tumorigenesis. Strikingly, the
splicing factor SF3B3 stimulates inclusion of exon14 and has pro-proliferative
activity. Importantly, the upregulation of SF3B3 expression observed in clinical
ccRCC samples parallels the increased inclusion of EZH2 exon14, and the SF3B3
level is associated with higher tumor stage and poor overall
survival.Conclusions: These results suggest SF3B3 as a key regulator of EZH2 pre
mRNA splicing and SF3B3 may represent a novel prognostic factor and potential
therapeutic target in ccRCC. Clin Cancer Res; 23(13); 3428-41. (c)2016 AACR.
PMID- 27879371
TI - Small Data: A Ubiquitous, Yet Untapped, Resource for Low-Cost Imaging Innovation.
PMID- 27879372
TI - Metabolic Imaging in Parkinson Disease.
AB - This review focuses on recent human 18F-FDG PET studies in Parkinson disease.
First, an overview is given of the current analytic approaches to metabolic brain
imaging data. Next, we discuss how 18F-FDG PET studies have advanced
understanding of the relation between distinct brain regions and associated
symptoms in Parkinson disease, including cognitive decline. In addition, the
value of 18F-FDG PET studies in differential diagnosis, identifying prodromal
patients, and the evaluation of treatment effects are reviewed. Finally,
anticipated developments in the field are addressed.
PMID- 27879373
TI - Novel "Add-On" Molecule Based on Evans Blue Confers Superior Pharmacokinetics and
Transforms Drugs to Theranostic Agents.
AB - One of the major design considerations for a drug is its pharmacokinetics in the
blood. A drug with a short half-life in the blood is less available at a target
organ. Such a limitation dictates treatment with either high doses or more
frequent doses, both of which may increase the likelihood of undesirable side
effects. To address the need for additional methods to improve the blood half
life of drugs and molecular imaging agents, we developed an "add-on" molecule
that contains 3 groups: a truncated Evans blue dye molecule that binds to albumin
with a low micromolar affinity and provides a prolonged half-life in the blood; a
metal chelate that allows radiolabeling for imaging and radiotherapy; and
maleimide for easy conjugation to drug molecules. Methods: The truncated Evans
blue molecule was conjugated with the chelator NOTA or DOTA, and the resulting
conjugate was denoted as NMEB or DMEB, respectively. As a proof of concept, we
coupled NMEB and DMEB to c(RGDfK), which is a small cyclic arginine-glycine
aspartic acid (RGD) peptide, for targeting integrin alphavbeta3 NMEB and DMEB
were radiolabeled with 64Cu and 90Y, respectively, and tested in xenograft
models. Results: The resulting radiolabeled conjugates showed a prolonged
circulation half-life and enhanced tumor accumulation in integrin alphavbeta3
expressing tumors. Tumor uptake was markedly improved over that with NOTA- or
DOTA-conjugated c(RGDfK). Tumor radiotherapy experiments in mice with 90Y-DMEB
RGD showed promising results; existing tumors were eliminated. Conclusion:
Conjugation of our novel add-on molecule, NMEB or DMEB, to potential tracers or
therapeutic agents improved blood half-life and tumor uptake and could transform
such agents into theranostic entities.
PMID- 27879374
TI - Molecular determinants of KA1 domain-mediated autoinhibition and phospholipid
activation of MARK1 kinase.
AB - Protein kinases are frequently regulated by intramolecular autoinhibitory
interactions between protein modules that are reversed when these modules bind
other 'activating' protein or membrane-bound targets. One group of kinases, the
MAP/microtubule affinity-regulating kinases (MARKs) contain a poorly understood
regulatory module, the KA1 (kinase associated-1) domain, at their C-terminus. KA1
domains from MARK1 and several related kinases from yeast to humans have been
shown to bind membranes containing anionic phospholipids, and peptide ligands
have also been reported. Deleting or mutating the C-terminal KA1 domain has been
reported to activate the kinase in which it is found - also suggesting an
intramolecular autoinhibitory role. Here, we show that the KA1 domain of human
MARK1 interacts with, and inhibits, the MARK1 kinase domain. Using site-directed
mutagenesis, we identify residues in the KA1 domain required for this
autoinhibitory activity, and find that residues involved in autoinhibition and in
anionic phospholipid binding are the same. We also demonstrate that a 'mini'
MARK1 becomes activated upon association with vesicles containing anionic
phospholipids, but only if the protein is targeted to these vesicles by a second
signal. These studies provide a mechanistic basis for understanding how MARK1 and
its relatives may require more than one signal at the membrane surface to control
their activation at the correct location and time. MARK family kinases have been
implicated in a plethora of disease states including Alzheimer's, cancer, and
autism, so advancing our understanding of their regulatory mechanisms may
ultimately have therapeutic value.
PMID- 27879375
TI - The Role of Oxidative Stress in Koenimbine-Induced DNA Damage and Heat Shock
Protein Modulation in HepG2 Cells.
AB - BACKGROUND: Murraya koenigii (L.) Spreng, is a significant herb of traditional
Ayurvedic system of medicine. Koenimbine, a carbazole alkaloid isolated from this
plant holds antiproliferative and apoptotic effects. The aim of this study was to
assess koenimbine-induced DNA damage and to clarify the role of free radicals in
cell death mechanisms, using HepG2 cells. METHODS: The level of cytotoxicity was
assayed by MTT assay. To elucidate the role of glutathione (GSH), the
intracellular GSH level was analyzed. The effect of koenimbine in the cell
mitochondria was evaluated using mitochondrial membrane potential (MMP) changes.
Single cell gel electrophoresis assay was used to examine the level of DNA
damage. Heat shock proteins, Hsp 70 and Hsp 90 expressions were checked at mRNA
and protein level. Ascorbic acid and catalase were used as control antioxidants.
RESULTS: It was observed that koenimbine considerably increased DNA damage in
HepG2 cells at subcytotoxic concentrations. Koenimbine induced the increased
levels of reactive oxygen species (ROS) and reduction of GSH level in HepG2
cells, together with time-dependent loss of MMP. In addition, results clearly
showed that koenimbine encouraged cells to express Hsp 70 and Hsp 90 in a
concentration-dependent manner up to a concentration of 100 uM and a time
dependent manner at 24-hour incubation both at transcriptional and translational
levels. The antioxidant capacity of ascorbic acid was found to be not as
prominent as to catalase throughout the study. CONCLUSION: Based on these data it
can be concluded that koenimbine causes DNA strand breaks in HepG2 cells,
probably through oxidative stress. Moreover, the oxidative stress induced was
closely associated with MMP reduction and GSH depletion associated with HSP
modulation at subcytotoxic concentration.
PMID- 27879378
TI - Global news highlights.
PMID- 27879377
TI - Promising Compounds From Murraya exotica for Cancer Metastasis Chemoprevention.
AB - Most of the present anticancer drugs are highly cytotoxic and focus mainly on
killing tumor cells rather than slowing the progress of cancer metastasis.
Evidence has been reported that bridges the mechanisms of inflammation and tumor
invasion. Therefore, we evaluated the potency in cancer metastasis
chemoprevention of compounds and a coumarin extracted from Murraya exotica, which
is known for its anti-inflammation bioactivity. By carrying out experiments in
vitro, we found the root extracts more efficient than the leaf extracts in
restraining cell migration of MDA-MB-231 cells, while leaf extracts presented
slightly stronger inhibition of tumor cell adhesion at low concentrations. In
addition, compared to root extracts, a novel coumarin identified previously from
root extracts showed equal inhibition on cancer cell adhesion and less inhibition
on cell migration. All extracts used in this study presented low cytotoxicity in
vitro. Through comparison of the contents of leaf and root extracts from M
exotica, several compounds are considered promising against cancer metastasis.
This study evaluates the worth of further development of M exotica to find its
effect on cancer metastasis chemoprevention.
PMID- 27879376
TI - The Fractionated Toona sinensis Leaf Extract Induces Apoptosis of Human
Osteosarcoma Cells and Inhibits Tumor Growth in a Murine Xenograft Model.
AB - BACKGROUND: Osteosarcoma is a malignant bone tumor prevalent in adolescents with
poor prognosis. Toona sinensis showed potent antiproliferation effect on lung,
melatonin, ovary, colon, and liver cancers. However, the effects of the species
on osteosarcoma cells are rarely investigated. RESULTS: In this study, we found
fraction 1 of Toona sinensis leaf (TSL-1) resulted in inhibition of cell
viability in MG-63, Saos-2, and U2OS osteosarcoma cell lines, while it only
caused a moderate suppressive effect on normal osteoblasts. In addition, TSL-1
significantly elevated lactate dehydrogenase leakage and induced apoptosis and
necrosis in Saos-2 cells. TSL-1 increased mRNA expression of pro-apoptotic factor
Bad. Most important, TSL-1 significantly suppressed Saos-2 xenograft tumor growth
in nude mice by increasing caspase-3. The IC-50 of TSL-1 for the 3 tested
osteosarcoma cells is around 1/9 of that for lung cancer cells. CONCLUSION: We
demonstrated that TSL-1, a fractionated extract from TSL, caused significant
cytotoxicity to osteosarcoma cells due to apoptosis. In vivo xenograft study
showed that TSL-1 suppressed the growth of osteosarcoma cells at least in part by
inducing apoptosis. Our results indicate that TSL-1 has potential to be a
promising anti-osteosarcoma adjuvant functional plant extract.
PMID- 27879379
TI - Performance of the PneuX System: A Bench Study Comparison With 4 Other
Endotracheal Tube Cuffs.
AB - BACKGROUND: Cuff design affects microaspiration, a risk factor for pneumonia. We
questioned whether the PneuX low-volume fold-free cuff design would prevent cuff
leakage and maintain the same tracheal wall pressure as high-volume, low-pressure
(HVLP) cuffs. METHODS: We evaluated 4 HVLP-cuffed endotracheal tubes (ETTs), Hi
Lo (polyvinyl chloride [PVC]), Microcuff (polyurethane [PU]), SealGuard (PU +
tapered), and TaperGuard (PVC + tapered), and the PneuX with its dedicated
tracheal seal monitor. In Part 1, we determined tracheal wall pressure using each
cuff's capacity to support water columns across recommended intracuff pressures.
In Part 2, we evaluated the tracheal seal monitor function at recommended
settings. In Part 3, we compared leakage volumes of all ETTs during 30 min of
simulated mechanical ventilation or during 8 h if no leak occurred. Parts 1 and 3
were performed with/without lubrication and PEEP. RESULTS: In Part 1, PneuX cuffs
exerted an average tracheal wall pressure of 27.4 +/- 2.4 cm H2O at the
recommended intracuff pressure of approximately 80 cm H2O. Tracheal wall pressure
did not differ among HVLP cuffs (19.6 +/- 1.4 to 29.5 +/- 1.4 cm H2O). In Part 2,
preinflation intracuff pressure affected the time to obtain tracheal seal monitor
pressure attainment (P < .01). The tracheal seal monitor generated average
calculated tracheal wall pressure of 33.4 +/- 1.2 cm H2O. In Part 3, PneuX ETT
showed no leak across 8 h for all trials. Overall, leakage volume was lower with
PU (P < .01) and PneuX (P < .01) than with PVC cuffs, regardless of shape, and
lower with lubrication and/or PEEP (all P < .01). In each HVLP cuff, lubrication
alone eliminated leak at an intracuff pressure of <=30 cm H2O. CONCLUSIONS: The
PneuX cuff generally exerted acceptable tracheal wall pressure, but the tracheal
wall pressure monitor allowed pressures exceeding 30 cm H2O in some trials and
was the only ETT to prevent leak in all tests. For HVLP cuffs, leak was reduced
by PU and PEEP and eliminated by lubrication.
PMID- 27879381
TI - Pediatric Prolonged Mechanical Ventilation: Considerations for Definitional
Criteria.
AB - BACKGROUND: A 2005 consensus conference led by the National Association for
Medical Direction of Respiratory Care (NAMDRC) defined prolonged mechanical
ventilation (PMV) for adults as invasive and/or noninvasive mechanical
ventilation (NIV) for >= 21 consecutive days for >= 6 h/d. In children, no such
consensus definition exists. This results in substantial variability in
definitional criteria, making study of the impact and outcomes of PMV across and
within settings problematic. The objective of this work was to identify how PMV
for children and neonates is described in the literature and to outline
pediatric/neonatal considerations related to PMV, with the goal of proposing a
pediatric/neonatal adaptation to the NAMDRC definition. METHODS: We searched
electronic databases for studies describing PMV in children. We extracted
definitional criteria and developed recommendations based on the literature
review and our clinical experience. RESULTS: Of the 416 citations obtained, 87
met inclusion criteria, totaling 34,255 subjects. Identified criteria for the
pediatric PMV definition included: number of consecutive days of mechanical
ventilation (ranging from 6 h to 3 months), inclusion of NIV, time spent off the
ventilator during weaning (considered as same ventilation episode), and
importance of chronological age (term neonates) and postmenstrual age for preterm
neonates. We considered high-flow nasal cannula; however, we determined that its
current role as a weaning adjunct is unclear. CONCLUSIONS: Therefore, we
developed the following recommendations for the pediatric PMV definition: >= 21
consecutive days (after 37 weeks postmenstrual age) of ventilation for >= 6 h/d
considering invasive ventilation and NIV and including short interruptions (< 48
h) of ventilation during the weaning process as the same episode of ventilation.
We propose a definition of pediatric PMV that incorporates the number of
consecutive days of mechanical ventilation while taking into account use of NIV
and lung maturity and including short interruptions during the weaning process.
PMID- 27879380
TI - Performance of Leak Compensation in All-Age ICU Ventilators During Volume
Targeted Neonatal Ventilation: A Lung Model Study.
AB - BACKGROUND: Volume-targeted ventilation is increasingly used in low birthweight
infants because of the potential for reducing volutrauma and avoiding hypocapnea.
However, it is not known what level of air leak is acceptable during neonatal
volume-targeted ventilation when leak compensation is activated concurrently.
METHODS: Four ICU ventilators (Servo-i, PB980, V500, and Avea) were compared in
available invasive volume-targeted ventilation modes (pressure control continuous
spontaneous ventilation [PC-CSV] and pressure control continuous mandatory
ventilation [PC-CMV]). The Servo-i and PB980 were tested with (+) and without (-)
their proximal flow sensor. The V500 and Avea were tested with their proximal
flow sensor as indicated by their manufacturers. An ASL 5000 lung model was used
to simulate 4 neonatal scenarios (body weight 0.5, 1, 2, and 4 kg). The ASL 5000
was ventilated via an endotracheal tube with 3 different leaks. Two minutes of
data were collected after each change in leak level, and the asynchrony index was
calculated. Tidal volume (VT) before and after the change in leak was assessed.
RESULTS: The differences in delivered VT between before and after the change in
leak were within +/-5% in all scenarios with the PB980 (-/+) and V500. With the
Servo-i (-/+), baseline VT was >=10% greater than set VT during PC-CSV, and
delivered VT markedly changed with leak. The Avea demonstrated persistent high VT
in all leak scenarios. Across all ventilators, the median asynchrony index was 1%
(interquartile range 0-27%) in PC-CSV and 1.8% (0-45%) in PC-CMV. The median
asynchrony index was significantly higher in the Servo-i (-/+) than in the PB980
(-/+) and V500 in 1 and 2 kg scenarios during PC-CSV and PC-CMV. CONCLUSIONS: The
PB980 and V500 were the only ventilators to acclimate to all leak scenarios and
achieve targeted VT. Further clinical investigation is needed to validate the use
of leak compensation during neonatal volume-targeted ventilation.
PMID- 27879382
TI - Veteran Preferences Regarding Wireless Management of Positive Airway Pressure for
Obstructive Sleep Apnea at a Tertiary Health-Care System.
AB - BACKGROUND: Timely monitoring of obstructive sleep apnea (OSA) therapy can be a
challenge amid conflicting pressures of rising patient volume and shortage of
sleep medicine providers. Positive airway pressure (PAP) devices with wireless
modem technology have the potential to improve patient access to care and
streamline work load, yet little is known about patient attitudes toward
telehealth integration among veterans with sleep apnea. As part of a larger
quality improvement initiative at the Veterans Affairs (VA) Puget Sound Health
Care System, we elicited veterans' preferences toward modem versus traditional
PAP data download, including patient attitudes and factors affecting those
preferences. METHODS: We conducted an anonymous survey of veterans without
previous CPAP experience presenting for initial device setup and training at VA
Puget Sound PAP clinics. Surveys assessed subject demographics, PAP download
preferences (modem vs mail), and Likert-type scale ratings of importance placed
on factors including convenience and information privacy. Using multinomial
logistic regression, we examined the association between convenience rating and
download preference, adjusting for information privacy rating, age, and commute
time. RESULTS: Of 444 surveys analyzed, respondents were primarily male with a
mean age of 52 y. Most respondents reported taking >= 30 min to commute to the
PAP clinic. Convenience was rated as the most important factor affecting download
preferences. Veteran preferences regarding PAP download method were mixed, with
47% preferring modem, 38% preferring memory card mail-in, and 15% undecided. A
higher rating of convenience was significantly associated with modem preference,
both before and after adjustment for information privacy rating, commute time,
and veteran age (adjusted relative risk ratio 1.67, P < .001, 95% CI 1.40-1.99).
CONCLUSIONS: PAP data download preferences were mixed among new veteran users.
Veterans placed a high value on the potentially competing concerns of convenience
and information privacy. Veterans preferring modem factored convenience as
important in their decision making, independent of privacy concerns.
PMID- 27879383
TI - High-Flow Nasal Cannula in Critically Ill Subjects With or at Risk for
Respiratory Failure: A Systematic Review and Meta-Analysis.
AB - High-flow nasal cannula (HFNC) oxygen delivery has been gaining attention as an
alternative means of respiratory support for critically ill patients, with recent
studies suggesting equivalent outcomes when compared with other forms of oxygen
therapy delivery. The main objective of this review was to extract current data
about the efficacy of HFNC in critically ill subjects with or at risk for
respiratory failure. We performed a systematic review of publications (from
database inception to October 2015) that evaluated HFNC in critically ill
subjects with or at risk for acute respiratory failure and performed a meta
analysis comparing HFNC with noninvasive ventilation (NIV) and with standard
oxygen therapy regarding major outcomes: incidence of invasive mechanical
ventilation and ICU mortality. A total of 9 studies were included. HFNC was not
associated with a reduction in the incidence of invasive mechanical ventilation
compared with NIV (odds ratio [OR] 0.83, 95% CI 0.57-1.20, P = .31) or standard
oxygen therapy (OR 0.49, 95% CI 0.22-1.08, P = .17). Additionally, HFNC use did
not reduce ICU mortality compared with NIV (OR 0.72, 95% CI 0.23-2.21, P = .56)
or with standard oxygen therapy (OR 0.69, 95% CI 0.33-1.42, P = .29). There was a
trend toward better oxygenation compared with conventional oxygen therapy but a
worse gas exchange compared with NIV. At this moment, HFNC therapy seems not to
be superior to conventional oxygen therapy or NIV in terms of invasive mechanical
ventilation rate or ICU mortality in critical illness, but new studies are needed
to determine whether HFNC is associated with any difference in major outcomes
when compared with other techniques.
PMID- 27879384
TI - Videolaryngoscopy With Noninvasive Ventilation in Subjects With Upper-Airway
Obstruction.
AB - BACKGROUND: Noninvasive ventilation (NIV) titration may be difficult when dynamic
airway obstruction episodes persist, even with high expiratory positive airway
pressure (EPAP). We aimed to determine the usefulness of videolaryngoscopy during
NIV for identifying mechanisms and sites of obstruction and for providing a guide
for their resolution in difficult-to-titrate subjects. METHODS: When obstructions
during NIV were present in the built-in software, EPAP was raised to 12 cm H2O.
If obstructions persisted, a polygraphy during NIV was performed; if the events
occurred with effort, a videolaryngoscopy with nasal and oronasal masks in awake
subjects was performed. RESULTS: In a population of 208 subjects in whom NIV was
initiated, 13 were identified as difficult to titrate with persistent
obstructions during NIV despite an EPAP of 12 cm H2O. Videolaryngoscopy during
NIV was able to identify the mechanism and the site of obstruction in all cases.
The obstruction under oronasal mask ventilation was due to soft-palate (velum)
collapse in 4 subjects, to epiglottic backward movement in 5 other subjects, and
to tongue-base obstruction reducing the retroglossal space in 3 more.
Videolaryngoscopy during NIV demonstrated improvement in 9 subjects (69%) upon
changing to nasal mask and suggested a possible surgical approach in 2 (15%); in
one of these 2 subjects, a successful uvulopalatopharyngoplasty was performed.
CONCLUSIONS: The use of videolaryngoscopy during NIV in difficult-to-titrate
patients may help to identify the sites and mechanisms of obstruction and in some
cases may improve quality of ventilation.
PMID- 27879385
TI - FIO2 in an Adult Model Simulating High-Flow Nasal Cannula Therapy.
AB - BACKGROUND: High-flow nasal cannula therapy (HFNC) is widely used for patients
with acute respiratory failure. HFNC has a number of physiological effects.
Although FIO2 is considered to be constant, because HFNC is an open system, FIO2
varies according to inspiratory flow, tidal volume (VT), and HFNC gas flow. We
investigated the influence of HFNC gas flow and other respiratory parameters on
FIO2 during HFNC. METHODS: We evaluated an HFNC system and, for comparison, a
conventional oxygen therapy system. The HFNC apparatus was composed of an
air/oxygen blender, a heated humidifier, an inspiratory limb, and small, medium,
and large nasal prongs. HFNC gas flow was set at 20, 40, and 60 L/min, and FIO2
was set at 0.3, 0.5, and 0.7. We measured FIO2 for 1-min intervals using an
oxygen analyzer and extracted data for the final 3 breaths of each interval.
Spontaneous breathing was simulated using a mechanical ventilator connected to
the muscle compartment of a model lung. The lung compartment passively moved with
the muscle compartment, thus inspiring ambient air via a ventilator limb. With a
decelerating flow waveform, simulated VT was set at 300, 500, and 700 mL,
breathing frequency at 10 and 20 breaths/min, and inspiratory time at 1.0 s.
RESULTS: With HFNC gas flow of 20 and 40 L/min, at all set FIO2 values,
inspiratory oxygen concentration varied with VT (P < .001). As the set value for
FIO2 increased, the difference between set FIO2 and measured FIO2 increased.
Neither breathing frequency nor prong size influenced FIO2 . CONCLUSIONS: During
HFNC with simulated spontaneous breathing, when HFNC gas flow was 60 L/min,
measured FIO2 was similar to set FIO2 at 0.3 and 0.5, whereas at 0.7, as VT
increased, measured FIO2 decreased slightly. However, at 20 or 40 L/min, changes
in VT related with deviation from set FIO2 .
PMID- 27879386
TI - Mitochondrial calcium homeostasis: Implications for neurovascular and
neurometabolic coupling.
AB - Mitochondrial function is critical to maintain high rates of oxidative metabolism
supporting energy demands of both spontaneous and evoked neuronal activity in the
brain. Mitochondria not only regulate energy metabolism, but also influence
neuronal signaling. Regulation of "energy metabolism" and "neuronal signaling"
(i.e. neurometabolic coupling), which are coupled rather than independent can be
understood through mitochondria's integrative functions of calcium ion (Ca2+)
uptake and cycling. While mitochondrial Ca2+ do not affect hemodynamics directly,
neuronal activity changes are mechanistically linked to functional hyperemic
responses (i.e. neurovascular coupling). Early in vitro studies lay the
foundation of mitochondrial Ca2+ homeostasis and its functional roles within
cells. However, recent in vivo approaches indicate mitochondrial Ca2+ homeostasis
as maintained by the role of mitochondrial Ca2+ uniporter (mCU) influences system
level brain activity as measured by a variety of techniques. Based on earlier
evidence of subcellular cytoplasmic Ca2+ microdomains and cellular bioenergetic
states, a mechanistic model of Ca2+ mobilization is presented to understand
systems-level neurovascular and neurometabolic coupling. This integrated view
from molecular and cellular to the systems level, where mCU plays a major role in
mitochondrial and cellular Ca2+ homeostasis, may explain the wide range of
activation-induced coupling across neuronal activity, hemodynamic, and metabolic
responses.
PMID- 27879387
TI - Rice Cellulose SynthaseA8 Plant-Conserved Region Is a Coiled-Coil at the
Catalytic Core Entrance.
AB - The crystallographic structure of a rice (Oryza sativa) cellulose synthase,
OsCesA8, plant-conserved region (P-CR), one of two unique domains in the
catalytic domain of plant CesAs, was solved to 2.4 A resolution. Two antiparallel
alpha-helices form a coiled-coil domain linked by a large extended connector loop
containing a conserved trio of aromatic residues. The P-CR structure was fit into
a molecular envelope for the P-CR domain derived from small-angle X-ray
scattering data. The P-CR structure and molecular envelope, combined with a
homology-based chain trace of the CesA8 catalytic core, were modeled into a
previously determined CesA8 small-angle X-ray scattering molecular envelope to
produce a detailed topological model of the CesA8 catalytic domain. The predicted
position for the P-CR domain from the molecular docking models places the P-CR
connector loop into a hydrophobic pocket of the catalytic core, with the coiled
coil aligned near the entrance of the substrate UDP-glucose into the active site.
In this configuration, the P-CR coiled-coil alone is unlikely to regulate
substrate access to the active site, but it could interact with other domains of
CesA, accessory proteins, or other CesA catalytic domains to control substrate
delivery.
PMID- 27879388
TI - An Ethylene-Induced Regulatory Module Delays Flower Senescence by Regulating
Cytokinin Content.
AB - In many plant species, including rose (Rosa hybrida), flower senescence is
promoted by the gaseous hormone ethylene and inhibited by the cytokinin (CTK)
class of hormones. However, the molecular mechanisms underlying these
antagonistic effects are not well understood. In this study, we characterized the
association between a pathogenesis-related PR-10 family gene from rose (RhPR10.1)
and the hormonal regulation of flower senescence. Quantitative reverse
transcription PCR analysis showed that RhPR10.1 was expressed at high levels
during senescence in different floral organs, including petal, sepal, receptacle,
stamen, and pistil, and that expression was induced by ethylene treatment.
Silencing of RhPR10.1 expression in rose plants by virus-induced gene silencing
accelerated flower senescence, which was accompanied by a higher ion leakage rate
in the petals, as well as increased expression of the senescence marker gene
RhSAG12 CTK content and the expression of three CTK signaling pathway genes were
reduced in RhPR10.1-silenced plants, and the accelerated rate of petal senescence
that was apparent in the RhPR10.1-silenced plants was restored to normal levels
by CTK treatment. Finally, RhHB6, a homeodomain-Leu zipper I transcription
factor, was observed to bind to the RhPR10.1 promoter, and silencing of its
expression also promoted flower senescence. Our results reveal an ethylene
induced RhHB6-RhPR10.1 regulatory module that functions as a brake of ethylene
promoted senescence through increasing the CTK content.
PMID- 27879389
TI - VPS36-Dependent Multivesicular Bodies Are Critical for Plasmamembrane Protein
Turnover and Vacuolar Biogenesis.
AB - Most eukaryotic cells target ubiquitinated plasma membrane (PM) proteins for
vacuolar degradation in response to environmental and developmental cues. This
process involves endosomal sorting complexes required for transport (ESCRT).
However, little is known about the cellular mechanisms of ESCRTs in plants. Here,
we studied the function of one ESCRT-II component, VPS36, which shows ubiquitin
binding activity and may form a putative ESCRT-II with VPS22 and VPS25 in
Arabidopsis (Arabidopsis thaliana). Recessive mutation of the ubiquitously
expressed VPS36 causes multiple defects, including delayed embryogenesis,
defective root elongation, and limited expansion of cotyledons, and these effects
can be complemented by its genomic DNA. Abnormal intracellular compartments
containing several membrane transporters, including members of the PIN-FORMEDs,
AUXIN RESISTANT 1, and PIP1 families, were found in vps36-1 plants. Employing a
genetic approach to cross vps36-1/+ with transgenic plants harboring various
fluorescent protein-tagged organelle markers, as well as fluorescent probe and
ultrastructural approaches, revealed PM proteins in microsomal fractions from
vps36-1 seedlings and demonstrated that VPS36 is critical for forming
multivesicular bodies and vacuolar biogenesis for protein degradation. Our study
shows that functional VPS36 is essential for a proper endosomal sorting pathway
and for vacuolar biogenesis in Arabidopsis.
PMID- 27879391
TI - Rice Leaf Angle and Grain Size Are Affected by the OsBUL1 Transcriptional
Activator Complex.
AB - Rice atypical HLH protein Oryza sativa BRASSINOSTEROID UPREGULATED 1-LIKE1
(OsBUL1) is preferentially expressed in the lamina joint where it controls cell
elongation and positively affects leaf angles. OsBUL1 knockout mutant (osbul1)
and transgenic rice for double-stranded RNA interference (dsRNAi) of OsBUL1
produced erect leaves with smaller grains, whereas OsBUL1 overexpressors and an
activation tagging line of OsBUL1 exhibited increased lamina inclination and
grain size. Moreover, OsBUL1 expression was induced by brassinolide (BL) and
osbul1 did not respond to BL treatment. To understand the molecular network of
OsBUL1 function in rice, we isolated a novel OsBUL1-interacting protein, LO9-177,
an uncharacterized protein containing a KxDL motif, and functionally studied it
with respect to the lamina inclination and grain size of rice. OsBUL1 COMPLEX1
(OsBC1) is a basic helix-loop-helix (bHLH) transcriptional activator that
interacts with OsBUL1 only in the presence of LO9-177 forming a possible trimeric
complex for cell elongation in the lamina joint of rice. Expression of OsBC1 is
also upregulated by BL and has a similar pattern to that of OsBUL1 Transgenic
rice plants expressing OsBC1 under the control of OsBUL1 promoter showed
increased grain size as well as leaf bending, while transgenic lines for dsRNAi
and/or expressing a dominant repressor form of OsBC1 displayed reduced plant
height and grain size. Together, these results demonstrated that a novel protein
complex consisting of OsBUL1, LO9-177, and OsBC1 is associated with the HLH-bHLH
system, providing new insight into the molecular functional network based on HLH
bHLH proteins for cell elongation.
PMID- 27879390
TI - Phosphorylation of MAP65-1 by Arabidopsis Aurora Kinases Is Required for
Efficient Cell Cycle Progression.
AB - Aurora kinases are key effectors of mitosis. Plant Auroras are functionally
divided into two clades. The alpha Auroras (Aurora1 and Aurora2) associate with
the spindle and the cell plate and are implicated in controlling formative
divisions throughout plant development. The beta Aurora (Aurora3) localizes to
centromeres and likely functions in chromosome separation. In contrast to the
wealth of data available on the role of Aurora in other kingdoms, knowledge on
their function in plants is merely emerging. This is exemplified by the fact that
only histone H3 and the plant homolog of TPX2 have been identified as Aurora
substrates in plants. Here we provide biochemical, genetic, and cell biological
evidence that the microtubule-bundling protein MAP65-1-a member of the
MAP65/Ase1/PRC1 protein family, implicated in central spindle formation and
cytokinesis in animals, yeasts, and plants-is a genuine substrate of alpha Aurora
kinases. MAP65-1 interacts with Aurora1 in vivo and is phosphorylated on two
residues at its unfolded tail domain. Its overexpression and down-regulation
antagonistically affect the alpha Aurora double mutant phenotypes. Phospho-mutant
analysis shows that Aurora contributes to the microtubule bundling capacity of
MAP65-1 in concert with other mitotic kinases.
PMID- 27879394
TI - Selective control of up-regulated and down-regulated genes by temporal patterns
and doses of insulin.
AB - Secretion of insulin transiently increases after eating, resulting in a high
circulating concentration. Fasting limits insulin secretion, resulting in a low
concentration of insulin in the circulation. We analyzed transcriptional
responses to different temporal patterns and doses of insulin in the hepatoma FAO
cells and identified 13 up-regulated and 16 down-regulated insulin-responsive
genes (IRGs). The up-regulated IRGs responded more rapidly than did the down
regulated IRGs to transient stepwise or pulsatile increases in insulin
concentration, whereas the down-regulated IRGs were repressed at lower
concentrations of insulin than those required to stimulate the up-regulated IRGs.
Mathematical modeling of the insulin response as two stages-(i) insulin signaling
to transcription and (ii)transcription and mRNA stability-indicated that the
first stage was the more rapid stage for the down-regulated IRGs, whereas the
second stage of transcription was the more rapid stage for the up-regulated IRGs.
A subset of the IRGs that were up-regulated or down-regulated in the FAO cells
was similarly regulated in the livers of rats injected with a single dose of
insulin. Thus, not only can cells respond to insulin but they can also interpret
the intensity and pattern of signal to produce distinct transcriptional
responses. These results provide insight that may be useful in treating obesity
and type 2 diabetes associated with aberrant insulin production or tissue
responsiveness.
PMID- 27879393
TI - Natural Variation of Molecular and Morphological Gibberellin Responses.
AB - Although phytohormones such as gibberellins are essential for many conserved
aspects of plant physiology and development, plants vary greatly in their
responses to these regulatory compounds. Here, we use genetic perturbation of
endogenous gibberellin levels to probe the extent of intraspecific variation in
gibberellin responses in natural accessions of Arabidopsis (Arabidopsis
thaliana). We find that these accessions vary greatly in their ability to buffer
the effects of overexpression of GA20ox1, encoding a rate-limiting enzyme for
gibberellin biosynthesis, with substantial differences in bioactive gibberellin
concentrations as well as transcriptomes and growth trajectories. These findings
demonstrate a surprising level of flexibility in the wiring of regulatory
networks underlying hormone metabolism and signaling.
PMID- 27879392
TI - Characterization of Class III Peroxidases from Switchgrass.
AB - Class III peroxidases (CIIIPRX) catalyze the oxidation of monolignols, generate
radicals, and ultimately lead to the formation of lignin. In general, CIIIPRX
genes encode a large number of isozymes with ranges of in vitro substrate
specificities. In order to elucidate the mode of substrate specificity of these
enzymes, we characterized one of the CIIIPRXs (PviPRX9) from switchgrass (Panicum
virgatum), a strategic plant for second-generation biofuels. The crystal
structure, kinetic experiments, molecular docking, as well as expression patterns
of PviPRX9 across multiple tissues and treatments, along with its levels of
coexpression with the majority of genes in the monolignol biosynthesis pathway,
revealed the function of PviPRX9 in lignification. Significantly, our study
suggested that PviPRX9 has the ability to oxidize a broad range of
phenylpropanoids with rather similar efficiencies, which reflects its role in the
fortification of cell walls during normal growth and root development and in
response to insect feeding. Based on the observed interactions of
phenylpropanoids in the active site and analysis of kinetics, a catalytic
mechanism involving two water molecules and residues histidine-42, arginine-38,
and serine-71 was proposed. In addition, proline-138 and gluntamine-140 at the
137P-X-P-X140 motif, leucine-66, proline-67, and asparagine-176 may account for
the broad substrate specificity of PviPRX9. Taken together, these observations
shed new light on the function and catalysis of PviPRX9 and potentially benefit
efforts to improve biomass conservation properties in bioenergy and forage crops.
PMID- 27879395
TI - A computationally identified compound antagonizes excess FGF-23 signaling in
renal tubules and a mouse model of hypophosphatemia.
AB - Fibroblast growth factor-23 (FGF-23) interacts with a binary receptor complex
composed of alpha-Klotho (alpha-KL) and FGF receptors (FGFRs) to regulate
phosphate and vitamin D metabolism in the kidney. Excess FGF-23 production, which
causes hypophosphatemia, is genetically inherited or occurs with chronic kidney
disease. Among other symptoms, hypophosphatemia causes vitamin D deficiency and
the bone-softening disorder rickets. Current therapeutics that target the
receptor complex have limited utility clinically. Using a computationally driven,
structure-based, ensemble docking and virtual high-throughput screening approach,
we identified four novel compounds predicted to selectively inhibit FGF-23
induced activation of the FGFR/alpha-KL complex. Additional modeling and
functional analysis found that Zinc13407541 bound to FGF-23 and disrupted its
interaction with the FGFR1/alpha-KL complex; experiments in a heterologous cell
expression system showed that Zinc13407541 selectivity inhibited alpha-KL
dependent FGF-23 signaling. Zinc13407541 also inhibited FGF-23 signaling in
isolated renal tubules ex vivo and partially reversed the hypophosphatemic
effects of excess FGF-23 in a mouse model. These chemical probes provide a
platform to develop lead compounds to treat disorders caused by excess FGF-23.
PMID- 27879396
TI - Integrating network reconstruction with mechanistic modeling to predict cancer
therapies.
AB - Signal transduction networks are often rewired in cancer cells. Identifying these
alterations will enable more effective cancer treatment. We developed a
computational framework that can identify, reconstruct, and mechanistically model
these rewired networks from noisy and incomplete perturbation response data and
then predict potential targets for intervention. As a proof of principle, we
analyzed a perturbation data set targeting epidermal growth factor receptor
(EGFR) and insulin-like growth factor 1 receptor (IGF1R) pathways in a panel of
colorectal cancer cells. Our computational approach predicted cell line-specific
network rewiring. In particular, feedback inhibition of insulin receptor
substrate 1 (IRS1) by the kinase p70S6K was predicted to confer resistance to
EGFR inhibition, suggesting that disrupting this feedback may restore sensitivity
to EGFR inhibitors in colorectal cancer cells. We experimentally validated this
prediction with colorectal cancer cell lines in culture and in a zebrafish (Danio
rerio) xenograft model.
PMID- 27879397
TI - "All That Necroses Is Not Toxic Epidermal Necrolysis".
PMID- 27879398
TI - Spectral Unmixing Plate Reader: High-Throughput, High-Precision FRET Assays in
Living Cells.
AB - We have developed a microplate reader that records a complete high-quality
fluorescence emission spectrum on a well-by-well basis under true high-throughput
screening (HTS) conditions. The read time for an entire 384-well plate is less
than 3 min. This instrument is particularly well suited for assays based on
fluorescence resonance energy transfer (FRET). Intramolecular protein biosensors
with genetically encoded green fluorescent protein (GFP) donor and red
fluorescent protein (RFP) acceptor tags at positions sensitive to structural
changes were stably expressed and studied in living HEK cells. Accurate
quantitation of FRET was achieved by decomposing each observed spectrum into a
linear combination of four component (basis) spectra (GFP emission, RFP emission,
water Raman, and cell autofluorescence). Excitation and detection are both
conducted from the top, allowing for thermoelectric control of the sample
temperature from below. This spectral unmixing plate reader (SUPR) delivers an
unprecedented combination of speed, precision, and accuracy for studying ensemble
averaged FRET in living cells. It complements our previously reported
fluorescence lifetime plate reader, which offers the feature of resolving
multiple FRET populations within the ensemble. The combination of these two
direct waveform-recording technologies greatly enhances the precision and
information content for HTS in drug discovery.
PMID- 27879399
TI - Bone subtraction radiography in adult patients with cystic fibrosis.
AB - Background Bone subtraction radiography allows reading pulmonary changes of chest
radiographs more accurately without superimposition of bones. Purpose To evaluate
the value of bone subtraction chest radiography using dual energy (DE) bone
subtracted lung images compared to conventional radiographs (CR) in adult
patients with cystic fibrosis (CF). Material and Methods Forty-nine DE
radiographs of 24 patients (16 men) with CF (mean age, 32 years; age range, 18-71
years) were included. Lung function tests were performed within 10 days of the
radiographs. Two radiologists evaluated all CR, DE, and CR + DE radiographs using
the modified Chrispin-Norman score (CNS) and a five-point score for the
confidence. Findings were statistically evaluated by Friedman ANOVA and Wilcoxon
matched-pairs test. Results There was significant difference of CNS between CR
and DE ( P = 0.044) as well as CR and CR + DE ( P < 0.001). CNS of CR images
showed moderate correlation with FEV1% (R = 0.287, P = 0.046) while DE and CR +
DE correlated poorly with FEV1% (R = 0.023, P = 0.874 and R = 0.04, P = 0.785). A
higher confidence was achieved with bone-subtracted radiographs compared to
radiographs alone (median, CR 3.3, DE 3.9, CR + DE 4.1, for both P < 0.001).
Conclusion DE radiographs are reliable for the evaluation of adult patients with
CF in acute exacerbation. For yearly surveillance, CR and DE radiographs may play
a limited role. However, in clinical routine, DE radiographs are useful for adult
CF patients and may depict more accurately inflammatory changes than CR.
PMID- 27879401
TI - Diabetic Cardiomyopathy: The Case for a Role of Fructose in Disease Etiology.
AB - A link between excess dietary sugar and cardiac disease is clearly evident and
has been largely attributed to systemic metabolic dysregulation. Now a new
paradigm is emerging, and a compelling case can be made that fructose-associated
heart injury may be attributed to the direct actions of fructose on
cardiomyocytes. Plasma and cardiac fructose levels are elevated in patients with
diabetes, and evidence suggests that some unique properties of fructose (vs.
glucose) have specific cardiomyocyte consequences. Investigations to date have
demonstrated that cardiomyocytes have the capacity to transport and utilize
fructose and express all of the necessary proteins for fructose metabolism. When
dietary fructose intake is elevated and myocardial glucose uptake compromised by
insulin resistance, increased cardiomyocyte fructose flux represents a hazard
involving unregulated glycolysis and oxidative stress. The high reactivity of
fructose supports the contention that fructose accelerates subcellular hexose
sugar-related protein modifications, such as O-GlcNAcylation and advanced
glycation end product formation. Exciting recent discoveries link heart failure
to induction of the specific high-affinity fructose-metabolizing enzyme,
fructokinase, in an experimental setting. In this Perspective, we review key
recent findings to synthesize a novel view of fructose as a cardiopathogenic
agent in diabetes and to identify important knowledge gaps for urgent research
focus.
PMID- 27879402
TI - Hyperpolarized 13C Magnetic Resonance Treatment Response Monitoring: A New
Paradigm for Multiorgan Metabolic Assessment of Pharmacological Interventions?
PMID- 27879403
TI - CIN85: Implications for the Development of Proteinuria in Diabetic Nephropathy.
PMID- 27879405
TI - Does Citrulline Sit at the Nexus of Metformin's Pleotropic Effects on Metabolism
and Mediate Its Salutatory Effects in Individuals With Type 2 Diabetes?
PMID- 27879406
TI - Type 2 Diabetes Genes Gleaned by Making a beta-Cell Screen Routine.
PMID- 27879404
TI - Targeting CITED2 for Angiogenesis in Obesity and Insulin Resistance.
PMID- 27879408
TI - A Dual-Label Time-Resolved Fluorescence Immunoassay for the Simultaneous
Determination of Cardiac Troponin T and Myoglobin.
AB - The aim of this study was to establish a dual-label time-resolved fluorescence
immunoassay (TRFIA) for the simultaneous determination of cardiac troponin T
(cTnT) and myoglobin (MYO) for the early diagnosis of acute myocardial
infarction. The sandwich immunoassay was used to detect the concentration of cTnT
and MYO in serum. cTnT and MYO in serum were captured by anti-cTnT and anti-MYO
antibodies immobilized on microtiter wells and then banded together with another
anti-cTnT and anti-MYO labeled with europium(III) Sm3+ and samarium(III) Eu3+
chelates, followed by fluorescence measurement using time-resolved fluorometry.
The performance of this TRFIA was evaluated using the clinical serum and compared
with the commercial assays. The linear correlation coefficients ( R2) of the cTnT
and MYO standard curves were 0.9993 and 0.9995, respectively. The sensitivity for
cTnT detection was 2.21 pg/mL (linear dynamic range was 3.24-963.71 pg/mL), and
the average recovery was 100.57%. The sensitivity for MYO detection was 3.24
ng/mL (linear dynamic range was 4.95-976.85 ng/mL), and the average recovery was
99.79%. High correlation coefficients ( R2) were obtained between the commercial
assays and dual-label TRFIA ( R2 = 0.999). The present dual-label TRFIA has high
sensitivity, specificity, and accuracy in clinical sample analysis. It is a good
alternative to the single-label diagnostic methods.
PMID- 27879409
TI - New Technologies for Rapid Bacterial Identification and Antibiotic Resistance
Profiling.
AB - Conventional approaches to bacterial identification and drug susceptibility
testing typically rely on culture-based approaches that take 2 to 7 days to
return results. The long turnaround times contribute to the spread of infectious
disease, negative patient outcomes, and the misuse of antibiotics that can
contribute to antibiotic resistance. To provide new solutions enabling faster
bacterial analysis, a variety of approaches are under development that leverage
single-cell analysis, microfluidic concentration and detection strategies, and
ultrasensitive readout mechanisms. This review discusses recent advances in this
area and the potential of new technologies to enable more effective management of
infectious disease.
PMID- 27879411
TI - The role of technology in minimally invasive surgery: state of the art, recent
developments and future directions.
AB - The diffusion of minimally invasive surgery has thrived in recent years,
providing substantial benefits over traditional techniques for a number of
surgical interventions. This rapid growth has been possible due to significant
advancements in medical technology, which partly solved some of the technical and
clinical challenges associated with minimally invasive techniques. The issues
that still limit its widespread adoption for some applications include the
limited field of view; reduced manoeuvrability of the tools; lack of haptic
feedback; loss of depth perception; extended learning curve; prolonged operative
times and higher financial costs. The present review discusses some of the main
recent technological advancements that fuelled the uptake of minimally invasive
surgery, focussing especially on the areas of imaging, instrumentation, cameras
and robotics. The current limitations of state-of-the-art technology are
identified and addressed, proposing future research directions necessary to
overcome them.
PMID- 27879410
TI - Triple Staining Including FOXA2 Identifies Stem Cell Lineages Undergoing Hepatic
and Biliary Differentiation in Cirrhotic Human Liver.
AB - Recent investigations have reported many markers associated with human liver
stem/progenitor cells, "oval cells," and identified "niches" in diseased livers
where stem cells occur. However, there has remained a need to identify entire
lineages of stem cells as they differentiate into bile ducts or hepatocytes. We
have used combined immunohistochemical staining for a marker of hepatic
commitment and specification (FOXA2 [Forkhead box A2]), hepatocyte maturation
(Albumin and HepPar1), and features of bile ducts (CK19 [cytokeratin 19]) to
identify lineages of stem cells differentiating toward the hepatocytic or bile
ductular compartments of end-stage cirrhotic human liver. We identified large
clusters of disorganized, FOXA2 expressing, oval cells in localized liver regions
surrounded by fibrotic matrix, designated as "micro-niches." Specific FOXA2
positive cells within the micro-niches organize into primitive duct structures
that support both hepatocytic and bile ductular differentiation enabling
identification of entire lineages of cells forming the two types of structures.
We also detected expression of hsa-miR-122 in primitive ductular reactions
expected for hepatocytic differentiation and hsa-miR-23b cluster expression that
drives liver cell fate decisions in cells undergoing lineage commitment. Our data
establish the foundation for a mechanistic hypothesis on how stem cell lineages
progress in specialized micro-niches in cirrhotic end-stage liver disease.
PMID- 27879414
TI - Corrigendum.
PMID- 27879412
TI - Modeling Individual Patient Preferences for Colorectal Cancer Screening Based on
Their Tolerance for Complications Risk.
AB - INTRODUCTION: Recommendations for colorectal cancer screening encourage patients
to choose among various screening methods based on individual preferences for
benefits, risks, screening frequency, and discomfort. We devised a model to
illustrate how individuals with varying tolerance for screening complications
risk might decide on their preferred screening strategy. METHODS: We developed a
discrete-time Markov mathematical model that allowed hypothetical individuals to
maximize expected lifetime utility by selecting screening method, start age, stop
age, and frequency. Individuals could choose from stool-based testing every 1 to
3 years, flexible sigmoidoscopy every 1 to 20 years with annual stool-based
testing, colonoscopy every 1 to 20 years, or no screening. We compared the life
expectancy gained from the chosen strategy with the life expectancy available
from a benchmark strategy of decennial colonoscopy. RESULTS: For an individual at
average risk of colorectal cancer who was risk neutral with respect to screening
complications (and therefore was willing to undergo screening if it would
actuarially increase life expectancy), the model predicted that he or she would
choose colonoscopy every 10 years, from age 53 to 73 years, consistent with
national guidelines. For a similar individual who was moderately averse to
screening complications risk (and therefore required a greater increase in life
expectancy to accept potential risks of colonoscopy), the model predicted that he
or she would prefer flexible sigmoidoscopy every 12 years with annual stool-based
testing, with 93% of the life expectancy benefit of decennial colonoscopy. For an
individual with higher risk aversion, the model predicted that he or she would
prefer 2 lifetime flexible sigmoidoscopies, 20 years apart, with 70% of the life
expectancy benefit of decennial colonoscopy. CONCLUSION: Mathematical models may
formalize how individuals with different risk attitudes choose between various
guideline-recommended colorectal cancer screening strategies.
PMID- 27879413
TI - Characteristics and Course of Heart Failure Stages A-B and Determinants of
Progression - design and rationale of the STAAB cohort study.
AB - Background Data from the general population on the natural course of heart
failure is lacking. The objectives of the STAAB cohort study are to determine the
prevalence of heart failure stages A-B in a representative sample of the general
population and to prospectively investigate the progression from asymptomatic
cardiac dysfunction into symptomatic heart failure. Here we present study design,
participation rates and baseline characteristics of the first 1468 enrolled
subjects. Methods A random sample of inhabitants from the city of Wurzburg
stratified by age (30-79 years) and gender was drawn from the local registration
office. Subjects receive invitation letters, while send-out batches are
continuously adapted to response rates by age and gender. At baseline
examination, data on echocardiographic cardiac function, comorbidities and
preclinical cardiovascular phenotypes are collected. After 3-5 years, changes in
cardiac function and occurrence of clinical events will be assessed in a follow
up visit. Results Between December 2013 and April 2015, 4499 subjects were
invited; of those, 1510 (34.6%) responded positively, and 1468 were examined
(32.6%). Stratified recruitment was on-target while the participation rate was
highest in subjects aged 60-69 years (38%). Hypertension (42%) and dyslipidaemia
(37%) were the most commonly reported comorbidities; 7% reported on diabetes and
23% of men ( vs. 17% of women) were smokers. Conclusions STAAB recruits a
representative population-based sample suited to provide reliable estimates of
the frequency of asymptomatic cardiac dysfunction and determinants of disease
progression into symptomatic heart failure. These findings will build the ground
for developing preventive strategies for heart failure at different stages of the
disease continuum.
PMID- 27879415
TI - The angiotensin-converting enzyme inhibitor captopril rescues mice from endotoxin
induced lethal hepatitis.
AB - The renin-angiotensin system is classically regarded as a crucial regulator of
circulatory homeostasis, but recent studies also revealed its pro-inflammatory
roles. The beneficial effects of the angiotensin-converting enzyme inhibitor
(ACEI) in severe inflammatory injury in the lung and heart have been previously
reported, but its potential effects on lethal hepatitis were unknown. In this
study, a mouse model with LPS/d-galactosamine (GalN)-induced fulminant hepatitis
were used to test the protective potential of captopril, a representative ACEI.
The results indicated that treatment with captopril significantly decreased the
plasma level of alanine aminotransferase and aspartate aminotransferase,
alleviated the histopathological damage of the liver tissue and improve the
survival rate of LPS/GalN-challenged mice. These effects were accompanied by
reduced mRNA levels of TNF-alpha and IL-6 in the liver, and decreased protein
level of TNF-alpha and IL-6 in the plasma. In addition, the activation of
caspases 3, 8 and 9, and the presence of TUNEL-positive apoptotic cells, were
also suppressed by captopril treatment. The above evidence suggested that the
renin-angiotensin system might be involved in the development of LPS/GalN-induced
fulminant hepatitis and ACEI might have potential value in lethal hepatitis.
PMID- 27879416
TI - Occupational pesticide exposure and respiratory health: a large-scale cross
sectional study in three commercial farming systems in Ethiopia.
AB - RATIONALE: In the last decade, due to expansion of greenhouses and irrigated
farms, the use of pesticides in Ethiopia has increased 6-13-fold leading to
potential health risks. OBJECTIVE: To investigate if occupational exposure to
pesticides is associated with respiratory health effects in farmers and farm
workers from commercial farming systems. METHODS: We performed two cross
sectional surveys comprising different farming systems. In the first survey we
studied respiratory symptoms among 1104 subjects of which 601 were occupationally
exposed to pesticides (ie, 256 pesticide applicators, 345 re-entry workers) and
503 unexposed individuals. The second survey, carried out 2 years later in the
same farming regions, additionally included lung function measurement and
comprised a total of 387 study subjects of which 206 were occupationally exposed
to pesticides (142 applicators and 64 re-entry workers) and 180 unexposed
individuals. RESULTS: We observed increased risks for chronic cough and shortness
of breath (OR=3.15, 95% CI 1.56 to 6.36 and OR=6.67, 95% CI 2.60 to 17.58) among
the exposed subjects as compared with unexposed individuals in the first survey.
These results were corroborated in the second survey where we also observed
reductions in FEV1 (140 mL), forced expiratory flow 25%-75% (550 mL/s) and risk
of FEV1/FVC ratio <0.8 (OR=4.31, 95% CI 2.11 to 8.81) among pesticide exposed
workers. CONCLUSIONS: These findings indicate an increased risk of adverse
respiratory health among workers exposed to pesticides. As those effects occurred
in young workers (mean age 27 years) and within a relative short duration of
exposure (4 years) implementation of stringent occupational health measures are
warranted.
PMID- 27879418
TI - Histopathologic Effects of Onabotulinum Toxin A Treatment in Pediatric
Submandibular Glands.
AB - Onabotulinum toxin A (OBTXA) is an effective treatment for drooling. Our
objective was to determine if there are histologic changes in the submandibular
glands (SMGs) after repetitive OBTXA injections. The study included blinded
histologic analysis and comparison of SMGs with >=4 OBTXA injections versus
controls who never received OBTXA. The number of acinar cells were counted, and
the morphology of the cells was evaluated within each histologic sample of the
SMGs. Thirty-one glands were analyzed (14 control, 17 cases). No physical
differences were observed between the 2 acinar cell groups. There was no
significant difference in the number acinar cells per surface area in the control
group as compared with the OBTXA group (1.29 +/- 0.13 vs 1.17 +/- 0.11
cells/MUm2, respectively). To conclude, no significant histologic findings were
established in this first human study on SMGs post-OBTXA treatment.
PMID- 27879417
TI - Factors Influencing Likelihood of Voice Therapy Attendance.
AB - Objective To identify factors associated with the likelihood of attending voice
therapy among patients referred for it in the CHEER (Creating Healthcare
Excellence through Education and Research) practice-based research network
infrastructure. Study Design Prospectively enrolled cross-sectional study.
Setting CHEER network of community and academic sites. Methods Data were
collected on patient-reported demographics, voice-related diagnoses, voice
related handicap (Voice Handicap Index-10), likelihood of attending voice therapy
(VT), and opinions on factors influencing likelihood of attending VT. The
relationships between patient characteristics/opinions and likelihood of
attending VT were investigated. Results A total of 170 patients with various
voice-related diagnoses reported receiving a recommendation for VT. Of those, 85%
indicated that they were likely to attend it, regardless of voice-related
handicap severity. The most common factors influencing likelihood of VT
attendance were insurance/copay, relief that it was not cancer, and travel. Those
who were not likely to attend VT identified, as important factors, unclear
potential improvement, not understanding the purpose of therapy, and concern that
it would be too hard. In multivariate analysis, factors associated with greater
likelihood of attending VT included shorter travel distance, age (40-59 years),
and being seen in an academic practice. Conclusions Most patients reported plans
to attend VT as recommended. Patients who intended to attend VT reported
different considerations in their decision making from those who did not plan to
attend. These findings may inform patient counseling and efforts to increase
access to voice care.
PMID- 27879419
TI - Tympanoplasty Outcomes for Blast-Induced Perforations from Iraq and Afghanistan.
AB - Objective To describe characteristics of blast-induced tympanic membrane
perforations that do not spontaneously heal, evaluate the outcomes of
tympanoplasty techniques, and understand the factors associated with surgical
success. Setting Two tertiary military health care institutions. Study Design
Case series with chart review. Subjects and Methods This study reviewed the
practice of 1 military neurotologist and included all tympanoplasties for combat
blast-induced perforations from 2007 to 2012, which comprised a total of 55
patients. Surgical outcomes and associated perioperative factors were examined to
include size, location, bilateral involvement, timing of surgery, and surgical
technique. Results Fifty-five patients (68 ears) met inclusion criteria. Thirty
six (53%) were total or near-total perforations, and 51% of patients had
bilateral perforations. The overall success rate was 77%. It was 82% for lateral
grafts and 70% for medial grafts, but the difference between these was not
statistically significant. Age was a significant factor, with a success rate of
56% for ages 25 to 34 years, compared with 90% for 20 to 24 and >34 years.
Patients who had bilateral sequential tympanoplasties also had lower success
rates than those who had only unilateral surgery (62% vs 87%, P = .002).
Ossicular disruptions were found in 5 ears, and cholesteatoma was discovered in
8. Surgical timing did not predict success, as the average time until repair was
153 days in successful cases and 151 days in failures. The mean conductive
hearing improvement was 13.6 dB, and there were no major complications.
Conclusion Tympanoplasty can be challenging in this population. Age and bilateral
surgery were the only independent variables that showed significance.
PMID- 27879422
TI - A New Definition for Oral Health: Implications for Clinical Practice, Policy, and
Research
PMID- 27879423
TI - Structure and Profiles of Basic Motor Competencies in the Third Grade-Validation
of the Test Instrument MOBAK-3.
AB - Basic motor competencies are defined as motor performance dispositions that
evolve from specific requirements in sports and exercise. After validating the
MOBAK-1 instrument for first graders, we developed the MOBAK-3 for the assessment
of basic motor competencies in third graders. We investigated the factorial and
diagnostic validity of this instrument in a sample of 323 children (age: M = 9.2
years, SD = .39; sex: boys, n = 158, girls, n = 165). A confirmatory factor
analysis verified the theoretical structure with the two factors Locomotion and
Object Control. By means of latent class analysis, we identified five latent
classes that were connected with external criteria. We concluded that the MOBAK-3
effectively evaluates the basic motor competencies of 8- to 10-year-old students.
PMID- 27879421
TI - Loss of Notch3 Signaling Enhances Osteogenesis of Mesenchymal Stem Cells from
Mandibular Torus.
AB - Mandibular torus (MT) is a common intraoral osseous outgrowth located on the
lingual surface of the mandible. Histologic features include hyperplastic bone
consisting of mature cortical and trabecular bone. Some theories on the etiology
of MT have been postulated, such as genetic factors, masticatory hyperfunction,
trauma, and continued growth, but the underlying mechanism remains largely
unknown. In this study, we investigated the potential role of mesenchymal stem
cells (MSCs) derived from human MT in the pathogenesis of bone outgrowth. We
demonstrated that MT harbored a distinct subpopulation of MSCs, with enhanced
osteogenic and decreased adipogenic differentiation capacities, as compared with
their counterparts from normal jaw bone. The increased osteogenic differentiation
of mandibular torus MSCs was associated with the suppression of Notch3 signaling
and its downstream target genes, Jag1 and Hey1, and a reciprocal increase in the
transcriptional activation of ATF4 and NFATc1 genes. Targeted knockdown of Notch3
expression by transient siRNA transfection promoted the expression of osteogenic
transcription factors in normal jaw bone MSCs. Our data suggest that the loss of
Notch3 signaling may contribute partly to bone outgrowth in MT, as mediated by
enhanced MSC-driven osteogenic differentiation in the jaw bone.
PMID- 27879420
TI - Saliva and Serum Protein Exchange at the Tooth Enamel Surface.
AB - The acquired enamel pellicle is an oral, fluid-derived protein layer that forms
on the tooth surface. It is a biologically and clinically important integument
that protects teeth against enamel demineralization, and abrasion. Tooth surfaces
are exposed to different proteinaceous microenvironments depending on the enamel
location. For instance, tooth surfaces close to the gingival sulcus contact serum
proteins that emanate via this sulcus, which may impact pellicle composition
locally. The aims of this study were to define the major salivary and serum
components that adsorb to hydroxyapatite, to study competition among them, and to
obtain preliminary evidence in an in vivo saliva/serum pellicle model.
Hydroxyapatite powder was incubated with saliva and serum, and the proteins that
adsorbed were identified by mass spectrometry. To study competition, saliva and
serum proteins were labeled with CyDyes, mixed in various proportions, and
incubated with hydroxyapatite. In vivo competition was assessed using a split
mouth design, with half the buccal tooth surfaces coated with serum and the other
half with saliva. After exposure to the oral environment for 0 min, 30 min and 2
h, the pellicles were analyzed by SDS-PAGE. In pure saliva- or serum-derived
pellicles, 82 and 84 proteins were identified, respectively. When present
concomitantly, salivary protein adsorbers effectively competed with serum protein
adsorbers for the hydroxyapatite surface. Specifically, acidic proline-rich
protein, cystatin, statherin and protein S100-A9 proteins competed off
apolipoproteins, complement C4-A, haptoglobin, transthyretin and serotransferrin.
In vivo evidence further supported the replacement of serum proteins by salivary
proteins. In conclusion, although significant numbers of serum proteins emanate
from the gingival sulcus, their ability to participate in dental pellicle
formation is likely reduced in the presence of strong salivary protein adsorbers.
The functional properties of the acquired enamel pellicle will therefore be
mostly dictated by the salivary component.
PMID- 27879424
TI - A Research Note on Challenges of Cross-National Aging Research: An Example of
Productive Activities Across Three Countries.
AB - Cross-national studies can elucidate the influence of sociocultural contexts on a
wide variety of aging issues. This study aims to develop methods for using
secondary data for cross-national comparisons using productive activities as an
example. The study also identifies challenges in conducting cross-national
research. Using the national representative data from the United States, China,
and South Korea, this study developed a sequence of methods for cross-national
analysis. Results indicate that productive activities vary by country, and this
variation could possibly be due to the differences in sociocultural context and
variations in operationalization and measurement. Given the difficulty of making
decisions and drawing conclusions across different cultural contexts, team
members must include scholars who are familiar with the culture and language of
the region of study. Researchers also need to determine whether data sets are
valid for cross-national comparisons and understand the limitations of the
comparisons, given constraints in the data.
PMID- 27879426
TI - The successful concurrent use of two long-acting depot antipsychotics in a
patient with treatment-resistant schizophrenia and history of serious violence: a
case report.
AB - OBJECTIVE: This case report describes a forensic psychiatric patient presenting
with treatment-resistant schizophrenia and serious interpersonal violence
complicated by poor adherence to oral medication who was treated successfully
with two concurrent long-acting depot antipsychotics. METHOD: Treatment response
was measured for a 6-month period at 6-weekly intervals, post-initiation using
the Positive and Negative Symptoms of Schizophrenia with Excited Component score
(PANSS-EC), Brief Psychiatric Rating Scale (BPRS) and Clinical Global Impression
Scale (CGI). RESULTS: At 6 months, the presentation was found to have markedly
improved. The overall PANSS-EC score was reduced by 43.9%, with reductions in
Positive Symptom and Excited Component subscales most evident. BPRS Score was
reduced from 81 at baseline to 47 at 18 weeks. There was improvement in the
patient's level of cooperativeness, aggression and engagement in ward therapeutic
activities. CONCLUSION: Although concurrent use of two depot antipsychotics
requires further exploration, there is potential benefit for patient groups
presenting with treatment-resistant schizophrenia and poor compliance. Due to
risk of serious adverse effects which are difficult to reverse with long-acting
formulations, we recommend this option be reserved for this complex patient
population and exclusively in care settings allowing close physical health
monitoring.
PMID- 27879427
TI - Abnormality in hippocampal signal intensity predicts atrophy in patients with
systemic lupus erythematosus.
AB - Objectives To quantify signal abnormalities in the hippocampus (Hsig) of patients
with systemic lupus erythematosus (SLE) and to determine if Hsig predict
hippocampal atrophy (HA) in SLE. Methods We included all SLE patients and healthy
age- and sex-matched individuals with two magnetic resonance imaging (MRI) scans
performed with a minimum of 1 year interval. All individuals underwent a
standardized neuropsychological evaluation. Individual results were converted
into standard scores and compared to normative data. SLE patients were
additionally assessed for disease activity (SLE Disease Activity Index (SLEDAI)),
damage (Systemic Lupus International Collaborating Clinics/American College of
Rheumatology Damage Index (SDI)), and the presence of antiphospholipid
antibodies. MRI was performed on an Elscint 2 T scanner and T1 inversion recovery
and T2 coronal images were used for analysis. Volumetric (HV) and signal
quantification (Hsig) were determined by standardized protocols. Results We
included 54 SLE patients (48 women; mean age 32.2 +/- 10.56 years). Hsig were
found at study entry in 15 (45.5%) patients. Hsig in the body and tail of non
atrophic hippocampi correlated with progression of volume loss during the follow
up period ( r = 0.8, p < 0.001). The presence of Hsig in the head of atrophic
hippocampi correlated with progression of HA ( r = 0.73, p = 0.005) during the
same period. No correlation of Hsig and disease activity or prednisone dose was
observed. Conclusion HA is frequently observed in SLE patients and volume loss is
progressive in a subgroup of patients. The evaluation of Hsig is an easy tool to
determine patients that may have progressive hippocampal volume loss and should
be followed more closely with MRI and cognitive evaluation.
PMID- 27879425
TI - Clinical teaching and supervision in postgraduate psychiatry training: the
trainee perspective.
AB - OBJECTIVE: We aimed to explore psychiatry trainees' perspectives on clinical
teaching and supervision as well as how this might be improved. METHOD: New
Zealand trainees ( n=51) completed online the Maastricht Clinical Teaching
Questionnaire (MCQT) and three open-ended questions. RESULTS: The majority rated
'agree' or 'strongly agree' to all items of the MCQT. Weaknesses in the feedback
process including observation, feedback provision, and formulating learning goals
were highlighted. College training requirements and workplace environment were
identified as factors impacting on clinical teaching and supervision.
CONCLUSIONS: A model was proposed to enhance awareness of the various factors
involved in the feedback process.
PMID- 27879428
TI - A polymorphism upstream MIR1279 gene is associated with pericarditis development
in Systemic Lupus Erythematosus and contributes to definition of a genetic risk
profile for this complication.
AB - Recently, a study has shown that a polymorphism in the region of MIR1279
modulates the expression of the TRAF3IP2 gene. Since polymorphisms in the
TRAF3IP2 gene have been described in association with systemic lupus
erithematosus (SLE) susceptibility and with the development of pericarditis, our
aim is to verify if the MIR1279 gene variability could also be involved. The
rs1463335 SNP, located upstream MIR1279 gene, was analyzed by allelic
discrimination assay in 315 Italian SLE patients and 201 healthy controls.
Moreover, the MIR1279 gene was full sequenced in 50 patients. A case/control
association study and a genotype/phenotype correlation analysis were performed.
We also constructed a pericarditis genetic risk profile for patients with SLE.
The full sequencing of the MIR1279 gene in patients with SLE did not reveal any
novel or known variation. The variant allele of the rs1463335 SNP was
significantly associated with susceptibility to pericarditis ( P = 0.017 and OR =
1.67). A risk profile model for pericarditis considering the risk alleles of
MIR1279 and three other genes (STAT4, PTPN2 and TRAF3IP2) showed that patients
with 4 or 5 risk alleles have a higher risk of developing pericarditis ( OR =
4.09 with P = 0.001 and OR = 6.04 with P = 0.04 respectively). In conclusion, we
describe for the first time the contribution of a MIR1279 SNP in pericarditis
development in patients with SLE and a genetic risk profile model that could be
useful to identify patients more susceptible to developing pericarditis in SLE.
This approach could help to improve the prediction and the management of this
complication.
PMID- 27879429
TI - Is the Nation-state and Its Welfare State Dead? A Critique of Varoufakis.
PMID- 27879430
TI - Factors that can influence feelings towards and interactions with people living
with HIV/AIDS in rural Central Kenya.
AB - Background In Kenya, HIV incidence and prevalence have declined. HIV rates are
lower in rural areas than in urban areas. However, HIV infection is reported
higher in men in rural areas (4.5%) compared to those in urban areas (3.7%).
Objectives This study examined HIV knowledge, feelings, and interactions towards
HIV-infected from 302 participants in rural Central Kenya. Methods Chi square
tests and multivariable logistic regression analyzed variables of interest.
Results Most participants exhibited positive feelings in their interaction with
people living with HIV and AIDS (PLWHA). Association between HIV knowledge and
socio-demographic characteristics revealed that the proportion of participants
with a correct response differed by gender, age, level of education, and marital
status ( p < 0.05). Compared to those with inadequate knowledge of HIV/AIDS,
participants with adequate HIV/AIDS knowledge were nearly three times as likely
to disagree that PLWHA should be legally separated from others to protect public
health (adjusted odds ratio: aOR (95% CI) (2.76 (1.12, 6.80). Conclusions HIV
stigma continues to impact HIV prevention strategies particularly in rural
Central Kenya. Culturally, appropriate interventions addressing HIV knowledge
among those with lower levels of education, single, older, and male are
warranted. Review of HIV policies separating high-risk populations from the
general population is needed to reduce stigma.
PMID- 27879431
TI - Efficient in situ detection of mRNAs using the Chlorella virus DNA ligase for
padlock probe ligation.
AB - Padlock probes are single-stranded DNA molecules that are circularized upon
hybridization to their target sequence by a DNA ligase. In the following, the
circulated padlock probes are amplified and detected with fluorescently labeled
probes complementary to the amplification product. The hallmark of padlock probe
assays is a high detection specificity gained by the ligation reaction.
Concomitantly, the ligation reaction is the largest drawback for a quantitative
in situ detection of mRNAs due to the low affinities of common DNA or RNA ligases
to RNA-DNA duplex strands. Therefore, current protocols require that mRNAs be
reverse transcribed to DNA before detection with padlock probes. Recently, it was
found that the DNA ligase from Paramecium bursaria Chlorella virus 1 (PBCV-1) is
able to efficiently ligate RNA-splinted DNA. Hence, we designed a padlock probe
assay for direct in situ detection of mRNAs using the PBCV-1 DNA ligase.
Experimental single-cell data were used to optimize and characterize the
efficiency of mRNA detection with padlock probes. Our results demonstrate that
the PBCV-1 DNA ligase overcomes the efficiency limitation of current protocols
for direct in situ mRNA detection, making the PBCV-1 DNA ligase an attractive
tool to simplify in situ ligation sequencing applications.
PMID- 27879433
TI - Comparison of SHAPE reagents for mapping RNA structures inside living cells.
AB - Recent advances in SHAPE technology have converted the classic primer extension
method to next-generation sequencing platforms, allowing transcriptome-level
analysis of RNA secondary structure. In particular, icSHAPE and SHAPE-MaP, using
NAI-N3 and 1M7 reagents, respectively, are methods that claim to measure in vivo
structure with high-throughput sequencing. However, these compounds have not been
compared on an unbiased, raw-signal level. Here, we directly compare several in
vivo SHAPE acylation reagents using the simple primer extension assay. We
conclude that while multiple SHAPE technologies are effective at measuring
purified RNAs in vitro, acylimidazole reagents NAI and NAI-N3 give markedly
greater signals with lower background than 1M7 for in vivo measurement of the RNA
structurome.
PMID- 27879432
TI - Induced fit of the peptidyl-transferase center of the ribosome and conformational
freedom of the esterified amino acids.
AB - The catalytic site of most enzymes can efficiently handle only one substrate. In
contrast, the ribosome is capable of polymerizing at a similar rate at least 20
different kinds of amino acids from aminoacyl-tRNA carriers while using just one
catalytic site, the peptidyl-transferase center (PTC). An induced-fit mechanism
has been uncovered in the PTC, but a possible connection between this mechanism
and the uniform handling of the substrates has not been investigated. We present
an analysis of published ribosome structures supporting the hypothesis that the
induced fit eliminates unreactive rotamers predominantly populated for some A
site aminoacyl esters before induction. We show that this hypothesis is fully
consistent with the wealth of kinetic data obtained with these substrates. Our
analysis reveals that induction constrains the amino acids into a reactive
conformation in a side-chain independent manner. It allows us to highlight the
rationale of the PTC structural organization, which confers to the ribosome the
very unusual ability to handle large as well as small substrates.
PMID- 27879434
TI - 5'-Terminal nucleotide variations in human cytoplasmic tRNAHisGUG and its 5'
halves.
AB - Transfer RNAs (tRNAs) are fundamental adapter components of translational
machinery. tRNAs can further serve as a source of tRNA-derived noncoding RNAs
that play important roles in various biological processes beyond translation.
Among all species of tRNAs, tRNAHisGUG has been known to uniquely contain an
additional guanosine residue at the -1 position (G-1) of its 5'-end. To analyze
this -1 nucleotide in detail, we developed a TaqMan qRT-PCR method that can
distinctively quantify human mature cytoplasmic tRNAHisGUG containing G-1, U-1, A
1, or C-1 or lacking the -1 nucleotide (starting from G1). Application of this
method to the mature tRNA fraction of BT-474 breast cancer cells revealed the
presence of tRNAHisGUG containing U-1 as well as the one containing G-1 Moreover,
tRNA lacking the -1 nucleotide was also detected, thus indicating the
heterogeneous expression of 5'-tRNAHisGUG variants. A sequence library of sex
hormone-induced 5'-tRNA halves (5'-SHOT-RNAs), identified via cP-RNA-seq of a BT
474 small RNA fraction, also demonstrated the expression of 5'-tRNAHisGUG halves
containing G-1, U-1, or G1 as 5'-terminal nucleotides. Although the detected 5'
nucleotide species were identical, the relative abundances differed widely
between mature tRNA and 5'-half from the same BT-474 cells. The majority of
mature tRNAs contained the -1 nucleotide, whereas the majority of 5'-halves
lacked this nucleotide, which was biochemically confirmed using a primer
extension assay. These results reveal the novel identities of tRNAHisGUG
molecules and provide insights into tRNAHisGUG maturation and the regulation of
tRNA half production.
PMID- 27879436
TI - Cytological Bone Marrow Cell Differential Counts and Morphologic Findings in
Healthy Cynomolgus Monkeys ( Macaca fascicularis) from Nonclinical Toxicology
Studies.
AB - Cytological bone marrow evaluation is utilized in nonclinical toxicology studies
to characterize hematopoietic effects when the combined interpretation of
histologic and complete blood count data does not yield sufficient information.
Results from cytological bone marrow examination should be interpreted in the
context of variability observed in concurrent control animals with consideration
of cytologist experience and historical/published data. Cytological bone marrow
differential counts and cellular morphologic findings from 130 (66 male, 64
female) healthy control cynomolgus monkeys from nonclinical toxicology studies
were retrospectively analyzed. Myeloid to erythroid (M:E) ratios and the
percentage of total cells for each cell type were determined from differential
cell count data. M:E ratios ranged from 0.6:1 to 2.3:1. Percentages of total
granulocytic cells, total erythroid cells, and lymphocytes ranged from 26.6% to
60.6%, 25.7% to 52.2%, and 5.5% to 40.4%, respectively. Monocytes, plasma cells,
mast cells, and mitotic figures were typically <1% of total cells. Notable
morphologic findings included occasional giant neutrophilic metamyelocytes and
band neutrophils, ring-shaped band neutrophil nuclei, metarubricyte nuclear
blebbing and binucleation, multiple or nonfused megakaryocyte nuclei, and
emperipolesis. These results represent cytological bone marrow findings from
healthy control cynomolgus monkeys utilized in nonclinical toxicology studies and
provide insight into expected background variability.
PMID- 27879435
TI - Identification of MHC Haplotypes Associated with Drug-induced Hypersensitivity
Reactions in Cynomolgus Monkeys.
AB - Drug-induced hypersensitivity reactions can significantly impact drug development
and use. Studies to understand risk factors for drug-induced hypersensitivity
reactions have identified genetic association with specific human leukocyte
antigen (HLA) alleles. Interestingly, drug-induced hypersensitivity reactions can
occur in nonhuman primates; however, association between drug-induced
hypersensitivity reactions and major histocompatibility complex (MHC) alleles has
not been described. In this study, tissue samples were collected from 62
cynomolgus monkeys from preclinical studies in which 9 animals had evidence of
drug-induced hypersensitivity reactions. Microsatellite analysis was used to
determine MHC haplotypes for each animal. A total of 7 haplotypes and recombinant
MHC haplotypes were observed, with distribution frequency comparable to known MHC
I allele frequency in cynomolgus monkeys. Genetic association analysis identified
alleles from the M3 haplotype of the MHC I B region (B*011:01, B*075:01,
B*079:01, B*070:02, B*098:05, and B*165:01) to be significantly associated (chi2
test for trend, p < 0.05) with occurrence of drug-induced hypersensitivity
reactions. Sequence similarity from alignment of alleles in the M3 haplotype B
region and HLA alleles associated with drug-induced hypersensitivity reactions in
humans was 86% to 93%. These data demonstrate that MHC alleles in cynomolgus
monkeys are associated with drug-induced hypersensitivity reactions, similar to
HLA alleles in humans.
PMID- 27879438
TI - A Comparison of Rodent and Nonrodent Laryngeal and Tracheal Bifurcation
Sensitivities in Inhalation Toxicity Studies and Their Relevance for Human
Exposure.
AB - In inhalation toxicity studies, drug-induced lesions are frequently reported in
the larynx and sometimes at the tracheal bifurcation (carina) in the rat, but
less so in the dog or monkey, bringing into question the relevance of these
rodent findings for humans. The rat larynx is widely considered to be more
sensitive than that of the dog and monkey in its response to inhaled xenobiotics,
although we could find no published data to support this. In this review, data
from 52 inhalation studies involving rodent and nonrodent species were collated
and reviewed. These data showed that the rodent larynx, and to a lesser extent
the carina, was far more commonly affected by treatment than those of the
nonrodent. This review indicates the greater susceptibility of the rodent larynx
and carina and emphasizes their lack of relevance for man. Observations and data
suggest that the human larynx is much closer to the beagle dog and cynomolgus
monkey in its response to inhaled xenobiotics and that greater clinical relevance
should be placed on any specific findings in these animal models.
PMID- 27879439
TI - Interpreting and Integrating Clinical and Anatomic Pathology Results.
AB - The continuing education course on integrating clinical and anatomical pathology
data was designed to communicate the importance of using a weight of evidence
approach to interpret safety findings in toxicology studies. This approach is
necessary, as neither clinical nor anatomic pathology data can be relied upon in
isolation to fully understand the relationship between study findings and the
test article. Basic principles for correlating anatomic pathology and clinical
pathology findings and for integrating these with other study end points were
reviewed. To highlight these relationships, a series of case examples, presented
jointly by a clinical pathologist and an anatomic pathologist, were used to
illustrate the collaborative effort required between clinical and anatomical
pathologists. In addition, the diagnostic utility of traditional liver biomarkers
was discussed using results from a meta-analysis of rat hepatobiliary marker and
histopathology data. This discussion also included examples of traditional and
novel liver and renal biomarker data implementation in nonclinical toxicology
studies to illustrate the relationship between discrete changes in biochemistry
and tissue morphology.
PMID- 27879441
TI - Amyloid A Amyloidosis.
PMID- 27879440
TI - Utopian Hopes or Dystopian Fears? Exploring the Motivational Underpinnings of
Moralized Political Engagement.
AB - People are more likely to become politically engaged (e.g., vote, engage in
activism) when issues are associated with strong moral convictions. The goal of
this research was to understand the underlying motivations that lead to this well
replicated effect. Specifically, to what extent is moralized political engagement
motivated by proscriptive concerns (e.g., perceived harms, anticipated regret),
prescriptive concerns (e.g., perceived benefits, anticipated pride), or some
combination of these processes? And are the motivational pathways between moral
conviction and political engagement the same or different for liberals and
conservatives? Two studies (combined N = 2,069) found that regardless of
political orientation, the association between moral conviction and political
engagement was mediated by the perceived benefits of preferred but not the
perceived harms of non-preferred policy outcomes, and by both anticipated pride
and regret, findings that replicated in two contexts: legalizing same-sex
marriage and allowing concealed weapons on college campuses.
PMID- 27879442
TI - Salmonella Enterica Serovar Pomona Infection in Farmed Juvenile American
Alligators ( Alligator Mississippiensis).
AB - A fatal epizootic of salmonellosis occurred in farmed juvenile American
alligators in Louisiana. Six animals were examined. Gross lesions included severe
fibrinonecrotizing enterocolitis, necrotizing splenitis, coelomic effusion, and
perivisceral and pulmonary edema. Microscopic examination revealed severe
necrotizing enterocolitis and splenitis with intralesional bacteria and
pneumocyte necrosis with fibrin thrombi. Salmonella enterica serovar Pomona was
isolated from intestine and lung. Clinical salmonellosis is a rare finding in
reptiles and salmonellosis caused by S. Pomona is not previously reported in
American alligators. Since S. Pomona is a commonly isolated Salmonella serotype
from patients with reptile-associated salmonellosis in the United States, and
since alligator meat is consumed and the skin is exported to numerous countries,
risk of human and animal infection should be considered.
PMID- 27879443
TI - Skeletal Metastasis of Canine Urothelial Carcinoma: Pathologic and Computed
Tomographic Features.
AB - Invasive urothelial (transitional cell) carcinoma (UC) is the most common cancer
in the canine urinary tract. Prolonged survival of dogs with UC due to better
management of the primary tumor and prevention of urethral obstruction might have
contributed to an apparent increase in distant metastasis. Metastasis to bone is
particularly concerning because the ensuing pain often leads to euthanasia;
however, little is known of the frequency, site, or nature of UC skeletal
metastasis. In a retrospective analysis, 17 (9%) of 188 canine UC cases had
histologically confirmed skeletal metastasis, mainly to the vertebrae. In a
prospective analysis of 21 dogs with UC that underwent total body computed
tomography (CT) at euthanasia followed by a standardized pathologic examination,
skeletal lesions detected on CT were suspected to be metastatic in 4 dogs and
were confirmed as metastatic UC histologically in 3 (14%) dogs. In all 3 cases,
skeletal metastasis had been suspected based on history and physical examination;
however, 1 dog had additional CT-detected skeletal metastases in a clinically
unsuspected location, and 2 dogs had histologically confirmed skeletal metastases
that corresponded to nonspecific osseous lesions on CT. These findings suggest
that total body CT could be helpful in detecting skeletal metastasis as a cause
of bone pain in dogs with UC as well as in identifying clinically "silent" sites
of skeletal metastasis.
PMID- 27879444
TI - Histologic and Immunohistochemical Analyses of Soft Tissue Sarcomas From brca2
Mutant/ tp53-Mutant Zebrafish Are Consistent With Neural Crest (Schwann Cell)
Origin.
AB - The zebrafish ( Danio rerio) provides a powerful model for analyzing genetic
contributors to cancer. Multiple zebrafish lines with cancer-associated genetic
mutations develop soft tissue sarcomas that are histologically consistent with
malignant peripheral nerve sheath tumor (MPNST). The goal of this study was to
determine the phenotype of soft tissue sarcomas in a brca2-mutant/ tp53-mutant
zebrafish line using immunohistochemical markers that are commonly expressed in
mammalian MPNST. We classified 70 soft tissue sarcomas from a brca2-mutant/ tp53
mutant zebrafish cohort as MPNST, undifferentiated sarcoma, or other tumor based
on histologic features. The expression of S100, CD57, and glial fibrillary acidic
protein (GFAP) was analyzed in nonneoplastic neural tissues and tumor specimens
by immunohistochemistry. Each marker was expressed in nonneoplastic neural
tissues. In MPNST, S100 and CD57 were widely expressed in neoplastic cells, with
greater consistency observed for CD57 expression. In undifferentiated sarcomas,
results were variable and correlated to anatomic location. Coelomic
undifferentiated sarcomas often exhibited widespread CD57 expression but limited
S100 expression. In comparison, ocular undifferentiated sarcomas exhibited
limited expression of both CD57 and S100. Overall, CD57 and S100 expression was
significantly higher in MPNST than in undifferentiated sarcomas. GFAP was not
expressed in any of the tumors. This study identified commercially available
antibodies that are useful for analyzing S100, CD57, and GFAP expression in
zebrafish. This study further shows a correlation between degree of histologic
differentiation and expression of these markers in soft tissue sarcomas from
brca2-mutant/ tp53-mutant zebrafish and suggests that these cancers are derived
from the neural crest with differentiation toward myelinating Schwann cells.
PMID- 27879445
TI - Agreement Among Stroke Faculty and Fellows in Treating Ischemic Stroke Patients
With Tissue-Type Plasminogen Activator and Thrombectomy.
AB - BACKGROUND AND PURPOSE: The aim of this study is to determine agreement among
vascular neurology fellows and faculty in treating patients with acute ischemic
stroke with intravenous tissue-type plasminogen activator and intra-arterial
thrombectomy (IAT). METHODS: Patients were evaluated simultaneously by at least 2
vascular neurology. Agreement was determined using kappa (kappa) and intraclass
correlation coefficients. RESULTS: In 60 patients, agreement was substantial for
tissue-type plasminogen activator (kappa=0.75 [95% confidence interval, 0.57
0.92]) and IAT (kappa=0.63 [95% confidence interval, 0.30-0.96]), with no
difference between fellow-fellow versus fellow-faculty. Intraclass correlation
coefficient for National Institutes of Health Stroke Scale was 0.94 (95%
confidence interval, 0.90-0.97) and kappa for Alberta Stroke Program Early CT
Score was 0.53 (95% confidence interval, 0.20-0.78). Rapidly improving or mild
deficits caused disagreement for both tissue-type plasminogen activator and IAT,
whereas interpretation of computed tomographic perfusion led to disagreement for
IAT. CONCLUSIONS: We found substantial agreement between vascular neurology
fellows and faculty in treating with tissue-type plasminogen activator or IAT.
Areas for improvement include recognition of stroke mimics, consensus on treating
less severe strokes, and use/interpretation of imaging.
PMID- 27879446
TI - Quantification of Serial Cerebral Blood Flow in Acute Stroke Using Arterial Spin
Labeling.
AB - BACKGROUND AND PURPOSE: Perfusion-weighted imaging is used to select patients
with acute ischemic stroke for intervention, but knowledge of cerebral perfusion
can also inform the understanding of ischemic injury. Arterial spin labeling
allows repeated measurement of absolute cerebral blood flow (CBF) without the
need for exogenous contrast. The aim of this study was to explore the
relationship between dynamic CBF and tissue outcome in the month after stroke
onset. METHODS: Patients with nonlacunar ischemic stroke underwent <=5 repeated
magnetic resonance imaging scans at presentation, 2 hours, 1 day, 1 week, and 1
month. Imaging included vessel-encoded pseudocontinuous arterial spin labeling
using multiple postlabeling delays to quantify CBF in gray matter regions of
interest. Receiver-operator characteristic curves were used to predict tissue
outcome using CBF. Repeatability was assessed in 6 healthy volunteers and
compared with contralateral regions of patients. Diffusion-weighted and T2
weighted fluid attenuated inversion recovery imaging were used to define tissue
outcome. RESULTS: Forty patients were included. In contralateral regions of
patients, there was significant variation of CBF between individuals, but not
between scan times (mean+/-SD: 53+/-42 mL/100 g/min). Within ischemic regions,
mean CBF was lowest in ischemic core (17+/-23 mL/100 g/min), followed by regions
of early (21+/-26 mL/100 g/min) and late infarct growth (25+/-35 mL/100 g/min;
ANOVA P<0.0001). Between patients, there was marked overlap in presenting and
serial CBF values. CONCLUSIONS: Knowledge of perfusion dynamics partially
explained tissue fate. Factors such as metabolism and tissue susceptibility are
also likely to influence tissue outcome.
PMID- 27879447
TI - Computed Tomographic Blend Sign Is Associated With Computed Tomographic
Angiography Spot Sign and Predicts Secondary Neurological Deterioration After
Intracerebral Hemorrhage.
AB - BACKGROUND AND PURPOSE: Significant early hematoma growth in patients with
intracerebral hemorrhage is an independent predictor of poor functional outcome.
Recently, the novel blend sign (BS) has been introduced as a new imaging sign for
predicting hematoma growth in noncontrast computed tomography. Another parameter
predicting increasing hematoma size is the well-established spot sign (SS)
visible in computed tomographic angiography. We, therefore, aimed to clarify the
association between established SS and novel BS and their values predicting a
secondary neurological deterioration. METHODS: Retrospective study inclusion
criteria were (1) spontaneous intracerebral hemorrhage confirmed on noncontrast
computed tomography and (2) noncontrast computed tomography and computed
tomographic angiography performed on admission within 6 hours after onset of
symptoms. We defined a binary outcome (secondary neurological deterioration
versus no secondary deterioration). As secondary neurological deterioration, we
defined (1) early hemicraniectomy under standardized criteria or (2) secondary
decrease of Glasgow Coma Scale of >3 points, both within the first 48 hours after
symptom onset. RESULTS: Of 182 patients with spontaneous intracerebral
hemorrhage, 37 (20.3%) presented with BS and 39 (21.4%) with SS. Of the 81
patients with secondary deterioration, 31 (38.3%) had BS and SS on admission.
Multivariable logistic regression analysis identified hematoma volume (odds
ratio, 1.07 per mL; P<=0.001), intraventricular hemorrhage (odds ratio, 3.08;
P=0.008), and the presence of BS (odds ratio, 11.47; P<=0.001) as independent
predictors of neurological deterioration. CONCLUSIONS: The BS, which is
obtainable in noncontrast computed tomography, shows a high correlation with the
computed tomographic angiography SS and is a reliable predictor of secondary
neurological deterioration after spontaneous intracerebral hemorrhage.
PMID- 27879450
TI - Human Placental Arterial Distensibility, Birth Weight, and Body Size Are
Positively Related to Fetal Homocysteine Concentration.
AB - Methionine demethylation during metabolism generates homocysteine (Hcy) and its
remethylation requires folate and cobalamin. Elevated Hcy concentrations are
associated with vascular-related complications of pregnancy, including increased
vascular stiffness, predictive of clinical vascular disease. Maternal and fetal
total Hcy (tHcy) concentrations are positively related, yet the influence of Hcy
on fetoplacental vascular function in normal pregnancy has not been examined. We
hypothesized that Hcy alters fetoplacental vascular characteristics with
influences on fetal growth outcomes. We investigated (1) placental chorionic
plate artery distensibility and neonatal blood pressure in relation to umbilical
plasma tHcy; (2) relationships between cord venous (CV) and cord arterial (CA)
plasma tHcy, folate, and cobalamin concentrations; and (3) tHcy associations with
birth weight and anthropometric measurements of body size as indices of fetal
growth in normal pregnancies with appropriate weight-for-gestational age
newborns. Maternal plasma tHcy, folate, and cobalamin concentrations were
consistent with published data. Placental chorionic plate artery distensibility
index (beta; measure of vessel stiffness) was inversely related to CA tHcy, yet
neonatal blood pressure was not significantly affected. CV and CA tHcy
concentrations were positively related and CV tHcy negatively related to CV
cobalamin but not folate. CV tHcy concentration positively related to birth
weight, corrected birth weight percentile, length, head circumference, and mid
arm circumference of newborns. CV cobalamin was inversely related to fetal growth
indices but not to folate concentration. Our study demonstrates a potential
relationship between fetal tHcy and placental artery distensibility, placing
clinical relevance to cobalamin in influencing Hcy concentration and maintaining
low vascular resistance to facilitate nutrient exchange favorable to fetal
growth.
PMID- 27879448
TI - RhoA Kinase Inhibition With Fasudil Versus Simvastatin in Murine Models of
Cerebral Cavernous Malformations.
AB - BACKGROUND AND PURPOSE: We sought to compare the effect of chronic treatment with
commonly tolerated doses of Fasudil, a specific RhoA kinase (ROCK) inhibitor, and
simvastatin (with pleiotropic effects including ROCK inhibition) on cerebral
cavernous malformation (CCM) genesis and maturation in 2 models that recapitulate
the human disease. METHODS: Two heterozygous murine models, Ccm1+/-Msh2-/- and
Ccm2+/-Trp53-/-, were treated from weaning to 4 to 5 months of age with Fasudil
(100 mg/kg per day), simvastatin (40 mg/kg per day) or with placebo. Mouse brains
were blindly assessed for CCM lesion burden, nonheme iron deposition (as a
quantitative measure of chronic lesional hemorrhage), and ROCK activity. RESULTS:
Fasudil, but not simvastatin, significantly decreased mature CCM lesion burden in
Ccm1+/-Msh2-/- mice, and in meta-analysis of both models combined, when compared
with mice receiving placebo. Fasudil and simvastatin both significantly decreased
the integrated iron density per mature lesion area in Ccm1+/-Msh2-/- mice, and in
both models combined, compared with mice given placebo. ROCK activity in mature
lesions of Ccm1+/-Msh2-/- mice was similar with both treatments. Fasudil, but not
simvastatin, improved survival in Ccm1+/-Msh2-/- mice. Fasudil and simvastatin
treatment did not affect survival or lesion development significantly in Ccm2+/
Trp53-/- mice alone, and Fasudil benefit seemed limited to males. CONCLUSIONS:
ROCK inhibitor Fasudil was more efficacious than simvastatin in improving
survival and blunting the development of mature CCM lesions. Both drugs
significantly decreased chronic hemorrhage in CCM lesions. These findings justify
the development of ROCK inhibitors and the clinical testing of commonly used
statin agents in CCM.
PMID- 27879449
TI - Ethnic Comparison of Clinical Characteristics and Ischemic Stroke Subtypes Among
Young Adult Patients With Stroke in Hawaii.
AB - BACKGROUND AND PURPOSE: Native Hawaiians and other Pacific Islanders (NHOPI) with
ischemic stroke have younger age of stroke onset compared with whites. However,
ethnic differences in stroke subtypes in this population have been inadequately
studied. METHODS: Consecutive young adult patients (aged <=55 years) who were
hospitalized for ischemic stroke between 2006 and 2012 at a tertiary center in
Honolulu were studied. Clinical characteristics and stroke subtypes based on
pathophysiological TOAST classification (Trial of Org 10172) of NHOPI and Asians
were compared with whites. RESULTS: A total of 427 consecutive young adult (mean
age, 46.7+/-7.8 years) patients (NHOPI 45%, Asians 38%, and whites 17%) were
studied. NHOPI had a higher prevalence of hypertension, diabetes mellitus,
prosthetic valve, higher body mass index, hemoglobin A1c, and lower high-density
lipoprotein than whites (all P<0.05). Stroke subtype distribution was not
different between the ethnic groups. Specifically, the prevalence of small-vessel
disease was similar between NHOPI (26.6%), whites (28.4%), and Asians (24.8%). In
the univariate analyses, the use of intravenous tissue-type plasminogen activator
was lower among NHOPI (4.7%; P=0.01) and Asians (3.1%; P=0.002) than among whites
(12.5%). In the multivariable model, NHOPI (odds ratio, 0.35; 95% confidence
interval, 0.12-0.98) and Asians (odds ratio, 0.23; 95% confidence interval, 0.07
0.74) were less likely to be treated with intravenous tissue-type plasminogen
activator than whites. CONCLUSIONS: NHOPI have greater cardiovascular risk
factors than whites, but there were no differences in stroke subtypes between the
ethnic groups. Furthermore, NHOPI and Asians may be less likely to be treated
with intravenous tissue-type plasminogen activator than whites.
PMID- 27879451
TI - Tetraspanins and Mouse Oocyte Microvilli Related to Fertilizing Ability.
AB - Our electron microscopy observations demonstrate for the first time that the
number of microvilli on the mice oocyte membrane decreases when meiosis
progresses from prophase I to metaphase II (MII) stage, and the morphology of the
microvilli also changes. Microvilli are significantly shorter and larger on the
ovulated oocyte membrane than at the previous stages. Although clathrin vesicles
clearly disappear during oocyte maturation, exosome-like vesicles begin to be
secreted at the metaphase I stage, more strongly at the MII stage. Multivesicular
bodies are visible only at the MII stage. Since several oocyte tetraspanins are
involved in the gamete interaction, Cd9 being congregated on the MII oocyte
microvilli, we analyzed the effect of tetraspanin deletion on oocyte membrane
morphology. The Cd9-/- and Cd9-/- Cd81-/- deletions are associated with a
decreased microvilli density on the MII oocyte surface. Microvilli thickness is
significantly increased whatever the deleted tetraspanin gene be. Only Cd9
deletion clearly disturbs the vesicular traffic, increasing the number of
clathrin and exosome vesicles. Additional investigations are necessary to
elucidate how tetraspanins modulate the microvilli morphology, likely in relation
with cytoskeleton. The role of oocyte exosomes in gamete adhesion/fusion remains
to be further studied.
PMID- 27879452
TI - Umbilical Cord Blood Circulating Progenitor Cells and Endothelial Colony-Forming
Cells Are Decreased in Preeclampsia.
AB - Preeclampsia (PE) is a pregnancy-specific disease characterized by the new onset
of hypertension and proteinuria. Mothers with PE are known to develop endothelial
dysfunction, but its effect on infants has been understudied, as newborns are
often asymptomatic. Recent studies indicate that infants born from preeclamptic
pregnancies develop endothelial dysfunction including higher blood pressure
during childhood and an increased risk of stroke later in life. We hypothesize
that PE reduces the number and function of fetal angiogenic progenitor cells and
may contribute to this increased risk. We quantified 2 distinct types of
angiogenic progenitors, pro-angiogenic circulating progenitor cells (CPCs) and
endothelial colony-forming cells (ECFCs), from the umbilical cord blood of
preeclamptic pregnancies and normotensive controls. Pro-angiogenic and
nonangiogenic CPCs were enumerated via flow cytometry and ECFCs by cell culture.
Additionally, we studied the growth, migration, and tube formation of ECFCs from
PE and gestational age-matched normotensive control pregnancies. We found that PE
resulted in decreased cord blood pro-angiogenic CPCs and ECFCs. Nonangiogenic
CPCs were also decreased. Preeclamptic ECFCs demonstrated decreased growth and
migration but formed tube-like structures in vitro similar to controls. Our
results suggest that the preeclamptic environment alters the number and function
of angiogenic progenitor cells and may increase the risk of later vascular
disease.
PMID- 27879453
TI - Effects of Supplementation of Human Endometriotic Fluids on In Vitro Mouse
Preantral Follicle Culture.
AB - This study aimed to investigate the potential detrimental effect of human
endometriotic fluids (EFs) on in vitro growth of mouse preantral follicles.
Preantral follicles (isolated from ovaries of 7- to 8-week-old mice) were
cultured in growth medium for 10 days and then in maturation medium for 2 days.
During in vitro culture, EF supernatants (0%, 2.5%, 5%, and 10%) were
supplemented. Meiotic spindle integrity of metaphase II (MII) oocytes was
analyzed. Hormone (17beta-estradiol and anti-Mullerian hormone [AMH]) levels in
the final spent media were measured by enzyme-linked immunosorbent assay. The
survival rates of follicles at day 10 were significantly lower in 3 EF
supplemented groups (56.1%, 30.6%, and 6.2%; 83.6% in the nonsupplemented group).
The production of total oocytes per initiated follicle was also significantly
lower in the 3 EF-supplemented groups (34.7%, 18.4%, and 4.1%; 68.1% in the
nonsupplemented group). Proportions of the oocyte with normal spindles were
significantly lower in the 3 EF-supplemented groups (10%, 0% and 0%; 52% in the
nonsupplemented group). In the final spent media, the level of 17beta-estradiol
was significantly lower only in the 10% EF-supplemented group, and the level of
AMH was significantly lower in all 3 EF-supplemented groups, when compared with
the nonsupplemented group. During in vitro culture of mouse preantral follicles,
the survival rate, oocyte acquisition, spindle integrity of MII oocytes, and AMH
production were greatly affected by EF supplementation. These findings suggest a
possibility of detrimental effects of endometriotic cysts on folliculogenesis in
adjacent ovarian tissues.
PMID- 27879455
TI - Diagnostic Care Pathways in Dementia.
AB - OBJECTIVES: Increasing diagnostic rates of dementia is a national health
priority; to meet this priority, improvement needs to be made to diagnostic
services. It has been increasingly recognized that primary can play a significant
role in the diagnostic journey for people with dementia, with some diagnostic
services entirely located in primary care. This article reviews the extent of the
involvement of primary care in diagnostic care pathways for people presenting
with memory complaints within England, and presents examples of innovative
approaches, which may be of interest to practitioners. METHOD: A rapid review was
undertaken to identify articles outlining diagnostic care pathways for dementia
involving primary care in England. RESULTS: Six articles relating to pathway
evaluations and innovative approaches involving primary care were deemed suitable
for inclusion in the review. CONCLUSIONS: The review found examples of diagnostic
pathways and innovative practices being implemented in in primary care. These
practices aligned to the strategic ambitions of the National Dementia Strategy.
However, it was widely acknowledged that there is a need to improve
postdiagnostic pathways; in particular, access to postdiagnostic support. This
issue is being reflected in contemporary policy initiatives such as the
Department of Health's 2016 Joint Declaration on postdiagnostic dementia care and
support.
PMID- 27879454
TI - Molecular Mechanisms of Androstenediol in the Regulation of the Proliferative
Process of Human Endometrial Cells.
AB - Proliferation in endometria of women with polycystic ovarian syndrome (PCOS) is
increased, similar to the biosynthesis of androstenediol (estrogenic metabolite).
As previously shown, in human endometrial cells, androstenediol increases CYCLIN
D1 levels and KI67 and decreases P27 content. The objective of the present
investigation was to determine the mechanisms by which androstenediol promotes
endometrial cell-cycle progression. Estrogen receptor alpha (ERalpha) activation
and changes in CYCLIN D1 and P27 levels were evaluated by Western blot in T-HESC
and St-T1b endometrial cell lines, using receptor antagonists; activation of PI3K
protein kinase B (AKT) and mitogen-activated protein kinases-extracellular signal
regulated kinases (MAPK-ERK)1/2 pathways was evaluated using PI3K, MAPK/ERK
kinase (MEK)1/2, and RNA-polymerase II inhibitors. The data showed that
androstenediol treatment significantly increases CYCLIN D1 and decreases P27
levels through ERalpha activation ( P < .05). In addition, an increase in
AKT/ERK1/2 phosphorylations was determined ( P < .05). In the presence of RNA
polymerase II inhibitor, phosphorylation of AKT/ERK1/2 decreased ( P < .05),
meaning that endometrial cells need transcriptional activity to activate the
kinases involved. It was also observed that PI3K action is required for P27 and
CYCLIN D1 changes. Therefore, the action of androstenediol in endometria depends
on PI3K-AKT and MAPK-ERK1/2 pathways activation, together with cell
transcriptional machinery. This could be of clinical significance, as in
pathologies such as PCOS, increased endometrial levels of androstenediol together
with a high prevalence of endometrial hyperplasia and adenocarcinoma have been
reported.
PMID- 27879456
TI - Hindlimb spasticity after unilateral motor cortex lesion in rats is reduced by
contralateral nerve root transfer.
AB - Lower extremity spasticity is a common sequela among patients with acquired brain
injury. The optimum treatment remains controversial. The aim of our study was to
test the feasibility and effectiveness of contralateral nerve root transfer in
reducing post stroke spasticity of the affected hindlimb muscles in rats. In our
study, we for the first time created a novel animal hindlimb spastic hemiplegia
model in rats with photothrombotic lesion of unilateral motor cortex and we
established a novel surgical procedure in reducing motor cortex lesion-induced
hindlimb spastic hemiplegia in rats. Thirty six rats were randomized into three
groups. In group A, rats received sham operation. In group B, rats underwent
unilateral hindlimb motor cortex lesion. In group C, rats underwent unilateral
hindlimb cortex lesion followed by contralateral L4 ventral root transfer to L5
ventral root of the affected side. Footprint analysis, Hoffmann reflex (H
reflex), cholera toxin subunit B (CTB) retrograde tracing of gastrocnemius muscle
(GM) motoneurons and immunofluorescent staining of vesicle glutamate transporter
1 (VGLUT1) on CTB-labelled motoneurons were used to assess spasticity of the
affected hindlimb. Sixteen weeks postoperatively, toe spread and stride length
recovered significantly in group C compared with group B (P<0.001). Hmax (H-wave
maximum amplitude)/Mmax (M-wave maximum amplitude) ratio of gastrocnemius and
plantaris muscles (PMs) significantly reduced in group C (P<0.01). Average VGLUT1
positive boutons per CTB-labelled motoneurons significantly reduced in group C
(P<0.001). We demonstrated for the first time that contralateral L4 ventral root
transfer to L5 ventral root of the affected side was effective in relieving
unilateral motor cortex lesion-induced hindlimb spasticity in rats. Our data
indicated that this could be an alternative treatment for unilateral lower
extremity spasticity after brain injury. Therefore, contralateral neurotization
may exert a potential therapeutic candidate to improve the function of lower
extremity in patients with spastic hemiplegia.
PMID- 27879457
TI - Protective Efficacy of Secondary Prophylaxis Against Visceral Leishmaniasis in
Human Immunodeficiency Virus Coinfected Patients Over the Past 10 Years in
Eastern India.
AB - Coinfection with visceral leishmaniasis (VL) and human immunodeficiency virus
(HIV) leads to frequent treatment failure, relapse, and death. In this
retrospective analysis from eastern India (2005-2015), our primary objective was
to ascertain the protective efficacy of secondary prophylaxis with monthly
amphotericin B (AmB) given in patients with HIV-VL coinfection toward reducing
relapse and mortality rates. The secondary objective was to compare clinical
features, laboratory findings, and treatment outcomes in HIV-VL patients in
contrast to VL monoinfection. Overall, 53 cases of HIV-VL and 460 cases of VL
monoinfection were identified after excluding incomplete records. Initial cure
rate was 96.23% in HIV-VL (27 received liposomal AmB and 26 AmB deoxycholate).
All patients with initial cure (N = 51) were given antiretroviral therapy.
Secondary prophylaxis (N = 27) was provided with monthly 1 mg/kg AmB (15
liposomal, 12 deoxycholate). No relapse or death was noted within 6 months in the
secondary prophylaxis group (relapse: none versus 18/24 [75%]; mortality: none
versus 11/24 [45.8%]; P < 0.001 for both). Secondary prophylaxis remained the
sole significant predictor against death in multivariate Cox regression model
(hazard ratio = 0.09 [95% confidence interval = 0.03-0.31]; P < 0.001). HIV-VL
patients had higher 6-month relapse rate, less relapse-free 12-month survival,
and higher mortality (P < 0.001 each) than VL monoinfection. In conclusion, it
appears from this study that secondary prophylaxis with monthly AmB might be
effective in preventing relapse and mortality in HIV-VL.
PMID- 27879459
TI - Clinical and Epidemiological Features of Paederus Dermatitis Among Nut Farm
Workers in Turkey.
AB - Paederus dermatitis is an acute irritant dermatitis resulting from contact with
the hemolymph of Paederus beetles. This dermatitis mimics other infectious skin
disorders, often resulting in diagnostic dilemmas. This study of 46 patients was
conducted to increase awareness about Paederus dermatitis. Possible causes of a
number of cases of Paederus dermatitis in Giresun, Turkey, were investigated
using climate data and telephone interviews with the patients about risk factors.
Insects caught by patients were identified at the species level. All patients
were hazelnut farm workers and admitted to the hospital in the months during
which the hazelnut harvesting occurs. Of the patients, 11 (23.91%) presented with
painful and burning skin lesions, and 35 (70.09%) complained of itching. The neck
was the most involved site (47.83%). The predominant type of lesion was
erythematous plaque (84.78%). No pathological findings were detected by
laboratory testing. Patients reported coming into contact with the insect during
the daytime. Captured insects were identified as Paederus fuscipes. Although the
weather and climate were optimal for P. fuscipes during June-October, patients
were admitted to the hospital only during the hazelnut harvesting season (August
September). The only risk factor identified among patients was occupation; hence
we believe our study is the first to suggest that Paederus dermatitis is an
occupational disease among hazelnut farm workers. We suggest that Paederus spp.
may become a substantial threat due to global warming, especially among farm
workers. Paederus dermatitis is not included in classic medical textbooks. It is
necessary to increase awareness about this condition.
PMID- 27879458
TI - The Changing Epidemiology of Human T-Cell Lymphotropic Virus Type 1 Infection in
Peruvian Female Sex Workers, 1993-2010.
AB - Human T-cell lymphotropic virus type 1 (HTLV-1) was the first human retrovirus to
be reported and is associated with neoplastic, neurological, autoimmune, and
infectious complications. HTLV-1 is endemic in Peru, with the highest prevalence
reported among commercial sex workers. Seroprevalence data collected from
Peruvian female sex workers (FSWs) working in Callao over three study periods
between 1993 and 2010 were used to examine the secular trend in HTLV-1
prevalence. Between 1993 and 2010, the prevalence of HTLV-1 decreased
significantly from 14.5% to 3.1% (P < 0.01). The prevalence of HTLV-1
seropositivity differed significantly by birth cohort (1922-1959, 1960-1969, 1970
1979, and 1980-1992), and for each of the four birth cohorts, the prevalence did
not significantly decrease by screening year (P > 0.07). There were no cases of
HTLV-1 detected among FSW born after 1979 (N = 224). Participant characteristics
associated with HTLV-1 seropositivity were birth in the Andes Mountains region,
age, increased time in sex work, younger age of starting sex work, and human
immunodeficiency virus (HIV) seropositivity. The secular trend in declining
prevalence persisted after adjustment for age, time in sex work, place of birth,
and HIV serostatus, with the odds of HTLV-1 infection decreasing approximately
16% per year (adjusted odds ratio = 0.84, 95% confidence interval = 0.78, 0.90).
The increasing use of condoms by later birth cohorts noted in our analysis, as
well as the increasing availability of free condoms provided by the Peruvian
government-which started in the late 1980s before this study-may have been
responsible for declining HTLV seroprevalence.
PMID- 27879460
TI - Effectiveness of Short-Course Meglumine Antimoniate (Glucantime(r)) for Treatment
of Visceral Leishmaniasis: A 13-Year, Multistage, Non-Inferiority Study in Iran.
AB - The World Health Organization's (WHO) recommendation is 28-day course of
meglumine antimoniate (Glucantime(r), Sanofi Aventis, France) for the treatment
of visceral leishmaniasis (VL). The aim of this study was to evaluate the
effectiveness of a shorter duration of treatment in regions with low level of
resistance to Glucantime. During 13 years, this study was conducted in three
phases on 392 patients. In the pilot first phase, we performed splenic punctures
in seven patients to assess the correlation between the changes in the parasite
load during treatment with Glucantime and defervescence. With defervescence,
parasite density was dramatically dropped (P = 0.014), propounding defervescence
as a marker of parasitological response. On the basis of the results, we
conducted a randomized trial on 75 patients, comparing the efficacy of
continuation of Glucantime therapy for 1, 2, or 3 weeks after defervescence. The
treatment course of 1 week after defervescence (mean = 11.7 days) was non
inferior to that of 3 weeks (final cure rate, 96% versus 100%; P = 0.023). The
third phase was a retrospective cohort study of 302 patients treated either with
the WHO's regimen or for 7 days after defervescence (intervention group). Relapse
was detected in 8.3% patients of the intervention group and in 5% patients
following the WHO's regimen (P = 0.006 for non-inferiority). The final duration
of treatment in intervention group was significantly shorter than standard course
(13.3 +/- 2.6 versus 28 days; P < 0.001). In summary, treatment of VL with
Glucantime for 1 week after defervescence was non-inferior to and appears to be
an acceptable alternative to the standard 28-day course for patients in Iran who
show a response to antimonial therapy.
PMID- 27879461
TI - Unstable Malaria Transmission in the Southern Peruvian Amazon and Its Association
with Gold Mining, Madre de Dios, 2001-2012.
AB - The reemergence of malaria in the last decade in Madre de Dios, southern Peruvian
Amazon basin, was accompanied by ecological, political, and socioeconomic changes
related to the proliferation of illegal gold mining. We conducted a secondary
analysis of passive malaria surveillance data reported by the health networks in
Madre de Dios between 2001 and 2012. We calculated the number of cases of malaria
by year, geographic location, intensity of illegal mining activities, and
proximity of health facilities to the Peru-Brazil Interoceanic Highway. During
2001-2012, 203,773 febrile cases were identified in Madre de Dios, of which
30,811 (15.1%) were confirmed cases of malaria; all but 10 cases were due to
Plasmodium vivax Cases of malaria rose rapidly between 2004 and 2007, reached
4,469 cases in 2005, and then declined after 2010 to pre-2004 levels. Health
facilities located in areas of intense illegal gold mining reported 30-fold more
cases than those in non-mining areas (ratio = 31.54, 95% confidence interval [CI]
= 19.28, 51.60). Finally, health facilities located > 1 km from the Interoceanic
Highway reported significantly more cases than health facilities within this
distance (ratio = 16.20, 95% CI = 8.25, 31.80). Transmission of malaria in Madre
de Dios is unstable, geographically heterogeneous, and strongly associated with
illegal gold mining. These findings highlight the importance of spatially
oriented interventions to control malaria in Madre de Dios, as well as the need
for research on malaria transmission in illegal gold mining camps.
PMID- 27879462
TI - Detection of the Leprosy Agent Mycobacterium lepromatosis in South America and
Europe.
PMID- 27879465
TI - Why Is Diagnosing Pediatric Malnutrition Important?
AB - The literature indicates that pediatric malnutrition is more common than the
number of times it is actually diagnosed. A new pediatric malnutrition definition
is now available with criteria to make the diagnosis. If pediatric malnutrition
is present, it should be diagnosed for financial, educational, and research
purposes as well as the effects on patient development and mortality. These
reasons extend beyond the health of an individual patient to potential impacts on
society as a whole. When all of these reasons are examined and added, making the
diagnosis of pediatric malnutrition becomes an obligation of the pediatric
caregiver.
PMID- 27879466
TI - Doctors protest against bill to dissolve the Medical Council of India.
PMID- 27879463
TI - A Tale of Two Flaviviruses: A Seroepidemiological Study of Dengue Virus and West
Nile Virus Transmission in the Ouest and Sud-Est Departments of Haiti.
AB - Though plans to eliminate malaria from the island of Hispaniola have recently
received much attention, arbovirus surveillance continues to be largely neglected
in Haiti. To support surveillance efforts and encourage vector-control
strategies, a cross-sectional study of dengue virus (DENV) and West Nile virus
(WNV) transmission was conducted using standard seroepidemiological methods.
Blood samples (N = 673) were collected from 278 males and 395 females from three
locations in the Ouest and Sud-Est Departments of Haiti. Serum was separated and
tested for the presence of anti-DENV and anti-WNV immunoglobulin G (IgG)
antibodies using an indirect enzyme-linked immunosorbent assay (ELISA). Anti-DENV
IgG antibodies were detected in 72.1% (95% confidence interval [CI] = 68.7, 75.5)
of the sample population; with no significant differences in seroprevalence by
study location, participant gender, or age group (P > 0.1, in all tests). Anti
WNV IgG antibodies were detected in only 1% (95% CI = 0.3, 1.8) of the sample
population, all which originated from participants located in Gressier. The high
prevalence of anti-DENV IgG antibodies among all age groups, including those in
the youngest age group (2-5 years of age), suggests hyperendemic transmission of
DENV in the Ouest and Sud-Est Departments of Haiti. In contrast, the relative
absence of anti-WNV IgG antibodies, even among older population members, further
supports the notion that WNV transmission in this population is largely absent.
These findings highlight the large burden of disease from DENV and the need for
enhanced arbovirus surveillance and implementation of vector control strategies
throughout Haiti.
PMID- 27879464
TI - Severe Pleuropulmonary Paragonimiasis Caused by Paragonimus mexicanus Treated as
Tuberculosis in Ecuador.
AB - A 30-year-old male, from a subtropical region of Ecuador, was hospitalized with a
5-year history of persistent cough with rusty brown sputum, chest pain, and
progressive dyspnea. The patient underwent thoracic surgery 3 years ago for
pleural effusion and subsequently received a 9-month regimen treatment of
tuberculosis. However, there was no clinical resolution and symptoms became
progressively worse. A chest radiograph and computerized tomography scan showed
several small nodules in both lungs. Eggs of Paragonimus spp. were observed in
sputum smears, but the smears were negative for acid-fast bacilli. Molecular
characterization of eggs by the internal transcribed spacer-2 regions identified
them as Paragonimus mexicanus The patient was treated with praziquantel and
tested negative parasitologically for 12 months. There was clinical resolution of
the cough and expectoration, but dyspnea and chest pain persisted.
PMID- 27879468
TI - Relationship Between Plasma Levels of Soluble CD40 Ligand and the Presence and
Severity of Isolated Coronary Artery Ectasia.
AB - OBJECTIVE: We aimed to investigate whether soluble CD40 ligand (CD40L) levels are
higher in patients with isolated coronary artery ectasia (CAE) compared to
patients with angiographically normal coronary arteries and those with stable
coronary artery disease (CAD). MATERIALS AND METHODS: In all, 55 patients with
isolated CAE without stenosis, 55 with stable CAD, and 55 control participants
with angiographically normal coronary arteries were included. The CAE severity
was determined according to the Markis classification. Plasma levels of soluble
CD40 ligand were measured by enzyme-linked immunosorbent assay. RESULTS: The
baseline characteristics of the 3 groups were similar. Plasma levels of soluble
CD40 ligand were significantly higher in patients with CAE and CAD than in
controls (2.6 +/- 3.1 ng/mL and 2.0 +/- 3.1 ng/mL vs 1.8 +/- 2.1 ng/mL, P =
.004). No difference was found between the CAE and CAD groups. Soluble CD40
ligand level was significantly higher in the type 1 Markis subgroup than that in
the type 3 or type 4 subgroups ( P = .01). A receiver operating characteristic
curve analysis revealed that soluble CD40 ligand level >1.2 ng/mL identified
patients with isolated CAE. CONCLUSION: Significantly higher levels of soluble
CD40 ligand were detected in patients with CAE than that in control participants
with normal coronary arteries, suggesting that soluble CD40 ligand may be
involved in the pathogenesis of CAE. The CD40-CD40 ligand system likely plays a
role in the pathogenesis of CAE.
PMID- 27879467
TI - Meiotic spindle assembly and chromosome segregation in oocytes.
AB - Oocytes accumulate maternal stores (proteins, mRNAs, metabolites, etc.) during
their growth in the ovary to support development after fertilization. To preserve
this cytoplasmic maternal inheritance, they accomplish the difficult task of
partitioning their cytoplasm unequally while dividing their chromosomes equally.
Added to this complexity, most oocytes, for reasons still speculative, lack the
major microtubule organizing centers that most cells use to assemble and position
their spindles, namely canonical centrosomes. In this review, we will address
recent work on the mechanisms of meiotic spindle assembly and chromosome
alignment/segregation in female gametes to try to understand the origin of errors
of oocyte meiotic divisions. The challenge of oocyte divisions appears indeed not
trivial because in both mice and humans oocyte meiotic divisions are prone to
chromosome segregation errors, a leading cause of frequent miscarriages and
congenital defects.
PMID- 27879469
TI - Frequency of Common VKORC1 Polymorphisms and Their Impact on Warfarin Dose
Requirement in Pakistani Population.
AB - Polymorphisms in vitamin K epoxide reductase complex subunit 1 (VKORC1) gene lead
to interindividual variability in warfarin dose requirement. The characterization
of genotype frequency distribution is required in different populations for
construction of customized dosing algorithms to enhance the efficacy and reduce
the toxicity of warfarin therapy. This study was carried out in Pakistani
population to evaluate the contribution of common VKORC1 polymorphisms to
warfarin therapy. A total of 550 stable patients taking warfarin were enrolled
after medical history, physical examination, and laboratory investigations.
Single blood sample was collected after informed consent. Genomic DNA was
extracted and genotype analysis for VKORC1 1173C>T and VKORC1-1639G>A
polymorphisms was done by polymerase chain reaction-restriction fragment length
polymorphism assay. A number of samples were also analyzed by direct DNA
sequencing for validation of results. Data were analyzed using SPSS version 20.
Genotype frequency distributions of VKORC1 1173C>T and VKORC1-1639G>A were found
to be different from other populations. Both of these polymorphisms did not
demonstrate significant effect on warfarin dose requirement. Although Cytochrome
P450 2C9 (CYP2C9) and VKORC1 polymorphisms together attributed only 3.8%
variability in warfarin dose but it was statistically significant ( p value =
.004). It is concluded that there is a need to study genotype frequency
distribution and their effect on warfarin dose variability among different
populations due to diversity in outcome. At the same time, no effect on warfarin
dose variation explained by VKORC1 polymorphisms and small variability explained
by studied genotypes stresses the need for exploration of more genetic and
nongenetic factors in Pakistani population.
PMID- 27879470
TI - Incidence and Management of Thrombotic and Thromboembolic Complications Following
the Norwood Procedure: A Systematic Review.
AB - BACKGROUND: The stage 1 Norwood procedure and its variants represent the first
step of palliation for hypoplastic left heart syndrome. Although appropriate
postoperative thromboprophylaxis is integral, significant variance remains across
institutional practices. The purpose of this systematic review is to estimate the
incidence of thrombosis and thromboembolism following the Norwood or modified
Blalock-Taussig shunt procedure and examine current thromboprophylaxis regimens.
METHODS: Ovid MEDLINE and Embase were searched from January 2000 to June 2016 for
primary studies explicitly reporting incidence of thrombosis, thromboembolism
(strokes and pulmonary embolisms), or shunt occlusion in neonates, infants, and
children undergoing the Norwood procedure or any variant. All-cause mortality was
a secondary outcome of interest. RESULTS: Of 887 identified articles, 15 cohort
studies were deemed eligible, the majority including modified Blalock-Taussig
shunt patients. Reported incidence of thrombosis ranged from 0% to 40%;
thromboembolic events were rarely reported. Overall mortality ranged from 4.5% to
31.3% across studies. Although most studies involved the long-term
acetylsalicylic acid use, thromboprophylaxis strategies varied across studies.
Due to substantial variability in event rates, no correlation was identified with
thrombotic complications. DISCUSSION: Clinical practice guidelines recommend that
patients receive intraoperative unfractionated heparin therapy and either aspirin
or no antithrombotic therapy postoperatively. Our findings suggest a substantial
risk of thrombosis and thromboembolism and demonstrate substantial variation in
thromboprophylaxis practices. CONCLUSION: Although postoperative
thromboprophylaxis seems optimal, it remains controversial whether the long-term
aspirin use is most effective. Our findings highlight the lack of a gold-standard
thromboprophylaxis strategy and emphasize the need for more consistency.
PMID- 27879471
TI - Molecular Basis of Congenital Factor XIII Deficiency in Iran.
AB - Factor XIII deficiency (FXIIID) is an extremely rare autosomal recessive disorder
that has the highest incidence in Iran. The FXIIID is primarily due to mutations
in the FXIII-A gene, most of which are unique. In the current study, we report
all identified mutations among Iranian patients. Among 483 patients, 366 (75.8%)
were molecularly analyzed; 11 different mutations were observed. Of 11, 8 (72.7%)
are missense, whereas the remaining 3 (27.3%) are deletion/insertion. Among these
patients, 347 (94.9%) had the unique mutation of c.562T>C and 5 (1.4%) had the
c.233G>A mutation. c.1226G>A, c.2111G>A, and c.1142T>A are also common, whereas
other mutations, including 3 missense and 3 deletion/insertion, were observed
only in single patient. Although, in most cases, FXIII mutations are unique and
restricted to a specific family, this differs in Iran where a considerable number
of identified mutations, recurrently observed, appear to be due to the high rate
of consanguinity.
PMID- 27879472
TI - Can patient-reported measurements of pain be used to improve cancer pain
management? A systematic review and meta-analysis.
AB - PURPOSE: Cancer pain is a distressing and complex experience. It is feasible that
the systematic collection and feedback of patient-reported outcome measurements
(PROMs) relating to pain could enhance cancer pain management. We aimed to
conduct a systematic review of interventions in which patient-reported pain data
were collected and fed back to patients and/or professionals in order to improve
cancer pain control. METHODS: MEDLINE, EMBASE and CINAHL databases were searched
for randomised and non-randomised controlled trials in which patient-reported
data were collected and fed back with the intention of improving pain management
by adult patients or professionals. We conducted a narrative synthesis. We also
conducted a meta-analysis of studies reporting pain intensity. RESULTS: 29
reports from 22 trials of 20 interventions were included. PROM measures were used
to alert physicians to poorly controlled pain, to target pain education and to
link treatment to management algorithms. Few interventions were underpinned by
explicit behavioural theories. Interventions were inconsistently applied or
infrequently led to changes in treatment. Narrative synthesis suggested that
feedback of PROM data tended to increase discussions between patients and
professionals about pain and/or symptoms overall. Meta-analysis of 12 studies
showed a reduction in average pain intensity in intervention group participants
compared with controls (mean difference=-0.59 (95% CI -0.87 to -0.30)).
CONCLUSIONS: Interventions that assess and feedback cancer pain data to patients
and/or professionals have so far led to modest reductions in cancer pain
intensity. Suggestions are given to inform and enhance future PROM feedback
interventions.
PMID- 27879474
TI - Oscillatory expression in Escherichia coli mediated by microRNAs with
transcriptional and translational time delays.
AB - The primary objective of this study is to study oscillatory expression of gene
regulatory network in Escherichia coli mediated by microRNAs (sRNAs) with
transcriptional and translational time delays. Motivated by the regulation of
gene expression proposed by Shimoni et al. (Molecular Systems Biology, 2007), a
general model of delayed gene regulatory network by sRNAs is formulated. This
model can well describe many practical architectures of gene regulatory network
by sRNAs, particularly when both transcriptional and translational time delays
are introduced. Two functional issues on oscillatory expression of the gene
regulatory network, i.e. stability and bifurcation, are investigated.
Furthermore, an explicit algorithm determining the direction of Hopf bifurcation
and stability of bifurcating periodic solutions is presented. It turns out that
both transcriptional and translational time delays can induce gene expression in
E. coli to be oscillatory even when its deterministic counterpart exhibits no
oscillations. Moreover, the obtained results are in consistence with the
experimental observations found in the biological literatures (Elowitz MB et al.:
Nature, 2000, 403, pp. 335-338; Lennart Hilbert et al.: Mol. BioSyst, 2011, 7,
pp. 2599-2607), which demonstrates that both transcriptional and translational
time delays play an important role in the gene regulatory process of E. coli.
PMID- 27879473
TI - An unusual cause of tinnitus: essential palatal myoclonus.
PMID- 27879476
TI - Interactive cooperation and hierarchical operation of microRNA and transcription
factor crosstalk in human transcriptional regulatory network.
AB - Transcriptional regulation of gene expression is an essential cellular process
that is arranged by transcription factors (TFs), microRNAs (miRNA) and their
target genes through a variety of mechanisms. Here, we set out to reconstruct a
comprehensive transcriptional regulatory network of Homo sapiens consisting of
experimentally verified regulatory information on miRNAs, TFs and their target
genes. We have performed topological analyses to elucidate the transcriptional
regulatory roles of miRNAs and TFs. When we thoroughly investigated the network
motifs, different gene regulatory scenarios were observed; whereas, mutual TF
miRNA regulation (interactive cooperation) and hierarchical operation where
miRNAs were the upstream regulators of TFs came into prominence. Otherwise,
biological process specific subnetworks were also constructed and integration of
gene and miRNA expression data on ovarian cancer was achieved as a case study to
observe dynamic patterns of the gene expression. Meanwhile, both co-operation and
hierarchical operation types were determined in active ovarian cancer and process
specific subnetworks. In addition, the analysis showed that multiple signals from
miRNAs were integrated by TFs. Our results demonstrate new insights on the
architecture of the human transcriptional regulatory network, and here we present
some lessons we gained from deciphering the reciprocal interplay between miRNAs,
TFs and their target genes.
PMID- 27879475
TI - Inferring catalysis in biological systems.
AB - In systems biology, one is often interested in the communication patterns between
several species, such as genes, enzymes or proteins. These patterns become more
recognisable when temporal experiments are performed. This temporal communication
can be structured by reaction networks such as gene regulatory networks or
signalling pathways. Mathematical modelling of data arising from such networks
can reveal important details, thus helping to understand the studied system. In
many cases, however, corresponding models still deviate from the observed data.
This may be due to unknown but present catalytic reactions. From a modelling
perspective, the question of whether a certain reaction is catalysed leads to a
large increase of model candidates. For large networks the calibration of all
possible models becomes computationally infeasible. We propose a method which
determines a substantially reduced set of appropriate model candidates and
identifies the catalyst of each reaction at the same time. This is incorporated
in a multiple-step procedure which first extends the network by additional latent
variables and subsequently identifies catalyst candidates using similarity
analysis methods. Results from synthetic data examples suggest a good performance
even for non-informative data with few observations. Applied on CD95 apoptotic
pathway our method provides new insights into apoptosis regulation.
PMID- 27879477
TI - Robust group fused lasso for multisample copy number variation detection under
uncertainty.
AB - One of the most important needs in the post-genome era is providing the
researchers with reliable and efficient computational tools to extract and
analyse this huge amount of biological data, in which DNA copy number variation
(CNV) is a vitally important one. Array-based comparative genomic hybridisation
(aCGH) is a common approach in order to detect CNVs. Most of methods for this
purpose were proposed for one-dimensional profiles. However, slightly this focus
has moved from one- to multi-dimensional signals. In addition, since
contamination of these profiles with noise is always an issue, it is highly
important to have a robust method for analysing multi-sample aCGH profiles. In
this study, the authors propose robust group fused lasso which utilises the
robust group total variations. Instead of l2,1 norm, the l1 - l2 M-estimator is
used which is more robust in dealing with non-Gaussian noise and high corruption.
More importantly, Correntropy (Welsch M-estimator) is also applied for fitting
error. Extensive experiments indicate that the proposed method outperforms the
state-of-the art algorithms and techniques under a wide range of scenarios with
diverse noises.
PMID- 27879478
TI - Occurrence of HIV eradication for preexposure prophylaxis treatment with a
deterministic HIV model.
AB - The authors examine the human immunodeficiency virus (HIV) eradication in this
study using a mathematical model and analyse the occurrence of virus eradication
during the early stage of infection. To this end they use a deterministic HIV
infection model, modify it to describe the pharmacological dynamics of
antiretroviral HIV drugs, and consider the clinical experimental results of
preexposure prophylaxis HIV treatment. They also use numerical simulation to
model the experimental scenario, thereby supporting the clinical results with a
model-based explanation. The study results indicate that the protocol employed in
the experiment can eradicate HIV in infected patients at the early stage of the
infection.
PMID- 27879479
TI - Identify signature regulatory network for glioblastoma prognosis by integrative
mRNA and miRNA co-expression analysis.
AB - Glioblastoma multiforme (GBM) is the most common and aggressive type of primary
brain tumor in adults. Patients with this disease have a poor prognosis. The
objective of this study is to identify survival-related individual genes (or
miRNAs) and miRNA -mRNA pairs in GBM using a multi-step approach. First, the
weighted gene co-expression network analysis and survival analysis are applied to
identify survival-related modules from mRNA and miRNA expression profiles,
respectively. Subsequently, the role of individual genes (or miRNAs) within these
modules in GBM prognosis are highlighted using survival analysis. Finally, the
integration analysis of miRNA and mRNA expression as well as miRNA target
prediction is used to identify survival-related miRNA -mRNA regulatory network.
In this study, five genes and two miRNA modules that significantly correlated to
patient's survival. In addition, many individual genes (or miRNAs) assigned to
these modules were found to be closely linked with survival. For instance,
increased expression of neuropilin-1 gene (a member of module turquoise)
indicated poor prognosis for patients and a group of miRNA -mRNA regulatory
networks that comprised 38 survival-related miRNA -mRNA pairs. These findings
provide a new insight into the underlying molecular regulatory mechanisms of GBM.
PMID- 27879480
TI - Adaptive modelling of gene regulatory network using Bayesian information
criterion-guided sparse regression approach.
AB - Inferring gene regulatory networks (GRNs) from microarray expression data are an
important but challenging issue in systems biology. In this study, the authors
propose a Bayesian information criterion (BIC)-guided sparse regression approach
for GRN reconstruction. This approach can adaptively model GRNs by optimising the
l1-norm regularisation of sparse regression based on a modified version of BIC.
The use of the regularisation strategy ensures the inferred GRNs to be as sparse
as natural, while the modified BIC allows incorporating prior knowledge on
expression regulation and thus avoids the overestimation of expression regulators
as usual. Especially, the proposed method provides a clear interpretation of
combinatorial regulations of gene expression by optimally extracting regulation
coordination for a given target gene. Experimental results on both simulation
data and real-world microarray data demonstrate the competent performance of
discovering regulatory relationships in GRN reconstruction.
PMID- 27879481
TI - Role of non-motile microtubule-associated proteins in virus trafficking.
AB - Viruses are entirely dependent on their ability to infect a host cell in order to
replicate. To reach their site of replication as rapidly and efficiently as
possible following cell entry, many have evolved elaborate mechanisms to hijack
the cellular transport machinery to propel themselves across the cytoplasm. Long
range movements have been shown to involve motor proteins along microtubules
(MTs) and direct interactions between viral proteins and dynein and/or kinesin
motors have been well described. Although less well-characterized, it is also
becoming increasingly clear that non-motile microtubule-associated proteins
(MAPs), including structural MAPs of the MAP1 and MAP2 families, and microtubule
plus-end tracking proteins (+TIPs), can also promote viral trafficking in
infected cells, by mediating interaction of viruses with filaments and/or motor
proteins, and modulating filament stability. Here we review our current knowledge
on non-motile MAPs, their role in the regulation of cytoskeletal dynamics and in
viral trafficking during the early steps of infection.
PMID- 27879482
TI - Unusual structures of CCTG repeats and their participation in repeat expansion.
AB - CCTG repeat expansion in intron 1 of the cellular nucleic acid-binding protein
(CNBP) gene has been identified to be the genetic cause of myotonic dystrophy
type 2 (DM2). Yet the underlying reasons for the genetic instability in CCTG
repeats remain elusive. In recent years, CCTG repeats have been found to form
various types of unusual secondary structures including mini-dumbbell (MDB),
hairpin and dumbbell, revealing that there is a high structural diversity in CCTG
repeats intrinsically. Upon strand slippage, the formation of unusual structures
in the nascent strand during DNA replication has been proposed to be the culprit
of CCTG repeat expansions. On the one hand, the thermodynamic stability, size,
and conformational dynamics of these unusual structures affect the propensity of
strand slippage. On the other hand, these structural properties determine whether
the unusual structure can successfully escape from DNA repair. In this short
overview, we first summarize the recent advances in elucidating the solution
structures of CCTG repeats. We then discuss the potential pathways by which these
unusual structures bring about variable sizes of repeat expansion, high strand
slippage propensity and efficient repair escape.
PMID- 27879483
TI - Comparison of ex vivo stability of copeptin and vasopressin.
AB - BACKGROUND: Copeptin, part of the vasopressin precursor, is increasingly used as
marker for vasopressin and is claimed to have better ex vivo stability. However,
no study has directly compared the ex vivo stability of copeptin and vasopressin.
METHODS: Blood of ten healthy volunteers was collected in EDTA tubes. Next, we
studied the effect of various pre-analytical conditions on measured vasopressin
and copeptin levels: centrifugation speed, short-term storage temperature and
differences between whole blood and plasma, long-term storage temperature and
repeated freezing and thawing. The acceptable change limit (ACL), indicating the
maximal percentage change that can be explained by assay variability, was used as
cut-off to determine changes in vasopressin and copeptin. RESULTS: The ACL was
25% for vasopressin and 19% for copeptin. Higher centrifugation speed resulted in
lower vasopressin levels, whereas copeptin concentration was unaffected. In whole
blood, vasopressin was stable up to 2 h at 25 degrees C and 6 h at 4 degrees C.
In plasma, vasopressin was stable up to 6 h at 25 degrees C and 24 h at 4 degrees
C. In contrast, copeptin was stable in whole blood and plasma for at least 24h at
both temperatures. At -20 degrees C, vasopressin was stable up to 1 month and
copeptin for at least 4 months. Both vasopressin and copeptin were stable after 4
months when stored at -80 degrees C and -150 degrees C. Vasopressin concentration
decreased after four freeze-thaw cycles, whereas copeptin concentration was
unaffected. CONCLUSION: Vasopressin levels were considerably affected by pre
analytical conditions, while copeptin levels were stable. Therefore, a strict
sample handling protocol for measurement of vasopressin is recommended.
PMID- 27879484
TI - Herpes simplex type 2 encephalitis and methotrexate medication: a fortuitous or
causative association in a patient with spondyloarthritis?
AB - It is unclear whether immunosuppression is a risk factor for herpes encephalitis.
Herein, we describe a rare case of herpes simplex virus type 2 encephalitis in a
patient treated with low-dose methotrexate for HLA-B27-associated
spondyloarthritis. The patient was successfully treated with acyclovir but
presented sequelae of encephalitis. Here we discuss the possible role of low-dose
methotrexate therapy as a risk factor of neurological herpes reactivation and
severe disease. The host-related and viral risk factors are also addressed.
PMID- 27879485
TI - Patients' views on fecal microbiota transplantation: an acceptable therapeutic
option in inflammatory bowel disease?
AB - BACKGROUND: Fecal microbiota transplantation (FMT) represents a new therapeutic
option that has been studied in two randomized-controlled trials in ulcerative
colitis patients. Our study aimed to identify patients' views on the use of this
novel therapeutic approach. METHODS: Using an anonymous questionnaire, we
obtained data from 574 inflammatory bowel disease (IBD) patients on their
knowledge and willingness to undergo FMT. RESULTS: A large proportion of IBD
patients (53.5%) are unaware that FMT is a therapeutic option in Clostridium
difficile infection and potentially IBD. More responders preferred FMT (31.5%) to
a study with a new medication (28.9%), although the difference was not
significant (P=0.37), and the preferred way of transplantation was colonoscopy
(49.7%). In all, 38.3% preferred a family member as a donor, but there was fear
about the procedure (41.5% mentioned fear of infectious diseases, 26.5% expressed
disgust). The knowledge of successful FMT treatment in other patients was
important for 82.2% of responders and for 50.7%, a discussion with a specialist
would likely change their opinion about FMT. CONCLUSION: FMT represents a
therapeutic procedure that is of interest for IBD patients. As FMT has been
receiving increasing interest as an alternative treatment in IBD and more studies
on FMT in IBD are being carried out, it is important to learn about the
knowledge, attitude, and preferences of patients to provide better education to
patients on this topic. However, there are reservations because of the fact that
data on the benefits of FMT in IBD are controversial and several limitations
exist on the use of FMT in IBD.
PMID- 27879486
TI - Prospective interventional study of tenofovir in pregnancy to prevent vertical
transmission of hepatitis B in highly viremic women.
AB - BACKGROUND: The risk of vertical transmission of hepatitis B virus (HBV)
increases as maternal HBV DNA increase, despite serovaccination to newborns.
METHODS: From 1 July 2012 to 1 January 2016, all pregnant women in Lariboisiere
Hospital, Paris, France, with HBV DNA of 5 log10 IU/ml and above were
administered tenofovir from week 28 of pregnancy until delivery. HBV DNA was
measured at months 1, 2 of tenofovir and at delivery. The newborns were
serovaccinated, tested for hepatitis B surface antigen, hepatitis B core antibody
(HBcAb)+/-HBV DNA, and hepatitis B surface antibody (HBsAb) when aged 9 months,
and then 24 months. This study was registered in http://www.ClinicalTrials.gov
(NCT02039362). RESULTS: Thirty-one women gave birth to 37 newborns. Maternal HBV
DNA at baseline was 8.23 log10 IU/ml and above in 12 pregnancies. The mean
(median) HBV DNA were 4.4+/-1.2 (4.8), 3.3+/-1.7 (3.8), and 2.1+/-1.9 (2.0) log10
IU/ml at months 1, 2 of tenofovir and at delivery, respectively. Twenty-seven
newborns were followed up: none of the 19 children aged 9 months or older was
positive for hepatitis B surface antigen when aged 9 months; 14 children tested
positive for HBcAb (probably transferred maternal antibodies, not found when aged
24 months) and for HBsAb without HBV DNA. Four of the 19 children showed HBsAb
without HBcAb, the last being doubtful for HBcAb and HBsAb without HBV DNA. Eight
newborns aged less than 9 months were not tested. CONCLUSION: Tenofovir from week
28 of pregnancy to highly viremic HBV women plus serovaccination to newborns
could prevent chronic and past infection.
PMID- 27879487
TI - Electrocochleography in Cochlear Implant Recipients With Residual Hearing:
Comparison With Audiometric Thresholds.
AB - OBJECTIVES: To determine whether electrocochleography (ECoG) thresholds,
especially cochlear microphonic and auditory nerve neurophonic thresholds,
measured using an intracochlear electrode, can be used to predict pure-tone
audiometric thresholds following cochlear implantation in ears with residual
hearing. DESIGN: Pure-tone audiometric thresholds and ECoG waveforms were
measured at test frequencies from 125 to 4000 Hz in 21 Advanced Bionics cochlear
implant recipients with residual hearing in the implanted ear. The "difference"
and "summation" responses were computed from the ECoG waveforms measured from two
alternating phases of stimulation. The interpretation is that difference
responses are largely from the cochlear microphonic while summating responses are
largely from the auditory nerve neurophonic. The pure-tone audiometric thresholds
were also measured with same equipment used for ECoG measurements. RESULTS:
Difference responses were observed in all 21 implanted ears, whereas summation
response waveforms were observed in only 18 ears. The ECoG thresholds strongly
correlated (r = 0.87, n = 150 for difference response; r = 0.82, n = 72 for
summation response) with audiometric thresholds. The mean difference between the
difference response and audiometric thresholds was -3.2 (+/-9.0) dB, while the
mean difference between summation response and audiometric thresholds was -14 (+/
11) dB. In four out of 37 measurements, difference responses were measured to
frequencies where no behavioral thresholds were present. CONCLUSIONS: ECoG
thresholds may provide a useful metric for the assessment of residual hearing in
cochlear implant subjects for whom it is not possible to perform behavioral
audiometric testing.
PMID- 27879488
TI - 18F-NaF PET Demonstrating Unusual Focal Tracer Activity in the Brain.
AB - A 60-year-old man with enlarged prostate, hypertension, and diabetes was referred
for F-NaF PET/CT to evaluate possible metastatic lesions. The patient appeared
asymptomatic on the day of the study, without any signs indicating stroke.
Patient also had no known history of malignancy or cerebrovascular disease. He
had mild elevation of the prostate-specific antigen level, and biopsy of his
prostate was not performed. Patient had long-standing history of chronic back
pain and abdominal pain. The PET bone scan demonstrated a large area of very
intense tracer uptake in the brain. A subsequent brain MRI revealed prior stroke
in the same area.
PMID- 27879490
TI - Intense Uptake in Amyloidosis of the Seminal Vesicles on 68Ga-PSMA PET Mimicking
Locally Advanced Prostate Cancer.
AB - We report a case of benign senile seminal vesicle amyloidosis demonstrating
intense Ga-prostate-specific membrane antigen (PSMA) uptake on PET/CT. A 68-year
old man underwent staging PSMA PET/CT and MRI for biopsy-proven prostate
adenocarcinoma. There was an intense focus of Ga-PSMA uptake in the primary
malignancy, as well as symmetrical intense uptake in the seminal vesicles
bilaterally that was reported as multifocal disease with local invasion. Final
histology after radical prostatectomy showed amyloidosis of the seminal vesicles
without any evidence of prostate cancer. Care should be taken in the
interpretation of seminal vesicle PSMA uptake to avoid overstaging.
PMID- 27879489
TI - Breast Fibroadenoma With Increased Activity on 68Ga DOTATATE PET/CT.
AB - Fibroadenoma is the most common benign breast tumor in women of reproductive age,
carrying little to no risk of breast cancer development. We report on a case of a
woman with history of neuroendocrine tumor who on follow-up imaging tests
underwent whole-body PET/CT study using Ga DOTATATE. The scan showed increased
focal activity in the right breast, which was biopsied revealing a fibroadenoma.
The presented data suggests cell surface overexpression of somatostatin receptors
by this benign breast tumor. Moreover, this finding emphasizes the need for
cautious interpretation of Ga DOTATATE-avid breast lesions that could mimic
malignancy in neuroendocrine tumor patients.
PMID- 27879491
TI - 18F-FDG PET/CT in Renal Infections: Evidence of Acute Pyelonephritis in a
Horseshoe Kidney.
AB - A 58-year-old paraplegic patient was prescribed F-FDG for persistent inflammatory
syndrome after aortic surgery for dissection. The examination was performed on a
Siemens mCT Flow PET/CT and shows a moderate prostate uptake, related to
prostatitis on self-catheterization and a focal renal cortical hypermetabolism of
the left medial midpole of a horseshoe kidney, which corresponds to renal
parenchyma on the CT, and suggests pyelonephritis, confirmed by Tc-DMSA SPECT/CT.
PMID- 27879493
TI - Socioeconomic status and site-specific cancer incidence, a Bayesian approach in a
French Cancer Registries Network study.
AB - The aim of this study was to identify and compare cancer sites whose incidence is
influenced by social deprivation. The study population comprised 189 144 cases of
cancer diagnosed between 2006 and 2009, recorded in member registries of the
French Network of Cancer Registries. Social environment was assessed at an
aggregate level using the European Deprivation Index. The association between
incidence and socioeconomic status was assessed by a geographical Bayesian
Poisson model enabling a reduction of the overall variability and smoothing of
the relative risks by sharing information provided by multiple geographic units.
For cancers of the stomach, liver, lips-mouth-pharynx, and lung, a higher
incidence in deprived populations was found for both sexes as well as for cancers
of the larynx, esophagus, pancreas, and bladder in men and cervical cancer in
women. For melanoma, prostate, testis, ovarian, and breast cancer, a higher
incidence was observed in affluent populations. The highest relative risks of the
lowest social class compared with the highest social class were found for larynx
[relative risk (RR)=1.67 (1.43-1.95)], lips-mouth-pharynx [RR=1.89 (1.72-2.07)],
and lung cancer [RR=1.59 (1.50-1.68)] in men and for cervix [RR=1.62 (1.40-1.88)]
and lips-mouth-pharynx [RR=1.56 (1.30-1.86)] cancer in women. By estimating the
burden of social deprivation on cancer incidence throughout France, this study
enables us to measure the gains that could be achieved by implementing targeted
prevention efforts.
PMID- 27879492
TI - Altered Nulling of Myocardium and Blood Pool.
PMID- 27879495
TI - Fish consumption and prostate cancer risk and mortality in a Danish cohort study.
AB - Within the Danish 'Diet, Cancer and Health' cohort, we aimed to investigate the
association between prediagnostic fish intake (total, lean, fatty) and (a)
incidence of total and high-grade prostate cancer and (b) the risk of all-cause
and prostate cancer-specific mortality among men with prostate cancer. Among 27
178 men, 1690 prostate cancer cases were identified through 2012. Of these, 1042
had a Gleason score of 7 or above and 498 had a Gleason score of 8 or above at
the time of diagnosis; 364 died (n=228 from prostate cancer) during follow-up
through 2013. Cox proportional hazard models were used for the statistical
analyses. No association between any type of fish intake and risk of total
prostate cancer or high-grade prostate cancer (Gleason score>=7 or >=8) was
found. For all-cause mortality, we found no association for any type of fish
intake. For prostate cancer-specific mortality, only a higher intake of fatty
fish was associated with a higher mortality [per daily 25 g increment in intake
(mortality rate ratio=1.27; 95% confidence interval: 1.04-1.55; P=0.02)]. In
conclusion, no strong association was found between fish consumption and the risk
of or mortality from prostate cancer. Only a higher intake of fatty fish was
associated with a higher risk of prostate cancer-specific mortality.
PMID- 27879494
TI - Gynecologists and human papillomavirus DNA testing: exploring knowledge,
attitudes, and practice in Italy.
AB - The aim of this survey was to examine the knowledge, attitudes, and behavior of
gynecologists in terms of human papillomavirus (HPV) DNA testing as a primary
screening tool for cervical cancer. A national cross-sectional web survey was
carried out through multistage sampling using an overall sample of 1000
gynecologists. Gynecologists were asked to fill in a self-administered
questionnaire exploring their knowledge, attitudes, and practice toward cervical
cancer screening and HPV-DNA testing. A total of 582 gynecologists completed the
web questionnaire. Of these, 24.5% were uncertain on the higher sensitivity of
HPV-DNA compared with the Pap test, whereas 19% were uncertain on the role of the
HPV-DNA test as a primary test in women younger than 30 years old and only 44.9%
knew that a negative HPV-DNA test allows for an extension of the test interval to
5 years. Most gynecologists showed a definite positive attitude on the role of
screening for cervical cancer prevention and were prepared to accept new
technologies. The HPV-DNA test was considered highly effective by 86.9%, whereas
94% recommend/perform HPV-DNA tests in women older than 30 years of age; 25.5%
performed HPV-DNA as a primary test, followed by a Pap test in those cases that
were positive. Only 56.3% recommended/performed HPV-DNA tests 1 year after a
positive HPV-DNA test, followed by a negative Pap test, whereas 42.9% recommended
colposcopy. Although the use of the HPV-DNA test is very widespread among Italian
gynecologists performing cervical cancer screening, there is lack of
standardization of practices according to current guidelines.
PMID- 27879496
TI - Death Due to Pulmonary Alveolar Proteinosis.
AB - Presented are 2 cases of death from pulmonary alveolar proteinosis (PAP). Within
the past 2 years, there have been 2 cases of rare nonneoplastic lung disease that
consists of the filling of the alveoli of the lung by a periodic acid-Schiff
stain-positive lipoproteinaceous material. This condition bears a certain
resemblance to interstitial lung disease and/or Pneumocystitis jirovecci
infection of the lungs. The presented cases were clinically diagnosed as
interstitial lung disease. In the first case presented, the decedent was admitted
to hospital with diagnosis of pneumonia but died in hospital despite observation
and treatment. Autopsy examination revealed that instead of an infection, there
was amorphous granular eosinophilic proteinaceous fluid in irregular clumps, with
scattered foamy macrophages and cholesterol clefts and cracks in the lung
alveoli. The second case was suspected of electrocution. There were no findings
pointing to or against the possibility of electrocution, whereas the alveoli and
terminal bronchioles were filled with amorphous granular eosinophilic
lipoproteinaceous substance. The alveolar structure was well preserved, and the
interstitium had no or mild chronic inflammatory cells. In both cases, the
lipoproteinaceous material stained deep pink with periodic acid-Schiff stain. The
gross and microscopic examination in the second case also signified pulmonary
alveolar proteinosis.The following report describes 2 cases of this uncommon
disorder, with cause of death confirmed by postmortem examination.
PMID- 27879497
TI - The Differential Diagnosis Between Natural Death and Homicide, an Everlasting
Challenge for the Forensic Pathologist: An Exemplar Case Report.
AB - Most of the work performed by the forensic expert is to find proof for different
plausible hypotheses that may be used in a trial to serve justice purposes when
the "identity" of a case is already unveiled. Yet the previous phase of the
investigation is also of great importance, and sometimes (like in the presented
case), it represents the core element of the entire investigation. The
appropriate determination of the differential diagnosis between a natural death
and a death of forensic interest (ie, homicide) is the first and crucial step in
the classification of a case. This article analyzes the case of the body of a man
found lying on the border of a country road with his wrists tied up with rope and
the resulting investigation performed by the medicolegal forensic expert. In the
end, as more specific examinations where performed aimed to find the truth, the
final conclusions excluded a violent death and confirmed that the cause of the
death was an acute myocardial infarction.
PMID- 27879498
TI - Enhancement of death receptor 4-mediated apoptosis and cytotoxicity in renal cell
carcinoma cells by anisomycin.
AB - Renal cell carcinoma (RCC) is one of the most drug-resistant malignancies, and an
effective therapy is lacking for metastatic RCC. Anisomycin is known to inhibit
protein synthesis and induce ribotoxic stress. The aim of this study was to
explore whether anisomycin enhances the cytotoxic effects of mapatumumab, a human
agonistic monoclonal antibody specific for death receptor 4 (DR4), in human RCC
cells. We examined the cytotoxicity of anisomycin alone and in combination with
mapatumumab in human RCC cell lines and primary RCC cell cultures. RCC cells
treated with anisomycin showed cytotoxicity in a dose-dependent manner. Anisomyin
in combination with mapatumumab showed a synergistic effect not only in two human
RCC cell lines but also in five primary RCC cell cultures. The synergy between
anisomycin and mapatumumab for cytotoxicity was also observed for apoptosis.
Interestingly, anisomycin significantly increased DR4 expression at both the mRNA
and the protein level. Furthermore, the combination-induced cytotoxicity was
significantly suppressed by a human recombinant DR4:Fc chimeric protein. The
combination of anisomycin and mapatumumab also enhanced the activity of caspases
8 and 3, the downstream molecules of death receptors. These findings indicate
that anisomycin sensitizes RCC cells to DR4-mediated apoptosis through the
induction of DR4, suggesting that combinational treatment with anisomycin and
mapatumumab might represent a novel therapeutic strategy for the treatment of
RCC.
PMID- 27879500
TI - Implications of Preterm Birth for Maternal Mental Health and Infant Development.
AB - Preterm birth remains a major contributor to infant mortality and morbidity
including neurodevelopmental delay and childhood disability. Mothers experiencing
a preterm birth are at risk for maternal mental health issues, inclusive of
depression and posttraumatic stress disorder (PTSD), which may affect mother
infant attachment and infant development. Depression and PTSD, frequently
comorbid, following preterm birth and relationships between these symptoms,
maternal-infant attachment, and infant development are reviewed. Assessments and
interventions potentially capable of benefitting mother and infant are noted. The
need for healthcare professionals to intervene prenatally and at postpartum is
significant as maternal distress remains one of the most consistent factors
related to infant development. Although depression has received much attention in
the literature as a risk factor for preterm birth, impaired attachment, and
delayed infant development, some of the consequences of PTSD have only recently
gained research attention. A few studies support the role of PTSD in impaired
maternal-infant attachment; yet, it is unclear whether preterm infants of mothers
experiencing symptoms of PTSD following birth are at a higher risk for motor
development problems. Because early mother-infant interactions are influenced by
prematurity as well as maternal mental health, consideration for home
interventions that stimulate infant development and encourage mother-infant
relationships concurrently are important. Directed interventions may be
beneficial for infant development and aid in strengthening the mother-infant
relationship, potentially reducing depression and PTSD symptoms in the mother.
PMID- 27879499
TI - Impact of Spherical Aberration Terms on Multifocal Contact Lens Performance.
AB - PURPOSE: To investigate the impact of the primary (PSA) and secondary (SSA)
spherical aberration terms on visual performance (VP) in presbyopes, as measured
using multifocal (MFCL) soft contact lenses on eye. METHODS: Seventeen presbyopes
(age: 55.1 +/- 6.9 years) wore seven commercial lenses (four center-near (MFCL
N), one center-distance (MFCL D), one bifocal, and one single vision control).
Unaided and with each lens on eye, the PSA and SSA terms were obtained with an
aberrometer, the BHVI-EyeMapper (low illumination, natural and 4 mm pupil
diameter). High- and low-contrast distance visual acuity, contrast sensitivity,
high-contrast visual acuities at near, and range of clear vision were measured.
In addition, subjective VP variables included clarity of vision at distance and
near, ghosting, and overall vision satisfaction. Pearson's correlation was used
to determine the association between the PSA and SSA terms and the VP variables.
RESULTS: PSA (natural pupil) was more negative (P < .05) with the MFCL N (mean
PSA = -0.053 +/- 0.080 MUm) and bifocal (PSA = +0.005 +/- 0.067 MUm) lenses and
more positive with the MFCL D lens (PSA = +0.208 +/- 0.160 MUm) than the control
(+0.067 +/- 0.072 MUm). SSA (natural pupil) was significantly more positive for
the MFCL N lenses (mean SSA = +0.025 +/- 0.029 MUm) compared to the control (SSA
= -0.001 +/- 0.017 MUm). PSA and SSA terms were significantly (P < .05)
correlated with 78% and 56% of VP variables, respectively, but the correlation
coefficients were weak, ranging between |0.210| and |0.334|. Although distance
variables showed improved VP with more positive PSA or negative SSA, most near
variables showed improved VP with more negative PSA. Range of clear focus was
greater for more negative PSA terms. CONCLUSIONS: The amount and direction of PSA
and SSA terms, as measured with different MFCLs on eye, can affect VP at
different distances. Results of this study may provide useful information when
designing new or optimize existing MFCLs for improved VP at specific distances.
PMID- 27879501
TI - Introducing Allergenic Food into Infants' Diets: Systematic Review.
AB - PURPOSE: The purpose of this systematic review was to explore the association
between timing of introduction of potentially allergenic foods to infants and
development of food allergies. METHODS: CINAHL, Medline, PubMed, Science Direct,
and Web of Science were searched using the terms solid food, complementary food,
or infant feeding combined with allergy or hypersensitivity for articles
published in English in 2000 or later. Inclusion criteria were 1) primary
research articles with 2) a focus on association between introduction of
complementary foods including potentially allergenic foods into diets of infants
less than 12 months of age and development of food allergies. Articles were
excluded if they were 1) not primary research, 2) about complementary foods only
(without specificity of allergenic foods), or 3) on allergic conditions other
than food allergy (such as asthma or eczema). RESULTS: The initial literature
search yielded 533 articles; 14 articles met inclusion criteria. Level of
evidence of each study was determined with the SORT criteria. Results found that
delayed introduction of solid foods in general and allergenic foods in particular
was not associated with decreased risk for allergic diseases among high and low
risk infants. Later introduction was associated with increased risk for allergy
development. CLINICAL IMPLICATIONS: For infants at low risk for development of
food allergies, providers should advise caregivers to introduce potentially
allergenic foods with other solid foods between 4 and 6 months of age when
children show an interest in eating solids. Infants at high risk for peanut
allergy, should be evaluated by an allergy specialist prior to introduction of
peanuts and work with providers to create an individualized plan for introduction
of peanuts and other allergenic foods as needed.
PMID- 27879503
TI - Should Central Cord Syndrome With Continued Spinal Cord Compression Without a
Fracture Undergo Urgent (<24 h) Surgical Decompression?
PMID- 27879502
TI - Labor Nurses' Views of Their Influence on Cesarean Birth.
AB - BACKGROUND: As part of an ongoing study about nurse staffing during labor and
birth sponsored by the Association of Women's Health, Obstetric, and Neonatal
Nurses (AWHONN), outcomes that may be linked to aspects of labor nursing were
considered. The purpose of this study was to see if labor nurses felt they
influenced whether a woman has a cesarean birth. These data were used to
determine if cesarean birth should be included as an outcome measure in the
multistate labor nurse staffing study. METHODS: Focus groups were used to explore
the role of labor nurses and cesarean birth. Participants were attending the
AWHONN national convention in 2015. Two open-ended questions were asked: 1) Do
labor nurses influence whether a woman has a cesarean? 2) What specific things do
you do as a labor nurse to help a woman avoid a cesarean? RESULTS: Two focus
groups were held (n = 15 and n = 9). Nurses overwhelmingly agreed nursing care
can influence mode of birth. They described multiple strategies routinely used to
help a woman avoid a cesarean, which were categorized into three main themes:
support, advocacy, and interactions with physicians. Support was emotional,
informational, and physical. Advocacy involved advocating for women and helping
women advocate for themselves. Nurses tried to focus on positive aspects of labor
progress when communicating with physicians. Descriptions of interactions with
some physicians implied less than optimal teamwork and lack of collaboration.
CONCLUSION: Labor nurses are likely influential in whether some women have a
cesarean. They reported consistently taking an active role to help women avoid a
cesarean. Promoting vaginal birth as appropriate to the clinical situation was a
high priority. Trust, partnership, and respect for roles and responsibilities of
each discipline were not evident in some of the clinical situations nurses
described.
PMID- 27879504
TI - Database Research in Spine Surgery.
AB - With the introduction of several publicly available national databases to study
surgical outcomes, the use of such databases for spine surgery research has
increased dramatically. These databases allow researchers to retrospectively
examine data from a large sample of patients. This rise in the use of national
databases to study surgical outcomes parallels an increasing reliance by
administrators, payers, and third-party graders to evaluate surgical quality with
the use of large administrative databases. A good understanding of the most
commonly utilized databases for spine surgery is important for critical
assessment of this growing body of literature.
PMID- 27879505
TI - The Effect of Transpedicular Screw Design on Its Performance in Vertebral Bone
Under Tensile Loads: A Parametric Study.
AB - STUDY DESIGN: A biomechanical study using bovine thoracolumbar spines. OBJECTIVE:
To study investigated whether thread design parameters aimed at altering the
state of stress at the screw-bone interface increase the screw's holding power.
SUMMARY AND BACKGROUND DATA: Internal spinal fixators utilizing transpedicular
screw fixation are used to achieve early stabilization of the injured spine in a
range of clinical conditions. Despite advances in the design of internal spinal
fixation systems, implant loosening, and catastrophic failures at the screw-bone
interface remains a serious complication in adult spine surgery. Although the
performance of the screws in the vertebral bone critically depends on ability of
screw thread design to provide and maintain adequate bone purchase, the effect of
individual thread design parameters on screw performance and the failure process
of the screw-bone interface, remains unclear. METHODS: On the basis of the AO
Schanz thread, this parametric study used 96 lumbar bovine vertebrae instrumented
with 19 screw designs to investigate the effects of pitch, ratio of major to
minor diameter, screw insertion depth, and major diameter, on screw performance
under pure tensile loading. The effect of vertebral morphometry on screw
performance and the extent of damage within the failed screw-bone interface were
evaluated. RESULTS: The increase in screw insertion depth, screw pitch, and the
ratio of major to minor diameter, significantly affected screw performance under
tensile loads. Complex interactions existed between the major diameter and each
of the design variables. Vertebral morphometry had little effect on screw
performance while the damage within the failed bone-screw interface confined to
the immediate region of the screw threads. CONCLUSIONS: Design variables, able to
reduce shear stresses or modify the complex stress profile at the bone-screw
interface, are more effective in preventing early failure of the interface.
PMID- 27879506
TI - Fatty Degeneration of the Paraspinal Muscle in Patients With Degenerative Lumbar
Kyphosis: A New Evaluation Method of Quantitative Digital Analysis Using MRI and
CT Scan.
AB - STUDY DESIGN: A comparative case-control study. OBJECTIVE: The aim of this study
was to quantify the degree of paraspinal muscle changes in degenerative lumbar
kyphosis (DLK) patients using magnetic resonance imaging and computed tomography
scanning. SUMMARY OF BACKGROUND DATA: Although the pathophysiology of DLK is not
completely understood, extensive degeneration and weakness of the lumbar extensor
muscles are thought to underlie the condition in most patients. However, there is
no ideal method to quantify the degree of fat infiltration and atrophy of the
paraspinal muscles in patients with DLK. MATERIALS AND METHODS: The study group
comprised 20 patients with DLK and 20 healthy volunteers. The cross-sectional
areas of the psoas, erector spinae (ES), multifidus (MF), quadratus lumborum, and
vertebral body were measured. The ratio between the cross-sectional area of the
muscle and the vertebral body was used to evaluate lumbar muscularity. The degree
of fatty change was evaluated by measuring the ratio between the mean signal
intensity of the muscle and that of the subcutaneous fat within regions of
interest. RESULTS: Muscularity in the MF and ES was not significantly different
between the DLK and control groups at L1, L2, or L3, but was significantly
different at L4 (ES, P=0.001; MF, P=0.001) and L5 (ES, P=0.001; MF, P=0.015). The
mean signal intensities of the ES and MF were higher in the DLK group than in the
control group at all levels. The degree of fatty change in the ES and MF was
significantly higher in the DLK group than in the control group (P<0.05).
CONCLUSIONS: Quantitative analysis using magnetic resonance imaging and computed
tomography scanning showed differences in paraspinal muscle volume and fatty
degeneration between patients with DLK and healthy volunteers. This evaluation
method may be useful for measuring the extent of paraspinal muscle degeneration.
PMID- 27879507
TI - Pedicle Screw Combined With Lateral Mass Screw Fixation in the Treatment of
Basilar Invagination and Congenital C2-C3 Fusion.
AB - STUDY DESIGN: Clinical evaluation of a surgical fixation technique featuring
combined use of pedicle screw and lateral mass screw (LMS). OBJECTIVE:
Introduction of a novel technique for the treatment of congenital C2-C3 fusion
and basilar invagination (BI). SUMMARY OF BACKGROUND: Posterior occipitocervical
fixation using C2 pedicle screw was widely used for BI. However, in cases where
BI is concurrent with congenital C2-C3 fusion, the C2 pedicles tend to be thinner
than that in normal population and hence more likely to fail. We prompted to
tackle the issue by combining the pedicle screw with the additional use of LMS in
attempt to strengthen the fixation. METHODS: Twenty-five patients who underwent
combined pedicle screw with LMS fixation were retrospectively studied. The
instrument position, fusion status, and complications were analyzed. RESULTS:
None had spinal cord or vertebral artery injury. The average follow-up time was
20 months. Solid fusion was achieved in 23 patients (92%) as detected
radiologically. Two cases suffered from recurred BI and instrument failure but
eventually achieved solid fusion between the occiput and C2 was after revision.
Among all 25 patients, 4 suffered from complications including instruments
failure, cerebrospinal fluid leakage, and intracranial infection. CONCLUSIONS:
The clinical outcome indicates that the technique is reliable for the treatment
of BI with congenital C2-C3 fusion.
PMID- 27879509
TI - Surgical Site Infections Following the Transoral Approach: A Review of 172
Consecutive Cases.
AB - STUDY DESIGN: A retrospective review. OBJECTIVE: The aim of this study was to
discuss the characteristics, treatment, and incidence of surgical site infection
(SSI) following transoral approach surgery. SUMMARY OF BACKGROUND DATA: One of
the primary risks associated with transoral approach surgery is postoperative
SSI. Few reports exist detailing the specific circumstances, treatment options,
and incidence of SSIs following transoral approach surgery in a large series of
consecutive cases. MATERIALS AND METHODS: From January 2005 to September 2010,
172 consecutive transoral surgeries were performed at a single tertiary referral
center. Information on patients, treatment methods, and complication incidence
and resolution was collected. RESULTS: There were 6 cases of SSI (3.5%), all in
complex craniocervical patients. Of the 6 cases, 2 (1.2%) were isolated local
infections, whereas 4 (2.3%) resulted in intracranial infection. Of those with
intracranial infections, 3 (75%) were instrumented, whereas 1 (25%) was
uninstrumented. Four intraoperative dural lacerations occurred in the entire
series (2.3%), all of which developed into intracranial infections. Full SSI
resolution occurred in 4 (67%) patients following active treatment: in 3 of 4
patients (75%) with intracranial infections and in 1 of 2 patients (50%) with
local infections. Of the 2 remaining unresolved cases, one patient (intracranial)
refused removal of instrumentation and subsequently discontinued the treatment,
and the other (local) experienced a delayed postoperative infection and died
after not receiving treatment because of economic reasons. Following full
implementation of care guidelines to avoid SSI in transoral patients, no further
SSIs were observed. CONCLUSIONS: SSI rate following transoral exposure has
increased from the authors' earlier reports (0%), likely because of the
increasing complexity and instrumentation of transoral approach cases.
Cerebrospinal fluid leakage caused by dural injury highly predisposes to
intracranial infection. Lumbar puncture, cranial computed tomography, continuous
drainage diversion, and intrathecal injection of antibiotics are adequate methods
of treatment. Strict consideration of surgical indications, adequate preoperative
preparation, careful surgical technique to avoid dural injury, and postoperative
oropharyngeal care are important steps for preventing SSI through the transoral
approach.
PMID- 27879508
TI - Effect of an Annular Closure Device (Barricaid) on Same-Level Recurrent Disk
Herniation and Disk Height Loss After Primary Lumbar Discectomy: Two-year Results
of a Multicenter Prospective Cohort Study.
AB - STUDY DESIGN: A prospective cohort study. OBJECTIVE: To evaluate whether an
annular closure device could be implanted safely to reduce same-level recurrent
disk herniation, or attenuate disk height loss and improve the outcome after
lumbar discectomy. SUMMARY OF BACKGROUND DATA: Same-level recurrent disk
herniation, disk height loss, and progressive degeneration are common
complications and sequelae after lumbar discectomy. Techniques to reduce these
consequences may improve outcomes. METHODS: Forty-six consecutive patients
undergoing lumbar discectomy for single-level herniated disk at 2 institutions
were followed prospectively with clinical and radiographic evaluations at 6 weeks
and 3, 6, 12, and 24 months (control cohort). A second consecutive cohort of 30
patients undergoing 31 lumbar discectomies with implantation of an annular
closure device was followed similarly. Incidence of recurrent disk herniation,
disk height loss, the leg and back pain visual analog scale (VAS), and the
Oswestry Disability Index were assessed at each follow-up. RESULTS: Cohorts were
well matched at baseline. By 2 years of follow-up, symptomatic recurrent same
level disk herniation occurred in 3 (6.5%) patients in the control cohort versus
0 (0%) patients in the annular repair cohort (P=0.27). A trend of greater
preservation of disk height was observed in the annular repair versus the control
cohort 3 months (7.9 vs. 7.27 mm, P=0.08), 6 months (7.81 vs. 7.18 mm, P=0.09),
and 12 months (7.63 vs. 6.9 mm, P=0.06) postoperatively. The annular closure
cohort reported less leg pain (VAS-LP: 5 vs. 16, P<0.01), back pain (VAS-BP: 13
vs. 22, P<0.05), and disability (Oswestry Disability Index: 16 vs. 22, P<0.05) 1
year postoperatively. CONCLUSIONS: Implantation of a novel annular repair device
was associated with greater maintenance of disk height and improved 1-year leg
pain, back pain, and low-back disability. Recurrent disk herniation did not occur
in any patient after annular repair. Closure of annular defect after lumbar
discectomy may help preserve the physiological disk function and prevent long
term disk height loss and associated back and leg pain.
PMID- 27879510
TI - Clinical and Radiographic Outcomes of C1 Laminectomy Without Fusion in Patients
With Cervical Myelopathy That Is Associated With a Retro-odontoid Pseudotumor.
AB - PURPOSE: A retro-odontoid pseudotumor that is not associated with rheumatoid
arthritis or hemodialysis is clinically rare. The majority of surgeons select
transoral resection as the surgical treatment, often followed by posterior fusion
or posterior decompression and fusion. In contrast, some authors have reported
success with simple decompression without posterior stabilization in cases where
atlanto-axial instability (AAI) is either absent or minor. In this study, we have
evaluated the clinical and radiographic outcomes of C1 laminectomy without fusion
as the surgical treatment for patients with cervical myelopathy that is
associated with a retro-odontoid pseudotumor. METHODS: A retrospective chart
review was conducted on 10 patients who underwent C1 laminectomy without fusion
for cervical myelopathy associated with a retro-odontoid pseudotumor. RESULTS:
The average follow-up time was 29 months. All cases were graded as Ranawat grade
3a or 3b. After surgery, myelopathy improved in all of the patients. In 2
patients, the atlas-dens interval increased in the flexed position; however, this
did not result in any clinical problems. The size of the retro-odontoid mass
(measured on magnetic resonance images at least 12 mo after surgery) decreased in
4 of the 10 cases. CONCLUSIONS: AAI progression and mass enlargement were our
primary concerns for this surgical option; however, C1 laminectomy did not cause
severe AAI progression, no patients showed serious mass enlargement, and all
patients demonstrated neurological improvement. This surgical strategy is
beneficial especially for elderly patients given the risks of other surgical
options that use an anterior transoral approach or posterior fusion.
PMID- 27879511
TI - C2-C3 Anterior Cervical Fusion: Technical Report.
AB - STUDY DESIGN: Retrospective review of patients at a university hospital.
OBJECTIVE: To describe the anterior approach for cervical discectomy and fusion
(ACDF) at C2-C3 level and evaluate its suitability for treatment of instability
and degenerative disease in this region. SUMMARY OF BACKGROUND DATA: The anterior
approach is commonly used for ACDF in the lower cervical spine but is used less
often in the high cervical spine. METHODS: We retrospectively reviewed a database
of consecutive cervical spine surgeries performed at our institution to identify
patients who underwent ACDF at the C2-C3 level during a 10-year period.
Demographic data, clinical indications, surgical technique, complications, and
immediate results were evaluated. RESULTS: Of the 11 patients (7 female, 4 male;
mean age 46 y) identified, 7 were treated for traumatic fractures and 4 for
degenerative disk disease. Three patients treated for myelopathy showed
improvement in mean Nurick grade from 3.6 to 1.3. Pain was significantly improved
in all patients who had preoperative pain. Solid bony fusion was achieved in 5 of
7 patients at 3-month follow-up. Complications included dysphagia in 4 patients
(which resolved in 3), aspiration pneumonia, mild persistent dysphonia, and
construct failure at C2 requiring posterior fusion. One patient died of a
pulmonary embolism 2 weeks postoperatively. CONCLUSIONS: ACDF at the C2-C3 level
is an option for the treatment of high cervical disease or trauma but is
associated with a higher rate of approach-related morbidity. Familiarity with
local anatomy may help to reduce complications. ACDF at C2-C3 appears to have a
fusion rate similar to ACDF performed at other levels.
PMID- 27879512
TI - UHMWPE Sublaminar Wires in Posterior Spinal Instrumentation: Stability and
Biocompatibility Assessment in an Ovine Pilot Study.
AB - STUDY DESIGN: An animal study. OBJECTIVE: To explore ultra-high molecular weight
polyethylene (UHMWPE) sublaminar wires in spinal surgery and to assess stability
and biocompatibility of the UHMWPE instrumentation in an ovine model. SUMMARY OF
BACKGROUND DATA: Sublaminar wiring is a well-established technique in segmental
scoliosis surgery. However, during introduction and/or removal of the metal
sublaminar wires, neurological problems can occur. Abrasion after cutting metal
wires for removal can lead to damage to the dural sac. Sublaminar wires have to
withhold large forces and breakage of the wires can occur. Different types of
sublaminar wires have been developed to address these problems. UHMWPE sublaminar
wires can potentially substitute currently used metal sublaminar metal wires. In
vivo testing and biocompatibility analysis of UHMWPE wires are recommended before
clinical use in spinal surgery. MATERIALS AND METHODS: In 6 immature sheep,
pedicle screws were instrumented at lumbar level L4 and attached with titanium
rods to 4 thoracolumbar vertebrae using 3- and 5-mm-wide UHMWPE sublaminar wiring
constructions in 5 animals. Titanium sublaminar wires were applied in 1 animal to
function as a control subject. After a follow-up period of 16 weeks, the animals
were sacrificed and the spines were isolated. Radiographs and computed tomography
(CT) scans were made to assess stability of the instrumentation. The vertebrae
were dissected for macroscopic and histologic evaluation. RESULTS: None of the
wires had loosened and the instrumentation remained stable. CT scans and
radiographs showed no signs of failure of the instrumentation and no neurological
complications occurred. Although several bony bridges were seen on CT, growth was
observed at the operated levels. Biocompatibility was assessed by macroscopical
and histologic analysis, showing no signs of dural or epidural inflammation.
CONCLUSIONS: This pilot animal study shows that UHMWPE sublaminar wiring is a
safe technique. The UHMWPE wires are biocompatible and provide sufficient
stability in spinal instrumentation. Heterotopic ossification because of periost
reactions in the ovine spine led to some restrictions in this study.
PMID- 27879513
TI - High-grade Neuroendocrine Carcinoma of the Lung With Carcinoid Morphology: A
Study of 12 Cases.
AB - Twelve lung neuroendocrine tumors with morphologic features of carcinoid tumors
but with mitotic count >10/2 mm are reported. There were 7 males and 5 females,
with age ranging from 56 to 78 years. Four cases were from never-smokers. All
tumors showed architectural and cytomorphologic features of carcinoid tumor,
including organoid nesting, insular, trabecular, or acinar growth, and tumor
cells with low nucleocytoplasmic ratio, abundant cytoplasm, ovoid to round
nuclei, and salt and pepper chromatin. Angulated or confluent nesting, insular or
lobular growth pattern was also seen. Nuclear irregularities and anisonucleosis
were focally present. Mitotic count ranged from 11 to 61/2 mm. Punctate-type
necrosis was present in 8 tumors. Anaplastic cytology, large infarct-type
necrosis, desmoplasia, or marked inflammatory infiltrate was not found in any of
the tumors. One tumor occurred in the background of diffuse idiopathic pulmonary
neuroendocrine hyperplasia. All tumors were treated by resection, and all but 1
patient subsequently developed metastasis, and 7 died of the tumor. For
metastatic tumors, 4 patients were treated by platinum-based chemotherapy with no
apparent response, whereas 3 other patients were treated by combined capecitabine
and temozolomide-novel chemotherapy for well-differentiated neuroendocrine
tumor/carcinoid tumor-2 of them responded. This subset of tumor would be
classified as large cell neuroendocrine carcinoma according to the current WHO
classification scheme, but their clinical and pathologic features appear to have
more in common with the carcinoid tumor group than large cell neuroendocrine
carcinoma, therefore, identification of this subset may be relevant for further
therapeutic management.
PMID- 27879514
TI - Primary Cutaneous T-Cell Lymphomas Showing Gamma-Delta (gammadelta) Phenotype and
Predominantly Epidermotropic Pattern are Clinicopathologically Distinct From
Classic Primary Cutaneous gammadelta T-Cell Lymphomas.
AB - Primary cutaneous gamma-delta (gammadelta) T-cell lymphoma is a rare disease that
typically involves the dermis and subcutis. Cases of primary cutaneous T-cell
lymphomas showing gammadelta phenotype and predominantly epidermotropic pattern
(EgammadeltaTCL) are not well defined. In this series, cases of primary cutaneous
T-cell lymphomas showing gammadelta phenotype were reviewed and classified as
predominantly epidermotropic (EgammadeltaTCL) when >75% of lymphoma cells resided
in the epidermis or predominantly dermal and/or subcutaneous (DSgammadeltaTCL).
Clinical, pathologic, and immunophenotypic features were compared in 27 biopsies
from 13 patients of EgammadeltaTCL and 13 biopsies from 7 patients of
DSgammadeltaTCL. The lymphoma cells were diffusely positive for CD3 and T-cell
receptor (TCR)gamma, mostly positive for granzyme B and TIA-1, variably positive
for CD8, CD7, and CD30, and negative for CD4 and TCRbeta. Two patients with
EgammadeltaTCL had dissemination to lymph nodes and 1 to the lung; 1 patient with
DSgammadeltaTCL had gastrointestinal involvement. The median survival of patients
with EgammadeltaTCL was not reached, and with a median follow-up of 19.2 months,
3/13 died. In contrast, the median survival of patients with DSgammadeltaTCL was
10 months, and after a median follow-up of 15.6 months, 5/5 died (P<0.01).
EgammadeltaTCL is a rare presentation of cutaneous T-cell lymphoma that can be
distinguished from DSgammadeltaTCL based on the extent of epidermotropism and has
a better prognosis and longer median survival than DSgammadeltaTCL. However,
although EgammadeltaTCL resembles mycosis fungoides clinically and
histologically, a subset of EgammadeltaTCL is more likely to behave more
aggressively than typical mycosis fungoides.
PMID- 27879515
TI - Atypical Spindle Cell Lipomatous Tumor: Clinicopathologic Characterization of 232
Cases Demonstrating a Morphologic Spectrum.
AB - The classification of atypical adipocytic neoplasms with spindle cell features
remains challenging. To better define this category of low-grade lipomatous
neoplasms, we present herein the clinical, histologic, and immunohistochemical
characteristics of a large series of 232 atypical spindle cell lipomatous tumors.
The lesions affected 140 males and 92 females, at an average age of 54 years
(range, 6 to 87 y), clinically presenting as a persistent or enlarging mass with
a median size of 5 cm. The anatomic distribution of the tumors was wide,
predominating in the limbs and limb girdles (147 cases, 63%), mainly in the hands
and feet (17% and 11%, respectively), with equal distribution between
subcutaneous and deeper locations. Microscopic examination revealed a spectrum of
histologic appearances. All cases consisted of a poorly marginated proliferation
of mildly atypical spindle cells set in a fibrous or myxoid stroma, with a
variably prominent admixed adipocytic component showing variation in adipocyte
size and scattered nuclear atypia, frequently with univacuolated or
multivacuolated lipoblasts. Tumor cellularity and the relative proportion of the
different components were very variable. Tumor margins were often ill defined
with invasion into surrounding tissues. Two tumors showed morphologic features
reminiscent of dedifferentiation. By immunohistochemistry, the neoplastic spindle
cells expressed CD34 (64%), S100 protein (40%) and, less frequently, desmin
(23%). Expression of Rb was lost in 57% of cases examined. MDM2 and CDK4 were
never coexpressed and FISH for MDM2 amplification was consistently negative,
highlighting critical biological differences from atypical lipomatous
tumor/dedifferentiated liposarcoma. The morphologic differential diagnosis of
atypical spindle cell lipomatous tumor is broad, and includes spindle cell
lipoma, diffuse neurofibroma, mammary-type myofibroblastoma, dermatofibrosarcoma
protuberans, fat-forming solitary fibrous tumor, and morphologically low-grade
malignant peripheral nerve sheath tumor. Most patients underwent surgical
excision of the primary mass. With a median follow-up of 4 years (range, 1 mo to
20 y), 87% of patients (63/72) were alive with no evidence of recurrence or
metastatic disease. Local recurrence of the tumor was observed in 12% of patients
(9 out of 72, multiple in 3 of them) at intervals between 6 months and 17 years
after resection of the primary tumor. None of the patients developed tumor
metastasis or died of disease. Identification of the neoplastic adipocytic
component admixed with spindle cells, and recognition of the range of histologic
appearances are key for the diagnosis of atypical spindle cell lipomatous tumor.
Whereas the risk of metastatic dissemination is minimal, there is a non
negligible risk for local recurrence (13%) which warrants surgical resection with
clear margins whenever feasible.
PMID- 27879516
TI - Performance of a Commercially Available MAL Antibody in the Diagnosis of Primary
Mediastinal Large B-Cell Lymphoma.
AB - Myelin and lymphocyte (MAL) protein has been previously reported as a highly
specific marker for distinguishing primary mediastinal large B-cell lymphoma
(PMBL) from diffuse large B-cell lymphoma, not otherwise specified (DLBCL, NOS).
However, there has not been a commercially available MAL antibody for
immunohistochemistry. We identified a commercially available MAL monoclonal
antibody and evaluated it by immunohistochemistry on 43 cases of PMBL and 63
cases of DLBCL, NOS. We also compared this with a CD200 antibody that was
previously reported useful in distinguishing PMBL and DLBCL, NOS. A threshold of
10% positive tumor cells was used to determine positive protein expression. MAL
was expressed in 72% cases of PMBL and 0% of cases of DLBCL, NOS
(sensitivity=72%, specificity=100%). CD200 was expressed in 81% of PMBL cases and
13% of DLBCL, NOS cases (sensitivity=81%, specificity=87%). To our knowledge,
this is the first report on the utility of a commercially available MAL
monoclonal antibody in the diagnosis of PMBL. There is a high specificity with
good sensitivity in distinguishing PMBL from DLBCL, NOS, similar to previous
studies with a noncommercial source. This antibody will likely prove useful in
identifying cases of PMBL in routine practice.
PMID- 27879517
TI - DUX4 Immunohistochemistry Is a Highly Sensitive and Specific Marker for CIC-DUX4
Fusion-positive Round Cell Tumor.
AB - The histologic differential diagnosis of pediatric and adult round cell tumors is
vast and includes the recently recognized entity CIC-DUX4 fusion-positive round
cell tumor. The diagnosis of CIC-DUX4 tumor can be suggested by light microscopic
and immunohistochemical features, but currently, definitive diagnosis requires
ancillary genetic testing such as conventional karyotyping, fluorescence in situ
hybridization, or molecular methods. We sought to determine whether DUX4
expression would serve as a fusion-specific immunohistochemical marker
distinguishing CIC-DUX4 tumor from potential histologic mimics. A cohort of CIC
DUX4 fusion-positive round cell tumors harboring t(4;19)(q35;q13) and
t(10;19)(q26;q13) translocations was designed, with additional inclusion of a
case with a translocation confirmed to involve the CIC gene without delineation
of the partner. Round cell tumors with potentially overlapping histologic
features were also collected. Staining with a monoclonal antibody raised against
the C-terminus of the DUX4 protein was applied to all cases. DUX4
immunohistochemistry exhibited diffuse, crisp, strong nuclear staining in all CIC
DUX4 fusion-positive round cell tumors (5/5, 100% sensitivity), and exhibited
negative staining in nuclei of all of the other tested round cell tumors,
including 20 Ewing sarcomas, 1 Ewing-like sarcoma, 11 alveolar rhabdomyosarcomas,
9 embryonal rhabdomyosarcomas, 12 synovial sarcomas, 7 desmoplastic small round
cell tumors, 3 malignant rhabdoid tumors, 9 neuroblastomas, and 4 clear cell
sarcomas (0/76, 100% specificity). Thus, in our experience, DUX4 immunostaining
distinguishes CIC-DUX4 tumors from other round cell mimics. We recommend its use
when CIC-DUX4 fusion-positive round cell tumor enters the histologic differential
diagnosis.
PMID- 27879518
TI - Three-month evaluation of strut healing using a novel optical coherence
tomography analytical method following bioresorbable polymer everolimus-eluting
stent implantation in humans: the TIMELESS study.
AB - AIMS: Bioresorbable polymer drug-eluting stent technologies have been considered
to have the potential to enhance vascular healing by reducing polymer exposure to
the vessel wall, potentially allowing the earlier discontinuation of dual
antiplatelet therapy. At present, the early vascular healing response to this
type of technologies is still unclear. PATIENTS AND METHODS: The TIMELESS study
is a multicenter, prospective, single-arm study that enrolled real-world patients
undergoing percutaneous coronary intervention. All patients underwent Synergy
stent implantation, which consists of a platinum-chromium platform coated with an
ultra-thin abluminal bioabsorbable poly-D,L-lactide-co-glycolide polymer-eluting
everolimus. RESULTS: A total of 37 patients were included in the study. The
majority of the patients underwent percutaneous coronary intervention because of
acute coronary syndromes. At 3 months, angiographic follow-up showed a percentage
diameter of stenosis of 8.1+/-7.5% and an angiographic late loss of 0.03+/-0.24
mm. In all analyzed struts, less than 1% of struts were definitely uncovered or
covered with fibrin, 12.5% (5.0-18.5%) showed evidence of partial coverage, and
the remaining (~85%) were fully covered. No stent thrombosis was observed up to
12 months of clinical follow-up. CONCLUSION: In a real-world population, the
implantation of a bioresorbable polymer drug-eluting stent resulted in almost
complete strut coverage throughout the entire stent length at 3 months. The
clinical implications for antiplatelet therapy and outcomes should be
investigated further.
PMID- 27879519
TI - Use of the Wattbike Cycle Ergometer for Attenuation of Bilateral Pedaling
Asymmetry in Trained Cyclists.
AB - Kell, DT and Greer, BK. Use of the Wattbike cycle ergometer for attenuation of
bilateral pedaling asymmetry in trained cyclists. J Strength Cond Res 31(2): 468
473, 2017-Experienced cyclists typically pedal with a 5-20% bilateral asymmetry
in regards to power output. The aim of this study was to determine if visual
feedback (VF) through the Wattbike cycle ergometer is an effective tool in
reducing bilateral pedaling asymmetry in trained cyclists. Twelve subjects
completed three 10-minute cycling trials on the Wattbike at a power output
consistent with 60% V[Combining Dot Above]O2 peak. The 3 trials consisted of a
baseline (BASE) trial in which cyclists pedaled without instruction, a conscious
control (CC) trial during which cyclists attempted to pedal symmetrically, and
another trial in which cyclists attempted to pedal symmetrically while using VF
of their bilateral power outputs (%) through the Wattbike. Although the BASE
trial was always performed first, the order of the CC and VF trials was
counterbalanced to minimize the influence of the order effect. For the primary
analysis, the Asymmetry Index percentages (AI%s) for the 3 trials were not
significantly different (p > 0.05). However, secondary analysis of subjects who
had baseline AI%s within the normal, reported range showed significantly
decreased AI%s during the VF trial as compared with BASE (p <= 0.05). These
results suggest that cyclists with normal AI%s can pedal more symmetrically while
using VF of their asymmetry as opposed to merely attempting conscious correction
without feedback. It is currently unknown whether adopting a more bilaterally
symmetrical pedaling style will improve cycling performance or decrease injury
rates in cyclists.
PMID- 27879521
TI - Tibial nerve stimulation to inhibit the micturition reflex by an implantable
wireless driver microstimulator in cats: Retracted.
AB - BACKGROUND: Traditional tibial nerve stimulation (TNS) has been used to treat
overactive bladder syndrome (OAB), but there are some shortcomings. Thus, a novel
alternative is needed for the treatment of OAB. The study investigated the
effects of a new type of tibial nerve microstimulator on the micturition reflex
in cats. METHODS: An implantable wireless driver microstimulator was implanted
around the tibial nerve in 9 alpha-chloralose anesthetized cats. Cystometry was
performed by infusing 0.9% normal saline (NS) or 0.25% acetic acid (AA) through a
urethral catheter. Multiple cystometrograms were performed before, during, and
after TNS to determine the inhibitory effect of the microstimulator on the
micturition reflex. RESULTS: TNS at 2 threshold (T) intensity significantly
increased the bladder capacity (BC) during NS infusion. Bladder overactivity was
irritated by the intravesical infusion of 0.25% AA, which significantly reduced
the BC compared with the NS infusion. TNS at 2 T intensity suppressed AA-induced
bladder overactivity and significantly increased the BC compared with the AA
control. CONCLUSION: The implantable wireless driver tibial nerve microstimulator
appears to be effective in inhibiting the micturition reflex during physiologic
and pathologic conditions. The implantable wireless driver tibial nerve
microstimulator could be used to treat OAB.
PMID- 27879522
TI - A Systematic Review of Completeness of Reporting in Randomized Controlled Trials
in Dermatologic Surgery: Adherence to CONSORT 2010 Recommendations.
AB - BACKGROUND: Randomized controlled trials are the gold standard for comparing
safety and effectiveness of surgical interventions. Reporting guidelines are
available for conveying the results of such trials. OBJECTIVE: To assess
adherence to standard reporting guidelines among randomized controlled trials in
dermatologic surgery. MATERIALS AND METHODS: Systematic review. Data source was
randomized controlled trials in the journal Dermatologic Surgery, per PubMed
search, 1995 to 2014. Studies were appraised for the number of the 37 CONSORT
2010 Checklist criteria reported in each. Analysis included comparison of
reporting across 4 consecutive periods. RESULTS: Three hundred sixty-three
studies were eligible. The mean number of items reported per study increased
monotonically from 14.5 in 1995 to 1999 to 16.2 in 2002 to 2004, 17.7 in 2005 to
2009, and 18.0 in 2010 to 2014 (p < .0001). A limitation was that study
procedures may have been performed without being reported. CONCLUSION:
Completeness of reporting in randomized controlled trials in dermatologic surgery
has improved significantly during the preceding 2 decades. Some elements are
still reported at lower rates.
PMID- 27879523
TI - Prevalence of Multifocal Primary Hyperhidrosis and Symptom Severity Over Time:
Results of a Targeted Survey.
AB - BACKGROUND: There is a paucity of data on the prevalence of multifocal primary
hyperhidrosis and changes in hyperhidrosis severity over time. OBJECTIVE: The
goal of this study was to better understand multifocal primary hyperhidrosis,
prevalence and distribution of hyperhidrosis by focal site, age of onset of
symptoms by focal area, and change in hyperhidrosis severity over time and with
seasons. MATERIALS AND METHODS: The International Hyperhidrosis Society, through
an unrestricted research grant from Revance Therapeutics, conducted an online
survey of registered visitors to its Web site. Participants identified as having
"excessive sweating" and opted to participate in the survey (23 questions) after
an e-mail invitation. RESULTS: The survey data illustrate that multifocal primary
hyperhidrosis is more common than previously believed and that multifocal
hyperhidrosis is more common than singular focal hyperhidrosis (81% of patients
reported 3 or more focal hyperhidrotic sites). The data also show that sweating
symptom severity does not improve with age but stays the same or gets worse and
is "bothersome" throughout the year. CONCLUSION: Recognition of the chronic and
multifocal nature of primary hyperhidrosis is useful for treating hyperhidrosis
patients long term and illustrates a need for treatments or treatment
combinations that are effective for multiple body areas.
PMID- 27879524
TI - How We Do It: Digital Photomapping in Mohs Micrographic Surgery.
PMID- 27879525
TI - Management of Patient Experience With ATX-101 (Deoxycholic Acid Injection) for
Reduction of Submental Fat.
PMID- 27879526
TI - Diagnostic Computed Tomography Coregistration With In-111-DTPA-Octreotide Single
Photon Emission Tomography/Low-Dose Computed Tomography.
AB - OBJECTIVES: In-111-DTPA-octreotide (OctreoScan) is still pivotal for
neuroendocrine tumor imaging, despite the introduction of Ga-68-octreotide
tracers. Low-dose computed tomography (LDCT) assists in the localization of SPECT
findings but often results in uncertain interpretation. This retrospective study
evaluates the impact of coregistration of In-111-DTPA-octreotide SPECT/LDCT with
diagnostic CT on interpretation. METHODS: Thirty-five consecutive studies, in
which coregistration was performed because of uncertain interpretation, were
evaluated. Presence of somatostatin receptors was categorized retrospectively as
definitely positive, probably positive, probably negative, or definitely negative
with and without rigid registration with diagnostic CT, and possible added value
of coregistration was evaluated. RESULTS: Coregistration was performed in 35
studies. However, on subsequent reading, 4 SPECT/CTs yielded definite results and
were omitted. Coregistration was helpful in 30 of the remaining 31 cases,
changing reading to definitely positive (7) or to definitely negative (23). In 13
of the 23 cases, diagnosis changed from probably positive to definitely negative.
Coregistration contributed in 42 of 48 sites, with greatest benefit in the liver
(13/14), pancreas (10/10), and lymph nodes (6/6). CONCLUSIONS: Coregistration is
becoming increasingly easier and may be utilized when SPECT/LDCT is inconclusive.
PMID- 27879527
TI - Support Vector Machines Model of Computed Tomography for Assessing Lymph Node
Metastasis in Esophageal Cancer with Neoadjuvant Chemotherapy.
AB - OBJECTIVE: The aim of this study was to diagnose lymph node metastasis of
esophageal cancer by support vector machines model based on computed tomography.
MATERIALS AND METHODS: A total of 131 esophageal cancer patients with
preoperative chemotherapy and radical surgery were included. Various indicators
(tumor thickness, tumor length, tumor CT value, total number of lymph nodes, and
long axis and short axis sizes of largest lymph node) on CT images before and
after neoadjuvant chemotherapy were recorded. A support vector machines model
based on these CT indicators was built to predict lymph node metastasis. RESULTS:
Support vector machines model diagnosed lymph node metastasis better than
preoperative short axis size of largest lymph node on CT. The area under the
receiver operating characteristic curves were 0.887 and 0.705, respectively.
CONCLUSIONS: The support vector machine model of CT images can help diagnose
lymph node metastasis in esophageal cancer with preoperative chemotherapy.
PMID- 27879528
TI - Low-Tube Voltage Computed Tomography During Hepatic Arterial Phase: The Effect of
Body Habitus on Image Quality.
AB - PURPOSE: This study aimed to evaluate the impact of body habitus factors on image
quality of low-tube voltage computed tomography (CT) during the hepatic arterial
phase. MATERIALS AND METHODS: Ninety-seven patients (66 men, 31 women; age range,
26-78 years) who underwent clinically indicated liver dynamic CT examination were
enrolled in the study. Analysis with 80-kVp CT and intermediate tube current (277
337 mA) was performed in the late hepatic arterial phase using a 320-detector row
scanner with adaptive iterative dose reduction 3-dimensional reconstruction.
Patient body habitus was measured using body weight (BW), body mass index (BMI),
lateral width (LW) of the abdomen, and muscle volume (MV) of the abdominal wall.
On hepatic arterial phase, the mean image noise and contrast-to-noise ratio (CNR)
for the aorta and liver were assessed. The correlations between body habitus
factors and image quality parameters were evaluated. RESULTS: In all patients, MV
showed the strongest correlation with image noise, followed by BW and LW (r =
0.684, 0.570, and 0.555, respectively). The BMI showed the fourth strongest
correlation with image noise among all body habitus factors (r = 0.377). With
respect to CNR of the aorta, MV and BW showed the strongest inverse correlation
(r = -0.590 and -0.600, respectively), followed by LW and BMI (r = -0.557 and
0.423, respectively). Regarding the CNR of the liver, MV showed the strongest
inverse correlation (r = -0.279), although the correlation efficiency was weak
compared with other correlations. CONCLUSIONS: Among various body habitus
factors, MV showed the strongest association with image noise and CNR in the
hepatic arterial phase using 80-kVp CT.
PMID- 27879529
TI - Morphometric Study of the Vestibular Aqueduct in Patients With Enlarged
Vestibular Aqueduct.
AB - OBJECTIVE: To test whether there are significant differences in the morphologic
features of the enlarged vestibular aqueduct (EVA) and its anatomic relationships
with adjacent structures between EVA patients with and without other inner ear
malformations. METHODS: The morphology of vestibular aqueduct, volume of
vestibule, and anatomic location of facial nerve (FN) were compared among 3
groups. Group A, 18 control subjects; group B, 32 EVA patients without other
inner ear malformations; group C, 14 EVA patients with other inner ear
malformations. RESULTS: The isthmus of the aqueduct and vestibular volume in
group C were larger than those in group B (P < 0.05). Positive correlations were
identified between the length of the aqueduct and the vestibular volume in groups
B and C. The vertical FN segment in group C located more anteriorly than that in
groups A and B (P < 0.05). CONCLUSIONS: Compared with EVA patients without other
inner ear malformations, the aqueduct, and vestibule in EVA patients with other
inner ear malformations are larger, the vertical FN segment locates more
anteriorly.
PMID- 27879530
TI - Transaortic Edge-to-Edge Repair for Functional Mitral Regurgitation During Aortic
Valve Replacement: A 13-Year Experience.
AB - OBJECTIVE: The study evaluated the feasibility of a transaortic edge-to-edge
mitral valve repair (Alfieri stitch) for moderate or greater (>=2+) functional
mitral regurgitation (MR) in high-risk patients undergoing aortic valve
replacement. METHODS: We retrospectively evaluated 40 consecutive patients who
underwent aortic valve replacement combined with a transaortic edge-to-edge
mitral valve repair for 2+ or greater functional MR, between February 2002 and
April 2015. The MR was graded semiquantitatively as 0 (trace/none), mild (1+),
moderate (2+), or moderate to severe (3-4+). RESULTS: Thirty-two patients had
aortic stenosis, and eight had aortic regurgitation. The mean +/- standard
deviation (SD) age was 77.5 +/- 5 years, 34 (85%) were male, and the mean +/- SD
EuroSCORE II was 14.3% +/- 12.9. At a median follow-up of 1 month (interquartile
range, 0.75-10), there were significant improvements in preoperative versus
postoperative median MR grade (3+ vs 1+, P < 0.001), mean left ventricular
ejection fraction (34% vs 41%, P = 0.018), left ventricular end-diastolic
diameter (54 vs 49 mm, P = 0.005), and pulmonary artery systolic pressure (49 vs
35 mm Hg, P < 0.001). Persistent 3 to 4+ MR occurred in two patients (5%). In 12
patients with at least 6-month follow-up (mean +/- SD, 18 +/- 11 months), a
sustained improvement in all echocardiographic parameters was observed, with
persistent 3 to 4+ MR occurring in one patient (8.3%). Actuarial survival at 1,
3, and 4.5 years was 82% +/- 6, 71% +/- 8, and 65% +/- 10, respectively.
CONCLUSIONS: A transaortic edge-to-edge repair for 2+ or greater functional MR
can be safely performed during aortic valve replacement and is associated with
improvements in MR grade, left ventricular remodeling, and pulmonary
hemodynamics.
PMID- 27879531
TI - B-type Natriuretic Peptide Predicts Morbidity and Long-Term Mortality in Coronary
Artery Bypass Grafting and Valve Surgery.
AB - OBJECTIVE: To assess whether B-type natriuretic peptide (BNP) levels are a useful
predictor of morbidity and mortality as well as long-term survival in patients
after coronary artery bypass grafting (CABG) and valve surgery. METHODS: A
retrospective review of CABG and/or valve surgery patients from 2012 to 2015 at a
single center was conducted. A total of 432 patients were identified (CABG, 295
patients; valve, 82 patients; and CABG + valve, 55 patients). B-type natriuretic
peptide levels were divided into quartiles (Q1-Q4). Mortality data were available
for up to 3.4 years after surgery. RESULTS: B-type natriuretic peptide quartile
was independently associated with any complication on multivariate analysis.
Patients in Q4 were at highest risk (adjusted odds ratio, 3.81; P = 0.047 vs Q1).
There was a significant association between BNP quartile and time to death (log
rank chi, 8.30; P = 0.04) with greatest association 9 months postoperatively. B
type natriuretic peptide quartile was significantly associated with time to death
in Cox regression in Q2 (hazard ratio [HR], 3.73 (1.04-13.44); P = 0.044) and Q4
(HR, 4.33 (1.14-16.44); P = 0.031). Q3 also had a higher risk of death (HR, 3.5
vs Q1); however, this was only significant at a trend level (P = 0.06). Using a
risk model generated from logistic regression, we determined that the highest
risk group had relative risk of complications 30 times higher than the lowest
risk group. CONCLUSIONS: B-type natriuretic peptide levels are an independent
predictor of morbidity after CABG and/or valve surgery regardless of type of
procedure or on/off bypass usage. B-type natriuretic peptide levels also
correlate with time to death, highlighting the need for sustained follow-up and
heart failure management to improve survival in patients with elevated BNP levels
presenting for all cardiac surgery.
PMID- 27879532
TI - Myocardial Protection and Financial Considerations of Custodiol Cardioplegia in
Minimally Invasive and Open Valve Surgery.
AB - OBJECTIVE: Single-dose antegrade crystalloid cardioplegia with Custodiol-HTK
(histidine-tryptophan-ketoglutarate) has been used for many years. Its safety and
efficacy were established in experimental and clinical studies. It is beneficial
in complex valve surgery because it provides a long period of myocardial
protection with a single dose. Thus, valve procedures (minimally invasive or
open) can be performed with limited interruption. The aim of this study is to
compare the use of Custodiol-HTK cardioplegia with traditional blood cardioplegia
in patients undergoing minimally invasive and open valve surgery. METHODS: A
single-institution, retrospective case-control review was performed on patients
who underwent valve surgery in Lee Memorial Health System at either HealthPark
Medical Center or Gulf Coast Medical Center from July 1, 2011, through March 7,
2015. A total of 181 valve cases (aortic or mitral) performed using Custodiol-HTK
cardioplegia were compared with 181 cases performed with traditional blood
cardioplegia. Each group had an equal distribution of minimally invasive and open
valve cases. Right chest thoracotomy or partial sternotomy was performed on
minimally invasive valve cases. Demographics, perioperative data, clinical
outcomes, and financial data were collected and analyzed. RESULTS: Patient
outcomes were superior in the Custodiol-HTK cardioplegia group for blood
transfusion, stroke, and hospital readmission within 30 days (P < 0.05). No
statistical differences were observed in the other outcomes categories. Hospital
charges were reduced on average by $3013 per patient when using Custodiol-HTK
cardioplegia. CONCLUSIONS: Use of Custodiol-HTK cardioplegia is safe and cost
effective when compared with traditional repetitive blood cardioplegia in
patients undergoing minimally invasive and open valve surgery.
PMID- 27879533
TI - The AngioVac Device: Understanding the Failures on the Road to Success.
AB - OBJECTIVE: Current percutaneous thromboembolectomy techniques may obviate
surgical intervention in high-risk patients with iliocaval thrombus or thrombus
of the right side of the heart, but typically require thrombus fragmentation and
thrombolysis with associated bleeding and thromboembolic complications. The
AngioVac (Angiodynamics, Latham, NY USA) device uses a percutaneous venovenous
bypass circuit to aspirate intact thrombus. A review of the literature was
performed with regard to the AngioVac device to determine the factors correlating
with successful thrombus extraction. METHODS: A literature search was performed
with regard to use of the AngioVac device using the PubMed database. A meta
analysis was not performed given the small size and lack of statistical analysis
of the individual reports included. RESULTS: Twenty-three reports describing 57
procedures in 56 patients were analyzed. Indications for thrombectomy included
iliocaval thrombus in 53% (30), thrombus of the right side of the heart, in 49%
(28), pulmonary embolus in 14% (8), and upper extremity venous/Glenn shunt
thrombosis in 7% (4). The complete success rate, defined as removal of all
thrombus, was 75% (43), with an 11% (6) partial success rate. In 14% (8) of
cases, minimal or no thrombus was retrieved. When analyzed by indication,
iliocaval thrombus and thrombus of the right side of the heart demonstrated 87%
(26) and 82% (23) complete success rates, respectively. Pulmonary embolus
demonstrated a significantly lower success rate at 12.5% [1; (P < .001)].
Complications occurred in 12% (7), including six hematomas and one
retroperitoneal bleed. CONCLUSIONS: The AngioVac device offers an excellent
alternative to surgical thrombectomy for patients presenting with iliocaval or
intracardiac thrombus, with success rates of more than 80%, although it seems
that pulmonary emboli are less amenable. Appropriate patient selection can lead
to improved outcomes. Larger numbers are needed to make more definite
conclusions.
PMID- 27879534
TI - Physician-provided prehospital critical care, effect on patient physiology
dynamics and on-scene time.
AB - INTRODUCTION: Improved physiologic status can be seen as a surrogate measure of
improved outcome and a field-friendly prognostic model such as the Mainz
Emergency Evaluation Score (MEES) could quantify the effect on physiological
response. We aim to examine the dynamic physiological profile as measured by this
score on patients managed by physician-manned helicopter emergency medical
services and how this profile was related to on-scene time expenditure and
critical care interventions. MATERIALS AND METHODS: Data including patient
characteristics, physiological data, and description of diagnostic and
therapeutic interventions were prospectively collected over two 14-day periods,
summer and winter, at six participating Norwegian bases. The MEES score was
utilized to examine the difference between a score measured at first patient
contact (MEES 1) and end-of-care (MEES 2), (MEES 2-MEES 1=[INCREMENT]MEES).
RESULTS: A total of 240 primary missions with patient-on-scene form the basis of
the study. In total, 43% were considered severely ill or injured, of whom 59%
were medical patients. Twenty-nine percent were severely deranged
physiologically. The most common advanced procedure performed was advanced airway
management (15%), followed by defibrillation (8.8%). Using [INCREMENT]MEES as an
indicator, 1% deteriorated under care, whereas 66% remained unchanged and 33%
showed an improvement in their physiological status. With increasing on-scene
time, fewer patients deteriorated and a greater proportion of patients improved.
CONCLUSION: Restoring deranged physiology remains a mantra for all critical care
practitioners. We have shown that this is also possible in the prehospital
context, even when prolonging on-scene time, and after initiating advanced
procedures.
PMID- 27879535
TI - Agreement between arterial and venous lactate in emergency department patients: a
prospective study of 157 consecutive patients.
AB - INTRODUCTION: In the emergency department (ED), lactate is routinely used for
risk stratification. Whether venous or arterial lactate measured on blood gas is
interchangeable is not known. We hypothesized that venous lactate can be used
instead of arterial lactate for the evaluation of acute patients in the ED.
PATIENTS AND METHODS: This was a prospective single-center study. All patients
requiring a lactate measurement were enrolled and we simultaneously drew arterial
and venous blood. We followed up all patients to hospital discharge. Our primary
aim was to evaluate agreements between the two measurements using Bland and
Altman plots with the report of bias (mean difference) and limits of agreements.
We also aimed to determine the rate of misclassification (defined as one
measurement<1.8 mmol/l and the other>2.2). Our secondary aim was to evaluate
their respective prognostic value to predict in-hospital death or admission in
the ICU longer than 72 h. RESULTS: The mean age of the 132 analyzed patients was
62 years (SD: 18 years), and 59% were men. The mean difference (bias) between
arterial and venous lactate was -0.6 mmol/l (limits of agreement: -1.7 to 0.6
mmol/l). The rate of misclassification was 8% (95% confidence interval: 3-2%).
Both methods present similar performances for the prediction of poor outcomes,
with an area under the receiving operator characteristic curves of 0.67 for both.
Results were similar when focused only on septic patients. CONCLUSION: Venous and
arterial lactates do not agree well, and there is a high misclassification rate.
Venous lactate does not appear to be interchangeable with arterial sampling.
PMID- 27879536
TI - Mental health promotion for junior physicians working in emergency medicine:
evaluation of a pilot study.
AB - OBJECTIVES: Work-related stress is highly prevalent among physicians working in
emergency medicine. Mental health promotion interventions offer the chance to
strengthen physicians' health, work ability, and performance. The aim of this
study was to implement and evaluate a mental health promotion program for junior
physicians working in emergency medicine. METHODS: In total, 70 junior physicians
working in emergency medicine were randomized to either the mental health
promotion program (n=35) or a waitlist control arm (n=35). The training involved
90-min sessions over a time period of 3 months. The primary outcome was perceived
stress. The secondary outcomes included emotional exhaustion, emotion regulation,
work engagement, and job satisfaction. Self-report assessments for both groups
were scheduled at baseline, after the training, after 12 weeks, and 6 months.
RESULTS: The intervention group showed a highly significant reduction in
perceived stress and emotional exhaustion from baseline to all follow-up time
points, with no similar effects found in the comparison group. The benefit of the
mental health promotion program was also evident in terms of improved emotion
regulation skills, job satisfaction, and work engagement. Participating
physicians evaluated the training with high scores for design, content, received
outcome, and overall satisfaction. CONCLUSION: The results suggest that this
health promotion program is a promising intervention to strengthen mental health
and reduce perceived work stress. It is suitable for implementation as a group
training program for junior physicians working in emergency medicine. Comparable
interventions should be pursued further as a valuable supportive offer by
hospital management.
PMID- 27879537
TI - Rhabdomyosarcoma of the Breast in Adolescent and Young Adult (AYA) Women.
AB - Soft tissue sarcoma constitutes 8% of all tumors in adolescent and young adults
(AYA), with rhabdomyosarcoma (RMS) accounting for 5.2% to 6.5% of the soft tissue
sarcoma total within this group. AYAs have a higher propensity for metastasis and
inferior outcomes. Metastases to the breast have been reported in ~3% to 6% of
RMS cases. A review of our hospital's tumor registry identified cases of RMS
diagnosed between January 1, 2004 and December 31, 2013. A total of 46 patients
with RMS were identified, having a mean age of 12.5 years (range, 1 to 49 y).
There were 26 males (57%) and 20 females (43%). Eighteen patients (39%) were
AYAs, including 10 women. Four patients (8.7%) were identified with breast
involvement, all of whom were AYA females. Treatment modalities included
chemotherapy, surgical resection, and radiation. One patient is a long-term
survivor. Although RMS is uncommon in AYAs, breast involvement occurs almost
exclusively in AYA women and is associated with alveolar histology, metastatic
disease, and poor outcomes. In total, 4/10 of all AYA females had breast
involvement. Routine examination or imaging of the breasts in AYAs with RMS is
not currently standard practice at diagnosis or follow-up, but this analysis
suggests it should be considered in female AYA patients.
PMID- 27879538
TI - Efficacy of Ifosfamide-Cisplatin-Etoposide (ICE) Chemotherapy for a CNS Germinoma
in a Child With Down Syndrome.
AB - Intracranial germ cell tumor is sometimes associated with Down syndrome; however,
no optimal treatment has been developed due to the high risk of recurrence and
treatment-related mortality. Here, we report on a patient with an intracranial
germinoma in the bilateral basal ganglia. The patient received 3 courses of
ifosfamide-cisplatin-etoposide in combination with whole-brain irradiation (24
Gy), with no serious complications. The patient is alive and disease free 16
months after the initial diagnosis. This regimen is a feasible treatment for
intracranial germ cell tumor associated with Down syndrome, although careful
attention must be paid to the increased risk for severe infection.
PMID- 27879540
TI - Severe Hemolytic Anemia Following Intravenous Immunoglobulin in an Infant With
Kawasaki Disease.
AB - Severe hemolytic anemia (HA) is an uncommon adverse reaction of intravenous
immunoglobulin (IVIg) administration. Previous reports assume that antibodies
contained in IVIg preparations are the cause of hemolysis. We report a 10-month
old infant with Kawasaki disease who was treated with high-dose IVIg and
developed severe HA. The patient's Rh blood type was D+C+c+E-e+. He developed
anti-C and anti-e antibodies following treatment with IVIg, and, after
considering all possible causes of hemolysis, we concluded that this was a case
of autoimmune HA induced by immunoglobulin treatment. The hyperinflammatory
condition associated with Kawasaki disease may have contributed to the severity
of anemia.
PMID- 27879541
TI - Ethical Barriers in Adolescent Oncofertility Counseling.
AB - Adult survivors of pediatric cancers are at substantial risk for infertility.
Oncofertility is an emerging field in medicine that has focused on the fertility
preservation of these patients. As the field continues to develop, there are
several areas in which our practice has improved. However, several ethical
concerns still exist involving beneficence, nonmaleficence, informed consent,
adolescent assent, and posthumous use of reproductive tissues. Because the field
is still developing, great disparities exist in available options depending on
age, ability to pay, and geographic location. Such discrepancies in access may
lead to health disparities in the adolescent patient population. As the science
continues to make future fertility more feasible, the ethical questions will
continue to be more complex. The purpose of this article is to review some of the
developments regarding oncoferility and address future directions for research
and inquiry in specific areas.
PMID- 27879539
TI - Clinically Mild Encephalitis/Encephalopathy With a Reversible Splenial Lesion
Accompanied by Epstein-Barr Virus Hemophagocytic Lymphohistiocytosis: A Case
Report and Review of the Literature.
AB - Central nervous system involvement in hemophagocytic lymphohistiocytosis (HLH) is
associated with a poor outcome. For such patients, it is unknown whether more
aggressive therapies, such as intrathecal methotrexate or hydrocortisone, are
inevitably required. We present a very rare case of 3-year-old Japanese girl who
developed mild encephalitis/encephalopathy with a reversible splenial lesion,
accompanied by Epstein-Barr virus-associated HLH, and review previous similar
reports. Our case and previous reports suggest that mild
encephalitis/encephalopathy with a reversible splenial lesion accompanied by
Epstein-Barr virus-associated HLH has a relatively good prognosis, even in the
absence of intrathecal treatments.
PMID- 27879542
TI - Central Nervous System Symptoms Due to Transient Methemoglobinemia in a Child
With G6PD Deficiency.
AB - The authors herein report a 5-year-old child who presented with massive
hemolysis, irritability, and cyanosis. The final diagnosis was glucose-6
phosphate dehydrogenase deficiency with associated central nervous system
symptoms probably because of concomitantly acquired methemoglobinemia following
oxidant drug exposure. The associated acute-onset anemia would have contributed
to the development of cerebral anoxia-related seizures and encephalopathy.
PMID- 27879544
TI - Immune Hemolytic Anemia (Paroxysmal Cold Hemoglobinuria) Preceding Burkitt
Lymphoma in a 12-Year-Old Child.
AB - Autoimmune hemolytic anemia (AIHA) in childhood, including paroxysmal cold
hemoglobinuria, is an uncommon, potentially life-threatening disorder. AIHA is a
recognized complication of several varieties of lymphoproliferative disorders,
including high-grade B-cell lymphoma, but it has not been associated with Burkitt
lymphoma in people without an underlying immunodeficiency. When AIHA occurs in
association with lymphoproliferative disorders, it may precede or accompany the
diagnosis of malignant disease or herald relapse. We report a novel case of a
previously healthy child diagnosed with paroxysmal cold hemoglobinuria 14 months
preceding the development of Burkitt lymphoma.
PMID- 27879543
TI - Enzymopenic Congenital Methemoglobinemia in Children of the Republic of Sakha
(Yakutia).
AB - Type I congenital methemoglobinemia is an autosomal recessive disorder. A high
frequency of congenital methemoglobinemia has been reported among Native
Americans inhabiting the Yukon-Kuskokwim Delta. Other rare cases of congenital
methemoglobinemia of types I and II have been reported in Japan and other
countries. In Russia-namely, in Yakutia-a high frequency of type I congenital
methemoglobinemia has been reported. In 2009, the Consultation Polyclinic of the
Pediatric Center in Yakutsk city established a registry of children with
congenital methemoglobinemia. In total, 43 patients were registered between 2005
and 2009. The median methemoglobin level was 13.5% (ranging between 4.2% and
33.9%) and physical examination revealed cyanosis of the skin and mucus
membranes. There were significant positive relationships between percentage of
methemoglobin and erythrocyte count, hemoglobin concentration, and hematocrit
among male patients, consistent with an upregulation of the hypoxic response. The
prevalence per 100,000 children ranged from 12.7 to 47.0 in 3 geographic regions
of Yakutia. Further research is needed to clarify the clinical consequences of
congenital methemoglobinemia in the children of Yakutia and the reasons for the
high variability in the prevalence of the condition.
PMID- 27879545
TI - Epstein-Barr Virus-associated Mucocutaneous Ulcer in a Patient With T-Cell Acute
Lymphoblastic Leukemia: Importance of Accurate Diagnosis and Conservative
Management.
AB - Epstein-Barr virus-associated mucocutaneous ulcer (EBV-MCU) is a recently
characterized entity that falls under the spectrum of EBV-lymphoproliferative
disorders. First described in 2010 by Dojcinov et al, it is an EBV-driven
localized proliferation of B cells, occurring in mucocutaneous tissues including
the skin, the oropharynx, and the gastrointestinal tract of immunosuppressed
patients in the absence of an intact T-cell repertoire. Typically, it has been
described in elderly patients with age-related immunosenescence and patients who
are on immunosuppressive therapy. However, only 2 cases have been reported in
pediatric, adolescent, and young adult age groups, with all these patients
manifesting after solid organ transplant. To the best of our knowledge there are
no case reports of EBV-MCU occurring in association with hematologic malignancy.
Here, we present a case of EBV-MCU in a young adult patient with T-cell acute
lymphoblastic leukemia. Our report serves to promote awareness among clinicians
regarding this newly described and extremely rare clinical entity in young
immunosuppressed patients. In addition, we highlight the importance of accurate
diagnosis to prevent overtreatment of this indolent, often self-resolving disease
that has a significant clinicopathologic overlap with other aggressive forms of
EBV-lymphoproliferative disorders that require more intensive therapy.
PMID- 27879546
TI - Early Lymphocyte Recovery and Outcome in Osteosarcoma.
AB - BACKGROUND: Early lymphocyte recovery following chemotherapy has been associated
with improved outcome in many cancers, including in one small study of
osteosarcoma patients. MATERIALS AND METHODS: To confirm this finding, we
retrospectively reviewed data from 53 patients with newly diagnosed osteosarcoma
who had blood counts on day 14 (+/-1 d) following the first cycle of cisplatin
and doxorubicin. RESULTS: The median absolute lymphocyte count (ALC) 14 days
after starting the first cycle of chemotherapy (ALC-14) was 1990 cells/MUL
(range: 600 to 6470). For 32 patients with an ALC-14>=1800 cells/MUL, the 5-year
progression-free survival (PFS) was 69%, compared with 33% for patients with an
ALC-14 of <1800 cell/MUL (P=0.036). In multivariable analysis of factors
including age, sex, metastatic disease, and favorable histologic response to
induction chemotherapy, ALC-14 was significantly associated with PFS (P=0.0081)
and overall survival (P=0.0131). The use of ALC-14 appears to further stratify
PFS and overall survival among patients when grouped by histologic response.
CONCLUSIONS: We confirmed that early lymphocyte recovery was associated with
outcome in pediatric osteosarcoma. Although presumably reflecting immune-mediated
tumor control, the precise mechanism for this is unclear. Further study of
peripheral blood lymphocyte subpopulations in prospectively treated patients is
underway.
PMID- 27879547
TI - Hyperferritinemia in Pediatric Acute Lymphoblastic Leukemia: What Does it Mean?
PMID- 27879548
TI - Switching L-dopa Therapy from Pulsatile to Pulse Administration Reduces Motor
Complications in Parkinson's Disease.
AB - OBJECTIVE: To evaluate the severity of wearing-off and dyskinesia in patients
with complicated Parkinson disease (PD) after switching L-dopa oral therapy from
a "pulsatile" administration, consisting in intermittent multiple daily small
doses of the drug, to a "pulse" administration, consisting in standard oral doses
given at specific interdose intervals. METHODS: Thirty-four PD patients with
motor complications were monitored twice with standardized waking day motor
status evaluations using the Unified Parkinson Disease Rating Scale-Motor
Examination (UPDRS-ME) and the Abnormal Involuntary Movement Scale (AIMS) after
switching L-dopa administration modality from "pulsatile" to "pulse." To quantify
predictable motor fluctuations, a Wearing Off Index was computed based on changes
in treatment response magnitude. RESULTS: On the whole, after switching from
"pulsatile" to "pulse" administration, there was a reduction in number of L-dopa
daily doses and an increase in the amount of the dosage of the single doses, AIMS
maximum score decreased without increasing motor disability. More specifically,
in predominant fluctuating patients, there was a significant reduction in UPDRS
ME average score as well as in Wearing Off Index. In predominant dyskinetic
patients, there was a significant reduction in average and maximum AIMS scores
with no changes in average and maximum UPDRS-ME scores. CONCLUSIONS: Switching L
dopa therapy from "pulsatile" to "pulse" modality may reduce the severity of
wearing-off and dyskinesia in complicated PD.
PMID- 27879549
TI - Fingolimod Use for the Treatment of Multiple Sclerosis in a Clinical Practice
Setting in Madrid.
AB - OBJECTIVE: To assess the effectiveness and safety of fingolimod use in a Spanish
clinical practice setting. METHODS: Retrospective study with multiple sclerosis
patients who received at least 1 fingolimod dose between January 2004 and January
2015. Effectiveness and safety data were collected during the entire treatment of
each patient. Analysis was performed for the total population and stratified
according to prior treatment, sex, and age at treatment initiation. RESULTS: A
total of 167 patients were included, 50.9% had prior immunomodulator use, 33.5%
natalizumab use, and 15.6% were naive patients. The annual relapse rate (ARR)
decreased for the total population at month 12 (62%) and month 24 (84%) (P <
0.0001, in both cases); for naive patients (P < 0.05) and patients with prior
immunomodulator use (P < 0.0001); for patients with prior natalizumab use, the
ARR kept low after treatment initiation (0.23). After 24 months, the proportion
of relapse-free patients was 70% or greater and disability progression-free
patients was 80% or greater. No significant differences were observed when the
results were compared by prior treatment, sex, or age. Thirty-two patients
(19.2%) reported adverse drug reactions and 9.6% discontinued: 4.8% due to
adverse drug reactions and 4.8% for lack of effectiveness. CONCLUSIONS: The
results support fingolimod use due to clinical effectiveness, tolerability, and
ease of administration.
PMID- 27879550
TI - Successful Treatment of Brachial Plexopathy Due to Herpes Zoster Infection With
Intravenous Immunoglobulin.
AB - OBJECTIVE: The aim of this study was to report the case of a male patient with
Parkinson disease who developed brachial plexopathy (BP) due to varicella-zoster
virus, which was successfully treated with human immunoglobulin. METHOD: We
report the case of a 75-year-old male subject with a diagnosis of Parkinson
disease who came to our hospital complaining of pain, skin lesions, and strength
loss in his right arm during the past 2 months. Physical examination revealed
vesicular rash compatible with varicella-zoster virus lesions. Nerve conduction
studies and magnetic resonance imaging of the brachial plexus showed inflammatory
changes at that level. A trial with oral valacyclovir followed by intravenous
methylprednisolone bolus was administered without further response. However,
human intravenous immunoglobulin resulted in complete recovery of the symptoms.
CONCLUSIONS: Human immunoglobulin is effective in BP due to zoster infection and
must be considered if standard treatment fails. To the best of our knowledge,
this is the first report of BP associated to zoster infection successfully
treated with intravenous immunoglobulin.
PMID- 27879551
TI - No Superiority of Treatment With Osmotic Controlled-Release Oral Delivery System
Methylphenidate Over Short/Medium-Acting Methylphenidate Preparations in the Rate
and Timing of Injuries in Children With Attention-Deficit/Hyperactivity Disorder.
AB - OBJECTIVES: Methylphenidate (MPH) treatment in patients with attention
deficit/hyperactivity disorder (ADHD) is reported to reduce the risk for
injuries. In the present study, the rate and timing of injuries were compared
among the various MPH preparations (4 and 6-8 vs 12 hour-acting) in children with
ADHD. METHODS: This real-world retrospective study covered the years 2011 to
2013. Participants included 2042 youngsters (aged 4-18 years, 13.01 +/- 3.2
years; 71.8% males and 28.2% females) diagnosed with ADHD according to the
International Statistical Classification of Diseases, 10th Revision criteria and
treated with various MPH preparations. They were divided into 2 groups by their
treatment preparation as follows: MPH-immediate release (MPH-IR)-4 hour-acting
pooled with MPH-slow release/long-acting (MPH-SR/LA)- 6 to 8 hour-acting versus
osmotic controlled-release oral delivery system-MPH (OROS-MPH; Concerta)-12 hour
acting that consisted of pooling of OROS-MPH only and OROS-MPH combined with the
other MPH preparations. The monthly rates of injury, specifically, late injury
(occurrence between 4:00 p.m. to midnight) and for multiple injuries, the time
interval between injuries, were assessed. RESULTS: No significant differences in
monthly rate of nonfatal injuries were found between OROS-MPH with or without 4/6
to 8 hour-acting MPH-formulations versus only 4/6 to 8 hour-acting MPH
preparations (P = 0.53). Neither were differences found in the between-injury
time interval (P = 0.83) or in late-injury-rates (P = 0.37) between those groups.
CONCLUSIONS: This real-world-naturalistic study in the community demonstrates
that, in ADHD pediatric populations, OROS-MPH preparation is not superior to
short/medium-acting (4/6-8 hours) MPH preparations regarding the rate and timing
of injuries.
PMID- 27879552
TI - The Impact of Complicated Grief on Diurnal Cortisol Levels Two Years After Loss:
A Population-Based Study.
AB - OBJECTIVE: Few studies have focused on the effect of complicated grief-unresolved
and prolonged grief-on the neuroendocrine systems. The present study examined the
association of complicated grief and normal grief with the diurnal cortisol
patterns in a large population-based study. METHODS: This study was set in the
Rotterdam Study and comprised 2084 persons aged older than 55 years (mean [SD]
age, 64.9 [5.5] years). Participants were assessed with the Complicated Grief
Inventory and classified into no grief (n = 1922), normal grief (n = 131), or
complicated grief (n = 31) if they experienced the loss in the past 2 years.
Saliva samples were collected to measure cortisol levels. Morning cortisol and
summary measures (area under the curve and the slope) were studied to account for
the diurnal pattern of cortisol. Persons with depressive disorders were excluded,
and analyses were additionally adjusted for depressive symptoms. RESULTS:
Compared to normal grievers, participants with complicated grief showed lower
levels of morning cortisol (11.26 vs 15.51 nmol/L; difference, -4.24; 95%
confidence interval [CI] = -7.87 to -0.62; p = .022), and lower levels of overall
diurnal cortisol (6.89 vs 8.98 nmol/L; difference, -2.09; 95% CI = -3.81 to
0.37; p = .017). No difference was observed in slope between both groups.
Participants with complicated grief also showed lower levels of morning cortisol
than the nongrievers (11.26 vs 14.71; difference, -3.46; 95% CI = -6.78 to -0.13;
p = .042). In contrast, cortisol secretion patterns did not differ between
persons with normal grief and nongrieving controls. CONCLUSIONS: Participants
with complicated grief showed low levels of morning cortisol and low overall
diurnal cortisol levels characteristic for a chronic stress reaction.
PMID- 27879553
TI - ACUTE VISION LOSS AS THE ONLY SIGN OF LEUKEMIA RELAPSE.
AB - PURPOSE: To report a case of unilateral exudative retinal detachment as the sole
presentation of relapsing B-type lymphoblastic leukemia in a 35-year-old man
after 3 years of remission. METHODS: Case report. RESULTS: A 35-year-old man in
complete remission of high-risk type B acute lymphoblastic leukemia (ALL-B)
presented with acute vision loss in his left eye. Exudative retinal detachment
was diagnosed at initial evaluation. Hematological and ocular studies were
performed. Although there was no evidence of blood, cerebrospinal fluid, or bone
marrow disease relapse, transvitreal retinochoroidal cytology identified the
infiltration of lymphoblastic leukemic B cells with t(12:21) translocation as the
only sign of relapsing ALL-B. CONCLUSION: At first sign of vision loss or ocular
symptoms in a patient with a history of lymphoblastic leukemia, and regardless of
the hematological findings, exhaustive ophthalmologic exploration and ocular
biopsy should be performed without delay-this being crucial for clinical decision
making because a prompt diagnosis improves the chances of survival.
PMID- 27879555
TI - Safety and Immunogenicity of DTaP5-IPV Compared With DTaP5 Plus IPV as the Fifth
Dose in Children 4-6 Years of Age.
AB - BACKGROUND: Immunogenicity and safety of stand-alone diphtheria, tetanus toxoid,
5-component acellular pertussis vaccine adsorbed, inactivated poliovirus (IPV)
combination vaccine (DTaP5-IPV) was compared with separate DTaP5 plus IPV
vaccines as fifth dose in children 4-6 years of age. METHODS: In this phase III,
controlled, multicenter, randomized, open-label study, participants were
randomized to DTaP5-IPV plus measles/mumps/rubella (MMR) and varicella virus
(VZV) vaccines (group 1; N = 324), DTaP5+IPV with MMR and VZV (group 2; N = 327),
DTaP5-IPV with/without MMR/VZV (group 3; N = 2419) or DTaP5+IPV with/without
MMR/VZV (group 4; N = 302). Immunogenicity endpoints (groups 1 and 2) included
booster response rates and antibody geometric mean concentrations (GMCs).
Noninferiority of DTaP5-IPV to DTaP5+IPV was evaluated based on differences
(groups 1 and 2) in booster rates and postvaccination GMC ratios. Safety
endpoints (all groups) included all adverse events. RESULTS: Noninferiority of
DTaP5-IPV compared with DTaP5+IPV for all antigens was achieved. Booster rate
differences were 5.4% for pertussis toxoid (PT); 7.4% for filamentous
hemagglutinin; 3.7% for pertactin (PRN); 4.8% for fimbriae types 2 and 3; -0.1%
for tetanus; -1.9% for diphtheria; 3.7% for poliovirus 1; -0.7% for poliovirus 2
and 0.3% for poliovirus 3. GMC ratios were 1.97 for PT; 1.56 for filamentous
hemagglutinin; 1.51 for PRN; 1.33 for fimbriae types 2 and 3; 1.17 for tetanus;
1.20 for diphtheria; 1.27 for poliovirus 1; 0.90 for poliovirus 2 and 1.34 for
poliovirus 3. Rates of immediate and unsolicited adverse events, solicited
injection site reactions and systemic reactions were similar between groups.
CONCLUSIONS: DTaP5-IPV was safe and immunogenic in children 4-6 years of age.
PMID- 27879554
TI - Measles and Rubella Seroprevalence Among HIV-infected and Uninfected Zambian
Youth.
AB - BACKGROUND: Measles and congenital rubella syndrome remain significant causes of
morbidity and mortality despite available vaccines. HIV-infected youth may be at
increased risk of measles because of greater waning immunity after vaccination.
At a population level, they constitute a potentially large pool of susceptibles
to measles and rubella. More data among HIV-infected youth in sub-Saharan Africa
are needed to guide vaccination policy and control strategies. METHODS: This
cross-sectional study was nested within 2 ongoing studies of malaria and HIV in
Zambia. Dried blood spot cards from youth (5-15 years) in these studies from 2009
to 2013 were tested for IgG antibodies to measles and rubella viruses. HIV
uninfected youth, HIV-infected treatment-naive youth and HIV-infected youth
receiving antiretroviral therapy (ART) were compared. RESULTS: A total of 617 HIV
uninfected, 144 HIV-infected treatment-naive and 128 HIV-infected youth receiving
ART were included in this study. The proportion seropositive for measles virus
was significantly higher among HIV-uninfected youth (92.5%) compared with HIV
infected treatment-naive youth (74.1%) and HIV-infected youth receiving ART
(71.9%). No differences by age were observed. The proportion seropositive for
rubella virus was significantly higher among HIV-uninfected youth (54.7%)
compared with HIV-infected treatment-naive youth (41.7%) and HIV-infected youth
receiving ART (49.6%), with increases observed by age for all groups.
CONCLUSIONS: Measles seroprevalence was lower among HIV-infected than uninfected
youth, consistent with waning immunity after measles vaccination. HIV-infected
youth would benefit from revaccination. Half of all youth in rural Zambia were
susceptible to rubella and may need targeting for catch-up rubella campaigns when
measles-rubella vaccine is introduced.
PMID- 27879557
TI - Chryseobacterium Indologenes-Associated Pneumonia in 2 Neonates.
AB - Chryseobacterium species are widely distributed in nature and can rarely cause
human infection. Few cases reported in hospitalized patients are in
immunocompromised hosts with indwelling devices and associated comorbidities.
Chryseobacterium species are usually multidrug resistant. We describe 2 cases of
Chryseobacterium indologenes-associated pneumonia in neonates and review the
published infant cases.
PMID- 27879556
TI - Who Gets Severe Gynecomastia Among HIV-infected Children in the United Kingdom
and Ireland?
AB - There are few data on gynecomastia in HIV-infected children. Within the
UK/Ireland's national cohort, 56 of 1873 (3%) HIV-infected children had
gynecomastia, of which 10 (0.5%) were severe. All 10 had received antiretroviral
therapy for a median of 27.5 (21, 42) months; 4 of 10 had received efavirenz, 7
of 10 and 6 of 10 had received stavudine and/or didanosine respectively. Five
were nonreversible, despite changing antiretroviral therapy, and required breast
reduction surgery.
PMID- 27879558
TI - Impact of Pneumococcal Conjugate Vaccines on Selected Head and Neck Infections in
Hospitalized Israeli Children.
AB - BACKGROUND: Streptococcus pneumoniae is a major pathogen of pediatric head and
neck infections (HNIs), for example, acute otitis media (AOM), acute mastoiditis,
acute bacterial sinusitis and meningitis. The aim of this study was to
characterize the epidemiology of pneumococcal HNIs (pHNIs) before, during and
after the introduction of pneumococcal conjugate vaccines (PCVs). METHODS:
Children 0-16 years of age, who were hospitalized with HNIs in the pediatrics
department in a general hospital between January 1, 2007, and December 31, 2014,
were retrospectively identified. Study years were categorized according to the
PCV introduction timeline: 2007-2008: "pre-PCV years"; 2009-2011: "transition
years" and 2012-2014: "post-PCV years." pHNIs episodes were defined if
pneumococcal culture or urine antigen was positive. Children who received >=2
doses of PCV7/PCV13 were considered as immunized. All other children were
considered as unimmunized. RESULTS: HNIs accounted for 2.5%-4.7% of the total
admissions; 3%-17% of them were pHNIs. Eighty-seven pHNI episodes were
identified: AOM (n = 42), acute mastoiditis (n = 28) and meningitis (n = 17).
There was a downward trend in the overall incidence of HNIs, and particularly of
pHNIs, in the post-PCV years. The average age and hospitalization duration of
children with HNIs/pHNIs remained stable during the study years. In 2009-2010,
pHNIs incidence sharply decreased, from 7 to 1.74/1000 hospitalized
children/year, due to ~55% reduction of pneumococcal AOM episodes. An additional
decrease was observed in the post-PCV years (1.62/1000 hospitalized
children/year). Immunized children were less likely to present with pHNIs (P =
0.001) but were more likely to undergo surgery (P = 0.042). CONCLUSION: We
observed a reduction in pHNIs incidence after PCV program implementation.
PMID- 27879559
TI - Angiotensin II in Refractory Septic Shock.
AB - Refractory septic shock is defined as persistently low mean arterial blood
pressure despite volume resuscitation and titrated vasopressors/inotropes in
patients with a proven or suspected infection and concomitant organ dysfunction.
Its management typically requires high doses of catecholamines, which can induce
significant adverse effects such as ischemia and arrhythmias. Angiotensin II (Ang
II), a key product of the renin-angiotensin-aldosterone system, is a vasopressor
agent that could be used in conjunction with other vasopressors to stabilize
critically ill patients during refractory septic shock, and reduce catecholamine
requirements. However, very few clinical data are available to support Ang II
administration in this setting. Here, we review the current literature on this
topic to better understand the role of Ang II administration during refractory
septic shock, differentiating experimental from clinical studies. We also
consider the potential role of exogenous Ang II administration in specific organ
dysfunction and possible pitfalls with Ang II in sepsis. Various issues remain
unresolved and future studies should investigate important topics such as: the
optimal dose and timing of Ang II administration, a comparison between Ang II and
the other vasopressors (epinephrine; vasopressin), and Ang II effects on
microcirculation.
PMID- 27879560
TI - Temporal Changes in Microrna Expression in Blood Leukocytes from Patients with
the Acute Respiratory Distress Syndrome.
AB - BACKGROUND: MicroRNA (miRNA) control gene transcription by binding to and
repressing the translation of messenger RNA (mRNA). Their role in the acute
respiratory distress syndrome (ARDS) is undefined. METHODS: Blood leukocytes from
51 patients enrolled in a prior randomized trial of corticosteroids for ARDS were
analyzed. After screening eight patients with microarrays for altered miRNA
expression, 25 miRNAs were selected for further analysis using RT-PCR in all 51
patients. RESULTS: On day 0, the 51 patients had APACHE III score of 60.4 +/-
17.7 and PaO2/FiO2 of 117 +/- 49. 21 miRNA were expressed at increased levels in
blood leukocytes at the onset of ARDS compared with healthy controls. These miRNA
remained elevated at day 3 and increased further by day 7 (log2 fold change from
0.66 to 5.7 fold, P <0.05 compared to day 0). In a subgroup analysis (37 patients
treated with corticosteroids and 14 treated with placebo), the interaction of
miRNA expression over time and steroid administration was not significant
suggesting that systemic corticosteroids had no effect on the miRNA detected in
our study. In contrast, corticosteroids but not placebo decreased IL-6 and C
reactive protein at day 3 (P < 0.001) demonstrating an early systemic anti
inflammatory response whereas both treatment arms had decreased values by day 7
(P <0.001). CONCLUSIONS: Expression of miRNA is increased in blood leukocytes of
patients with ARDS at day 0 and day 3 and rises further by day 7, when systemic
inflammation is subsiding. These effects appear independent of the administration
of steroids, suggesting different inflammatory modifying roles for each in the
resolving phases of ARDS.
PMID- 27879562
TI - Effects of Transport Temperature on the Stability of Inflammatory, Hemostasis,
Endothelial Function, and Oxidative Stress Plasma Biomarker Concentrations.
AB - BACKGROUND: A number of studies in critically ill patients are conducted outside
the hospital. Specimens should ideally be transported from out-of-hospital
setting to a laboratory using dry ice, but this approach is expensive and may not
be feasible in some circumstances. We, therefore, examined the impact of
temperature during transport of specimens on the precision of biomarker
concentrations. OBJECTIVE: To determine the effects of transport temperature
conditions on biomarker concentrations in specimens processed within 1 h of
collection. METHODS/PATIENTS: We simulated transport by storing specimens at four
temperature conditions: packaged at -80 degrees C (control), on dry ice (-79
degrees C), on cold gel packs (4 degrees C), and at room temperature (RT, 21
degrees C). We examined eight biomarkers spanning four signaling domains-
inflammation, hemostasis, endothelial dysfunction, and oxidative stress. We
calculated mean, median, and percent difference for each biomarker concentration
compared with the control transport temperature at -80 degrees C in 26 subjects
(16 hospitalized with severe sepsis and 10 non-hospitalized volunteers). RESULTS:
Patients with severe sepsis had log-fold higher median concentrations of IL-6, hs
CRP, D-dimer, E-selectin, sICAM-1, and sVCAM-1 compared with non-hospitalized
volunteers (P <0.05). When specimens were combined, we observed a <=7% difference
in the mean and median IL-6, hs-CRP, D-dimer, PAI-1, E-selectin, s-ICAM, s-VCAM,
and nitrite concentrations for dry ice and cold gel packs transport compared with
transport at -80 degrees C (P>0.05). Larger differences (up to 12%) were observed
when biomarker concentrations for PAI-1 and s-VCAM at room temperature were
compared with transport at -80 degrees C (P >0.05). CONCLUSIONS: Select
inflammatory, coagulation, endothelial dysfunction, and oxidative stress
biomarkers can be transported at 4 degrees C on gel packs for 24 h with minimal
effects on precision.
PMID- 27879561
TI - Late Therapeutic Intervention with Antibiotics and Fluid Resuscitation Allows for
a Prolonged Disease Course with High Survival in a Severe Murine Model of Sepsis.
AB - Current animal models of sepsis often incorporate antibiotics to be consistent
with clinical standards for treatment of patients in the intensive care unit.
However, such experimental intervention is commonly initiated very early after
infectious insult, which likely blunts the progression of systemic inflammation
and downstream pathology. The objective of this study was to establish an animal
model of sepsis with delayed therapeutic intervention, allowing a longer disease
course and downstream pathology, but still resulting in a high survival rate.
Severe lethal abdominal infection was initiated in young adult (17-18-week-old)
C57BL/6 mice by cecal slurry (CS) injection. When initiated early (1- or 6-h post
CS injection), antibiotic treatment (imipenem, 1.5 mg/mouse i.p., twice/day for 5
days) rescued the majority of mice; however, few of these mice showed evidence of
bacteremia, cytokinemia, or organ injury. When antibiotic treatment was delayed
until late time-points (12- or 24-h post-CS injection) the majority of animals
did not survive beyond 48 h. When fluid resuscitation (physiological saline,
s.c.) was performed in combination with antibiotic treatment (twice daily)
beginning at these late time-points, the majority of mice survived (75%) and
showed bacteremia, cytokinemia, organ dysfunction, and prolonged body weight loss
(<90% for 4 weeks). We recommend that this new repeated combination treatment
with antibiotics and fluids resuscitation be initiated at a late time point after
bacteremia becomes evident because this model more closely mimics the downstream
pathological characteristics of severe clinical sepsis yet maintains a high
survival rate. This model would be advantageous for studies on severe sepsis and
postintensive care illness.
PMID- 27879563
TI - Pilot Study of Sequence of Segmental Contributions in the Lower Cervical Spine
During Active Extension and Flexion: Healthy Controls Versus Cervical
Degenerative Disc Disease Patients.
AB - STUDY DESIGN: A retrospective study in which, first, a definition of normal
movement in the cervical spine is formulated. Second, use of this definition in
differentiating between normal and abnormal movement of the cervical spine is
evaluated. OBJECTIVE: To formulate a clear definition of the normal sequence of
segmental contributions in the cervical spine during flexion/extension, and to
evaluate the sensitivity, specificity, and reliability of sequence of segmental
contributions analysis in differentiating between normal and abnormal movement of
the cervical spine in healthy controls and patients with cervical degenerative
disc disease (CDDD). SUMMARY OF BACKGROUND DATA: To study mobility before and
after cervical arthroplasty, segmental range of motion (sROM) is most commonly
used. Analysis of sequence of segmental contributions during flexion and/or
extension might be more suitable. METHODS: The definition was formulated by
analyzing flexion cinematographic recordings (FCRs) and extension cinematographic
recordings (ECRs) in healthy controls. Sequences in ECRs were more consistent
than in FCRs and were therefore used to define "normal" motion. Five blinded
spine surgeons scored randomized graphs from ECRs in 20 healthy controls and 10
preoperative CDDD patients, using this definition, at three time points.
Sensitivity, specificity, and reliability were calculated. RESULTS: Reliability
of scoring as normal or abnormal is high (Fleiss kappa of 0.80-0.84, 95%
confidence interval, CI: 0.66-0.98), sensitivity and specificity are also high,
with an average sensitivity of 90% (95% CI: 78.4-99.8%), and an average
specificity of 85% (95% CI: 72.9-96.4%). CONCLUSION: This is the first described
method that can reliably differentiate between normal or abnormal movement of the
cervical spine in an individual subject. This enables an observer to determine if
the normal sequence is restored after arthroplasty. In contrast to the given
method, sROMs are not able to distinguish between healthy controls and CDDD
patients. LEVEL OF EVIDENCE: 2.
PMID- 27879565
TI - Surgical Wound Infection Rates and Risk Factors in Spinal Fusion in a University
Teaching Hospital in Madrid, Spain.
AB - STUDY DESIGN: Prospective cohort study. OBJECTIVE: To study risk factors linked
to spinal fusion surgical wound infection (SWI) incidence and compare the
incidence with rates in Madrid Region, Spain and United States as a whole.
SUMMARY OF BACKGROUND DATA: SWI is one of the complications posed by spinal
surgery. Indeed, spinal surgery has a higher infection rate than do other
orthopedic surgeries such as total hip or knee arthroplasty. The study of risk
factors that are susceptible to be modified will enable both the incidence of SWI
and, by extension, related morbidity, mortality, and costs to be reduced.
METHODS: All patients undergoing spinal fusion at a tertiary hospital from June
2011 to June 2014 were included. Infection rate was calculated, and the
association between risk factors and SWI incidence was assessed by reference to
odds ratio (OR) with univariate and multivariate analysis. RESULTS: The study
population (n = 892) had a SWI rate of 3.9%. The standardized infection ratio of
our hospital was 0.58 with respect to the Madrid Region, 0.76 with respect to
Spain's national rate and 2.05 with respect to the US NHSN/CDC. The multivariate
analysis showed that predictive factors of SWI were diabetes mellitus (OR 2.81,
95% confidence interval, CI: 1.18-6.72, P < 0.05), chronic obstructive pulmonary
disease (COPD) (OR 5.16, 95% CI: 2.04-13.08, P < 0.05), duration of surgery
higher than the 75th percentile (OR 5.39, 95% CI: 1.77-110.84, P < 0.05) and
dirty surgery (OR 14.01, 95% CI: 1.01-28.88, P < 0.05). CONCLUSION: Independent
risk factors for SWI in spinal fusion are existence of diabetes mellitus, COPD,
duration of surgery higher than the 75th percentile and dirty surgery. Knowing
these risk factors enables action to be taken to reduce the SWI rate. LEVEL OF
EVIDENCE: 3.
PMID- 27879564
TI - Structural Brain Imaging in People With Low Back Pain.
AB - STUDY DESIGN: Cross-sectional study. OBJECTIVE: The aim of this study was to
determine whether low back pain (subacute and chronic) is related to differences
in brain volume. SUMMARY OF BACKGROUND DATA: Inconsistent findings have been
reported about the effect of chronic low back pain on brain volume, and the
effect of subacute low back pain on brain volume has not been sufficiently
investigated. METHODS: A total of 130 participants were included (23 subacute and
68 chronic low back pain; 39 healthy controls). The main outcome measure was
whole and regional brain volume. Clinical outcome measures included pain
duration, pain intensity, fear avoidance belief questionnaire, Oswestry
Disability Index, and Beck's Depression Inventory. RESULTS: Decrease in brain
volume in several regions was observed in chronic low back pain when compared
with health subjects; however, after correcting for multiple comparisons, no
significant differences were detected between any of the three groups in whole
brain volume. Regionally, we detected less gray matter volume in two voxels in
the middle frontal gyrus in chronic low back pain participants compared with
healthy controls. None of the clinical outcome measures were correlated with
brain volume measurements. CONCLUSION: Low back pain (subacute or chronic) is not
related to significant differences in brain volume after correction for multiple
comparisons. The effect size was too small to detect possible subtle changes
unless much larger sample sizes are examined, or it is possible that low back
pain does not affect brain volume. LEVEL OF EVIDENCE: 5.
PMID- 27879567
TI - Collar Fixation Is Not Mandatory After Cervical Laminoplasty: A Randomized
Controlled Trial.
AB - STUDY DESIGN: Prospective randomized controlled study. OBJECTIVES: To determine
the effect of collar-aided fixation on outcomes after laminoplasty for cervical
compressive myelopathy. SUMMARY OF BACKGROUND DATA: Patients are often placed in
a Philadelphia collar for weeks after cervical laminoplasty. However, the benefit
of postoperative collar use remains controversial. We hypothesized that treatment
outcomes in postoperative pain in patients without collars would not be inferior
to the outcomes in patients using Philadelphia collars for 2 weeks. METHODS: This
trial analyzed 74 patients (52 males, 22 females, mean age 72.7 years) with
cervical compressive myelopathy who had undergone double-door laminoplasty.
Before surgery, we randomly assigned patients to the collar-fixation (CF) group
(postoperative Philadelphia collar for 2 weeks) or the no-collar (NC) group. The
primary outcome was the visual analog scale (VAS) for cervical pain up to 1 year
after surgery. Secondary outcomes were Japanese Orthopedics Association score,
Short Form 36 score (SF-36), cervical range of motion (ROM), lordotic angle, and
complications. RESULTS: VAS scores up to 1 year after operation were similar with
or without collar fixation (P = 0.487, two-way ANOVA). JOA scores improved
significantly in both groups (P = 0.002 in CF, P < 0.001 in NC). There was no
statistically significant difference between groups with regard to the
improvement of JOA scores (54.9% in CF, 47.0% in NC, P = 0.80). The improvement
in SF-36 was similar in both groups. Loss of ROM and lordotic angle of the
cervical spine did not differ between groups (P = 0.61 in CF, P = 0.82 in NC).
The incidence of complications was similar in both groups. CONCLUSION: The VAS
scores of cervical pain with the postoperative treatment without collar fixation
were not inferior to those when using Philadelphia collars for 2 weeks. Moreover,
other outcomes such as JOA scores, SF-36, ROM, lordotic angle, and complications
were similar in both groups. LEVEL OF EVIDENCE: 2.
PMID- 27879566
TI - Effect of an Acid-sensing Ion Channels Inhibitor on Pain-related Behavior by
Nucleus Pulposus Applied on the Nerve Root in Rats.
AB - STUDY DESIGN: Controlled, interventional animal study. OBJECTIVE: To examine the
effect of an inhibitor of acid-sensing ion channel 3 (ASIC3) on pain-related
behavior induced by application of the nucleus pulposus (NP) onto the dorsal root
ganglion (DRG) in rats. SUMMARY OF BACKGROUND DATA: ASIC3 is associated with
acidosis pain in inflamed or ischemic tissues and is expressed in sensory neurons
and NP cells. The ASIC3 inhibitor, APETx2, increases the mechanical threshold of
pain in models of knee osteoarthritis or postoperative pain. However, the
efficacy of APETx2 for pain relief in the NP application model remains unknown.
METHODS: Autologous NP was applied to the left L5 nerve root of 183 adult female
Sprague-Dawley rats. The DRGs were treated with NP plus one of the following four
treatments: saline solution (SM), low (0.01 MUg: LD), medium (0.1 MUg: MD), or
high dose (1.0 MUg: HD) of APETx2. Behavioral testing was performed to
investigate the mechanical withdrawal threshold using von Frey hairs. Expression
of nerve growth factor, hypoxia-inducible factor-1alpha (HIF1alpha), activating
transcription factor-3, and ionized calcium-binding adaptor molecule-1 was
evaluated using immunohistochemistry. Statistical differences among multiple
groups were assessed using the Steel test, the Tukey-Kramer test, and the Dunnett
test. P < 0.05 were considered significant. RESULTS: The thresholds in the HD
group were higher than those in the SM group at Days 14 and 21 (P < 0.05). In the
MD group, the threshold was higher than in the SM group at Day 14 (P < 0.05).
High doses of APETx2 reduced the expression of HIF1alpha after Day 14 compared
with the SM group (P < 0.05). CONCLUSION: APETx2 significantly improved pain
related behavior in a dose-dependent manner. APETx2 may inhibit ASIC3 and partly
inhibit Nav1.8 channels. This ASIC3 channel inhibitor may be a potential
therapeutic agent in early-stage lumbar disc herniation. LEVEL OF EVIDENCE: N/A.
PMID- 27879568
TI - Effect of Immunotherapy Status on Outcomes in Patients With Metastatic Melanoma
to the Spine.
AB - STUDY DESIGN: A retrospective cohort study. OBJECTIVE: We performed a
retrospective study of patients treated at our institution over the last 3 years
to assess predictive value of immunotherapy exposure on overall survival (OS) of
patients with metastatic melanoma to the spine in an effort to better inform
surgical decision making. SUMMARY OF BACKGROUND DATA: Modern management of
metastatic melanoma includes adjuvant targeted therapies and immunomodulators
that have shown to significantly improve OS. METHODS: Baseline characteristics
were recorded for patients, including performance scores, use of adjuvant
therapies, progression-free survival (PFS), and OS. Pre-procedural imaging was
reviewed to assign Spinal Instability Neoplastic Score (SINS) and epidural spinal
cord compression (ESCC) classification. Kaplan-Meier survival analysis was
performed. RESULTS: We identified 18 patients with metastatic melanoma to the
spine treated between 2012 and 2015. Instrumented fixation was performed for
median SINS 12. Eleven patients undergoing surgery were noted to have ESCC
classification of at least 2. Median OS for the entire group was 117 days.
Univariate analysis revealed that prior immunotherapy treatment was associated
with significantly shorter survival following surgery (median survival 98 vs. 315
days for patients previously on immunotherapies compared to those who were not).
Meanwhile, neither BRAF mutation status nor treatments targeting these pathways
were associated with this prognostic effect. CONCLUSION: Progressive metastatic
spine disease following immunotherapy may herald a particularly aggressive time
point and recognizing this natural history is critical for appropriate multimodal
planning. LEVEL OF EVIDENCE: 4.
PMID- 27879570
TI - Bone Turnover Markers as a New Predicting Factor for Nonunion After Spinal Fusion
Surgery.
AB - STUDY DESIGN: Retrospective observational study. OBJECTIVE: We investigated
whether bone turnover markers could be a useful indicator for prediction of
nonunion. SUMMARY OF BACKGROUND DATA: Nonunion is a major complication of lumbar
spinal fusion surgery. The involvement of bone turnover in the process of bony
union in spinal fusion surgery is, however, poorly understood. METHODS: Of the 74
patients analyzed, 13 were diagnosed with nonunion. We evaluated the significance
of the following risk factors: age, sex, number of fused segments, serum levels
of total alkaline phosphatase, procollagen type 1 amino-terminal propeptide
(P1NP), tartrate-resistant acid phosphatase 5b (TRACP-5b), and albumin, and
history of diabetes mellitus, cigarette smoking, or alcohol use. We also defined
the bone turnover ratio (BTR) as a value that equals serum TRACP-5b concentration
divided by serum P1NP concentration to evaluate patients' individual bone
turnover balance and investigated the significance of BTR as a risk factor.
RESULTS: Univariate analysis showed that older age, malnutrition, and lower P1NP
are risk factors for nonunion. Stepwise logistic regression analysis revealed
that in the presence of lower P1NP, higher TRACP-5b becomes a risk factor.
Furthermore, we identified BTR as the most significant risk factor for nonunion.
The optimum cut-off value of BTR by receiver-operating characteristic curve was
11.74. CONCLUSION: These findings show a relation between bone turnover and
nonunion after spinal fusion surgery. The measurement of bone turnover markers
could potentially be used to predict nonunion after spinal fusion surgery. LEVEL
OF EVIDENCE: 4.
PMID- 27879571
TI - Radiographic Predictors for Mechanical Failure After Adult Spinal Deformity
Surgery: A Retrospective Cohort Study in 138 Patients.
AB - STUDY DESIGN: Retrospective cohort study at a single institution. OBJECTIVE: We
aimed at estimating the rate of revision procedures and identify radiographic
predictors of mechanical failure after adult spinal deformity surgery. SUMMARY OF
BACKGROUND DATA: Mechanical failure rates after adult spinal deformity surgery
range 12% to 37% in literature. Although the importance of spinal and spino
pelvic alignment is well documented for surgical outcome and ideal alignment has
been proposed as sagittal vertical axis (SVA) < 5 cm, pelvic tilt < 20 degrees
and lumbar lordosis (LL) = pelvic incidence +/- 9 degrees , the role of
radiographic sagittal spine parameters and alignment targets as predictors for
mechanical failure remains uncertain. METHODS: A consecutive cohort of adult
spinal deformity patients who underwent corrective surgery with at least 5 levels
of instrumentation between January 2008 and December 2012 at a single tertiary
spine unit were followed for at least 2 years. Time to death or failure was
recorded and cause-specific Cox regressions were applied to evaluate predictors
for mechanical failure or death. RESULTS: A total of 138 patients with median age
of 61 years were included for analysis. Follow up ranged 2.1 to 6.8 years. In
total 47% had revision and estimated failure rates were 16% at 1 year increasing
to 56% at 5 years. A multivariate analysis adjusting for age at surgery showed
increased hazard of failure from LL change > 30 degrees , postoperative TK > 50
degrees , and SS <=30 degrees . LL change was mostly because of 3-column
osteotomy and ending the instrumentation at L5 or S1 increased the hazard of
failure more than 6 fold compared with more cranial lumbar levels. CONCLUSION:
Mechanical failure rate was 47% after adult spinal deformity corrective surgery.
LL change > 30 degrees , postoperative TK > 50 degrees , and postoperative SS
<=30 degrees were independent radiographic predictors associated with increased
hazard of failure. LEVEL OF EVIDENCE: 4.
PMID- 27879572
TI - Importance of Distal Fusion Level in Major Thoracolumbar and Lumbar Adolescent
Idiopathic Scoliosis Treated by Rod Derotation and Direct Vertebral Rotation
Following Pedicle Screw Instrumentation.
AB - STUDY DESIGN: A retrospective comparative study. OBJECTIVE: The aim of this study
was to analyze the exact distal fusion level in the treatment of major
thoracolumbar and lumbar (TL/L) adolescent idiopathic scoliosis (AIS) using rod
derotation (RD) and direct vertebral rotation (DVR) following pedicle screw
instrumentation (PSI). SUMMARY OF BACKGROUND DATA: Proper determination of distal
fusion level is a very important factor in deformity correction and preservation
of motion segments in the treatment of major TL/L AIS. METHODS: AIS patients with
major TL/L curves (n = 64) treated by PSI with RD and DVR methods with a minimum
2-year follow-up were divided into AL3 (flexible) and BL3 (rigid) according to
the flexibility and rotation by preoperative bending radiographs. RESULTS: There
was no significant difference in TL/L (major) curve between the AL3 and BL3
groups postoperatively (P = 0.933) and at the last follow-up (P = 0.144). In
addition, there was no significant difference in thoracic (minor) and
compensatory (caudal) curve postoperatively (thoracic curve: P = 0.828,
compensatory curve: P = 0.976); however, there was a significant difference in
compensatory (caudal) curve at the last follow-up (P = 0.041). The overall
prevalence of unsatisfactory results was 28.1% (18/64 patients), and the
prevalence was 15.2% (7/46) in the AL3 group and 61.1% (11/18) in the BL3 group,
which was significantly different (P < 0.05). CONCLUSION: Lowest instrumented
vertebra (LIV) would be selected at L3 (EV) when the curve is flexible; L3
crosses CSVL with a rotation of less than grade II in preoperative bending
radiographs. However, if the curve is rigid, LIV should be extended to L4 (EV +
1) in order to prevent the adding-on phenomenon in the treatment of major TL/L
AIS using RD and DVR following PSI. LEVEL OF EVIDENCE: 4.
PMID- 27879573
TI - Multimodal Analgesia Versus Intravenous Patient-Controlled Analgesia for
Minimally Invasive Transforaminal Lumbar Interbody Fusion Procedures.
AB - STUDY DESIGN: Retrospective analysis. OBJECTIVE: To compare postoperative
narcotic consumption and pain scores between multimodal analgesia (MMA) and
patient-controlled analgesia (PCA) following minimally invasive transforaminal
lumbar interbody fusion (MIS TLIF). SUMMARY OF BACKGROUND DATA: A multimodal
analgesic approach to pain management may lead to decreased pain and narcotic
consumption after orthopedic procedures. Additional evidence is, however,
required to determine how MMA compares to intravenous PCA after MIS TLIF.
METHODS: Patients undergoing 1-level MIS TLIF followed by either MMA or PCA at
our institution were compared in terms of inpatient pain scores, narcotic
consumption, hospital length of stay, rates of surgical complications, rates of
inpatient nausea/vomiting, rates of postoperative urinary retention, and rates of
narcotic consumption during the months after discharge. RESULTS: A total of 139
patients met inclusion criteria. Of these, 39 (28.1%) received MMA and 100
(71.9%) received PCA. Demographic and comorbidity characteristics did not differ
between cohorts. Compared with patients receiving PCA, patients receiving MMA had
a lower rate of inpatient narcotic consumption (2.8 +/- 1.9 vs. 5.3 +/- 4.4 oral
morphine equivalents/hour, P < 0.001), a lower rate of inpatient nausea/vomiting
(20.5% vs. 48.0%; P = 0.003), and a shorter hospital length of stay (53.0 +/-
25.3 vs. 62.6 +/- 24.4 h, P = 0.041). There were no differences in Numeric Rating
Scale pain score between cohorts for day 0, postoperative day 1, or postoperative
day 2 (P > 0.05 for each). There was no difference in the rate of postoperative
urinary retention (P > 0.05). Similarly, there were no differences in narcotic
consumption at 6 or 12 weeks postoperatively (P > 0.05 for each). CONCLUSION:
These findings suggest that MMA results in reduced inpatient hospital narcotic
consumption compared with PCA after MIS TLIF. The decrease in narcotic
consumption may contribute to the observed decrease in the rate of inpatient
nausea/vomiting and shorter hospital length of stay. Importantly, MMA and PCA
resulted in similar analgesia for patients during the inpatient stay. LEVEL OF
EVIDENCE: 4.
PMID- 27879574
TI - Seasonal Variations in the Risk of Reoperation for Surgical Site Infection
Following Elective Spinal Fusion Surgery: A Retrospective Study Using the
Japanese Diagnosis Procedure Combination Database.
AB - STUDY DESIGN: A retrospective study of data abstracted from the Diagnosis
Procedure Combination (DPC) database, a national representative database in
Japan. OBJECTIVE: The aim of this study was to examine seasonal variations in the
risk of reoperation for surgical site infection (SSI) following spinal fusion
surgery. SUMMARY OF BACKGROUND DATA: Although higher rates of infection in the
summer than in other seasons were thought to be caused by increasing inexperience
of new staff, high temperature, and high humidity, no studies have examined
seasonal variations in the risk of SSI following spinal fusion surgery in the
country where medical staff rotation timing is not in summer season. In Japan,
medical staff rotation starts in April. METHODS: We retrospectively extracted the
data of patients who were admitted between July 2010 and March 2013 from the DPC
database. Patients were included if they were aged 20 years or older and
underwent elective spinal fusion surgery. The primary outcome was reoperation for
SSI during hospitalization. We performed multivariate analysis to clarify the
risk factors of primary outcome with adjustment for patient background
characteristics. RESULTS: We identified 47,252 eligible patients (23,659 male,
23,593 female). The mean age of the patients was 65.4 years (range, 20-101 yrs).
Overall, reoperation for SSI occurred in 0.93% of the patients during
hospitalization. The risk of reoperation for SSI was significantly higher in
April (vs. February; odds ratio, 1.93; 95% confidence interval, 1.09-3.43, P =
0.03) as well as other known risk factors. In subgroup analysis with
stratification for type of hospital, month of surgery was identified as an
independent risk factor of reoperation for SSI among cases in an academic
hospital, although there was no seasonal variation among those in a nonacademic
hospital. CONCLUSION: This study showed that month of surgery is a risk factor of
reoperation for SSI following elective spinal fusion surgery, nevertheless, in
the country where medical staff rotation timing is not in summer season. LEVEL OF
EVIDENCE: 3.
PMID- 27879575
TI - Cost-Utility Analysis of Pedicle Screw Removal After Successful Posterior
Instrumented Fusion in Thoracolumbar Burst Fractures.
AB - STUDY DESIGN: A cost-utility analysis (CUA). OBJECTIVE: The aim of this study was
to determine the cost-effectiveness of pedicle screw removal after posterior
fusion in thoracolumbar burst fractures. SUMMARY OF BACKGROUND DATA: Pedicle
screw instrumentation is a standard fixation method for unstable thoracolumbar
burst fracture. However, removal of the pedicle screw after successful fusion
remains controversial because the clinical benefits remain unclear. CUA can help
clinicians make appropriate decisions about optimal health care for pedicle screw
removal after successful fusion in thoracolumbar burst fractures. METHODS: We
conducted a single-center, retrospective, longitudinal matched-cohort study of
prospectively collected outcomes. In total, 88 consecutive patients who had
undergone pedicle screw instrumentation for thoracolumbar burst fracture with
successful fusion confirmed by computed tomography (CT) were used in this study.
In total, 45 patients wanted to undergo implant removal surgery (R group), and 43
decided not to remove the implant (NR group). A CUA was conducted from the health
care perspective. The direct costs of health care were obtained from the medical
bill of each patient. Changes in health-related quality of life (HRQoL) scores,
validated by Short Form 6D, were used to calculate quality-adjusted life-years
(QALYs). Total costs and gained QALY were calculated at 1 year (1 year) and 2
years (2 years) compared with baseline. Results are expressed as an incremental
cost-effectiveness ratio (ICER). Different discount rates (0%, 3%, and 5%) were
applied to both cost and QALY for sensitivity analysis. RESULTS: Baseline patient
variables were similar between the two groups (all P > 0.05). The additional
benefits of implant removal (0.201 QALY at 2 years) were achieved with additional
costs ($2541 at 2 years), equating to an ICER of $12,641/QALY. On the basis of
the different discount rates, the robustness of our study's results was also
determined. CONCLUSION: Implant removal after successful fusion in a
thoracolumbar burst fracture is cost-effective until postoperative year 2. LEVEL
OF EVIDENCE: 3.
PMID- 27879576
TI - Analysis of Sagittal Parameters in Patients Undergoing One- or Two-Level Closing
Wedge Osteotomy for Correcting Thoracolumbar Kyphosis Secondary to Ankylosing
Spondylitis.
AB - STUDY DESIGN: Retrospective analysis of clinical records. OBJECTIVE: To assess
and compare the improvement in sagittal balance after one- or two-level closing
wedge osteotomy for correcting thoracolumbar kyphosis secondary to ankylosing
spondylitis (AS). SUMMARY OF BACKGROUND DATA: Closing wedge osteotomy represents
a common approach to correct kyphosis in AS. Although several reports have
described the outcomes of one- or two-level closing wedge osteotomy in terms of
sagittal parameters, data comparing the outcomes of these procedures are scarce.
METHODS: Between January 2010 and December 2014, 22 patients with AS underwent
closing wedge osteotomy (one-level, 12 patients; two-level, 10 patients) for
correcting thoracolumbar kyphosis (mean follow-up, 24.8 months; range, 12-60
months). Preoperative and postoperative chin-brow vertical angle, and the
sagittal parameters of the vertebral osteotomy segment were documented and
compared. Perioperative and postoperative complications were also recorded.
RESULTS: The chin-brow vertical angle improved significantly, from 55.0 degrees
+/- 27.3 degrees to 4.7 degrees +/- 4.9 degrees and from 38.2 degrees +/-
14.9 degrees to 3.2 degrees +/- 5.4 degrees in the one-level and two-level
groups, respectively. The total correction (thoracic kyphosis and lumbar
lordosis) was 32.8 degrees +/- 18.2 degrees and 53.7 degrees +/- 9.4 degrees
in the one-level and two-level groups, respectively. No death, complete
paralysis, or vascular complications occurred during the procedure, but
cerebrospinal fluid leak was noted in one and two patients from the one-level and
two-level groups, respectively. A distal pedicle screw adjacent to the osteotomy
segment became loose during surgery in one patient (one-level group).
Postoperatively, no transient neurological deficit, infection, delay union, or
loosening or breaking of the internal fixation devices was observed. Osteotomy
site fusion was achieved in all patients, and the Oswestry Disability Index
scores improved significantly. CONCLUSION: Closing wedge osteotomy is effective
and safe for correcting thoracolumbar kyphosis in patients with AS. Significant
correction and improvement in all sagittal parameters were noted in both groups,
but two-level closing wedge osteotomy provided better correction. LEVEL OF
EVIDENCE: 3.
PMID- 27879577
TI - Potential Involvement of the IL-6/JAK/STAT3 Pathway in the Pathogenesis of
Intervertebral Disc Degeneration.
AB - STUDY DESIGN: Laboratory study. OBJECTIVE: To elucidate the potential involvement
of the interleukin-6 (IL-6)/Janus kinase (JAK)/signal transducers and activator
of transcription (STAT3) pathway in the development of intervertebral disc (IVD)
degeneration. SUMMARY OF BACKGROUND DATA: IL-6 plays a crucial role in IVD
degeneration; however, the downstream intracellular signaling of IL-6 in the IVD
is not fully understood. METHODS: The expression levels of IL-6 and suppressors
of cytokine signaling 3 (SOCS3), a target gene of the IL-6/JAK/STAT3 pathway,
were evaluated in rat and human degenerated IVD samples. The effects of IL-6 on
primary rat annulus fibrosus (AF) cells were analyzed using quantitative PCR,
immunocytochemistry, and Western blotting. The potential efficacy of a JAK
inhibitor, CP690,550, in neutralizing the effect of IL-6 was evaluated in vitro.
RESULTS: A high expression of IL-6 and SOCS3 was observed in both rat and human
degenerated IVD samples. In rat AF cells, IL-6 markedly induced the
phosphorylation of STAT3 and the expression of cyclooxygenase-2 and matrix
metalloprotease-13. CP690,550 significantly suppressed the phosphorylation of
STAT3 and offset the catabolic effect of IL-6 in rat AF cells. CONCLUSION: Our
results suggest that the IL-6/JAK/STAT3 pathway is involved in the pathogenesis
of IVD degeneration and that CP690,550 suppresses the catabolic effect of the IL
6 in the IVD. LEVEL OF EVIDENCE: N/A.
PMID- 27879578
TI - Retrospective Analysis of Congenital Scoliosis: Associated Anomalies and Genetic
Diagnoses.
AB - STUDY DESIGN: Retrospective study of a series of 286 patients with congenital
scoliosis (CS). OBJECTIVE: To describe a large cohort of patients with CS and to
propose an algorithm for genetic investigations SUMMARY OF BACKGROUND DATA.: CS
is characterized by a spine curvature due to congenital malformations of the
vertebrae and is frequently associated to other anomalies. The underlying causes
remain unclear in most patients, although we know that genetics plays a role in
the development of vertebral defects. METHODS: Institutional review board
approval was obtained. We performed a retrospective study by consulting the
hospital charts of 286 patients with CS seen at the CHU Sainte-Justine, Montreal,
from 2004 to 2015. We compile information on radiological findings, associated
malformations, and genetic tests. RESULTS: Results showed that 67.1% of patients
had associated anomalies affecting different systems. Only a minority of patients
had a syndromic diagnosis to explain their CS. Nevertheless, array comparative
genomic hybridization performed in a minority of patients showed a high detection
rate (31.3% had a chromosomal anomaly among 32 tested). CONCLUSION: We suggest
that every patient with CS should have thorough investigations to rule out
associated anomalies and that different genetic tests should be offered according
to the associated clinical findings. LEVEL OF EVIDENCE: 4.
PMID- 27879579
TI - Discussion: Do Prolonged Prophylactic Antibiotics Reduce the Incidence of
Surgical-Site Infections in Immediate Prosthetic Breast Reconstruction?
PMID- 27879580
TI - Cohort Study to Assess the Impact of Breast Implants on Breastfeeding.
AB - BACKGROUND: The objective of this study was to evaluate the impact of breast
implant surgery and its approaches on lactation by comparing women with and
without breast implants at the time of childbirth. METHODS: Between April of 2013
and July of 2014, in Rosario (Sanatorio de la Mujer and Centro Quirurgico
Rosario), Argentina, a prospective cohort study of women with and without breast
implants was performed. Of a total of 3950 births that occurred during this
period, 200 patients with similar anthropometric characteristics (maternal and
newborn) were selected. Breastfeeding (exclusive or mixed) was compared with
artificial feeding at 24 and 48 hours and 30 days in both groups, and the type of
incision was also compared. RESULTS: Breastfeeding at 30 days showed a
nonsignificant trend favoring the control group (OR, 7.39; 95 percent CI, 0.92 to
339.2). The percentage of women with implants who succeeded in establishing
breastfeeding (exclusive or mixed) was very high (93 percent). In the control
group, 99 percent of the women were breastfeeding at 30 days. In a comparison of
the submammary and areola incision, breastfeeding showed odds ratios of 0.78 (95
percent CI, 0.33 to 1.87) at 24 hours, 1.10 (95 percent CI, 0.48 to 2.56) at 48
hours, and 0.18 (95 percent CI, 0.36 to 1.82) at 30 days. CONCLUSIONS: This study
shows that most patients with breast implants were able to establish
breastfeeding. However, there is a higher number of women without implants that
established exclusive breastfeeding. No significant difference was found between
the different surgical approaches. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, II.
PMID- 27879581
TI - Matching Procedures at the Time of Immediate Breast Reconstruction: An American
College of Surgeons National Surgical Quality Improvement Program Study of 24,191
Patients.
AB - BACKGROUND: The purpose of this study was to assess for compounded risk of
postoperative morbidity with the addition of a simultaneous contralateral breast
matching procedure at the time of mastectomy and immediate breast reconstruction.
METHODS: 2005 to 2012 American College of Surgeons National Surgical Quality
Improvement Program databases were used to identify cases of mastectomy and
immediate breast reconstruction with and without simultaneous contralateral
breast matching procedures. Matching procedures included mastopexy, reduction
mammaplasty, and augmentation mammaplasty. Thirty-day postoperative morbidity was
assessed using univariable and multivariable logistic regression. RESULTS: Of
59,766 mastectomy patients, 24,191 (40 percent) underwent immediate breast
reconstruction: 903 (3.7 percent) underwent matching procedures and 23,288 (96.3
percent) did not. Univariable logistic regression demonstrated that the matching
procedure group had statistically significantly higher overall morbidity (OR,
1.288; 95 percent CI, 1.022 to 1.623; p = 0.032). Although surgical and systemic
morbidity did not differ significantly, the matching procedure group demonstrated
higher risk for superficial surgical-site infection (OR, 1.57; 95 percent CI,
1.066 to 2.31; p = 0.022), reconstruction failure (OR, 1.69; 95 percent CI, 1.014
to 2.814; p = 0.044), and pulmonary embolism (OR, 2.54; 95 percent CI, 1.01 to
6.37; p = 0.048). Controlling for possible confounders, multivariable logistic
regression rendered the relationship between matching procedure and complications
insignificant (OR, 1.17; 95 percent CI, 0.92 to 1.48; p = 0.2). CONCLUSION: These
data suggest that preoperative comorbidities and other patient-related factors
may have a larger influence on postoperative morbidity than the addition of a
contralateral matching procedure alone. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, III.
PMID- 27879582
TI - Carbon Dioxide-Based versus Saline Tissue Expansion for Breast Reconstruction:
Results of the XPAND Prospective, Randomized Clinical Trial.
AB - BACKGROUND: AeroForm is a new type of remote-controlled, needle-free, carbon
dioxide-based expander involving a potentially faster method of tissue expansion.
Results are presented here from the AirXpanders Patient Activated Controlled
Tissue Expander pivotal trial comparing AeroForm to saline tissue expanders.
METHODS: Women undergoing two-stage breast reconstruction were randomized at 17
U.S. sites in this U.S. Food and Drug Administration-approved investigational
device exemption trial. Expansion in the investigational arm was performed by the
patient in 10-cc increments up to 30 cc/day of carbon dioxide and in the control
arm by the physician with periodic bolus injections of saline. Safety endpoints,
expansion and reconstruction times, pain, and satisfaction were assessed.
RESULTS: One hundred fifty women were treated: 98 with carbon dioxide expanders
(n = 168) and 52 with saline expanders (n = 88). The treatment success rate (all
breasts exchanged successfully excluding non-device-related failures) was 96.1
percent for carbon dioxide and 98.8 percent for saline. Median time to full
expansion and completion of the second-stage operation was 21.0 and 108.5 days
(carbon dioxide) versus 46.0 and 136.5 days (saline), respectively, with a
similar rate of overall complications. Ease of use for the carbon dioxide
expander was rated high by patients (98 percent) and physicians (90 percent).
CONCLUSIONS: The AirXpanders Patient Activated Controlled Tissue Expander trial
results demonstrate that a carbon dioxide-based expander is an effective method
of tissue expansion with a similar overall adverse event rate compared to saline
expanders, and provides a more convenient and expedient expansion. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, I.
PMID- 27879583
TI - Breast Tissue Expanders with Magnetic Ports: Clinical Experience at 1.5 T.
AB - BACKGROUND: The purpose of this study was to evaluate breast tissue expanders
with magnetic ports for safety in patients undergoing abdominal/pelvic magnetic
resonance angiography before autologous breast reconstruction. METHODS: Magnetic
resonance angiography of the abdomen and pelvis at 1.5 T was performed in 71
patients in prone position with tissue expanders with magnetic ports labeled "MR
Unsafe" from July of 2012 to May of 2014. Patients were monitored during magnetic
resonance angiography for tissue expander-related symptoms, and the chest wall
tissue adjacent to the tissue expander was examined for injury at the time of
tissue expander removal for breast reconstruction. Retrospective review of these
patients' clinical records was performed. T2-weighted fast spin echo, steady
state free precession and gadolinium-enhanced spoiled gradient echo sequences
were assessed for image artifacts. RESULTS: No patient had tissue expander or
magnetic port migration during the magnetic resonance examination and none
reported pain during scanning. On tissue expander removal (71 patients, 112
implants), the surgeons reported no evidence of tissue damage, and there were no
operative complications at those sites of breast reconstruction. CONCLUSION:
Magnetic resonance angiography of the abdomen and pelvis in patients with certain
breast tissue expanders containing magnetic ports can be performed safely at 1.5
T for pre-autologous flap breast reconstruction perforator vessel mapping.
CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 27879584
TI - Stacked Thoracodorsal Artery Perforator Flaps for Unilateral Breast
Reconstruction.
AB - The thoracodorsal artery perforator flap is reliable and safe for breast
reconstruction, but stacking bilateral thoracodorsal artery perforator flaps for
unilateral reconstruction to achieve greater volumes has not been reported. To
create a stacked thoracodorsal artery perforator flap, the ipsilateral flap is
transferred as an island, and the contralateral flap is transferred as a
microvascular free flap. In this article, the authors present their 8-year 14-
patient experience with stacked thoracodorsal artery perforator flaps for
unilateral breast reconstruction. Patients' ages ranged from 33 to 72 years
(mean, 52.6 years). Mean follow-up time was 48.1 months (range, 1 to 98 months).
Flaps measured between 22 * 6 cm and 32 * 8 cm and weighed between 110 and 550 g.
Two of the island flaps had steatofibrosis of the distal 3 cm, which was resected
and closed directly. The rest of the island flaps and all 14 free flaps healed
uneventfully. At the time of follow-up, all flaps appeared healthy, and the
reconstructed breast had a similar appearance and volume as the contralateral
side. The donor areas had almost no functional deficit, and the final scar was
aesthetically acceptable, especially when the ascending oblique design was used.
This represents the first description of stacked thoracodorsal artery perforator
flaps for unilateral breast reconstruction. This novel addition to the
reconstructive surgeon's selection of methods is a safe and reliable option for
large-volume unilateral breast reconstruction. It allows for symmetry without
requiring prostheses or reduction of the contralateral side.
PMID- 27879585
TI - Postoperative Edema Resolution following Rhinoplasty: A Three-Dimensional
Morphometric Assessment.
AB - BACKGROUND: The final result of rhinoplasty may be masked for several months
after surgery because of postoperative edema; however, no objective evidence
supports this time estimate. The purpose of this study was to three-dimensionally
quantify the decrease in postsurgical nasal edema following rhinoplasty over the
first postoperative year. METHODS: This was a retrospective, three-dimensional,
morphometric study of primary, open rhinoplasty patients. Subjects with at least
three postoperative three-dimensional images up to 1 year were included. Patients
were excluded for closed or secondary procedures or cleft deformities. Images
were assessed using three-dimensional stereophotogrammetry (Vectra) and
volumetric analysis (Geomagic). Baseline nasal volume (time 0) occurred at the
first postoperative visit at 1 to 2 weeks. All subsequent nasal volume
measurements were calculated as a percentage of baseline values. Data points from
all patients were pooled, and a six-point moving average was used to create an
inverse function line of best fit. RESULTS: Forty patients were included, with
146 three-dimensional photographs quantified. The equation for the inverse
function line of best fit of the six-point moving average was y = 1.484 (1/x) +
0.844 (R = 0.85, p < 0.01). According to this equation, approximately two-thirds
of edema resolves within the first month, 95 percent after 6 months, and 97.5
percent after 1 year. A plateau is reached at 84.4 percent of the original
postoperative volume. CONCLUSIONS: This study provides quantitative evidence to
predict decrement of rhinoplasty edema with time. Three-dimensional morphometric
assessment demonstrated a two-thirds decrease in edema at 1 month, a 95 percent
decrease at 6 months, and a 97.5 percent decrease at 1 year. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 27879586
TI - Separation, Aspiration, and Fat Equalization: SAFE Liposuction Concepts for
Comprehensive Body Contouring.
AB - BACKGROUND: Separation, aspiration, and fatty equilibration (SAFE) liposuction
uses a process approach to body contouring and minimizes injury to surrounding
structures. The multistep process allows for (1) fat separation, (2)
lipoaspiration, and (3) fat equalization. The purpose of this study was to review
both outcomes and complications of primary SAFE liposuction. METHODS:
Retrospective chart review was completed of patients undergoing SAFE liposuction
from January of 2006 to January of 2011. Patient selection was limited to those
undergoing liposuction alone with no adjuvant excisional procedures. Data were
collected regarding demographics, body mass index, operative details, and
outcomes. RESULTS: Seven hundred thirty-four patients were identified as having
undergone SAFE liposuction. One hundred twenty-nine patients were found to have
been treated with liposuction alone. Patient age ranged from 18 to 42 years and
body mass index ranged from 18 to 42 kg/m (mean, 26.3 kg/m). Seven patients (5.4
percent) underwent treatment of the face and neck, six patients (4.7 percent)
underwent treatment of upper extremities, 13 patients (10.1 percent) underwent
treatment of the chest, 20 patients (15.5 percent) underwent treatment of lower
extremities, 32 patients (24.8 percent) underwent treatment of the
circumferential trunk, and 51 patients (39.5 percent) underwent treatment of
circumferential trunk and additional area(s). No major complications occurred.
Five of the 129 patients (3.87 percent) developed the minor complication of
seroma formation. CONCLUSIONS: SAFE liposuction is a multistep process approach
to body contouring consisting of (1) fat separation, (2) lipoaspiration, and (3)
fat equalization. The results of this study show such technique to be safe and
effective. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 27879587
TI - Psychological Outcomes of Labiaplasty: A Prospective Study.
AB - BACKGROUND: Although the demand for labiaplasty has increased rapidly over the
past decade, little is known about the psychological outcomes of this procedure.
In particular, there is a lack of prospective controlled studies to assess the
effects of labiaplasty on women's psychological well-being and intimate
relationship quality. Nor has research investigated whether preoperative patient
characteristics predict satisfaction with surgery. METHODS: The current study
used a prospective controlled design. Participants were 29 adult Australian women
who underwent labia minora reduction and 22 comparison women who did not. Both
groups completed a baseline questionnaire (preoperatively for the labiaplasty
group) and a follow-up questionnaire 6 months later. The questionnaires contained
standardized measures of genital appearance satisfaction, relationship
satisfaction, sexual confidence, psychological distress, self-esteem, and life
satisfaction. RESULTS: Of the 29 women who underwent labiaplasty, the vast
majority reported that they were "moderately" or "extremely" satisfied with the
aesthetic (82.8 percent), functional (86.2 percent), and overall (82.8 percent)
outcomes. For the standardized measures, the only significant change from
baseline to follow-up for the labiaplasty group relative to the comparison group
was a reduction in genital appearance dissatisfaction with large effect size (p <
0.001, d = 3.26). Higher levels of psychological distress (p = 0.001) and having
a romantic partner (p = 0.016) preoperatively were significantly related to lower
satisfaction with surgical outcomes. CONCLUSIONS: Labiaplasty appears to have a
positive effect on women's genital appearance satisfaction, but not their general
psychological well-being or intimate relationship quality. Medical professionals
should be mindful of patients with greater psychological distress, as this may
compromise satisfaction with surgical outcomes. CLINICAL QUESTION/LEVEL OF
EVIDENCE: Therapeutic, II.
PMID- 27879588
TI - Three-Dimensional Facial Averaging: A Tool for Understanding Facial Aging.
AB - The progression of facial shape with aging is the subject of various theories and
assumptions but remains poorly understood. The authors have used the unique
capabilities of the three-dimensional camera to average the faces of large
numbers of subjects to understand this complex process. What the authors present
here is a technique of analysis rather than detailed results.
PMID- 27879589
TI - Abdominal Contouring: Can the American Society of Anesthesiologists
Classification System Help Determine When to Say No?
AB - BACKGROUND: The purpose of this study was to determine whether the American
Society of Anesthesiologists classification system could be used preoperatively
to identify patients at high risk for complications after abdominal contouring.
METHODS: Using the National Surgical Quality Improvement Program database from
2007 to 2012, patients undergoing abdominal contouring procedures were identified
and stratified by American Society of Anesthesiologists class. The primary
outcome was any complication within 30 days. Secondary outcomes included minor
wound, major surgical, and medical complication. Adjusted odds ratios were
calculated using logistic regression. RESULTS: A total of 3637 patients were
analyzed; 14.6 percent of patients were class I, 59.1 percent were class II, 23.4
percent were class III, and 2.9 percent were class IV. Overall complication and
mortality rates were 12 percent and 0.2 percent, respectively. There was a
significant trend of increasing odds of any complication with increasing class
(class I, OR, 1.0; class II, OR, 1.5; class III, OR, 2.5; class IV, OR, 5.6; p
trend < 0.001). This trend was seen consistently for minor wound complications,
medical complications, and major surgical complications (p = 0.007, p = 0.005,
and p = 0.001, respectively). CONCLUSIONS: The American Society of
Anesthesiologists classification system, which is simple and universally
applicable, appears to predict significant complications and can be used to
rapidly screen patients before abdominal contouring. Furthermore, the authors'
results can be used to inform patient-physician discussion about the risks
incurred when undergoing these procedures based on their individual class.
Together with optimization of high-risk patients, patient selection using
American Society of Anesthesiologists classification may prevent complications
and improve outcomes. CLINICAL QUESTION/LEVEL OF EVIDENCE: Risk, II.
PMID- 27879591
TI - Comparison of Endothelial Differentiation Capacities of Human and Rat Adipose
Derived Stem Cells.
AB - BACKGROUND: The authors compared the endothelial differentiation capacities of
human and rat adipose-derived stem cells to determine whether human adipose
derived stem cells can be a source of endothelial cells clinically. METHODS:
Human and rat adipose-derived stem cells were harvested and characterized with
flow cytometry and trilineage differentiation. Cells from passages III through V
were fed with endothelial cell differentiation medium for up to 3 weeks. Cells
were harvested after 1, 2, and 3 weeks, and endothelial differentiation was
evaluated with quantitative reverse-transcriptase polymerase chain reaction, flow
cytometry, and angiogenic sprouting assays. RESULTS: Both human and rat adipose
derived stem cells were CD90, CD44, and CD31 before differentiation. The cells
were successfully differentiated into adipogenic, osteogenic, and chondrogenic
lineages. Expression of endothelial cell-specific genes peaked at the second week
of differentiation in both human and rat cells. The fold changes in expression of
CD31, vascular endothelial growth factor receptor-1, nitric oxide synthase, and
von Willebrand factor genes at week 2 were 0.4 +/- 0.1, 34.7 +/- 0.3, 2.03 +/-
0.25, and 12.5 +/- 0.3 respectively, in human adipose-derived stem cells; and 1.5
+/- 1.01, 21.6 +/- 1.7, 17.9 +/- 0.6, and 11.2 +/- 1.3, respectively, in rat
cells. The percentages of CD31 cells were 0.2, 0.64, and 1.6 in human cell
populations and 0.5, 5.91, and 11.5 in rat cell populations at weeks 1, 2, and 3,
respectively. Rat adipose-derived stem cell-derived endothelial cells displayed
enhanced sprouting capability compared with the human cells. CONCLUSIONS: Human
adipose-derived stem cells responded less strongly to EGM-2MV endothelial
differentiation medium than did the rat cells. Still, the human cells have the
potential to become a clinical source of endothelial cells with modifications in
the differentiation conditions.
PMID- 27879590
TI - Intravenous Hyaluronidase with Urokinase as Treatment for Rabbit Retinal Artery
Hyaluronic Acid Embolism.
AB - BACKGROUND: Although various salvage methods have been proposed to treat
intraretinal artery hyaluronic acid embolism, their applications are still
limited by various factors. The authors investigated the effectiveness of
intravenous hyaluronidase with urokinase for resolving retinal artery hyaluronic
acid embolism. METHODS: The anatomy of rabbit ophthalmic and fundus arteries
(retinal and choroid artery) was studied. Approximately 0.35 ml of hyaluronic
acid was injected into the ophthalmic artery to create a retinal artery embolism
model. The rabbits were grouped randomly (groups A, B, C, D, E, and F) and given
hyaluronidase with urokinase intravenously at different postobstruction time
points (10, 20, 30, 40, 50, and 60 minutes). Saline was given to the control
group. Fundus vascular (retinal and choroid artery) reperfusion status and the
effectiveness of the solution on the obstruction of each group were observed for
5 days. RESULTS: The animal model closely imitated actual hyaluronic acid
ophthalmic/retinal artery obstructions. Three vascular conditions were observed
after hyaluronidase with urokinase injection: total, partial, and no reperfusion.
Groups A, B, and C showed a significantly higher overall solution effectiveness
rate (total/partial reperfusion) compared with the control group (p = 0.001, p =
0.001, and p = 0.005, respectively). Solution effectiveness in groups D, E, and F
showed no difference compared with the control group (p = 0.628, p = 1.000, and p
= 1.000, respectively). The effectiveness of the solution drops dramatically if
given after 30 minutes of obstruction. CONCLUSIONS: The authors' method can
indeed help resolve retinal artery hyaluronic acid obstruction. Intravenous
hyaluronidase with urokinase technique shows possible potential to become a
standardized treatment protocol for intraretinal artery hyaluronic acid embolism
with further clinical tests.
PMID- 27879592
TI - Reinnervated Split-Muscle Technique for Creating Additional Myoelectric Sites in
an Animal Model.
AB - BACKGROUND: This study proposes a novel reinnervated split-muscle operation to
create additional myoelectric sites as sources of command signals of myoelectric
prostheses for enhanced dexterous hand-to-wrist motions. The aim of this study
was to investigate the postprocedure electromyographic properties of the muscles
as distinct myoelectric sites in a rat model. METHODS: The reinnervated split
muscle group (n = 6) had the gastrocnemius muscle separated along its
longitudinal axis and nerves transferred to each new muscle (peroneal nerve to
lateral muscle head and tibial to medial one); the non-split-muscle group (n = 6)
only had nerve transfers with its muscle intact. Functional testing was conducted
after 10 weeks. The main parameter is the difference in mean electromyographic
amplitude between the new muscles, with greater values indicating better
separability. RESULTS: After the reinnervated split-muscle procedure, there is a
significant increase of the average ratio between two muscles compared with the
control group, from 0.44 (range, 0.02 to 0.86) to 0.77 (range, 0.35 to 0.98) (p =
0.011). In addition, compared with the non-split muscle group, nerve transfer in
the split-muscle group is more successful in reaching its intended target muscle.
CONCLUSION: A reinnervated split-muscle procedure could be beneficial for
acquiring a more precise and discrete command signal in upper limb amputees, thus
enabling the creation of more dexterous prosthetic arm.
PMID- 27879593
TI - Triptolide Reduces the Required Dose of Tacrolimus by Attenuating Inflammation,
Enhancing Immunosuppression, and Increasing Donor Chimerism in a Heterotopic
Hindlimb Transplantation Model.
AB - BACKGROUND: Induction of tolerance and minimizing the toxicity of
immunosuppression are two fundamental goals in vascularized composite
allotransplantation. Accumulating data indicate that triptolide is an agent that
may have the capacity to suppress inflammation and immunologic rejection.
METHODS: A heterotopic hindlimb allotransplantation model from Brown Norway to
Lewis rats was established and treated with different doses of tacrolimus
combined with or without triptolide. Mean survival time of the transplants was
monitored, and histopathologic examination of the skin was performed. The level
of inflammatory cytokine interleukin-1beta, interleukin-6, and tumor necrosis
factor-a in peripheral blood was assayed. The percentage of T lymphocytes and its
subsets was measured using flow cytometry. The level of recipient peripheral
chimerism and the apoptosis of donor bone marrow cells were evaluated. The
apoptotic related genes bcl-2 and Bax were detected by real-time polymerase chain
reaction. RESULTS: The authors' results showed that triptolide not only reduces
the dose of tacrolimus required for immunosuppression, but also decreased drug
side effects in terms of weight gain and diarrhea. Triptolide had an obvious
effect on proinflammatory cytokine expression and T-lymphocyte proliferation in
the peripheral blood. Interestingly, triptolide could increase the mixed
chimerism level of recipients, possibly by inhibiting the apoptosis of
transplanted bone marrow cells by means of regulation of the apoptotic genes bcl
2 and Bax. CONCLUSIONS: Triptolide reduces the dose of tacrolimus required for
immunosuppression by attenuating inflammation and by T-cell suppression.
Furthermore, triptolide increases the chimerism level, which might contribute to
acceptance of the allografts.
PMID- 27879594
TI - Comparison of Ulnar Intrinsic Function following Supercharge End-to-Side Anterior
Interosseous-to-Ulnar Motor Nerve Transfer: A Matched Cohort Study of Proximal
Ulnar Nerve Injury Patients.
AB - BACKGROUND: There are few studies supporting supercharge end-to-side nerve
transfer for high ulnar nerve injury. The current study hypothesized that
patients with a supercharge end-to-side anterior interosseous nerve-to-ulnar
motor nerve transfer would demonstrate superior return of intrinsic function
compared with conventional treatment only. METHODS: A retrospective matched
cohort study matched supercharge end-to-side transfer patients based on age,
level of injury, and mechanism to ulnar nerve injury patients who did not have
the transfer. The primary outcome was return of ulnar intrinsic function, based
on clinical examination and/or electromyography. Dichotomous and continuous
variables were compared with Fisher's exact and t tests, respectively. RESULTS:
Thirteen supercharge end-to-side patients were identified (>1 year follow-up).
The average age of both cohorts was 35 years. Ulnar nerve transection above the
proximal third of the forearm represented 54 percent of patients; compressive
injuries represented 46 percent. Eleven supercharge end-to-side transfer patients
(84 percent) demonstrated intrinsic function recovery compared with five (38
percent) non-supercharge end-to-side patients (p < 0.05). Average time to initial
motor recovery was similar between the two groups (supercharge end-to-side, 2.9
months; non-supercharge end-to-side, 3.8 months; p > 0.2). Intrinsic function
return was more likely following nerve transection with the supercharge end-to
side procedure (85 percent versus 14 percent; p = 0.03), whereas compression
injuries had comparable intrinsic function return regardless of the supercharge
end-to-side transfer (67 percent versus 67 percent; p = 1.0). CONCLUSIONS: This
matched cohort study demonstrates that the supercharge end-to-side transfer
results in increased ulnar intrinsic reinnervation following ulnar nerve injury.
This finding may be most relevant following transection injuries. Improved
outcomes associated with supercharge end-to-side and ulnar nerve repair warrant
further investigation. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 27879595
TI - The Effect of Written Information on Recall of Surgical Risks of Carpal Tunnel
Release Surgery: A Randomized Controlled Study.
AB - BACKGROUND: Written information has been thought to help patients recall surgical
risks discussed during the informed consent process, but has not been assessed
for carpal tunnel release, a procedure with the rare but serious risk of complex
regional pain syndrome. The authors' objective was to determine whether providing
a pamphlet would improve patients' ability to remember the risks of surgery.
METHODS: Sixty patients seen for carpal tunnel release were included in this
prospective, single-blind, randomized study. Patients received either a written
pamphlet of the risks of surgery or no additional information following a
standardized consultation. Two weeks after the initial consultation, patients
were contacted to assess their risk recall and whether they had read about the
operation from any source. RESULTS: There was no difference in terms of the
number of risks recalled between pamphlet (1.33 +/- 1.21) or control groups (1.45
+/- 1.22; p = 0.73). Recall of infection was better in the pamphlet group (p <
0.05). No patients remembered complex regional pain syndrome. There was no
difference in the proportion of people who read additional information about
carpal tunnel release surgery between the pamphlet (34.8 percent) and control
groups (21.4 percent; p = 0.39), but reading about carpal tunnel release surgery
was associated with improved recall (2.45 +/- 1.13 versus 0.77 +/- 0.91; p <
0.01). CONCLUSIONS: Reading about surgery improved risk recall, but providing
this information in the form of a pamphlet did not, nor did it affect patients'
ability to recall the risk of complex regional pain syndrome. These results
demonstrate that surgeons should implement additional measures to improve
comprehension of surgical risks. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, I.
PMID- 27879596
TI - Sleep Architecture Linked to Airway Obstruction and Intracranial Hypertension in
Children with Syndromic Craniosynostosis.
AB - BACKGROUND: Children with syndromic craniosynostosis often have obstructive sleep
apnea and intracranial hypertension. The authors aimed to evaluate (1) sleep
architecture, and determine whether this is influenced by the presence of
obstructive sleep apnea and/or intracranial hypertension; and (2) the effect of
treatment on sleep architecture. METHODS: This study included patients with
syndromic craniosynostosis treated at a national referral center, undergoing
screening for obstructive sleep apnea and intracranial hypertension. Obstructive
sleep apnea was identified by polysomnography, and categorized into no, mild,
moderate, or severe. Intracranial hypertension was identified by the presence of
papilledema on funduscopy, supplemented by optical coherence tomography and/or
intracranial pressure monitoring. Regarding sleep architecture, sleep was divided
into rapid eye movement or non-rapid eye movement sleep; respiratory effort
related arousals and sleep efficiency were scored. RESULTS: The authors included
39 patients (median age, 5.9 years): 19 with neither obstructive sleep apnea nor
intracranial hypertension, 11 with obstructive sleep apnea (four
moderate/severe), six with intracranial hypertension, and three with obstructive
sleep apnea and intracranial hypertension. Patients with syndromic
craniosynostosis, independent of the presence of mild obstructive sleep apnea
and/or intracranial hypertension, have normal sleep architecture compared with
age-matched controls. Patients with moderate/severe obstructive sleep apnea have
a higher respiratory effort-related arousal index (p < 0.01), lower sleep
efficiency (p = 0.01), and less rapid eye movement sleep (p = 0.04). An
improvement in sleep architecture was observed following monobloc surgery (n = 5;
rapid eye movement sleep, 5.3 percent; p = 0.04). CONCLUSIONS: Children with
syndromic craniosynostosis have in principle normal sleep architecture. However,
moderate/severe obstructive sleep apnea does lead to disturbed sleep
architecture, which fits within a framework of a unifying theory for obstructive
sleep apnea, intracranial hypertension, and sleep. CLINICAL QUESTION/LEVEL OF
EVIDENCE: Risk, II.
PMID- 27879597
TI - Discussion: Sleep Architecture Linked to Airway Obstruction and Intracranial
Hypertension in Children with Syndromic Craniosynostosis.
PMID- 27879598
TI - Alveolar Bone Grafting and Cleft Lip and Palate: A Review.
AB - Alveolar bone grafting in the mixed dentition stage is an accepted step in the
management of cleft alveoli, providing maxillary arch support for dentition and
mastication. Points of contention regarding best practices remain, including
specific timing, perioperative orthodontic management, bone harvest and
substitutes, pain management, and outcomes evaluations. SCOPUS and MEDLINE were
searched for articles about alveolar bone grafting, which were read independently
by two authors and selected for inclusion on the basis of relevance and merit.
Articles on which recommendations were based were rated using the methodological
index for non-randomized studies criteria. Three hundred thirty-three distinct
articles were found, of which 64 were found to be suitable and relevant for
inclusion. The average methodological index for non-randomized studies score was
10.39, with an interrater weighted kappa of 0.7301. Prospective comparative
studies about alveolar bone grafting are rare, but available evidence suggests
grafting before canine eruption with targeted preoperative orthopedic
interventions, the continued use of iliac crest corticocancellous autologous
graft, and adjunctive pain control methods. Greater consensus must be reached
about valuable outcome measures for research, including use of imaging and
indications for regrafting following graft failure.
PMID- 27879599
TI - Total Human Eye Allotransplantation: Developing Surgical Protocols for Donor and
Recipient Procedures.
AB - BACKGROUND: Vascularized composite allotransplantation of the eye is an
appealing, novel method for reconstruction of the nonfunctioning eye. The
authors' group has established the first orthotopic model for eye transplantation
in the rat. With advancements in immunomodulation strategies together with new
therapies in neuroregeneration, parallel development of human surgical protocols
is vital for ensuring momentum toward eye transplantation in actual patients.
METHODS: Cadaveric donor tissue harvest (n = 8) was performed with orbital
exenteration, combined open craniotomy, and endonasal approach to ligate the
ophthalmic artery with a cuff of paraclival internal carotid artery, for
transection of the optic nerve at the optic chiasm and transection of cranial
nerves III to VI and the superior ophthalmic vein at the cavernous sinus.
Candidate recipient vessels (superficial temporal/internal maxillary/facial
artery and superficial temporal/facial vein) were exposed. Vein grafts were
required for all anastomoses. Donor tissue was secured in recipient orbits
followed by sequential venous and arterial anastomoses and nerve coaptation.
Pedicle lengths and calibers were measured. All steps were timed, photographed,
video recorded, and critically analyzed after each operative session. RESULTS:
The technical feasibility of cadaveric donor procurement and transplantation to
cadaveric recipient was established. Mean measurements included optic nerve
length (39 mm) and caliber (5 mm), donor artery length (33 mm) and caliber (3
mm), and superior ophthalmic vein length (15 mm) and caliber (0.5 mm). Recipient
superficial temporal, internal maxillary artery, and facial artery calibers were
0.8, 2, and 2 mm, respectively; and superior temporal and facial vein calibers
were 0.8 and 2.5 mm, respectively. CONCLUSION: This surgical protocol serves as a
benchmark for optimization of technique, large-animal model development, and
ultimately potentiating the possibility of vision restoration transplantation
surgery. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, V.
PMID- 27879600
TI - Discussion: Total Human Eye Allotransplantation: Developing Surgical Protocols
for Donor and Recipient Procedures.
PMID- 27879601
TI - Discussion: Variations in Frontonasal Flap Design for Single-Stage Reconstruction
of the Nasal Tip.
PMID- 27879602
TI - Health-Related Quality of Life following Reconstruction for Common Head and Neck
Surgical Defects.
AB - BACKGROUND: Improved understanding and management of health-related quality of
life represents one of the greatest unmet needs for patients with head and neck
malignancies. The purpose of this study was to prospectively measure health
related quality of life associated with different anatomical (head and neck)
surgical resections. METHODS: A prospective analysis of health-related quality of
life was performed in patients undergoing surgical resection with flap
reconstruction for stage II or III head and neck malignancies. Patients completed
the European Organization for Research and Treatment of Cancer Core Quality-of
Life Questionnaire-30 and the European Organization for Research and Treatment of
Cancer Head and Neck Cancer Module-35 preoperatively, and at set postoperative
time points. Scores were compared with a paired t test. RESULTS: Seventy-five
patients were analyzed. The proportion of the cohort not alive at 2 years was 53
percent. Physical, role, and social functioning scores at 3 months were
significantly lower than preoperative values (p < 0.05). At 12 months
postoperatively, none of the function or global quality-of-life scores differed
from preoperative levels, whereas five of the symptom scales remained below
baseline. At 1 year postoperatively, maxillectomy, partial glossectomy, and oral
lining defects had better function and fewer symptoms than mandibulectomy,
laryngectomy, and total glossectomy. From 6 to 12 months postoperatively, partial
glossectomy and oral lining defects had greater global quality of life than
laryngectomies (p < 0.05). CONCLUSIONS: Postoperative health-related quality of
life is associated with the anatomical location of the head and neck surgical
resection. Preoperative teaching should be targeted for common ablative defects,
with postoperative expectations adjusted appropriately. Because surgery
negatively impacts health-related quality of life in the immediate postoperative
period, the limited survivorship should be reviewed with patients. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 27879603
TI - Discussion: Evaluation of the Upper Limb Lymphatic System: A Prospective
Lymphoscintigraphic Study in Melanoma Patients and Healthy Controls.
PMID- 27879604
TI - Effects of Incisional Negative-Pressure Wound Therapy on Primary Closed Defects
after Superficial Circumflex Iliac Artery Perforator Flap Harvest: Randomized
Controlled Study.
AB - BACKGROUND: Prolonged hematoma or seroma after primary closure is a causative
element in wound complications. This study evaluated the effects of negative
pressure wound therapy on primary closed wounds after superficial circumflex
iliac artery perforator flap harvest. METHODS: This study was a prospective,
randomized, clinical trial comparing conventional dressing against a single
application of negative-pressure wound therapy for 5 days after primary closure.
A total of 100 patients who had superficial circumflex iliac artery perforator
flap harvest were enrolled. RESULTS: There was no statistical difference between
the incisional negative-pressure wound therapy and conventional dressing groups
in the distribution of risk factors. Significant findings were noted for duration
and amount of closed suction drainage: 6.12 +/- 4.99 days (median, 4 days; range,
3 to 8 days) and 100.47 +/- 140.69 cc (median, 42 cc) for wounds treated with
conventional dressing versus 3.34 +/- 1.35 days (median, 3 days; range, 2 to 4
days) and 23.28 +/-18.36 cc (median, 20 cc) for wounds in treatment group (p =
0.0077 and p = 0.0004), respectively. After closure, an increase in skin
perfusion were noted on day 5 in the treatment group (p = 0.0223). There was one
case of wound dehiscence in the conventional dressing group. CONCLUSION: The
incisional negative-pressure wound therapy has a positive effect over primary
closed surgical defects by significantly reducing the amount of fluid collected
by closed suction drains, allowing earlier removal of drains and enhancing the
skin perfusion on the repaired skin. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, II.
PMID- 27879605
TI - Discussion: Effects of Incisional Negative-Pressure Wound Therapy on Primary
Closed Defects after Superficial Circumflex Iliac Artery Perforator Flap Harvest:
Randomized Controlled Study.
PMID- 27879606
TI - Improving Outcomes in Tendon Repair: A Critical Look at the Evidence for Flexor
Tendon Repair and Rehabilitation.
AB - LEARNING OBJECTIVES: After reading this article, the participant should be able
to: 1. Appreciate the variation and evolution of flexor tendon management 2. Know
how to assess the patient who presents with a flexor tendon laceration. 3.
Understand the biology of repairing flexor tendon lacerations. 4. Appreciate the
technical challenges in flexor tendon repair relating to different zones. 5.
Understand the rationale of postoperative hand therapy. 6. Have an overview of
the types of secondary tendon surgery. BACKGROUND: Flexor tendon injury
constitutes a considerable trauma workload for hand surgeons, and a vast amount
of research is dedicated toward improving outcomes in tendon repair. This
Continuing Medical Education article aims to provide an up-to-date evidence-based
outline of flexor tendon surgery in the hand. METHODS: The authors reviewed the
literature on flexor tendon repairs to include a balanced overview of the
experimental and clinical research. For each section, the best levels of evidence
were assessed in the context of past research to provide a comprehensive opinion
on best management. RESULTS: The review highlights current trends in flexor
tendon surgery, clinical assessment, anesthetic technique, surgical approach,
repair technique, and rehabilitation. Carefully selected illustrations, figures,
tables, and video have been used to supplement the findings of the review.
CONCLUSIONS: Early active mobilization remains the only long-term proven strategy
to improve outcomes. Incorporating intraoperative mobilization using "wide-awake"
surgery could emerge to further improve tendon outcomes. Good surgical approach,
meticulous surgery, up-to-date physiotherapy regimens, and patient education
remain the cornerstone of obtaining best outcomes.
PMID- 27879607
TI - #PlasticSurgery.
AB - BACKGROUND: Social media use is growing inexorably, and there is public appetite
for evidence-based information. Little is known about engagement by plastic
surgeons with social media. The aim of this study was to examine posting about
plastic surgery on Twitter, to best inform how board-certified plastic surgeons
could use the hashtag #PlasticSurgery as a tool to educate patients and the
public. METHODS: A prospective analysis of 2880 "tweets" containing the words
"plastic surgery" was performed. The following were assessed: identity of author,
use of the hashtag #PlasticSurgery, subject matter, whether link to study was
provided, and whether posts by surgeons were self-promotional or educational.
RESULTS: Social media posting about plastic surgery is dominated by the public,
accounting for 70.6 percent of posts versus only 6.0 percent by plastic surgeons.
Only 5.4 percent of all tweets contained the hashtag #PlasticSurgery, although
almost half of those that did were by plastic surgeons. Of these, 61.3 percent of
posts by plastic surgeons were about aesthetic surgery; additional posts were
about basic science, patient safety, and reconstruction (13.9, 4.0, and 2.3
percent, respectively). Eighteen scientific articles were referenced, with a link
to the Journal site posted in two tweets. Of posts by plastic surgeons, 37.0
percent were self-promotional. CONCLUSIONS: The American Society of Plastic
Surgeons and its Journal have recognized that social media may be used to educate
and engage. Board-certified plastic surgeons have a great opportunity to promote
evidence-based plastic practice by means of #PlasticSurgery in the interests of
supporting patients and the profession.
PMID- 27879608
TI - E-Cigarettes and Potential Implications for Plastic Surgery.
AB - The use of tobacco-based products, most notably cigarettes, is related directly
to wound healing problems and poorer outcomes in plastic surgery. Current
abstracts have highlighted the potential complications from nicotine,
specifically following plastic surgery in patients who choose to smoke. Recently,
products that use electricity to vaporize liquid nitrogen have been gaining
popularity. New rules were recently proposed that would give the federal
government authority over electronic cigarettes. However, the health-related
issues surrounding e-cigarettes are still largely unknown or misunderstood. These
issues also extend to their impact on surgical procedures, notably their effect
on plastic surgical procedures that rely heavily on the vascularity of either the
host wound bed or the replacement tissue.
PMID- 27879609
TI - Medical Accreditation in the United States: What For?
PMID- 27879612
TI - Limited Panniculectomy for Adult Buried Penis Repair.
PMID- 27879613
TI - Reply: Limited Panniculectomy for Adult Buried Penis Repair.
PMID- 27879614
TI - Getting a better look: Outcomes of laparoscopic versus transdiaphragmatic
pericardial window for penetrating thoracoabdominal trauma at a Level I trauma
center.
AB - BACKGROUND: In penetrating thoracoabdominal trauma, it is necessary to evaluate
both the pericardial fluid and the diaphragm directly. Transdiaphragmatic
pericardial windows (TDWs) provide direct access to the pericardium and diaphragm
but expose the patient to the risks of laparotomy. We hypothesize that
transabdominal laparoscopic pericardial windows (LPWs) are a safe and effective
alternative to TDWs in stable patients. METHODS: This is a retrospective
observational study of stable patients with thoracoabdominal penetrating trauma
at a level I trauma center between January 2007 and June 2015, comparing outcomes
after TDW versus LPW. RESULTS: A total of 99 patients with penetrating trauma had
a diagnostic pericardial window, 33 of which were laparoscopic. Stab wounds were
most common (80, 80.8%) compared with gunshot wounds (19, 19.2%). Of 11 patients
who had a positive pericardial window, 10 (90.9%) were associated with a cardiac
injury. There was no difference in the ratio of positive pericardial windows for
patients who had TDW versus LPW (8/66, 12.1% vs. 3/33, 9.1%; p = 0.651). One
patient had a complication related to a negative pericardial window in the
laparoscopic group. There was no difference in complication rates between TDW and
LPW (p = 0.155). Mean length of stay was longer in TDW compared with LPW (12 vs.
5 days, p = 0.046). One patient died during index admission in the TDW group, but
there was no difference in mortality rates between TDW and LPW during the index
admission (p = 0.477). Median length of follow-up was 29 days (range, 0-2,709).
On long-term follow-up, there was also no difference in mortality rates between
TDW and LPW (2/66, 3.0% vs. 2/33, 6.1%; p = 0.470). CONCLUSION: In
hemodynamically stable patients with thoracoabdominal injuries, LPW is a safe and
effective technique in evaluating both pericardial fluid and the diaphragm. LPW
is a viable alternative to exploratory laparotomy and TDWs. LEVEL OF EVIDENCE:
Therapeutic study, level IV.
PMID- 27879618
TI - The Value of Detecting Asymptomatic Signs of Myocardial Ischemia in Patients With
Coronary Artery Disease in Outpatient Cardiac Rehabilitation.
AB - BACKGROUND: Electrocardiographic (ECG) monitored outpatient cardiac
rehabilitation (OP-CR) is routinely performed following a variety of
cardiovascular procedures and conditions. OBJECTIVE: The aim of this study is to
determine if diagnostic-quality ECG monitoring in patients with coronary artery
disease (CAD) during OP-CR is useful in identifying asymptomatic myocardial
ischemia, resulting in change(s) in care or medical management. METHODS: A
retrospective analysis of ECG monitoring was done on all OP-CR patients diagnosed
with CAD (n = 1213) from January 2000 through June 2013. RESULTS: Nearly a
quarter of the patients (24%; n = 288) displayed at least 1 mm of asymptomatic ST
segment depression at 80 milliseconds after the J-point during at least 1 session
of OP-CR. Of these patients, 57% had medical management change(s) compared with
24% for those who did not show ECG changes suggesting ischemia (P < .0001). In
patients with asymptomatic ischemia having medical management change(s), 84%
resulted directly from OP-CR staff detection. Fewer patients diagnosed with
myocardial infarction and coronary artery bypass graft surgery demonstrated ECG
signs of ischemia, whereas more patients diagnosed with stable angina and
percutaneous coronary interventions demonstrated ECG signs of ischemia.
CONCLUSION: This study demonstrates that most patients with CAD showing
asymptomatic ECG signs suggesting ischemia undergo medical management change(s)
as a result of the finding. Diagnostic-quality ECG monitoring during OP-CR
appears warranted in this population.
PMID- 27879619
TI - Bloody Epiphora (Hemolacria) Years After Repair of Orbital Floor Fracture.
AB - Surgical repair of orbital fractures with implants is a widely used treatment
modality. While a variety of established complications are associated with this
technique, most are directly understood and treated. Bloody epiphora is a finding
with potentially ominous causes. The authors present a unique case of bloody
epiphora, accompanied by orbital hemorrhage arising several years after orbital
floor fracture repair, due to erosion of the nasolacrimal drainage system by a
displaced implant combined with anticoagulation. The collection and evaluation of
all protected patient health information was compliant with the regulations and
conditions set forth in the Health Insurance Portability and Availability Act of
1996.
PMID- 27879620
TI - Recurrent Orbital Inflammation Mimicking Orbital Cellulitis Associated With
Orbitopalpebral Venous Lymphatic Malformation.
AB - An 8-year-old boy initially presented with an orbitopalpebral mass diagnosed
clinically and radiologically as a low-flow diffuse venous lymphatic malformation
involving the left upper eyelid and orbit. Over 8 months of follow up, he had 2
acute episodes of severe orbital inflammation that warranted hospitalization and
treatment with intravenous antibiotic, steroids and surgical debulking. After a
third surgical excision, the lesion remained clinically stable.
PMID- 27879621
TI - Online Ratings of ASOPRS Surgeons: What Do Your Patients Really Think of You?
AB - PURPOSE: To characterize patient evaluations of American Society of Ophthalmic
Plastic and Reconstructive Surgery (ASOPRS) surgeons on a popular online
physician rating website in an effort to determine which factors play a role in
determining the likelihood of a patient recommending an ASOPRS surgeon to family
and friends. METHODS: After obtaining approval and access from Healthgrades.com,
the website database was searched for 612 U.S.-based ASOPRS members using their
name as published on http://www.asoprs.org/ as of May 2015. For each surgeon, the
total number of ratings and average ratings were recorded under each category.
The evaluator recommendation, defined as the response to the questions of
"likelihood of recommending Dr. X to family and friends," constituted the main
outcome measure. Variables from each surgeon were compared using unpaired t
tests, with statistical significance set at p < 0.05. Correlations were analyzed
using Spearman correlation (rs), with coefficients of greater than or equal to
0.40 or less than or equal to -0.40 considered significant. RESULTS: Five-hundred
nineteen members (85%) had at least 1 rating while 222 members (36%) had 10 or
more ratings. The mean number of ratings for all rated members was 11.4 (range, 1
77; standard deviation [SD] = 11.1) and mean evaluator recommendation score was
4.16 (range, 1-5; SD = 0.79). There was a strong negative correlation between
total wait time and evaluator recommendation score (rs = -0.409, p < 0.001). The
average number of ratings and rating scores for all categories were not
significantly different when comparing male with female members. University
employed members had significantly fewer ratings (8.46; range, 1-52; SD = 9.3)
compared with other members (11.9; range, 1-77; SD = 11.3) (p < 0.016). There
were no differences in any other rating score when comparing those university
employed members with other members. CONCLUSION: Online patient-reported
evaluations of ASOPRS surgeons appear high in many categories. Long wait times
correlate strongly with lower recommendation scores. Further study is required to
determine how online patient reviews correlate to objective outcome measures, and
how these reviews affect surgeon selection by patients.
PMID- 27879622
TI - Ultrasound-Assisted Extraction, Centrifugation and Ultrafiltration: Multistage
Process for Polyphenol Recovery from Purple Sweet Potatoes.
AB - This work provides an evaluation of an ultrasound-assisted, combined extraction,
centrifugation and ultrafiltration process for the optimal recovery of
polyphenols. A purple sweet potato (PSP) extract has been obtained using
ultrasonic circulating extraction equipment at a power of 840 W, a frequency of
59 kHz and using water as solvent. Extract ultrafiltration, using
polyethersulfone (PES), was carried out for the recovery of polyphenol, protein
and anthocyanin. Pre-treatment, via the centrifugation of purple sweet potato
extract at 2500 rpm over 6 min, led to better polyphenol recovery, with
satisfactory protein removal (reused for future purposes), than PSP extract
filtration without centrifugation. Results showed that anthocyanin was
efficiently recovered (99%) from permeate. The exponential model fit well with
the experimental ultrafiltration data and led to the calculation of the
membrane's fouling coefficient. The optimization of centrifugation conditions
showed that, at a centrifugation speed of 4000 rpm (1195* g) and duration of 7.74
min, the optimized polyphenol recovery and fouling coefficient were 34.5% and
29.5 m-1, respectively. The removal of proteins in the centrifugation process
means that most of the anthocyanin content (90%) remained after filtration. No
significant differences in the intensities of the HPLC-DAD-ESI-MS2 peaks were
found in the samples taken before and after centrifugation for the main
anthocyanins; peonidin-3-feruloylsophoroside-5-glucoside, peonidin-3-caffeoyl-p
hydroxybenzoylsophoroside-5-glucoside, and peonidin-3-caffeoyl-feruloyl
sophoroside-5-glucoside. This proves that centrifugation is an efficient method
for protein removal without anthocyanin loss. This study considers this process
an ultrasound-assisted extraction-centrifugation-ultrafiltration for purple sweet
potato valorization in "green" technology.
PMID- 27879623
TI - Dynamic Duos? Jamaican Fruit Bats (Artibeus jamaicensis) Do Not Show Prosocial
Behavior in a Release Paradigm.
AB - Once thought to be uniquely human, prosocial behavior has been observed in a
number of species, including vampire bats that engage in costly food-sharing.
Another social chiropteran, Jamaican fruit bats (Artibeus jamaicensis), have been
observed to engage in cooperative mate guarding, and thus might be expected to
display prosocial behavior as well. However, frugivory and hematophagy diets may
impose different selection pressures on prosocial preferences, given that
prosocial preferences may depend upon cognitive abilities selected by different
ecological constraints. Thus, we assessed whether Jamaican fruit bats would
assist a conspecific in an escape paradigm in which a donor could opt to release
a recipient from an enclosure. The test apparatus contained two compartments-one
of which was equipped with a sensor that, once triggered, released the trap door
of the adjacent compartment. Sixty-six exhaustive pairs of 12 bats were tested,
with each bat in each role, twice when the recipient was present and twice when
absent. Bats decreased their behavior of releasing the trapdoor in both
conditions over time, decreasing the behavior slightly more rapidly in the
recipient absent condition. Bats did not release the door more often when
recipients were present, regardless of the recipient; thus, there was no clear
evidence of prosocial behavior.
PMID- 27879625
TI - Towards Camera-LIDAR Fusion-Based Terrain Modelling for Planetary Surfaces:
Review and Analysis.
AB - In recent decades, terrain modelling and reconstruction techniques have increased
research interest in precise short and long distance autonomous navigation,
localisation and mapping within field robotics. One of the most challenging
applications is in relation to autonomous planetary exploration using mobile
robots. Rovers deployed to explore extraterrestrial surfaces are required to
perceive and model the environment with little or no intervention from the ground
station. Up to date, stereopsis represents the state-of-the art method and can
achieve short-distance planetary surface modelling. However, future space
missions will require scene reconstruction at greater distance, fidelity and
feature complexity, potentially using other sensors like Light Detection And
Ranging (LIDAR). LIDAR has been extensively exploited for target detection,
identification, and depth estimation in terrestrial robotics, but is still under
development to become a viable technology for space robotics. This paper will
first review current methods for scene reconstruction and terrain modelling using
cameras in planetary robotics and LIDARs in terrestrial robotics; then we will
propose camera-LIDAR fusion as a feasible technique to overcome the limitations
of either of these individual sensors for planetary exploration. A comprehensive
analysis will be presented to demonstrate the advantages of camera-LIDAR fusion
in terms of range, fidelity, accuracy and computation.
PMID- 27879624
TI - Transcriptome Analysis Identifies Key Candidate Genes Mediating Purple Ovary
Coloration in Asiatic Hybrid Lilies.
AB - Lily tepals have a short lifespan. Once the tepals senesce, the ornamental value
of the flower is lost. Some cultivars have attractive purple ovaries and fruits
which greatly enhance the ornamental value of Asiatic hybrid lilies. However,
little is known about the molecular mechanisms of anthocyanin biosynthesis in
Asiatic hybrid lily ovaries. To investigate the transcriptional network that
governs purple ovary coloration in Asiatic hybrid lilies, we obtained
transcriptome data from green ovaries (S1) and purple ovaries (S2) of Asiatic
"Tiny Padhye". Comparative transcriptome analysis revealed 4228 differentially
expressed genes. Differential expression analysis revealed that ten unigenes
including four CHS genes, one CHI gene, one F3H gene, one F3'H gene, one DFR
gene, one UFGT gene, and one 3RT gene were significantly up-regulated in purple
ovaries. One MYB gene, LhMYB12-Lat, was identified as a key transcription factor
determining the distribution of anthocyanins in Asiatic hybrid lily ovaries.
Further qPCR results showed unigenes related to anthocyanin biosynthesis were
highly expressed in purple ovaries of three purple-ovaried Asiatic hybrid lilies
at stages 2 and 3, while they showed an extremely low level of expression in
ovaries of three green-ovaried Asiatic hybrid lilies during all developmental
stages. In addition, shading treatment significantly decreased pigment
accumulation by suppressing the expression of several unigenes related to
anthocyanin biosynthesis in ovaries of Asiatic "Tiny Padhye". Lastly, a total of
15,048 Simple Sequence Repeats (SSRs) were identified in 13,710 sequences, and
primer pairs for SSRs were designed. The results could further our understanding
of the molecular mechanisms of anthocyanin biosynthesis in Asiatic hybrid lily
ovaries.
PMID- 27879626
TI - Smart Toys Designed for Detecting Developmental Delays.
AB - In this paper, we describe the design considerations and implementation of a
smart toy system, a technology for supporting the automatic recording and
analysis for detecting developmental delays recognition when children play using
the smart toy. To achieve this goal, we take advantage of the current commercial
sensor features (reliability, low consumption, easy integration, etc.) to develop
a series of sensor-based low-cost devices. Specifically, our prototype system
consists of a tower of cubes augmented with wireless sensing capabilities and a
mobile computing platform that collect the information sent from the cubes
allowing the later analysis by childhood development professionals in order to
verify a normal behaviour or to detect a potential disorder. This paper presents
the requirements of the toy and discusses our choices in toy design, technology
used, selected sensors, process to gather data from the sensors and generate
information that will help in the decision-making and communication of the
information to the collector system. In addition, we also describe the play
activities the system supports.
PMID- 27879628
TI - Carbon-Based Materials for Photo-Triggered Theranostic Applications.
AB - Carbon-based nanomaterials serve as a type of smart material for photo-triggered
disease theranostics. The inherent physicochemical properties of these
nanomaterials facilitate their use for less invasive treatments. This review
summarizes the properties and applications of materials including fullerene,
nanotubes, nanohorns, nanodots and nanographenes for photodynamic nanomedicine in
cancer and antimicrobial therapies. Carbon nanomaterials themselves do not
usually act as photodynamic therapy (PDT) agents owing to the high
hydrophobicity, however, when the surface is passivated or functionalized, these
materials become great vehicles for PDT. Moreover, conjugation of carbonaceous
nanomaterials with the photosensitizer (PS) and relevant targeting ligands
enhances properties such as selectivity, stability, and high quantum yield,
making them readily available for versatile biomedical applications.
PMID- 27879627
TI - Dietary Intake, Body Composition, and Menstrual Cycle Changes during Competition
Preparation and Recovery in a Drug-Free Figure Competitor: A Case Study.
AB - Physique competitions are events in which competitors are judged on muscular
appearance and symmetry. The purpose of this retrospective case study was to
describe changes in dietary intake, body mass/composition, and the menstrual
cycle during the 20-week competition preparation (PREP) and 20-week post
competition recovery (REC) periods of a drug-free amateur female figure
competitor (age = 26-27, BMI = 19.5 kg/m2). Dietary intake (via weighed food
records) and body mass were assessed daily and averaged weekly. Body composition
was estimated via Dual-energy X-ray absorptiometry (DXA) and 7-site skinfold
measurements. Energy intake, body mass and composition, and energy availability
decreased during the 20-week PREP period (changes of ~298 kcals, 5.1 kg, 6.5%
body fat, and 5.4 kcal/kg fat free mass, respectively) and returned to baseline
values by end of the 20-week REC period. Menstrual cycle irregularity was
reported within the first month of PREP and the last menstruation was reported at
week 11 of PREP. Given the potentially adverse health outcomes associated with
caloric restriction, future, prospective cohort studies on the physiological
response to PREP and REC are warranted in drug-free, female physique competitors.
PMID- 27879629
TI - Erratum: Tahara, M., et al. Measles Virus Hemagglutinin Protein Epitopes: The
Basis of Antigenic Stability. Viruses 2016, 8, 216.
AB - The authors wish to make the following change to their paper [1].[...].
PMID- 27879631
TI - Specialized Rehabilitation Programs for Children and Adolescents with Severe
Disabling Chronic Pain: Indications, Treatment and Outcomes.
AB - Children and adolescents with highly disabling chronic pain of high intensity and
frequency are admitted to specialized pain rehabilitation programs. Some barriers
to obtaining this specialized care include a lack of availability of treatment
centers, a perceived social stigma and individual barriers such as socioeconomic
status. Specialized rehabilitation programs for severe disabling chronic pain
worldwide have similarities regarding admission criteria, structure and
therapeutic orientation. They differ, however, regarding their exclusion criteria
and program descriptions. The short- and long-term effectiveness of some
rehabilitation programs is well documented. All countries should promote the
establishment of future pediatric pain centers to improve the health care of
children and adolescents suffering from severe chronic pain. Standardized
reporting guidelines should be developed to describe treatments and outcomes to
enable comparability across treatment centers.
PMID- 27879630
TI - Recombinant Alpha, Beta, and Epsilon Toxins of Clostridium perfringens:
Production Strategies and Applications as Veterinary Vaccines.
AB - Clostridium perfringens is a spore-forming, commensal, ubiquitous bacterium that
is present in the gastrointestinal tract of healthy humans and animals. This
bacterium produces up to 18 toxins. The species is classified into five
toxinotypes (A-E) according to the toxins that the bacterium produces: alpha,
beta, epsilon, or iota. Each of these toxinotypes is associated with myriad
different, frequently fatal, illnesses that affect a range of farm animals and
humans. Alpha, beta, and epsilon toxins are the main causes of disease.
Vaccinations that generate neutralizing antibodies are the most common
prophylactic measures that are currently in use. These vaccines consist of
toxoids that are obtained from C. perfringens cultures. Recombinant vaccines
offer several advantages over conventional toxoids, especially in terms of the
production process. As such, they are steadily gaining ground as a promising
vaccination solution. This review discusses the main strategies that are
currently used to produce recombinant vaccines containing alpha, beta, and
epsilon toxins of C. perfringens, as well as the potential application of these
molecules as vaccines for mammalian livestock animals.
PMID- 27879634
TI - Expanding the Detection of Traversable Area with RealSense for the Visually
Impaired.
AB - The introduction of RGB-Depth (RGB-D) sensors into the visually impaired people
(VIP)-assisting area has stirred great interest of many researchers. However, the
detection range of RGB-D sensors is limited by narrow depth field angle and
sparse depth map in the distance, which hampers broader and longer traversability
awareness. This paper proposes an effective approach to expand the detection of
traversable area based on a RGB-D sensor, the Intel RealSense R200, which is
compatible with both indoor and outdoor environments. The depth image of
RealSense is enhanced with IR image large-scale matching and RGB image-guided
filtering. Traversable area is obtained with RANdom SAmple Consensus (RANSAC)
segmentation and surface normal vector estimation, preliminarily. A seeded
growing region algorithm, combining the depth image and RGB image, enlarges the
preliminary traversable area greatly. This is critical not only for avoiding
close obstacles, but also for allowing superior path planning on navigation. The
proposed approach has been tested on a score of indoor and outdoor scenarios.
Moreover, the approach has been integrated into an assistance system, which
consists of a wearable prototype and an audio interface. Furthermore, the
presented approach has been proved to be useful and reliable by a field test with
eight visually impaired volunteers.
PMID- 27879636
TI - Chiral Hypervalent, Pentacoordinated Phosphoranes.
AB - This review presents synthetic procedures applied to the preparation of chiral
(mainly optically active) pentacoordinated, hypervalent mono and bicyclic
phosphoranes. The mechanisms of their stereoisomerization and their selected
interconversions are also presented.
PMID- 27879635
TI - A Review of Injectable Polymeric Hydrogel Systems for Application in Bone Tissue
Engineering.
AB - Biodegradable, stimuli-responsive polymers are essential platforms in the field
of drug delivery and injectable biomaterials for application of bone tissue
engineering. Various thermo-responsive hydrogels display water-based homogenous
properties to encapsulate, manipulate and transfer its contents to the
surrounding tissue, in the least invasive manner. The success of bioengineered
injectable tissue modified delivery systems depends significantly on their
chemical, physical and biological properties. Irrespective of shape and defect
geometry, injectable therapy has an unparalleled advantage in which intricate
therapy sites can be effortlessly targeted with minimally invasive procedures.
Using material testing, it was found that properties of stimuli-responsive
hydrogel systems enhance cellular responses and cell distribution at any site
prior to the transitional phase leading to gelation. The substantially hydrated
nature allows significant simulation of the extracellular matrix (ECM), due to
its similar structural properties. Significant current research strategies have
been identified and reported to date by various institutions, with particular
attention to thermo-responsive hydrogel delivery systems, and their pertinent
focus for bone tissue engineering. Research on future perspective studies which
have been proposed for evaluation, have also been reported in this review,
directing considerable attention to the modification of delivering natural and
synthetic polymers, to improve their biocompatibility and mechanical properties.
PMID- 27879632
TI - Fish Immunoglobulins.
AB - The B cell receptor and secreted antibody are at the nexus of humoral adaptive
immunity. In this review, we summarize what is known of the immunoglobulin genes
of jawed cartilaginous and bony fishes. We focus on what has been learned from
genomic or cDNA sequence data, but where appropriate draw upon protein,
immunization, affinity and structural studies. Work from major aquatic model
organisms and less studied comparative species are both included to define what
is the rule for an immunoglobulin isotype or taxonomic group and what exemplifies
an exception.
PMID- 27879637
TI - Temperature-Triggered Switchable Helix-Helix Inversion of Poly(phenylacetylene)
Bearing l-Valine Ethyl Ester Pendants and Its Chiral Recognition Ability.
AB - A phenylacetylene containing the l-valine ethyl ester pendant (PAA-Val) was
synthesized and polymerized by an organorhodium catalyst (Rh(nbd)BPh4) to produce
the corresponding one-handed helical cis-poly(phenylacetylene) (PPAA-Val). PPAA
Val showed a unique temperature-triggered switchable helix-sense in chloroform,
while it was not observed in highly polar solvents, such as N,N'
dimethylformamide (DMF). By heating the solution of PPAA-Val in chloroform, the
sign of the CD absorption became reversed, but recovered after cooling the
solution to room temperature. Even after six cycles of the heating-cooling
treatment, the helix sense of the PPAA-Val's backbone was still switchable
without loss of the CD intensity. The PPAA-Val was then coated on silica gel
particles to produce novel chiral stationary phases (CSPs) for high-performance
liquid chromatography (HPLC). These novel PPAA-Val based CSPs showed a high
chiral recognition ability for racemic mandelonitrile (alpha = 2.18) and racemic
trans-N,N'-diphenylcyclohexane-1,2-dicarboxamide (alpha = 2.60). Additionally,
the one-handed helical cis-polyene backbone of PPAA-Val was irreversibly
destroyed to afford PPAA-Val-H by heating in dimethyl sulfoxide (DMSO)
accompanied by the complete disappearance of the Cotton effect. Although PPAA-Val
H had the same l-valine ethyl ester pendants as its cis-isomer PPAA-Val, it
showed no chiral recognition. It was concluded that the one-handed helical cis
polyene backbone of PPAA-Val plays an important role in the chiral recognition
ability.
PMID- 27879638
TI - Structural Investigation of Cell Wall Xylan Polysaccharides from the Leaves of
Algerian Argania spinosa.
AB - Xylan-type polysaccharides were isolated from the leaves of Argania spinosa (L.)
Skeels collected in the Tindouf area (southwestern Algeria). Xylan fractions were
obtained by sequential alkaline extractions and purified on Sepharose CL-4B. The
xylan structure was investigated by enzymatic hydrolysis with an endo-beta(1->4)
xylanase followed by chromatography of the resulting fragments on Biogel P2,
characterization by sugar analysis and matrix-assisted laser desorption
ionization-time of flight mass spectrometry (MALDI-TOF MS ). The results show
that the A. spinosa xylan is composed of a beta-(1->4)-d-xylopyranose backbone
substituted with 4-O-methyl-d-glucuronic acid and L-arabinose residues.
PMID- 27879640
TI - New Homoisoflavanes, a New Alkaloid and Spirostane Steroids from the Roots of
Herreria montevidensis Klotzsch ex Griseb. (Herreriaceae).
AB - The roots of the South American vine Herreria montevidensis Klotzsch ex Griseb.
(Herreriaceae) are used in traditional medicine by several Amerindian groups of
the Paraguayan Chaco. Little is known on the chemistry of the plant, despite its
widespread use across the South American Chaco. From the ethyl acetate/methanol
1:1 extract of the roots, four new and one known homoisoflavanoid, two flavan
derivatives, a stilbene, a new alkaloid, and three new and four known spirostane
steroids were isolated. The corresponding structures were elucidated by
spectroscopic and spectrometric means. The homoisoflavonoids of the plant are
related to compounds isolated from the Dracaenaceae (formerly Agavaceae) sources
of the Chinese crude drug Dragon's Blood. The new alkaloid is a novel skeleton
that can be used as a chemical marker of Herreria. The spirostane steroids
suggest chemotaxonomic relations with the Liliales. This is the first
comprehensive report on the chemistry of a South American Herreria species.
PMID- 27879639
TI - Disposable Amperometric Immunosensor for the Determination of Human P53 Protein
in Cell Lysates Using Magnetic Micro-Carriers.
AB - An amperometric magnetoimmunosensor for the determination of human p53 protein is
described in this work using a sandwich configuration involving the covalent
immobilization of a specific capture antibody onto activated carboxylic-modified
magnetic beads (HOOC-MBs) and incubation of the modified MBs with a mixture of
the target protein and horseradish peroxidase-labeled antibody (HRP-anti-p53).
The resulting modified MBs are captured by a magnet placed under the surface of a
disposable carbon screen-printed electrode (SPCE) and the amperometric responses
are measured at -0.20 V (vs. an Ag pseudo-reference electrode), upon addition of
hydroquinone (HQ) as a redox mediator and H2O2 as the enzyme substrate. The
magnetoimmunosensing platform was successfully applied for the detection of p53
protein in different cell lysates without any matrix effect after a simple sample
dilution. The results correlated accurately with those provided by a commercial
ELISA kit, thus confirming the immunosensor as an attractive alternative for
rapid and simple determination of this protein using portable and affordable
instrumentation.
PMID- 27879641
TI - Hydrogen Induced Abrupt Structural Expansion at High Temperatures of a
Ni32Nb28Zr30Cu10 Membrane for H2 Purification.
AB - Ni-Nb-Zr amorphous membranes, prepared by melt-spinning, show great potential for
replacing crystalline Pd-based materials in the field of hydrogen purification to
an ultrapure grade (>99.999%). In this study, we investigate the temperature
evolution of the structure of an amorphous ribbon with the composition
Ni32Nb28Zr30Cu10 (expressed in atom %) by means of XRD and DTA measurements. An
abrupt structural expansion is induced between 240 and 300 degrees C by
hydrogenation. This structural modification deeply modifies the hydrogen sorption
properties of the membrane, which indeed shows a strong reduction of the hydrogen
capacity above 270 degrees C.
PMID- 27879643
TI - Structures and Ribosomal Interaction of Ribosome-Inactivating Proteins.
AB - Ribosome-inactivating proteins (RIPs) including ricin, Shiga toxin, and
trichosanthin, are RNA N-glycosidases that depurinate a specific adenine residue
(A-4324 in rat 28S ribosomal RNA, rRNA) in the conserved alpha-sarcin/ricin loop
(alpha-SRL) of rRNA. RIPs are grouped into three types according to the number of
subunits and the organization of the precursor sequences. RIPs are two-domain
proteins, with the active site located in the cleft between the N- and C-terminal
domains. It has been found that the basic surface residues of the RIPs promote
rapid and specific targeting to the ribosome and a number of RIPs have been shown
to interact with the C-terminal regions of the P proteins of the ribosome. At
present, the structural basis for the interaction of trichosanthin and ricin-A
chain toward P2 peptide is known. This review surveys the structural features of
the representative RIPs and discusses how they approach and interact with the
ribosome.
PMID- 27879642
TI - Curcumin and Boswellia serrata Modulate the Glyco-Oxidative Status and Lipo
Oxidation in Master Athletes.
AB - BACKGROUND: Chronic intensive exercise is associated with a greater induction of
oxidative stress and with an excess of endogenous advanced glycation end-products
(AGEs). Curcumin can reduce the accumulation of AGEs in vitro and in animal
models. We examined whether supplementation with curcumin and Boswellia serrata
(BSE) gum resin for 3 months could affect plasma levels of markers of oxidative
stress, inflammation, and glycation in healthy master cyclists. METHODS: Forty
seven healthy male athletes were randomly assigned to Group 1, consisting of 22
subjects given a Mediterranean diet (MD) alone (MD group), and Group 2 consisted
of 25 subjects given a MD plus curcumin and BSE (curcumin/BSE group). Interleukin
6 (IL-6), tumor necrosis factor-alpha (TNFalpha), high-sensitivity c-reactive
protein (hs-CRP), total AGE, soluble receptor for AGE (sRAGE), malondialdehyde
(MDA), plasma phospholipid fatty acid (PPFA) composition, and non-esterified
fatty acids (NEFA) were tested at baseline and after 12 weeks. RESULTS: sRAGE,
NEFA, and MDA decreased significantly in both groups, while only the curcumin/BSE
group showed a significant decline in total AGE. Only the changes in total AGE
and MDA differed significantly between the curcumin/BSE and MD groups.
CONCLUSIONS: Our data suggest a positive effect of supplementation with curcumin
and BSE on glycoxidation and lipid peroxidation in chronically exercising master
athletes.
PMID- 27879644
TI - Efficacy of Topical Application, Leaf Residue or Soil Drench of Blastospores of
Isaria fumosorosea for Citrus Root Weevil Management: Laboratory and Greenhouse
Investigations.
AB - The efficacy of topical, leaf residue, and soil drench applications with Isaria
fumosorosea blastospores (Ifr strain 3581) was assessed for the management of the
citrus root weevil, Diaprepes abbreviatus (L.). Blastospores of Ifr were applied
topically at a rate of 107 blastospores mL-1 on both the larvae and adults, and
each insect stage was incubated in rearing cups with artificial diet at 25
degrees C, either in the dark or in a growth chamber under a 16 h photophase for
2 weeks, respectively. Percent larval and adult mortality due to the infection of
Ifr was assessed after 14 days as compared to untreated controls. Leaf residue
assays were assessed by feeding the adults detached citrus leaves previously
sprayed with Ifr (107 blastospores mL-1) in Petri dish chambers and then
incubating them at 25 degrees C for 2-3 weeks. Efficacy of the soil drench
applications was assessed on five larvae feeding on the roots of a Carrizo hybrid
citrus seedling ~8.5-10.5 cm below the sterile sand surface in a single 16 cm *
15.5 cm pot inside a second pot lined with plastic mesh to prevent escapees.
Drench treatments per pot consisted of 100 mL of Ifr suspension (107 blastospores
mL-1), flushed with 400, 900, or 1400 mL of water compared to 500, 1000, and 1500
mL of water only for controls. The mean concentration of Ifr propagules as colony
forming units per gram (CFUs g-1) that leached to different depths in the sand
profile per treatment drench rate was also determined. Two weeks post-drenching
of Ifr treatments, larvae were assessed for percent mortality, size differences,
and effect of treatments in reducing feeding damage to the plant root biomass
compared to the controls. Topical spray applications caused 13 and 19% mortality
in larvae and adults after 7 days compared to none in the control after 14 days,
respectively. Adults feeding on a single Ifr treated leaf for 24 h consumed less
than the control, and resulted in 100% mortality 35 days post-treatment compared
to 33% in the untreated control. Although offered fresh, untreated leaves after
24 h, only adults in the control group consumed them. Ifr CFUs g-1 were isolated
8.5-10.5 cm below the sand surface for the 1000 and 1500 mL drench rates only,
resulting in 2%-4% larval mortality. For all the Ifr drench treatments, no
differences were observed in percent larval mortality and size or the effect of
treatments in reducing feeding damage to the plant root biomass compared to the
controls. These results suggest that the foliar application of Ifr may be an
efficient biocontrol strategy for managing adult populations of D. abbreviatus;
potential alternative larval management strategies are discussed.
PMID- 27879645
TI - Bio-Guided Isolation of Methanol-Soluble Metabolites of Common Spruce (Picea
abies) Bark by-Products and Investigation of Their Dermo-Cosmetic Properties.
AB - Common spruce (Picea abies L.) is a fast-growing coniferous tree, widely used in
several countries for the production of sawn wood, timber and pulp. During this
industrial exploitation, large quantities of barks are generated as waste
materials. The aim of this study was the bio-guided investigation and the
effective recovery of methanol-soluble metabolites of common spruce bark for the
development of new dermo-cosmetic agents. The active methanol extract was
initially fractionated by Centrifugal Partition Chromatography (CPC) using a
triphasic solvent system in a step-gradient elution mode. All resulting fractions
were evaluated for their antibacterial activity, antioxidant activity and their
capability to inhibit tyrosinase, elastase and collagenase activity. In parallel,
the chemical composition of each fraction was established by combining a 13C-NMR
dereplication approach and 2D-NMR analyses. As a result, fourteen secondary
metabolites corresponding to stilbene, flavonoid and phenolic acid derivatives
were directly identified in the CPC fractions. A high amount (0.93 g) of E
astringin was recovered from 3 g of crude extract in a single 125 min run. E
Astringin significantly induced the tyrosinase activity while E-piceid,
taxifolin, and taxifolin-3'-O-glucopyranoside exhibited significant anti
tyrosinase activity. The above compounds showed important anti-collagenase and
antimicrobial activities, thus providing new perspectives for potential
applications as cosmetic ingredients.
PMID- 27879646
TI - Integrating scFv into xMAP Assays for the Detection of Marine Toxins.
AB - Marine toxins, such as saxitoxin and domoic acid are associated with algae blooms
and can bioaccumulate in shell fish which present both health and economic
concerns. The ability to detect the presence of toxin is paramount for the
administration of the correct supportive care in case of intoxication;
environmental monitoring to detect the presence of toxin is also important for
prevention of intoxication. Immunoassays are one tool that has successfully been
applied to the detection of marine toxins. Herein, we had the variable regions of
two saxitoxin binding monoclonal antibodies sequenced and used the information to
produce recombinant constructs that consist of linked heavy and light variable
domains that make up the binding domains of the antibodies (scFv). Recombinantly
produced binding elements such as scFv provide an alternative to traditional
antibodies and serve to "preserve" monoclonal antibodies as they can be easily
recreated from their sequence data. In this paper, we combined the anti-saxitoxin
scFv developed here with a previously developed anti-domoic acid scFv and
demonstrated their utility in a microsphere-based competitive immunoassay format.
In addition to detection in buffer, we demonstrated equivalent sensitivity in
oyster and scallop matrices. The potential for multiplexed detection using scFvs
in this immunoassay format is demonstrated.
PMID- 27879649
TI - Probabilistic Model Updating for Sizing of Hole-Edge Crack Using Fiber Bragg
Grating Sensors and the High-Order Extended Finite Element Method.
AB - This paper presents a novel framework for probabilistic crack size quantification
using fiber Bragg grating (FBG) sensors. The key idea is to use a high-order
extended finite element method (XFEM) together with a transfer (T)-matrix method
to analyze the reflection intensity spectra of FBG sensors, for various crack
sizes. Compared with the standard FEM, the XFEM offers two superior capabilities:
(i) a more accurate representation of fields in the vicinity of the crack tip
singularity and (ii) alleviation of the need for costly re-meshing as the crack
size changes. Apart from the classical four-term asymptotic enrichment functions
in XFEM, we also propose to incorporate higher-order functions, aiming to further
improve the accuracy of strain fields upon which the reflection intensity spectra
are based. The wavelength of the reflection intensity spectra is extracted as a
damage sensitive quantity, and a baseline model with five parameters is
established to quantify its correlation with the crack size. In order to test the
feasibility of the predictive model, we design FBG sensor-based experiments to
detect fatigue crack growth in structures. Furthermore, a Bayesian method is
proposed to update the parameters of the baseline model using only a few
available experimental data points (wavelength versus crack size) measured by one
of the FBG sensors and an optical microscope, respectively. Given the remaining
data points of wavelengths, even measured by FBG sensors at different positions,
the updated model is shown to give crack size predictions that match well with
the experimental observations.
PMID- 27879648
TI - The Role of Deoxycytidine Kinase (dCK) in Radiation-Induced Cell Death.
AB - Deoxycytidine kinase (dCK) is a key enzyme in deoxyribonucleoside salvage and the
anti-tumor activity for many nucleoside analogs. dCK is activated in response to
ionizing radiation (IR)-induced DNA damage and it is phosphorylated on Serine 74
by the Ataxia-Telangiectasia Mutated (ATM) kinase in order to activate the cell
cycle G2/M checkpoint. However, whether dCK plays a role in radiation-induced
cell death is less clear. In this study, we genetically modified dCK expression
by knocking down or expressing a WT (wild-type), S74A (abrogates phosphorylation)
and S74E (mimics phosphorylation) of dCK. We found that dCK could decrease IR
induced total cell death and apoptosis. Moreover, dCK increased IR-induced
autophagy and dCK-S74 is required for it. Western blotting showed that the ratio
of phospho-Akt/Akt, phospho-mTOR/mTOR, phospho-P70S6K/P70S6K significantly
decreased in dCK-WT and dCK-S74E cells than that in dCK-S74A cells following IR
treatment. Reciprocal experiment by co-immunoprecipitation showed that mTOR can
interact with wild-type dCK. IR increased polyploidy and decreased G2/M arrest in
dCK knock-down cells as compared with control cells. Taken together,
phosphorylated and activated dCK can inhibit IR-induced cell death including
apoptosis and mitotic catastrophe, and promote IR-induced autophagy through
PI3K/Akt/mTOR pathway.
PMID- 27879647
TI - Neuroprotective Strategies during Cardiac Surgery with Cardiopulmonary Bypass.
AB - Aortocoronary bypass or valve surgery usually require cardiac arrest using
cardioplegic solutions. Although, in principle, in a number of cases beating
heart surgery (so-called off-pump technique) is possible, aortic or valve surgery
or correction of congenital heart diseases mostly require cardiopulmonary arrest.
During this condition, the heart-lung machine also named cardiopulmonary bypass
(CPB) has to take over the circulation. It is noteworthy that the invention of a
machine bypassing the heart and lungs enabled complex cardiac operations, but
possible negative effects of the CPB on other organs, especially the brain,
cannot be neglected. Thus, neuroprotection during CPB is still a matter of great
interest. In this review, we will describe the impact of CPB on the brain and
focus on pharmacological and non-pharmacological strategies to protect the brain.
PMID- 27879650
TI - Posttraumatic Stress Disorder: Overview of Evidence-Based Assessment and
Treatment.
AB - Posttraumatic stress disorder (PTSD) is a chronic psychological disorder that can
develop after exposure to a traumatic event. This review summarizes the
literature on the epidemiology, assessment, and treatment of PTSD. We provide a
review of the characteristics of PTSD along with associated risk factors, and
describe brief, evidence-based measures that can be used to screen for PTSD and
monitor symptom changes over time. In regard to treatment, we highlight commonly
used, evidence-based psychotherapies and pharmacotherapies for PTSD. Among
psychotherapeutic approaches, evidence-based approaches include cognitive
behavioral therapies (e.g., Prolonged Exposure and Cognitive Processing Therapy)
and Eye Movement Desensitization and Reprocessing. A wide variety of
pharmacotherapies have received some level of research support for PTSD symptom
alleviation, although selective serotonin reuptake inhibitors have the largest
evidence base to date. However, relapse may occur after the discontinuation of
pharmacotherapy, whereas PTSD symptoms typically remain stable or continue to
improve after completion of evidence-based psychotherapy. After reviewing
treatment recommendations, we conclude by describing critical areas for future
research.
PMID- 27879652
TI - Fluid Intake of Pregnant and Breastfeeding Women in Indonesia: A Cross-Sectional
Survey with a Seven-Day Fluid Specific Record.
AB - During pregnancy and lactation, the adequate intake (AI) for total water intake
is increased. This cross-sectional survey aimed to assess Total Fluid Intake
(TFI; sum of drinking water and all other fluids) of 300 pregnant and 300
breastfeeding women in Indonesia. A seven-day fluid specific record was used to
assess TFI. Mean TFI of pregnant and breastfeeding women were 2332 +/- 746 mL/day
and 2525 +/- 843 mL/day, respectively. No significant difference in TFI between
pregnancy trimesters was observed, while TFI of women breastfeeding for 12-24
months postpartum (2427 +/- 955 mL/day) was lower than that of the two other
groups (0-5 months: 2607 +/- 754 mL/day; 6-11 months: 2538 +/- 807 mL/day,
respectively). Forty-two and 54% of the pregnant and breastfeeding subjects,
respectively, did not reach the AI of water from fluids. These AI were actually
known by only 14% and 23% of the pregnant and breastfeeding subjects. However,
having the knowledge about the AI did not increase the odds of reaching the AI.
Concluding that a high proportion of the pregnant and breastfeeding subjects did
not reach the AI of water from fluid, it seems pertinent to further assess the
fluid intake, as well as their hydration status, in other countries.
PMID- 27879651
TI - Prediction of Protein-Protein Interactions by Evidence Combining Methods.
AB - Most cellular functions involve proteins' features based on their physical
interactions with other partner proteins. Sketching a map of protein-protein
interactions (PPIs) is therefore an important inception step towards
understanding the basics of cell functions. Several experimental techniques
operating in vivo or in vitro have made significant contributions to screening a
large number of protein interaction partners, especially high-throughput
experimental methods. However, computational approaches for PPI predication
supported by rapid accumulation of data generated from experimental techniques,
3D structure definitions, and genome sequencing have boosted the map sketching of
PPIs. In this review, we shed light on in silico PPI prediction methods that
integrate evidence from multiple sources, including evolutionary relationship,
function annotation, sequence/structure features, network topology and text
mining. These methods are developed for integration of multi-dimensional
evidence, for designing the strategies to predict novel interactions, and for
making the results consistent with the increase of prediction coverage and
accuracy.
PMID- 27879653
TI - Ellagic Acid Inhibits Bladder Cancer Invasiveness and In Vivo Tumor Growth.
AB - Ellagic acid (EA) is a polyphenolic compound that can be found as a naturally
occurring hydrolysis product of ellagitannins in pomegranates, berries, grapes,
green tea and nuts. Previous studies have reported the antitumor properties of EA
mainly using in vitro models. No data are available about EA influence on bladder
cancer cell invasion of the extracellular matrix triggered by vascular
endothelial growth factor-A (VEGF-A), an angiogenic factor associated with
disease progression and recurrence, and tumor growth in vivo. In this study, we
have investigated EA activity against four different human bladder cancer cell
lines (i.e., T24, UM-UC-3, 5637 and HT-1376) by in vitro proliferation tests
(measuring metabolic and foci forming activity), invasion and chemotactic assays
in response to VEGF-A and in vivo preclinical models in nude mice. Results
indicate that EA exerts anti-proliferative effects as a single agent and enhances
the antitumor activity of mitomycin C, which is commonly used for the treatment
of bladder cancer. EA also inhibits tumor invasion and chemotaxis, specifically
induced by VEGF-A, and reduces VEGFR-2 expression. Moreover, EA down-regulates
the expression of programmed cell death ligand 1 (PD-L1), an immune checkpoint
involved in immune escape. EA in vitro activity was confirmed by the results of
in vivo studies showing a significant reduction of the growth rate, infiltrative
behavior and tumor-associated angiogenesis of human bladder cancer xenografts. In
conclusion, these results suggest that EA may have a potential role as an adjunct
therapy for bladder cancer.
PMID- 27879655
TI - Phomopsichin A-D; Four New Chromone Derivatives from Mangrove Endophytic Fungus
Phomopsis sp. 33.
AB - Four new chromone derivatives, phomopsichins A-D (1-4), along with a known
compound, phomoxanthone A (5), were isolated from the fermentation products of
mangrove endophytic fungus Phomopsis sp. 33#. Their structures were elucidated
based on comprehensive spectroscopic analysis coupled with single-crystal X-ray
diffraction or theoretical calculations of electronic circular dichroism (ECD).
They feature a tricyclic framework, in which a dihydropyran ring is fused with
the chromone ring. Compounds 1-5 showed weak inhibitory activities on
acetylcholinesterase as well as alpha-glucosidase, weak radical scavenging
effects on 1,1-diphenyl-2-picrylhydrazyl (DPPH) as well as OH, and weak
antimicrobial activities. Compounds 1-4 showed no cytotoxic activity against MDA
MB-435 breast cancer cells. Their other bioactivities are worthy of further
study, considering their unique molecular structures.
PMID- 27879654
TI - Early Antipsychotic Treatment in Juvenile Rats Elicits Long-Term Alterations to
the Dopamine Neurotransmitter System.
AB - Prescription of antipsychotic drugs (APDs) to children has substantially
increased in recent years. Whilst current investigations into potential long-term
effects have uncovered some alterations to adult behaviours, further
investigations into potential changes to neurotransmitter systems are required.
The current study investigated potential long-term changes to the adult dopamine
(DA) system following aripiprazole, olanzapine and risperidone treatment in
female and male juvenile rats. Levels of tyrosine hydroxylase (TH),
phosphorylated-TH (p-TH), dopamine active transporter (DAT), and D1 and D2
receptors were measured via Western blot and/or receptor autoradiography.
Aripiprazole decreased TH and D1 receptor levels in the ventral tegmental area
(VTA) and p-TH levels in the prefrontal cortex (PFC) of females, whilst TH levels
decreased in the PFC of males. Olanzapine decreased PFC p-TH levels and increased
D2 receptor expression in the PFC and nucleus accumbens (NAc) in females only.
Additionally, risperidone treatment increased D1 receptor levels in the
hippocampus of females, whilst, in males, p-TH levels increased in the PFC and
hippocampus, D1 receptor expression decreased in the NAc, and DAT levels
decreased in the caudate putamen (CPu), and elevated in the VTA. These results
suggest that early treatment with various APDs can cause different long-term
alterations in the adult brain, across both treatment groups and genders.
PMID- 27879657
TI - Screening for Mutations in the TBX1 Gene on Chromosome 22q11.2 in Schizophrenia.
AB - A higher-than-expected frequency of schizophrenia in patients with 22q11.2
deletion syndrome suggests that chromosome 22q11.2 harbors the responsive genes
related to the pathophysiology of schizophrenia. The TBX1 gene, which maps to the
region on chromosome 22q11.2, plays a vital role in neuronal functions.
Haploinsufficiency of the TBX1 gene is associated with schizophrenia
endophenotype. This study aimed to investigate whether the TBX1 gene is
associated with schizophrenia. We searched for mutations in the TBX1 gene in 652
patients with schizophrenia and 567 control subjects using a re-sequencing method
and conducted a reporter gene assay. We identified six SNPs and 25 rare mutations
with no association with schizophrenia from Taiwan. Notably, we identified two
rare schizophrenia-specific mutations (c.-123G>C and c.-11delC) located at 5' UTR
of the TBX1 gene. The reporter gene assay showed that c.-123C significantly
decreased promoter activity, while c.-11delC increased promoter activity compared
with the wild-type. Our findings suggest that the TBX1 gene is unlikely a major
susceptible gene for schizophrenia in an ethnic Chinese population for Taiwan,
but a few rare mutations in the TBX1 gene may contribute to the pathogenesis of
schizophrenia in some patients.
PMID- 27879656
TI - Fish Consumption and Age-Related Macular Degeneration Incidence: A Meta-Analysis
and Systematic Review of Prospective Cohort Studies.
AB - The association between fish consumption and risk of age-related macular
degeneration (AMD) is still unclear. The aim of the current meta-analysis and
systematic review was to quantitatively evaluate findings from observational
studies on fish consumption and the risk of AMD. Relevant studies were identified
by searching electronic databases (Medline and EMBASE) and reviewing the
reference lists of relevant articles up to August, 2016. Prospective cohort
studies that reported relative risks (RRs) and 95% confidence intervals (CIs) for
the link between fish consumption and risk of AMD were included. A total of 4202
cases with 128,988 individuals from eight cohort studies were identified in the
current meta-analysis. The meta-analyzed RR was 0.76 (95% CI, 0.65-0.90) when any
AMD was considered. Subgroup analyses by AMD stages showed that fish consumption
would reduce the risk of both early (RR, 0.83; 95% CI, 0.72-0.96) and late (RR;
0.76; 95% CI, 0.60-0.97) AMD. When stratified by the follow-up duration, fish
consumption was a protective factor of AMD in both over 10 years (n = 5; RR,
0.81; 95% CI, 0.67-0.97) and less than 10 years (n = 3; RR, 0.70; 95% CI, 0.51 to
0.97) follow-up duration. Stratified analyses by fish type demonstrated that dark
meat fish (RR, 0.68, 95% CI, 0.46-0.99), especially tuna fish (RR, 0.58; 95% CI,
95% CI, 0.47-0.71) intake was associated with reduced AMD risk. Evidence of a
linear association between dose of fish consumption and risk of AMD was
demonstrated. The results of this meta-analysis demonstrated that fish
consumption can reduce AMD risk. Advanced, well-designed, randomized clinical
trials are required in order to validate the conclusions in this study.
PMID- 27879659
TI - Innovative Alternative Technologies to Extract Carotenoids from Microalgae and
Seaweeds.
AB - Marine microalgae and seaweeds (microalgae) represent a sustainable source of
various bioactive natural carotenoids, including beta-carotene, lutein,
astaxanthin, zeaxanthin, violaxanthin and fucoxanthin. Recently, the large-scale
production of carotenoids from algal sources has gained significant interest with
respect to commercial and industrial applications for health, nutrition, and
cosmetic applications. Although conventional processing technologies, based on
solvent extraction, offer a simple approach to isolating carotenoids, they suffer
several, inherent limitations, including low efficiency (extraction yield),
selectivity (purity), high solvent consumption, and long treatment times, which
have led to advancements in the search for innovative extraction technologies.
This comprehensive review summarizes the recent trends in the extraction of
carotenoids from microalgae and seaweeds through the assistance of different
innovative techniques, such as pulsed electric fields, liquid pressurization,
supercritical fluids, subcritical fluids, microwaves, ultrasounds, and high
pressure homogenization. In particular, the review critically analyzes
technologies, characteristics, advantages, and shortcomings of the different
innovative processes, highlighting the differences in terms of yield,
selectivity, and economic and environmental sustainability.
PMID- 27879661
TI - Bamboo Classification Using WorldView-2 Imagery of Giant Panda Habitat in a Large
Shaded Area in Wolong, Sichuan Province, China.
AB - This study explores the ability of WorldView-2 (WV-2) imagery for bamboo mapping
in a mountainous region in Sichuan Province, China. A large area of this place is
covered by shadows in the image, and only a few sampled points derived were
useful. In order to identify bamboos based on sparse training data, the sample
size was expanded according to the reflectance of multispectral bands selected
using the principal component analysis (PCA). Then, class separability based on
the training data was calculated using a feature space optimization method to
select the features for classification. Four regular object-based classification
methods were applied based on both sets of training data. The results show that
the k-nearest neighbor (k-NN) method produced the greatest accuracy. A
geostatistically-weighted k-NN classifier, accounting for the spatial correlation
between classes, was then applied to further increase the accuracy. It achieved
82.65% and 93.10% of the producer's and user's accuracies respectively for the
bamboo class. The canopy densities were estimated to explain the result. This
study demonstrates that the WV-2 image can be used to identify small patches of
understory bamboos given limited known samples, and the resulting bamboo
distribution facilitates the assessments of the habitats of giant pandas.
PMID- 27879658
TI - The Emergence of Pan-Cancer CIMP and Its Elusive Interpretation.
AB - Epigenetic dysregulation is recognized as a hallmark of cancer. In the last 16
years, a CpG island methylator phenotype (CIMP) has been documented in tumors
originating from different tissues. However, a looming question in the field is
whether or not CIMP is a pan-cancer phenomenon or a tissue-specific event. Here,
we give a synopsis of the history of CIMP and describe the pattern of DNA
methylation that defines the CIMP phenotype in different cancer types. We
highlight new conceptual approaches of classifying tumors based on CIMP in a
cancer type-agnostic way that reveal the presence of distinct CIMP tumors in a
multitude of The Cancer Genome Atlas (TCGA) datasets, suggesting that this
phenotype may transcend tissue-type specificity. Lastly, we show evidence
supporting the clinical relevance of CIMP-positive tumors and suggest that a
common CIMP etiology may define new mechanistic targets in cancer treatment.
PMID- 27879663
TI - Queuing Time Prediction Using WiFi Positioning Data in an Indoor Scenario.
AB - Queuing is common in urban public places. Automatically monitoring and predicting
queuing time can not only help individuals to reduce their wait time and
alleviate anxiety but also help managers to allocate resources more efficiently
and enhance their ability to address emergencies. This paper proposes a novel
method to estimate and predict queuing time in indoor environments based on WiFi
positioning data. First, we use a series of parameters to identify the
trajectories that can be used as representatives of queuing time. Next, we divide
the day into equal time slices and estimate individuals' average queuing time
during specific time slices. Finally, we build a nonstandard autoregressive (NAR)
model trained using the previous day's WiFi estimation results and actual queuing
time to predict the queuing time in the upcoming time slice. A case study
comparing two other time series analysis models shows that the NAR model has
better precision. Random topological errors caused by the drift phenomenon of
WiFi positioning technology (locations determined by a WiFi positioning system
may drift accidently) and systematic topological errors caused by the positioning
system are the main factors that affect the estimation precision. Therefore, we
optimize the deployment strategy during the positioning system deployment phase
and propose a drift ratio parameter pertaining to the trajectory screening phase
to alleviate the impact of topological errors and improve estimates. The WiFi
positioning data from an eight-day case study conducted at the T3-C entrance of
Beijing Capital International Airport show that the mean absolute estimation
error is 147 s, which is approximately 26.92% of the actual queuing time. For
predictions using the NAR model, the proportion is approximately 27.49%. The
theoretical predictions and the empirical case study indicate that the NAR model
is an effective method to estimate and predict queuing time in indoor public
areas.
PMID- 27879660
TI - Gold Nanoparticles for Diagnostics: Advances towards Points of Care.
AB - The remarkable physicochemical properties of gold nanoparticles (AuNPs) have
prompted developments in the exploration of biomolecular interactions with AuNP
containing systems, in particular for biomedical applications in diagnostics.
These systems show great promise in improving sensitivity, ease of operation and
portability. Despite this endeavor, most platforms have yet to reach maturity and
make their way into clinics or points of care (POC). Here, we present an overview
of emerging and available molecular diagnostics using AuNPs for biomedical
sensing that are currently being translated to the clinical setting.
PMID- 27879662
TI - Retinol Dehydrogenases Regulate Vitamin A Metabolism for Visual Function.
AB - The visual system produces visual chromophore, 11-cis-retinal from dietary
vitamin A, all-trans-retinol making this vitamin essential for retinal health and
function. These metabolic events are mediated by a sequential biochemical process
called the visual cycle. Retinol dehydrogenases (RDHs) are responsible for two
reactions in the visual cycle performed in retinal pigmented epithelial (RPE)
cells, photoreceptor cells and Muller cells in the retina. RDHs in the RPE
function as 11-cis-RDHs, which oxidize 11-cis-retinol to 11-cis-retinal in vivo.
RDHs in rod photoreceptor cells in the retina work as all-trans-RDHs, which
reduce all-trans-retinal to all-trans-retinol. Dysfunction of RDHs can cause
inherited retinal diseases in humans. To facilitate further understanding of
human diseases, mouse models of RDHs-related diseases have been carefully
examined and have revealed the physiological contribution of specific RDHs to
visual cycle function and overall retinal health. Herein we describe the function
of RDHs in the RPE and the retina, particularly in rod photoreceptor cells, their
regulatory properties for retinoid homeostasis and future therapeutic strategy
for treatment of retinal diseases.
PMID- 27879666
TI - Structural Analysis of Sortase A Inhibitors.
AB - Bacterial sortases are cysteine transpeptidases that regulate the covalent
linkage of several surface protein virulence factors in Gram-positive bacteria.
Virulence factors play significant roles in adhesion, invasion of host tissues,
biofilm formation and immune evasion, mediating the bacterial pathogenesis and
infectivity. Therefore, sortases are emerging as important targets for the design
of new anti-infective agents. We employed a computational study, based on
structure derived descriptors and molecular fingerprints, in order to develop
simple classification methods which could allow predicting low active or high
active SrtA inhibitors. Our results indicate that a highly active SrtA inhibitor
has a molecular weight ranging between 180 and 600, contains one up to four
nitrogen atoms, up to three oxygen atoms and under 18 hydrogen atoms. Also the
hydrogen acceptor number and the molecular flexibility, as assessed by the number
of rotatable bounds, have emerged as the most relevant descriptors for SrtA
affinity. The Bemis-Murcko scaffolding revealed favoured scaffolds as containing
at least two ring structures bonded directly or merged in a condensed cycle. This
data represent a valuable tool for identifying new potent SrtA inhibitors,
potential anti-virulence agents targeted against Gram-positive bacteria,
including multiresistant strains.
PMID- 27879665
TI - Effects of Luteolin and Quercetin in Combination with Some Conventional
Antibiotics against Methicillin-Resistant Staphylococcus aureus.
AB - The present study was designed to evaluate the effects of flavonoids luteolin (L)
and quercetin + luteolin (Q + L) in combination with commonly used antibacterial
agents against methicillin-resistant Staphylococcus aureus (MRSA) clinical
isolates and S. aureus (ATCC 43300). Minimum inhibitory concentrations (MICs) of
L and Q + L, as well as the MICs of flavonoids in combination with antibiotics
were determined and results showed an increased activity of flavonoids with
antibiotics. The synergistic, additive, or antagonistic relationships between
flavonoids (L and Q + L) and antibiotics were also evaluated, and additive and
synergistic effects were observed for some antibiotic + flavonoid combinations.
In addition, some combinations were also found to damage the bacterial
cytoplasmic membrane, as assessed through potassium leakage assay. The effects of
flavonoids and flavonoids + antibiotics on mecA gene mutations were also tested,
and no functional variation was detected in the coding region.
PMID- 27879664
TI - Anti-Inflammatory Effects of Chloranthalactone B in LPS-Stimulated RAW264.7
Cells.
AB - Chloranthalactone B (CTB), a lindenane-type sesquiterpenoid, was obtained from
the Chinese medicinal herb Sarcandra glabra, which is frequently used as a remedy
for inflammatory diseases. However, the anti-inflammatory mechanisms of CTB have
not been fully elucidated. In this study, we investigated the molecular
mechanisms underlying these effects in lipopolysaccharide (LPS)-stimulated
RAW264.7 macrophages. CTB strongly inhibited the production of nitric oxide and
pro-inflammatory mediators such as prostaglandin E2, tumor necrosis factor alpha
(TNF-alpha), interleukin-1beta (IL-1beta), and IL-6 in RAW264.7 cells stimulated
with LPS. A reverse-transcription polymerase chain reaction assay and Western
blot further confirmed that CTB inhibited the expression of inducible nitric
oxide synthase, cyclooxygenase-2, TNF-alpha, and IL-1beta at the transcriptional
level, and decreased the luciferase activities of activator protein (AP)-1
reporter promoters. These data suggest that inhibition occurred at the
transcriptional level. In addition, CTB blocked the activation of p38 mitogen
activated protein kinase (MAPK) but not c-Jun N-terminal kinase or extracellular
signal-regulated kinase 1/2. Furthermore, CTB suppressed the phosphorylation of
MKK3/6 by targeting the binding sites via formation of hydrogen bonds. Our
findings clearly show that CTB inhibits the production of inflammatory mediators
by inhibiting the AP-1 and p38 MAPK pathways. Therefore, CTB could potentially be
used as an anti-inflammatory agent.
PMID- 27879668
TI - Structure-Functional Basis of Ion Transport in Sodium-Calcium Exchanger (NCX)
Proteins.
AB - The membrane-bound sodium-calcium exchanger (NCX) proteins shape Ca2+ homeostasis
in many cell types, thus participating in a wide range of physiological and
pathological processes. Determination of the crystal structure of an archaeal NCX
(NCX_Mj) paved the way for a thorough and systematic investigation of ion
transport mechanisms in NCX proteins. Here, we review the data gathered from the
X-ray crystallography, molecular dynamics simulations, hydrogen-deuterium
exchange mass-spectrometry (HDX-MS), and ion-flux analyses of mutants.
Strikingly, the apo NCX_Mj protein exhibits characteristic patterns in the local
backbone dynamics at particular helix segments, thereby possessing characteristic
HDX profiles, suggesting structure-dynamic preorganization (geometric
arrangements of catalytic residues before the transition state) of conserved
alpha1 and alpha2 repeats at ion-coordinating residues involved in transport
activities. Moreover, dynamic preorganization of local structural entities in the
apo protein predefines the status of ion-occlusion and transition states, even
though Na+ or Ca2+ binding modifies the preceding backbone dynamics nearby
functionally important residues. Future challenges include resolving the
structural-dynamic determinants governing the ion selectivity, functional
asymmetry and ion-induced alternating access. Taking into account the structural
similarities of NCX_Mj with the other proteins belonging to the Ca2+/cation
exchanger superfamily, the recent findings can significantly improve our
understanding of ion transport mechanisms in NCX and similar proteins.
PMID- 27879669
TI - Synthesis of a Morpholino Nucleic Acid (MNA)-Uridine Phosphoramidite, and Exon
Skipping Using MNA/2'-O-Methyl Mixmer Antisense Oligonucleotide.
AB - In this study, we synthesised a morpholino nucleoside-uridine (MNA-U)
phosphoramidite and evaluated the potential of a MNA-modified antisense
oligonucleotide (AO) sequences to induce exon 23 skipping in mdx mouse myotubes
in vitro towards extending the applicability of morpholino chemistry with other
nucleotide monomers. We designed, synthesised, and compared exon skipping
efficiencies of 20 mer MNA-modified 2'-O-methyl RNA mixmer AO on a
phosphorothioate backbone (MNA/2'-OMePS) to the corresponding fully modified 2'-O
methyl RNA AO (2'-OMePS) as a control. Our results showed that the MNA/2'-OMePS
efficiently induced exon 23 skipping. As expected, the 2'-OMePS AO control
yielded efficient exon 23 skipping. Under the applied conditions, both the AOs
showed minor products corresponding to exon 22/23 dual exon skipping in low
yield. As these are very preliminary data, more detailed studies are necessary;
however, based on the preliminary results, MNA nucleotides might be useful in
constructing antisense oligonucleotides.
PMID- 27879667
TI - Biological Chemistry of Hydrogen Selenide.
AB - There are no two main-group elements that exhibit more similar physical and
chemical properties than sulfur and selenium. Nonetheless, Nature has deemed both
essential for life and has found a way to exploit the subtle unique properties of
selenium to include it in biochemistry despite its congener sulfur being 10,000
times more abundant. Selenium is more easily oxidized and it is kinetically more
labile, so all selenium compounds could be considered to be "Reactive Selenium
Compounds" relative to their sulfur analogues. What is furthermore remarkable is
that one of the most reactive forms of selenium, hydrogen selenide (HSe- at
physiologic pH), is proposed to be the starting point for the biosynthesis of
selenium-containing molecules. This review contrasts the chemical properties of
sulfur and selenium and critically assesses the role of hydrogen selenide in
biological chemistry.
PMID- 27879670
TI - The Protective Effects of Icariin against the Homocysteine-Induced Neurotoxicity
in the Primary Embryonic Cultures of Rat Cortical Neurons.
AB - Icariin, an ingredient in the medicinal herb Epimedium brevicornum Maxim (EbM),
has been considered as a potential therapeutic agent for neurodegenerative
diseases such as Alzheimer's disease (AD). Hyperhomocysteinaemia is a risk factor
for AD and other associated neurological diseases. In this study we aim to
investigate whether icariin can reverse homocysteine (Hcy)-induced neurotoxicity
in primary embryonic cultures of rat cortical neurons. Our findings demonstrated
that icariin might be able restore the cytoskeleton network damaged by Hcy
through the modulation of acetyl-alpha-tubulin, tyrosinated-alpha-tubulin, and
phosphorylation of the tubulin-binding protein Tau. In addition, icariin
downregulated p-extracellular signal-regulated kinase (ERK) which is a kinase
targeting tau protein. Furthermore, icariin effectively restored the
neuroprotective protein p-Akt that was downregulated by Hcy. We also applied RT2
Profiler PCR Arrays focused on genes related to AD and neurotoxicity to examine
genes differentially altered by Hcy or icariin. Among the altered genes from the
arrays, ADAM9 was downregulated 15 folds in cells treated with Hcy, but markedly
restored by icariin. ADAM family, encoded alpha-secreatase, plays a protective
role in AD. Overall, our findings demonstrated that icariin exhibits a strong
neuroprotective function and have potential for future development for drug
treating neurological disorders, such as AD.
PMID- 27879671
TI - A Comparative Study of Enantioseparations of Nalpha-Fmoc Proteinogenic Amino
Acids on Quinine-Based Zwitterionic and Anion Exchanger-Type Chiral Stationary
Phases under Hydro-Organic Liquid and Subcritical Fluid Chromatographic
Conditions.
AB - The focus of this contribution is a comparative investigation of
enantioseparations of 19 Nalpha-Fmoc proteinogenic amino acids on Quinine-based
zwitterionic and anion-exchanger type chiral stationary phases employing hydro
organic and polar-ionic liquid and subcritical fluid chromatographic conditions.
Effects of mobile phase composition (including additives, e.g., water, basis and
acids) and nature of chiral selectors on the chromatographic performances were
studied at different chromatographic modes. Thermodynamic parameters of the
temperature dependent enantioseparation results were calculated in the
temperature range 5-50 degrees C applying plots of lnalpha versus 1/T. The
differences in standard enthalpy and standard entropy for a given pair of
enantiomers were calculated and served as a basis for comparisons. Elution
sequence in all cases was determined, where a general rule could be observed,
both in liquid and subcritical fluid chromatographic mode the d-enantiomers
eluted before the L ones. In both modes, the principles of ion exchange
chromatography apply.
PMID- 27879673
TI - 4-Hydroxyisoleucine from Fenugreek (Trigonella foenum-graecum): Effects on
Insulin Resistance Associated with Obesity.
AB - Obesity and insulin resistance (IR) are interdependent multifactorial processes
that cannot be understood separately. Obesity leads to systemic inflammation and
increased levels of free fatty acids that provoke IR and lipotoxicity. At the
same time, IR exacerbates adipose cell dysfunction, resulting in chronic
inflammation and major lipotoxic effects on nonadipose tissues. 4
Hydroxyisoleucine (4-OHIle), a peculiar nonprotein amino acid isolated from
fenugreek (Trigonella foenum-graecum) seeds, exhibits interesting effects on IR
related to obesity. 4-OHIle increases glucose-induced insulin release, and the
insulin response mediated by 4-OHIle depends on glucose concentration. The
beneficial effects observed are related to the regulation of blood glucose,
plasma triglycerides, total cholesterol, free fatty acid levels, and the
improvement of liver function. The mechanism of action is related to increased
Akt phosphorylation and reduced activation of Jun N-terminal kinase (JNK)1/2,
extracellular signal-regulated kinase (ERK)1/2, p38 mitogen-activated protein
kinase (MAPK), and nuclear factor (NF)-kappaB. Here, we present a review of the
research regarding the insulinotropic and insulin-sensitising activity of 4-OHIle
in in vitro and in vivo models.
PMID- 27879672
TI - Electric Signals Regulate the Directional Migration of Oligodendrocyte Progenitor
Cells (OPCs) via beta1 Integrin.
AB - The guided migration of neural cells is essential for repair in the central
nervous system (CNS). Oligodendrocyte progenitor cells (OPCs) will normally
migrate towards an injury site to re-sheath demyelinated axons; however the
mechanisms underlying this process are not well understood. Endogenous electric
fields (EFs) are known to influence cell migration in vivo, and have been
utilised in this study to direct the migration of OPCs isolated from neonatal
Sprague-Dawley rats. The OPCs were exposed to physiological levels of electrical
stimulation, and displayed a marked electrotactic response that was dependent on
beta1 integrin, one of the key subunits of integrin receptors. We also observed
that F-actin, an important component of the cytoskeleton, was re-distributed
towards the leading edge of the migrating cells, and that this asymmetric
rearrangement was associated with beta1 integrin function.
PMID- 27879675
TI - Evaluation of Hyaluronic Acid Dilutions at Different Concentrations Using a
Quartz Crystal Resonator (QCR) for the Potential Diagnosis of Arthritic Diseases.
AB - The main objective of this article is to demonstrate through experimental means
the capacity of the quartz crystal resonator (QCR) to characterize biological
samples of aqueous dilutions of hyaluronic acid according to their viscosity and
how this capacity may be useful in the potential diagnosis of arthritic diseases.
The synovial fluid is viscous due to the presence of hyaluronic acid, synthesized
by synovial lining cells (type B), and secreted into the synovial fluid thus
making the fluid viscous. In consequence, aqueous dilutions of hyaluronic acid
may be used as samples to emulate the synovial fluid. Due to the viscoelastic and
pseudo-plastic behavior of hyaluronic acid, it is necessary to use the Rouse
model in order to obtain viscosity values comparable with viscometer measures. A
Fungilab viscometer (rheometer) was used to obtain reference measures of the
viscosity in each sample in order to compare them with the QCR prototype
measures.
PMID- 27879674
TI - Transcriptome Analysis of mRNA and miRNA in Somatic Embryos of Larix leptolepis
Subjected to Hydrogen Treatment.
AB - Hydrogen is a therapeutic antioxidant that has been used extensively in clinical
trials. It also acts as a bioactive molecule that can alleviate abiotic stress in
plants. However, the biological effects of hydrogen in somatic embryos and the
underlying molecular basis remain largely unknown. In this study, the
morphological and physiological influence of exogenous H2 treatment during
somatic embryogenesis was characterized in Larix leptolepis Gordon. The results
showed that exposure to hydrogen increased the proportions of active pro
embryogenic cells and normal somatic embryos. We sequenced mRNA and microRNA
(miRNA) libraries to identify global transcriptome changes at different time
points during H2 treatment of larch pro-embryogenic masses (PEMs). A total of
45,393 mRNAs and 315 miRNAs were obtained. Among them, 4253 genes and 96 miRNAs
were differentially expressed in the hydrogen-treated libraries compared with the
control. Further, a large number of the differentially expressed mRNAs and miRNAs
were related to reactive oxygen species (ROS) homeostasis and cell cycle
regulation. We also identified 4399 potential target genes for 285 of the miRNAs.
The differential expression data and the mRNA-miRNA interaction network described
here provide new insights into the molecular mechanisms that determine the
performance of PEMs exposed to H2 during somatic embryogenesis.
PMID- 27879677
TI - Pulmonary Function and Incidence of Selected Respiratory Diseases Depending on
the Exposure to Ambient PM10.
AB - It is essential in pulmonary disease research to take into account traffic
related air pollutant exposure among urban inhabitants. In our study, 4985 people
were examined for spirometric parameters in the presented research which was
conducted in the years 2008-2012. The research group was divided into urban and
rural residents. Traffic density, traffic structure and velocity, as well as
concentrations of selected air pollutants (CO, NO2 and PM10) were measured at
selected areas. Among people who live in the city, lower percentages of predicted
values of spirometric parameters were noticed in comparison to residents of rural
areas. Taking into account that the difference in the five-year mean
concentration of PM10 in the considered city and rural areas was over 17 MUg/m3,
each increase of PM10 by 10 MUg/m3 is associated with the decline in FEV1 (forced
expiratory volume during the first second of expiration) by 1.68%. These findings
demonstrate that traffic-related air pollutants may have a significant influence
on the decline of pulmonary function and the growing rate of respiratory
diseases.
PMID- 27879678
TI - New Thiazolyl-triazole Schiff Bases: Synthesis and Evaluation of the Anti-Candida
Potential.
AB - In the context of the dangerous phenomenon of fungal resistance to the available
therapies, we present here the chemical synthesis of a new series of thiazolyl
triazole Schiff bases B1-B15, which were in vitro assessed for their anti-Candida
potential. Compound B10 was found to be more potent against Candida spp. when
compared with the reference drugs Fluconazole and Ketoconazole. A docking study
of the newly synthesized Schiff bases was performed, and results showed good
binding affinity in the active site of co-crystallized Itraconazole-lanosterol
14alpha-demethylase isolated from Saccharomyces cerevisiae. An in silico ADMET
(absorption, distribution, metabolism, excretion, toxicity) study was done in
order to predict some pharmacokinetic and pharmacotoxicological properties. The
Schiff bases showed good drug-like properties. The results of in vitro anti
Candida activity, a docking study and ADMET prediction revealed that the newly
synthesized compounds have potential anti-Candida activity and evidenced the most
active derivative, B10, which can be further optimized as a lead compound.
PMID- 27879676
TI - Calcium Dyshomeostasis in Tubular Aggregate Myopathy.
AB - Calcium is a crucial mediator of cell signaling in skeletal muscles for basic
cellular functions and specific functions, including contraction, fiber-type
differentiation and energy production. The sarcoplasmic reticulum (SR) is an
organelle that provides a large supply of intracellular Ca2+ in myofibers. Upon
excitation, it releases Ca2+ into the cytosol, inducing contraction of
myofibrils. During relaxation, it takes up cytosolic Ca2+ to terminate the
contraction. During exercise, Ca2+ is cycled between the cytosol and the SR
through a system by which the Ca2+ pool in the SR is restored by uptake of
extracellular Ca2+ via a specific channel on the plasma membrane. This channel is
called the store-operated Ca2+ channel or the Ca2+ release-activated Ca2+
channel. It is activated by depletion of the Ca2+ store in the SR by coordination
of two main molecules: stromal interaction molecule 1 (STIM1) and calcium release
activated calcium channel protein 1 (ORAI1). Recently, myopathies with a dominant
mutation in these genes have been reported and the pathogenic mechanism of such
diseases have been proposed. This review overviews the calcium signaling in
skeletal muscles and role of store-operated Ca2+ entry in calcium homeostasis.
Finally, we discuss the phenotypes and the pathomechanism of myopathies caused by
mutations in the STIM1 and ORAI1 genes.
PMID- 27879679
TI - Hydrostatin-TL1, an Anti-Inflammatory Active Peptide from the Venom Gland of
Hydrophis cyanocinctus in the South China Sea.
AB - Tumor necrosis factor (TNF)-alpha is a pleiotropic cytokine with intense pro
inflammatory and immunomodulatory properties, and anti-TNF-alpha biologics are
effective therapies for various inflammatory diseases such as inflammatory bowel
disease (IBD) and sepsis. Snake venom, as a traditional Chinese medicine, has
been used in the treatment of inflammatory diseases in China for centuries. In
this research, we constructed a venom gland T7 phage display library of the sea
snake Hydrophis cyanocinctus to screen bioactive compounds that antagonize TNF
alpha and identified a novel nine-amino-acid peptide, termed hydrostatin-TL1 (H
TL1). In enzyme-linked immunosorbent assay (ELISA) and surface plasmon resonance
(SPR) analyses, H-TL1 inhibited the interaction between TNF-alpha and TNF
receptor 1 (TNFR1). Further, H-TL1 attenuated the cytotoxicity of TNF-alpha in
L929 cells as determined by the 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl
tetrazolium bromide (MTT) assay. H-TL1 also decreased the mRNA expression of TNF
alpha/TNFR1 downstream targets and suppressed the phosphorylation of well
characterized proteins of downstream signal transduction pathways in HEK-293
cells. In vivo data demonstrated that H-TL1 protects animals against dextran
sodium sulfate (DSS)-induced acute colitis and lipopolysaccharide (LPS)-induced
acute shock. Given its significant anti-inflammatory activity in vitro and in
vivo, H-TL1 is a potential peptide for the development of new agents to treat TNF
alpha-associated inflammatory diseases.
PMID- 27879680
TI - Stereoselective Alkane Oxidation with meta-Chloroperoxybenzoic Acid (MCPBA)
Catalyzed by Organometallic Cobalt Complexes.
AB - Cobalt pi-complexes, previously described in the literature and specially
synthesized and characterized in this work, were used as catalysts in homogeneous
oxidation of organic compounds with peroxides. These complexes contain pi
butadienyl and pi-cyclopentadienyl ligands:
[(tetramethylcyclobutadiene)(benzene)cobalt] hexafluorophosphate,
[(C4Me4)Co(C6H6)]PF6 (1); diiodo(carbonyl)(pentamethylcyclopentadienyl)cobalt,
Cp*Co(CO)I2 (2); diiodo(carbonyl)(cyclopentadienyl)cobalt, CpCo(CO)I2 (3);
(tetramethylcyclobutadiene)(dicarbonyl)(iodo)cobalt, (C4Me4)Co(CO)2I (4);
[(tetramethylcyclobutadiene)(acetonitrile)(2,2'-bipyridyl)cobalt]
hexafluorophosphate, [(C4Me4)Co(bipy)(MeCN)]PF6 (5); bis[dicarbonyl(B
cyclohexylborole)]cobalt, [(C4H4BCy)Co(CO)2]2 (6);
[(pentamethylcyclopentadienyl)(iodo)(1,10-phenanthroline)cobalt]
hexafluorophosphate, [Cp*Co(phen)I]PF6 (7); diiodo(cyclopentadienyl)cobalt,
[CpCoI2]2 (8); [(cyclopentadienyl)(iodo)(2,2'-bipyridyl)cobalt]
hexafluorophosphate, [CpCo(bipy)I]PF6 (9); and
[(pentamethylcyclopentadienyl)(iodo)(2,2'-bipyridyl)cobalt] hexafluorophosphate,
[Cp*Co(bipy)I]PF6 (10). Complexes 1 and 2 catalyze very efficient and
stereoselective oxygenation of tertiary C-H bonds in isomeric
dimethylcyclohexanes with MCBA: cyclohexanols are produced in 39 and 53% yields
and with the trans/cis ratio (of isomers with mutual trans- or cis-configuration
of two methyl groups) 0.05 and 0.06, respectively. Addition of nitric acid as co
catalyst dramatically enhances both the yield of oxygenates and stereoselectivity
parameter. In contrast to compounds 1 and 2, complexes 9 and 10 turned out to be
very poor catalysts (the yields of oxygenates in the reaction with cis-1,2
dimethylcyclohexane were only 5%-7% and trans/cis ratio 0.8 indicated that the
oxidation is not stereoselective). The chromatograms of the reaction mixture
obtained before and after reduction with PPh3 are very similar, which testifies
that alkyl hydroperoxides are not formed in this oxidation. It can be thus
concluded that the interaction of the alkanes with MCPBA occurs without the
formation of free radicals. The complexes catalyze oxidation of alcohols with
tert-butylhydroperoxide (TBHP). For example, tert-BuOOH efficiently oxidizes 1
phenylethanol to acetophenone in 98% yield if compound 1 is used as a catalyst.
PMID- 27879681
TI - Kuwanon G Preserves LPS-Induced Disruption of Gut Epithelial Barrier In Vitro.
AB - Defects in the gut epithelial barrier have now been recognized to be responsible
for diabetic endotoxemia. In everyday life, Mulberry leaf tea is widely used in
Asian nations due to its proposed benefits to health and control of diabetes.
Evidence indicates the potential role of Kuwanon G (KWG), a component from Morus
alba L., on blocking the gut epithelial barrier. In lipopolysaccharides (LPS)
damaged Caco-2 cells, it was found that KWG increased the viability of cells in a
concentration-dependent manner. KWG administration significantly elevated the
anti-oxidant abilities via increasing ratio of superoxidase dismutase
(SOD)/malondialdehyde (MDA) and decreasing reactive oxygen species (ROS) within
the cells. During KWG incubation, pro-inflammatory cytokines including
interleukin (IL)-1beta and tumor necrosis factor (TNF)-alpha were significantly
reduced, tight junction proteins including zonula occludens (ZO)-1, intercellular
adhesion molecule (ICAM)-1 and Occludin were dramatically increased as detected
by immunofluorescence assay, trans-epithelial electrical resistance was
significantly increased and the transmission of albumin-fluorescein
isothiocyanate (FITC) across the barrier was decreased. In conclusion, the
present study demonstrated that KWG could ameliorate LPS-induced disruption of
the gut epithelial barrier by increasing cell viability and tight junction
between cells, and decreasing pro-inflammatory cytokines and oxidative damage.
PMID- 27879682
TI - Ziyuglycoside I Inhibits the Proliferation of MDA-MB-231 Breast Carcinoma Cells
through Inducing p53-Mediated G2/M Cell Cycle Arrest and Intrinsic/Extrinsic
Apoptosis.
AB - BACKGROUND: Due to the aggressive clinical behavior, poor outcome, and lack of
effective specific targeted therapies, triple-negative breast cancer (TNBC) has
currently been recognized as one of the most malignant types of tumors. In the
present study, we investigated the cytotoxic effect of ziyuglycoside I, one of
the major components extracted from Chinese anti-tumor herbal Radix Sanguisorbae,
on the TNBC cell line MDA-MB-231. METHODS: The underlying molecular mechanism of
the cytotoxic effect ziyuglycoside I on MDA-MB-231 cells was investigated with
cell viability assay, flow cytometric analysis and Western blot. RESULTS:
Compared to normal mammary gland Hs 578Bst cells, treatment of ziyuglycoside I
resulted in a significant growth inhibitory effect on MDA-MB-231 cells.
Ziyuglycoside I induced the G2/M phase arrest and apoptosis of MDA-MB-231 cells
in a dose-dependent manner. These effects were found to be partially mediated
through the up-regulation of p53 and p21WAF1, elevated Bax/Bcl-2 ratio, and the
activation of both intrinsic (mitochondrial-initiated) and extrinsic (Fas/FasL
initiated) apoptotic pathways. Furthermore, the p53 specific siRNA attenuated
these effects. CONCLUSION: Our study suggested that ziyuglycoside I-triggered MDA
MB-231 cell cycle arrest and apoptosis were probably mediated by p53. This
suggests that ziyuglycoside I might be a potential drug candidate for treating
TNBC.
PMID- 27879683
TI - Synthesis and Evaluation of New Benzodioxole- Based Thiosemicarbazone Derivatives
as Potential Antitumor Agents.
AB - New benzodioxole-based thiosemicarbazone derivatives were synthesized and
evaluated for their cytotoxic effects on A549 human lung adenocarcinoma, C6 rat
glioma and NIH/3T3 mouse embryonic fibroblast cells. In order to examine the
correlation between anticancer activity and cholinesterases, the compounds were
evaluated for their inhibitory effects on AChE and BuChE. The most effective
anticancer agents were investigated for their effects on DNA synthesis, apoptosis
and mitochondrial membrane potential. 4-(1,3-Benzodioxol-5-yl)-1-([1,1'-biphenyl]
4-ylmethylene)thiosemicarbazide (5) was identified as the most promising
anticancer agent against C6 and A549 cell lines due to its inhibitory effects on
C6 and A549 cells and low toxicity to NIH/3T3 cells. Compound 5 increased early
and late apoptosis in A549 and C6 cells. Compound 5 also caused disturbance on
mitochondrial membrane potential and showed DNA synthesis inhibitory activity in
A549 and C6 cells. Compound 5 was investigated for SIRT1 inhibitory activity to
provide mechanistic insight and for that purpose docking studies were also
performed for this compound on SIRT1. On the other hand, compound 5 did not show
any inhibitory activity against AChE and BuChE. This outcome pointed out that
there is no relationship between anticancer activity of compound 5 and
cholinesterases.
PMID- 27879685
TI - Synthesis and Biological Evaluation of Benzimidazole Phenylhydrazone Derivatives
as Antifungal Agents against Phytopathogenic Fungi.
AB - A series of benzimidazole phenylhydrazone derivatives (6a-6ai) were synthesized
and characterized by 1H-NMR, ESI-MS, and elemental analysis. The structure of 6b
was further confirmed by single crystal X-ray diffraction as (E)-configuration.
All the compounds were screened for antifungal activity against Rhizoctonia
solani and Magnaporthe oryzae employing a mycelium growth rate method. Compound
6f exhibited significant inhibitory activity against R. solani and M. oryzae with
the EC50 values of 1.20 and 1.85 MUg/mL, respectively. In vivo testing
demonstrated that 6f could effectively control the development of rice sheath
blight (RSB) and rice blast (RB) caused by the above two phytopathogens. This
work indicated that the compound 6f with a benzimidazole phenylhydrazone scaffold
could be considered as a leading structure for the development of novel
fungicides.
PMID- 27879687
TI - Images from Bits: Non-Iterative Image Reconstruction for Quanta Image Sensors.
AB - A quanta image sensor (QIS) is a class of single-photon imaging devices that
measure light intensity using oversampled binary observations. Because of the
stochastic nature of the photon arrivals, data acquired by QIS is a massive
stream of random binary bits. The goal of image reconstruction is to recover the
underlying image from these bits. In this paper, we present a non-iterative image
reconstruction algorithm for QIS. Unlike existing reconstruction methods that
formulate the problem from an optimization perspective, the new algorithm
directly recovers the images through a pair of nonlinear transformations and an
off-the-shelf image denoising algorithm. By skipping the usual optimization
procedure, we achieve orders of magnitude improvement in speed and even better
image reconstruction quality. We validate the new algorithm on synthetic
datasets, as well as real videos collected by one-bit single-photon avalanche
diode (SPAD) cameras.
PMID- 27879686
TI - Goal Pursuit in Youth with Chronic Pain.
AB - Children and adolescents frequently experience chronic pain that can disrupt
their usual activities and lead to poor physical and emotional functioning. The
fear avoidance model of pain with an emphasis on the maladaptive behaviors that
lead to activity avoidance has guided research and clinical practice. However,
this model does not take into consideration variability in responses to pain, in
particular the active pursuit of goals despite pain. This review aims to
introduce a novel conceptualization of children's activity engagement versus
avoidance using the framework of goal pursuit. We propose a new model of Goal
Pursuit in Pediatric Chronic Pain, which proposes that the child's experience of
pain is modified by child factors (e.g., goal salience, motivation/energy, pain
related anxiety/fear, and self-efficacy) and parent factors (e.g., parent
expectations for pain, protectiveness behaviors, and parent anxiety), which lead
to specific goal pursuit behaviors. Goal pursuit is framed as engagement or
avoidance of valued goals when in pain. Next, we recommend that research in youth
with chronic pain should be reframed to account for the pursuit of valued goals
within the context of pain and suggest directions for future research.
PMID- 27879684
TI - Role of Osteogenic Growth Peptide (OGP) and OGP(10-14) in Bone Regeneration: A
Review.
AB - Bone regeneration is a process that involves several molecular mediators, such as
growth factors, which directly affect the proliferation, migration and
differentiation of bone-related cells. The osteogenic growth peptide (OGP) and
its C-terminal pentapeptide OGP(10-14) have been shown to stimulate the
proliferation, differentiation, alkaline phosphatase activity and matrix
mineralization of osteoblastic lineage cells. However, the exact molecular
mechanisms that promote osteoblastic proliferation and differentiation are not
completely understood. This review presents the main chemical characteristics of
OGP and/or OGP(10-14), and also discusses the potential molecular pathways
induced by these growth factors to promote proliferation and differentiation of
osteoblasts. Furthermore, since these peptides have been extensively investigated
for bone tissue engineering, the clinical applications of these peptides for bone
regeneration are discussed.
PMID- 27879688
TI - IEEE 802.11ah: A Technology to Face the IoT Challenge.
AB - Since the conception of the Internet of things (IoT), a large number of promising
applications and technologies have been developed, which will change different
aspects in our daily life. This paper explores the key characteristics of the
forthcoming IEEE 802.11ah specification. This future IEEE 802.11 standard aims to
amend the IEEE 802.11 legacy specification to support IoT requirements. We
present a thorough evaluation of the foregoing amendment in comparison to the
most notable IEEE 802.11 standards. In addition, we expose the capabilities of
future IEEE 802.11ah in supporting different IoT applications. Also, we provide a
brief overview of the technology contenders that are competing to cover the IoT
communications framework. Numerical results are presented showing how the future
IEEE 802.11ah specification offers the features required by IoT communications,
thus putting forward IEEE 802.11ah as a technology to cater the needs of the
Internet of Things paradigm.
PMID- 27879690
TI - Geographical and Temporal Variations in Female Breast Cancer Mortality in the
Municipalities of Andalusia (Southern Spain).
AB - The last published figures have shown geographical variations in mortality with
respect to female breast cancer in European countries. However, national health
policies need a dynamic image of the geographical variations within the country.
The aim of this paper was to describe the spatial distribution of age-specific
mortality rates from female breast cancer in the municipalities of Andalusia
(southern Spain) and to analyze its evolution over time from 1981 to 2012. An
ecological study was devised. Two spatio-temporal hierarchical Bayesian models
were estimated. One of these was used to estimate the age-specific mortality rate
for each municipality, together with its time trends, and the other was used to
estimate the age-specific rate ratio compared with Spain as a whole. The results
showed that 98% of the municipalities exhibited a decreasing or a flat mortality
trend for all the age groups. In 2012, the geographical variability of the age
specific mortality rates was small, especially for population groups below 65. In
addition, more than 96.6% of the municipalities showed an age-specific mortality
rate similar to the corresponding rate for Spain, and there were no identified
significant clusters. This information will contribute towards a reflection on
the past, present and future of breast cancer outcomes in Andalusia.
PMID- 27879689
TI - Determinants, Health Problems, and Food Insecurity in Urban Areas of the Largest
City in Cape Verde.
AB - Urbanization processes are intertwined with nutritional transition because there
is easier access to food of low nutritional quality at reduced prices, changing
dietary patterns and leading to an increase of non-communicable chronic diseases.
This study aims to understand the perceptions for high blood pressure, obesity,
and alcoholism, describing some interactions of these dimensions in the problem
of food security in the city of Praia. A qualitative study was carried out under
the framework of the research project "UPHI-STAT: Urban Planning and Health
Inequalities-moving from macro to micro statistics". Ten focus groups were
conducted in three urban areas with distinct characteristics in the city of
Praia, with a total of 48 participants. Participants reported frequent
consumption of foods with poor nutritional quality, understanding the potential
danger in terms of food security in the city of Praia. Easy access to and high
levels of alcohol consumption, and poor quality of traditional drinks were
mentioned by participants in the study areas. The impact of the economic
situation on the possibility of access to safe and healthy options emerged as a
differentiating factor.
PMID- 27879692
TI - Development of an Earthquake Early Warning System Using Real-Time Strong Motion
Signals.
AB - As urbanization progresses worldwide, earthquakes pose serious threat to livesand
properties for urban areas near major active faults on land or subduction
zonesoffshore. Earthquake Early Warning (EEW) can be a useful tool for reducing
earthquakehazards, if the spatial relation between cities and earthquake sources
is favorable for suchwarning and their citizens are properly trained to respond
to earthquake warning messages.An EEW system forewarns an urban area of
forthcoming strong shaking, normally with afew sec to a few tens of sec of
warning time, i.e., before the arrival of the destructive Swavepart of the strong
ground motion. Even a few second of advanced warning time willbe useful for pre
programmed emergency measures for various critical facilities, such asrapid
transit vehicles and high-speed trains to avoid potential derailment; it will be
alsouseful for orderly shutoff of gas pipelines to minimize fire hazards,
controlled shutdown ofhigh-technological manufacturing operations to reduce
potential losses, and safe-guardingof computer facilities to avoid loss of vital
databases. We explored a practical approach toEEW with the use of a ground-motion
period parameter tauc and a high-pass filtered verticaldisplacement amplitude
parameter Pd from the initial 3 sec of the P waveforms. At a givensite, an
earthquake magnitude could be determined from tauc and the peak ground
motionvelocity (PGV) could be estimated from Pd. In this method, incoming strong
motion acceleration signals are recursively converted to ground velocity and
displacement. A Pwavetrigger is constantly monitored. When a trigger occurs, tauc
and Pd are computed. Theearthquake magnitude and the on-site ground-motion
intensity could be estimated and thewarning could be issued. In an ideal
situation, such warnings would be available within 10sec of the origin time of a
large earthquake whose subsequent ground motion may last fortens of seconds.
PMID- 27879691
TI - Overt Primary Hypothyroidism in an Industrial Area in Sao Paulo, Brazil: The
Impact of Public Disclosure.
AB - Background: Primary hypothyroidism (PH) is the most common thyroid pathology.
Purpose: to evaluate the impact of public disclosure of an unexpected number of
PH cases on the frequency of patients seeking medical evaluation for
endocrinological diseases. Methods: data on 6306 subjects (3356 living in the
surroundings of a petrochemical complex and 2950 in a control region) were
collected over a 15-year time span. Thyroid function was determined by serum
levels of triiodothyronine, thyroxine, free thyroxine and thyrotrophin.
Antithyroglobulin and antithyroperoxidase antibodies and sonographic scans of the
thyroid were performed in all patients. The data were analyzed via log-linear
models to compute odds and odds ratios. Results: An increasing trend in the odds
of PH was detected along the observation period with greater slope in the study
region than in the control region. The odds of PH in the post-disclosure period
(2002 to 2004) are greater than the corresponding ones in the pre-disclosure
period (1989 to 2001). Conclusions: This study shows that living in the
surroundings of a petrochemical complex may be an important risk factor for PH
for both adults and children. Furthermore, public disclosure of such risk factor
contributes to the awareness of the problem and to the possibility of an early
diagnosis.
PMID- 27879694
TI - Wavelength Dependence of Photoinduced Microcantilever Bending in the UV-VIS
Range.
AB - Micromechanical devices such as microcantilevers (MC) respond to irradiationwith
light by at least two different, photon-mediated processes, which induce MC
bendingas a consequence of differential surface stress. The first and slow
bending is due to theabsorption of photons, whose energy is transformed into heat
and causes bending ofbimetallic microcantilevers due to thermal expansion. The
second type of deflection is fastand caused by photons of sufficient energy to
promote electrons across the Schottky barrierand thus create charge carriers,
resulting in photoinduced stress that causes MC bending. Inthis study, the MC
bending response to irradiation with light of wavelengths ranging from250 to 700
nm was investigated. Measurements of the immediate mechanical response
tophotoinduced stress as a function of the wavelength of incident light provide
an avenue tothe determination of the cut-off wavelength/energy of the Schottky
barrier in the MCdevices under investigation. For a gold coated Si3Ni4
microcantilever we measured a cutoffwavelength of 1206 nm, which lies in the
range of the literature value of 1100 nm.
PMID- 27879693
TI - Cantilever Micro-rheometer for the Characterization of Sugar Solutions.
AB - The volume required for the rheological characterization of fluids can
beminimized by using micromechanical cantilevers as viscosity sensors. Here, a
simplemeasurement tool for the characterization of sugar solutions is proposed.
The sensorconsists of a micromechanical cantilever as used in an atomic force
microscopy which isintegrated into a closed fluid handling system. Fluid
properties are derived from an analysisof the power spectral density of the
fluctuations of the cantilever deflection signal. The dataacquisition system is
operated with standard consumer computer components, which limitsthe costs for
the hardware. Measurements with different sugar solutions indicate that thesensor
system provides reliable viscosity values for sugar concentrations as they occur
inbiological systems. The viscosities of the sugar solutions could be evaluated
with an errorsmaller than 5 %.
PMID- 27879695
TI - Input-output Transfer Function Analysis of a Photometer Circuit Based on an
Operational Amplifier.
AB - In this paper an input-output transfer function analysis based on the
frequencyresponse of a photometer circuit based on operational amplifier (op amp)
is carried out. Opamps are universally used in monitoring photodetectors and
there are a variety of amplifierconnections for this purpose. However, the
electronic circuits that are usually used to carryout the signal treatment in
photometer circuits introduce some limitations in theperformance of the
photometers that influence the selection of the op amps and otherelectronic
devices. For example, the bandwidth, slew-rate, noise, input impedance and
gain,among other characteristics of the op amp, are often the performance
limiting factors ofphotometer circuits. For this reason, in this paper a
comparative analysis between twophotodiode amplifier circuits is carried out. One
circuit is based on a conventional currentto-voltage converter connection and the
other circuit is based on a robust current-to-voltageconverter connection. The
results are satisfactory and show that the photodiode amplifierperformance can be
improved by using robust control techniques.
PMID- 27879696
TI - Changes in Spectral Properties, Chlorophyll Content and Internal Mesophyll
Structure of Senescing Populus balsamifera and Populus tremuloides Leaves.
AB - In this paper we compare leaf traits and spectral reflectance for sunlit
andshaded leaves of Populus tremuloides and Populus balsamifera during
autumnsenescence using information derived from an Analytical Spectral Devise
(ASD) FullRange spectrometer. The modified simple ratio (mSR705) and modified
normalizeddifference index (mND705) were effective in describing changes in
chlorophyll contentover this period. Highly significant (P less than 0.01)
correlation coefficients were found betweenthe chlorophyll indices (mSR705,
mND705)) and chlorophyll a, b, total chlorophyll andchlorophyll a/b. Changes in
mesophyll structure were better described by the plantsenescence reflectance
index (PSRI) than by near-infrared wavebands. Overall, P.balsamifera exhibited
lower total chlorophyll and earlier senescence than P. tremuloides.Leaves of P.
balsamifera were also thicker, had a higher proportion of intercellular spacein
the spongy mesophyll, and higher reflectance at 800 nm. Further research, using
largersample sizes over a broader range of sites will extend our understanding of
the spectraland temporal dynamics of senescence in P. tremuloides and P.
balsamifera and will beparticularly useful if species differences are detectable
at the crown level using remotelysensed imagery.
PMID- 27879697
TI - Assessment of Evapotranspiration and Soil Moisture Content Across Different
Scales of Observation.
AB - The proper assessment of evapotranspiration and soil moisture content
arefundamental in food security research, land management, pollution detection,
nutrient flows,(wild-) fire detection, (desert) locust, carbon balance as well as
hydrological modelling; etc.This paper takes an extensive, though not exhaustive
sample of international scientificliterature to discuss different approaches to
estimate land surface and ecosystem relatedevapotranspiration and soil moisture
content. This review presents:(i) a summary of the generally accepted cohesion
theory of plant water uptake andtransport including a shortlist of meteorological
and plant factors influencing planttranspiration;(ii) a summary on
evapotranspiration assessment at different scales of observation
(sapflow,porometer, lysimeter, field and catchment water balance, Bowen
ratio,scintillometer, eddy correlation, Penman-Monteith and related
approaches);(iii) a summary on data assimilation schemes conceived to estimate
evapotranspirationusing optical and thermal remote sensing; and(iv) for soil
moisture content, a summary on soil moisture retrieval techniques atdifferent
spatial and temporal scales is presented.Concluding remarks on the best available
approaches to assess evapotranspiration and soilmoisture content with and
emphasis on remote sensing data assimilation, are provided.
PMID- 27879699
TI - Electronic Nose Based on Metal Oxide Semiconductor Sensors as an Alternative
Technique for the Spoilage Classification of Red Meat.
AB - The aim of the present study was to develop an electronic nose for the quality
control of red meat. Electronic nose and bacteriological measurements are
performed to analyse samples of beef and sheep meat stored at 4 degrees C for up
to 15 days. Principal component analysis (PCA) and support vector machine (SVM)
based classification techniques are used to investigate the performance of the
electronic nose system in the spoilage classification of red meats. The
bacteriological method was selected as the reference method to consistently train
the electronic nose system. The SVM models built classified meat samples based on
the total microbial population into "unspoiled" (microbial counts < 6 log10
cfu/g) and "spoiled" (microbial counts >= 6 log10 cfu/g). The preliminary results
obtained by the bacteria total viable counts (TVC) show that the shelf-life of
beef and sheep meats stored at 4 degrees C are 7 and 5 days, respectively. The
electronic nose system coupled to SVM could discriminate between unspoiled/
spoiled beef or sheep meats with a success rate of 98.81 or 96.43 %,
respectively. To investigate whether the results of the electronic nose
correlated well with the results of the bacteriological analysis, partial least
squares (PLS) calibration models were built and validated. Good correlation
coefficients between the electronic nose signals and bacteriological data were
obtained, a clear indication that the electronic nose system can become a simple
and rapid technique for the quality control of red meats.
PMID- 27879700
TI - Lightning Sensors for Observing, Tracking and Nowcasting Severe Weather.
AB - Severe and extreme weather is a major natural hazard all over the world,
oftenresulting in major natural disasters such as hail storms, tornados, wind
storms, flash floods,forest fires and lightning damages. While precipitation,
wind, hail, tornados, turbulence,etc. can only be observed at close distances,
lightning activity in these damaging stormscan be monitored at all spatial
scales, from local (using very high frequency [VHF]sensors), to regional (using
very low frequency [VLF] sensors), and even global scales(using extremely low
frequency [ELF] sensors). Using sensors that detect the radio wavesemitted by
each lightning discharge, it is now possible to observe and track
continuouslydistant thunderstorms using ground networks of sensors. In addition
to the number oflightning discharges, these sensors can also provide information
on lightningcharacteristics such as the ratio between intra-cloud and cloud-to
ground lightning, thepolarity of the lightning discharge, peak currents, charge
removal, etc. It has been shownthat changes in some of these lightning
characteristics during thunderstorms are oftenrelated to changes in the severity
of the storms. In this paper different lightning observingsystems are described,
and a few examples are provided showing how lightning may beused to monitor storm
hazards around the globe, while also providing the possibility ofsupplying short
term forecasts, called nowcasting.
PMID- 27879701
TI - Chemical Sensing Sensitivity of Long-Period Grating Sensor Enhanced by Colloidal
Gold Nanoparticles.
AB - A simple and effective method is proposed to improve spectral sensitivity
anddetection limit of long period gratings for refractive index or chemical
sensing, where thegrating surface is modified by a monolayer of colloidal gold
nanoparticles. Thetransmission spectra and optical properties of gold nanospheres
vary with the differentrefractive index of the environment near the surface of
gold nanospheres. The sensorresponse of gold colloids increases linearly with
solvents of increasing refractive index.The results for the measurement of
sucrose and sodium chloride solutions are reported,which show that this type of
sensor can provide a limiting resolution of ~10-3 to ~10-4 forrefractive indices
in the range of 1.34 to 1.39 and a noticeable increase in detection limit
ofrefractive index to external medium.
PMID- 27879698
TI - Electrochemical Sensors Based on Organic Conjugated Polymers.
AB - Organic conjugated polymers (conducting polymers) have emerged as
potentialcandidates for electrochemical sensors. Due to their straightforward
preparation methods,unique properties, and stability in air, conducting polymers
have been applied to energystorage, electrochemical devices, memory devices,
chemical sensors, and electrocatalysts.Conducting polymers are also known to be
compatible with biological molecules in aneutral aqueous solution. Thus, these
are extensively used in the fabrication of accurate,fast, and inexpensive
devices, such as biosensors and chemical sensors in the medicaldiagnostic
laboratories. Conducting polymer-based electrochemical sensors and biosensorsplay
an important role in the improvement of public health and environment because
rapiddetection, high sensitivity, small size, and specificity are achievable for
environmentalmonitoring and clinical diagnostics. In this review, we summarized
the recent advances inconducting polymer-based electrochemical sensors, which
covers chemical sensors(potentiometric, voltammetric, amperometric) and
biosensors (enzyme based biosensors,immunosensors, DNA sensors).
PMID- 27879702
TI - Fabrication of a ZnO Pyroelectric Sensor.
AB - This paper proposes a two-step radio frequency (RF) sputtering process to forma
ZnO film for pyroelectric sensors. It is shown that the two-step sputtering
process with alower power step followed by a higher power step can significantly
improve the voltageresponsivity of the ZnO pyroelectric sensor. The improvement
is attributed mainly to theformation of ZnO film with a strongly preferred
orientation towards the c-axis.Furthermore, a nickel film deposited onto the
uncovered parts of the ZnO film caneffectively improve the voltage responsivity
at higher modulating frequencies since thenickel film can enhance the incident
energy absorption of the ZnO layer.
PMID- 27879704
TI - Application of Design of Experiment Method for Thrust Force Minimization in Step
feed Micro Drilling.
AB - Micro drilled holes are utilized in many of today's fabrication
processes.Precision production processes in industries are trending toward the
use of smaller holeswith higher aspect ratios, and higher speed operation for
micro deep hole drilling. However,undesirable characteristics related to micro
drilling such as small signal-to-noise ratios,wandering drill motion, high aspect
ratio, and excessive cutting forces can be observedwhen cutting depth increases.
In this study, the authors attempt to minimize the thrustforces in the step-feed
micro drilling process by application of the DOE (Design ofExperiment) method.
Taking into account the drilling thrust, three cutting parameters,feedrate, step
feed, and cutting speed, are optimized based on the DOE method. Forexperimental
studies, an orthogonal array L27(313) is generated and ANOVA (Analysis
ofVariance) is carried out. Based on the results it is determined that the
sequence of factorsaffecting drilling thrusts corresponds to feedrate, step-feed,
and spindle rpm. Acombination of optimal drilling conditions is also identified.
In particular, it is found in thisstudy that the feedrate is the most important
factor for micro drilling thrust minimization.
PMID- 27879703
TI - Enzyme-Linked Electrochemical Detection of PCR-Amplified Nucleotide Sequences
Using Disposable Screen-Printed Sensors. Applications in Gene Expression
Monitoring.
AB - Electrochemical enzyme-linked techniques for sequence-specific DNA sensingare
presented. These techniques are based on attachment of streptavidin
alkalinephosphatase conjugate to biotin tags tethered to DNA immobilized at the
surface ofdisposable screen-printed carbon electrodes (SPCE), followed by
production andelectrochemical determination of an electroactive indicator, 1
naphthol. Via hybridizationof SPCE surface-confined target DNAs with end
biotinylated probes, highly specificdiscrimination between complementary and non
complementary nucleotide sequences wasachieved. The enzyme-linked DNA
hybridization assay has been successfully applied inanalysis of PCR-amplified
real genomic DNA sequences, as well as in monitoring of planttissue-specific gene
expression. In addition, we present an alternative approach involvingsequence
specific incorporation of biotin-labeled nucleotides into DNA by primerextension.
Introduction of multiple biotin tags per probe primer resulted in
considerableenhancement of the signal intensity and improvement of the
specificity of detection.
PMID- 27879705
TI - Experimental Study on the Effects of Alumina Abrasive Particle Behavior in MR
Polishing for MEMS Applications.
AB - Recently, the magnetorheological (MR) polishing process has been examined asa new
ultra-precision polishing technology for micro parts in MEMS applications. In
theMR polishing process, the magnetic force plays a dominant role. This method
uses MRfluids which contains micro abrasives as a polishing media. The objective
of the presentresearch is to shed light onto the material removal mechanism under
various slurryconditions for polishing and to investigate surface
characteristics, including shape analysisand surface roughness measurement, of
spots obtained from the MR polishing process usingalumina abrasives. A series of
basic experiments were first performed to determine theoptimum polishing
conditions for BK7 glass using prepared slurries by changing the
processparameters, such as wheel rotating speed and electric current. Using the
obtained results,groove polishing was then performed and the results are
investigated. Outstanding surfaceroughness of Ra=3.8nm was obtained on the BK7
glass specimen. The present resultshighlight the possibility of applying this
polishing method to ultra-precision micro partsproduction, especially in MEMS
applications.
PMID- 27879706
TI - Advances in Remote Sensing for Oil Spill Disaster Management: State-of-the-Art
Sensors Technology for Oil Spill Surveillance.
AB - Reducing the risk of oil spill disasters is essential for protecting the
environmentand reducing economic losses. Oil spill surveillance constitutes an
important component ofoil spill disaster management. Advances in remote sensing
technologies can help to identifyparties potentially responsible for pollution
and to identify minor spills before they causewidespread damage. Due to the large
number of sensors currently available for oil spillsurveillance, there is a need
for a comprehensive overview and comparison of existingsensors. Specifically,
this paper examines the characteristics and applications of differentsensors. A
better understanding of the strengths and weaknesses of oil spill
surveillancesensors will improve the operational use of these sensors for oil
spill response andcontingency planning. Laser fluorosensors were found to be the
best available sensor for oilspill detection since they not only detect and
classify oil on all surfaces but also operate ineither the day or night. For
example, the Scanning Laser Environmental AirborneFluorosensor (SLEAF) sensor was
identified to be a valuable tool for oil spill surveillance.However, no single
sensor was able to provide all information required for oil spillcontingency
planning. Hence, combinations of sensors are currently used for oil
spillsurveillance. Specifically, satellite sensors are used for preliminary oil
spill assessmentwhile airborne sensors are used for detailed oil spill analysis.
While satellite remote sensingis not suitable for tactical oil spill planning it
can provide a synoptic coverage of theaffected area.
PMID- 27879707
TI - Soil Moisture Profile Effect on Radar Signal Measurement.
AB - The objective of this paper is to analyze the behaviour of a backscattered
signalaccording to soil moisture depth over bare soils. Analysis based on
experimental verticalmoisture profiles and ASAR/ENVISAT measurements has been
carried out. A modifiedIEM model with three permittivity layers (0-1cm, 1-2cm, 2
5cm) has been developed andused in this study. Results show a small effect of
moisture profile on the backscatteredsignal (less than 0.5dB). However,
measurements and simulations have provided a moredetailed insight into the
behaviour of the radar signal and have shown that it was importantto consistently
use the same protocol when performing ground truth measurements of soilmoisture.
PMID- 27879708
TI - Application of Multiplexed FBG and PZT Impedance Sensors for Health Monitoring of
Rocks.
AB - Reliable structural health monitoring (SHM) including nondestructiveevaluation
(NDE) is essential for safe operation of infrastructure systems.
Effectivemonitoring of the rock components of civil infrastructures such as
tunnels and cavernsremains challenging. The feasibility of employing smart
optical fibre sensor (OFS) andpiezoelectric impedance sensor made up of lead
zirconate titanate (PZT) forcomprehensive health monitoring of rocks, covering
load history monitoring/retrieval aswell as damage assessment is presented in
this paper. The rock specimens are subjected tocyclic loading and their
conditions are continuously monitored using OFS and PZTsensors. OFS based
multiplexed fibre Bragg grating (FBG) sensors are surface bonded onthe rock
specimens. Their strain sensing performance is compared with the
conventionalelectric strain gauges (ESGs). In addition, PZT patches are also
bonded on the specimensto study the damage pattern during different loading
cycles. Unlike the FBGs or ESGs,PZT patches are used as bi-functional sensors and
actuators, enabling them to be efficientdetectors of incipient damages using the
principle of electromechanical impedance. Theexperimental study demonstrated
superior performance of these smart FBG and PZTimpedance sensors. This work is
expected to be useful for SHM based NDE application ofrock structures such as
caverns and tunnels.
PMID- 27879709
TI - A Review on the Electrochemical Sensors and Biosensors Composed of Nanowires as
Sensing Material.
AB - The development and application of nanowires for electrochemical sensors and
biosensors are reviewed in this article. Next generation sensor platforms will
require significant improvements in sensitivity, specificity and parallelism in
order to meet the future needs in variety of fields. Sensors made of nanowires
exploit some fundamental nanoscopic effect in order to meet these requirements.
Nanowires are new materials, which have the characteristic of low weight with
extraordinary mechanical, electrical, thermal and multifunctional properties. The
advantages such as size scale, aspect ratio and other properties of nanowires are
especially apparent in the use of electrical sensors such as electrochemical
sensors and in the use of field-effect transistors. The preparation methods of
nanowires and their properties are discussed along with their advantages towards
electrochemical sensors and biosensors. Some key results from each article are
summarized, relating the concept and mechanism behind each sensor, with
experimental conditions as well as their behavior at different conditions.
PMID- 27879710
TI - Ad Hoc Modeling of Root Zone Soil Water with Landsat Imagery and Terrain and
Soils Data.
AB - Agricultural producers require knowledge of soil water at plant rooting
depths,while many remote sensing studies have focused on surface soil water or
mechanisticmodels that are not easily parameterized. We developed site-specific
empirical models topredict spring soil water content for two Montana ranches.
Calibration data sample sizeswere based on the estimated variability of soil
water and the desired level of precision forthe soil water estimates. Models used
Landsat imagery, a digital elevation model, and asoil survey as predictor
variables. Our objectives were to see whether soil water could bepredicted
accurately with easily obtainable calibration data and predictor variables and
toconsider the relative influence of the three sources of predictor variables.
Independentvalidation showed that multiple regression models predicted soil water
with average error(RMSD) within 0.04 mass water content. This was similar to the
accuracy expected basedon a statistical power test based on our sample size (n =
41 and n = 50). Improvedprediction precision could be achieved with additional
calibration samples, and rangemanagers can readily balance the desired level of
precision with the amount of effort tocollect calibration data. Spring soil water
prediction effectively utilized a combination ofland surface imagery, terrain
data, and subsurface soil characterization data. Rancherscould use accurate
spring soil water content predictions to set stocking rates. Suchmanagement can
help ensure that water, soil, and vegetation resources are usedconservatively in
irrigated and non-irrigated rangeland systems.
PMID- 27879711
TI - Sensitivity of PZT Impedance Sensors for Damage Detection of Concrete Structures.
AB - Piezoelectric ceramic Lead Zirconate Titanate (PZT) based electro
mechanicalimpedance (EMI) technique for structural health monitoring (SHM) has
been successfullyapplied to various engineering systems. However, fundamental
research work on thesensitivity of the PZT impedance sensors for damage detection
is still in need. In thetraditional EMI method, the PZT electro-mechanical (EM)
admittance (inverse of theimpedance) is used as damage indicator, which is
difficult to specify the effect of damage onstructural properties. This paper
uses the structural mechanical impedance (SMI) extractedfrom the PZT EM
admittance signature as the damage indicator. A comparison study on
thesensitivity of the EM admittance and the structural mechanical impedance to
the damages ina concrete structure is conducted. Results show that the SMI is
more sensitive to the damagethan the EM admittance thus a better indicator for
damage detection. Furthermore, this paperproposes a dynamic system consisting of
a number of single-degree-of-freedom elementswith mass, spring and damper
components to model the SMI. A genetic algorithm isemployed to search for the
optimal value of the unknown parameters in the dynamic system.An experiment is
carried out on a two-storey concrete frame subjected to base vibrations
thatsimulate earthquake. A number of PZT sensors are regularly arrayed and bonded
to the framestructure to acquire PZT EM admittance signatures. The relationship
between the damageindex and the distance of the PZT sensor from the damage is
studied. Consequently, thesensitivity of the PZT sensors is discussed and their
sensing region in concrete is derived.
PMID- 27879712
TI - Biosensor Techniques Used for Determination of Telomerase Activity in Cancer
Cells.
AB - Measuring telomerase activity has proven successful for the determination of
cancer in malignant somatic cells. Early conventional methods for the detection
of telomerase activity include in vitro analysis via a primer extension assay,
and the telomeric repeat amplification protocol (TRAP) assay. TRAP incorporates
the polymerase chain reaction (PCR) step to increase the sensitivity of a given
sample. However, research suggests that the TRAP technique suffers from false
negative results, caused by failure of its PCR step. Other limitations of TRAP
include the post-PCR steps involving polyacrylamide gel electrophoresis which are
time inefficient. Thus, various efforts have been made to eliminate the PCR step
of TRAP by using a variety of biosensor detection devices. This review mainly
focuses on these alternatives including: optical, electrochemical, magnetic, and
nanowire conductive signaling techniques to measure the telomerase activity
produced via label free biosensor assay-via biocatalytic labels involving
beacons, DNAzyme, ferrocenyl-naphthalene diimides, avidin-alkaline phosphatase
and semiconductor quantum dots (QDs). These biosensor techniques are sensitive
and provide precise and rapid results in the detection of telomerase activity.
PMID- 27879713
TI - A Review of Interface Electronic Systems for AT-cut Quartz Crystal Microbalance
Applications in Liquids.
AB - From the first applications of AT-cut quartz crystals as sensors in solutionsmore
than 20 years ago, the so-called quartz crystal microbalance (QCM) sensor
isbecoming into a good alternative analytical method in a great deal of
applications such asbiosensors, analysis of biomolecular interactions, study of
bacterial adhesion at specificinterfaces, pathogen and microorganism detection,
study of polymer film-biomolecule orcell-substrate interactions, immunosensors
and an extensive use in fluids and polymercharacterization and electrochemical
applications among others. The appropriateevaluation of this analytical method
requires recognizing the different steps involved andto be conscious of their
importance and limitations. The first step involved in a QCMsystem is the
accurate and appropriate characterization of the sensor in relation to
thespecific application. The use of the piezoelectric sensor in contact with
solutions stronglyaffects its behavior and appropriate electronic interfaces must
be used for an adequatesensor characterization. Systems based on different
principles and techniques have beenimplemented during the last 25 years. The
interface selection for the specific application isimportant and its limitations
must be known to be conscious of its suitability, and foravoiding the possible
error propagation in the interpretation of results. This article presentsa
comprehensive overview of the different techniques used for AT-cut quartz
crystalmicrobalance in in-solution applications, which are based on the following
principles:network or impedance analyzers, decay methods, oscillators and lock-in
techniques. Theelectronic interfaces based on oscillators and phase-locked
techniques are treated in detail,with the description of different
configurations, since these techniques are the most used inapplications for
detection of analytes in solutions, and in those where a fast sensorresponse is
necessary.
PMID- 27879714
TI - Validating Evapotranspiraiton Equations Using Bowen Ratio in New Brunswick,
Maritime, Canada.
AB - Three methods including the Penman-Monteith (PM), Priestley-Taylor (PT), and 1963
Penman equation (PE) for calculating daily reference evapotranspiration (ETo)
were evaluated in the Maritime region of Canada with the data collected from 2004
to 2007. An automatically operated meteorological station located on the Potato
Research Centre, Agriculture and Agri-Food Canada, Fredericton, New Brunswick,
Canada, was used to collect required meteorological data for evapotranspiration
modeling. A Bowen Ratio system (BR) was setup near the Environment Canada grade
one weather station to provide evapotranspiration observations for the validation
research of reference evapotranspiration models. The results showed that the
prediction from each of the tested models had a certain degree of offset in
comparison with the observations obtained by the BR method. All of the tested
models slightly overestimated evapotranspiration compared to the BR system by 5
14%, depending on the method. However, the PM generated a better fit to the
pooled dataset while the PT produced the best prediction for the 2007 validation
dataset. The PM generated the best estimation of evapotranspiration for year 2004
during a inter-annual comparison. The BR revealed that the average daytime ET for
the site was around 2.5 mm day-1(+/-0.1) averaged for Julian day 157-276 in 2004
to 2006 and possible condensation was 0.16 mm day-1 for the same period. Crop
coefficient (Kc) varied with different models, for example, 0.42 for the PM, 0.44
for the PT, and 0.67 for the PE with a slight yearly variation. With this set of
Kc values, a validation with additional dataset collected in 2007 indicated that
all three equations achieved a good fit with observations using the above Kc
values. The PT performed slightly better than the other two models. A single
factor analysis did not show any statistically significant difference between
predicted and measured ET. With a consideration of simplicity and application for
scaling up to landscape, this research suggested that the PT is the preferable
method for estimating ET values in this region.
PMID- 27879715
TI - Study of Copper and Purine-Copper Complexes on Modified Carbon Electrodes by
Cyclic and Elimination Voltammetry.
AB - Using a paraffin impregnated graphite electrode (PIGE) and mercury
modifiedpyrolytic graphite electrode with basal orientation (Hg-PGEb) copper(II)
and Cu(II)-DNApurine base solutions have been studied by cyclic (CV) and linear
sweep voltammetry(LSV) in connection with elimination voltammetry with linear
scan (EVLS). In chlorideand bromide solutions (pH 6), the redox process of Cu(II)
proceeded on PIGE with twocathodic and two anodic potentially separated signals.
According to the eliminationfunction E4, the first cathodic peak corresponds to
the reduction Cu(II) e- -> Cu(I) withthe possibility of fast disproportionation
2Cu(I) -> Cu(II) Cu(0). The E4 of the secondcathodic peak signalized an electrode
process controlled by a surface reaction. Theelectrode system of Cu(II) on Hg
PGEb in borate buffer (pH 9.2) was characterized by onecathodic and one anodic
peak. Anodic stripping voltammetry (ASV) on PIGE and cathodicstripping
voltammetry (CSV) on Hg-PGEb were carried out at potentials where thereduction of
copper ions took place and Cu(I)-purine complexes were formed. By usingASV and
CSV in combination with EVLS, the sensitivity of Cu(I)-purine complexdetection
was enhanced relative to either ASV or CSV alone, resulting in higher
peakcurrents of more than one order of magnitude. The statistical treatment of CE
data wasused to determine the reproducibility of measurements. Our results show
that EVLS inconnection with the stripping procedure is useful for both
qualitative and quantitativemicroanalysis of purine derivatives and can also
reveal details of studied electrodeprocesses.
PMID- 27879716
TI - Multi-instrumental Analysis of Tissues of Sunflower Plants Treated with Silver(I)
Ions - Plants as Bioindicators of Environmental Pollution.
AB - The aim of this work is to investigate sunflower plants response on stressinduced
by silver(I) ions. The sunflower plants were exposed to silver(I) ions (0, 0.1,
0.5,and 1 mM) for 96 h. Primarily we aimed our attention to observation of basic
physiologicalparameters. We found that the treated plants embodied growth
depression, coloured changes and lack root hairs. Using of autofluorescence of
anatomical structures, such aslignified cell walls, it was possible to determine
the changes of important shoot and rootstructures, mainly vascular bungles and
development of secondary thickening. Thedifferences in vascular bundles
organisation, parenchymatic pith development in the rootcentre and the reduction
of phloem part of vascular bundles were well observable.Moreover with increasing
silver(I) ions concentration the vitality of rhizodermal cellsdeclined;
rhizodermal cells early necrosed and were replaced by the cells of
exodermis.Further we employed laser induced breakdown spectroscopy for
determination of spatialdistribution of silver(I) ions in tissues of the treated
plants. The Ag is accumulated mainlyin near-root part of the sample. Moreover
basic biochemical indicators of environmentalstress were investigated. The total
content of proteins expressively decreased withincreasing silver(I) ions dose and
the time of the treatment. As we compare the resultsobtained by protein analysis
the total protein contents in shoot as well as root parts - wecan assume on the
transport of the proteins from the roots to shoots. This phenomenon canbe related
with the cascade of processes connecting with photosynthesis. The
secondbiochemical parameter, which we investigated, was urease activity. If we
compared theactivity in treated plants with control, we found out that presence
of silver(I) ions markedlyenhanced the activity of urease at all applied doses of
this toxic metal. Finally we studiedthe effect of silver(I) ions on activity of
urease in in vitro conditions.
PMID- 27879717
TI - Lactoferrin Isolation Using Monolithic Column Coupled with Spectrometric or Micro
Amperometric Detector.
AB - Lactoferrin is a multifunctional protein with antimicrobial activity and others
tohealth beneficial properties. The main aim of this work was to propose easy to
usetechnique for lactoferrin isolation from cow colostrum samples. Primarily we
utilizedsodium dodecyl sulphate - polyacrylamide gel electrophoresis for
isolation of lactoferrinfrom the real samples. Moreover we tested automated
microfluidic Experionelectrophoresis system to isolate lactoferrin from the
collostrum sample. The welldeveloped signal of lactoferrin was determined with
detection limit (3 S/N) of 20 ng/ml. Inspite of the fact that Experion is faster
than SDS-PAGE both separation techniques cannotbe used in routine analysis.
Therefore we have tested third separation technique, ionexchange chromatography,
using monolithic column coupled with UV-VIS detector (LCUV-VIS). We optimized
wave length (280 nm), ionic strength of the elution solution (1.5M NaCl) and flow
rate of the retention and elution solutions (0.25 ml/min and 0.75
ml/min.respectively). Under the optimal conditions the detection limit was
estimated as 0.1 MUg/mlof lactoferrin measured. Using LC-UV-VIS we determined
that lactoferrin concentrationvaried from 0.5 g/l to 1.1 g/l in cow colostrums
collected in the certain time interval up to 72 hours after birth. Further we
focused on miniaturization of detection device. We testedamperometric detection
at carbon electrode. The results encouraged us to attempt tominiaturise whole
detection system and to test it on analysis of real samples of humanfaeces,
because lactoferrin level in faeces is closely associated with the inflammations
ofintestine mucous membrane. For the purpose of miniaturization we employed
thetechnology of printed electrodes. The detection limit of lactoferrin was
estimated as 10MUg/ml measured by the screen-printed electrodes fabricated by us.
The fabricatedelectrodes were compared with commercially available ones. It
follows from the obtainedresults that the responses measured by commercial
electrodes are app. ten times highercompared with those measured by the
electrodes fabricated by us. This phenomenonrelates with smaller working
electrode surface area of the electrodes fabricated by us(about 50 %) compared to
the commercial ones. The screen-printed electrodes fabricatedby us were utilized
for determination of lactoferrin faeces. Regarding to fact that sample offaeces
was obtained from young and healthy man the amount of lactoferrin in sample
wasunder the limit of detection of this method.
PMID- 27879719
TI - Thermal Degradation, Mechanical Properties and Morphology of Wheat Straw Flour
Filled Recycled Thermoplastic Composites.
AB - Thermal behaviors of wheat straw flour (WF) filled thermoplastic compositeswere
measured applying the thermogravimetric analysis and differential
scanningcalorimetry. Morphology and mechanical properties were also studied using
scanningelectron microscope and universal testing machine, respectively. Presence
of WF inthermoplastic matrix reduced the degradation temperature of the
composites. One for WFand one for thermoplastics, two main decomposition peaks
were observed. Morphologicalstudy showed that addition of coupling agent improved
the compatibility between WFs andthermoplastic. WFs were embedded into the
thermoplastic matrix indicating improvedadhesion. However, the bonding was not
perfect because some debonding can also be seenon the interface of WFs and
thermoplastic matrix. In the case of mechanical properties ofWF filled recycled
thermoplastic, HDPE and PP based composites provided similar tensileand flexural
properties. The addition of coupling agents improved the properties
ofthermoplastic composites. MAPE coupling agents performed better in HDPE while
MAPPcoupling agents were superior in PP based composites. The composites produced
with thecombination of 50-percent mixture of recycled HDPE and PP performed
similar with theuse of both coupling agents. All produced composites provided
flexural properties requiredby the ASTM standard for polyolefin-based plastic
lumber decking boards.
PMID- 27879720
TI - The Tradeoff Analysis for Remote Sensing Image Fusion Using Expanded Spectral
Angle Mapper.
AB - Image fusion is a useful tool in integrating a high-resolution panchromaticimage
(HRPI) with a low-resolution multispectral image (LRMI) to produce a
highresolutionmultispectral image (HRMI). To date, many image fusion techniques
have beendeveloped to try to improve the spatial resolution of the LRMI to that
of the HRPI with itsspectral property reliably preserved. However, many studies
have indicated that thereexists a trade- off between the spatial resolution
improvement and the spectral propertypreservation of the LRMI, and it is
difficult for the existing methods to do the best in bothaspects. Based on one
minimization problem, this paper mathematically analyzes thetradeoff in fusing
remote sensing images. In experiment, four fusion methods are evaluatedthrough
expanded spectral angle mapper (ESAM). Results clearly prove that all the
testedmethods have this property.
PMID- 27879718
TI - Cytotoxicity Investigation on Cultured Human Blood Cells Treated with Single-Wall
Carbon Nanotubes.
AB - The single-wall carbon nanotubes (SWCNTs) are one of the new materials ofemerging
technologies. They are becoming increasingly studied for the possibleapplications
in electronics, optics and biology. In particular, very promising fields
ofapplication are the development of optical biosensors and the intracellular
drug delivery.Nevertheless, there is a paucity of information on their
toxicological properties and onpotential human health risk. In the present study
the SWCNTs were investigated for thepossible induction of toxicity in human blood
cells. Cell growth, viability, apoptosis andmetabolic activity were evaluated in
proliferating human peripheral blood lymphocytes. Inun-stimulated human
leukocytes primary DNA damage was also evaluated. SWCNTsconcentrations ranging
from 1 to 50 MUg/ml were tested, and treatment duration varied from6 to 72 h, in
accordance with the biological target investigated. A statistically
significantdecrease in cell growth was found in cells treated with the highest
concentrations (25 and50 MUg/ml). Such decrease was not associated to cell death
or apoptosis, but it wasdemonstrated to be related to a decrease in metabolic
activity, as assessed by resazurinassay. Moreover, treatments of 6 h with SWCNTs
concentrations of 1, 5 and 10 MUg/mlfailed to induce primary DNA damage on the
entire human leukocytes population.
PMID- 27879721
TI - Spatially Explicit Large Area Biomass Estimation: Three Approaches Using Forest
Inventory and Remotely Sensed Imagery in a GIS.
AB - Forest inventory data often provide the required base data to enable the
largearea mapping of biomass over a range of scales. However, spatially explicit
estimates ofabove-ground biomass (AGB) over large areas may be limited by the
spatial extent of theforest inventory relative to the area of interest (i.e.,
inventories not spatially exhaustive), orby the omission of inventory attributes
required for biomass estimation. These spatial andattributional gaps in the
forest inventory may result in an underestimation of large areaAGB. The
continuous nature and synoptic coverage of remotely sensed data have led totheir
increased application for AGB estimation over large areas, although the use of
thesedata remains challenging in complex forest environments. In this paper, we
present anapproach to generating spatially explicit estimates of large area AGB
by integrating AGBestimates from multiple data sources; 1. using a lookup table
of conversion factors appliedto a non-spatially exhaustive forest inventory
dataset (R2 = 0.64; RMSE = 16.95 t/ha), 2.applying a lookup table to unique
combinations of land cover and vegetation densityoutputs derived from remotely
sensed data (R2 = 0.52; RMSE = 19.97 t/ha), and 3. hybridmapping by augmenting
forest inventory AGB estimates with remotely sensed AGB estimates where there are
spatial or attributional gaps in the forest inventory data. Over our714,852 ha
study area in central Saskatchewan, Canada, the AGB estimate generated fromthe
forest inventory was approximately 40 Mega tonnes (Mt); however, the
inventoryestimate represents only 51% of the total study area. The AGB estimate
generated from theremotely sensed outputs that overlap those made from the forest
inventory based approachdiffer by only 2 %; however in total, the remotely sensed
estimate is 30 % greater (58 Mt)than the estimate generated from the forest
inventory when the entire study area isaccounted for. Finally, using the hybrid
approach, whereby the remotely sensed inputswere used to fill spatial gaps in the
forest inventory, the total AGB for the study area wasestimated at 62 Mt. In the
example presented, data integration facilitates comprehensiveand spatially
explicit estimation of AGB for the entire study area.
PMID- 27879722
TI - Review on Hydrogel-based pH Sensors and Microsensors.
AB - Stimuli-responsive hydrogels are materials with great potential for development
of active functionalities in fluidics and micro-fluidics. Based on the current
state of research on pH sensors, hydrogel sensors are described qualitatively and
quantitatively for the first time. The review introduces the physical background
of the special properties of stimuli-responsive hydrogels. Following, transducers
are described which are able to convert the non-electrical changes of the
physical properties of stimuli-responsive hydrogels into an electrical signal.
Finally, the specific sensor properties, design rules and general conditions for
sensor applications are discussed.
PMID- 27879723
TI - Using Monoclonal Antibody to Determine Lead Ions with a Localized Surface Plasmon
Resonance Fiber-optic Biosensor.
AB - A novel reflection-based localized surface plasmon resonance (LSPR) fiber-optic
probe has been developed to determine the heavy metal lead ion concentration.
Monoclonal antibody as the detecting probe containing massive amino groups to
capture Pb(II)-chelate complexes was immobilized onto gold nanoparticle-modified
optical fiber (NMAuOF). The optimal immobilizing conditions of monoclonal
antibody on to the NMAuOF are 189 MUg/mL in pH7.4 PBS for 2 h at 25 degrees C.
The absorbability of the functionalized NMAuOF sensor increases to 12.2 % upon
changing the Pb(II)-EDTA level from 10 to 100 ppb with a detection limit of 0.27
ppb. The sensor retains 92.7 % of its original activity and gives reproducible
results after storage in 5% D-( )-Trehalose dehydrate solution at 4 degrees C for
35 days. In conclusion, the monoclonal antibody-functionalized NMAuOF sensor
shows a promising result for determining the concentration of Pb(II) with high
sensitivity.
PMID- 27879724
TI - Optimalization of Poly(neutral red) Coated-wire Electrode for Determination of
Citrate in Soft Drinks.
AB - This report presents an optimization of potentiometric measurements withcitrate
selective electropolymerized poly(neutral red) electrodes. The optimal
backgroundelectrolyte for these measurements is a TRIS buffer with nitrate at pH
8.5. The electrodesdescribed here exhibit stable and reproducible near-Nernstian
response to citrates with alow detection limit of 6 * 10-6 M. Electrodes
polymerized from sulfuric acid andacetonitrile are compared in detail. Simple and
sensitive method for quantification ofcitrate in real-life samples by
potentiometry with poly(neutral red) electrodes arepresented. Data from
potentiometric measurements of citrate are compared with
capillaryelectrophoresis.
PMID- 27879725
TI - Using Geospatial Information Technology in Natural Resources Management: The Case
of Urban Land Management In West Africa.
AB - In the past several decades, Lagos Metropolis emerged as one of the
fastesturbanizing cities in the West African Sub-region. In the absence of a
regular use ofgeospatial information management systems, limited effort had been
made to keep track ofchanges in the natural environment in the rapidly growing
city for policy making in landadministration. The ubiquitous energy radiated by
the rapid urbanization rate in the areanot only created unprecedented
consequences by diminishing the quality of theenvironment and natural resources
but it raises serious implications for land managementin the region. The factors
fuelling the land crisis in the area which are not far fetchedconsists of socio
economic, ecological and policy elements. To tackle these issues in amega city,
up-to-date knowledge would be required to capture and analyze landinformation
trends. Such an effort will help manage the city's expansion as well
asinfrastructure development through the right choices in planning and (spatial)
designsusing the latest tools in geospatial technologies of Geographic
Information Systems GIS)and remote sensing. This study investigates the spatial
implications of the rapid expansionof metropolitan Lagos for land management
using GIS and Remote sensing technology.The result of the research provides a
valuable road map that can enable planners contributeto improved land
administration necessary for effective management of natural resources.
PMID- 27879726
TI - Integration of Multiple Data Sources to Simulate the Dynamics of Land Systems.
AB - In this paper we present and develop a new model, which we have calledDynamics of
Land Systems (DLS). The DLS model is capable of integrating multiple datasources
to simulate the dynamics of a land system. Three main modules are incorporatedin
DLS: a spatial regression module, to explore the relationship between land uses
andinfluencing factors, a scenario analysis module of the land uses of a region
during thesimulation period and a spatial disaggregation module, to allocate land
use changes froma regional level to disaggregated grid cells. A case study on
Taips County in North Chinais incorporated in this paper to test the
functionality of DLS. The simulation results underthe baseline, economic priority
and environmental scenarios help to understand the landsystem dynamics and
project near future land-use trajectories of a region, in order tofocus
management decisions on land uses and land use planning.
PMID- 27879727
TI - Vertex Separators for Partitioning a Graph.
AB - Finite Element Method (FEM) is a well known technique extensively studiedfor
spatial and temporal modeling of environmental processes, weather
predictioncomputations, and intelligent signal processing for wireless sensors.
The need for hugecomputational power arising in such applications to simulate
physical phenomenoncorrectly mandates the use of massively parallel computers to
distribute the workloadevenly. In this study, a novel heuristic algorithm called
Line Graph Bisection whichpartitions a graph via vertex separators so as to
balance the workload amongst theprocessors and to minimize the communication
overhead is proposed. The proposedalgorithm is proved to be computationally
feasible and makes cost-effective parallelimplementations possible to speed up
the solution process.
PMID- 27879728
TI - Monitoring and Predicting Land-use Changes and the Hydrology of the Urbanized
Paochiao Watershed in Taiwan Using Remote Sensing Data, Urban Growth Models and a
Hydrological Model.
AB - Monitoring and simulating urban sprawl and its effects on land-use patterns
andhydrological processes in urbanized watersheds are essential in land-use and
waterresourceplanning and management. This study applies a novel framework to the
urbangrowth model Slope, Land use, Excluded land, Urban extent, Transportation,
andHillshading (SLEUTH) and land-use change with the Conversion of Land use and
itsEffects (CLUE-s) model using historical SPOT images to predict urban sprawl in
thePaochiao watershed in Taipei County, Taiwan. The historical and predicted land
use datawas input into Patch Analyst to obtain landscape metrics. This data was
also input to theGeneralized Watershed Loading Function (GWLF) model to analyze
the effects of futureurban sprawl on the land-use patterns and watershed
hydrology. The landscape metrics ofthe historical SPOT images show that land-use
patterns changed between 1990-2000. TheSLEUTH model accurately simulated
historical land-use patterns and urban sprawl in thePaochiao watershed, and
simulated future clustered land-use patterns (2001-2025). TheCLUE-s model also
simulated land-use patterns for the same period and yielded historical trends in
the metrics of land-use patterns. The land-use patterns predicted by the
SLEUTHand CLUE-s models show the significant impact urban sprawl will have on
land-usepatterns in the Paochiao watershed. The historical and predicted land-use
patterns in thewatershed tended to fragment, had regular shapes and interspersion
patterns, but wererelatively less isolated in 2001-2025 and less interspersed
from 2005-2025 compared withland-use pattern in 1990. During the study, the
variability and magnitude of hydrologicalcomponents based on the historical and
predicted land-use patterns were cumulativelyaffected by urban sprawl in the
watershed; specifically, surface runoff increasedsignificantly by 22.0% and
baseflow decreased by 18.0% during 1990-2025. The proposedapproach is an
effective means of enhancing land-use monitoring and management ofurbanized
watersheds.
PMID- 27879729
TI - Analysis of Urban-Rural Land-Use Change during 1995-2006 and Its Policy
Dimensional Driving Forces in Chongqing, China.
AB - This paper analyzes the urban-rural land-use change of Chongqing and its
policydimensional driving forces from 1995 to 2006, using high-resolution Landsat
TM(Thematic Mapper) data of 1995, 2000 and 2006, and socio-economic data from
bothresearch institutes and government departments. The outcomes indicated that
urban-ruralland-use change in Chongqing can be characterized by two major trends:
First, thenon-agricultural land increased substantially from 1995 to 2006, thus
causing agriculturalland especially farmland to decrease continuously. Second,
the aggregation index of urbansettlements and rural settlements shows that local
urban-rural development experienced aprocess of changing from aggregation (1995
2000) to decentralization (2000-2006).Chongqing is a special area getting
immersed in many important policies, which includethe establishment of the
municipality directly under the Central Government, the buildingof Three Gorges
Dam Project, the Western China Development Program and theGrain-for-Green
Programme, and bring about tremendous influences on its land-usechange. By
analyzing Chongqing's land-use change and its policy driving forces,
someimplications for its new policy of 'Urban-rural Integrated Reform' are
obtained. That ismore attentions need to be paid to curbing excessive and idle
rural housing andconsolidating rural construction land, and to laying out a
scientific land-use plan for its rural areas taking such rural land-use issues as
farmland occupation and rural housing landmanagement into accounts, so as to
coordinate and balance the urban-rural development.
PMID- 27879730
TI - Micro Fluidic Channel Machining on Fused Silica Glass Using Powder Blasting.
AB - In this study, micro fluid channels are machined on fused silica glass via powder
blasting, a mechanical etching process, and the machining characteristics of the
channels are experimentally evaluated. In the process, material removal is
performed by the collision of micro abrasives injected by highly compressed air
on to the target surface. This approach can be characterized as an integration of
brittle mode machining based on micro crack propagation. Fused silica glass, a
high purity synthetic amorphous silicon dioxide, is selected as a workpiece
material. It has a very low thermal expansion coefficient and excellent optical
qualities and exceptional transmittance over a wide spectral range, especially in
the ultraviolet range. The powder blasting process parameters affecting the
machined results are injection pressure, abrasive particle size and density,
stand-off distance, number of nozzle scanning, and shape/size of the required
patterns. In this study, the influence of the number of nozzle scanning, abrasive
particle size, and pattern size on the formation of micro channels is
investigated. Machined shapes and surface roughness are measured using a 3
dimensional vision profiler and the results are discussed.
PMID- 27879731
TI - Evanescent field Sensors Based on Tantalum Pentoxide Waveguides - A Review.
AB - Evanescent field sensors based on waveguide surfaces play an important rolewhere
high sensitivity is required. Particularly tantalum pentoxide (Ta2O5) is a
suitablematerial for thin-film waveguides due to its high refractive index and
low attenuation.Many label-free biosensor systems such as grating couplers and
interferometric sensors aswell as fluorescence-based systems benefit from this
waveguide material leading toextremely high sensitivity. Some biosensor systems
based on Ta2O5 waveguides alreadytook the step into commercialization. This
report reviews the various detection systems interms of limit of detection, the
applications, and the suitable surface chemistry.
PMID- 27879732
TI - Electroanalysis of NADH Using Conducting and Redox Active Polymer/Carbon
Nanotubes Modified Electrodes-A Review.
AB - Past few decades, conducting and redox active polymers play a critical role in
the development of transducers for biosensing. It has been evidenced by
increasing numerous reports on conducting and redox active polymers incorporated
electrodes for assay of biomolcules. This review highlights the potential uses of
electrogenerated polymer modified electrodes and polymer/carbon nanotubes
composite modified electrodes for electroanalysis of reduced form of nicotinamide
adenine dinuceltoide (NADH). In addition, carbon electrodes modified with organic
and inorganic materials as modifier have been discussed in detail for the
quantification of NADH based on mediator or mediator-less methods.
PMID- 27879733
TI - Experimental-Numerical Comparison of the Cantilever MEMS Frequency Shift in
presence of a Residual Stress Gradient.
AB - The dynamic characterization of a set of gold micro beams by electrostatic
excitation in presence of residual stress gradient has been studied
experimentally. A method to determine the micro-cantilever residual stress
gradient by measuring the deflection and curvature and then identifying the
residual stress model by means of frequency shift behaviour is presented. A
comparison with different numerical FEM models and experimental results has been
carried out, introducing in the model the residual stress of the structures,
responsible for an initial upward curvature. Dynamic spectrum data are measured
via optical interferometry and experimental frequency shift curves are obtained
by increasing the dc voltage applied to the specimens. A good correspondence is
pointed out between measures and numerical models so that the residual stress
effect can be evaluated for different configurations.
PMID- 27879734
TI - Suitability of MEMS Accelerometers for Condition Monitoring: An experimental
study.
AB - With increasing demands for wireless sensing nodes for assets control and
condition monitoring; needs for alternatives to expensive conventional
accelerometers in vibration measurements have been arisen. Micro-Electro
Mechanical Systems (MEMS) accelerometer is one of the available options. The
performances of three of the MEMS accelerometers from different manufacturers are
investigated in this paper and compared to a well calibrated commercial
accelerometer used as a reference for MEMS sensors performance evaluation. Tests
were performed on a real CNC machine in a typical industrial environmental
workshop and the achieved results are presented.
PMID- 27879735
TI - An Automatic Instrument to Study the Spatial Scaling Behavior of Emissivity.
AB - In this paper, the design of an automatic instrument for measuring the
spatialdistribution of land surface emissivity is presented, which makes the
direct in situmeasurement of the spatial distribution of emissivity possible. The
significance of this newinstrument lies in two aspects. One is that it helps to
investigate the spatial scalingbehavior of emissivity and temperature; the other
is that, the design of the instrumentprovides theoretical and practical
foundations for the implement of measuring distributionof surface emissivity on
airborne or spaceborne. To improve the accuracy of themeasurements, the
emissivity measurement and its uncertainty are examined in a series ofcarefully
designed experiments. The impact of the variation of target temperature and
theenvironmental irradiance on the measurement of emissivity is analyzed as well.
Inaddition, the ideal temperature difference between hot environment and cool
environmentis obtained based on numerical simulations. Finally, the scaling
behavior of surfaceemissivity caused by the heterogeneity of target is discussed.
PMID- 27879736
TI - Parallel Algorithm for GPU Processing; for use in High Speed Machine Vision
Sensing of Cotton Lint Trash.
AB - One of the main hurdles standing in the way of optimal cleaning of cotton lint
isthe lack of sensing systems that can react fast enough to provide the control
system withreal-time information as to the level of trash contamination of the
cotton lint. This researchexamines the use of programmable graphic processing
units (GPU) as an alternative to thePC's traditional use of the central
processing unit (CPU). The use of the GPU, as analternative computation platform,
allowed for the machine vision system to gain asignificant improvement in
processing time. By improving the processing time, thisresearch seeks to address
the lack of availability of rapid trash sensing systems and thusalleviate a
situation in which the current systems view the cotton lint either well before,
orafter, the cotton is cleaned. This extended lag/lead time that is currently
imposed on thecotton trash cleaning control systems, is what is responsible for
system operators utilizing avery large dead-band safety buffer in order to ensure
that the cotton lint is not undercleaned.Unfortunately, the utilization of a
large dead-band buffer results in the majority ofthe cotton lint being over
cleaned which in turn causes lint fiber-damage as well assignificant losses of
the valuable lint due to the excessive use of cleaning machinery. Thisresearch
estimates that upwards of a 30% reduction in lint loss could be gained through
theuse of a tightly coupled trash sensor to the cleaning machinery control
systems. Thisresearch seeks to improve processing times through the development
of a new algorithm forcotton trash sensing that allows for implementation on a
highly parallel architecture.Additionally, by moving the new parallel algorithm
onto an alternative computing platform,the graphic processing unit "GPU", for
processing of the cotton trash images, a speed up ofover 6.5 times, over
optimized code running on the PC's central processing unit "CPU", wasgained. The
new parallel algorithm operating on the GPU was able to process a 1024x1024image
in less than 17ms. At this improved speed, the image processing system's
performance should now be sufficient to provide a system that would be capable of
realtimefeed-back control that is in tight cooperation with the cleaning
equipment.
PMID- 27879737
TI - An Integrated GIS-Expert System Framework for Live Hazard Monitoring and
Detection.
AB - In the context of hazard monitoring, using sensor web technology to monitor
anddetect hazardous conditions in near-real-time can result in large amounts of
spatial data thatcan be used to drive analysis at an instrumented site. These
data can be used for decisionmaking and problem solving, however as with any
analysis problem the success ofanalyzing hazard potential is governed by many
factors such as: the quality of the sensordata used as input; the meaning that
can be derived from those data; the reliability of themodel used to describe the
problem; the strength of the analysis methods; and the ability toeffectively
communicate the end results of the analysis. For decision makers to make use
ofsensor web data these issues must be dealt with to some degree. The work
described in thispaper addresses all of these areas by showing how raw sensor
data can be automaticallytransformed into a representation which matches a
predefined model of the problem context.This model can be understood by analysis
software that leverages rule-based logic andinference techniques to reason with,
and draw conclusions about, spatial data. These toolsare integrated with a well
known Geographic Information System (GIS) and existinggeospatial and sensor web
infrastructure standards, providing expert users with the toolsneeded to
thoroughly explore a problem site and investigate hazards in any domain.
PMID- 27879738
TI - Pyridine Vapors Detection by an Optical Fibre Sensor.
AB - An optical fibre sensor has been implemented towards pyridine vapors detection;to
achieve this, a novel vapochromic material has been used, which, in solid state,
suffers achange in colour from blue to pink-white in presence of pyridine
vapours. This complex isadded to a solution of PVC (Poly Vinyl Chloride), TBP
(Tributylphosphate) andtetrahydrofuran (THF), forming a plasticized matrix; by
dip coating technique, the sensingmaterial is fixed onto a cleaved ended optical
fibre. The fabrication process was optimizedin terms of number of dips and
dipping speed, evaluating the final devices by dynamicrange. Employing a
reflection set up, the absorbance spectra and changes in the reflectedoptical
power of the sensors were registered to determine their response. A linear
relationbetween optical power versus vapor concentration was obtained, with a
detection limit of 1ppm (v/v).
PMID- 27879739
TI - Ocean Color Inferred from Radiometers on Low-Flying Aircraft.
AB - The color of sunlight reflected from the ocean to orbiting visible radiometers
hasprovided a great deal of information about the global ocean, after suitable
corrections aremade for atmospheric effects. Similar ocean-color measurements can
be made from a lowflyingaircraft to get higher spatial resolution and to obtain
measurements under clouds.A different set of corrections is required in this
case, and we describe algorithms to correctfor clouds and sea-surface effects. An
example is presented and errors in the correctionsdiscussed.
PMID- 27879740
TI - Micro Dot Patterning on the Light Guide Panel Using Powder Blasting.
AB - This study is to develop a micromachining technology for a light guidepanel(LGP)
mold, whereby micro dot patterns are formed on a LGP surface by a singleinjection
process instead of existing screen printing processes. The micro powder
blastingtechnique is applied to form micro dot patterns on the LGP mold surface.
The optimalconditions for masking, laminating, exposure, and developing processes
to form the microdot patterns are first experimentally investigated. A LGP mold
with masked micro patternsis then machined using the micro powder blasting method
and the machinability of themicro dot patterns is verified. A prototype LGP is
test- injected using the developed LGPmold and a shape analysis of the patterns
and performance testing of the injected LGP arecarried out. As an additional
approach, matte finishing, a special surface treatment method,is applied to the
mold surface to improve the light diffusion characteristics, uniformity
andbrightness of the LGP. The results of this study show that the applied powder
blastingmethod can be successfully used to manufacture LGPs with micro patterns
by just singleinjection using the developed mold and thereby replace existing
screen printing methods.
PMID- 27879741
TI - Metallic Nanomaterials for Sensitivity Enhancement of Fluorescence Detection.
AB - Utrasensitive detection of trace analytes by fluorescence benefits
forfluorescence amplifying substrates. We review here our recent work concerned
withunderstanding of enhancement mechanisms and formation of three such
substrates: silverfractals, silver coated gold nanoparticles deposited on glass
and fluorescence enhancinggold colloids.
PMID- 27879742
TI - Networked Estimation with an Area-Triggered Transmission Method.
AB - This paper is concerned with the networked estimation problem in which sensordata
are transmitted over the network. In the event-driven sampling scheme known
aslevel-crossing or send-on-delta, sensor data are transmitted to the estimator
node if thedifference between the current sensor value and the last transmitted
one is greater than agiven threshold. The event-driven sampling generally
requires less transmission than thetime-driven one. However, the transmission
rate of the send-on-delta method becomeslarge when the sensor noise is large
since sensor data variation becomes large due to thesensor noise. Motivated by
this issue, we propose another event-driven sampling methodcalled area-triggered
in which sensor data are sent only when the integral of differencesbetween the
current sensor value and the last transmitted one is greater than a
giventhreshold. Through theoretical analysis and simulation results, we show that
in the certaincases the proposed method not only reduces data transmission rate
but also improvesestimation performance in comparison with the conventional event
driven method.
PMID- 27879743
TI - Improving Distributed Runoff Prediction in Urbanized Catchments with Remote
Sensing based Estimates of Impervious Surface Cover.
AB - The amount and intensity of runoff on catchment scale are strongly determinedby
the presence of impervious land-cover types, which are the predominant cover
types inurbanized areas. This paper examines the impact of different methods for
estimatingimpervious surface cover on the prediction of peak discharges, as
determined by a fullydistributed rainfall-runoff model (WetSpa), for the upper
part of the Woluwe Rivercatchment in the southeastern part of Brussels. The study
shows that detailed informationon the spatial distribution of impervious
surfaces, as obtained from remotely sensed data,produces substantially different
estimates of peak discharges than traditional approachesbased on expert judgment
of average imperviousness for different types of urban land use.The study also
demonstrates that sub-pixel estimation of imperviousness may be a
usefulalternative for more expensive high-resolution mapping for rainfall-runoff
modelling atcatchment scale.
PMID- 27879744
TI - Generalized Split-Window Algorithm for Estimate of Land Surface Temperature from
Chinese Geostationary FengYun Meteorological Satellite (FY-2C) Data.
AB - On the basis of the radiative transfer theory, this paper addressed the estimate
ofLand Surface Temperature (LST) from the Chinese first operational
geostationarymeteorological satellite-FengYun-2C (FY-2C) data in two thermal
infrared channels (IR1,10.3-11.3 MU m and IR2, 11.5-12.5 MU m ), using the
Generalized Split-Window (GSW)algorithm proposed by Wan and Dozier (1996). The
coefficients in the GSW algorithmcorresponding to a series of overlapping ranging
of the mean emissivity, the atmosphericWater Vapor Content (WVC), and the LST
were derived using a statistical regressionmethod from the numerical values
simulated with an accurate atmospheric radiativetransfer model MODTRAN 4 over a
wide range of atmospheric and surface conditions.The simulation analysis showed
that the LST could be estimated by the GSW algorithmwith the Root Mean Square
Error (RMSE) less than 1 K for the sub-ranges with theViewing Zenith Angle (VZA)
less than 30 degrees or for the sub-rangs with VZA less than 60 degrees and the
atmospheric WVC less than 3.5 g/cm2 provided that the Land Surface
Emissivities(LSEs) are known. In order to determine the range for the optimum
coefficients of theGSW algorithm, the LSEs could be derived from the data in
MODIS channels 31 and 32 provided by MODIS/Terra LST product MOD11B1, or be
estimated either according tothe land surface classification or using the method
proposed by Jiang et al. (2006); and theWVC could be obtained from MODIS total
precipitable water product MOD05, or beretrieved using Li et al.' method (2003).
The sensitivity and error analyses in term of theuncertainty of the LSE and WVC
as well as the instrumental noise were performed. Inaddition, in order to compare
the different formulations of the split-window algorithms,several recently
proposed split-window algorithms were used to estimate the LST with thesame
simulated FY-2C data. The result of the intercomparsion showed that most of
thealgorithms give comparable results.
PMID- 27879745
TI - A Grain Flow Model to Simulate Grain Yield Sensor Response.
AB - The objective of this study was to develop a flow model for grain combinesbased
on the laboratory and field response of an impact based grain flow sensor. The
grainflow model developed in this study is of first order with constant
coefficients. A computercode was written to solve the model and to simulate the
response of a yield sensor whoseresponse had been determined previously for
various types of flow rate inputs both in fieldand laboratory experiments. The
computer program for the simulation can alsocompensate for the time delay. The
simulation results of the theoretical model suited wellto the experimental data
and showed that the model effectively shows the input-outputrelationship of grain
flow through a grain combine. This model could be used for periodicflow signals
acquired from grain yield sensors. It was concluded that the model postulatedin
this study could be further developed to determine the grain yield entering the
combineusing the outlet flow rate measured by a yield sensor.
PMID- 27879746
TI - Preliminary Results on Design and Implementation of a Solar Radiation Monitoring
System.
AB - The paper presents a solar radiation monitoring system, using two
scientificpyranometers and an on-line computer home-made data acquisition system.
The firstpyranometer measures the global solar radiation and the other one, which
is shaded,measure the diffuse radiation. The values of total and diffuse solar
radiation arecontinuously stored into a database on a server. Original software
was created for dataacquisition and interrogation of the created system. The
server application acquires the datafrom pyranometers and stores it into a
database with a baud rate of one record at 50seconds. The client-server
application queries the database and provides descriptivestatistics. A web
interface allow to any user to define the including criteria and to obtainthe
results. In terms of results, the system is able to provide direct, diffuse and
totalradiation intensities as time series. Our client-server application computes
also derivateheats. The ability of the system to evaluate the local solar energy
potential is highlighted.
PMID- 27879747
TI - Laboratory Evaluation of Acoustic Backscatter and LISST Methods for Measurements
of Suspended Sediments.
AB - The limitation of traditional sampling method to provide detailed spatial
andtemporal profiles of suspended sediment concentration has led to an interest
in alternativedevices and methods based on scattering of underwater sound and
light . In the presentwork, acoustic backscatter and LISST (the Laser In Situ
Scattering Transmissometry)devices, and methodologies were given. Besides a
laboratory study was conducted tocompare pumping methods for different sediment
radiuses at the same concentration. Theglass spheres (ballotini) of three
different radiuses of 115, 137 and 163 MUm were used toobtain suspension in the
sediment tower at laboratory. A quite good agreement wasobtained between these
methods and pumping results with the range at 60.6-94.2% forsediment
concentration and 91.3-100% for radius measurements. These results and theother
studies show that these methods have potential for research tools for
sedimentstudies. In addition further studies are needed to determine the ability
of these methods forsediment measurement under different water and sediment
material conditions.
PMID- 27879748
TI - A Perturbation Method for the 3D Finite Element Modeling of Electrostatically
Driven MEMS.
AB - In this paper, a finite element (FE) procedure for modeling electrostatically
actu-ated MEMS is presented. It concerns a perturbation method for computing
electrostatic fielddistortions due to moving conductors. The computation is split
in two steps. First, an un-perturbed problem (in the absence of certain
conductors) is solved with the conventional FEmethod in the complete domain.
Second, a perturbation problem is solved in a reduced re-gion with an additional
conductor using the solution of the unperturbed problem as a source.When the
perturbing region is close to the original source field, an iterative computation
maybe required. The developed procedure offers the advantage of solving sub
problems in re-duced domains and consequently of benefiting from different
problem-adapted meshes. Thisapproach allows for computational efficiency by
decreasing the size of the problem.
PMID- 27879750
TI - LQER: A Link Quality Estimation based Routing for Wireless Sensor Networks.
AB - Routing protocols are crucial to self-organize wireless sensor networks
(WSNs),which have been widely studied in recent years. For some specific
applications, both energyaware and reliable data transmission need to be
considered together. Historical link statusshould be captured and taken into
account in making data forwarding decisions to achievethe data reliability and
energy efficiency tradeoff. In this paper, a dynamic window concept(m, k) is
presented to record the link historical information and a link quality estimation
basedrouting protocol (LQER) are proposed, which integrates the approach of
minimum hop fieldand (m, k). The performance of LQER is evaluated by extensive
simulation experiments to bemore energy-aware, with lower loss rate and better
scalability than MHFR [1] and MCR [2].Thus the WSNs with LQER get longer lifetime
of networks and better link quality.
PMID- 27879749
TI - Selective Chemical Labeling of Proteins with Small Fluorescent Molecules Based on
Metal-Chelation Methodology.
AB - Site-specific chemical labeling utilizing small fluorescent molecules is
apowerful and attractive technique for in vivo and in vitro analysis of cellular
proteins,which can circumvent some problems in genetic encoding labeling by large
fluorescentproteins. In particular, affinity labeling based on metal-chelation,
advantageous due to thehigh selectivity/simplicity and the small tag-size, is
promising, as well as enzymaticcovalent labeling, thereby a variety of novel
methods have been studied in recent years.This review describes the advances in
chemical labeling of proteins, especially highlightingthe metal-chelation
methodology.
PMID- 27879752
TI - Effects of van der Waals Force and Thermal Stresses on Pull-in Instability of
Clamped Rectangular Microplates.
AB - We study the influence of von Karman nonlinearity, van der Waals force, and a
athermal stresses on pull-in instability and small vibrations of
electrostatically actuated mi-croplates. We use the Galerkin method to develop a
tractable reduced-order model for elec-trostatically actuated clamped rectangular
microplates in the presence of van der Waals forcesand thermal stresses. More
specifically, we reduce the governing two-dimensional nonlineartransient boundary
value problem to a single nonlinear ordinary differential equation. For thestatic
problem, the pull-in voltage and the pull-in displacement are determined by
solving apair of nonlinear algebraic equations. The fundamental vibration
frequency corresponding toa deflected configuration of the microplate is
determined by solving a linear algebraic equa-tion. The proposed reduced-order
model allows for accurately estimating the combined effectsof van der Waals force
and thermal stresses on the pull-in voltage and the pull-in deflectionprofile
with an extremely limited computational effort.
PMID- 27879751
TI - Influence of Cadmium(II) Ions and Brewery Sludge on Metallothionein Level in
Earthworms (Eisenia fetida) - Bio- transforming of Toxic Wastes.
AB - Metallothioneins belong to a group of intracellular, high molecular andcysteine
rich proteins whose content in an organism increase with increasing
concentrationof a heavy metal. The aim of this work was to apply the
electrochemical analysis for theanalysis of metallothioneins in earthworms
exposed to cadmium ions and brewery sludge.Here we utilized adsorptive transfer
technique coupled with differential pulse voltammetryBrdicka reaction to
determine metallothionein in different biological samples. By meansthis very
sensitive technique it was possible to analyze metallothionein in
concentrationsbelow 1 MUmol.l-1 with the standard deviation of 4-5%. We found out
that the average MTlevel in the non-treated earthworms oscillated between 19 and
48 MUmol.l-1. When weanalysed samples of earthworms treated by cadmium, we
observed that the MT contentincreased with the exposition length and increase
dose of cadmium ions. Finally, weattempted to study and compare the toxicity of
the raw sludge and its leach by using ofearthworms. The raw brewery sludge caused
the death of the earthworms quickly.Earthworms held in the presence of leach from
brewery sludge increased their weight of147 % of their original weight because
they ingested the nutrients from the sludge. Themetallothionein level changes
markedly with increasing time of exposition and applieddose of toxic compound. It
clearly follows from the obtained results that the MT synthesisis insufficient in
the first hours of the exposition and increases after more than 24 h.
PMID- 27879753
TI - Integrating Remote Sensing Data with Directional Two- Dimensional Wavelet
Analysis and Open Geospatial Techniques for Efficient Disaster Monitoring and
Management.
AB - In Taiwan, earthquakes have long been recognized as a major cause oflandslides
that are wide spread by floods brought by typhoons followed.
Distinguishingbetween landslide spatial patterns in different disturbance regimes
is fundamental fordisaster monitoring, management, and land-cover restoration. To
circumscribe landslides,this study adopts the normalized difference vegetation
index (NDVI), which can bedetermined by simply applying mathematical operations
of near-infrared and visible-redspectral data immediately after remotely sensed
data is acquired. In real-time disastermonitoring, the NDVI is more effective
than using land-cover classifications generatedfrom remotely sensed data as land
cover classification tasks are extremely time consuming.Directional two
dimensional (2D) wavelet analysis has an advantage over traditionalspectrum
analysis in that it determines localized variations along a specific direction
whenidentifying dominant modes of change, and where those modes are located in
multi-temporal remotely sensed images. Open geospatial techniques comprise a
series ofsolutions developed based on Open Geospatial Consortium specifications
that can beapplied to encode data for interoperability and develop an open
geospatial service for sharing data. This study presents a novel approach and
framework that uses directional 2Dwavelet analysis of real-time NDVI images to
effectively identify landslide patterns andshare resulting patterns via open
geospatial techniques. As a case study, this study analyzedNDVI images derived
from SPOT HRV images before and after the ChiChi earthquake(7.3 on the Richter
scale) that hit the Chenyulan basin in Taiwan, as well as images aftertwo large
typhoons (Xangsane and Toraji) to delineate the spatial patterns of
landslidescaused by major disturbances. Disturbed spatial patterns of landslides
that followed theseevents were successfully delineated using 2D wavelet analysis,
and results of patternrecognitions of landslides were distributed simultaneously
to other agents using geographymarkup language. Real-time information allows
successive platforms (agents) to work withlocal geospatial data for disaster
management. Furthermore, the proposed is suitable fordetecting landslides in
various regions on continental, regional, and local scales usingremotely sensed
data in various resolutions derived from SPOT HRV, IKONOS, andQuickBird
multispectral images.
PMID- 27879754
TI - Improvement of Aptamer Affinity by Dimerization.
AB - To increase the affinities of aptamers for their targets, we designed an
aptamerdimer for thrombin and VEGF. This design is based on the avidity of the
antibody, whichenables the aptamer to connect easily since it is a single-strand
nucleic acid. In this study,we connected a 15-mer thrombin-binding aptamer with a
29-mer thrombin-binding aptamer.Each aptamer recognizes a different part of the
thrombin molecule, and the aptamer dimerhas a Kd value which is 1/10 of that of
the monomers from which it is composed. Also, thedesigned aptamer dimer has
higher inhibitory activity than the reported (15-mer) thrombin-inhibiting
aptamer. Additionally, we connected together two identical aptamers
againstvascular endothelial growth factor (VEGF165), which is a homodimeric
protein. As in thecase of the anti-thrombin aptamer, the dimeric anti-VEGF
aptamer had a much lower Kd value than that of the monomer. This study
demonstrated that the dimerization of aptamerseffectively improves the affinities
of those aptamers for their targets.
PMID- 27879755
TI - QoS Challenges and Opportunities in Wireless Sensor/Actuator Networks.
AB - A wireless sensor/actuator network (WSAN) is a group of sensors and actuators
that are geographically distributed and interconnected by wireless networks.
Sensors gather information about the state of physical world. Actuators react to
this information by performing appropriate actions. WSANs thus enable cyber
systems to monitor and manipulate the behavior of the physical world. WSANs are
growing at a tremendous pace, just like the exploding evolution of Internet.
Supporting quality of service (QoS) will be of critical importance for pervasive
WSANs that serve as the network infrastructure of diverse applications. To spark
new research and development interests in this field, this paper examines and
discusses the requirements, critical challenges, and open research issues on QoS
management in WSANs. A brief overview of recent progress is given.
PMID- 27879756
TI - Integrated Electrochemical Analysis System with Microfluidic and Sensing
Functions.
AB - An integrated device that carries out the timely transport of solutions
andconducts electroanalysis was constructed. The transport of solutions was based
oncapillary action in overall hydrophilic flow channels and control by valves
that operateon the basis of electrowetting. Electrochemical sensors including
glucose, lactate,glutamic oxaloacetic transaminase (GOT), glutamic pyruvic
transaminase (GPT), pH,ammonia, urea, and creatinine were integrated. An air gap
structure was used for theammonia, urea, and creatinine sensors to realize a
rapid response. To enhance thetransport of ammonia that existed or was produced
by the enzymatic reactions, the pHof the solution was elevated by mixing it with
a NaOH solution using a valve based onelectrowetting. The sensors for GOT and GPT
used a freeze-dried substrate matrix torealize rapid mixing. The sample solution
was transported to required sensing sites atdesired times. The integrated sensors
showed distinct responses when a sample solutionreached the respective sensing
sites. Linear relationships were observed between theoutput signals and the
concentration or the logarithm of the concentration of theanalytes. An
interferent, L-ascorbic acid, could be eliminated electrochemically in thesample
injection port.
PMID- 27879757
TI - Comparison of Remote Sensing Image Processing Techniques to Identify Tornado
Damage Areas from Landsat TM Data.
AB - Remote sensing techniques have been shown effective for large-scale damagesurveys
after a hazardous event in both near real-time or post-event analyses. The
paperaims to compare accuracy of common imaging processing techniques to detect
tornadodamage tracks from Landsat TM data. We employed the direct change
detection approachusing two sets of images acquired before and after the tornado
event to produce a principalcomponent composite images and a set of image
difference bands. Techniques in thecomparison include supervised classification,
unsupervised classification, and object-oriented classification approach with a
nearest neighbor classifier. Accuracy assessment isbased on Kappa coefficient
calculated from error matrices which cross tabulate correctlyidentified cells on
the TM image and commission and omission errors in the result. Overall,the Object
oriented Approach exhibits the highest degree of accuracy in tornado
damagedetection. PCA and Image Differencing methods show comparable outcomes.
Whileselected PCs can improve detection accuracy 5 to 10%, the Object-oriented
Approachperforms significantly better with 15-20% higher accuracy than the other
two techniques.
PMID- 27879758
TI - Imaging In Mice With Fluorescent Proteins: From Macro To Subcellular.
AB - Whole-body imaging with fluorescent proteins has been shown to be a
powerfultechnology with many applications in small animals. Brighter, red-shifted
proteins can makewhole-body imaging even more sensitive due to reduced absorption
by tissues and less scatter.For example, a new protein called Katushka has been
isolated that is the brightest known proteinwith emission at wavelengths longer
than 620 nm. This new protein offers potential for non-invasive whole-body macro
imaging such as of tumor growth. For subcellular imaging, toobserve cytoplasmic
and nuclear dynamics in the living mouse, cancer cells were labeled in thenucleus
with green fluorescent protein and with red fluorescent protein in the cytoplasm.
Thenuclear and cytoplasmic behavior of cancer cells in real time in blood vessels
was imaged as theytrafficked by various means or adhered to the vessel surface in
the abdominal skin flap. Duringextravasation, real-time dual-color imaging showed
that cytoplasmic processes of the cancer cellsexited the vessels first, with
nuclei following along the cytoplasmic projections. Both cytoplasmand nuclei
underwent deformation during extravasation. Cancer cells trafficking in
lymphaticvessels was also imaged. To noninvasively image cancer cell/stromal cell
interaction in the tumormicroenvironment as well as drug response at the cellular
level in live animals in real time, wedeveloped a new imageable three-color
animal model. The model consists of GFP-expressingmice transplanted with the dual
color cancer cells. With the dual-color cancer cells and a highlysensitive small
animal imaging system, subcellular dynamics can now be observed in live mice
inreal time. Fluorescent proteins thus enable both macro and micro imaging
technology and thereby provide the basis for the new field of in vivo cell
biology.
PMID- 27879759
TI - Temporal Stability of Soil Moisture and Radar Backscatter Observed by the
Advanced Synthetic Aperture Radar (ASAR).
AB - The high spatio-temporal variability of soil moisture is the result of
atmosphericforcing and redistribution processes related to terrain, soil, and
vegetation characteristics.Despite this high variability, many field studies have
shown that in the temporal domainsoil moisture measured at specific locations is
correlated to the mean soil moisture contentover an area. Since the measurements
taken by Synthetic Aperture Radar (SAR)instruments are very sensitive to soil
moisture it is hypothesized that the temporally stablesoil moisture patterns are
reflected in the radar backscatter measurements. To verify this hypothesis 73
Wide Swath (WS) images have been acquired by the ENVISAT AdvancedSynthetic
Aperture Radar (ASAR) over the REMEDHUS soil moisture network located inthe Duero
basin, Spain. It is found that a time-invariant linear relationship is well
suited forrelating local scale (pixel) and regional scale (50 km) backscatter.
The observed linearmodel coefficients can be estimated by considering the
scattering properties of the terrainand vegetation and the soil moisture scaling
properties. For both linear model coefficients,the relative error between
observed and modelled values is less than 5 % and thecoefficient of determination
(R2) is 86 %. The results are of relevance for interpreting anddownscaling coarse
resolution soil moisture data retrieved from active (METOP ASCAT)and passive
(SMOS, AMSR-E) instruments.
PMID- 27879760
TI - Dependence of Impedance of Embedded Single Cells on Cellular Behaviour.
AB - Non-invasive single cell analyses are increasingly required for the
medicaldiagnostics of test substances or the development of drugs and therapies
on the single celllevel. For the non-invasive characterisation of cells,
impedance spectroscopy whichprovides the frequency dependent electrical
properties has been used. Recently,microfludic systems have been investigated to
manipulate the single cells and tocharacterise the electrical properties of
embedded cells. In this article, the impedance ofpartially embedded single cells
dependent on the cellular behaviour was investigated byusing the microcapillary.
An analytical equation was derived to relate the impedance ofembedded cells with
respect to the morphological and physiological change ofextracellular interface.
The capillary system with impedance measurement showed afeasibility to monitor
the impedance change of embedded single cells caused bymorphological and
physiological change of cell during the addition of DMSO. By fittingthe derived
equation to the measured impedance of cell embedded at different negativepressure
levels, it was able to extrapolate the equivalent gap and gap conductivity
betweenthe cell and capillary wall representing the cellular behaviour.
PMID- 27879761
TI - An Auto-Recognizing System for Dice Games Using a Modified Unsupervised Grey
Clustering Algorithm.
AB - In this paper, a novel identification method based on a machine vision system is
proposed to recognize the score of dice. The system employs image processing
techniques, and the modified unsupervised grey clustering algorithm (MUGCA) to
estimate the location of each die and identify the spot number accurately and
effectively. The proposed algorithms are substituted for manual recognition. From
the experimental results, it is found that this system is excellent due to its
good capabilities which include flexibility, high speed, and high accuracy.
PMID- 27879762
TI - Application of GeoWEPP for Determining Sediment Yield and Runoff in the Orcan
Creek Watershed in Kahramanmaras, Turkey.
AB - The geo-spatial interface of the WEPP model called GeoWEPP uses digital geo
referenced information integrated with the most common GIS tools to predict
sedimentyield and runoff. The model determines where and when the sediment yield
and runoffoccurs and locates possible deposition places. In this study, the
sediment yield and runofffrom Orcan Creek watershed in Kahramanmaras region was
estimated by using GeoWEPPmodel. To investigate the performance of the model, the
sediment yield and runoff resultsfrom the GeoWEPP model were compared with the
observed monthly data collected fromthe sample watershed. The average Root Mean
Square Errors (RMSE) between observedand predicted average annual sediment yield
and runoff were 2.96 and 8.43, respectively.The index of agreement was 0.98 and
0.99 for sediment yield and runoff, respectively,which indicated that the model
predictions provided good results.
PMID- 27879763
TI - Using ASTER Imagery in Land Use/cover Classification of Eastern Mediterranean
Landscapes According to CORINE Land Cover Project.
AB - The satellite imagery has been effectively utilized for classifying land
covertypes and detecting land cover conditions. The Advanced Spaceborne Thermal
Emissionand Reflection Radiometer (ASTER) sensor imagery has been widely used in
classificationprocess of land cover. However, atmospheric corrections have to be
made by preprocessingsatellite sensor imagery since the electromagnetic radiation
signals received by the satellitesensors can be scattered and absorbed by the
atmospheric gases and aerosols. In this study,an ASTER sensor imagery, which was
converted into top-of-atmosphere reflectance(TOA), was used to classify the land
use/cover types, according to COoRdination ofINformation on the Environment
(CORINE) land cover nomenclature, for an arearepresenting the heterogonous
characteristics of eastern Mediterranean regions inKahramanmaras, Turkey. The
results indicated that using the surface reflectance data ofASTER sensor imagery
can provide accurate (i.e. overall accuracy and kappa values of83.2% and 0.79,
respectively) and low-cost cover mapping as a part of inventory forCORINE Land
Cover Project.
PMID- 27879764
TI - Techno-Economic Analysis of Solar Water Heating Systems inTurkey.
AB - In this study, solar water heater was investigated using meteorological and
geographical data of 129 sites over Turkey. Three different collector types were
compared in terms of absorber material (copper, galvanized sheet and selective
absorber). Energy requirement for water heating, collector performances, and
economical indicators were calculated with formulations using observed data.
Results showed that selective absorbers were most appropriate in terms of
coverage rate of energy requirement for water-heating all over Turkey. The prices
of selective, copper and galvanized absorber type's heating systems in Turkey
were 740.49, 615.69 and 490.89 USD, respectively. While payback periods (PBPs) of
the galvanized absorber were lower, net present values (NPVs) of the selective
absorber were higher than the rest. Copper absorber type collectors did not
appear to be appropriate based on economical indicators.
PMID- 27879765
TI - Road Asphalt Pavements Analyzed by Airborne Thermal Remote Sensing: Preliminary
Results of the Venice Highway.
AB - This paper describes a fast procedure for evaluating asphalt pavement surface
defects using airborne emissivity data. To develop this procedure, we used
airborne multispectral emissivity data covering an urban test area close to
Venice (Italy).For this study, we first identify and select the roads' asphalt
pavements on Multispectral Infrared Visible Imaging Spectrometer (MIVIS) imagery
using a segmentation procedure. Next, since in asphalt pavements the surface
defects are strictly related to the decrease of oily components that cause an
increase of the abundance of surfacing limestone, the diagnostic absorption
emissivity peak at 11.2MUm of the limestone was used for retrieving from MIVIS
emissivity data the areas exhibiting defects on asphalt pavements surface.The
results showed that MIVIS emissivity allows establishing a threshold that points
out those asphalt road sites on which a check for a maintenance intervention is
required. Therefore, this technique can supply local government authorities an
efficient, rapid and repeatable road mapping procedure providing the location of
the asphalt pavements to be checked.
PMID- 27879767
TI - Fabrication of Biochips with Micro Fluidic Channels by Micro End-milling and
Powder Blasting.
AB - For microfabrications of biochips with micro fluidic channels, a large number of
microfabrication techniques based on silicon or glass-based Micro-Electro
Mechanical System (MEMS) technologies were proposed in the last decade. In recent
years, for low cost and mass production, polymer-based microfabrication
techniques by microinjection molding and micro hot embossing have been proposed.
These techniques, which require a proper photoresist, mask, UV light exposure,
developing, and electroplating as a preprocess, are considered to have some
problems. In this study, we propose a new microfabrication technology which
consists of micro end-milling and powder blasting. This technique could be
directly applied to fabricate the metal mold without any preprocesses. The metal
mold with micro-channels is machined by micro end-milling, and then, burrs
generated in the end-milling process are removed by powder blasting. From the
experimental results, micro end-milling combined with powder blasting could be
applied effectively for fabrication of the injection mold of biochips with micro
fluidic channels.
PMID- 27879766
TI - Construction of a nrdA::luxCDABE Fusion and Its Use in Escherichia coli as a DNA
Damage Biosensor.
AB - The promoter of nrdA gene which is related with DNA synthesis was used to
construct a DNA damage sensitive biosensor. A recombinant bioluminescent E. coli
strain, BBTNrdA, harboring a plasmid with the nrdA promoter fused to the luxCDABE
operon, was successfully constructed. Its response to various chemicals including
genotoxic chemicals substantiates it as a DNA damage biosensor. In
characterization, three different classes of toxicants were used: DNA damaging
chemicals, oxidative stress chemicals, and phenolics. BBTNrdA only responded
strongly to DNA damaging chemicals, such as nalidixic acid (NDA), mitomycin C
(MMC), 1-methyl-1-nitroso-N-methylguanidine (MNNG), and 4-nitroquinoline N-oxide
(4-NQO). In contrast, there were no responses from the oxidative stress chemicals
and phenolics, except from hydrogen peroxide (H2O2) which is known to cause DNA
damage indirectly. Therefore, the results of the study demonstrate that BBTNrdA
can be used as a DNA damage biosensor.
PMID- 27879768
TI - The Successive Projection Algorithm (SPA), an Algorithm with a Spatial Constraint
for the Automatic Search of Endmembers in Hyperspectral Data.
AB - Spectral mixing is a problem inherent to remote sensing data and results in
fewimage pixel spectra representing "pure" targets. Linear spectral mixture
analysis isdesigned to address this problem and it assumes that the pixel-to
pixel variability in ascene results from varying proportions of spectral
endmembers. In this paper we present adifferent endmember-search algorithm called
the Successive Projection Algorithm (SPA).SPA builds on convex geometry and
orthogonal projection common to other endmembersearch algorithms by including a
constraint on the spatial adjacency of endmembercandidate pixels. Consequently it
can reduce the susceptibility to outlier pixels andgenerates realistic
endmembers.This is demonstrated using two case studies (AVIRISCuprite cube and
Probe-1 imagery for Baffin Island) where image endmembers can bevalidated with
ground truth data. The SPA algorithm extracts endmembers fromhyperspectral data
without having to reduce the data dimensionality. It uses the spectralangle
(alike IEA) and the spatial adjacency of pixels in the image to constrain the
selectionof candidate pixels representing an endmember. We designed SPA based on
theobservation that many targets have spatial continuity (e.g. bedrock
lithologies) in imageryand thus a spatial constraint would be beneficial in the
endmember search. An additionalproduct of the SPA is data describing the change
of the simplex volume ratio between successive iterations during the endmember
extraction. It illustrates the influence of a newendmember on the data structure,
and provides information on the convergence of thealgorithm. It can provide a
general guideline to constrain the total number of endmembersin a search.
PMID- 27879769
TI - Range and Velocity Estimation of Moving Targets Using Multiple Stepped-frequency
Pulse Trains.
AB - Range and velocity estimation of moving targets using conventional
steppedfrequencypulse radar may suffer from the range-Doppler coupling and the
phasewrapping. To overcome these problems, this paper presents a new radar
waveform namedmultiple stepped-frequency pulse trains and proposes a new
algorithm. It is shown that byusing multiple stepped-frequency pulse trains and
the robust phase unwrapping theorem(RPUT), both of the range-Doppler coupling and
the phase wrapping can be robustlyresolved, and accordingly, the range and the
velocity of a moving target can be accuratelyestimated.
PMID- 27879770
TI - Amperometric Enzyme-based Gas Sensor for Formaldehyde: Impact of Possible
Interferences.
AB - In this work, cross-sensitivities and environmental influences on the
sensitivityand the functionality of an enzyme-based amperometric sensor system
for the directdetection of formaldehyde from the gas phase are studied. The
sensor shows a linearresponse curve for formaldehyde in the tested range (0 - 15
vppm) with a sensitivity of1.9 MUA/ppm and a detection limit of about 130 ppb.
Cross-sensitivities by environmentalgases like CO2, CO, NO, H2, and vapors of
organic solvents like methanol and ethanol areevaluated as well as temperature
and humidity influences on the sensor system. The sensorshowed neither
significant signal to CO, H2, methanol or ethanol nor to variations in
thehumidity of the test gas. As expected, temperature variations had the biggest
influence onthe sensor sensitivity with variations in the sensor signal of up to
10 % of the signal for 5vppm CH2O in the range of 25 - 30 degrees C.
PMID- 27879773
TI - Topographic Effects on the Surface Emissivity of a Mountainous Area Observed by a
Spaceborne Microwave Radiometer.
AB - A simulation study to understand the influence of topography on the
surfaceemissivity observed by a satellite microwave radiometer is carried out. We
analyze theeffects due to changes in observation angle, including the rotation of
the polarization plane.A mountainous area in the Alps (Northern Italy) is
considered and the information on therelief extracted from a digital elevation
model is exploited. The numerical simulation refersto a radiometric image,
acquired by a conically-scanning radiometer similar to AMSR-E,i.e., flying at 705
km of altitude with an observation angle of 55 degrees . To single out the
impacton surface emissivity, scattering of the radiation due to the atmosphere or
neighboringelevated surfaces is not considered. C and X bands, for which
atmospheric effects arenegligible, and Ka band are analyzed. The results indicate
that the changes in the localobservation angle tend to lower the apparent
emissivity of a radiometric pixel with respectto the corresponding flat surface
characteristics. The effect of the rotation of thepolarization plane enlarges
(vertical polarization), or attenuates (horizontal polarization)this decrease. By
doing some simplifying assumptions for the radiometer antenna, theconclusion is
that the microwave emissivity at vertical polarization is underestimated,whilst
the opposite occurs for horizontal polarization, except for Ka band, for which
bothunder- and overprediction may occur. A quantification of the differences with
respect to aflat soil and an approximate evaluation of their impact on soil
moisture retrieval areyielded.
PMID- 27879774
TI - Three-Dimensional Transport Modeling for Proton Exchange Membrane(PEM) Fuel Cell
with Micro Parallel Flow Field.
AB - Modeling and simulation for heat and mass transport in micro channel are
beingused extensively in researches and industrial applications to gain better
understanding of thefundamental processes and to optimize fuel cell designs
before building a prototype forengineering application. In this study, we used a
single-phase, fully three dimensionalsimulation model for PEMFC that can deal
with both anode and cathode flow field forexamining the micro flow channel with
electrochemical reaction. The results show thathydrogen and oxygen were solely
supplied to the membrane by diffusion mechanism ratherthan convection transport,
and the higher pressure drop at cathode side is thought to becaused by higher
flow rate of oxygen at cathode. And it is found that the amount of water
incathode channel was determined by water formation due to electrochemical
reaction pluselectro-osmotic mass flux directing toward the cathode side. And it
is very important tomodel the back diffusion and electro-osmotic mass flux
accurately since the two flux wasclosely correlated each other and greatly
influenced for determination of ionic conductivityof the membrane which directly
affects the performance of fuel cell.
PMID- 27879771
TI - Assembling Amperometric Biosensors for Clinical Diagnostics.
AB - Clinical diagnosis and disease prevention routinely require the assessment
ofspecies determined by chemical analysis. Biosensor technology offers several
benefits overconventional diagnostic analysis. They include simplicity of use,
specificity for the targetanalyte, speed to arise to a result, capability for
continuous monitoring and multiplexing,together with the potentiality of coupling
to low-cost, portable instrumentation. This workfocuses on the basic lines of
decisions when designing electron-transfer-based biosensorsfor clinical analysis,
with emphasis on the strategies currently used to improve the deviceperformance,
the present status of amperometric electrodes for biomedicine, and the trendsand
challenges envisaged for the near future.
PMID- 27879775
TI - Application of Electrostatic Extrusion - Flavour Encapsulation and Controlled
Release.
AB - The subject of this study was the development of flavour alginate
formulationsaimed for thermally processed foods. Ethyl vanilline was used as the
model flavourcompound. Electrostatic extrusion was applied for the encapsulation
of ethyl vanilline inalginate gel microbeads. The obtained microbeads with
approx. 10 % w/w of ethylvanilline encapsulated in about 2 % w/w alginate were
uniformly sized spheres of about450 MUm. Chemical characterization by H-NMR
spectroscopy revealed that the alginateused in this study had a high content (67
%) of guluronic residues and was rich in GG diadblocks (FGG = 55%) and thus
presented a high-quality immobilisation matrix. The thermalbehaviour of alginate
beads encapsulating ethyl vanilline was investigated bythermogravimetric (TG) and
differential scanning calorimetry measurements (TG-DSC)under heating conditions
which mimicked usual food processing to provide informationabout thermal
decomposition of alginate matrix and kinetics of aroma release. Two wellresolved
weight losses were observed. The first one was in the 50-150 degrees C
temperaturerange with the maximum at approx. 112 degrees C, corresponding to the
dehydration of thepolymer network. The second loss in the 220-325 degrees C
temperature range, with a maximumat ~ 247 degrees C corresponded to the release
of vanilline. The obtained results indicate that up to230 degrees C most of the
vanilline remained intacta, while prolonged heating at elevatedtemperatures led
to the entire loss of the aroma compound.
PMID- 27879776
TI - Amperometric Low-Potential Detection of Malic Acid Using Single-Wall Carbon
Nanotubes Based Electrodes.
AB - The electrocatalytical property of single-wall carbon nanotube (SWNT)modified
electrode toward NADH detection was explored by cyclic voltammetry andamperometry
techniques. The experimental results show that SWNT decrease theovervoltage
required for oxidation of NADH (to 300 mV vs. Ag/AgCl) and this propertymake them
suitable for dehydrogenases based biosensors. The behavior of the SWNTmodified
biosensor for L-malic acid was studied as an example for dehydrogenasesbiosensor.
The amperometric measurements indicate that malate dehydrogenase (MDH)can be
strongly adsorbed on the surface of the SWNT-modified electrode to form
anapproximate monolayer film. Enzyme immobilization in Nafion membrane can
increasethe biosensor stability. A linear calibration curve was obtained for L
malic acidconcentrations between 0.2 and 1mM.
PMID- 27879777
TI - Synthesis and Characterization of Carbon Nitride Films for Micro Humidity
Sensors.
AB - Nano-structured carbon nitride (CNx) films were synthesized by a reactive
RFmagnetron sputtering system with a DC bias under various deposition conditions,
and theirphysical and electrical properties were investigated with a view to
using them for microhumidity sensors. The FTIR spectra of the deposited films
showed a C=N stretching bandin the range of 1600~1700 ?-1, depending on the
amount of nitrogen incorporation. Thecarbon nitride films deposited on the Si
substrate had a nano-structured surfacemorphology with a grain size of about 20
nm, and their deposition rate was 1.5 MUm/hr. Thesynthesized films had a high
electrical resistivity in the range of 108 to 108 omega.cm,depending on the
deposition conditions. The micro humidity sensors showed a goodlinearity and low
hysteresis between 5 ~ 95 %RH.
PMID- 27879772
TI - Electrochemical Biosensors - Sensor Principles and Architectures.
AB - Quantification of biological or biochemical processes are of utmost importance
for medical, biological and biotechnological applications. However, converting
the biological information to an easily processed electronic signal is
challenging due to the complexity of connecting an electronic device directly to
a biological environment. Electrochemical biosensors provide an attractive means
to analyze the content of a biological sample due to the direct conversion of a
biological event to an electronic signal. Over the past decades several sensing
concepts and related devices have been developed. In this review, the most common
traditional techniques, such as cyclic voltammetry, chronoamperometry,
chronopotentiometry, impedance spectroscopy, and various field-effect transistor
based methods are presented along with selected promising novel approaches, such
as nanowire or magnetic nanoparticle-based biosensing. Additional measurement
techniques, which have been shown useful in combination with electrochemical
detection, are also summarized, such as the electrochemical versions of surface
plasmon resonance, optical waveguide lightmode spectroscopy, ellipsometry, quartz
crystal microbalance, and scanning probe microscopy. The signal transduction and
the general performance of electrochemical sensors are often determined by the
surface architectures that connect the sensing element to the biological sample
at the nanometer scale. The most common surface modification techniques, the
various electrochemical transduction mechanisms, and the choice of the
recognition receptor molecules all influence the ultimate sensitivity of the
sensor. New nanotechnology-based approaches, such as the use of engineered ion
channels in lipid bilayers, the encapsulation of enzymes into vesicles,
polymersomes, or polyelectrolyte capsules provide additional possibilities for
signal amplification. In particular, this review highlights the importance of the
precise control over the delicate interplay between surface nano-architectures,
surface functionalization and the chosen sensor transducer principle, as well as
the usefulness of complementary characterization tools to interpret and to
optimize the sensor response.
PMID- 27879778
TI - The Application of DNA-Biosensors and Differential Scanning Calorimetry to the
Study of the DNA-Binding Agent Berenil.
AB - The in situ DNA-damaging capacity of berenil (1) has been investigated usingan
electrochemical approach employing double stranded (ds) DNA-modified glassy
carbonelectrode biosensors. Electrochemical voltammetric sensing of damage caused
by 1 todsDNA was monitored by the appearance of peaks diagnostic of the oxidation
of guanineand adenine. When 1 was incorporated directly onto the biosensor
surface, DNA damagecould be observed at concentrations of additive as low as 10
MUM. In contrast, when thedsDNA-modified biosensor was exposed to 1, in acetate
buffer solution, the method wasmuch less sensitive and DNA damage could be
detected only in the presence of 100 MUMberenil. When mixed solutions of 1 and
single stranded (ss) DNA, polyguanylic acid orpolyadenylic acid were submitted to
voltammetric study, the oxidation signals of therespective bases decreased in a
concentration-dependent manner and the major variation ofthe adenine current peak
indicated preferential binding of 1 to adenine. The electrochemical results were
in close agreement with those deriving from a differentialscanning calorimetric
study of the DNA-berenil complex.
PMID- 27879781
TI - Low-cost Sensors Based on the GMI Effect in Recycled Transformer Cores.
AB - Sensors based on the giant magnetoimpedance (GMI) effect in silicon steelswere
constructed. Strips of silicon steels (0.500 mm-thick, 35.0 mm-long) with
widthsranging from 0.122 to 1.064 mm were cut from recycled transformer cores.
Since amaximum GMI ratio of 300% and a maximum field sensitivity of 1.5%/Oe were
observedin a 1.064 mm-wide sample at 200 kHz, the 1.064 mm-wide strips were
chosen as sensingelements in a slot key switch, angular velocity sensor, current
sensor and force sensor. Thesensing elements were integrated into electronic
circuits and the changes in impedancewere monitored. Variations in voltage due to
these changes were typically small and musttherefore be amplified by the
electronic circuits. For the current sensor and force sensor,the variation in the
voltage drop across the GMI sensing element had non-linear variationswith either
current or force and a conversion formula from a computer program wastherefore
needed. The performance of the systems was tested. These sensing systems
werestable, highly sensitive, hysteresis-free and could be produced on a mass
scale. Based ontheir GMI effect, the silicon steels are versatile alternative low
cost sensors.
PMID- 27879779
TI - Transgenic Plants as Sensors of Environmental Pollution Genotoxicity.
AB - Rapid technological development is inevitably associated with manyenvironmental
problems which primarily include pollution of soil, water and air. In manycases,
the presence of contamination is difficult to assess. It is even more difficult
toevaluate its potential danger to the environment and humans. Despite the
existence ofseveral whole organism-based and cell-based models of sensing
pollution and evaluationof toxicity and mutagenicity, there is no ideal system
that allows one to make a quick andcheap assessment. In this respect, transgenic
organisms that can be intentionally altered tobe more sensitive to particular
pollutants are especially promising. Transgenic plantsrepresent an ideal system,
since they can be grown at the site of pollution or potentiallydangerous sites.
Plants are ethically more acceptable and esthetically more appealing thananimals
as sensors of environmental pollution. In this review, we will discuss
varioustransgenic plant-based models that have been successfully used for
biomonitoringgenotoxic pollutants. We will also discuss the benefits and
potential drawbacks of thesesystems and describe some novel ideas for the future
generation of efficient transgenicphytosensors.
PMID- 27879780
TI - Ground Based Ultraviolet Remote Sensing of Volcanic Gas Plumes.
AB - Ultraviolet spectroscopy has been implemented for over thirty years to
monitorvolcanic SO2 emissions. These data have provided valuable information
concerningunderground magmatic conditions, which have been of utility in eruption
forecastingefforts. During the last decade the traditionally used correlation
spectrometers have beenupgraded with miniature USB coupled UV spectrometers,
opening a series of exciting newempirical possibilities for understanding
volcanoes and their impacts upon the atmosphere.Here we review these
technological developments, in addition to the scientific insightsthey have
precipitated, covering the strengths and current limitations of this approach.
PMID- 27879782
TI - An Artificial Neural Network Approach for the Prediction of Absorption
Measurements of an Evanescent Field Fiber Sensor.
AB - This paper describes artificial neural network (ANN) based prediction of
theresponse of a fiber optic sensor using evanescent field absorption (EFA). The
sensingprobe of the sensor is made up a bundle of five PCS fibers to maximize the
interaction ofevanescent field with the absorbing medium. Different
backpropagation algorithms areused to train the multilayer perceptron ANN. The
Levenberg-Marquardt algorithm, aswell as the other algorithms used in this work
successfully predicts the sensor responses.
PMID- 27879783
TI - SU-8 Cantilevers for Bio/chemical Sensing; Fabrication, Characterisation and
Development of Novel Read-out Methods.
AB - Here, we present the activities within our research group over the last five
yearswith cantilevers fabricated in the polymer SU-8. We believe that SU-8 is an
interestingpolymer for fabrication of cantilevers for bio/chemical sensing due to
its simple processingand low Young's modulus. We show examples of different
integrated read-out methodsand their characterisation. We also show that SU-8
cantilevers have a reduced sensitivity tochanges in the environmental temperature
and pH of the buffer solution. Moreover, weshow that the SU-8 cantilever surface
can be functionalised directly with receptormolecules for analyte detection,
thereby avoiding gold-thiol chemistry.
PMID- 27879784
TI - Object-based Land Cover Classification and Change Analysis in the Baltimore
Metropolitan Area Using Multitemporal High Resolution Remote Sensing Data.
AB - Accurate and timely information about land cover pattern and change in urbanareas
is crucial for urban land management decision-making, ecosystem monitoring
andurban planning. This paper presents the methods and results of an object
basedclassification and post-classification change detection of multitemporal
high-spatialresolution Emerge aerial imagery in the Gwynns Falls watershed from
1999 to 2004. TheGwynns Falls watershed includes portions of Baltimore City and
Baltimore County,Maryland, USA. An object-based approach was first applied to
implement the land coverclassification separately for each of the two years. The
overall accuracies of theclassification maps of 1999 and 2004 were 92.3% and
93.7%, respectively. Following theclassification, we conducted a comparison of
two different land cover change detectionmethods: traditional (i.e., pixel-based)
post-classification comparison and object-basedpost-classification comparison.
The results from our analyses indicated that an objectbasedapproach provides a
better means for change detection than a pixel based methodbecause it provides an
effective way to incorporate spatial information and expertknowledge into the
change detection process. The overall accuracy of the change mapproduced by the
object-based method was 90.0%, with Kappa statistic of 0.854, whereasthe overall
accuracy and Kappa statistic of that by the pixel-based method were 81.3%
and0.712, respectively.
PMID- 27879785
TI - A Fluorescent Sensor for Dinitrobenzoic Acid Based on a Cyanuric Acid and
Xanthene Skeleton.
AB - A new fluorescent sensor based on a dimethylxanthene skeleton has
beensynthesized. Because of its oxyanion hole structure, this receptor includes a
suitablecavity for the association of carboxylic acids. The receptor's
fluorescence is quenchedupon addition of dinitrobenzoic acid.
PMID- 27879787
TI - Multiscale Unsupervised Segmentation of SAR Imagery Using the Genetic Algorithm.
AB - A valid unsupervised and multiscale segmentation of synthetic aperture radar(SAR)
imagery is proposed by a combination GA-EM of the Expectation Maximization(EM)
algorith with the genetic algorithm (GA). The mixture multiscale
autoregressive(MMAR) model is introduced to characterize and exploit the scale-to
scale statisticalvariations and statistical variations in the same scale in SAR
imagery due to radar speckle,and a segmentation method is given by combining the
GA algorithm with the EMalgorithm. This algorithm is capable of selecting the
number of components of the modelusing the minimum description length (MDL)
criterion. Our approach benefits from theproperties of the Genetic and the EM
algorithm by combination of both into a singleprocedure. The population-based
stochastic search of the genetic algorithm (GA) exploresthe search space more
thoroughly than the EM method. Therefore, our algorithm enablesescaping from
local optimal solutions since the algorithm becomes less sensitive to
itsinitialization. Some experiment results are given based on our proposed
approach, andcompared to that of the EM algorithms. The experiments on the SAR
images show that theGA-EM outperforms the EM method.
PMID- 27879786
TI - Schiff's Bases and Crown Ethers as Supramolecular Sensing Materials in the
Construction of Potentiometric Membrane Sensors.
AB - Ionophore incorporated PVC membrane sensors are well-established analyticaltools
routinely used for the selective and direct measurement of a wide variety of
differentions in complex biological and environmental samples. Potentiometric
sensors have someoutstanding advantages including simple design and operation,
wide linear dynamic range,relatively fast response and rational selectivity. The
vital component of such plasticizedPVC members is the ionophore involved,
defining the selectivity of the electrodes' complexformation. Molecular
recognition causes the formation of many different supramolecules.Different types
of supramolecules, like calixarenes, cyclodextrins and podands, have beenused as
a sensing material in the construction of ion selective sensors. Schiff's bases
andcrown ethers, which feature prominently in supramolecular chemistry, can be
used assensing materials in the construction of potentiometric ion selective
electrodes. Up to now,more than 200 potentiometric membrane sensors for cations
and anions based on Schiff's bases and crown ethers have been reported. In this
review cation binding and anioncomplexes will be described. Liquid membrane
sensors based on Schiff's bases and crownethers will then be discussed.
PMID- 27879789
TI - Applications of the Integrated High-Performance CMOS Image Sensor to Range
Finders - from Optical Triangulation to the Automotive Field.
AB - With their significant features, the applications of complementary metal
oxidesemiconductor (CMOS) image sensors covers a very extensive range, from
industrialautomation to traffic applications such as aiming systems, blind
guidance, active/passiverange finders, etc. In this paper CMOS image sensor-based
active and passive rangefinders are presented. The measurement scheme of the
proposed active/passive rangefinders is based on a simple triangulation method.
The designed range finders chieflyconsist of a CMOS image sensor and some light
sources such as lasers or LEDs. Theimplementation cost of our range finders is
quite low. Image processing software to adjustthe exposure time (ET) of the CMOS
image sensor to enhance the performance oftriangulation-based range finders was
also developed. An extensive series of experimentswere conducted to evaluate the
performance of the designed range finders. From theexperimental results, the
distance measurement resolutions achieved by the active rangefinder and the
passive range finder can be better than 0.6% and 0.25% within themeasurement
ranges of 1 to 8 m and 5 to 45 m, respectively. Feasibility tests onapplications
of the developed CMOS image sensor-based range finders to the automotivefield
were also conducted. The experimental results demonstrated that our range finders
arewell-suited for distance measurements in this field.
PMID- 27879788
TI - Carbon Nanotubes Based Glucose Needle-type Biosensor.
AB - A novel needle-type biosensor based on carbon nanotubes is reported. Thebiosensor
was prepared by packing a mixture of multi-wall carbon nanotubes
(MWCNTs),graphite powder and glucose oxidase (Gox) freeze-dried powder into a
glass capillary of 0.5mm inner diameter. The resulting amperometric biosensor was
characterizedelectrochemically using amperometry in the presence of hydrogen
peroxide and in thepresence of glucose. The glucose biosensor sensitivity was
influenced by the glucoseoxidase concentration within the MWCNTs mixture. The
optimized glucose needle-typebiosensor displayed better sensitivity and
stability, and a detected range of up to 20 mM.Based on its favorable stability,
the needle biosensor was first time used in real-timemonitoring system as a kind
of online glucose detector. The decay of current response isless than 10% after
24-hour continuous observation.
PMID- 27879790
TI - Assessing Steady-state Fluorescence and PRI from Hyperspectral Proximal Sensing
as Early Indicators of Plant Stress: The Case of Ozone Exposure.
AB - High spectral resolution spectrometers were used to detect optical signals
ofongoing plant stress in potted white clover canopies subjected to ozone
fumigation. Thecase of ozone stress is used in this manuscript as a paradigm of
oxidative stress. Steadystatefluorescence (Fs) and the Photochemical Reflectance
Index (PRI) were investigatedas advanced hyperspectral remote sensing techniques
able to sense variations in the excessenergy dissipation pathways occurring when
photosynthesis declines in plants exposed to astress agent. Fs and PRI were
monitored in control and ozone fumigated canopies during a21-day experiment
together with the traditional Normalized Difference Vegetation Index(NDVI) and
physiological measurements commonly employed by physiologists to describestress
development (i.e. net CO2 assimilation, active fluorimetry, chlorophyll
concentrationand visible injuries). It is shown that remote detection of an
ongoing stress through Fs andPRI can be achieved in an early phase, characterized
by the decline of photosynthesis. Onthe contrary, NDVI was able to detect the
stress only when damage occurred. These resultsopen up new possibilities for
assessment of plant stress by means of hyperspectral remotesensing.
PMID- 27879791
TI - An Open Distributed Architecture for Sensor Networks for Risk Management.
AB - Sensors provide some of the basic input data for risk management of natural
andman-made hazards. Here the word 'sensors' covers everything from remote
sensingsatellites, providing invaluable images of large regions, through
instruments installed on theEarth's surface to instruments situated in deep
boreholes and on the sea floor, providinghighly-detailed point-based information
from single sites. Data from such sensors is used inall stages of risk
management, from hazard, vulnerability and risk assessment in the preeventphase,
information to provide on-site help during the crisis phase through to data toaid
in recovery following an event. Because data from sensors play such an important
part inimproving understanding of the causes of risk and consequently in its
mitigation,considerable investment has been made in the construction and
maintenance of highlysophisticatedsensor networks. In spite of the ubiquitous
need for information from sensornetworks, the use of such data is hampered in
many ways. Firstly, information about thepresence and capabilities of sensor
networks operating in a region is difficult to obtain dueto a lack of easily
available and usable meta-information. Secondly, once sensor networkshave been
identified their data it is often difficult to access due to a lack of
interoperability between dissemination and acquisition systems. Thirdly, the
transfer and processing ofinformation from sensors is limited, again by
incompatibilities between systems. Therefore,the current situation leads to a
lack of efficiency and limited use of the available data thathas an important
role to play in risk mitigation. In view of this situation, the
EuropeanCommission (EC) is funding a number of Integrated Projects within the
Sixth FrameworkProgramme concerned with improving the accessibility of data and
services for riskmanagement. Two of these projects: 'Open Architecture and
Spatial Data Infrastructure forRisk Management' (ORCHESTRA, http://www.eu
orchestra.org/) and 'Sensors Anywhere'(SANY, http://sany-ip.eu/) are discussed in
this article. These projects have developed anopen distributed information
technology architecture and have implemented web servicesfor the accessing and
using data emanating, for example, from sensor networks. Thesedevelopments are
based on existing data and service standards proposed by
internationalorganizations. The projects seek to develop the ideals of the EC
directive INSPIRE(http://inspire.jrc.it), which was launched in 2001 and whose
implementation began this year(2007), into the risk management domain. Thanks to
the open nature of the architecture andservices being developed within these
projects, they can be implemented by any interestedparty and can be accessed by
all potential users. The architecture is based around a serviceorientedapproach
that makes use of Internet-based applications (web services) whose inputsand
outputs conform to standards. The benefit of this philosophy is that it is
expected tofavor the emergence of an operational market for risk management
services in Europe, iteliminates the need to replace or radically alter the
hundreds of already operational ITsystems in Europe (drastically lowering costs
for users), and it allows users and stakeholdersto achieve interoperability while
using the system most adequate to their needs, budgets,culture etc. (i.e. it has
flexibility).
PMID- 27879792
TI - Development and Demonstration of Measurement-Time Efficient Methods for Impedance
Spectroscopy of Electrode and Sensor Arrays.
AB - The development of impedance-based array devices is hindered by a lack ofrobust
platforms and methods upon which to evaluate and interrogate sensors. One
aspectto be addressed is the development of measurement-time efficient techniques
forbroadband impedance spectroscopy of large electrode arrays. The objective of
this workwas to substantially increase the low frequency impedance measurement
throughputcapability of a large channel count array analyzer by developing true
parallel measurementmethods. The goal was achieved by Fourier transform-based
analysis of simultaneouslyacquiredmulti-channel time-based current and voltage
data. Efficacy and quantitativeanalysis of the parallel approach at frequencies
less than ca. 10 Hz as well as a combinedsequential parallel approach for
efficient broadband impedance spectroscopy over 5-orders of magnitude in
frequency is demonstrated through complex impedancemeasurement of arrays
consisting of up to 100 elements.
PMID- 27879793
TI - Localization Algorithm Based on a Spring Model (LASM) for Large Scale Wireless
Sensor Networks.
AB - A navigation method for a lunar rover based on large scale wireless
sensornetworks is proposed. To obtain high navigation accuracy and large
exploration area, highnode localization accuracy and large network scale are
required. However, thecomputational and communication complexity and time
consumption are greatly increasedwith the increase of the network scales. A
localization algorithm based on a spring model(LASM) method is proposed to reduce
the computational complexity, while maintainingthe localization accuracy in large
scale sensor networks. The algorithm simulates thedynamics of physical spring
system to estimate the positions of nodes. The sensor nodesare set as particles
with masses and connected with neighbor nodes by virtual springs. Thevirtual
springs will force the particles move to the original positions, the node
positionscorrespondingly, from the randomly set positions. Therefore, a blind
node position can bedetermined from the LASM algorithm by calculating the related
forces with the neighbornodes. The computational and communication complexity are
O(1) for each node, since thenumber of the neighbor nodes does not increase
proportionally with the network scale size.Three patches are proposed to avoid
local optimization, kick out bad nodes and deal withnode variation. Simulation
results show that the computational and communicationcomplexity are almost
constant despite of the increase of the network scale size. The time consumption
has also been proven to remain almost constant since the calculation steps
arealmost unrelated with the network scale size.
PMID- 27879794
TI - A New PC and LabVIEW Package Based System for Electrochemical Investigations.
AB - The paper describes a new PC and LabVIEW software package based system
forelectrochemical research. An overview of well known electrochemical methods,
such aspotential measurements, galvanostatic and potentiostatic method, cyclic
voltammetry andEIS is given. Electrochemical impedance spectroscopy has been
adapted for systemscontaining large capacitances. For signal generation and
recording of the response ofinvestigated electrochemical cell, a measurement and
control system was developed, basedon a PC P4. The rest of the hardware consists
of a commercially available AD-DA converterand an external interface for analog
signal processing. The interface is a result of authorsown research. The software
platform for desired measurement methods is LabVIEW 8.2package, which is regarded
as a high standard in the area of modern virtual instruments. Thedeveloped system
was adjusted, tested and compared with commercially available systemand ORCAD
simulation.
PMID- 27879795
TI - Estimation of the Total Atmospheric Water Vapor Content and Land Surface
Temperature Based on AATSR Thermal Data.
AB - The total atmospheric water vapor content (TAWV) and land surfacetemperature
(LST) play important roles in meteorology, hydrology, ecology and some
otherdisciplines. In this paper, the ENVISAT/AATSR (The Advanced Along-Track
ScanningRadiometer) thermal data are used to estimate the TAWV and LST over the
Loess Plateauin China by using a practical split window algorithm. The
distribution of the TAWV isaccord with that of the MODIS TAWV products, which
indicates that the estimation of thetotal atmospheric water vapor content is
reliable. Validations of the LST by comparingwith the ground measurements
indicate that the maximum absolute derivation, themaximum relative error and the
average relative error is 4.0K, 11.8% and 5.0%respectively, which shows that the
retrievals are believable; this algorithm can provide anew way to estimate the
LST from AATSR data.
PMID- 27879796
TI - Amperometric Determination of Sulfite by Gas Diffusion- Sequential Injection with
Boron-Doped Diamond Electrode.
AB - A gas diffusion sequential injection system with amperometric detection using
aboron-doped diamond electrode was developed for the determination of sulfite. A
gasdiffusion unit (GDU) was used to prevent interference from sample matrices for
theelectrochemical measurement. The sample was mixed with an acid solution to
generategaseous sulfur dioxide prior to its passage through the donor channel of
the GDU. Thesulfur dioxide diffused through the PTFE hydrophobic membrane into a
carrier solution of 0.1 M phosphate buffer (pH 8)/0.1% sodium dodecyl sulfate in
the acceptor channel of theGDU and turned to sulfite. Then the sulfite was
carried to the electrochemical flow cell anddetected directly by amperometry
using the boron-doped diamond electrode at 0.95 V(versus Ag/AgCl). Sodium dodecyl
sulfate was added to the carrier solution to preventelectrode fouling. This
method was applicable in the concentration range of 0.2-20 mgSO32-/L and a
detection limit (S/N = 3) of 0.05 mg SO32-/L was achieved. This method
wassuccessfully applied to the determination of sulfite in wines and the
analytical resultsagreed well with those obtained by iodimetric titration. The
relative standard deviations forthe analysis of sulfite in wines were in the
range of 1.0-4.1 %. The sampling frequency was65 h-1.
PMID- 27879797
TI - An Approach for Measuring the Sorptive Behavior of Odorants Using a Multifunction
Thermal Desorber Unit: Preliminary Tests on Reduced Sulfur Compounds.
AB - In this study, the sorptive behavior of reduced sulfur compounds (RSC) was
investigated using a combination of thermal desorber (TD) unit and gas
chromatography (GC). To examine the sorptive properties of RSC on textile
materials, two types of experiments were conducted under experimental conditions
favorable for sorptive processes. In all the experiments, gaseous standards of
hydrogen sulfide, methanethiol, dimethyl sulfide, and dimethyl disulfide were
supplied to initiate the adsorption processes on textile pieces. The textile
pieces were then forced to release those adsorbed RSC under a fixed condition. It
was found that the extent of adsorption, if evaluated quantitatively, occurred at
approximately 1/1000 to 1/100 of the level of RSC standards supplied originally
to induce adsorption. It also indicated that RSC adsorption was affected very
sensitively by the initial exposure durations to induce RSC adsorption with an
exponential decrease in relative recovery (RR) values with increasing exposure
time. The relative sorptive patterns, when compared between different RSCs, were
affected most sensitively by such factors as molecular weight and/or physical
contact conditions.
PMID- 27879798
TI - High Sensitive Temperature Sensor Using a Liquid-core Optical Fiber with Small
Refractive Index Difference Between Core and Cladding Materials.
AB - An intensive temperature sensor based on a liquid-core optical fiber has been
demonstrated for the measuring the temperature of the environment. The core of
fiber is filled with a mixture of toluene and chloroform in order to make the
refractive index of the liquid-core and the cladding of the fiber close. The
experiment shows that a temperature sensitivity of about 5 dB/K and a tunable
temperature range (from 20 oC to 60 oC) can be achieved. Based on the dielectric
clad liquid core fiber model, a simulation was carried out and the calculated
results were in good accord with the experimental measurement.
PMID- 27879799
TI - Sensitive Detection of Haloperidol and Hydroxyzine at Multi-Walled Carbon
Nanotubes-Modified Glassy Carbon Electrodes.
AB - Haloperidol (i.e. HPD) and hydroxyzine (i.e. HXY), two effective and important
tranquilizers with low redox activity, were found to generate an irreversible
anodic peak at about +0.86 V (vs. SCE) or two anodic peaks at about +0.83 and
+0.91 V in 0.05 M NaH2PO4-Na2HPO4 (pH=7.0) buffer solution with a multi-walled
carbon nanotubes-modified glassy carbon electrode (i.e. MWNTs/GC), respectively.
Their sensitive and quantitative measurement based on the first two anodic peaks
was established under the optimum conditions. The anodic peak current was linear
to HPD and HXY concentration from 1*10-7 to 2.5 *10-5 M and 5*10-8 to 2.5 *10-5
M, the detection limits obtained were 8*10-9 and 5*10-9 M, separately. The
modified electrode exhibited some excellent characteristics including easy
regeneration, high stability, good reproducibility and selectivity. The method
proposed was successfully applied to the detection of HPD and HXY in drug tablets
and proved to be reliable compared with ultraviolet spectrophotometry. The
modified electrode was characterized by electrochemical methods.
PMID- 27879800
TI - Electrochemical Determination of Trace Sudan I Contamination in Chili Powder at
Carbon Nanotube Modified Electrodes.
AB - We have developed a simple, convenient and inexpensive voltammetric method for
determining trace Sudan I contamination in chili powder, based on the catalyzed
electrochemical reduction of Sudan I at the carbon nanotube modified electrode.
Under optimized conditions, the method exhibited acceptable analytical
performance in terms of linearity (over the concentration range 6.0*10-7 to
7.5*10-5 M, r = 0.9967), detection limit (2.0*10-7 M) and reproducibility (RSD =
4.6%, n=10, for 2.0*10-5 M Sudan I).
PMID- 27879801
TI - Sensor Performance Requirements for the Retrieval of Atmospheric Aerosols by
Airborne Optical Remote Sensing.
AB - This study explores performance requirements for the retrieval of the atmospheric
aerosol optical depth (AOD) by airborne optical remote sensing instruments.
Independent of any retrieval techniques, the calculated AOD retrieval
requirements are compared with the expected performance parameters of the
upcoming hyperspectral sensor APEX at the reference wavelength of 550nm. The AOD
accuracy requirements are defined to be capable of resolving transmittance
differences of 0.01 to 0.04 according to the demands of atmospheric corrections
for remote sensing applications. For the purposes of this analysis, the signal at
the sensor level is simulated by radiation transfer equations. The resulting
radiances are translated into the AOD retrieval sensitivity (Deltataulambdaaer )
and compared to the available measuring sensitivity of the sensor (NE
DeltaLlambdasensor). This is done for multiple signal-to-noise ratios (SNR) and
surface reflectance values. It is shown that an SNR of 100 is adequate for AOD
retrieval at 550nm under typical remote sensing conditions and a surface
reflectance of 10% or less. Such dark surfaces require the lowest SNR values and
therefore offer the best sensitivity for measuring AOD. Brighter surfaces with up
to 30% reflectance require an SNR of around 300. It is shown that AOD retrieval
for targets above 50% surface reflectance is more problematic with the current
sensor performance as it may require an SNR larger than 1000. In general,
feasibility is proven for the analyzed cases under simulated conditions.
PMID- 27879802
TI - A Dynamic Range Expansion Technique for CMOS Image Sensors with Dual Charge
Storage in a Pixel and Multiple Sampling.
AB - A dynamic range expansion technique for CMOS image sensors with dual charge
storage in a pixel and multiple sampling technique is presented. Each pixel
contains a photodiode and a storage diode which is connected to the photodiode
via a separation gate. The sensitivity of the signal charge in the storage diode
can be controlled either by a separation gate which limits the charge to flow
into the storage diode or by controlling the accumulation time in the storage
diode. The operation of the sensitivity control with separation gate techniques
is simulated and it is found that a blocking layer to the storage diode plays an
important role for high controllability of sensitivity of the storage diode. A
prototype chip for testing multiple short time accumulations is fabricated and
measured.
PMID- 27879803
TI - Assessment and Analysis of QuikSCAT Vector Wind Products for the Gulf of Mexico:
A Long-Term and Hurricane Analysis.
AB - The northern Gulf of Mexico is a region that has been frequently impacted in
recent years by natural disasters such as hurricanes. The use of remote sensing
data such as winds from NASA's QuikSCAT satellite sensor would be useful for
emergency preparedness during such events. In this study, the performance of
QuikSCAT products, including JPL's latest Level 2B (L2B) 12.5 km swath winds,
were evaluated with respect to buoy-measured winds in the Gulf of Mexico for the
period January 2005 to February 2007. Regression analyses indicated better
accuracy of QuikSCAT's L2B DIRTH, 12.5 km than the Level 3 (L3), 25 km wind
product. QuikSCAT wind data were compared directly with buoy data keeping a
maximum time interval of 20 min and spatial interval of 0.1 degrees (~10 km). R2
values for moderate wind speeds were 0.88 and 0.93 for L2B, and 0.75 and 0.89 for
L3 for speed and direction, respectively. QuikSCAT wind comparisons for buoys
located offshore were better than those located near the coast. Hurricanes that
took place during 2002-06 were studied individually to obtain regressions of
QuikSCAT versus buoys for those events. Results show QuikSCAT's L2B DIRTH wind
product compared well with buoys during hurricanes up to the limit of buoy
measurements. Comparisons with the National Hurricane Center (NHC) best track
analyses indicated QuikSCAT winds to be lower than those obtained by NHC,
possibly due to rain contamination, while buoy measurements appeared to be
constrained at high wind speeds. This study has confirmed good agreement of the
new QuikSCAT L2B product with buoy measurements and further suggests its
potential use during extreme weather conditions in the Gulf of Mexico.
PMID- 27879804
TI - Electrooxidation and Determination of Dopamine Using a Nafion(r)-Cobalt
Hexacyanoferrate Film Modified Electrode.
AB - The electrocatalysis of dopamine has been studied using a cobalt hexacyanoferrate
film (CoHCFe)-modified glassy carbon electrode. Using a rotating disk CoHCFe
modified electrode, the reaction rate constant for dopamine was found to be 3.5 *
105 cm3 mol-1 s-1 at a concentration of 5.0 * 10-5 mol L-1. When a Nafion(r) film
is applied to the CoHCFe-modified electrode surface a high selectivity for the
determination of dopamine over ascorbic acid was obtained. The analytical curve
for dopamine presented linear dependence over the concentration range from 1.2 *
10-5 to 5.0 * 10-4 mol L-1 with a slope of 23.5 mA mol-1 L and a linear
correlation coefficient of 0.999. The detection limit of this method was 8.9 * 10
6 mol L-1 and the relative standard deviation for five measurements of 2.5 * 10-4
mol L-1 dopamine was 0.58%.
PMID- 27879805
TI - A Novel Optical Fiber Sensor for Steel Corrosion in Concrete Structures.
AB - Steel corrosion resulting from the penetration of chloride ions or carbon dioxide
is a major cause of degradation for reinforced concrete structures,. The
objective of the present investigation was to develop a low-cost sensor for steel
corrosion, which is based on a very simple physical principle. The flat end of a
cut optical fiber is coated with an iron thin film using the ion sputtering
technique. Light is then sent into a fiber embedded in concrete and the reflected
signal is monitored. Initially, most of the light is reflected by the iron layer.
When corrosion occurs to remove the iron layer, a significant portion of the
light power will leave the fiber at its exposed end, and the reflected power is
greatly reduced. Monitoring of the reflected signal is hence an effective way to
assess if the concrete environment at the location of the fiber tip may induce
steel corrosion or not. In this paper, first the principle of the corrosion
sensor and its fabrication are described. The sensing principle is then verified
by experimental results. Sensor packaging for practical installation will be
presented and the performance of the packaged sensors is assessed by additional
experiments.
PMID- 27879806
TI - Hardware and Software of a Bipolar Current Source Controlled by PC.
AB - This paper describes a realization of a bipolar current source developed by the
paper's authors. The source is intended for use in galvanic and other industrial
plants, where a pulse-reverse current supply (with the desired shape in time) is
required. A prototype of the device, which provides the outcome current intensity
up to +/- 50 A, has been constructed. The hardware of the source consists of a
Pentium IV PC, a commercial ADDA converter, an interface of authors' original
construction as well as a current regulator. The application software is
developed using a commercial packet LabView as the basis.
PMID- 27879807
TI - Innovative Sensors for Environmental Monitoring in Museums.
AB - Different physical and chemical factors, such as light, temperature, relative
humidity, pollutants and so on, can affect works of art on display. Each factor
does not act individually, but its effect can be enhanced or accelerated by the
presence of other factors. Accordingly, an evaluation of the impact of the whole
environment on art objects is recognized as an essential requirement for
conservation purposes. To meet the most up-todate guidelines on preventive
conservation, in recent years several scientific projects supported by the EC
were aimed at developing innovative tools that could complement the standard
methods for environmental monitoring in museums. These research projects produced
a new generation of passive sensors that are capable of taking into account the
overall environmental effects by mimicking in some way the behaviour of real
works of art. The main goal of the present paper is to provide a survey of these
sensors, which represent a new frontier in the environmental control in museums.
Furthermore, the use of optical fibres, as both intrinsic sensors and devices for
interrogating sensors, will also be illustrated, and examples of their use in the
cultural heritage field will be reported.
PMID- 27879808
TI - Role of Satellite Sensors in Groundwater Exploration.
AB - Spatial as well as spectral resolution has a very important role to play in water
resource management. It was a challenge to explore the groundwater and rainwater
harvesting sites in the Aravalli Quartzite-Granite-Pegmatite Precambrian terrain
of Delhi, India. Use of only panchromatic sensor data of IRS-1D satellite with
5.8-meter spatial resolution has the potential to infer lineaments and faults in
this hard rock area. It is essential to identify the location of interconnected
lineaments below buried pediment plains in the hard rock area for targeting sub
surface water resources. Linear Image Self Scanning sensor data of the same
satellite with 23.5-meter resolution when merged with the panchromatic data has
produced very good results in delineation of interconnected lineaments over
buried pediment plains as vegetation anomaly. These specific locations of
vegetation anomaly were detected as dark red patches in various hard rock areas
of Delhi. Field investigation was carried out on these patches by resistivity and
magnetic survey in parts of Jawaharlal Nehru University (JNU), Indira Gandhi
national Open University, Research and Referral Hospital and Humayuns Tomb areas.
Drilling was carried out in four locations of JNU that proved to be the most
potential site with ground water discharge ranging from 20,000 to 30,000 liters
per hour with 2 to 4 meters draw down. Further the impact of urbanization on
groundwater recharging in the terrain was studied by generating Normalized
difference Vegetation Index (NDVI) map which was possible to generate by using
the LISS-III sensor of IRS-1D satellite. Selection of suitable sensors has
definitely a cutting edge on natural resource exploration and management
including groundwater.
PMID- 27879809
TI - Monitoring the Effects of Forest Restoration Treatments on Post-Fire Vegetation
Recovery with MODIS Multitemporal Data.
AB - This study examines how satellite based time-series vegetation greenness data and
phenological measurements can be used to monitor and quantify vegetation recovery
after wildfire disturbances and examine how pre-fire fuel reduction restoration
treatments impact fire severity and impact vegetation recovery trajectories.
Pairs of wildfire affected sites and a nearby unburned reference site were chosen
to measure the post-disturbance recovery in relation to climate variation. All
site pairs were chosen in forested uplands in Arizona and were restricted to the
area of the Rodeo-Chediski fire that occurred in 2002. Fuel reduction treatments
were performed in 1999 and 2001. The inter-annual and seasonal vegetation
dynamics before, during, and after wildfire events can be monitored using a time
series of biweekly composited MODIS NDVI (Moderate Resolution Imaging
Spectroradiometer - Normalized Difference Vegetation Index) data. Time series
analysis methods included difference metrics, smoothing filters, and fitting
functions that were applied to extract seasonal and inter-annual change and
phenological metrics from the NDVI time series data from 2000 to 2007. Pre- and
post-fire Landsat data were used to compute the Normalized Burn Ratio (NBR) and
examine burn severity at the selected sites. The phenological metrics (pheno
metrics) included the timing and greenness (i.e. NDVI) for the start, peak and
end of the growing season as well as proxy measures for the rate of green-up and
senescence and the annual vegetation productivity. Pre-fire fuel reduction
treatments resulted in lower fire severity, which reduced annual productivity
much less than untreated areas within the Rodeo-Chediski fire perimeter. The
seasonal metrics were shown to be useful for estimating the rate of post-fire
disturbance recovery and the timing of phenological greenness phases. The use of
satellite time series NDVI data and derived pheno-metrics show potential for
tracking vegetation cover dynamics and successional changes in response to
drought, wildfire disturbances, and forest restoration treatments in fire
suppressed forests.
PMID- 27879811
TI - An Optical Tomography System Using a Digital Signal Processor.
AB - The use of a personal computer together with a Data Acquisition System (DAQ) as
the processing tool in optical tomography systems has been the norm ever since
the beginning of process tomography. However, advancements in silicon fabrication
technology allow nowadays the fabrication of powerful Digital Signal Processors
(DSP) at a reasonable cost. This allows this technology to be used in an optical
tomography system since data acquisition and processing can be performed within
the DSP. Thus, the dependency on a personal computer and a DAQ to sample and
process the external signals can be reduced or even eliminated. The DSP system
was customized to control the data acquisition process of 16x16 optical sensor
array, arranged in parallel beam projection. The data collected was used to
reconstruct the cross sectional image of the pipeline conveyor. For image display
purposes, the reconstructed image was sent to a personal computer via serial
communication. This allows the use of a laptop to display the tomogram image
besides performing any other offline analysis.
PMID- 27879812
TI - Mangrove Forest Distributions and Dynamics in Madagascar (1975-2005).
AB - Mangrove forests of Madagascar are declining, albeit at a much slower rate than
the global average. The forests are declining due to conversion to other land
uses and forest degradation. However, accurate and reliable information on their
present distribution and their rates, causes, and consequences of change have not
been available. Earlier studies used remotely sensed data to map and, in some
cases, to monitor mangrove forests at a local scale. Nonetheless, a comprehensive
national assessment and synthesis was lacking. We interpreted time-series
satellite data of 1975, 1990, 2000, and 2005 using a hybrid supervised and
unsupervised classification approach. Landsat data were geometrically corrected
to an accuracy of +/- one-half pixel, an accuracy necessary for change analysis.
We used a postclassification change detection approach. Our results showed that
Madagascar lost 7% of mangrove forests from 1975 to 2005, to a present extent of
~2,797 km2. Deforestation rates and causes varied both spatially and temporally.
The forests increased by 5.6% (212 km2) from 1975 to 1990, decreased by 14.3%
(455 km2) from 1990 to 2000, and decreased by 2.6% (73 km2) from 2000 to 2005.
Similarly, major changes occurred in Bombekota Bay, Mahajamba Bay, the coast of
Ambanja, the Tsiribihina River, and Cap St Vincent. The main factors responsible
for mangrove deforestation include conversion to agriculture (35%), logging
(16%), conversion to aquaculture (3%), and urban development (1%).
PMID- 27879813
TI - Application of DNA Hybridization Biosensor as a Screening Method for the
Detection of Genetically Modified Food Components.
AB - An electrochemical biosensor for the detection of genetically modified food
components is presented. The biosensor was based on 21-mer single-stranded
oligonucleotide (ssDNA probe) specific to either 35S promoter or nos terminator,
which are frequently present in transgenic DNA cassettes. ssDNA probe was
covalently attached by 5'-phosphate end to amino group of cysteamine self
assembled monolayer (SAM) on gold electrode surface with the use of activating
reagents - water soluble 1-ethyl-3(3'- dimethylaminopropyl)-carbodiimide (EDC)
and N-hydroxy-sulfosuccinimide (NHS). The hybridization reaction on the electrode
surface was detected via methylene blue (MB) presenting higher affinity to ssDNA
probe than to DNA duplex. The electrode modification procedure was optimized
using 19-mer oligoG and oligoC nucleotides. The biosensor enabled distinction
between DNA samples isolated from soybean RoundupReady(r) (RR soybean) and non
genetically modified soybean. The frequent introduction of investigated DNA
sequences in other genetically modified organisms (GMOs) give a broad
perspectives for analytical application of the biosensor.
PMID- 27879810
TI - Electrochemical Sensors for Clinic Analysis.
AB - Demanded by modern medical diagnosis, advances in microfabrication technology
have led to the development of fast, sensitive and selective electrochemical
sensors for clinic analysis. This review addresses the principles behind
electrochemical sensor design and fabrication, and introduces recent progress in
the application of electrochemical sensors to analysis of clinical chemicals such
as blood gases, electrolytes, metabolites, DNA and antibodies, including basic
and applied research. Miniaturized commercial electrochemical biosensors will
form the basis of inexpensive and easy to use devices for acquiring chemical
information to bring sophisticated analytical capabilities to the non-specialist
and general public alike in the future.
PMID- 27879814
TI - Relationship Between Remotely-sensed Vegetation Indices, Canopy Attributes and
Plant Physiological Processes: What Vegetation Indices Can and Cannot Tell Us
About the Landscape.
AB - Vegetation indices (VIs) are among the oldest tools in remote sensing studies.
Although many variations exist, most of them ratio the reflection of light in the
red and NIR sections of the spectrum to separate the landscape into water, soil,
and vegetation. Theoretical analyses and field studies have shown that VIs are
near-linearly related to photosynthetically active radiation absorbed by a plant
canopy, and therefore to light-dependent physiological processes, such as
photosynthesis, occurring in the upper canopy. Practical studies have used time
series VIs to measure primary production and evapotranspiration, but these are
limited in accuracy to that of the data used in ground truthing or calibrating
the models used. VIs are also used to estimate a wide variety of other canopy
attributes that are used in Soil-Vegetation-Atmosphere Transfer (SVAT), Surface
Energy Balance (SEB), and Global Climate Models (GCM). These attributes include
fractional vegetation cover, leaf area index, roughness lengths for turbulent
transfer, emissivity and albedo. However, VIs often exhibit only moderate, non
linear relationships to these canopy attributes, compromising the accuracy of the
models. We use case studies to illustrate the use and misuse of VIs, and argue
for using VIs most simply as a measurement of canopy light absorption rather than
as a surrogate for detailed features of canopy architecture. Used this way, VIs
are compatible with "Big Leaf" SVAT and GCMs that assume that canopy carbon and
moisture fluxes have the same relative response to the environment as any single
leaf, simplifying the task of modeling complex landscapes.
PMID- 27879815
TI - Assessment of the Spatial Co-registration of Multitemporal Imagery from Large
Format Digital Cameras in the Context of Detailed Change Detection.
AB - Large format digital camera (LFDC) systems are becoming more broadly available
and regularly collect image data over large areas. Spectral and radiometric
attributes of imagery from LFDC systems make this type of image data appropriate
for semi-automated change detection. However, achieving accurate spatial co
registration between multitemporal image sets is necessary for semi-automated
change detection. This study investigates the accuracy of co-registration between
multitemporal image sets acquired using the Leica Geosystems ADS40, Intergraph
Z/I Imaging(r) DMC, and Vexcel UltraCam-D sensors in areas of gentle, moderate,
and extreme terrain relief. Custom image sets were collected and orthorectified
by imagery vendors, with guidance from the authors. Results indicate that imagery
acquired by vendors operating LFDC systems may be coregistered with pixel or sub
pixel level accuracy, even for environments with high terrain relief. Specific
image acquisition and processing procedures facilitating this level of
coregistration are discussed.
PMID- 27879817
TI - Identification of Metals (Heavy and Radioactive) in Drinking Water by an Indirect
Analysis Method Based on Scale Tests.
AB - The analysis of water quality, regarding the content of metals, especially heavy
and radioactive ones, has been carried out in an indirect way, by testing scale
formed in a hot-water heater, using water from the water-supply network of the
city of Belgrade - the district of New Belgrade. The determination of the
composition and the structure of the scale has resulted in its complete
identification, and its crystallochemical formula has been defined. It has
unequivocally been established that the obtained results are within the tolerance
boundary with the results acquired by a conventional analysis of water, when it
is a matter of very low concentrations. The presence of radioactive elements of
uranium and strontium in a scale sample has been found and the way of their
penetrating its composition and structure has been explained. Applying the
fractional extraction method, uranium has been established to be of an
anthropogenic origin.
PMID- 27879816
TI - Assessment of Diaphragm and External Intercostals Fatigue from Surface EMG using
Cervical Magnetic Stimulation.
AB - This study was designed: (1) to test the reliability of surface electromyography
(sEMG) recording of the diaphragm and external intercostals contractions response
to cervical magnetic stimulation (CMS), (2) to examine the amount and the types
of inspiratory muscle fatigue that developed after maximum voluntary ventilation
(MVV) maneuvers.Ten male college students without physical disability (22.1+/-2.0
years old) participated in the study and each completed a control (quiet
breathing) trial and a fatigue (MVV maneuvers) trial sequentially. In the quiet
breathing trial, the subjects maintained quiet breathing for five minutes. The
subjects performed five maximal static inspiratory efforts and received five CMS
before and after the quiet breathing. In the MVV trial, subjects performed five
maximal inspiratory efforts and received five CMS before, immediately after, and
ten minutes after two sets of MVV maneuvers performed five minutes apart. Maximal
inspiratory pressure (PImax), sEMG of diaphragm and external intercostals during
maximal static inspiratory efforts and during CMS were recorded. In the quiet
breathing trial, high intraclass correlation coefficients (ICC=0.95-0.99) were
observed in all the variables. In the MVV trial, the PImax, the EMG amplitude and
the median power frequency during maximal static inspiratory efforts
significantly decreased in both the diaphragm and the external intercostals
immediately after the MVV maneuvers (P0.05). It is concluded that the sEMG
recordings of the diaphragm during maximal static inspiratory efforts and in
response to CMS allow reproducible sequential assessment of diaphragm
contractility. MVV maneuvers resulted in inspiratory muscles fatigue, possibly
central fatigue.
PMID- 27879818
TI - Olfaction-based Detection Distance: A Quantitative Analysis of How Far Away Dogs
Recognize Tortoise Odor and Follow It to Source.
AB - The use of detector dogs has been demonstrated to be effective and safe for
finding Mojave desert tortoises and provides certain advantages over humans in
field surveys. Unlike humans who rely on visual cues for target identification,
dogs use primarily olfactory cues and can therefore locate targets that are not
visually obvious. One of the key benefits of surveying with dogs is their
efficiency at covering ground and their ability to detect targets from long
distances. Dogs may investigate potential targets using visual cues but confirm
the presence of a target based on scent. Everything that emits odor does so via
vapor-phase molecules and the components comprising a particular scent are
carried primarily though bulk movement of the atmosphere. It is the ability to
search for target odor and then go to its source that makes dogs ideal for rapid
target recognition in the field setting. Using tortoises as targets, we
quantified distances that dogs detected tortoise scent, followed it to source,
and correctly identified tortoises as targets. Detection distance data were
collected during experimental trials with advanced global positioning system
(GPS) technology and then analyzed using geographic information system (GIS)
modeling techniques. Detection distances ranged from 0.5 m to 62.8 m for
tortoises on the surface. We did not observe bias with tortoise size, age class,
sex or the degree to which tortoises were handled prior to being found by the
dogs. The methodology we developed to quantify olfaction-based detection distance
using dogs can be applied to other targets that dogs are trained to find.
PMID- 27879819
TI - Rapid Urbanization and Implications for Flood Risk Management in Hinterland of
the Pearl River Delta, China: The Foshan Study.
AB - The purpose of this paper is to examine the linkage between rapid urbanization
and flood risk in the hinterlands of the Pearl River Delta, P.R. China. Foshan, a
typical hinterland city in the Pearl River Delta region, was selected as a case
study. Land use and cover change in Foshan during 1988-2003 was analyzed using
remote sensing and geographic information system (GIS) techniques. Furthermore,
analysis on historical hydrological data during 1962-2005 was performed. Results
show that rapid urbanization has resulted in losses of farmland, forest and shrub
since 1988. In addition, in order to compensate or offset the loss of farmland
due to rapid urban expansion, more than 30 % of the forest and 20 % of the shrub
areas were transformed into farmlands. Inevitably, both the urban and
agricultural lands increased the pressure on the drainage systems. Furthermore,
over the past decades human activities such as dredging up the floodways,
excavating sand and building water facilities in the rivers, significantly
changed the hydrological conditions, and therefore impaired the rivers' capacity
to buffer floods. Lessons from the Foshan case implied that, in addition to
natural processes, human activities driven by socio-economic factors should be
considered responsible for the recently increasing level of flood risks. Both
economically and environmentally, it is irrational and impractical to encourage
encroachment of lands vulnerable to floods. It is also realistic and urgent to
effectively prevent and control the adverse ecological consequences of
urbanization and economic activities for building their wealth and prominence.
PMID- 27879820
TI - Error and Performance Analysis of MEMS-based Inertial Sensors with a Low-cost GPS
Receiver.
AB - Global Navigation Satellite Systems (GNSS), such as the Global Positioning System
(GPS), have been widely utilized and their applications are becoming popular, not
only in military or commercial applications, but also for everyday life. Although
GPS measurements are the essential information for currently developed land
vehicle navigation systems (LVNS), GPS signals are often unavailable or
unreliable due to signal blockages under certain environments such as urban
canyons. This situation must be compensated in order to provide continuous
navigation solutions. To overcome the problems of unavailability and
unreliability using GPS and to be cost and size effective as well, Micro Electro
Mechanical Systems (MEMS) based inertial sensor technology has been pushing for
the development of low-cost integrated navigation systems for land vehicle
navigation and guidance applications. This paper will analyze the
characterization of MEMS based inertial sensors and the performance of an
integrated system prototype of MEMS based inertial sensors, a low-cost GPS
receiver and a digital compass. The influence of the stochastic variation of
sensors will be assessed and modeled by two different methods, namely Gauss
Markov (GM) and AutoRegressive (AR) models, with GPS signal blockage of different
lengths. Numerical results from kinematic testing have been used to assess the
performance of different modeling schemes.
PMID- 27879821
TI - Airborne Laser Scanning Quantification of Disturbances from Hurricanes and
Lightning Strikes to Mangrove Forests in Everglades National Park, USA.
AB - Airborne light detection and ranging (LIDAR) measurements derived before and
after Hurricanes Katrina and Wilma (2005) were used to quantify the impact of
hurricanes and lightning strikes on the mangrove forest at two sites in
Everglades National Park (ENP). Analysis of LIDAR measurements covering 61 and 68
ha areas of mangrove forest at the Shark River and Broad River sites showed that
the proportion of high tree canopy detected by the LIDAR after the 2005 hurricane
season decreased significantly due to defoliation and breakage of branches and
trunks, while the proportion of low canopy and the ground increased drastically.
Tall mangrove forests distant from tidal creeks suffered more damage than lower
mangrove forests adjacent to the tidal creeks. The hurricanes created numerous
canopy gaps, and the number of gaps per square kilometer increased from about
400~500 to 4000 after Katrina and Wilma. The total area of gaps in the forest
increased from about 1~2% of the total forest area to 12%. The relative
contribution of hurricanes to mangrove forest disturbance in ENP is at least 2
times more than that from lightning strikes. However, hurricanes and lightning
strikes disturb the mangrove forest in a related way. Most seedlings in lightning
gaps survived the hurricane impact due to the protection of trees surrounding the
gaps, and therefore provide an important resource for forest recovery after the
hurricane. This research demonstrated that LIDAR is an effective remote sensing
tool to quantify the effects of disturbances such as hurricanes and lightning
strikes in the mangrove forest.
PMID- 27879822
TI - An Electrochemical Detection of Metallothioneins at the Zeptomole Level in
Nanolitre Volumes.
AB - An Electrochemical Detection of Metallothioneins at the Zeptomole Level in
Nanolitre VolumesWe report on improvement of the adsorptive transfer stripping
technique (AdTS) coupled with the differential pulse voltammetry Brdicka reaction
to determine a thiol-protein. The current technique has been unable to generate
reproducible results when analyzing very low sample volumes (nanolitres). This
obstacle can be overcome technically by modifying the current transfer technique
including cooling step of the adsorbed analyte. We tested the technique on
determination of a promising tumour disease marker protein called metallothionein
(MT). The detection limit (3 S/N) of MT was evaluated as 500 zeptomoles per 500
nL (1 pM) and the quantification limit (10 S/N) as 1,500 zeptomoles per 500 nL (3
pM). Further, the improved AdTS technique was utilized to analyze blood serum
samples from patients with breast cancer. Based on the results obtained it can be
concluded that the improved technique can be used to detect a thiolprotein in
very low sample volumes and can also prevent interferences during the washing and
transferring step.
PMID- 27879823
TI - Compound Cellular Imaging of Laser Scanning Confocal Microscopy by Using Gold
Nanoparticles and Dyes.
AB - Combining the scattered light of gold nanoparticles (GNPs) and the fluorescence
of dye molecules, a compound cellular imaging of laser scanning confocal
microscopy (LSCM) is obtained. The human breast cancer cell line (MDA-MB-435S,
BCRC 60429) is used for experiment. These cells are incubated with a glucose
medium containing GNPs for 26 hours, and then are stained by Prodium Iodide (PI)
for their nuclei. By using a single laser to illuminate these cells and adjusting
the ranges of two bandpass filters for the detection, the scattered light from
the GNPs and the fluorescence of PI can be induced simultaneously, but be
detected separately without crosstalk. Furthermore, a compound cellular image can
be obtained by merging the two images of the expressions of GNP and PI together.
From the TEM images of these cells, it is observed that GNPs are aggregated in
the vesicles of the cytoplasm due to the cell's endocytosis. The aggregation of
GNPs makes the surface plasmon resonance band of GNPs broadened, so that strong
scattered light from GNPs can be generated by the illumination of different
wavelength lasers (458, 488, 514, 561, and 633 nm).
PMID- 27879824
TI - A Micromachined Capacitive Pressure Sensor Using a Cavity-Less Structure with
Bulk-Metal/Elastomer Layers and Its Wireless Telemetry Application.
AB - This paper reports a micromachined capacitive pressure sensor intended for
applications that require mechanical robustness. The device is constructed with
two micromachined metal plates and an intermediate polymer layer that is soft
enough to deform in a target pressure range. The plates are formed of
micromachined stainless steel fabricated by batch-compatible micro-electro
discharge machining. A polyurethane roomtemperature- vulcanizing liquid rubber of
38-MUm thickness is used as the deformable material. This structure eliminates
both the vacuum cavity and the associated lead transfer challenges common to
micromachined capacitive pressure sensors. For frequency-based interrogation of
the capacitance, passive inductor-capacitor tanks are fabricated by combining the
capacitive sensor with an inductive coil. The coil has 40 turns of a 127
MUmdiameter copper wire. Wireless sensing is demonstrated in liquid by monitoring
the variation in the resonant frequency of the tank via an external coil that is
magnetically coupled with the tank. The sensitivity at room temperature is
measured to be 23-33 ppm/KPa over a dynamic range of 340 KPa, which is shown to
match a theoretical estimation. Temperature dependence of the tank is
experimentally evaluated.
PMID- 27879826
TI - Heavy Metal Concentrations in Soils and Factors Affecting Metal Uptake by Plants
in the Vicinity of a Korean Cu-W Mine.
AB - Heavy metal concentrations were measured in soils and plants in and around a
copper-tungsten mine in southeast Korea to investigate the influence of past base
metal mining on the surface environment. The results of chemical analysis
indicate that the heavy metals in soils decreased with distance from the source,
controlled mainly by water movement and topography. The metal concentrations
measured in plant species generally decreased in the order; spring onions >
soybean leaves > perilla leaves " red pepper > corn grains " jujube grains,
although this pattern varied moderately between different elements. The results
agree with other reports that metal concentrations in leaves are usually much
higher than those in grain. Factors influencing the bioavailability of metals and
their occurrences in crops were found as soil pH, cation exchange capacity,
organic matter content, soil texture, and interaction among the target elements.
It is concluded that total metal concentrations in soils are the main controls on
their contents in plants. Soil pH was also an important factor. A stepwise linear
multiple regression analysis was also conducted to identify the dominant factors
influencing metal uptake by plants. Metal concentrations in plants were also
estimated by computer-aided statistical methods.
PMID- 27879827
TI - Personalization of Rule-based Web Services.
AB - Nowadays Web users have clearly expressed their wishes to receive personalized
services directly. Personalization is the way to tailor services directly to the
immediate requirements of the user. However, the current Web Services System does
not provide any features supporting this such as consideration of personalization
of services and intelligent matchmaking. In this research a flexible,
personalized Rule-based Web Services System to address these problems and to
enable efficient search, discovery and construction across general Web documents
and Semantic Web documents in a Web Services System is proposed. This system
utilizes matchmaking among service requesters', service providers' and users'
preferences using a Rule-based Search Method, and subsequently ranks search
results. A prototype of efficient Web Services search and construction for the
suggested system is developed based on the current work.
PMID- 27879828
TI - Systems and Sensors for Debris-flow Monitoring and Warning.
AB - Debris flows are a type of mass movement that occurs in mountain torrents. They
consist of a high concentration of solid material in water that flows as a wave
with a steep front. Debris flows can be considered a phenomenon intermediate
between landslides and water floods. They are amongst the most hazardous natural
processes in mountainous regions and may occur under different climatic
conditions. Their destructiveness is due to different factors: their capability
of transporting and depositing huge amounts of solid materials, which may also
reach large sizes (boulders of several cubic meters are commonly transported by
debris flows), their steep fronts, which may reach several meters of height and
also their high velocities. The implementation of both structural and
nonstructural control measures is often required when debris flows endanger
routes, urban areas and other infrastructures. Sensor networks for debris-flow
monitoring and warning play an important role amongst non-structural measures
intended to reduce debris-flow risk. In particular, debris flow warning systems
can be subdivided into two main classes: advance warning and event warning
systems. These two classes employ different types of sensors. Advance warning
systems are based on monitoring causative hydrometeorological processes
(typically rainfall) and aim to issue a warning before a possible debris flow is
triggered. Event warning systems are based on detecting debris flows when these
processes are in progress. They have a much smaller lead time than advance
warning ones but are also less prone to false alarms. Advance warning for debris
flows employs sensors and techniques typical of meteorology and hydrology,
including measuring rainfall by means of rain gauges and weather radar and
monitoring water discharge in headwater streams. Event warning systems use
different types of sensors, encompassing ultrasonic or radar gauges, ground
vibration sensors, videocameras, avalanche pendulums, photocells, trip wires etc.
Event warning systems for debris flows have a strong linkage with debris-flow
monitoring that is carried out for research purposes: the same sensors are often
used for both monitoring and warning, although warning systems have higher
requirements of robustness than monitoring systems. The paper presents a
description of the sensors employed for debris-flow monitoring and event warning
systems, with attention given to advantages and drawbacks of different types of
sensors.
PMID- 27879829
TI - Absorbance Based Light Emitting Diode Optical Sensors and Sensing Devices.
AB - The ever increasing demand for in situ monitoring of health, environment and
security has created a need for reliable, miniaturised sensing devices. To
achieve this, appropriate analytical devices are required that possess operating
characteristics of reliability, low power consumption, low cost, autonomous
operation capability and compatibility with wireless communications systems. The
use of light emitting diodes (LEDs) as light sources is one strategy, which has
been successfully applied in chemical sensing. This paper summarises the
development and advancement of LED based chemical sensors and sensing devices in
terms of their configuration and application, with the focus on transmittance and
reflectance absorptiometric measurements.
PMID- 27879830
TI - Inter-Comparison of ASTER and MODIS Surface Reflectance and Vegetation Index
Products for Synergistic Applications to Natural Resource Monitoring.
AB - Synergistic applications of multi-resolution satellite data have been of a great
interest among user communities for the development of an improved and more
effective operational monitoring system of natural resources, including
vegetation and soil. In this study, we conducted an inter-comparison of two
remote sensing products, namely, visible/near-infrared surface reflectances and
spectral vegetation indices (VIs), from the high resolution Advanced Thermal
Emission and Reflection Radiometer (ASTER) (15 m) and lower resolution Moderate
Resolution Imaging Spectroradiometer (MODIS) (250 m - 500 m) sensors onboard the
Terra platform. Our analysis was aimed at understanding the degree of radiometric
compatibility between the two sensors' products due to sensor spectral bandpasses
and product generation algorithms. Multiple pairs of ASTER and MODIS standard
surface reflectance products were obtained at randomly-selected, globally
distributed locations, from which two types of VIs were computed: the normalized
difference vegetation index and the enhanced vegetation indices with and without
a blue band. Our results showed that these surface reflectance products and the
derived VIs compared well between the two sensors at a global scale, but subject
to systematic differences, of which magnitudes varied among scene pairs. An
independent assessment of the accuracy of ASTER and MODIS standard products, in
which "in-house" surface reflectances were obtained using in situ Aeronet
atmospheric data for comparison, suggested that the performance of the ASTER
atmospheric correction algorithm may be variable, reducing overall quality of its
standard reflectance product. Atmospheric aerosols, which were not corrected for
in the ASTER algorithm, were found not to impact the quality of the derived
reflectances. Further investigation is needed to identify the sources of
inconsistent atmospheric correction results associated with the ASTER algorithm,
including additional quality assessments of the ASTER and MODIS products with
other atmospheric radiative transfer codes.
PMID- 27879825
TI - Developments in the Field of Conducting and Non-conducting Polymer Based
Potentiometric Membrane Sensors for Ions Over the Past Decade.
AB - Many research studies have been conducted on the use of conjugated polymers in
the construction of chemical sensors including potentiometric, conductometric and
amperometric sensors or biosensors over the last decade. The induction of
conductivity on conjugated polymers by treating them with suitable oxidizing
agents won Heeger, MacDiarmid and Shirakawa the 2000 Nobel Prize in Chemistry.
Common conjugated polymers are poly(acetylene)s, poly(pyrrole)s,
poly(thiophene)s, poly(terthiophene)s, poly(aniline)s, poly(fluorine)s, poly(3
alkylthiophene)s, polytetrathiafulvalenes, polynapthalenes, poly(p-phenylene
sulfide), poly(p-phenylenevinylene)s, poly(3,4-ethylenedioxythiophene),
polyparaphenylene, polyazulene, polyparaphenylene sulfide, polycarbazole and
polydiaminonaphthalene. More than 60 sensors for inorganic cations and anions
with different characteristics based on conducting polymers have been reported.
There have also been reports on the application of non-conducting polymers
(nCPs), i.e. PVC, in the construction of potentiometric membrane sensors for
determination of more than 60 inorganic cations and anions. However, the leakage
of ionophores from the membranes based on these polymers leads to relatively
lower life times. In this article, we try to give an overview of Solid-Contact
ISE (SCISE), Single-Piece ISE (SPISE), Conducting Polymer (CP)-Based, and also
non-conducting polymer PVC-based ISEs for various ions which their difference is
in the way of the polymer used with selective membrane. In SCISEs and SPISEs, the
plasticized PVC containing the ionophore and ionic additives govern the
selectivity behavior of the electrode and the conducting polymer is responsible
of ion-to-electron transducer. However, in CPISEs, the conducting polymer layer
is doped with a suitable ionophore which enhances the ion selectivity of the CP
while its redox response has to be suppressed.
PMID- 27879831
TI - Improving Empirical Mode Decomposition Using Support Vector Machines for
Multifocus Image Fusion.
AB - Empirical mode decomposition (EMD) is good at analyzing nonstationary and
nonlinear signals while support vector machines (SVMs) are widely used for
classification. In this paper, a combination of EMD and SVM is proposed as an
improved method for fusing multifocus images. Experimental results show that the
proposed method is superior to the fusion methods based on a-trous wavelet
transform (AWT) and EMD in terms of quantitative analyses by Root Mean Squared
Error (RMSE) and Mutual Information (MI).
PMID- 27879832
TI - Estimation of Stand Type Parameters and Land Cover Using Landsat-7 ETM Image: A
Case Study from Turkey.
AB - Remote sensing has been considered a low-cost, large-area coverage forest
information resource ideally suited to broad-scale forest inventory objectives.
The objective of this study is to determine stand type parameters such as crown
closure, development stage and stand types, and land cover obtained from Landsat
7 ETM image and forest cover type map (stand type map). The research also focuses
on classifying and mapping the stand parameters with the spatial analysis
functions of GIS. In the study, stand parameters determined by forest cover type
map and remote sensing methods were compared and contrasted to evaluate the
potential use of the remote sensing methods. The result showed that development
stage were estimated with Landsat 7 ETM image using supervised classification
with a 0.89 kappa statistic value and 92% overall accuracy assessments. Among the
features, development stages were the most successfully classified stand
parameters in classification process. According to the spatial accuracy
assessment results, development stages also had the highest accuracy of 72.2%. As
can be seen in the results, spatial accuracy is lower than classification
accuracy. Stand type had the lowest accuracy of 32.8. In conclusion, it could be
stated that development stages, crown closure and land cover could be determined
at an acceptable level using Landsat 7 ETM image. However, Landsat 7 ETM image do
not provide means to map and monitor minor vegetation communities and stand types
at stand level due to low spatial resolution. High resolution satellite images
could be used either alone or with field survey data.
PMID- 27879833
TI - Classifying Oriental Beech (Fagus orientalis Lipsky.) Forest Sites Using Direct,
Indirect and Remote Sensing Methods: A Case Study from Turkey.
AB - Determining the productivity of forest sites through various classification
techniques is important for making appropriate forest management decisions.
Forest sites were classified using direct and indirect (site index) and remote
sensing (Landsat 7 ETM and Quickbird satellite image) methods. In the direct
method, forest site classifications were assigned according to edafic (soil
properties), climate (precipitation and temperature) and topographic (altitude,
slope, aspect and landform) factors. Five different forest site classes (dry,
moderate fresh, fresh, moist and highly moist) were determined. In the indirect
method, the guiding curve was used to generate anamorphic site index (SI)
equations resulting in three classes; good (SI=I-II), medium (SI=III) and poor
(SI=IV-V). Forest sites were also determined with a remote sensing method (RSM)
using supervised classification of Landsat 7 ETM and Quickbird satellite images
with a 0.67 kappa statistic value and 73.3% accuracy assessments; 0.88 kappa
statistic value and 90.7% accuracy assessments, respectively. Forest sites
polygon themes obtained from the three methods were overlaid and areas in the
same classes were computed with Geographic Information Systems (GIS). The results
indicated that direct and SI methods were consistent as a 3% dry site (19.0 ha)
was exactly determined by both the direct and SI methods as a site class IV.
Comparison of SI and RMS methods indicated a small difference as the area was
highly homogeneous and unmanaged. While 15.4 ha area (open and degraded areas)
was not determined by SI but RSM. A 19.0 ha (100%) poor site was determined by
the SI method, 14.9 ha (78%) poor site was in Landsat 7 ETM satellite image and
17.4 ha (92%) poor site in Quickbird satellite image. The relationship between
direct and SI methods were statistically analyzed using chi-square test. The test
indicated a statistically significant relationships between forest sites
determined by direct method and Quicbird satellite image (chi2 = 36.794; df = 16;
p = 0.002), but no significant relationships with Landsat 7 ETM satellite image
(chi2 = 22.291; df = 16; p = 0.134). Moderate association was found between SI
method and direct method (chi2 = 16.724; df = 8; p = 0.033).
PMID- 27879834
TI - Analyzing the Variation of Building Density Using High Spatial Resolution
Satellite Images: the Example of Shanghai City.
AB - Building density is an important issue in urban planning and land management. In
the article, building coverage ratio (BCR) and floor area ratio (FAR) values
extracted from high resolution satellite images were used to indicate buildings'
stretching on the surface and growth along the third dimension within areas of
interest in Shanghai City, P.R. China. The results show that the variation of FAR
is higher than that of BCR in the inner circle, and that the newer commercial
centers have higher FAR and lower BCR values, while the traditional commercial
areas have higher FAR and BCR ratios. By comparing different residential areas,
it was found that the historical "Shikumen" areas and the old residential areas
built before 1980s have higher BCR and lower FAR, while the new residential areas
have higher FAR and lower BCR, except for the villa areas. These results suggest
that both older building areas and villa areas use land resources in an
inefficient way, and therefore better planning and management of urban land are
needed for those fast economic growing regions.
PMID- 27879835
TI - A Special Fiber Optic Sensor for Measuring Wheel Loads of Vehicles on Highways.
AB - This paper presents results from an investigation on a special optical fiber as a
load sensor for application in Weigh-in-Motion (WIM) systems to measure wheel
loads of vehicles traveling at normal speed on highways. The fiber used has a
unique design with two concentric light guiding regions of different effective
optical path lengths, which has the potential to enable direct measurement of
magnitudes as well as locations of forces acting at multiple points along a
single fiber. The optical characteristic of the fiber for intended sensing
purpose was first assessed by a simple fiber bending experiment and by
correlating the bend radii with the output light signal intensities. A simple
laboratory load transmitting/fiber bending device was then designed and
fabricated to appropriately bend the optical fiber under applied loads in order
to make the fiber work as load sensor. The device with the optical fiber was
tested under a universal loading machine and an actual vehicle wheel in the
laboratory. The test results showed a good relationship between the magnitude of
the applied load and the output optical signal changes. The results also showed a
good correlation between the time delay between the inner and outer core light
pulses and the distance of the applied load as measured from the output end of
the fiber.
PMID- 27879836
TI - Conductometric Microbiosensors for Environmental Monitoring.
AB - This review presents the principles of conductometric measurements in ionic media
and the equivalent electrical circuits of different designs for conductometric
measurements. These types of measurements were first applied for monitoring
biocatalytic reactions. The use of conductometric microtransducers is then
presented and detailed in the case of pollutant detection for environmental
monitoring. Conductometric biosensors have advantages over other types of
transducers: they can be produced through inexpensive thinfilm standard
technology, no reference electrode is needed and differential mode measurements
allow cancellation of a lot of interferences. The specifications obtained for the
detection of different pesticides, herbicides and heavy metal ions, based on
enzyme inhibition, are presented as well as those obtained for the detection of
formaldehyde, 4- chlorophenol, nitrate and proteins as markers of dissolved
organic carbon based on enzymatic microbiosensors.
PMID- 27879837
TI - Biomarkers of Contaminant Exposure in Chub (Leuciscus cephalus L.) -
Biomonitoring of Major Rivers in the Czech Republic.
AB - Biochemical analysis of organisms to assess exposure to environmental
contaminants is of great potential use. Biochemical markers, specifically liver
enzymes of the first and the second phase of xenobiotic transformation -
cytochrome P450 (CYP 450), ethoxyresorufin-O-deethylase (EROD), glutathione-S
transferase (GST) and tripeptide reduced glutathione (GSH) - were used to assess
contamination of the aquatic environment at 12 locations near the mouths of major
rivers in the Czech Republic. These rivers were the Luznice, Otava, Sazava,
Berounka, Vltava, Labe, Ohre, Svratka, Dyje, Morava and Odra. The indicator
species selected was the Chub (Leuciscus cephalus L.). The highest levels of CYP
450 and EROD catalytic activity were found in livers of fish from the Labe
(Obristvi) (0.32+/-0.10 nmol mg-1 protein and 1061.38+/-545.51 pmol min-1 mg-1
protein, respectively). The highest levels of GST catalytic activity and GSH
content were found in fish from the Otava (35.39+/-13.35 nmol min-1 mg-1 protein
and 4.29+/-2.10 nmol GSH mg-1 protein, respectively). They were compared with
levels of specific inductors of these biochemical markers in muscle. The results
confirmed contamination of some river locations (Labe Obristvi, Svratka).
PMID- 27879838
TI - Energy-efficient Organization of Wireless Sensor Networks with Adaptive
Forecasting.
AB - Due to the wide potential applications of wireless sensor networks, this topic
has attracted great attention. The strict energy constraints of sensor nodes
result in great challenges for energy efficiency. This paper proposes an energy
efficient organization method. The organization of wireless sensor networks is
formulated for target tracking. Target localization is achieved by collaborative
sensing with multi-sensor fusion. The historical localization results are
utilized for adaptive target trajectory forecasting. Combining autoregressive
moving average (ARMA) model and radial basis function networks (RBFNs), robust
target position forecasting is performed. Moreover, an energyefficient
organization method is presented to enhance the energy efficiency of wireless
sensor networks. The sensor nodes implement sensing tasks are awakened in a
distributed manner. When the sensor nodes transfer their observations to achieve
data fusion, the routing scheme is obtained by ant colony optimization. Thus,
both the operation and communication energy consumption can be minimized.
Experimental results verify that the combination of ARMA model and RBFN can
estimate the target position efficiently and energy saving is achieved by the
proposed organization method in wireless sensor networks.
PMID- 27879839
TI - Temporal Variability Corrections for Advanced Microwave Scanning Radiometer E
(AMSR-E) Surface Soil Moisture: Case Study in Little River Region, Georgia, U.S.
AB - Statistical correction methods, the Cumulative Distribution Function (CDF)
matching technique and Regional Statistics Method (RSM) are applied to adjust the
limited temporal variability of Advanced Microwave Scanning Radiometer E (AMSR-E)
data using the Common Land Model (CLM). The temporal variability adjustment
between CLM and AMSR-E data was conducted for annual and seasonal periods for
2003 in the Little River region, GA. The results showed that the statistical
correction techniques improved AMSR-E's limited temporal variability as compared
to ground-based measurements. The regression slope and intercept improved from
0.210 and 0.112 up to 0.971 and -0.005 for the non-growing season. The R2 values
also modestly improved. The Moderate Resolution Imaging Spectroradiometer (MODIS)
Leaf Area Index (LAI) products were able to identify periods having an attenuated
microwave brightness signal that are not likely to benefit from these statistical
correction techniques.
PMID- 27879841
TI - High Sensitivity MEMS Strain Sensor: Design and Simulation.
AB - In this article, we report on the new design of a miniaturized strain
microsensor. The proposed sensor utilizes the piezoresistive properties of doped
single crystal silicon. Employing the Micro Electro Mechanical Systems (MEMS)
technology, high sensor sensitivities and resolutions have been achieved. The
current sensor design employs different levels of signal amplifications. These
amplifications include geometric, material and electronic levels. The sensor and
the electronic circuits can be integrated on a single chip, and packaged as a
small functional unit. The sensor converts input strain to resistance change,
which can be transformed to bridge imbalance voltage. An analog output that
demonstrates high sensitivity (0.03mV/me), high absolute resolution (1MUe) and
low power consumption (100MUA) with a maximum range of +/-4000MUe has been
reported. These performance characteristics have been achieved with high signal
stability over a wide temperature range (+/-50oC), which introduces the proposed
MEMS strain sensor as a strong candidate for wireless strain sensing applications
under harsh environmental conditions. Moreover, this sensor has been designed,
verified and can be easily modified to measure other values such as force,
torque...etc. In this work, the sensor design is achieved using Finite Element
Method (FEM) with the application of the piezoresistivity theory. This design
process and the microfabrication process flow to prototype the design have been
presented.
PMID- 27879840
TI - Pathogen Phytosensing: Plants to Report Plant Pathogens.
AB - Real-time systems that provide evidence of pathogen contamination in crops can be
an important new line of early defense in agricultural centers. Plants possess
defense mechanisms to protect against pathogen attack. Inducible plant defense is
controlled by signal transduction pathways, inducible promoters and cis
regulatory elements corresponding to key genes involved in defense, and pathogen
specific responses. Identified inducible promoters and cis-acting elements could
be utilized in plant sentinels, or 'phytosensors', by fusing these to reporter
genes to produce plants with altered phenotypes in response to the presence of
pathogens. Here, we have employed cis-acting elements from promoter regions of
pathogen inducible genes as well as those responsive to the plant defense signal
molecules salicylic acid, jasmonic acid, and ethylene. Synthetic promoters were
constructed by combining various regulatory elements supplemented with the
enhancer elements from the Cauliflower mosaic virus (CaMV) 35S promoter to
increase basal level of the GUS expression. The inducibility of each synthetic
promoter was first assessed in transient expression assays using Arabidopsis
thaliana protoplasts and then examined for efficacy in stably transgenic
Arabidopsis and tobacco plants. Histochemical and fluorometric GUS expression
analyses showed that both transgenic Arabidopsis and tobacco plants responded to
elicitor and phytohormone treatments with increased GUS expression when compared
to untreated plants. Pathogen-inducible phytosensor studies were initiated by
analyzing the sensitivity of the synthetic promoters against virus infection.
Transgenic tobacco plants infected with Alfalfa mosaic virus showed an increase
in GUS expression when compared to mock-inoculated control plants, whereas
Tobacco mosaic virus infection caused no changes in GUS expression. Further
research, using these transgenic plants against a range of different pathogens
with the regulation of detectable reporter gene could provide biological evidence
to define the functional differences between pathogens, and provide new
technology and applications for transgenic plants as phytosensors.
PMID- 27879842
TI - CMOS Humidity Sensor System Using Carbon Nitride Film as Sensing Materials.
AB - An integrated humidity sensor system with nano-structured carbon nitride film as
humidity sensing material is fabricated by a 0.8 MUm analog mixed CMOS process.
The integrated sensor system consists of differential humidity sensitive field
effect transistors (HUSFET), temperature sensor, and operational amplifier. The
process contains two poly, two metal and twin well technology. To form CNx film
on Si3N4/Si substrate, plasma etching is performed to the gate area as well as
trenches. CNx film is deposited by reactive RF magnetron sputtering method and
patterned by the lift-off technique. The drain current is proportional to the
dielectric constant, and the sensitivity is 2.8 ?/%RH.
PMID- 27879843
TI - Multidirectional Scanning Model, MUSCLE, to Vectorize Raster Images with Straight
Lines.
AB - This paper presents a new model, MUSCLE (Multidirectional Scanning for Line
Extraction), for automatic vectorization of raster images with straight lines.
The algorithm of the model implements the line thinning and the simple
neighborhood methods to perform vectorization. The model allows users to define
specified criteria which are crucial for acquiring the vectorization process. In
this model, various raster images can be vectorized such as township plans, maps,
architectural drawings, and machine plans. The algorithm of the model was
developed by implementing an appropriate computer programming and tested on a
basic application. Results, verified by using two well known vectorization
programs (WinTopo and Scan2CAD), indicated that the model can successfully
vectorize the specified raster data quickly and accurately.
PMID- 27879844
TI - Downscaling Thermal Infrared Radiance for Subpixel Land Surface Temperature
Retrieval.
AB - Land surface temperature (LST) retrieved from satellite thermal sensors often
consists of mixed temperature components. Retrieving subpixel LST is therefore
needed in various environmental and ecological studies. In this paper, we
developed two methods for downscaling coarse resolution thermal infrared (TIR)
radiance for the purpose of subpixel temperature retrieval. The first method was
developed on the basis of a scale-invariant physical model on TIR radiance. The
second method was based on a statistical relationship between TIR radiance and
land cover fraction at high spatial resolution. The two methods were applied to
downscale simulated 990-m ASTER TIR data to 90-m resolution. When validated
against the original 90-m ASTER TIR data, the results revealed that both
downscaling methods were successful in capturing the general patterns of the
original data and resolving considerable spatial details. Further quantitative
assessments indicated a strong agreement between the true values and the
estimated values by both methods.
PMID- 27879845
TI - Working Principle Simulations of a Dynamic ResonantWall Shear Stress Sensor
Concept.
AB - This paper discusses a novel dynamic resonant wall shear stress sensor concept
based on an oscillating sensor operating near resonance. The interaction between
the oscillating sensor surface and the fluid above it is modelled using the
unsteady laminar boundary layer equations. The numerical experiment shows that
the effect of the oscillating shear stress is well correlated by the Hummer
number, the ratio of the steady shear force caused by the outside flow to the
oscillating viscous force created by the sensor motion. The oscillating shear
stress predicted by the fluid model is used in a mechanical model of the sensor
to predict the sensor's dynamic motion. Static calibration curves for amplitude
and frequency influences are predicted. These results agree with experimental
results on some extent, and shows some expectation for further development of the
dynamic resonant sensor concept.
PMID- 27879847
TI - Intercomparison of Evapotranspiration Over the Savannah Volta Basin in West
Africa Using Remote Sensing Data.
AB - This paper compares evapotranspiration estimates from two complementary satellite
sensors - NASA's Moderate Resolution Imaging Spectroradiometer (MODIS) and ESA's
ENVISAT Advanced Along-Track Scanning Radiometer (AATSR) over the savannah area
of the Volta basin in West Africa. This was achieved through solving for
evapotranspiration on the basis of the regional energy balance equation, which
was computationally-driven by the Surface Energy Balance Algorithm for Land
algorithm (SEBAL). The results showed that both sensors are potentially good
sources of evapotranspiration estimates over large heterogeneous landscapes. The
MODIS sensor measured daily evapotranspiration reasonably well with a strong
spatial correlation (R2=0.71) with Landsat ETM+ but underperformed with
deviations up to ~2.0 mm day-1, when compared with local eddy correlation
observations and the Penman-Monteith method mainly because of scale mismatch. The
AATSR sensor produced much poorer correlations (R2=0.13) with Landsat ETM+ and
conventional ET methods also because of differences in atmospheric correction and
sensor calibration over land.
PMID- 27879846
TI - Visible Genotype Sensor Array.
AB - A visible sensor array system for simultaneous multiple SNP genotyping has been
developed using a new plastic base with specific surface chemistry.
Discrimination of SNP alleles is carried out by an allele-specific extension
reaction using immobilized oligonucleotide primers. The 3'-ends of
oligonucleotide primers are modified with a locked nucleic acid to enhance their
efficiency in allelic discrimination. Biotin-dUTPs included in the reaction
mixture are selectively incorporated into extending primer sequences and are
utilized as tags for alkaline phosphatase-mediated precipitation of colored
chemical substrates onto the surface of the plastic base. The visible
precipitates allow immediate inspection of typing results by the naked eye and
easy recording by a digital camera equipped on a commercial mobile phone. Up to
four individuals can be analyzed on a single sensor array and multiple sensor
arrays can be handled in a single operation. All of the reactions can be
performed within one hour using conventional laboratory instruments. This visible
genotype sensor array is suitable for "focused genomics" that follows
"comprehensive genomics".
PMID- 27879848
TI - Deployment of a Prototype Plant GFP Imager at the Arthur Clarke Mars Greenhouse
of the Haughton Mars Project.
AB - The use of engineered plants as biosensors has made elegant strides in the past
decades, providing keen insights into the health of plants in general and
particularly in the nature and cellular location of stress responses. However,
most of the analytical procedures involve laboratory examination of the biosensor
plants. With the advent of the green fluorescence protein (GFP) as a biosensor
molecule, it became at least theoretically possible for analyses of gene
expression to occur telemetrically, with the gene expression information of the
plant delivered to the investigator over large distances simply as properly
processed fluorescence images. Spaceflight and other extraterrestrial
environments provide unique challenges to plant life, challenges that often
require changes at the gene expression level to accommodate adaptation and
survival. Having previously deployed transgenic plant biosensors to evaluate
responses to orbital spaceflight, we wished to develop the plants and especially
the imaging devices required to conduct such experiments robotically, without
operator intervention, within extraterrestrial environments. This requires the
development of an autonomous and remotely operated plant GFP imaging system and
concomitant development of the communications infrastructure to manage dataflow
from the imaging device. Here we report the results of deploying a prototype GFP
imaging system within the Arthur Clarke Mars Greenhouse (ACMG) an autonomously
operated greenhouse located within the Haughton Mars Project in the Canadian High
Arctic. Results both demonstrate the applicability of the fundamental GFP
biosensor technology and highlight the difficulties in collecting and managing
telemetric data from challenging deployment environments.
PMID- 27879849
TI - Relative Radiometric Normalization and Atmospheric Correction of a SPOT 5 Time
Series.
AB - Multi-temporal images acquired at high spatial and temporal resolution are an
important tool for detecting change and analyzing trends, especially in
agricultural applications. However, to insure a reliable use of this kind of
data, a rigorous radiometric normalization step is required. Normalization can be
addressed by performing an atmospheric correction of each image in the time
series. The main problem is the difficulty of obtaining an atmospheric
characterization at a given acquisition date. In this paper, we investigate
whether relative radiometric normalization can substitute for atmospheric
correction. We develop an automatic method for relative radiometric normalization
based on calculating linear regressions between unnormalized and reference
images. Regressions are obtained using the reflectances of automatically selected
invariant targets. We compare this method with an atmospheric correction method
that uses the 6S model. The performances of both methods are compared using 18
images from of a SPOT 5 time series acquired over Reunion Island. Results
obtained for a set of manually selected invariant targets show excellent
agreement between the two methods in all spectral bands: values of the
coefficient of determination (r2) exceed 0.960, and bias magnitude values are
less than 2.65. There is also a strong correlation between normalized NDVI values
of sugarcane fields (r2 = 0.959). Despite a relative error of 12.66% between
values, very comparable NDVI patterns are observed.
PMID- 27879850
TI - Relocating Sensor Nodes to Maximize Cumulative Connected Coverage in Wireless
Sensor Networks.
AB - In order to extend the availability of the wireless sensor network and to extract
maximum possible information from the surveillance area, proper usage of the
power capacity of the sensor nodes is important. Our work describes a dynamic
relocation algorithm called MaxNetLife, which is mainly based on utilizing the
remaining power of individual sensor nodes as well as properly relocating sensor
nodes so that all sensor nodes can transmit the data they sense to the sink.
Hence, the algorithm maximizes total collected information from the surveillance
area before the possible death of the sensor network by increasing cumulative
connected coverage parameter of the network. A deterministic approach is used to
deploy sensor nodes into the sensor field where Hexagonal Grid positioning is
used to address and locate each sensor node. Sensor nodes those are not planned
to be actively used in the close future in a specific cell are preemptively
relocated to the cells those will be in need of additional sensor nodes to
improve cumulative connected coverage of the network. MaxNetLife algorithm also
includes the details of the relocation activities, which include preemptive
migration of the redundant nodes to the cells before any coverage hole occurs
because of death of a sensor node. Relocation Model, Data Aggregation Model, and
Energy model of the algorithm are studied in detail. MaxNetLife algorithm is
proved to be effective, scalable, and applicable through simulations.
PMID- 27879852
TI - Alpine Grassland Phenology as Seen in AVHRR, VEGETATION, and MODIS NDVI Time
Series - a Comparison with In Situ Measurements.
AB - This study evaluates the ability to track grassland growth phenology in the Swiss
Alps with NOAA-16 Advanced Very High Resolution Radiometer (AVHRR) Normalized
Difference Vegetation Index (NDVI) time series. Three growth parameters from 15
alpine and subalpine grassland sites were investigated between 2001 and 2005:
Melt-Out (MO), Start Of Growth (SOG), and End Of Growth (EOG).We tried to
estimate these phenological dates from yearly NDVI time series by identifying
dates, where certain fractions (thresholds) of the maximum annual NDVI amplitude
were crossed for the first time. For this purpose, the NDVI time series were
smoothed using two commonly used approaches (Fourier adjustment or alternatively
Savitzky-Golay filtering). Moreover, AVHRR NDVI time series were compared against
data from the newer generation sensors SPOT VEGETATION and TERRA MODIS. All
remote sensing NDVI time series were highly correlated with single point ground
measurements and therefore accurately represented growth dynamics of alpine
grassland. The newer generation sensors VGT and MODIS performed better than
AVHRR, however, differences were minor. Thresholds for the determination of MO,
SOG, and EOG were similar across sensors and smoothing methods, which
demonstrated the robustness of the results. For our purpose, the Fourier
adjustment algorithm created better NDVI time series than the Savitzky-Golay
filter, since latter appeared to be more sensitive to noisy NDVI time series.
Findings show that the application of various thresholds to NDVI time series
allows the observation of the temporal progression of vegetation growth at the
selected sites with high consistency. Hence, we believe that our study helps to
better understand largescale vegetation growth dynamics above the tree line in
the European Alps.
PMID- 27879851
TI - Assessment of Organophosphate and Carbamate Pesticide Residues in Cigarette
Tobacco with a Novel Cell Biosensor.
AB - The conventional analysis of pesticide residues in analytical commodities, such
as tobacco and tobacco products is a labor intensive procedure, since it is
necessary to cover a wide range of different chemicals, using a single procedure.
Standard analysis methods include extensive sample pretreatment (with solvent
extraction and partitioning phases) and determination by GC and HPLC to achieve
the necessary selectivity and sensitivity for the different classes of compounds
under detection. As a consequence, current methods of analysis provide a limited
sample capacity. In the present study, we report on the development of a novel
cell biosensor for detecting organophosphate and carbamate pesticide residues in
tobacco. The sensor is based on neuroblastoma N2a cells and the measurement of
changes of the cell membrane potential, according to the working principle of the
Bioelectric Recognition Assay (BERA). The presence of pesticide residues is
detected by the degree of inhibition of acetylcholine esterase (AChE). The sensor
instantly responded to both the organophoshate pesticide chlorpyriphos and the
carbamate carbaryl in a concentration-dependent pattern, being able to detect one
part per billion (1 ppb). Additionally, tobacco leaf samples (in blended dry
form) were analyzed with both the novel biosensor and conventional methods,
according to a double-blind protocol. Pesticide residues in tobacco samples
caused a considerable cell membrane hyperpolarization to neuroblastoma cells
immobilized in the sensor, as indicated by the increase of the negative sensor
potential, which was clearly distinguishable from the sensor's response against
pesticide-free control samples. The observed response was quite reproducible,
with an average variation of +5,6%. Fluorescence microscopy observations showed
that treatment of the cells with either chlorpyrifos or carbaryl was associated
with increased [Ca2+]cyt . The novel biosensor offers fresh perspectives for
ultra-rapid, sensitive and low-cost monitoring of pesticide residues in tobacco
as well as other food and agricultural commodities.
PMID- 27879854
TI - Feature Extraction and Spatial Interpolation for Improved Wireless Location
Sensing.
AB - This paper proposes a new methodology to improve location-sensing accuracy in
wireless network environments eliminating the effects of non-line-of-sight
errors. After collecting bulks of anonymous location measurements from a wireless
network, the preparation stage of the proposed methodology begins. Investigating
the collected location measurements in terms of signal features and geometric
features, feature locations are identified. After the identification of feature
locations, the non-line-of-sight error correction maps are generated. During the
real-time location sensing stage, each user can request localization with a set
of location measurements. With respected to the reported measurements, the pre
computed correction maps are applied. As a result, localization accuracy improves
by eliminating the non-line-of-sight errors. A simulation result, assuming a
typical dense urban environment, demonstrates the benefits of the proposed
location sensing methodology.
PMID- 27879853
TI - Molecularly Imprinted Polymers: Thermodynamic and Kinetic Considerations on the
Specific Sorption and Molecular Recognition.
AB - This article presents a work aiming at thermodynamically and kinetically
interpreting the specific sorption and recognition by a molecularly imprinted
polymer. Using Boc-L-Phe-OH as a template, the imprinted material was prepared.
The result indicates that the prepared polymer can well discriminate the imprint
species from its analogue (Boc-D-Phe-OH), so as to adsorb more for the former but
less for the latter. Kinetic analysis indicates that this specific sorption, in
nature, can be a result of a preferential promotion. The imprint within the
polymer causes a larger adsorption rate for the template than for the analogue.
Thermodynamic study also implies that the molecular induction from the specific
imprint to the template is larger than to the analogue, which thus makes the
polymer capable of preferentially alluring the template to bind.
PMID- 27879855
TI - An Integrated MEMS Gyroscope Array with Higher Accuracy Output.
AB - In this paper, an integrated MEMS gyroscope array method composed of two levels
of optimal filtering was designed to improve the accuracy of gyroscopes. In the
firstlevel filtering, several identical gyroscopes were combined through Kalman
filtering into a single effective device, whose performance could surpass that of
any individual sensor. The key of the performance improving lies in the optimal
estimation of the random noise sources such as rate random walk and angular
random walk for compensating the measurement values. Especially, the cross
correlation between the noises from different gyroscopes of the same type was
used to establish the system noise covariance matrix and the measurement noise
covariance matrix for Kalman filtering to improve the performance further.
Secondly, an integrated Kalman filter with six states was designed to further
improve the accuracy with the aid of external sensors such as magnetometers and
accelerometers in attitude determination. Experiments showed that three
gyroscopes with a bias drift of 35 degree per hour could be combined into a
virtual gyroscope with a drift of 1.07 degree per hour through the first-level
filter, and the bias drift was reduced to 0.53 degree per hour after the second
level filtering. It proved that the proposed integrated MEMS gyroscope array is
capable of improving the accuracy of the MEMS gyroscopes, which provides the
possibility of using these low cost MEMS sensors in high-accuracy application
areas.
PMID- 27879856
TI - Hemispheric Lateralization of Event-Related Brain Potentials in Different
Processing Phases during Unimanual Finger Movements.
AB - Previous functional MRI and brain electrophysiology studies have studied the left
right differences during the tapping tasks and found that the activation of left
hemisphere was more significant than that of right hemisphere. In this study, we
wanted to delineate this lateralization phenomenon not only in the execution
phase but also in other processing phases, such as early visual, pre-executive
and post-executive phases. We have designed a finger-tapping task to delineate
the left-right differences of event related potentials (ERPs) to right finger
movement in sixteen right handed college students. The mean amplitudes of ERPs
were analyzed to examine the left-right dominance of cortical activity in the
phase of early visual process (75-120ms), pre-execution (175-260ms), execution
(310-420ms) and post-execution (420-620ms). In the execution phase, ERPs at the
left electrodes were significantly more pronounced than those at the right
electrodes (F3 > F4, C3 > C4, P3 > P4, O1 > O2) under the situation without
comparing the central electrodes (Fz, Cz, Pz, and Oz). No difference was found
between left and right electrodes in other three phases except the C3 electrode
still showed more dominant than C4 in the pre- and post-execution phase. In
conclusion, the phenomenon of brain lateralization occur major in the execution
phase. The central area also showed the lateralization in the pre- and post
execution to demonstrate its unique lateralized contributions to unilateral
simple finger movements.
PMID- 27879857
TI - Modeling Spatio-Temporal Dynamics of Optimum Tilt Angles for Solar Collectors in
Turkey.
AB - Quantifying spatial and temporal variations in optimal tilt angle of a solar
collector relative to a horizontal position assists in maximizing its performance
for energy collection depending on changes in time and space. In this study,
optimal tilt angles were quantified for solar collectors based on the monthly
global and diffuse solar radiation on a horizontal surface across Turkey. The
dataset of monthly average daily global solar radiation was obtained from 158
places, and monthly diffuse radiation data were estimated using an empirical
model in the related literature. Our results showed that high tilt angles during
the autumn (September to November) and winter (December to February) and low tilt
angles during the summer (March to August) enabled the solar collector surface to
absorb the maximum amount of solar radiation. Monthly optimum tilt angles were
estimated devising a sinusoidal function of latitude and day of the year, and
their validation resulted in a high R2 value of 98.8%, with root mean square
error (RMSE) of 2.06o.
PMID- 27879859
TI - Using SPOT-5 HRG Data in Panchromatic Mode for Operational Detection of Small
Ships in Tropical Area.
AB - Nowadays, there is a growing interest in applications of space remote sensing
systems for maritime surveillance which includes among others traffic
surveillance, maritime security, illegal fisheries survey, oil discharge and sea
pollution monitoring. Within the framework of several French and European
projects, an algorithm for automatic ship detection from SPOT-5 HRG data was
developed to complement existing fishery control measures, in particular the
Vessel Monitoring System. The algorithm focused on feature-based analysis of
satellite imagery. Genetic algorithms and Neural Networks were used to deal with
the feature-borne information. Based on the described approach, a first prototype
was designed to classify small targets such as shrimp boats and tested on
panchromatic SPOT-5, 5-m resolution product taking into account the environmental
and fishing context. The ability to detect shrimp boats with satisfactory
detection rates is an indicator of the robustness of the algorithm. Still, the
benchmark revealed problems related to increased false alarm rates on particular
types of images with a high percentage of cloud cover and a sea cluttered
background.
PMID- 27879858
TI - Nanorobot Hardware Architecture for Medical Defense.
AB - This work presents a new approach with details on the integrated platform and
hardware architecture for nanorobots application in epidemic control, which
should enable real time in vivo prognosis of biohazard infection. The recent
developments in the field of nanoelectronics, with transducers progressively
shrinking down to smaller sizes through nanotechnology and carbon nanotubes, are
expected to result in innovative biomedical instrumentation possibilities, with
new therapies and efficient diagnosis methodologies. The use of integrated
systems, smart biosensors, and programmable nanodevices are advancing
nanoelectronics, enabling the progressive research and development of molecular
machines. It should provide high precision pervasive biomedical monitoring with
real time data transmission. The use of nanobioelectronics as embedded systems is
the natural pathway towards manufacturing methodology to achieve nanorobot
applications out of laboratories sooner as possible. To demonstrate the practical
application of medical nanorobotics, a 3D simulation based on clinical data
addresses how to integrate communication with nanorobots using RFID, mobile
phones, and satellites, applied to long distance ubiquitous surveillance and
health monitoring for troops in conflict zones. Therefore, the current model can
also be used to prevent and save a population against the case of some targeted
epidemic disease.
PMID- 27879860
TI - Analysis of Electromyographic Signals from Rats' Stomaches for Detection and
Classification of Motility.
AB - This paper presents the analysis of the electromyographic signals from rat
stomaches to identify and classify contractions. The results were validated with
both visual identification and an ultrasonic system to guarantee the reference.
Some parameters were defined and associated to the energy of the signal in
frequency domain and grouped in a P vector. The parameters were statistically
analyzed and according to the results, an artificial neuronal network was
designed to use the P vectors as inputs to classify the electrical signals
related to the contraction conditions. A first approach classification was
performed with and without contraction classes (CR and NCR), then the same
database were subdivided in four classes: with induced contraction (ICR),
spontaneous contraction (SCR), without contraction due a post mortem condition
(PMR) or under physiological conditions (PNCR). In a two-class classifier,
performance was 86%, 93% and 91% of detections for each electrogastromyografic
(EGMG) signal from each of three pairs of electrodes considered. Because in the
four-class classifier, enough data was not collected for the first pair, then a
three-class classifier with 82% of performance was used. For the other two EGMG
signals electrode pairs, performance was of 76% and 86% respectively. Based in
the results, the analysis of P vectors could be used as a contraction detector in
motility studies due to different stimuli in a rat model.
PMID- 27879861
TI - Hydrologic Remote Sensing and Land Surface Data Assimilation.
AB - Accurate, reliable and skillful forecasting of key environmental variables such
as soil moisture and snow are of paramount importance due to their strong
influence on many water resources applications including flood control,
agricultural production and effective water resources management which
collectively control the behavior of the climate system. Soil moisture is a key
state variable in land surface-atmosphere interactions affecting surface energy
fluxes, runoff and the radiation balance. Snow processes also have a large
influence on land-atmosphere energy exchanges due to snow high albedo, low
thermal conductivity and considerable spatial and temporal variability resulting
in the dramatic change on surface and ground temperature. Measurement of these
two variables is possible through variety of methods using ground-based and
remote sensing procedures. Remote sensing, however, holds great promise for soil
moisture and snow measurements which have considerable spatial and temporal
variability. Merging these measurements with hydrologic model outputs in a
systematic and effective way results in an improvement of land surface model
prediction. Data Assimilation provides a mechanism to combine these two sources
of estimation. Much success has been attained in recent years in using data from
passive microwave sensors and assimilating them into the models. This paper
provides an overview of the remote sensing measurement techniques for soil
moisture and snow data and describes the advances in data assimilation techniques
through the ensemble filtering, mainly Ensemble Kalman filter (EnKF) and Particle
filter (PF), for improving the model prediction and reducing the uncertainties
involved in prediction process. It is believed that PF provides a complete
representation of the probability distribution of state variables of interests
(according to sequential Bayes law) and could be a strong alternative to EnKF
which is subject to some limitations including the linear updating rule and
assumption of jointly normal distribution of errors in state variables and
observation.
PMID- 27879862
TI - PAU/GNSS-R: Implementation, Performance and First Results of a Real-Time Delay
Doppler Map Reflectometer Using Global Navigation Satellite System Signals.
AB - Signals from Global Navigation Satellite Systems (GNSS) were originally conceived
for position and speed determination, but they can be used as signals of
opportunity as well. The reflection process over a given surface modifies the
properties of the scattered signal, and therefore, by processing the reflected
signal, relevant geophysical data regarding the surface under study (land, sea,
ice...) can be retrieved. In essence, a GNSS-R receiver is a multi-channel GNSS
receiver that computes the received power from a given satellite at a number of
different delay and Doppler bins of the incoming signal. The first approaches to
build such a receiver consisted of sampling and storing the scattered signal for
later post-processing. However, a real-time approach to the problem is desirable
to obtain immediately useful geophysical variables and reduce the amount of data.
The use of FPGA technology makes this possible, while at the same time the system
can be easily reconfigured. The signal tracking and processing constraints made
necessary to fully design several new blocks. The uniqueness of the implemented
system described in this work is the capability to compute in real-time Delay
Doppler maps (DDMs) either for four simultaneous satellites or just one, but with
a larger number of bins. The first tests have been conducted from a cliff over
the sea and demonstrate the successful performance of the instrument to compute
DDMs in real-time from the measured reflected GNSS/R signals. The processing of
these measurements shall yield quantitative relationships between the sea state
(mainly driven by the surface wind and the swell) and the overall DDM shape. The
ultimate goal is to use the DDM shape to correct the sea state influence on the L
band brightness temperature to improve the retrieval of the sea surface salinity
(SSS).
PMID- 27879863
TI - Seasonal Effect on Tree Species Classification in an Urban Environment Using
Hyperspectral Data, LiDAR, and an Object- Oriented Approach.
AB - The objective of the current study was to analyze the seasonal effect on
differentiating tree species in an urban environment using multi-temporal
hyperspectral data, Light Detection And Ranging (LiDAR) data, and a tree species
database collected from the field. Two Airborne Imaging Spectrometer for
Applications (AISA) hyperspectral images were collected, covering the Summer and
Fall seasons. In order to make both datasets spatially and spectrally compatible,
several preprocessing steps, including band reduction and a spatial degradation,
were performed. An object-oriented classification was performed on both images
using training data collected randomly from the tree species database. The seven
dominant tree species (Gleditsia triacanthos, Acer saccharum, Tilia Americana,
Quercus palustris, Pinus strobus and Picea glauca) were used in the
classification. The results from this analysis did not show any major difference
in overall accuracy between the two seasons. Overall accuracy was approximately
57% for the Summer dataset and 56% for the Fall dataset. However, the Fall
dataset provided more consistent results for all tree species while the Summer
dataset had a few higher individual class accuracies. Further, adding LiDAR into
the classification improved the results by 19% for both fall and summer. This is
mainly due to the removal of shadow effect and the addition of elevation data to
separate low and high vegetation.
PMID- 27879864
TI - On Line Disaster Response Community: People as Sensors of High Magnitude
Disasters Using Internet GIS.
AB - The Indian Ocean tsunami (2004) and Hurricane Katrina (2005) reveal the coming of
age of the on-line disaster response community. Due to the integration of key
geospatial technologies (remote sensing - RS, geographic information systems -
GIS, global positioning systems - GPS) and the Internet, on-line disaster
response communities have grown. They include the traditional aspects of disaster
preparedness, response, recovery, mitigation, and policy as facilitated by
governmental agencies and relief response organizations. However, the
contribution from the public via the Internet has changed significantly. The on
line disaster response community includes several key characteristics: the
ability to donate money quickly and efficiently due to improved Internet security
and reliable donation sites; a computer-savvy segment of the public that creates
blogs, uploads pictures, and disseminates information - oftentimes faster than
government agencies, and message boards to create interactive information
exchange in seeking family members and identifying shelters. A critical and novel
occurrence is the development of "people as sensors" - networks of government,
NGOs, private companies, and the public - to build rapid response databases of
the disaster area for various aspects of disaster relief and response using
geospatial technologies. This paper examines these networks, their products, and
their future potential.
PMID- 27879865
TI - A Novel Modified Omega-K Algorithm for Synthetic Aperture Imaging Lidar through
the Atmosphere.
AB - The spatial resolution of a conventional imaging lidar system is constrained by
the diffraction limit of the telescope's aperture. The combination of the lidar
and synthetic aperture (SA) processing techniques may overcome the diffraction
limit and pave the way for a higher resolution air borne or space borne remote
sensor. Regarding the lidar transmitting frequency modulation continuous-wave
(FMCW) signal, the motion during the transmission of a sweep and the reception of
the corresponding echo were expected to be one of the major problems. The given
modified Omega-K algorithm takes the continuous motion into account, which can
compensate for the Doppler shift induced by the continuous motion efficiently and
azimuth ambiguity for the low pulse recurrence frequency limited by the tunable
laser. And then, simulation of Phase Screen (PS) distorted by atmospheric
turbulence following the von Karman spectrum by using Fourier Transform is
implemented in order to simulate turbulence. Finally, the computer simulation
shows the validity of the modified algorithm and if in the turbulence the
synthetic aperture length does not exceed the similar coherence length of the
atmosphere for SAIL, we can ignore the effect of the turbulence.
PMID- 27879866
TI - Wireless Network for Measurement of Whole-Body Vibration.
AB - This article presents the development of a system integrated to a ZigBee network
to measure whole-body vibration. The developed system allows distinguishing human
vibrations of almost 400Hz in three axes with acceleration of almost 50g. The
tests conducted in the study ensured the correct functioning of the system for
the project's purpose.
PMID- 27879867
TI - Near-Infrared Fluorescent Materials for Sensing of Biological Targets.
AB - Near-infrared fluorescent (NIRF) materials are promising labeling reagents for
sensitive determination and imaging of biological targets. In the near-infrared
region biological samples have low background fluorescence signals, providing
high signal to noise ratio. Meanwhile, near-infrared radiation can penetrate into
sample matrices deeply due to low light scattering. Thus, in vivo and in vitro
imaging of biological samples can be achieved by employing the NIRF probes. To
take full advantage of NIRF materials in the biological and biomedical field, one
of the key issues is to develop intense and biocompatible NIRF probes. In this
review, a number of NIRF materials are discussed including traditional NIRF dye
molecules, newly developed NIRF quantum dots and single-walled carbon nanotubes,
as well as rare earth metal compounds. The use of some NIRF materials in various
nanostructures is illustrated. The enhancement of NIRF using metal nanostructures
is covered as well. The fluorescence mechanism and bioapplications of each type
of the NIRF materials are discussed in details.
PMID- 27879869
TI - Plant Species Recovery on a Compacted Skid Road.
AB - This study was executed to determine the plant species of herbaceous cover in a
skid road subjected to soil compaction due to timber skidding in a beech (Fagus
orientalis Lipsky.) stand. Our previous studies have shown that ground based
timber skidding destroys the soils extremely, and degradations on ecosystem
because of the timber skidding limit recovery and growth of plant cover on skid
roads. However, some plant species show healthy habitat, recovery and they can
survive after the extreme degradation in study area. We evaluated composition of
these plant species and their cover-abundance scales in 100 m x 3 m transect. 15
plant species were determined belongs to 12 plant families and Liliaceae was the
highest representative plant family. Smilax aspera L., Epimedium pubigerum (DC.)
Moren et Decaisne, Carex distachya Desf. var. distachya Desf., Pteridium
aquilinum (L.) Kuhn., Trachystemon orientalis (L.) G. Don, Hedera helix L. have
the highest coverabundance scale overall of determined species on compacted skid
road.
PMID- 27879870
TI - The Landcover Impact on the Aspect/Slope Accuracy Dependence of the SRTM-1
Elevation Data for the Humboldt Range.
AB - The U.S. National Landcover Dataset (NLCD) and the U.S National Elevation Dataset
(NED) (bare earth elevations) were used in an attempt to assess to what extent
the directional and slope dependency of the Shuttle Radar Topography Mission
(SRTM) finished digital elevation model is affected by landcover. Four landcover
classes: forest, shrubs, grass and snow cover, were included in the study area
(Humboldt Range in NW portion of Nevada, USA). Statistics, rose diagrams, and
frequency distributions of the elevation differences (NED-SRTM) per landcover
class per geographic direction were used. The decomposition of elevation
differences on the basis of aspect and slope terrain classes identifies a) over
estimation of elevation by the SRTM instrument along E, NE and N directions
(negative elevation difference that decreases linearly with slope) while b)
underestimation is evident towards W, SW and S directions (positive elevation
difference increasing with slope). The aspect/slope/landcover elevation
differences modelling overcome the systematic errors evident in the SRTM dataset
and revealed vegetation height information and the snow penetration capability of
the SRTM instrument. The linear regression lines per landcover class might
provide means of correcting the systematic error (aspect/slope dependency)
evident in SRTM dataset.
PMID- 27879871
TI - A Low-Cost CMOS Programmable Temperature Switch.
AB - A novel uncalibrated CMOS programmable temperature switch with high temperature
accuracy is presented. Its threshold temperature Tth can be programmed by
adjusting the ratios of width and length of the transistors. The operating
principles of the temperature switch circuit is theoretically explained. A
floating gate neural MOS circuit is designed to compensate automatically the
threshold temperature Tth variation that results form the process tolerance. The
switch circuit is implemented in a standard 0.35 MUm CMOS process. The
temperature switch can be programmed to perform the switch operation at 16
different threshold temperature Tths from 45-120 degrees C with a 5 degrees C
increment. The measurement shows a good consistency in the threshold
temperatures. The chip core area is 0.04 mm2 and power consumption is 3.1 MUA at
3.3V power supply. The advantages of the temperature switch are low power
consumption, the programmable threshold temperature and the controllable
hysteresis.
PMID- 27879868
TI - Utilizing of Adsorptive Transfer Stripping Technique Brdicka Reaction for
Determination of Metallothioneins Level in Melanoma Cells, Blood Serum and
Tissues.
AB - In the paper we utilized the adsorptive transfer stripping differential pulse
voltammetry Brdicka reaction for the determination of metallothioneins (MT) in
melanoma cells, animal melanoma tissues (MeLiM miniature pig) and blood serum of
patients with malignant melanoma. Primarily we attempted to investigate the
influence of dilution of real sample on MT electrochemical response. Dilution of
samples of 1 000 times was chosen the most suitable for determination of MT level
in biological samples. Then we quantified the MT level in the melanoma cells, the
animal melanoma tissues and the blood serum samples. The MT content in the cells
varied within the range from 4.2 to 11.2 MUM. At animal melanoma tissues
(melanomas localized on abdomen, back limb and dorsum) the highest content of MT
was determined in the tumour sampled on the back of the animal and was nearly 500
MUg of MTs per gram of a tissue. We also quantified content of MT in metastases,
which was found in liver, spleen and lymph nodes. Moreover the average MT level
in the blood serum samples from patients with melanoma was 3.0 +/- 0.8 MUM. MT
levels determined at melanoma samples were significantly (p < 0.05) higher
compared to control ones at cells, tissues and blood serum.
PMID- 27879872
TI - Electrochemical Determination of Low Molecular Mass Thiols Content in Potatoes
(Solanum tuberosum) Cultivated in the Presence of Various Sulphur Forms and
Infected by Late Blight (Phytophora infestans).
AB - In the present paper potato plants were cultivated in the presence of ammonium
sulphate or elemental sulphur supplementation into the soil to reveal the effects
of different sulphur forms on content of nitrogen, phosphorus, potassium,
calcium, magnesium and sulphur, and yield of tubers. During the investigation of
the influence of different sulphur forms on yield of potato tubers we did not
observe significant changes. Average weight of tubers of control plants per one
experimental pot was 355 g. Application of sulphur in both forms resulted in
moderate potato tubers weight reduction per one experimental pot compared to
control group; average value ranged from 320 to 350 g per one experimental pot.
Further we treated the plants with two different supplementation of sulphur with
cadmium(II) ions (4 mg of cadmium(II) acetate per kilogram of the soil). The
significantly lowest cadmium content (p < 0.05) was determined in tissues of
plants treated with the highest dosage of elemental sulphur (0.64 mg Cd/kg)
compared to control plants (0.82 mg Cd/kg). We also aimed our attention on the
cadmium content in proteins, lipids or soluble carbohydrates and ash. Application
of sulphate as well as elemental sulphur resulted in significant cadmium content
reduction in lipid fraction compared to control plants. In addition to this we
quantified content of low molecular mass thiols in potatoes tissues. To determine
the thiols content we employed differential pulse voltammetry Brdicka reaction.
After twelve days of the treatment enhancing of thiols level was observed in all
experimental groups regardless to applied sulphur form and its concentration.
Finally we evaluated the effect of sulphur supplementation on Phytophora
infestans infection of potato plants.
PMID- 27879873
TI - Implantable Microimagers.
AB - Implantable devices such as cardiac pacemakers, drug-delivery systems, and
defibrillators have had a tremendous impact on the quality of live for many
disabled people. To date, many devices have been developed for implantation into
various parts of the human body. In this paper, we focus on devices implanted in
the head. In particular, we describe the technologies necessary to create
implantable microimagers. Design, fabrication, and implementation issues are
discussed vis-a-vis two examples of implantable microimagers; the retinal
prosthesis and in vivo neuro-microimager. Testing of these devices in animals
verify the use of the microimagers in the implanted state. We believe that
further advancement of these devices will lead to the development of a new method
for medical and scientific applications.
PMID- 27879875
TI - ASPIS, A Flexible Multispectral System for Airborne Remote Sensing Environmental
Applications.
AB - Airborne multispectral and hyperspectral remote sensing is a powerful tool for
environmental monitoring applications. In this paper we describe a new system
(ASPIS) composed by a 4-CCD spectral sensor, a thermal IR camera and a laser
altimeter that is mounted on a flexible Sky-Arrow airplane. A test application of
the multispectral sensor to estimate durum wheat quality is also presented.
PMID- 27879874
TI - Signature Optical Cues: Emerging Technologies for Monitoring Plant Health.
AB - Optical technologies can be developed as practical tools for monitoring plant
health by providing unique spectral signatures that can be related to specific
plant stresses. Signatures from thermal and fluorescence imaging have been used
successfully to track pathogen invasion before visual symptoms are observed.
Another approach for noninvasive plant health monitoring involves elucidating the
manner with which light interacts with the plant leaf and being able to identify
changes in spectral characteristics in response to specific stresses. To achieve
this, an important step is to understand the biochemical and anatomical features
governing leaf reflectance, transmission and absorption. Many studies have opened
up possibilities that subtle changes in leaf reflectance spectra can be analyzed
in a plethora of ways for discriminating nutrient and water stress, but with
limited success. There has also been interest in developing transgenic
phytosensors to elucidate plant status in relation to environmental conditions.
This approach involves unambiguous signal creation whereby genetic modification
to generate reporter plants has resulted in distinct optical signals emitted in
response to specific stressors. Most of these studies are limited to laboratory
or controlled greenhouse environments at leaf level. The practical translation of
spectral cues for application under field conditions at canopy and regional
levels by remote aerial sensing remains a challenge. The movement towards
technology development is well exemplified by the Controlled Ecological Life
Support System under development by NASA which brings together technologies for
monitoring plant status concomitantly with instrumentation for environmental
monitoring and feedback control.
PMID- 27879876
TI - Opto-Electric Cellular Biosensor Using Optically Transparent Indium Tin Oxide
(ITO) Electrodes.
AB - Indium tin oxide (ITO) biosensors are used to perform simultaneous optical and
electrical measurements in order to examine the dynamic cellular attachment,
spreading, and proliferation of endothelial cells (ECs) as well as cytotoxic
effects when exposed to cytochalasin D. A detailed description of the fabrication
of these sensors is provided and their superior optical characteristics are
qualitatively shown using four different microscopic images. Differential
interference contrast microscopy (DICM) images were acquired simultaneously with
micro-impedance measurements as a function of frequency and time. A digital image
processing algorithm quantified the cell-covered electrode area as a function of
time. In addition, cytotoxicity effects, produced by the toxic agent cytochalasin
D, were examined using micro-impedance measurements, confocal microscopy images
of stained actin-filaments, and interference reflection contrast microscopy
(IRCM) capable of examining the bottom morphology of a cell. The results of this
study show (1) the dynamic optical and electrical cellular characteristics using
optically thin ITO biosensors; (2) qualitative agreement between cell-covered
electrode area and electrical impedance during cellular attachment; (3) in vitro
cytotoxicity detection of ECs due to 3 mM cytochalasin D. The present opto
electric biosensor system is unique in that a simultaneous and integrated
cellular analysis is possible for a variety of living cells.
PMID- 27879877
TI - Environmental Harmony and Evaluation of Advertisement Billboards with Digital
Photogrammetry Technique and GIS Capabilities: A Case Study in the City of
Ankara.
AB - Geographical Information Systems (GIS) have been gaining a growing interest in
Turkey. Many local governments and public agencies have been struggling to set up
such systems to serve the needs and meet public requirements. Urban life shelters
the advertisement reality which is presented at various places, on vehicles,
shops etc. in daily life. It can be said that advertisement is a part of daily
life in urban area, especially in city centers. In addition, one of the main
sources of revenue for municipalities comes from advertising and notices. The
advertising sector provides a great level of income today. Therefore advertising
is individually very important for local governments and urban management.
Although it is valuable for local governments, it is also very important for
urban management to place these advertisement signs and billboards in an orderly
fashion which is pleasing to the eye. Another point related to this subject is
the systematic control mechanism which is necessary for collecting taxes
regularly and updating. In this paper, first practical meaning of notice and
advertisement subject, problem definition and objectives are described and then
legal support and daily practice are revised. Current practice and problems are
mentioned. Possibilities of measuring and obtaining necessary information by
using digital images and transferring them to spatial databases are studied. By
this study, a modern approach was developed for urban management and
municipalities by using information technology which is an alternative to current
application. Criteria which provide environmental harmony such as urban beauty,
colour, compatibility and safety were also evaluated. It was finally concluded
that measuring commercial signs and keeping environmental harmony under control
for urban beauty can be provided by Digital Photogrammetry (DP) technique and GIS
capabilities which were studied with pilot applications in the city center of
Ankara.
PMID- 27879878
TI - Methods for Improving Image Quality and Reducing Data Load of NIR Hyperspectral
Images.
AB - Near Infrared Hyperspectral Imaging (NIRHSI) is an emerging technology platform
that integrates conventional imaging and spectroscopy to attain both spatial and
spectral information from an object. Two important problems in NIRHSI are those
of data load and unserviceable pixels in the NIR sensor. Hyperspectral imaging
experiments generate large amounts of data (typically > 50 MB per image), which
tend to overwhelm the memory capacity of conventional computer systems. This
inhibits the utilisation of NIRHSI for routine online industrial application. In
general, approximately 1% of pixels in NIR detectors are unserviceable or 'dead',
containing no useful information. While this percentage of pixels is
insignificant for single wavelength imaging, the problem is amplified in NIRHSI,
where > 100 wavelength images are typically acquired. This paper describes an
approach for reducing the data load of hyperspectral experiments by using sample
specific vector-to-scalar operators for real time feature extraction and a
systematic procedure for compensating for 'dead' pixels in the NIR sensor. The
feasibility of this approach was tested for prediction of moisture content in
carrot tissue.
PMID- 27879879
TI - Hyperspectral Sensor Data Capability for Retrieving Complex Urban Land Cover in
Comparison with Multispectral Data: Venice City Case Study (Italy).
AB - This study aims at comparing the capability of different sensors to detect land
cover materials within an historical urban center. The main objective is to
evaluate the added value of hyperspectral sensors in mapping a complex urban
context. In this study we used: (a) the ALI and Hyperion satellite data, (b) the
LANDSAT ETM+ satellite data, (c) MIVIS airborne data and (d) the high spatial
resolution IKONOS imagery as reference. The Venice city center shows a complex
urban land cover and therefore was chosen for testing the spectral and spatial
characteristics of different sensors in mapping the urban tissue. For this
purpose, an object-oriented approach and different common classification methods
were used. Moreover, spectra of the main anthropogenic surfaces (i.e. roofing and
paving materials) were collected during the field campaigns conducted on the
study area. They were exploited for applying band-depth and sub-pixel analyses to
subsets of Hyperion and MIVIS hyperspectral imagery. The results show that
satellite data with a 30m spatial resolution (ALI, LANDSAT ETM+ and HYPERION) are
able to identify only the main urban land cover materials.
PMID- 27879880
TI - A SAR Observation and Numerical Study on Ocean Surface Imprints of Atmospheric
Vortex Streets.
AB - The sea surface imprints of Atmospheric Vortex Street (AVS) off Aleutian Volcanic
Islands, Alaska were observed in two RADARSAT-1 Synthetic Aperture Radar (SAR)
images separated by about 11 hours. In both images, three pairs of distinctive
vortices shedding in the lee side of two volcanic mountains can be clearly seen.
The length and width of the vortex street are about 60-70 km and 20 km,
respectively. Although the AVS's in the two SAR images have similar shapes, the
structure of vortices within the AVS is highly asymmetrical. The sea surface wind
speed is estimated from the SAR images with wind direction input from Navy NOGAPS
model. In this paper we present a complete MM5 model simulation of the observed
AVS. The surface wind simulated from the MM5 model is in good agreement with SAR
derived wind. The vortex shedding rate calculated from the model run is about 1
hour and 50 minutes. Other basic characteristics of the AVS including propagation
speed of the vortex, Strouhal and Reynolds numbers favorable for AVS generation
are also derived. The wind associated with AVS modifies the cloud structure in
the marine atmospheric boundary layer. The AVS cloud pattern is also observed on
a MODIS visible band image taken between the two RADARSAT SAR images. An ENVISAT
advance SAR image taken 4 hours after the second RADARSAT SAR image shows that
the AVS has almost vanished.
PMID- 27879882
TI - Detection of Simulated Defect Using IR Temperature Sensors and One Point Heating.
AB - Infrared temperature sensors, simple device for temperature measurement, have
been modified for the measurement of temperature distribution on the metal
surface in a way of nondestructive detection of defects of the object. In this
study, the IR sensor system is utilized for the defect detection in a cylinder
with one point heating, and the performance of the system is examined with an
aluminum cylinder having a simulated defect. In addition, a 3-D conduction
equation is numerically solved to compare the computed temperature profile with
the measured one. The experimental outcome indicates that the defect detection is
readily available with the proposed device and the point heating is practical for
the applications of the defect detection. It is also found that the measured
temperature distribution is comparable to the computed result from the conduction
equation.
PMID- 27879881
TI - Non-Invasive Glucose Measurement by Use of Metabolic Heat Conformation Method.
AB - A non-invasive glucose measurement system based on the method of metabolic heat
conformation (MHC) is presented in this paper. This system consists of three
temperature sensors, two humidity sensors, an infrared sensor and an optical
measurement device. The glucose level can be deduced from the quantity of heat
dissipation, blood flow rate of local tissue and degree of blood oxygen
saturation. The methodology of the data process and the measurement error are
also analyzed. The system is applied in a primary clinical test. Compared with
the results of a commercial automated chemistry analyzer, the correlation
coefficient of the collected data from the system is 0.856. Result shows that the
correlation coefficient improves when the factor of heat dissipated by
evaporation of the skin is added in. A non-invasive method of measuring the blood
flow rate of local tissue by heat transmission between skin and contacted
conductor is also introduced. Theoretical derivation and numerical simulation are
completed as well. The so-called normalized difference mean (NDM) is chosen to
express the quantity of the blood flow rate. The correlation coefficient between
the blood flow rates by this method and the results of a Doppler blood flow meter
is equal to 0.914.
PMID- 27879883
TI - Optical Remote Sensing of Glacier Characteristics: A Review with Focus on the
Himalaya.
AB - The increased availability of remote sensing platforms with appropriate spatial
and temporal resolution, global coverage and low financial costs allows for fast,
semi-automated, and cost-effective estimates of changes in glacier parameters
over large areas. Remote sensing approaches allow for regular monitoring of the
properties of alpine glaciers such as ice extent, terminus position, volume and
surface elevation, from which glacier mass balance can be inferred. Such methods
are particularly useful in remote areas with limited field-based glaciological
measurements. This paper reviews advances in the use of visible and infrared
remote sensing combined with field methods for estimating glacier parameters,
with emphasis on volume/area changes and glacier mass balance. The focus is on
the Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) sensor
and its applicability for monitoring Himalayan glaciers. The methods reviewed
are: volumetric changes inferred from digital elevation models (DEMs), glacier
delineation algorithms from multi-spectral analysis, changes in glacier area at
decadal time scales, and AAR/ELA methods used to calculate yearly mass balances.
The current limitations and on-going challenges in using remote sensing for
mapping characteristics of mountain glaciers also discussed, specifically in the
context of the Himalaya.
PMID- 27879884
TI - SAR System for UAV Operation with Motion Error Compensation beyond the Resolution
Cell.
AB - This paper presents an experimental Synthetic Aperture Radar (SAR) system that is
under development in the Universidad Politecnica de Madrid. The system uses
Linear Frequency Modulated Continuous Wave (LFM-CW) radar with a two antenna
configuration for transmission and reception. The radar operates in the
millimeter-wave band with a maximum transmitted bandwidth of 2 GHz. The proposed
system is being developed for Unmanned Aerial Vehicle (UAV) operation. Motion
errors in UAV operation can be critical. Therefore, this paper proposes a method
for focusing SAR images with movement errors larger than the resolution cell.
Typically, this problem is solved using two processing steps: first, coarse
motion compensation based on the information provided by an Inertial Measuring
Unit (IMU); and second, fine motion compensation for the residual errors within
the resolution cell based on the received raw data. The proposed technique tries
to focus the image without using data of an IMU. The method is based on a
combination of the well known Phase Gradient Autofocus (PGA) for SAR imagery and
typical algorithms for translational motion compensation on Inverse SAR (ISAR).
This paper shows the first real experiments for obtaining high resolution SAR
images using a car as a mobile platform for our radar.
PMID- 27879885
TI - Hardware Implementation of Multiple Fan Beam Projection Technique in Optical
Fibre Process Tomography.
AB - The main objective of this project is to implement the multiple fan beam
projection technique using optical fibre sensors with the aim to achieve a high
data acquisition rate. Multiple fan beam projection technique here is defined as
allowing more than one emitter to transmit light at the same time using the
switch-mode fan beam method. For the thirty-two pairs of sensors used, the 2
projection technique and 4- projection technique are being investigated. Sixteen
sets of projections will complete one frame of light emission for the 2
projection technique while eight sets of projection will complete one frame of
light emission for the 4-projection technique. In order to facilitate data
acquisition process, PIC microcontroller and the sample and hold circuit are
being used. This paper summarizes the hardware configuration and design for this
project.
PMID- 27879886
TI - Motion Compensation of Moving Targets for High Range Resolution Stepped-Frequency
Radar.
AB - High range resolution (HRR) profiling using stepped-frequency pulse trains
suffers from range shift and the attenuation/dispersion of range profiles while
the target of interest is moving. To overcome these two drawbacks, a new
algorithm based on the maximum likelihood (ML) estimation is proposed in this
paper. Without altering the conventional stepped-frequency waveform, this
algorithm can estimate the target velocity and thereby compensate the phase
errors caused by the target's motion. It is shown that the velocity can be
accurately estimated and the range profile can be correctly reconstructed.
PMID- 27879887
TI - A Two Dimensional Overlapped Subaperture Polar Format Algorithm Based on Stepped
chirp Signal.
AB - In this work, a 2-D subaperture polar format algorithm (PFA) based on
steppedchirp signal is proposed. Instead of traditional pulse synthesis
preprocessing, the presented method integrates the pulse synthesis process into
the range subaperture processing. Meanwhile, due to the multi-resolution property
of subaperture processing, this algorithm is able to compensate the space-variant
phase error caused by the radar motion during the period of a pulse cluster.
Point target simulation has validated the presented algorithm.
PMID- 27879888
TI - Sensing and 3D Mapping of Soil Compaction.
AB - Soil compaction is an important physical limiting factor for the root growth and
plant emergence and is one of the major causes for reduced crop yield worldwide.
The objective of this study was to generate 2D/3D soil compaction maps for
different depth layers of the soil. To do so, a soil penetrometer was designed,
which was mounted on the three-point hitch of an agricultural tractor, consisting
of a mechanical system, data acquisition system (DAS), and 2D/3D imaging and
analysis software. The system was successfully tested in field conditions,
measuring soil penetration resistances as a function of depth from 0 to 40 cm at
1 cm intervals. The software allows user to either tabulate the measured
quantities or generate maps as soon as data collection has been terminated. The
system may also incorporate GPS data to create geo-referenced soil maps. The
software enables the user to graph penetration resistances at a specified
coordinate. Alternately, soil compaction maps could be generated using data
collected from multiple coordinates. The data could be automatically stratified
to determine soil compaction distribution at different layers of 5, 10,...., 40
cm depths. It was concluded that the system tested in this study could be used to
assess the soil compaction at topsoil and the randomly distributed hardpan
formations just below the common tillage depths, enabling visualization of
spatial variability through the imaging software.
PMID- 27879889
TI - Assessing the Potentialities of FORMOSAT-2 Data for Water and Crop Monitoring at
Small Regional Scale in South-Eastern France.
AB - Water monitoring at the scale of a small agricultural region is a key point to
insure a good crop development particularly in South-Eastern France, where
extreme climatic conditions result in long dry periods in spring and summer with
very sparse precipitation events, corresponding to a crucial period of crop
development. Remote sensing with the increasing imagery resolution is a useful
tool to provide information on plant water status over various temporal and
spatial scales. The current study focussed on assessing the potentialities of
FORMOSAT-2 data, characterized by high spatial (8m pixel) and temporal
resolutions (1-3 day/time revisit), to improve crop modeling and spatial
estimation of the main land properties. Thirty cloud free images were acquired
from March to October 2006 over a small region called Crau-Camargue in SE France,
while numerous ground measurements were performed simultaneously over various
crop types. We have compared two models simulating energy transfers between soil,
vegetation and atmosphere: SEBAL and PBLs. Maps of evapotranspiration were
analyzed according to the agricultural practices at field scale. These practices
were well identified from FORMOSAT-2 images, which provided accurate input
surface parameters to the SVAT models.
PMID- 27879890
TI - Reflectance Modeling for Real Snow Structures Using a Beam Tracing Model.
AB - It is important to understand reflective properties of snow, for example for
remote sensing applications and for modeling of energy balances in snow packs. We
present a method with which we can compare reflectance measurements and
calculations for the same snow sample structures. Therefore, we first tomograph
snow samples to acquire snow structure images (6 x 2 mm). Second, we calculated
the sample reflectance by modeling the radiative transfer, using a beam tracing
model. This model calculates the biconical reflectance (BR) derived from an
arbitrary number of incident beams. The incident beams represent a diffuse light
source. We applied our method to four different snow samples: Fresh snow,
metamorphosed snow, depth hoar, and wet snow. The results show that (i) the
calculated and measured reflectances agree well and (ii) the model produces
different biconical reflectances for different snow types. The ratio of the
structure to the wavelength is large. We estimated that the size parameter is
larger than 50 in all cases we analyzed. Specific surface area of the snow
samples explains most of the difference in radiance, but not the different
biconical reflectance distributions. The presented method overcomes the
limitations of common radiative transfer models which use idealized grain shapes
such as spheres, plates, needles and hexagonal particles. With this method we
could improve our understanding for changes in biconical reflectance distribution
associated with changes in specific surface area.
PMID- 27879891
TI - Measurement of Mechanical Properties of Cantilever Shaped Materials.
AB - Microcantilevers were first introduced as imaging probes in Atomic Force
Microscopy (AFM) due to their extremely high sensitivity in measuring surface
forces. The versatility of these probes, however, allows the sensing and
measurement of a host of mechanical properties of various materials. Sensor
parameters such as resonance frequency, quality factor, amplitude of vibration
and bending due to a differential stress can all be simultaneously determined for
a cantilever. When measuring the mechanical properties of materials, identifying
and discerning the most influential parameters responsible for the observed
changes in the cantilever response are important. We will, therefore, discuss the
effects of various force fields such as those induced by mass loading, residual
stress, internal friction of the material, and other changes in the mechanical
properties of the microcantilevers. Methods to measure variations in temperature,
pressure, or molecular adsorption of water molecules are also discussed. Often
these effects occur simultaneously, increasing the number of parameters that need
to be concurrently measured to ensure the reliability of the sensors. We
therefore systematically investigate the geometric and environmental effects on
cantilever measurements including the chemical nature of the underlying
interactions. To address the geometric effects we have considered cantilevers
with a rectangular or circular cross section. The chemical nature is addressed by
using cantilevers fabricated with metals and/or dielectrics. Selective chemical
etching, swelling or changes in Young's modulus of the surface were investigated
by means of polymeric and inorganic coatings. Finally to address the effect of
the environment in which the cantilever operates, the Knudsen number was
determined to characterize the molecule-cantilever collisions. Also bimaterial
cantilevers with high thermal sensitivity were used to discern the effect of
temperature variations. When appropriate, we use continuum mechanics, which is
justified according to the ratio between the cantilever thickness and the grain
size of the materials. We will also address other potential applications such as
the ageing process of nuclear materials, building materials, and optical fibers,
which can be investigated by monitoring their mechanical changes with time. In
summary, by virtue of the dynamic response of a miniaturized cantilever shaped
material, we present useful measurements of the associated elastic properties.
PMID- 27879892
TI - Remote Sensing and Wetland Ecology: a South African Case Study.
AB - Remote sensing offers a cost efficient means for identifying and monitoring
wetlands over a large area and at different moments in time. In this study, we
aim at providing ecologically relevant information on characteristics of
temporary and permanent isolated open water wetlands, obtained by standard
techniques and relatively cheap imagery. The number, surface area, nearest
distance, and dynamics of isolated temporary and permanent wetlands were
determined for the Western Cape, South Africa. Open water bodies (wetlands) were
mapped from seven Landsat images (acquired during 1987 - 2002) using supervised
maximum likelihood classification. The number of wetlands fluctuated over time.
Most wetlands were detected in the winter of 2000 and 2002, probably related to
road constructions. Imagery acquired in summer contained fewer wetlands than in
winter. Most wetlands identified from Landsat images were smaller than one
hectare. The average distance to the nearest wetland was larger in summer. In
comparison to temporary wetlands, fewer, but larger permanent wetlands were
detected. In addition, classification of non-vegetated wetlands on an Envisat
ASAR radar image (acquired in June 2005) was evaluated. The number of detected
small wetlands was lower for radar imagery than optical imagery (acquired in June
2002), probably because of deterioration of the spatial information content due
the extensive pre-processing requirements of the radar image. Both optical and
radar classifications allow to assess wetland characteristics that potentially
influence plant and animal metacommunity structure. Envisat imagery, however, was
less suitable than Landsat imagery for the extraction of detailed ecological
information, as only large wetlands can be detected. This study has indicated
that ecologically relevant data can be generated for the larger wetlands through
relatively cheap imagery and standard techniques, despite the relatively low
resolution of Landsat and Envisat imagery. For the characterisation of very small
wetlands, high spatial resolution optical or radar images are needed. This study
exemplifies the benefits of integrating remote sensing and ecology and hence
stimulates interdisciplinary research of isolated wetlands.
PMID- 27879893
TI - Assessment of Unmanned Aerial Vehicles Imagery for Quantitative Monitoring of
Wheat Crop in Small Plots.
AB - This paper outlines how light Unmanned Aerial Vehicles (UAV) can be used in
remote sensing for precision farming. It focuses on the combination of simple
digital photographic cameras with spectral filters, designed to provide
multispectral images in the visible and near-infrared domains. In 2005, these
instruments were fitted to powered glider and parachute, and flown at six dates
staggered over the crop season. We monitored ten varieties of wheat, grown in
trial micro-plots in the South-West of France. For each date, we acquired
multiple views in four spectral bands corresponding to blue, green, red, and near
infrared. We then performed accurate corrections of image vignetting, geometric
distortions, and radiometric bidirectional effects. Afterwards, we derived for
each experimental micro-plot several vegetation indexes relevant for vegetation
analyses. Finally, we sought relationships between these indexes and field
measured biophysical parameters, both generic and date-specific. Therefore, we
established a robust and stable generic relationship between, in one hand, leaf
area index and NDVI and, in the other hand, nitrogen uptake and GNDVI. Due to a
high amount of noise in the data, it was not possible to obtain a more accurate
model for each date independently. A validation protocol showed that we could
expect a precision level of 15% in the biophysical parameters estimation while
using these relationships.
PMID- 27879894
TI - Estimation of Actual Evapotranspiration by Remote Sensing: Application in
Thessaly Plain, Greece.
AB - Remote sensing can assist in improving the estimation of the geographical
distribution of evapotranspiration, and consequently water demand in large
cultivated areas for irrigation purposes and sustainable water resources
management. In the direction of these objectives, the daily actual
evapotranspiration was calculated in this study during the summer season of 2001
over the Thessaly plain in Greece, a wide irrigated area of great agricultural
importance. Three different methods were adapted and applied: the remotesensing
methods by Granger (2000) and Carlson and Buffum (1989) that use satellite data
in conjunction with ground meteorological measurements and an adapted FAO (Food
and Agriculture Organisation) Penman-Monteith method (Allen at al. 1998), which
was selected to be the reference method. The satellite data were used in
conjunction with ground data collected on the three closest meteorological
stations. All three methods, exploit visible channels 1 and 2 and infrared
channels 4 and 5 of NOAA-AVHRR (National Oceanic and Atmospheric Administration -
Advanced Very High Resolution Radiometer) sensor images to calculate albedo and
NDVI (Normalised Difference Vegetation Index), as well as surface temperatures.
The FAO Penman-Monteith and the Granger method have used exclusively NOAA-15
satellite images to obtain mean surface temperatures. For the Carlson-Buffum
method a combination of NOAA-14 and NuOmicronAlphaAlpha-15 satellite images was
used, since the average rate of surface temperature rise during the morning was
required. The resulting estimations show that both the Carlson-Buffum and Granger
methods follow in general the variations of the reference FAO Penman-Monteith
method. Both methods have potential for estimating the spatial distribution of
evapotranspiration, whereby the degree of the relative agreement with the
reference FAO Penman-Monteith method depends on the crop growth stage. In
particular, the Carlson- Buffum method performed better during the first half of
the crop development stage, while the Granger method performed better during the
remaining of the development stage and the entire maturing stage. The parameter
that influences the estimations significantly is the wind speed whose high values
result in high underestimates of evapotranspiration. Thus, it should be studied
further in future.
PMID- 27879895
TI - Air Pollution Monitoring and Mining Based on Sensor Grid in London.
AB - In this paper, we present a distributed infrastructure based on wireless sensors
network and Grid computing technology for air pollution monitoring and mining,
which aims to develop low-cost and ubiquitous sensor networks to collect real
time, large scale and comprehensive environmental data from road traffic
emissions for air pollution monitoring in urban environment. The main informatics
challenges in respect to constructing the high-throughput sensor Grid are
discussed in this paper. We present a twolayer network framework, a P2P e-Science
Grid architecture, and the distributed data mining algorithm as the solutions to
address the challenges. We simulated the system in TinyOS to examine the
operation of each sensor as well as the networking performance. We also present
the distributed data mining result to examine the effectiveness of the algorithm.
PMID- 27879896
TI - DNA Extraction Systematics for Spectroscopic Studies.
AB - Study of genetic material allows the comprehension the origin of the many
biochemical changes that follow diseases, like cancer, promoting the development
of early preventive inquiry and more efficient individual treatments. Raman
spectroscopy can be an important tool in DNA study, since it allows probe
molecular vibrations of genetic material in a fast way. The present work
established a systematic way for extract DNA in suitable concentrations and
structural integrity allowing studies by Raman spectroscopy or other
spectroscopic technique, including bio-analytical sensors for probing genetic
alterations.
PMID- 27879897
TI - Free and Forced Rossby Waves in the Western South China Sea Inferred from Jason-1
Satellite Altimetry Data.
AB - Data from a subsurface mooring deployed in the western South China Sea shows
clear intra-seasonal oscillations (ISO) at the period of 40~70 days. Analysis of
remotelysensed sea surface height (SSH) anomalies in the same area indicates that
these ISO signals propagate both eastward and westward. Time-longitude diagrams
of ISO signals in SSH anomalies and wind-stress curl indicate that the eastward
propagating SSH anomalies is forced by wind-stress curl. This is also confirmed
by lag correlation between SSH anomalies and the wind-stress-curl index (wind
stress curl averaged over 109.5oE -115oE and 12oN -13.5oN). Lag correlation of
SSH anomaly suggests that the westward propagating signals are free Rossby waves.
PMID- 27879899
TI - An Investigation on Micro-Raman Spectra and Wavelet Data Analysis for Pemphigus
Vulgaris Follow-up Monitoring.
AB - A wavelet multi-component decomposition algorithm has been used for data analysis
of micro-Raman spectra of blood serum samples from patients affected by pemphigus
vulgaris at different stages. Pemphigus is a chronic, autoimmune, blistering
disease of the skin and mucous membranes with a potentially fatal outcome.
Spectra were measured by means of a Raman confocal microspectrometer apparatus
using the 632.8 nm line of a He-Ne laser source. A discrete wavelet transform
decomposition method has been applied to the recorded Raman spectra in order to
overcome problems related to low-level signals and the presence of noise and
background components due to light scattering and fluorescence. This numerical
data treatment can automatically extract quantitative information from the Raman
spectra and makes more reliable the data comparison. Even if an exhaustive
investigation has not been done in this work, the feasibility of the follow-up
monitoring of pemphigus vulgaris pathology has been clearly proved with useful
implications for the clinical applications.
PMID- 27879900
TI - Disposable E-Tongue for the Assessment of Water Quality in Fish Tanks.
AB - A disposable screen-printed e-tongue based on sensor array and pattern
recognition that is suitable for the assessment of water quality in fish tanks is
described. The characteristics of sensors fabricated using two kinds of sensing
materials, namely (i) lipids (referred to as Type 1), and (ii) alternative
electroactive materials comprising liquid ion-exchangers and macrocyclic
compounds (Type 2) were evaluated for their performance stability, sensitivity
and reproducibility. The Type 2 e-tongue was found to have better sensing
performance in terms of sensitivity and reproducibility and was thus used for
application studies. By using a pattern recognition tool i.e. principal component
analysis (PCA), the e-tongue was able to discriminate the changes in the water
quality in tilapia and catfish tanks monitored over eight days. E-tongues coupled
with partial least squares (PLS) was used for the quantitative analysis of
nitrate and ammonium ions in catfish tank water and good agreement were found
with the ion-chromatography method (relative error, +/-1.04- 4.10 %).
PMID- 27879898
TI - Using Electromyography to Detect the Weightings of the Local Muscle Factors to
the Increase of Perceived Exertion During Stepping Exercise.
AB - Rate of perceived exertion (RPE) is a clinically convenient indicator for
monitoring exercise intensity in cardiopulmonary rehabilitation. It might not be
sensitive enough for clinicians to determine the patients' physiological status
because its association with the cardiovascular system and local muscle factors
is unknown. This study used the electromyographic sensor to detect the local
muscle fatigue and stabilization of patella, and analyzed the relationship
between various local muscle and cardiovascular factors and the increase of RPE
during stepping exercise, a common exercise program provided in cardiopulmonary
rehabilitation. Ten healthy adults (4 males and 6 females) participated in this
study. Each subject used their right bare foot to step up onto a 23-cm-high step
at a constant speed until the RPE score reached 20. The RPE, heart rate (HR), and
surface EMG of the rectus femoris (RF), vastus medialis, and vastus lateralis
were recorded at 1-minute intervals during the stepping exercise. The generalized
estimating equations (GEE) analysis indicated that the increase in RPE
significantly correlated with the increase in HR, and decrease in median
frequency (MF) of the EMG power spectrum of the RF. Experimental results suggest
that the increase in RPE during stepping exercise was influenced by the
cardiovascular status, localized muscle fatigue in the lower extremities. The
weighting of the local muscle factors was more than half of the weighting of the
cardiovascular factor.
PMID- 27879901
TI - Flow-Injection Coulometric Detection Based on Ion Transfer and Its Application to
the Determination of Chlorpromazine.
AB - A flow-injection coulometric method for the determination of chlorpromazine based
on ion transfer into a plasticized poly(vinyl chloride) (PVC) membrane, was
developed. The detector used consists of a flow-through cell that incorporates a
plasticized poly(vinyl chloride) (PVC) membrane which contains tetrabutylammonium
tetraphenylborate as electrolyte. The membrane is located between the flowing
solution and an inner aqueous electrolyte solution. Two pairs of electrodes, each
pair formed by a reference electrode and a working electrode, are used, one pair
in each solution. The potential between the reference electrodes was controlled
by a four-electrode potentiostat with ohmic drop compensation. A potential step
capable of producing the transfer of the chlorpromazine ion into the membrane was
applied during the passage of a wide portion of sample plug through the cell and
the corresponding quantity of the electricity was measured. In the selected
conditions, a linear relationship was observed between the quantity of
electricity and chlorpromazine concentrations over a range of 1x10-6 -1x10-4 M.
The detection limit was 2 x 10-7 M. Good repeatability and between-day
reproducibility was obtained. No interference was observed on the part of some
common ions and pharmaceutical excipients. The method proposed was applied
satisfactorily to the determination of chlorpromazine in pharmaceuticals and
human urine.
PMID- 27879902
TI - Fluorescent Indolizine-b-Cyclodextrin Derivatives for the Detection of Volatile
Organic Compounds.
AB - This paper presents the synthesis, the structural determination and the sensing
capabilities toward Volatile Organic Compounds (VOCs) of a new class of
fluorescent indolizine-cyclodextrin sensors. Two different pathways, both
involving bipyridinium ylides and 6-amino-b-cyclodextrin, have been used to carry
out the synthesis of these sensors. The macrocycle structures were dominantly
established by 1H-NMR spectra and systematically studied by molecular modelling
(MM3, AM1, AM1-COSMO methods). The sensing capabilities of the sensors were
evaluated by emission of fluorescence, during the inclusion of the guest
(adamantanol or aromatic derivatives) into the cyclodextrin (CD) host cavity. The
host/guest complex formation was investigated by formation constant
determinations, using experimental methods, coupled with theoretical calculations
of formation energies using a specific docking procedure. Both experimental and
theoretical results suggest that some compounds would make very attractive
sensors for VOC detection. Some compounds could also be taken into consideration
as biological markers.
PMID- 27879903
TI - Dynamic Characteristics of Vertically Coupled Structures and the Design of a
Decoupled Micro Gyroscope.
AB - In a vertical type, vibratory gyroscope, the coupled motion between reference
(driving) and sensing vibrations causes the zero-point output, which is the
unwanted sensing vibration without angular velocity. This structural coupling
leads to an inherent discrepancy between the natural frequencies of the reference
and the sensing oscillations, causing curve veering in frequency loci. The
coupled motion deteriorates sensing performance and dynamic stability. In this
paper, the dynamic characteristics associated with the coupling phenomenon are
theoretically analyzed. The effects of reference frequency and coupling factor on
the rotational direction and amplitude of elliptic oscillation are determined.
Based on the analytical studies on the coupling effects, we propose and fabricate
a vertically decoupled vibratory gyroscope with the frequency matching.
PMID- 27879904
TI - Sensor for Measuring Strain in Textile.
AB - In this paper a stain sensor to measure large strain (80%) in textiles is
presented. It consists of a mixture of 50wt-% thermoplastic elastomer (TPE) and
50wt-% carbon black particles and is fiber-shaped with a diameter of 0.315mm. The
attachment of the sensor to the textile is realized using a silicone film. This
sensor configuration was characterized using a strain tester and measuring the
resistance (extension-retraction cycles): It showed a linear resistance response
to strain, a small hysteresis, no ageing effects and a small dependance on the
strain velocity. The total mean error caused by all these effects was +/-5.5% in
strain. Washing several times in a conventional washing machine did not influence
the sensor properties. The paper finishes by showing an example application where
21 strain sensors were integrated into a catsuit. With this garment, 27 upper
body postures could be recognized with an accuracy of 97%.
PMID- 27879905
TI - A Spatial-Spectral Approach for Visualization of Vegetation Stress Resulting from
Pipeline Leakage.
AB - Hydrocarbon leakage into the environment has large economic and environmental
impact. Traditional methods for investigating seepages and their resulting
pollution, such as drilling, are destructive, time consuming and expensive.
Remote sensing is an efficient tool that offers a non-destructive investigation
method. Optical remote sensing has been extensively tested for exploration of
onshore hydrocarbon reservoirs and detection of hydrocarbons at the Earth's
surface. In this research, we investigate indirect manifestations of pipeline
leakage by way of visualizing vegetation anomalies in airborne hyperspectral
imagery. Agricultural land-use causes a heterogeneous landcover; variation in red
edge position between fields was much larger than infield red edge position
variation that could be related to hydrocarbon pollution. A moving and growing
kernel procedure was developed to normalzie red edge values relative to values of
neighbouring pixels to enhance pollution related anomalies in the image.
Comparison of the spatial distribution of anomalies with geochemical data
obtained by drilling showed that 8 out of 10 polluted sites were predicted
correctly while 2 out of 30 sites that were predicted clean were actually
polluted.
PMID- 27879906
TI - Comparative Analysis of EO-1 ALI and Hyperion, and Landsat ETM+ Data for Mapping
Forest Crown Closure and Leaf Area Index.
AB - In this study, a comparative analysis of capabilities of three sensors for
mapping forest crown closure (CC) and leaf area index (LAI) was conducted. The
three sensors are Hyperspectral Imager (Hyperion) and Advanced Land Imager (ALI)
onboard EO-1 satellite and Landsat-7 Enhanced Thematic Mapper Plus (ETM+). A
total of 38 mixed coniferous forest CC and 38 LAI measurements were collected at
Blodgett Forest Research Station, University of California at Berkeley, USA. The
analysis method consists of (1) extracting spectral vegetation indices (VIs),
spectral texture information and maximum noise fractions (MNFs), (2) establishing
multivariate prediction models, (3) predicting and mapping pixel-based CC and LAI
values, and (4) validating the mapped CC and LAI results with field validated
photo-interpreted CC and LAI values. The experimental results indicate that the
Hyperion data are the most effective for mapping forest CC and LAI (CC mapped
accuracy (MA) = 76.0%, LAI MA = 74.7%), followed by ALI data (CC MA = 74.5%, LAI
MA = 70.7%), with ETM+ data results being least effective (CC MA = 71.1%, LAI MA
= 63.4%). This analysis demonstrates that the Hyperion sensor outperforms the
other two sensors: ALI and ETM+. This is because of its high spectral resolution
with rich subtle spectral information, of its short-wave infrared data for
constructing optimal VIs that are slightly affected by the atmosphere, and of its
more available MNFs than the other two sensors to be selected for establishing
prediction models. Compared to ETM+ data, ALI data are better for mapping forest
CC and LAI due to ALI data with more bands and higher signal-to-noise ratios than
those of ETM+ data.
PMID- 27879907
TI - Impact of Spatial LAI Heterogeneity on Estimate of Directional Gap Fraction from
SPOT-Satellite Data.
AB - Directional gap probability or gap fraction is a basic parameter in the optical
remote sensing modeling. Although some approaches have been proposed to estimate
this gap probability from remotely sensed measurements, few efforts have been
made to investigate the scaling effects of this parameter. This paper analyzes
the scaling effect through aggregating the high-resolution directional gap
probability (pixel size of 20 meters) estimated from leaf area index (LAI) images
of VALERI database by means of Beer's law and introduces an extension of clumping
index, C, to compensate the scaling bias. The results show that the scaling
effect depends on both the surface heterogeneity and the nonlinearity degree of
the retrieved function. Analytical expressions for the scaling bias of gap
probability and C are established in function of the variance of LAI and the mean
value of LAI in a coarse pixel. With the VALERI dataset, the study in this paper
shows that relative scaling bias of gap probability increases with decreasing
spatial resolution for most of land cover types. Large relative biases are found
for most of crops sites and a mixed forest site due to their relative large
variance of LAI, while very small biases occur over grassland and shrubs sites.
As for C, it varies slowly in the pure forest, grassland and shrubs sites, while
more significantly in crops and mixed forest.
PMID- 27879908
TI - The Effect of Sea Surface Slicks on the Doppler Spectrum Width of a Backscattered
Microwave Signal.
AB - The influence of a surface-active substance (SAS) film on the Doppler spectrum
width at small incidence angles is theoretically investigated for the first time
for microwave radars with narrow-beam and knife-beam antenna patterns. It is
shown that the requirements specified for the antenna system depend on the radar
motion velocity. A narrow-beam antenna pattern should be used to detect slicks by
an immobile radar, whereas radar with a knife-beam antenna pattern is needed for
diagnostics from a moving platform. The study has revealed that the slick
contrast in the Doppler spectrum width increases as the radar wavelength
diminishes, thus it is preferable to utilize wavelengths not larger than 2 cm for
solving diagnostic problems. The contrast in the Doppler spectrum width is
generally weaker than that in the radar backscattering cross section; however,
spatial and temporal fluctuations of the Doppler spectrum width are much weaker
than those of the reflected signal power. This enables one to consider the
Doppler spectrum as a promising indicator of slicks on water surface.
PMID- 27879910
TI - An Assessment of the Altimetric Information Derived from Spaceborne SAR (RADARSAT
1, SRTM3) and Optical (ASTER) Data for Cartographic Application in the Amazon
Region.
AB - Difficulties in acquiring a complete aerial photography coverage on a regular
basis in the Brazilian Amazon due to adverse environmental conditions affect the
quality of the national topographic database. As a consequence, topographic
information is still poor, and when available needs to be up-dated or re-mapped.
In this research, altimetric information derived from RADARSAT-1 (Fine and
Standard modes), SRTM3 (3 arcseconds) and ASTER (band 3N-3B) was evaluated for
topographic mapping in two sites located in the region: Serra dos Carajas
(mountainous relief) and Tapajos National Forest (flat terrain). The quality of
the information produced from Digital Elevation Models (DEMs) was evaluated
regarding field altimetric measurements. Precise topographic field information
acquired from Differential Global Positioning System (DGPS) was used as Ground
Control Points (GCPs) for the modeling of the stereoscopic DEMs (RADARSAT- 1,
ASTER) and as Independent Check Points (ICPs) for the calculation of accuracies
of the products. The accuracies were estimated by comparison of the DEMs values
and real elevation values given by ICPs. The analysis was performed following two
approaches: (1) the use of Root Mean Square Error (RMSE) for the overall
classification of the DEMs considering the Brazilian Map Accuracy Standards (PEC)
limits and, (2) calculations of trend analysis and accuracy based on a
methodology that takes into account computed discrepancies and standard
deviations. The investigation has shown that for flat relief, the altimetric
accuracy of SRTM3 and Fine RADARSAT-1 DEMs fulfilled the PEC requirements for
1:100,000 A Class Map. However, for mountainous terrain, only the altimetry of
SRTM3 and ASTER fulfilled these requirements. In addition, the performance of
ASTER was slightly superior to SRTM3. However it is important to consider the
difficulties in the acquisition of good stereo-pairs with optical data in the
Amazon and the additional cost (GCPs) to produce ASTER DEMs. Despite showing
systematic errors, the findings justify the usage of SRTM3 as a primary elevation
source for semi-detailed topographic mapping in the region. It is suggested a
combination of altimetry derived for SRTM3 and planimetry extracted from high
resolution SAR (ALOS/PALSAR, TerraSARX, RADARSAT-2) or if available optical data
for semi-detailed topographic mapping programs in the Brazilian Amazon, where
terrain information is seldom available or presents low quality.
PMID- 27879909
TI - Validation and Variation of Upper Layer Thickness in South China Sea from
Satellite Altimeter Data.
AB - Satellite altimeter data from 1993 to 2005 has been used to analyze the seasonal
variation and the interannual variability of upper layer thickness (ULT) in the
South China Sea (SCS). Base on in-situ measurements, the ULT is defined as the
thickness from the sea surface to the depth of 16 degrees C isotherm which is
used to validate the result derived from satellite altimeter data. In comparison
with altimeter and in-situ derived ULTs yields a correlation coefficient of 0.92
with a slope of 0.95 and an intercept of 6 m. The basin averaged ULT derived from
altimeter is 160 m in winter and 171 m in summer which is similar to the in-situ
measurements of 159 m in winter and 175 m in summer. Both results also show
similar spatial patterns. It suggests that the sea surface height data derived
from satellite sensors are usable for study the variation of ULT in the semi
closed SCS. Furthermore, we also use satellite derived ULT to detect the
development of eddy. Interannual variability of two meso-scale cyclonic eddies
and one anticyclonic eddy are strongly influenced by El Nino events. In most
cases, there are highly positive correlations between ULT and sea surface
temperature except the periods of El Nino. During the onset of El Nino event, ULT
is deeper when sea surface temperature is lower.
PMID- 27879911
TI - Spatio-Temporal Modelling of Dust Transport over Surface Mining Areas and
Neighbouring Residential Zones.
AB - Projects focusing on spatio-temporal modelling of the living environment need to
manage a wide range of terrain measurements, existing spatial data, time series,
results of spatial analysis and inputs/outputs from numerical simulations. Thus,
GISs are often used to manage data from remote sensors, to provide advanced
spatial analysis and to integrate numerical models. In order to demonstrate the
integration of spatial data, time series and methods in the framework of the GIS,
we present a case study focused on the modelling of dust transport over a surface
coal mining area, exploring spatial data from 3D laser scanners, GPS
measurements, aerial images, time series of meteorological observations,
inputs/outputs form numerical models and existing geographic resources. To
achieve this, digital terrain models, layers including GPS thematic mapping, and
scenes with simulation of wind flows are created to visualize and interpret coal
dust transport over the mine area and a neighbouring residential zone. A
temporary coal storage and sorting site, located near the residential zone, is
one of the dominant sources of emissions. Using numerical simulations, the
possible effects of wind flows are observed over the surface, modified by natural
objects and man-made obstacles. The coal dust drifts with the wind in the
direction of the residential zone and is partially deposited in this area. The
simultaneous display of the digital map layers together with the location of the
dominant emission source, wind flows and protected areas enables a risk
assessment of the dust deposition in the area of interest to be performed. In
order to obtain a more accurate simulation of wind flows over the temporary
storage and sorting site, 3D laser scanning and GPS thematic mapping are used to
create a more detailed digital terrain model. Thus, visualization of wind flows
over the area of interest combined with 3D map layers enables the exploration of
the processes of coal dust deposition at a local scale. In general, this project
could be used as a template for dust-transport modelling which couples spatial
data focused on the construction of digital terrain models and thematic mapping
with data generated by numerical simulations based on Reynolds averaged Navier
Stokes equations.
PMID- 27879912
TI - Fabrication and Characterization of Silicon Micro-Funnels and Tapered Micro
Channels for Stochastic Sensing Applications.
AB - We present a simplified, highly reproducible process to fabricate arrays of
tapered silicon micro-funnels and micro-channels using a single lithographic step
with a silicon oxide (SiO2) hard mask on at a wafer scale. Two approaches were
used for the fabrication. The first one involves a single wet anisotropic etch
step in concentrated potassium hydroxide (KOH) and the second one is a combined
approach comprising Deep Reactive Ion Etch (DRIE) followed by wet anisotropic
etching. The etching is performed through a 500 mm thick silicon wafer, and the
resulting structures are characterized by sharp tapered ends with a sub-micron
cross-sectional area at the tip. We discuss the influence of various parameters
involved in the fabrication such as the size and thickness variability of the
substrate, dry and wet anisotropic etching conditions, the etchant composition,
temperature, diffusion and micro-masking effects, the quality of the hard mask in
the uniformity and reproducibility of the structures, and the importance of a
complete removal of debris and precipitates. The presence of apertures at the tip
of the structures is corroborated through current voltage measurements and by the
translocation of DNA through the apertures. The relevance of the results obtained
in this report is discussed in terms of the potential use of these structures for
stochastic sensing.
PMID- 27879913
TI - Computational Modeling of the Electrochemical System of Lipase Activity
Detection.
AB - This paper presents computational modeling of response kinetics of
bioelectroanalytical system based on solid supported lipase substrate and lipase
interaction. The model assumes that lipase substrate is formed by dripping and
drying a small amount of the ethanol solution of 9-(5'
ferrocenylpentanoyloxy)nonyl disulfide (FPONDS) and that lipase is capable of
cleaving FPONDS ester bonds via hydrolysis mechanism. Two mathematical models
have been developed and evaluated trough computational simulation series by
comparing them to experimental data. The results of simulation demonstrate that a
good fitting might be obtained only taking into account non-linear substrate wash
off process.
PMID- 27879914
TI - Comparing Different Approaches for Mapping Urban Vegetation Cover from Landsat
ETM+ Data: A Case Study on Brussels.
AB - Urban growth and its related environmental problems call for sustainable urban
management policies to safeguard the quality of urban environments. Vegetation
plays an important part in this as it provides ecological, social, health and
economic benefits to a city's inhabitants. Remotely sensed data are of great
value to monitor urban green and despite the clear advantages of contemporary
high resolution images, the benefits of medium resolution data should not be
discarded. The objective of this research was to estimate fractional vegetation
cover from a Landsat ETM+ image with sub-pixel classification, and to compare
accuracies obtained with multiple stepwise regression analysis, linear spectral
unmixing and multi-layer perceptrons (MLP) at the level of meaningful urban
spatial entities. Despite the small, but nevertheless statistically significant
differences at pixel level between the alternative approaches, the spatial
pattern of vegetation cover and estimation errors is clearly distinctive at
neighbourhood level. At this spatially aggregated level, a simple regression
model appears to attain sufficient accuracy. For mapping at a spatially more
detailed level, the MLP seems to be the most appropriate choice. Brightness
normalisation only appeared to affect the linear models, especially the linear
spectral unmixing.
PMID- 27879915
TI - Connecting Hazard Analysts and Risk Managers to Sensor Information.
AB - Hazard analysts and risk managers of natural perils, such as earthquakes,
landslides and floods, need to access information from sensor networks surveying
their regions of interest. However, currently information about these networks is
difficult to obtain and is available in varying formats, thereby restricting
accesses and consequently possibly leading to decision-making based on limited
information. As a response to this issue, state-of-the-art interoperable
catalogues are being currently developed within the framework of the Group on
Earth Observations (GEO) workplan. This article provides an overview of the
prototype catalogue that was developed to improve access to information about the
sensor networks surveying geological hazards (geohazards), such as earthquakes,
landslides and volcanoes.
PMID- 27879916
TI - A Lidar Point Cloud Based Procedure for Vertical Canopy Structure Analysis And 3D
Single Tree Modelling in Forest.
AB - A procedure for both vertical canopy structure analysis and 3D single tree
modelling based on Lidar point cloud is presented in this paper. The whole area
of research is segmented into small study cells by a raster net. For each cell, a
normalized point cloud whose point heights represent the absolute heights of the
ground objects is generated from the original Lidar raw point cloud. The main
tree canopy layers and the height ranges of the layers are detected according to
a statistical analysis of the height distribution probability of the normalized
raw points. For the 3D modelling of individual trees, individual trees are
detected and delineated not only from the top canopy layer but also from the sub
canopy layer. The normalized points are resampled into a local voxel space. A
series of horizontal 2D projection images at the different height levels are then
generated respect to the voxel space. Tree crown regions are detected from the
projection images. Individual trees are then extracted by means of a pre-order
forest traversal process through all the tree crown regions at the different
height levels. Finally, 3D tree crown models of the extracted individual trees
are reconstructed. With further analyses on the 3D models of individual tree
crowns, important parameters such as crown height range, crown volume and crown
contours at the different height levels can be derived.
PMID- 27879917
TI - Simultaneous Chronoamperometric Sensing of Ascorbic Acid and Acetaminophen at a
Boron-Doped Diamond Electrode.
AB - Cyclic voltammetry (CV) and chronoamperometry (CA) have been used to sense and
determine simultaneously L-ascorbic acid (AA) and acetaminophen (AC) at a boron
doped diamond electrode (BDDE) in a Britton-Robinson buffer solution. The
calibration plots of anodic current peak versus concentration obtained from CV
and CA data for both investigated compounds in single and di-component solutions
over the concentration range 0.01 mM - 0.1 mM proved to be linear, with very good
correlation parameters. Sensitivity values and RSD of 2-3% were obtained for
various situations, involving both individual and simultaneous presence of AA and
AC. The chronoamperometric technique associated with standard addition in
sequential one step and/or two successive and continuous chronoamperograms at two
characteristic potential levels represented a feasible option for the
simultaneous determination of AA and AC in real sample systems such as
pharmaceutical formulations. The average values indicated by the supplier were
confirmed to a very close approximation from chronoamperomgrams by using several
additions with the application of suitable current correction factors.
PMID- 27879918
TI - Spatio-Temporal Analysis of Forest Fire Risk and Danger Using LANDSAT Imagery.
AB - Computing fire danger and fire risk on a spatio-temporal scale is of crucial
importance in fire management planning, and in the simulation of fire growth and
development across a landscape. However, due to the complex nature of forests,
fire risk and danger potential maps are considered one of the most difficult
thematic layers to build up. Remote sensing and digital terrain data have been
introduced for efficient discrete classification of fire risk and fire danger
potential. In this study, two time-series data of Landsat imagery were used for
determining spatio-temporal change of fire risk and danger potential in Korudag
forest planning unit in northwestern Turkey. The method comprised the following
two steps: (1) creation of indices of the factors influencing fire risk and
danger; (2) evaluation of spatio-temporal changes in fire risk and danger of
given areas using remote sensing as a quick and inexpensive means and determining
the pace of forest cover change. Fire risk and danger potential indices were
based on species composition, stand crown closure, stand development stage,
insolation, slope and, proximity of agricultural lands to forest and distance
from settlement areas. Using the indices generated, fire risk and danger maps
were produced for the years 1987 and 2000. Spatio-temporal analyses were then
realized based on the maps produced. Results obtained from the study showed that
the use of Landsat imagery provided a valuable characterization and mapping of
vegetation structure and type with overall classification accuracy higher than
83%.
PMID- 27879919
TI - Detecting Aquatic Vegetation Changes in Taihu Lake, China Using Multi-temporal
Satellite Imagery.
AB - We have measured the water quality and bio-optical parameters of 94 samples from
Taihu Lake in situ and/or in the lab between June 10-18, 2007. A
transparencyassisted decision tree was developed to more accurately divide the
aquatic vegetation zone into a floating vegetation-dominated zone and a submerged
vegetation-dominated zone, whose respective present biomass retrieval models were
easily developed with an empirical approach because of the quasi-concurrence of
ground field investigations with the satellite sensor flight over the lake. The
significant quantitative relationships between the vegetation index NDVI
(Normalized Difference Vegetation Index) of different images at different times
were used to help develop the past biomass retrieval model on the basis of the
present developed model. In Taihu Lake, the total covering area of aquatic
vegetations decreased from 454.6 km2 in 2001 to 364.1 km2 in 2007.
Correspondingly, the total biomass decreased from 489,000 tons in 2001 to 406,000
tons in 2007, suggesting that a great change in the ecological environment has
been taking place in Taihu Lake over this period.
PMID- 27879920
TI - Chemical Characterization of Dew Water Collected in Different Geographic Regions
of Poland.
AB - The results of a dew monitoring program performed in Poland with the aim to
outline the chemical composition of dew water in meteorological context are
presented. Dew samples were collected from eight measurement stations from August
2004 to November 2006. Taking into account the type of land use and
characteristics of pollutant emission, sampling sites were divided into the
following categories: rural, coastal urban and inland urban stations. Selected
anions and cations as well as formaldehyde and sum of phenols were determined.
The average TIC (Total Inorganic Ionic Content) values in dew samples ranged from
0.83 to 3.93 between individual stations with 10.9 meq/L as the highest daily
value of TIC measured. The average TIC values observed in dew at all stations
were at a similar level (2.46 meq/L) when compared with hoarfrost (2.86 meq/L).
However, these values were much higher in comparison with other kinds of
atmospheric water like precipitation (wet only; 0.37 meq/L) or fog/cloud (1.01
meq/L). The pH values of dew water ranged from 5.22 to 7.35 for urban coastal
stations, from 5.67 to 8.02 for urban inland stations and from 4.16 to 8.76 for
dew samples collected in the rural area. HCHO was found in 97 % of dew samples,
with concentrations ranging from 0.010 to 5.40 meq/L. Excluding stations near the
seashore, where the contribution of Na+ and Cl- increased, the most important
ions were sulphates. A very low contribution of NO3- and noticeable increase of
Ca2+ which were not observed in the case of precipitation and fog water, were
typical in all stations. The contribution of ammonium ion was two times higher at
rural stations as a result of agricultural ammonia emissions. The strongest
correlations were noticed between the sum of acidifying anions SO42- + NO3- and
Ca2+ ion for all urban and rural stations. A very strong correlation was also
observed for Na+ and Cl- ions in urban coastal stations, as a natural consequence
of the location of these stations close to the sea. It was proved that thermal
stratification, direction of circulation and local breeze circulation control the
atmospheric chemistry at ground level, where dew is formed. The highest TIC
values at urban stations were associated with anticyclonic weather, while at
rural sites with cyclonic weather situations. The chemistry of dew water in urban
coastal stations was closely related to local breeze circulation in the warm
season, mainly in the form of diurnal breeze causing a significant increase of
the concentration of Na+ and Cl-ions. Thus, dew can be a good indicator of the
atmospheric pollution level at a given site. Taking into account both high TIC
values and the annual water equivalent estimated at around 50 mm, dew is a
considerable factor of wet deposition, responsible for an additional 60 % of
pollutant input into the ground when compared with precipitation.
PMID- 27879921
TI - Laurate Biosensors Image Brain Neurotransmitters In Vivo: Can an Antihypertensive
Medication Alter Psychostimulant Behavior?
AB - Neuromolecular Imaging (NMI) with novel biosensors enables the selective
detection of neurotransmitters in vivo within seconds, on line and in real time.
Biosensors remain in place for continuing studies over a period of months. This
biotechnological advance is based on conventional electrochemistry; the
biosensors detect neurotransmitters by electron transfer. Simply stated,
biosensors adsorb electrons from each neurotransmitter at specific oxidation
potentials; the current derived from electron transfer is proportional to
neurotransmitter concentration. Selective electron transfer properties of these
biosensors permit the imaging of neurotransmitters, metabolites and precursors.
The novel BRODERICK PROBE(r) biosensors we have developed, differ in formulation
and detection capabilities from biosensors/electrodes used in conventional
electrochemistry/ voltammetry. In these studies, NMI, specifically, the BRODERICK
PROBE(r) laurate biosensor images neurotransmitter signals within mesolimbic
neuronal terminals, nucleus accumbens (NAc); dopamine (DA), serotonin (5-HT),
homovanillic acid (HVA) and Ltryptophan (L-TP) are selectively imaged.
Simultaneously, we use infrared photobeams to monitor open-field movement
behaviors on line with NMI in the same animal subjects. The goals are to
investigate integrated neurochemical and behavioral effects of cocaine and
caffeine alone and co-administered and further, to use ketanserin to decipher
receptor profiles for these psychostimulants, alone and co-administered. The
rationale for selecting this medication is: ketanserin (a) is an antihypertensive
and cocaine and caffeine produce hypertension and (b) acts at 5-HT2A/2C
receptors, prevalent in NAc and implicated in hypertension and cocaine addiction.
Key findings are: (a) the moderate dose of caffeine simultaneously potentiates
cocaine's neurochemical and behavioral responses. (b) ketanserin simultaneously
inhibits cocaine-increased DA and 5-HT release in NAc and open-field behaviors
and (c) ketanserin inhibits 5-HT release in NAc and open-field behaviors produced
by caffeine, but, surprisingly, acts to increase DA release in NAc. Importantly,
the latter effect may be a possible adverse effect of the moderate dose of
caffeine in hypertensive patients. Thus, an antihypertensive medication is shown
here to play a role in inhibiting brain reward possibly via antihypertensive
mechanisms at DA and 5-HT receptor subtypes within DA motor neurons. An
explanatory note for the results obtained, is the role likely played by the G
Protein Receptor Complex (GPRC) family of proteins. Empirical evidence shows that
GPRC dimers, heteromers and heterotrimers may cause cross-talk between distinct
signalling cascade pathways in the actions of cocaine and caffeine. Ligand
directed functional selectivity, particularly for ketanserin, in addition to
GPRCs, may also cause differential responses. The results promise new therapeutic
strategies for drug addiction, brain reward and cardiovascular medicine.
PMID- 27879923
TI - A Determination of Metallothionein in Larvae of Freshwater Midges (Chironomus
riparius) Using Brdicka Reaction.
AB - Among wide spectrum of biomolecules induced by various stress factors low
molecular mass protein called metallothionein (MT) is suitable for assessment of
the heavy metal environmental pollution. The aim of this work was to determine
the metallothionein and total thiols content in larvae of freshwater midges
(Chironomus riparius) sampled from laboratory exposure to cadmium(II) ions and
from field studies using differential pulse voltammetry Brdicka reaction. Unique
electrochemical instrument, stationary electrochemical analyser Autolab coupled
with autosampler, was utilized for the analysis of the samples. The detection
limit for MT was evaluated as 5 nM. The larvae exposed to two doses (50 ng/g or
50 MUg/g) of cadmium(II) ions for fifteen days under laboratory controlled
conditions were at the end of the exposure killed, homogenized and analysed. MT
content in control samples was 1.2 MUM, in larvae exposed to 50 ng Cd/g it was
2.0 MUM and in larvae exposed to 50 MUg Cd/g 2.9 MUM. Moreover at field study
chironomid larvae as well as sediment samples have been collected from eight
field sites with different levels of pollution by heavy. The metals content
(chromium, nickel, copper, zinc, arsenic, molybdenum, cadmium, tin and lead) in
the sediment and or MT content in the chironomid larvae were determined by
inductively coupled plasma mass spectrometry or Brdicka reaction, respectively.
PMID- 27879922
TI - Bacterial Biosensors for Measuring Availability of Environmental Pollutants.
AB - Traditionally, pollution risk assessment is based on the measurement of a
pollutant's total concentration in a sample. The toxicity of a given pollutant in
the environment, however, is tightly linked to its bioavailability, which may
differ significantly from the total amount. Physico-chemical and biological
parameters strongly influence pollutant fate in terms of leaching, sequestration
and biodegradation. Bacterial sensorreporters, which consist of living micro
organisms genetically engineered to produce specific output in response to target
chemicals, offer an interesting alternative to monitoring approaches. Bacterial
sensor-reporters detect bioavailable and/or bioaccessible compound fractions in
samples. Currently, a variety of environmental pollutants can be targeted by
specific biosensor-reporters. Although most of such strains are still confined to
the lab, several recent reports have demonstrated utility of bacterial sensing
reporting in the field, with method detection limits in the nanomolar range. This
review illustrates the general design principles for bacterial sensor-reporters,
presents an overview of the existing biosensor-reporter strains with emphasis on
organic compound detection. A specific focus throughout is on the concepts of
bioavailability and bioaccessibility, and how bacteria-based sensing-reporting
systems can help to improve our basic understanding of the different processes at
work.
PMID- 27879924
TI - Comparison of Mercury Distribution Between Liver and Muscle - A Biomonitoring of
Fish from Lightly and Heavily Contaminated Localities.
AB - Tissue samples from 1,117 fish of 25 species were collected from 1991 through
1996 at 13 locations along the River Elbe. The principal indicator species were
perch (Perca fluviatilis) (n=118), chub (Leuciscus cephalus L.) (n=113) and roach
(Rutilus rutilus) (n=138). Mercury (Hg) concentrations in muscle and liver were
determined by atomic absorption spectrometry. The liver/muscle index in three
indicator species from heavily contaminated and lightly contaminated localities
were significantly different. In fish from heavily contaminated localities, Hg
was deposited preferentially in the liver (the depository for inorganic and
organic forms of Hg), while in lightly contaminated areas, it was deposited
preferentially in muscle.
PMID- 27879925
TI - Development of Amperometric Hydrogen Peroxide Sensor Based on Horseradish
Peroxidase-Immobilized Poly(Thiophene-co-EpoxyThiophene).
AB - A modified electrode for hydrogen peroxide (H2O2) sensing was prepared via
thiophene (Th) with epoxy group. Thiophene (EpoxyTh) with epoxy group was
synthesized by reaction of 3-bromothiophene and glycidyl methacrylate (GMA) in
acetonitrile according to Heck Reaction. The electrocopolymerization of Th and
EpoxyTh was performed on the surface of indium tin oxide (ITO) electrode by
cycling the potential between -1.0 and +2.5 V in mixture of thiophene (Th) and
EpoxyTh. Poly(Th-co- EpoxyTh) grown onto the ITO electrode was successfully
confirmed by SEM, AFM, and water contact angle analysis, respectively. Finally,
the HRP was immobilized on the surface of poly(Th-co-EpoxyTh) electrode by
covalent binding. The amperometric response of the HRP-immobilized poly(Th-co
EpoxyTh) electrode for H2O2 was examined by cyclic voltammetry (CV). The HRP
immobilized poly(Th-co-EpoxyTh) electrode showed linearity from 0.1 to 30 mM
H2O2, good reproducibility, and long life time.
PMID- 27879926
TI - A Methodology to Validate the InSAR Derived Displacement Field of the September
7th, 1999 Athens Earthquake Using Terrestrial Surveying. Improvement of the
Assessed Deformation Field by Interferometric Stacking.
AB - The primary objective of this paper is the evaluation of the InSAR derived
displacement field caused by the 07/09/1999 Athens earthquake, using as reference
an external data source provided by terrestrial surveying along the Mornos river
open aqueduct. To accomplish this, a processing chain to render comparable the
leveling measurements and the interferometric derived measurements has been
developed. The distinct steps proposed include a solution for reducing the
orbital and atmospheric interferometric fringes and an innovative method to
compute the actual InSAR estimated vertical ground subsidence, for direct
comparison with the leveling data. Results indicate that the modeled deformation
derived from a series of stacked interferograms, falls entirely within the
confidence interval assessed for the terrestrial surveying data.
PMID- 27879927
TI - Satellite Assessment of Bio-Optical Properties of Northern Gulf of Mexico Coastal
Waters Following Hurricanes Katrina and Rita.
AB - The impacts of major tropical storms events on coastal waters include sediment
resuspension, intense water column mixing, and increased delivery of terrestrial
materials into coastal waters. We examined satellite imagery acquired by the
Moderate Resolution Imaging Spectroradiometer (MODIS) ocean color sensor aboard
the Aqua spacecraft following two major hurricane events: Hurricane Katrina,
which made landfall on 29 August 2005, and Hurricane Rita, which made landfall on
24 September. MODIS Aqua true color imagery revealed high turbidity levels in
shelf waters immediately following the storms indicative of intense resuspension.
However, imagery following the landfall of Katrina showed relatively rapid return
of shelf water mass properties to pre-storm conditions. Indeed, MODIS Aqua
derived estimates of diffuse attenuation at 490 nm (K_490) and chlorophyll
(chlor_a) from mid-August prior to the landfall of Hurricane Katrina were
comparable to those observed in mid-September following the storm. Regions of
elevated K_490 and chlor_a were evident in offshore waters and appeared to be
associated with cyclonic circulation (cold-core eddies) identified on the basis
of sea surface height anomaly (SSHA). Imagery acquired shortly after Hurricane
Rita made landfall showed increased water column turbidity extending over a large
area of the shelf off Louisiana and Texas, consistent with intense resuspension
and sediment disturbance. An interannual comparison of satellite-derived
estimates of K_490 for late September and early October revealed relatively lower
levels in 2005, compared to the mean for the prior three years, in the vicinity
of the Mississippi River birdfoot delta. In contrast, levels above the previous
three year mean were observed off Texas and Louisiana 7-10 d after the passage of
Rita. The lower values of K_490 near the delta could be attributed to relatively
low river discharge during the preceding months of the 2005 season. The elevated
levels off Texas and Louisiana were speculated to be due to the presence of fine
grain sediment or dissolved materials that remained in the water column following
the storm, and may also have been associated with enhanced phytoplankton biomass
stimulated by the intense vertical mixing and offshore delivery of shelf water
and associated nutrients. This latter view was supported by observations of high
chlor_a in association with regions of cyclonic circulation.
PMID- 27879928
TI - Integrating Physical and Topographic Information Into a Fuzzy Scheme to Map
Flooded Area by SAR.
AB - A flood mapping procedure based on a fuzzy sets theory has been developed. The
method is based on the integration of Synthetic Aperture Radar (SAR) measurements
with additional data on the inundated area, such as a land cover map and a
digital elevation model (DEM). The information on land cover has allowed us to
account for both specular reflection, typical of open water, and double bounce
backscattering, typical of forested and urban areas. DEM has been exploited to
include simple hydraulic considerations on the dependence of inundation
probability on surface characteristics. Contextual information has been taken
into account too. The proposed algorithm has been tested on a flood occurred in
Italy on November 1994. A pair of ERS-1 images, collected before and after (three
days later) the flood, has been used. The results have been compared with the
data provided by a ground survey carried out when the flood reached its maximum
extension. Despite the temporal mismatch between the survey and the post
inundation SAR image, the comparison has yielded encouraging results, with the
87% of the pixels correctly classified as inundated.
PMID- 27879929
TI - Optical Algorithms at Satellite Wavelengths for Total Suspended Matter in
Tropical Coastal Waters.
AB - Is it possible to derive accurately Total Suspended Matter concentration or its
proxy, turbidity, from remote sensing data in tropical coastal lagoon waters? To
investigate this question, hyperspectral remote sensing reflectance, turbidity
and chlorophyll pigment concentration were measured in three coral reef lagoons.
The three sites enabled us to get data over very diverse environments:
oligotrophic and sediment-poor waters in the southwest lagoon of New Caledonia,
eutrophic waters in the Cienfuegos Bay (Cuba), and sediment-rich waters in the
Laucala Bay (Fiji). In this paper, optical algorithms for turbidity are presented
per site based on 113 stations in New Caledonia, 24 stations in Cuba and 56
stations in Fiji. Empirical algorithms are tested at satellite wavebands useful
to coastal applications. Global algorithms are also derived for the merged data
set (193 stations). The performances of global and local regression algorithms
are compared. The best one-band algorithms on all the measurements are obtained
at 681 nm using either a polynomial or a power model. The best two-band
algorithms are obtained with R412/R620, R443/R670 and R510/R681. Two three-band
algorithms based on Rrs620.Rrs681/Rrs412 and Rrs620.Rrs681/Rrs510 also give fair
regression statistics. Finally, we propose a global algorithm based on one or
three bands: turbidity is first calculated from Rrs681 and then, if < 1 FTU, it
is recalculated using an algorithm based on Rrs620.Rrs681/Rrs412. On our data
set, this algorithm is suitable for the 0.2-25 FTU turbidity range and for the
three sites sampled (mean bias: 3.6 %, rms: 35%, mean quadratic error: 1.4 FTU).
This shows that defining global empirical turbidity algorithms in tropical
coastal waters is at reach.
PMID- 27879930
TI - Kernel Based Nonlinear Dimensionality Reduction and Classification for Genomic
Microarray.
AB - Genomic microarrays are powerful research tools in bioinformatics and modern
medicinal research because they enable massively-parallel assays and simultaneous
monitoring of thousands of gene expression of biological samples. However, a
simple microarray experiment often leads to very high-dimensional data and a huge
amount of information, the vast amount of data challenges researchers into
extracting the important features and reducing the high dimensionality. In this
paper, a nonlinear dimensionality reduction kernel method based locally linear
embedding(LLE) is proposed, and fuzzy K-nearest neighbors algorithm which
denoises datasets will be introduced as a replacement to the classical LLE's KNN
algorithm. In addition, kernel method based support vector machine (SVM) will be
used to classify genomic microarray data sets in this paper. We demonstrate the
application of the techniques to two published DNA microarray data sets. The
experimental results confirm the superiority and high success rates of the
presented method.
PMID- 27879931
TI - Determination of Lamotrigine in Pharmaceutical Preparations by Adsorptive
Stripping Voltammetry Using Screen Printed Electrodes.
AB - This paper describes a procedure that has been optimized for the determination of
lamotrigine by Differential Pulse Adsorptive Stripping Voltammetry (DPAdSV) using
carbon screen-printed electrodes (CSPE) and mercury coated carbon screen-printed
electrodes. Selection of the experimental parameters was made using experimental
design methodology. The detection limit found was 5.0 x 10-6 M and 2.0 x 10-6 M
for the non modified and Hg modified CSPE, respectively. In terms of
reproducibility, the precision of the above mentioned methods was calculated in
%RSD values at 9.83% for CSPE and 2.73% for Hg-CSPE. The Hg-coated CSPEs
developed in this work were successfully applied in the determination of
lamotrigine in pharmaceutical preparations.
PMID- 27879932
TI - On the Soil Roughness Parameterization Problem in Soil Moisture Retrieval of Bare
Surfaces from Synthetic Aperture Radar.
AB - Synthetic Aperture Radar has shown its large potential for retrieving soil
moisture maps at regional scales. However, since the backscattered signal is
determined by several surface characteristics, the retrieval of soil moisture is
an ill-posed problem when using single configuration imagery. Unless accurate
surface roughness parameter values are available, retrieving soil moisture from
radar backscatter usually provides inaccurate estimates. The characterization of
soil roughness is not fully understood, and a large range of roughness parameter
values can be obtained for the same surface when different measurement
methodologies are used. In this paper, a literature review is made that
summarizes the problems encountered when parameterizing soil roughness as well as
the reported impact of the errors made on the retrieved soil moisture. A number
of suggestions were made for resolving issues in roughness parameterization and
studying the impact of these roughness problems on the soil moisture retrieval
accuracy and scale.
PMID- 27879933
TI - Short-term Influences on Suspended Particulate Matter Distribution in the
Northern Gulf of Mexico: Satellite and Model Observations.
AB - Energetic meteorological events such as frontal passages and hurricanes often
impact coastal regions in the northern Gulf of Mexico that influence geochemical
processes in the region. Satellite remote sensing data such as winds from
QuikSCAT, suspended particulate matter (SPM) concentrations derived from SeaWiFS
and the outputs (sea level and surface ocean currents) of a nested navy coastal
ocean model (NCOM) were combined to assess the effects of frontal passages
between 23-28 March 2005 on the physical properties and the SPM characteristics
in the northern Gulf of Mexico. Typical changes in wind speed and direction
associated with frontal passages were observed in the latest 12.5 km wind product
from QuikSCAT with easterly winds before the frontal passage undergoing
systematic shifts in direction and speed and turning northerly, northwesterly
during a weak and a strong front on 23 and 27 March, respectively. A quantitative
comparison of model sea level results with tide gauge observations suggest better
correlations near the delta than in the western part of the Gulf with elevated
sea levels along the coast before the frontal passage and a large drop in sea
level following the frontal passage on 27 March. Model results of surface
currents suggested strong response to wind forcing with westward and onshore
currents before the frontal passage reversing into eastward, southeastward
direction over a six day period from 23 to 28 March 2005. Surface SPM
distribution derived from SeaWiFS ocean color data for two clear days on 23 and
28 March 2005 indicated SPM plumes to be oriented with the current field with
increasing concentrations in nearshore waters due to resuspension and discharge
from the rivers and bays and its seaward transport following the frontal passage.
The backscattering spectral slope gamma, a parameter sensitive to particle size
distribution also indicated lower gamma values (larger particles) in nearshore
waters that decreased offshore (smaller particles). The use of both satellite and
model results revealed the strong interactions between physical processes and the
surface particulate field in response to the frontal passage in a large
riverdominated coastal margin.
PMID- 27879934
TI - Cross-Layer Adaptive Feedback Scheduling of Wireless Control Systems.
AB - There is a trend towards using wireless technologies in networked control
systems. However, the adverse properties of the radio channels make it difficult
to design and implement control systems in wireless environments. To attack the
uncertainty in available communication resources in wireless control systems
closed over WLAN, a cross-layer adaptive feedback scheduling (CLAFS) scheme is
developed, which takes advantage of the co-design of control and wireless
communications. By exploiting crosslayer design, CLAFS adjusts the sampling
periods of control systems at the application layer based on information about
deadline miss ratio and transmission rate from the physical layer. Within the
framework of feedback scheduling, the control performance is maximized through
controlling the deadline miss ratio. Key design parameters of the feedback
scheduler are adapted to dynamic changes in the channel condition. An eventdriven
invocation mechanism for the feedback scheduler is also developed. Simulation
results show that the proposed approach is efficient in dealing with channel
capacity variations and noise interference, thus providing an enabling technology
for control over WLAN.
PMID- 27879935
TI - Detection of Carcinoembryonic Antigens Using a Surface Plasmon Resonance
Biosensor.
AB - Carcinoembryonic antigen (CEA) is an oncofoetal cell-surface glycoprotein that
serves as an important tumor marker for colorectal and some other carcinomas. In
this work, a CEA immunoassay using a surface plasmon resonance (SPR) biosensor
has been developed. SPR could provide label-free, real-time detection with high
sensitivity, though its ability to detect CEA in human serum was highly dependent
on the analytical conditions employed. We investigated the influences of various
analytical conditions including immobilization methods for anti-CEA antibody and
composition of sensor surface on the selective and sensitive detection of CEA.
The results show that anti-CEA antibody immobilized via Protein A or Protein G
caused a large increase in the resonance signal upon injection of human serum due
to the interactions with IgGs in serum, while direct covalent immobilization of
anti-CEA antibody could substantially reduce it. An optimized protocol based on
further kinetic analysis and the use of 2nd and 3rd antibodies for the sandwich
assay allowed detecting spiked CEA in human serum as low as 25 ng/mL.
Furthermore, a self-assembled monolayer of mixed ethylene-glycol terminated
alkanethiols on gold was found to have a comparable ability in detecting CEA as
CM5 with thick dextran matrix and C1 with short flat layer on gold.
PMID- 27879936
TI - Protein Detection with Aptamer Biosensors.
AB - Aptamers have been developed for different applications. Their use as new
biological recognition elements in biosensors promises progress for fast and easy
detection of proteins. This new generation of biosensor (aptasensors) will be
more stable and well adapted to the conditions of real samples because of the
specific properties of aptamers.
PMID- 27879937
TI - A Fixed-Threshold Approach to Generate High-Resolution Vegetation Maps for IKONOS
Imagery.
AB - Vegetation distribution maps from remote sensors play an important role in urban
planning, environmental protecting and related policy making. The normalized
difference vegetation index (NDVI) is the most popular approach to generate
vegetation maps for remote sensing imagery. However, NDVI is usually used to
generate lower resolution vegetation maps, and particularly the threshold needs
to be chosen manually for extracting required vegetation information. To tackle
this threshold selection problem for IKONOS imagery, a fixed-threshold approach
is developed in this work, which integrates with an extended Tasseled Cap
transformation and a designed image fusion method to generate high-resolution (1
meter) vegetation maps. Our experimental results are promising and show it can
generate more accurate and useful vegetation maps for IKONOS imagery.
PMID- 27879938
TI - Textile Pressure Sensor Made of Flexible Plastic Optical Fibers.
AB - In this paper we report the successful development of pressure sensitive textile
prototypes based on flexible optical fibers technology. Our approach is based on
thermoplastic silicone fibers, which can be integrated into woven textiles. As
soon as pressure at a certain area of the textile is applied to these fibers they
change their cross section reversibly, due to their elastomeric character, and a
simultaneous change in transmitted light intensity can be detected. We have
successfully manufactured two different woven samples with fibers of 0.51 and
0.98 mm diameter in warp and weft direction, forming a pressure sensitive matrix.
Determining their physical behavior when a force is applied shows that pressure
measurements are feasible. Their usable working range is between 0 and 30 N.
Small drifts in the range of 0.2 to 4.6%, over 25 load cycles, could be measured.
Finally, a sensor array of 2 x 2 optical fibers was tested for sensitivity,
spatial resolution and light coupling between fibers at intersections.
PMID- 27879940
TI - The Image Transceiver Device: Studies of Improved Physical Design.
AB - The Image Transceiver Device (ITD) design is based on combining LCOS micro
display, image processing tools and back illuminated APS imager in single CMOS
chip [1]. The device is under development for Head-Mounted Display applications
in augmented and virtual reality systems. The main issues with the present design
are a high crosstalk of the backside imager and the need to shield the pixel
circuitry from the photocharges generated in the silicon substrate. In this
publication we present a modified, "deep p-well" ITD pixel design, which provides
a significantly reduced crosstalk level, as well as an effective shielding of
photo-charges for the pixel circuitry. The simulation performed using Silvaco
software [ATLAS Silicon Device Simulator, Ray Trace and Light Absorption
programs, Silvaco International, 1998] shows that the new approach provides high
photo response and allows increasing the optimal thickness of the die over and
above the 10-15 micrometers commonly used for back illuminated imaging devices,
thereby improving its mechanical ruggedness following the thinning process and
also providing a more efficient absorption of the long wavelength photons. The
proposed deep p-well pixel structure is also a technology solution for the
fabrication of high performance back illuminated CMOS image sensors.
PMID- 27879939
TI - Electrochemical Sensing and Assessment of Parabens in Hydro- Alcoholic Solutions
and Water Using a Boron-Doped Diamond Electrode.
AB - In this paper, the electrochemical behaviour of several parabens preservatives,
i.e. esters of p-hydroxybenzoic acid, methyl-, ethyl- and propyl-4
hydroxybenzoates as methyl-, ethyl- and propyl-parabens (MB, EB, and PB), has
been investigated at a commercial boron-doped diamond electrode (BDDE),
especially in the anodic potential range, in both hydro-alcoholic and aqueous
media. The cyclic voltammetric and chronoamperometric measurements yielded
calibration plots with very good linearity (R2 between 0.990 and 0.998) and high
sensitivity, useful for detection and analytical applications. The determination
of the characteristics of individual compounds, of an "overall paraben index",
the assessment of the stability and the saturation solubility in water, and the
amperometric sensing and determination in double distilled, tap and river water
matrix of the relatively slightly soluble investigated parabens have been carried
out using electrochemical alternative. Estimated water solubility was correlated
with the octanol-water partition coefficient. Several ideas regarding stability
and persistence of the presumptive eco-toxic investigated preservatives in the
environment or water systems have been adjacently discussed.
PMID- 27879941
TI - Detection of Hidden Hostile/Terrorist Groups in Harsh Territories by Using
Animals as Mobile Biological Sensors.
AB - Terrorism is the greatest threat to national security and cannot be defeated by
conventional military force alone. In critical areas such as Iraq, Afghanistan
and Turkey, regular forces cannot reach these hostile/terrorist groups, the
instigators of terrorism. These groups have a clear understanding of the relative
ineffectiveness of counter-guerrilla operations and rely on guerrilla warfare to
avoid major combat as their primary means of continuing the conflict with the
governmental structures. In Internal Security Operations, detection of terrorist
and hostile groups in their hiding places such as caves, lairs, etc. can only be
achieved by professionally trained people such as Special Forces or intelligence
units with the necessary experience and tools suitable for collecting accurate
information in these often harsh, rugged and mountainous countries. To assist
these forces, commercial micro-sensors with wireless interfaces could be utilized
to study and monitor a variety of phenomena and environments from a certain
distance for military purposes. In order to locate hidden terrorist groups and
enable more effective use of conventional military resources, this paper proposes
an active remote sensing model implanted into animals capable of living in these
environments. By using these mobile sensor devices, improving communications for
data transfer from the source, and developing better ways to monitor and detect
threats, terrorist ability to carry out attacks can be severely disrupted.
PMID- 27879943
TI - PAU/RAD: Design and Preliminary Calibration Results of a New L-Band Pseudo
Correlation Radiometer Concept.
AB - The Passive Advanced Unit (PAU) for ocean monitoring is a new type of instrument
that combines in a single receiver and without time multiplexing, a polarimetric
pseudo-correlation microwave radiometer at L-band (PAU-RAD) and a GPS
reflectometer (PAU-GNSS/R). These instruments in conjunction with an infra-red
radiometer (PAU-IR) will respectively provide the sea surface temperature and the
sea state information needed to accurately retrieve the sea surface salinity from
the radiometric measurements. PAU will consist of an array of 4x4 receivers
performing digital beamforming and polarization synthesis both for PAU-RAD and
PAU-GNSS/R. A concept demonstrator of the PAU instrument with only one receiver
has been implemented (PAU-One Receiver or PAU-OR). PAU-OR has been used to test
and tune the calibration algorithms that will be applied to PAU. This work
describes in detail PAU-OR's radiometer calibration algorithms and their
performance.
PMID- 27879942
TI - ST Quartz Acoustic Wave Sensors with Sectional Guiding Layers.
AB - We report the effect of removing a section of guiding layer from the propagation
paths of ST-quartz Love wave sensors; this offers the ease of fabrication of a
polymer guiding layer whilst retaining the native surface of the quartz which may
then be used for the attachment of a sensitizing layer. Data is presented for the
rigid and viscous loading, which indicates a small reduction in mass sensitivity
compared to a Love wave device. Biosensing capabilities of these discontinuous
'sectional' guiding layer devices are demonstrated using protein adsorption from
solution.
PMID- 27879944
TI - Nanobioengineering and Characterization of a Novel Estrogen Receptor Biosensor.
AB - We constructed an original supramolecular assembly on a surface of sensor
composed of an innovative combination of an engineered cytochrome b5 and a
modified nucleic acid bound to a synthetic lipid hemimembrane. The protein/DNA
block, called (PDNA) 2, was synthesized and purified before its immobilization
onto a hybrid bilayer reconstituted on a gold surface. Surface plasmon resonance
(SPR) and atomic force microscopy (AFM) were engaged in parallel on the same
substrates in order to better understand dynamic events that occur at the surface
of the biosensor. Good correlations were obtained in terms of specificity and
reversibility. These findings allow us to present a first application of such
biosensor in the study of the interaction processes between nuclear receptor and
DNA.
PMID- 27879945
TI - Multi-Source Remotely Sensed Data Combination: Projection Transformation Gap-Fill
Procedure.
AB - In this work a new gap-fill technique entitled projection transformation has been
developed and used for filling missed parts of remotely sensed imagery. In
general techniques for filling missed area of an image are broken down into three
main categories: multi-source techniques that take the advantages of other data
sources (e.g. using cloud free images to reconstruct the cloudy areas of other
images); the second ones fabricate the gap areas using non-gapped parts of an
image itself, this group of techniques are referred to as single-source gap-fill
procedures; and third group contains methods that make up a combination of both
mentioned techniques, therefore they are called hybrid gap-fill procedures. Here
a new developed multi-source methodology called projection transformation for
filling a simulated gapped area in the Landsat7/ETM+ imagery is introduced. The
auxiliary imagery to filling the gaps is an earlier obtained L7/ETM+ imagery.
Ability of the technique was evaluated from three points of view: statistical
accuracy measuring, visual comparison, and post classification accuracy
assessment. These evaluation indicators are compared to the results obtained from
a commonly used technique by the USGS as Local Linear Histogram Matching (LLHM)
[1]. Results show the superiority of our technique over LLHM in almost all
aspects of accuracy.
PMID- 27879946
TI - Integrating Remote Sensing Information Into A Distributed Hydrological Model for
Improving Water Budget Predictions in Large-scale Basins through Data
Assimilation.
AB - This paper investigates whether remote sensing evapotranspiration estimates can
be integrated by means of data assimilation into a distributed hydrological model
for improving the predictions of spatial water distribution over a large river
basin with an area of 317,800 km2. A series of available MODIS satellite images
over the Haihe River basin in China are used for the year 2005.
Evapotranspiration is retrieved from these 1*1 km resolution images using the
SEBS (Surface Energy Balance System) algorithm. The physically-based distributed
model WEP-L (Water and Energy transfer Process in Large river basins) is used to
compute the water balance of the Haihe River basin in the same year. Comparison
between model-derived and remote sensing retrieval basin-averaged
evapotranspiration estimates shows a good piecewise linear relationship, but
their spatial distribution within the Haihe basin is different. The remote
sensing derived evapotranspiration shows variability at finer scales. An extended
Kalman filter (EKF) data assimilation algorithm, suitable for non-linear
problems, is used. Assimilation results indicate that remote sensing observations
have a potentially important role in providing spatial information to the
assimilation system for the spatially optical hydrological parameterization of
the model. This is especially important for large basins, such as the Haihe River
basin in this study. Combining and integrating the capabilities of and
information from model simulation and remote sensing techniques may provide the
best spatial and temporal characteristics for hydrological states/fluxes, and
would be both appealing and necessary for improving our knowledge of fundamental
hydrological processes and for addressing important water resource management
problems.
PMID- 27879947
TI - Cantilever measurements of surface stress, surface reconstruction, film stress
and magnetoelastic stress of monolayers.
AB - We review the application of cantilever-based stress measurements in surface
science and magnetism. The application of thin (thickness appr. 0.1 mm) single
crystalline substrates as cantilevers has been used successfully to measure
adsorbate-induced surface stress changes, lattice misfit induced film stress, and
magneto-elastic stress of ferromagnetic monolayers. Surface stress changes as
small as 0.01 N/m can be readily measured, and this translates into a sensitivity
for adsorbate-coverage well below 0.01 of one layer. Stress as large as several
GPa, beyond the elasticity limits of high strength materials, is measured, and it
is ascribed to the lattice misfit between film and substrate. Our results point
at the intimate relation between surface stress and surface reconstruction,
stress-induced structural changes in epitaxially strained films, and strain
induced modifications of the magneto-elastic coupling in ferromagnetic
monolayers.
PMID- 27879948
TI - WITHDRAWN: Efficacy and local tolerability of different spray products in the
treatment of mild to moderate acne of the back and chest. A controlled, 3-arm,
assessor-blinded prospective trial.
AB - AHEAD OF PRINT ARTICLE WITHDRAWN BY PUBLISHER.
PMID- 27879949
TI - Single-center experience with vascular closure devices in real-world endovascular
peripheral interventions.
AB - BACKGROUND: The aim of the current study was to evaluate the efficacy and safety
of vascular closure devices (VCDs) in non-cardiac endovascular interventions.
METHODS: Retrospective analysis of consecutive patients submitted to endovascular
interventions, with deployment of 2327 vascular closure devices. Demographic,
clinical/periprocedural, post-operative, complication and reintervention data
were reviewed. RESULTS: A total of 2107 patients (1409 [66.9%] men) were
included. Perclose ProGlide(r) (Abbott Vascular) was employed 1683 times (72.3%),
Angio-SealTM (St. Jude Medical Inc.) 463 (19.9%) and 181 (7.8%) Exoseal(r)
(Cordis). Patients were 70.4+/-11 years old. Of all vascular approaches, 1794
were retrograde (77.1%) and 533 antegrade (22.9%), with sheath size ranging from
5 to 8 French. Overall success rate was 95.2% and did not significantly vary
according to the device. Overall failure rate was 4.8%, with high puncture site,
morbid obesity, previous total anticoagulation and severe calcification being
related to worse efficiency results. Major complications occurred in 15 patients
(0.6%). All patients were evaluated before discharge from the hospital, and were
scheduled to return for ambulatory follow-up visits at 30 days postoperatively.
Control duplex ultrasonography was performed on a regular basis, or within this
period in case of clinical complain/signs. CONCLUSIONS: Vascular closure devices
proved to be safe and effective in this study. Additional prospective randomized
studies are recommended.
PMID- 27879950
TI - Systematic review of follow-up compliance after endovascular abdominal aortic
aneurysm repair.
AB - INTRODUCTION: Lifelong surveillance after endovascular aortic aneurysm repair
(EVAR) is recommended to monitor the effectiveness and durability of the
treatment. The aim of this study was to assess patients' compliance with the
follow-up imaging protocol, the presence of any factors associated with
compliance and the potential influence of imaging-protocol adherence on outcomes.
EVIDENCE ACQUISITION: MEDLINE, EMBASE, and Cochrane Central databases and key
references were searched. EVIDENCE SYNTHESIS: One multicenter observational study
(registry) and nine retrospective studies were identified reporting on EVAR
surveillance compliance. The studies included 36,119 patients with mean age of
76+/-3.1 years under mean follow-up ranging from 25 to 73 months. Most of them
were males (51-89%), white (51-97.7%) and the majority of them were living over
100 miles from the treatment center. The data were too heterogeneous to perform a
meta-analysis. Incomplete follow-up and complete loss of follow-up were ranging
from 15% to 65% and 22% to 56%, respectively. Advanced age, symptomatic or
ruptured aneurysm, history of chronic diseases, and social-economic factors were
associated with poor follow-up compliance. Five studies suggested that complete
follow-up did not offer any survival benefit, while only one study suggested that
incomplete follow-up was associated with higher fatal complication rates.
CONCLUSIONS: Patients' compliance with follow-up protocol after EVAR is about
50%. Several factors have been associated with this poor compliance, however
there is lack of solid evidence to show that this poor compliance results in
worse outcomes. Prospective studies focusing on follow-up adherence are needed in
order to evaluate its impact on the outcomes.
PMID- 27879951
TI - Multidisciplinary management of Spontaneous Spinal Infections: there is a
correlation between timing, type of treatment and outcome? A multivariate
analysis of an observational cohort study.
AB - BACKGROUND: Spontaneous Spinal Infections SSI represent a rare and serious
pathological entity. We've tried to study a correlation between type of
treatment, timing of treatment and clinical outcome through a multivariate
analysis of an observational cohort study with the aim to define what is the
optimal clinico-therapeutic management. METHODS: We perform a retrospective
observational cohort study on all consecutive patients observed in our Institute
in a period of 13 years; From 2001 to 2014 we enrolled 50 consecutive patients
with symptomatic spontaneous spinal infections (no previous surgery or recent
infection in other site), confirmed with diagnostic imaging. The inclusion
parameters were: diagnostic imaging, signs and symptoms positive for SSI, no
history of recent infection or surgery. Of each parameter analyzed, we've
calculated mean and standard deviation and when necessary correlation (rho),
covariance and relation coefficient between type of treatment, timing of
treatment and clinical outcome. RESULTS: Our results suggest that an increase of
one day from the onset of symptoms and the start of therapy leads to an increase
in the ODI scale both at 6 months than at 1 year, with a statistical relevance,
so our experience shows a statistically significant correlation and a positive co
variance between timing and outcome at 6 months and 1 year. CONCLUSIONS: SSI are
rare, very difficult to diagnose and represent a significant clinical problem. If
not properly managed, may lead to significant impact in the quality of life. The
most relevant problem is not the treatment, conservative or surgical, but early
diagnosis, so a careful physical, laboratory and imaging examination is
fundamental, with an important help provided by isolation of the pathogen and
histology. In our experience early diagnosis has a fundamental role. In the light
of this, current treatment protocols may require a prompt and multidisciplinary
management including infectivologists, neuroradiologists and spine surgeons.
PMID- 27879952
TI - Allograft versus autograft in cervical and lumbar spinal fusions: an examination
of operative time, length of stay, surgical site infection, and blood
transfusions.
AB - BACKGROUND: Autograft harvesting for spine arthrodesis has been associated with
longer operative times and increased blood loss. Allograft compared to autograft
in spinal fusions has not been studied in a multicenter cohort. METHODS: Patients
enrolled in the ACS-NSQIP registry between 2012 and 2013 who underwent cervical
or lumbar spinal fusion with either allograft or autograft through a separate
incision were included for analysis. The primary outcomes of interest were
operative time, length of stay, blood transfusion, and surgical site infection
(SSI). RESULTS: A total of 6,790 and 6,718 patients received a cervical or lumbar
spinal fusion, respectively. On unadjusted analysis in both cervical and lumbar
cohorts, autograft was associated with increased rates of blood transfusion
(cervical: 2.9% vs 1.0%, p<0.001; and lumbar: 21.0% vs 15.7%, p<0.001) and
increased operative time (cervical: 167 vs 128 minutes, p<0.001; and lumbar: 226
vs 204 minutes, p<0.001) relative to allograft. On multivariable analysis in both
the cervical and lumbar cohorts, autograft was associated with increased odds of
blood transfusion (cervical: OR 2.3, 95% CI 1.0-5.1; and lumbar: OR 1.3, 95% CI
1.1-1.6) and longer operative times (cervical: 27.8 minutes, 95% CI 20.7-35.0;
and lumbar: 25.4 minutes, 95% CI 17.7-33.1) relative to allograft. Autograft was
not associated with either length of stay or SSI. CONCLUSIONS: In a multicenter
cohort of patients undergoing cervical or lumbar spinal fusion, autograft was
associated with increased rates of blood transfusion and increased operative time
relative to allograft.
PMID- 27879953
TI - Elective laminectomy and excision of the thoracic spine neoplasm: an evaluation
of early outcomes.
AB - BACKGROUND: Laminectomy plus excision of spinal neoplasms is commonly performed.
The current study examines risk profiles associated with elective laminectomies
of benign, malignant primary, and secondary/metastatic neoplasms of the thoracic
spine. METHODS: Adult patients undergoing elective thoracic laminectomy and
excision of spinal neoplasm were abstracted from ACS-NSQIP years 2011-2014.
Patients were classified into three cohorts: benign primary, malignant primary,
secondary/metastatic. Univariate and multivariable analyses compared operation
time, early complications, hospital length of stay (HLOS), and discharge
destination across cohorts. RESULTS: One-hundred sixty patients were included,
aged 58.0+/-14.8-years. Mean operation time was 209.23+/-101.52 minutes and
cohorts did not differ significantly on multivariable analysis. Mean HLOS was
6.10+/-7.14 days and did not differ by cohort. Early complications were observed
in 15.6% of patients; secondary/metastatic patients associated with increased
odds of >1 unit of blood transfusion, but not overall complications. Failure to
be discharged home occurred in 31.8% of patients (benign primary: 30.0%,
malignant primary: 66.6%, secondary/metastatic: 10.5%; p<0.001). Malignant
primary tumors associated with increased multivariable odds of failure to be
discharged home (OR 3.63, 95% CI [1.09, 12.10], p=0.036). Tumor location
(extradural, intradural/extramedullary, intramedullary) was not a significant
predictor of outcomes. A higher number of concurrent fusions were observed for
secondary/metastatic laminectomies (benign primary: 7.3%; malignant primary:
5.5%; secondary/metastatic: 36.8%; p<0.001). CONCLUSIONS: Comparable performance
on operation time and HLOS between neoplasm cohorts suggests broad indication of
resectional laminectomies for elective treatment. Primary malignant thoracic
spine tumors may require higher levels of early post-discharge care. Future
studies are needed to confirm these findings.
PMID- 27879955
TI - Hypobaric versus isobaric spinal levobupivacaine for total hip arthroplasty.
AB - BACKGROUND: Spinal anesthesia (SA) in the lateral decubitus position is often
used to perform total hip arthroplasty (THA). Hypobaric local anesthetic ensures
enhanced and prolonged sensory and motor block in the involved side. The aim of
this study was to compare the intraoperative anesthetic efficacy of hypobaric
with isobaric levobupivacaine solutions for THA. METHODS: Forty patients
scheduled to elective THA were allocated into two groups: isobaric
levobupivacaine group (IL group) and hypobaric levobupivacaine group (HL group).
All the patients were placed with the operative side uppermost on the surgical
table and spinal anesthesia was performed with 4 mL (12.5 mg) of selected
solution. The evolution of sensory and block on nondependent (operative) and
dependent sides were checked. RESULTS: Regarding the nondependent side the onset
times for maximal sensory block level in the in HL group was 17.8+/-1.1 minutes
vs. 24.2+/-4.1 minutes in IL group. In HL group the onset time for motor block
was 9.2+/-3.5 minutes vs. 15.6+/-5.4 minutes in IL group. The sensory regression
time to L2 was significantly prolonged in HL group (192+/-30.3 minutes vs. 111+/
13.4 min). After surgery in HL group the residual motor block degree was 2.4+/
0.9 vs. 0.2+/-0.4 in IL group. CONCLUSIONS: In patients undergoing THA under
spinal anesthesia 12.5 mg of hypobaric levobupivacaine, compared with the same
dose of isobaric levobupivacaine, allow shorter onset time for sensory block and
delayed regression of sensory and motor block in the nondependent side.
PMID- 27879954
TI - Comparison of terminal extubation and terminal weaning as mechanical ventilation
withdrawal in ICU patients.
AB - BACKGROUND: Terminal extubation (TE) is applied in some Intensive Care Units
(ICU) patients when a decision of withdrawal of mechanical ventilation is
decided. Other units prefer terminal weaning (TW) with no removal of the
endotracheal tube. We report our experience with these two procedures. METHODS:
We conducted a retrospective study analyzing patients deceased in our ICU after a
decision of life sustained therapy (LST) during the year 2013. TE was proposed to
family members for patients presenting with two medical conditions: lack of
vasoactive drugs (VAD) and SaO2>95% with a FIO2<50%. TW, defined by the reduction
of oxygenation and/or the discontinuation of VAD, was proposed for patients
receiving a FIO2>=50% and/or VAD. The two procedures were performed after
obtaining a Cambridge Score-5 with sedatives. RESULTS: Sixty eight patients died
after withdrawal of LST. TE was performed for 22 patients and TW for 46. There
was no difference in mean age, mean length of ICU stay, cause of ICU admission
and dose of sedatives used during withdrawal procedure between the two groups.
All family members approved the decision of TE. In this group, family members of
each patient were present in ICU room at time of death, while they were present
at this moment for 32 (69.5%) patients with TW. CONCLUSIONS: In our Unit, TE is a
practice largely approved by family members. This procedure does not require
higher doses of sedatives and allows the nearest relatives to be present at time
of death.
PMID- 27879956
TI - Early tracheostomy in a case of toxic epidermal necrolysis with airways
involvement.
PMID- 27879957
TI - Use of the Sufentanil Sublingual Tablet System for postoperative pain relief in a
patient with chronic liver disease.
PMID- 27879958
TI - Six-year multicenter experience of standard endovascular treatment of critical
limb ischemia in the era of drug-eluting devices.
AB - BACKGROUND: There has been increasing evidence to support the endovascular-first
approach in the treatment of below-the-knee (BTK) lesions. Plain old balloon
angioplasty (POBA), with bailout bare-metal stent scaffolding in case of flow
limiting dissection or recoil, have been considered the standard treatment of
choice but industries are continually developing innovative equipment and
dedicated technologies, such as drug-eluting platforms whose use is increasingly
extended, despite significant costs and lack of high-quality evidence to support
a well-established strategy. This study's objective was to analyze the 6-year
results of "standard" endovascular treatment of BTK lesions in the era of drug
eluting devices. METHODS: From August 2008 to February 2010, 21 French speaking
European centers consecutively enrolled critical limb ischemia (CLI) patients
with BTK lesions treated endovascularly into the Angioplastie Jambiere (ANJE)
registry. Primary endpoint was 1-year limb salvage, a subgroup analysis was
performed to compare POBA, bare nitinol stents and balloon-expandable stents
(BESs). RESULTS: Of the 282 patients included (61.7%, men, mean age 75.8+/-10.0
years), 53.5% were diabetic and 29.4% presented with chronic kidney disease. At 1
year, complete wound healing was described in 187 patients (82.7%), the overall
limb salvage rate was 94.0% with a survival rates of 89.2%. The primary and
secondary patency rates were 84.5% and 91.7% respectively with significantly
lower primary patency rates after stenting (80.6% vs. 87.6% after POBA; P=0.043).
The rate of freedom from target lesion revascularization (TLR) was 86.3% with
significantly lower rates after stenting (81.8% vs. 89.9% after POBA; P=0.01).
The subgroup analysis showed no significant difference between nitinol stents,
BESs and POBA in terms of limb salvage and survival rates, however, primary and
secondary patency rates were significantly lower after BESs (primary and
secondary patency rates of 84.0% after nitinol stents vs. 77.4% after BES vs.
87.6% after POBA; P=0.012 and 93.0% vs. 77.4% vs. 87.6%; P=0.003, respectively),
as well as freedom from TLR rates (82.3% vs. 81.2% vs. 89.9%; P=0.04).
CONCLUSIONS: In the drug eluting era, the endovascular first approach of BTK
lesions based on POBA with bailout spot stenting is feasible, affordable but most
importantly remains effective and should be the first line strategy until the
place of drug eluting stents is precisely defined.
PMID- 27879959
TI - Balance versus resistance training on postural control in patients with
Parkinson's disease: a randomized controlled trial.
AB - BACKGROUND: Evidences have shown that physiotherapy programs may improve the
balance of individuals with Parkinson's disease (PD), although it is not clear
which specific exercise program is better. AIM: The aim of this study was to
compare the effectiveness of balance versus resistance training on postural
control measures in PD patients. DESIGN: Randomized controlled trial. SETTING:
The study was conducted in a physiotherapy outpatient clinic of a university
hospital. POPULATION: A total of 40 PD participants were randomly divided into
two groups: balance training (BT) and resistance training (RT). METHODS: The BT
group focused on balance training, functional independence and gait while the RT
group performed resistance exercises emphasizing the lower limbs and trunk, both
supervised by trained physiotherapists. Therapy sessions were held twice a week
(at 60 minutes), totaling 24 sessions. The primary outcome was evaluated by force
platform with center of pressure sway measures in different balance conditions
and the secondary outcome was evaluated by Balance Evaluation Systems Test
(BESTest) scale to determine the effects of the intervention on postural control.
RESULTS: Significant improvement of postural control (pre vs. post 15.1 vs. 9.6
cm2) was only reported in favor of BT group (d=1.17) for one-legged stand
condition on force platform. The standardized mean difference between groups was
significantly (P<0.02), with 36% of improvement for BT vs. 0.07% for RT on this
condition. Significant improvement (P<0.05) was also observed in favor of BT (in
mean 3.2%) for balance gains in some BESTest scores, when compared to RT group (
0.98%). CONCLUSIONS: Postural control in Parkinson's disease is improved when
training by a directional and specific balance program than a resistance training
program. CLINICAL REHABILITATION IMPACT: Balance training is superior to
resistance training in regard to improving postural control of individuals with
PD. Gold standard instruments (high in cost and difficult to access) were used to
assess balance, as well as scales with clinical applicability (low cost, easily
acceptable, applicable and valid), which can guide the management of
physiotherapists both in their decision-making and in clinical practice.
PMID- 27879960
TI - Effectiveness of music-based interventions on motricity or cognitive functioning
in neurological populations: a systematic review.
AB - BACKGROUND: Motor and cognitive symptoms are frequent in persons with
neurological disorders and often require extensive long-term rehabilitation.
Recently, a variety of music-based interventions have been introduced into
neurological rehabilitation as training tools. EVIDENCE ACQUISITION: This review
aims to 1) describe and define music-based intervention modalities and content
which are applied in experimental studies; and 2) describe the effects of these
interventions on motor and/or cognitive symptoms in the neurological population.
The databases PubMed and Web of Science were searched. Cited references of
included articles where screened for potential inclusion. A systematic literature
search up to 20th of June 2016 was conducted to include controlled trials and
cohort studies that have used music-based interventions for >=3 weeks in the
neurological population (in- and outpatients) targeting motor and/or cognitive
symptoms. No limitations to publication date was set. EVIDENCE SYNTHESIS?
Nineteen articles comprising thirteen randomized controlled trials (total
participants Nexp=241, Nctrl=269), four controlled trials (Nexp=59, Nctrl=53) and
two cohort studies (N.=27) were included. Fourteen studies were conducted in
stroke, three in Parkinson's disease, and two in multiple sclerosis population.
Modalities of music-based interventions were clustered into four groups:
instrument-based, listening-based, rhythm-based, and multicomponent-based music
interventions. Overall, studies consistently showed that music-based
interventions had similar or larger effects than conventional rehabilitation on
upper limb function (N.=16; fine motricity, hand and arm capacity, finger and
hand tapping velocity/variability), mobility (N.=7; gait parameters), and
cognition (N.=4; verbal memory and focused attention). CONCLUSIONS? Variety of
modalities using music-based interventions has been identified and grouped into
four clusters. Effects of interventions demonstrate an improvement in the domains
assessed. Evidence is most available for improving motricity in stroke. More
studies are warranted to investigate cognition as well as motor and cognition
dysfunctions in combination. Instrument-based music interventions can improve
fine motor dexterity and gross motor functions in stroke. Rhythm-based music
interventions can improve gait parameters of velocity and cadence in stroke,
Parkinson's disease and multiple sclerosis. Cognition in the domains of verbal
memory and focused attention can improve after listening-based music
interventions in stroke.
PMID- 27879961
TI - Current aspects of pulmonary arterial hypertension and therapeutics.
AB - The pulmonary arterial hypertension (PAH) patients have high rate of mortality
due to right ventricle (or ventricular) (RV) failures. A lot of research work is
being carried out in the area, however no treatment is available that could
contrast the rise in mortality rates in PAH patients. beta1-adrenoceptor blockers
(beta-blockers, BB) reduced mortality in left heart failure, but they do not
explored much at clinical level. Recent studies suggest beta-blockers might be
beneficial in PAH; however the mechanisms remain unknown. The present review
article would put light on all these aspects of PAH along with latest ways for
the management of PAH.
PMID- 27879962
TI - Intestinal hormones, gut microbiota and non-alcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease (NAFLD) is the hepatic manifestation of the
metabolic syndrome and has a complex pathophysiology with multiple pathways of
development and progression implicated. Intestinal hormones regulate multiple
biological functions and may play a role in the pathogenesis of non alcoholic
fatty liver disease (NAFLD) by affecting food intake, body weight and insulin
resistance. Bacterial products can affect the secretion of these hormones and
thus have an effect on metabolism. Gut microbiota are normally involved in the
intestinal energy harvest and their role has been increasingly been implicated in
the pathogenesis of obesity and NAFLD. The intestinal hormone pathways as well as
the intestinal microbiota populations are potential therapeutic targets in the
management of NAFLD. We review the evidence on the associations of the intestinal
hormones and gut microbiota in the development, progression and treatment of
NAFLD.
PMID- 27879963
TI - Hypogonadism and non-alcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease (NAFLD) is more common in men than in women.
Thus, it has been suggested that sex steroids do have a role in the development
of NAFLD. The aim of the current paper is to illustrate the association between
NAFLD and hypogonadism, by reviewing data derived from both human and animal
studies. The prevalence of NAFLD is high in men with hypogonadism, including
those with idiopathic hypogonadotropic hypogonadism (IHH), as well as in women in
post-menopause, those under estrogen receptor antagonist treatment or women with
Turner syndrome. Estrogens seem to play a pivotal role in hepatic lipid
homeostasis, as demonstrated in animal models with diminished ovarian estrogens
(i.e., ovariectomized mice) and low serum testosterone (T) concentration is
independently associated with NAFLD. The elucidation of the exact role of sex
steroids in NAFLD pathogenesis would create a unique opportunity to develop novel
therapies to tackle NAFLD disease.
PMID- 27879964
TI - Decreased levels of miR-34a and miR-217 act as predictor biomarkers of aggressive
progression and poor prognosis in hepatocellular carcinoma.
AB - BACKGROUND: MicroRNAs (miRNAs) play key roles in tumor development and
progression. The aim of this study was to explore the expression levels of miR
34a and miR-217 in hepatocellular carcinoma (HCC) and to further investigate the
clinicopathological and prognostic value of miR-34a and miR-217. METHODS: The
expression levels of miR-34a and miR-217 were evaluated using quantitative real
time PCR (qRT-PCR). Associations between these miRNAs expression and
clinicopathological features were analyzed. Survival rate was determined with
Kaplan-Meier and statistically analyzed with the log-rank method between groups.
RESULTS: We found that miR-34a expression was significantly downregulated in HCC
tissues (P<0.05). Reduced expression of miR-34a was associated with vascular
invasion, and advanced TNM stage (P<0.05). Kaplan-Meier revealed that reduced
expression of miR-34a was associated with poor overall survival (log-rank test,
P<0.05). We found that miR-217 was downregulated in HCC tissues. Decreased
expression of miR-217 was remarkably correlated vascular invasion, and advanced
TNM stage (P<0.05). Kaplan-Meier analysis and log-rank test showed that HCC
patients with low expression of miR-217 was associated with shorter overall
survival than patients with high expression (log-rank test, P<0.05). CONCLUSIONS:
Our data showed that downregulation of miR-34a and miR-217 was associated with
HCC progression and both of them may act as tumor suppressor in HCC.
PMID- 27879965
TI - Therapeutic approach to pediatric oral disorders.
AB - The promotion of oral health in pediatrics stands as an institutional requirement
in countries such as Italy where children's dental disorders still register a
high incidence despite high levels of general health. Guidelines indicate the
need to target a large age group and stress the relevance of parental education,
whom pediatricians and dentists should address to. In this respect, teething is
paradigmatic, due to the interactions between inflammation of the gingival
mucosa, the possible associations with systemic symptoms as well as the
psychological parental component. Teething products include: remedies used in
folk medicine (chamomile and rose honey), whose clinical efficacy has not been
proved; local anesthetics (lidocaine and benzocaine), easily absorbed by the oral
mucosa and able to provide for a rapid, though short-lived relief; salicylates,
prescribed by pediatricians in selected cases where the pain and inflammatory
component is very high; systemic anti-inflammatory (paracetamol in elixir
formulation), prescribed only in particularly serious cases, whereas they are
sold over the counter in numerous countries; and - last but not least - film
forming devices containing hyaluronic acid, whose efficacy has been clinically
tested in various children disorders of the oral cavity, including teething.
PMID- 27879966
TI - Andrological complications following retroperitoneal lymph node dissection for
testicular cancer.
AB - Retroperitoneal lymph node dissection (RPLND) is a fundamental surgical step in
the treatment of testicular cancer. Nowadays, primary RPLND has partially lost
its role in favour of active surveillance (for low risk stage I disease) and
short cycle chemotherapy in non-seminomatous germ cell tumor (NSGCT). Conversely,
post-chemotherapy RPLND (PC-RPLND) remains the standard treatment for residual
masses after chemotherapy. In consideration of curability rate of testicular
cancer and the life expectancy of testicular cancer survivors the identification
and the prevention of andrological complications became fundamental. Erectile
dysfunction (ED) is generally transitory and interests about 25% of patients,
conversely retrograde ejaculation (RE) is definitive. Antegrade ejaculation is
guaranteed by the sparing of at least one paravertebral sympathetic trunks and
the postganglionic sympathetic fibers, which travel dorsal to the inferior vena
cava and cross ventrally to the aorta. The maintenance of antegrade ejaculation
can be obtained by a bilateral sparing of these fibers or by the modification of
templates. In primary RPLND setting RE ranged between 2-6.7% and 1.2-61% in the
major open and laparoscopic series respectively. In PC-RPLND series it ranged
between 21-36% and 4-7.1% for open and laparoscopic approach respectively with
the limitation of the restrictive indications of laparoscopic approach. The
setting of this surgery and the importance of the oncological and functional
outcomes that are pursued reinforced following the evidence that RPLND is a
highly technical demanding procedure, whose best performances are achieved only
when delivered in referral, high-volume centers.
PMID- 27879967
TI - The influence of the medical treatment of LUTS on benign prostatic hyperplasia
surgery: do we operate too late?
AB - INTRODUCTION: The aim of this article is to systematically collect the available
evidence on the influence of lower urinary tract symptoms (LUTS) medical
treatment on benign prostatic hyperplasia (BPH) surgery. EVIDENCE ACQUISITION: A
systematic literature search January 1990 until June 2016 was performed by
combining the following MeSH terms: "lower urinary tract symptoms", "benign
prostatic hyperplasia", "male", "medical treatment", "surgical treatment",
"deferred/postponed treatment/intervention", "early intervention/treatment". Each
article title and abstract was reviewed for relevance and appropriateness with
regards to the topic of this review. EVIDENCE SYNTHESIS: The pharmacological
treatment of LUTS is undoubtedly a successful story in the field of urology. Over
the last two decades, the introduction of novel pharmaceutical agents has
dramatically reduced the rate of BPH surgery interventions all over the world.
Thus, nowadays, patients who undergo surgery are generally older, with more
severe comorbidities and with larger prostates, theoretically requiring more
challenging interventions. Nevertheless, the recent advances in surgical
techniques and instruments have counterbalanced this unfavorable scenario and,
overall, a non-pejorative trend has been observed in peri-/postoperative
complications. On the other hand, evidence from the "pre-pharmacological
treatment era" suggested that immediate BPH surgery might be the best option for
patients at risk of severe BPH progression. Still, no clear-cut, shared criteria
have yet been established to effectively identify these patients. CONCLUSIONS:
Several questions remain unanswered regarding the role of medical treatment in
BPH, and good-quality studies available on this topic are surprisingly rare. The
evidence presented in this review supports the need for prospective comparative
studies evaluating long-term LUTS/BPH pharmacological treatment versus an early
surgical intervention, particularly using the emerging laser technologies.
PMID- 27879968
TI - Can urinary indolylacroylglycine levels be used to determine whether children
with autism will benefit from dietary intervention?
AB - BACKGROUND: An increase in urinary indolyl-3-acryloylglycine (IAG) has been
reported in children with autism spectrum disorders (ASD) who suffer with bowel
problems in comparison to ASD children without gastrointestinal (GI) problems.
The case for dietary intervention for ASD children with GI symptoms might be
strengthened were such a difference to be autism-specific. METHODS: Quantitative
analysis of urinary IAG levels was performed for 53 children on the autism
spectrum and 146 age-matched controls. The parents of each child were asked to
provide information on bowel symptoms experienced by the child and their eating
habits over a period of 2 wk. RESULTS: We find no significant difference in
urinary IAG levels between the ASD children with GI problems and ASD children
without GI problems. Although we see some difference between ASD children with GI
problems and controls in mainstream schools with GI problems, the difference
between non-autistic children with other developmental disorders and controls in
mainstream schools is more significant so that any difference is not autism
specific. We find a strong correlation between bowel symptoms and diet problems
in ASD children, especially idiosyncratic feeding behavior and we show that ASD
children suffering from multiple bowel symptoms tend to be those who also have
dietary problems. CONCLUSION: We found no evidence to support the hypothesis that
children with ASD who suffer with bowel problems have increased levels of urinary
IAG in comparison to children with ASD who do not have gastrointestinal problems.
PMID- 27879970
TI - Mortality, hospital days and expenditures attributable to ambient air pollution
from particulate matter in Israel.
AB - BACKGROUND: Worldwide, ambient air pollution accounts for around 3.7 million
deaths annually. Measuring the burden of disease is important not just for
advocacy but also is a first step towards carrying out a full cost-utility
analysis in order to prioritise technological interventions that are available to
reduce air pollution (and subsequent morbidity and mortality) from industrial,
power generating and vehicular sources. METHODS: We calculated the average
national exposure to particulate matter particles less than 2.5 MUm (PM2.5) in
diameter by weighting readings from 52 (non-roadside) monitoring stations by the
population of the catchment area around the station. The PM2.5 exposure level was
then multiplied by the gender and cause specific (Acute Lower Respiratory
Infections, Asthma, Circulatory Diseases, Coronary Heart Failure, Chronic
Obstructive Pulmonary Disease, Diabetes, Ischemic Heart Disease, Lung Cancer, Low
Birth Weight, Respiratory Diseases and Stroke) relative risks and the national
age, cause and gender specific mortality (and hospital utilisation which included
neuro-degenerative disorders) rates to arrive at the estimated mortality and
hospital days attributable to ambient PM2.5 pollution in Israel in 2015. We
utilised a WHO spread-sheet model, which was expanded to include relative risks
(based on more recent meta-analyses) of sub-sets of other diagnoses in two
additional models. RESULTS: Mortality estimates from the three models were 1609,
1908 and 2253 respectively in addition to 184,000, 348,000 and 542,000 days
hospitalisation in general hospitals. Total costs from PM2.5 pollution (including
premature burial costs) amounted to $544 million, $1030 million and $1749 million
respectively (or 0.18 %, 0.35 % and 0.59 % of GNP). CONCLUSIONS: Subject to the
caveat that our estimates were based on a limited number of non-randomly sited
stations exposure data. The mortality, morbidity and monetary burden of disease
attributable to air pollution from particulate matter in Israel is of sufficient
magnitude to warrant the consideration of and prioritisation of technological
interventions that are available to reduce air pollution from industrial, power
generating and vehicular sources. The accuracy of our burden estimates would be
improved if more precise estimates of population exposure were to become
available in the future.
PMID- 27879971
TI - TCR diversity - a universal cancer immunotherapy biomarker?
AB - Sipuleucel-T was approved as a treatment for men with advanced metastatic,
castration-resistant prostate cancer on the basis of improved survival in
randomized clinical trials. A major challenge for this therapy, as well as other
newer cancer immunotherapy agents, has been to identify markers that can identify
patients who benefit from these therapies. In a recent manuscript by Sheikh and
colleagues, the investigators evaluated changes in T cell clonality in the
peripheral blood and tumors of patients treated with sipuleucel-T using next
generation sequencing of T cell receptor Vbeta CDR3 sequences. Their findings are
discussed in the context of this trial and other cancer immunotherapies.
PMID- 27879969
TI - Factors associated with choice of approach for Group B streptococcus screening.
AB - BACKGROUND: The crude rate of early-onset Group B streptococcus disease (EOGBS)
in Israel has been consistently under 0.5 for 1000 live births for the past 8
years. The Israeli Ministry of Health has adapted the risk factor based approach
for preventing EOGBS and universal bacteriological screening for GBS is not
recommended. In spite of this policy, there are indications that many pregnant
women in Israel undergo bacteriological screening for GBS. The objective of this
study is to assess the rate and characteristics of pregnant women who undergo
screening for group B streptococcus (GBS) colonization in Israel. METHODS: Survey
of expectant mothers who came to give birth in 29 delivery rooms throughout
Israel during the month of July 2012 regarding GBS screening practice and
demographics. RESULTS: A total of 2968 pregnant women participated in the
assessment. Among them, 935 women (31.5 %) had been tested for GBS colonization.
About 90 % of those women had no risk factors, only 542 women (60 %) underwent
testing during the recommended gestational timing (35-37 weeks) and 23 % of the
tested women reported being GBS carriers. GBS screening as part of the routine
pregnancy follow- up was associated with: residence district, intermediate or
high socioeconomic rank, being a member of certain health maintenance
organization and being Jewish. Characteristics found to be significantly
associated with being a GBS carrier were: low socioeconomic rank, and having a
risk factor for GBS infection. CONCLUSIONS: A substantial number of pregnant
women in Israel undergo screening for GBS colonization despite the national
policy against universal screening. While GBS colonization was more prevalent in
women of lower socioeconomic status, screening is done more often in those of
higher socioeconomic status, suggesting unnecessary monetary expenses.
PMID- 27879972
TI - Responses of metastatic basal cell and cutaneous squamous cell carcinomas to anti
PD1 monoclonal antibody REGN2810.
AB - BACKGROUND: Basal cell carcinoma (BCC) and cutaneous squamous cell carcinoma
(CSCC) share exposure to UV light as the dominant risk factor, and these tumors
therefore harbor high mutation burdens. In other malignancies, high mutation
burden has been associated with clinical benefit from therapy with antibodies
directed against the Programmed Death 1 (PD-1) immune checkpoint receptor. Highly
mutated tumors are more likely to express immunogenic tumor neoantigens that
attract effector T cells, which can be unleashed by blockade of the PD-1 immune
checkpoint. CASE PRESENTATIONS: This report describes a patient with metastatic
BCC and a patient with metastatic CSCC who were treated with REGN2810, a fully
human anti-PD-1 monoclonal antibody, in an ongoing phase 1 trial (NCT02383212).
The CSCC patient has experienced an ongoing complete response (16+ months), and
the BCC patient has experienced an ongoing partial response (12+ months).
CONCLUSIONS: These case reports suggest that UV-associated skin cancers, beyond
melanoma, are sensitive to PD-1 blockade. TRIAL REGISTRATION: Clinicaltrials.gov
NCT02383212. Registered 2 February 2015.
PMID- 27879973
TI - Application of the Immunoscore as prognostic tool for hepatocellular carcinoma.
AB - To date, the American Joint Committee on Cancer (AJCC) and the Union for
International Cancer Control (UICC) tumor, nodes, metastasis (TNM) classification
represents the standard system for evaluation of prognosis in solid tumors.
However, the clinical outcome can be significantly different in patients with the
same TNM stage. Therefore, many efforts have been made aiming to define new
prognostic parameters. Indeed, analyses conducted in large cohorts of colorectal
cancer patients emphasized the prognostic value of tumor-infiltrating
lymphocytes, leading to the development of a prognostic score referred to as
"Immunoscore". In this commentary, we recapitulate the study by Gabrielson and
colleagues, recently published in Cancer Immunology Research, addressing the role
of intratumoral CD3+ and CD8+ T cells as well as as prognostic markers for
hepatocellular carcinoma. The authors demonstrate that Immunoscore represents a
valuable prognostic marker in patients with hepatocellular carcinoma who have
undergone primary tumor resection, supporting its application in a tumor setting
other than colorectal cancer.
PMID- 27879974
TI - Nivolumab dose selection: challenges, opportunities, and lessons learned for
cancer immunotherapy.
AB - BACKGROUND: Immuno-oncology (I-O) therapies target the host immune system,
providing the potential to choose a uniform dose and schedule across tumor types.
However, dose selection for I-O agents usually occurs early in clinical
development and is typically based on tumor response, which may not fully
represent the potential for improved overall survival. Here, we describe an
integrated approach which incorporates clinical safety and efficacy data with
data obtained from analyses of dose-/exposure-response (D-R/E-R) relationships,
used to select a monotherapy dose for nivolumab, a programmed death-1 inhibitor,
in clinical studies of different tumor types. METHODS: Dose was selected based on
anti-tumor activity and safety data from a large phase 1b, open-label, dose
escalation study of nivolumab at doses ranging from 0.1 to 10 mg/kg administered
every 2 weeks (Q2W) in 306 patients with advanced malignancies, and quantitative
analyses were performed to characterize D-R/E-R relationships for
pharmacodynamic, safety, and efficacy endpoints. RESULTS: A maximum tolerated
dose for nivolumab was not identified, and the safety profile was similar across
tumor types and dose levels (0.1-10 mg/kg). Objective response rates (ORRs) were
similar across doses in melanoma and renal cell carcinoma (RCC), while higher
ORRs were observed in non-small cell lung cancer (NSCLC) at 3 mg/kg and 10 mg/kg
versus 1 mg/kg. Peripheral receptor occupancy was saturated at doses >= 0.3
mg/kg. In D-R/E-R analyses, a positive dose-dependent objective response trend
was observed for each tumor type, but appeared to plateau at nivolumab doses of
>= 1 mg/kg for melanoma and RCC, and at >= 3 mg/kg for NSCLC. Although there was
no apparent relationship between tumor shrinkage rate and exposure, tumor
progression rate appeared to decrease with increasing exposure up to a dose of 3
mg/kg Q2W for NSCLC. CONCLUSIONS: Nivolumab monotherapy at 3 mg/kg Q2W provides
unified dosing across tumor types. This dose and schedule has been validated in
several phase II/III studies in which overall survival was an endpoint.
Integrating D-R/E-R relationships with efficacy data and a safety profile that is
unique to I-O therapy is a rational approach for dose selection of these agents.
PMID- 27879975
TI - Metastatic Merkel cell carcinoma response to nivolumab.
AB - BACKGROUND: Merkel cell carcinoma (MCC) is an aggressive cutaneous neuroendocrine
malignancy with limited treatment options. Several lines of evidence support the
programmed death-1/programmed death-ligand 1 (PD-1/PD-L1) axis as a likely
contributor to immune evasion in MCC. CASE PRESENTATION: We report a case of a
patient with metastatic MCC with a significant and durable response to nivolumab,
a humanized IgG4 monoclonal anti-PD-1 antibody. CONCLUSION: Immunotherapy with PD
1/PD-L1 inhibitors has become a rational and promising treatment option for MCC
in the advanced or metastatic disease. Clinical trials are currently in progress
to further evaluate these novel therapeutic agents.
PMID- 27879976
TI - Correction: Downsizing the BAD BH3 peptide to small constrained alpha-helices
with improved ligand efficiency.
AB - Correction for 'Downsizing the BAD BH3 peptide to small constrained alpha-helices
with improved ligand efficiency' by Nicholas E. Shepherd et al., Org. Biomol.
Chem., 2016, DOI: 10.1039/c6ob02185a.
PMID- 27879980
TI - Theory-based interventions for contraception.
AB - BACKGROUND: The explicit use of theory in research helps expand the knowledge
base. Theories and models have been used extensively in HIV-prevention research
and in interventions for preventing sexually transmitted infections (STIs). The
health behavior field uses many theories or models of change. However, many
educational interventions addressing contraception have no explicit theoretical
base. OBJECTIVES: To review randomized controlled trials (RCTs) that tested a
theoretical approach to inform contraceptive choice and encourage or improve
contraceptive use. SEARCH METHODS: To 1 November 2016, we searched for trials
that tested a theory-based intervention for improving contraceptive use in
PubMed, CENTRAL, POPLINE, Web of Science, ClinicalTrials.gov, and ICTRP. For the
initial review, we wrote to investigators to find other trials. SELECTION
CRITERIA: Included trials tested a theory-based intervention for improving
contraceptive use. Interventions addressed the use of one or more methods for
contraception. The reports provided evidence that the intervention was based on a
specific theory or model. The primary outcomes were pregnancy and contraceptive
choice or use. DATA COLLECTION AND ANALYSIS: We assessed titles and abstracts
identified during the searches. One author extracted and entered the data into
Review Manager; a second author verified accuracy. We examined studies for
methodological quality.For unadjusted dichotomous outcomes, we calculated the
Mantel-Haenszel odds ratio (OR) with 95% confidence interval (CI). Cluster
randomized trials used various methods of accounting for the clustering, such as
multilevel modeling. Most reports did not provide information to calculate the
effective sample size. Therefore, we presented the results as reported by the
investigators. We did not conduct meta-analysis due to varied interventions and
outcome measures. MAIN RESULTS: We included 10 new trials for a total of 25. Five
were conducted outside the USA. Fifteen randomly assigned individuals and 10
randomized clusters. This section focuses on nine trials with high or moderate
quality evidence and an intervention effect. Five based on social cognitive
theory addressed preventing adolescent pregnancy and were one to two years long.
The comparison was usual care or education. Adolescent mothers with a home-based
curriculum had fewer second births in two years (OR 0.41, 95% CI 0.17 to 1.00).
Twelve months after a school-based curriculum, the intervention group was more
likely to report using an effective contraceptive method (adjusted OR 1.76 +/-
standard error (SE) 0.29) and using condoms during last intercourse (adjusted OR
1.68 +/- SE 0.25). In alternative schools, after five months the intervention
group reported more condom use during last intercourse (reported adjusted OR
2.12, 95% CI 1.24 to 3.56). After a school-based risk-reduction program, at three
months the intervention group was less likely to report no condom use at last
intercourse (adjusted OR 0.67, 95% CI 0.47 to 0.96). The risk avoidance group
(abstinence-focused) was less likely to do so at 15 months (OR 0.61, 95% CI 0.45
to 0.85). At 24 months after a case management and peer-leadership program, the
intervention group reported more consistent use of hormonal contraceptives
(adjusted relative risk (RR) 1.30, 95% CI 1.06 to 1.58), condoms (RR 1.57, 95% CI
1.28 to 1.94), and dual methods (RR 1.36, 95% CI 1.01 to 1.85).Four of the nine
trials used motivational interviewing (MI). In three studies, the comparison
group received handouts. The MI group more often reported effective contraception
use at nine months (OR 2.04, 95% CI 1.47 to 2.83). In two studies, the MI group
was less likely to report using ineffective contraception at three months (OR
0.31, 95% CI 0.12 to 0.77) and four months (OR 0.56, 95% CI 0.31 to 0.98),
respectively. In the fourth trial, the MI group was more likely than a group with
non-standard counseling to initiate long-acting reversible contraception (LARC)
by one month (OR 3.99, 95% CI 1.36 to 11.68) and to report using LARC at three
months (OR 3.38, 95% CI 1.06 to 10.71). AUTHORS' CONCLUSIONS: The overall quality
of evidence was moderate. Trials based on social cognitive theory focused on
adolescents and provided multiple sessions. Those using motivational interviewing
had a wider age range but specific populations. Sites with low resources need
effective interventions adapted for their settings and their typical clients.
Reports could be clearer about how the theory was used to design and implement
the intervention.
PMID- 27879981
TI - Zinc supplementation for tinnitus.
AB - BACKGROUND: Tinnitus is the perception of sound without external acoustic
stimuli. Patients with severe tinnitus may have physical and psychological
complaints and their tinnitus can cause deterioration in their quality of life.
At present no specific therapy for tinnitus has been found to be satisfactory in
all patients. In recent decades, a number of reports have suggested that oral
zinc supplementation may be effective in the management of tinnitus. Since zinc
has a role in cochlear physiology and in the synapses of the auditory system,
there is a plausible mechanism of action for this treatment. OBJECTIVES: To
evaluate the effectiveness and safety of oral zinc supplementation in the
management of patients with tinnitus. SEARCH METHODS: The Cochrane ENT
Information Specialist searched the ENT Trials Register; Central Register of
Controlled Trials (CENTRAL 2016, Issue 6); PubMed; EMBASE; CINAHL; Web of
Science; ClinicalTrials.gov; ICTRP and additional sources for published and
unpublished trials. The date of the search was 14 July 2016. SELECTION CRITERIA:
Randomised controlled trials comparing zinc supplementation versus placebo in
adults (18 years and over) with tinnitus. DATA COLLECTION AND ANALYSIS: We used
the standard methodological procedures recommended by Cochrane. Our primary
outcome measures were improvement in tinnitus severity and disability, measured
by a validated tinnitus-specific questionnaire, and adverse effects. Secondary
outcomes were quality of life, change in socioeconomic impact associated with
work, change in anxiety and depression disorders, change in psychoacoustic
parameters, change in tinnitus loudness, change in overall severity of tinnitus
and change in thresholds on pure tone audiometry. We used GRADE to assess the
quality of the evidence for each outcome; this is indicated in italics. MAIN
RESULTS: We included three trials involving a total of 209 participants. The
studies were at moderate to high risk of bias. All included studies had
differences in participant selection criteria, length of follow-up and outcome
measurement, precluding a meta-analysis. The participants were all adults over 18
years with subjective tinnitus, but one study conducted in 2013 (n = 109)
included only elderly patients. Improvement in tinnitus severity and
disabilityOnly the study in elderly patients used a validated instrument
(Tinnitus Handicap Questionnaire) for this primary outcome. The authors of this
cross-over study did not report the results of the two phases separately and
found no significant differences in the proportion of patients reporting tinnitus
improvement at four months of follow-up: 5% (5/93) versus 2% (2/94) in the zinc
and placebo groups, respectively (risk ratio (RR) 2.53, 95% confidence interval
(CI) 0.50 to 12.70; very low-quality evidence).None of the included studies
reported any significant adverse effects. Secondary outcomesFor the secondary
outcome change in tinnitus loudness, one study reported no significant difference
between the zinc and placebo groups after eight weeks: mean difference in
tinnitus loudness -9.71 dB (95% CI -25.53 to 6.11; very low-quality evidence).
Another study also measured tinnitus loudness but used a 0- to 100-point scale.
The authors of this second study reported no significant difference between the
zinc and placebo groups after four months: mean difference in tinnitus loudness
rating scores 0.50 (95% CI -5.08 to 6.08; very low-quality evidence).Two studies
used unvalidated instruments to assess tinnitus severity. One (with 50
participants) reported the severity of tinnitus using a non-validated scale (0 to
7 points) and found no significant difference in subjective tinnitus scores
between the zinc and placebo groups at the end of eight weeks of follow-up (mean
difference (MD) -1.41, 95% CI -2.97 to 0.15; very low-quality evidence). A third
trial (n = 50) also evaluated the improvement of tinnitus using a non-validated
instrument (a 0 to 10 scale: 10 = severe and unbearable tinnitus). In this study,
after eight weeks there was no difference in the proportion of patients with
improvement in their tinnitus, 8.7% (2/23) treated with zinc versus 8% (2/25) of
those who received a placebo (RR 1.09, 95% CI 0.17 to 7.10, very low-quality
evidence).None of the included studies reported any of our other secondary
outcomes (quality of life, change in socioeconomic impact associated with work,
change in anxiety and depression disorders, change in psychoacoustic parameters
or change in thresholds on pure tone audiometry). AUTHORS' CONCLUSIONS: We found
no evidence that the use of oral zinc supplementation improves symptoms in adults
with tinnitus.
PMID- 27879982
TI - Resistance Band Exercises Reduce Depression and Behavioral Problems of Wheelchair
Bound Older Adults with Dementia: A Cluster-Randomized Controlled Trial.
AB - OBJECTIVES: To test the effects of a 15-month wheelchair-bound resistance band
exercise program on depression and behavioral problems of wheelchair-bound older
adults with dementia. DESIGN: Single-blind, cluster-randomized controlled trial
with repeated measures. SETTING: Eight nursing homes in southern Taiwan.
PARTICIPANTS: Wheelchair-bound nursing home older adults with dementia who
participated voluntarily (N = 150) were cluster-randomized to two groups
(experimental or control group); 127 completed the study (experimental: four
nursing homes, n = 65; control: four nursing homes, n = 62). INTERVENTION: The
resistance band exercises were conducted three times per week in 40-minute
sessions in the following two sequences: volunteer-led sessions for the first 6
months (Stage I) followed by DVD-guided sessions for the next 9 months (Stage
II). MEASUREMENTS: Depression, as measured using the Cornell Scale for Depression
in Dementia, and behavioral problems, as measured using the Clifton Assessment
Procedures for the Elderly-Behavior Rating Scale, of participants were observed
at six time points at 3-month intervals: pretest, two posttests at Stage I, and
three posttests at Stage II. RESULTS: By the sixth month of the study,
experimental group participants were significantly less depressed and had fewer
behavioral problems than control group participants (all P < .05). These small
but statistically significant differences persisted throughout the 9 months of
the DVD-guided sessions (all P < .05). CONCLUSION: Volunteer-led sessions
followed by DVD-guided sessions of resistance band exercise is effective and
practical in institutional settings.
PMID- 27879983
TI - Delirium: A Survey of Healthcare Professionals' Knowledge, Beliefs, and
Practices.
AB - OBJECTIVES: To evaluate knowledge, beliefs, and practices regarding delirium of
physicians, nurse practitioners (NPs), and registered nurses (RNs). DESIGN:
Anonymous cross-sectional paper survey. SETTING: New York metropolitan area
tertiary care hospital. PARTICIPANTS: RNs, NPs, and physicians (N = 164).
MEASUREMENTS: The survey assessed knowledge, beliefs, and practices regarding
delirium and prior delirium or geriatric training. RESULTS: Of the 200 surveys
distributed, 164 were completed (82% response rate). Of these, 61.7% were RNs,
13.6% were NPs, and 20.7% were physicians. Mean participant age was 36.3. The
majority (80.1%) were female; 56.5% were white, 18.1% Asian, 8.7% Hispanic, 8.0%
black, and 8.7% other. Of the seven potential barriers to delirium screening
assessed, the three most frequently reported were lack of conceptual
understanding of delirium (48.0%), similarity of delirium and dementia (41.4%),
and the fluctuating nature of delirium (38.1%). Physicians were more likely than
NPs and RNs to report being confident in identifying delirium (P = .002) and to
score higher on the delirium knowledge assessment (P < .001). Participants who
received geriatrics training were significantly more likely than those who did
not to be confident in identifying delirium (P = .005) and to score higher on
overall delirium knowledge assessment (P = .003). CONCLUSION: Geriatric training
is associated with more confidence in delirium screening and higher delirium
knowledge scores. There is an urgent need to broaden the approach to delirium
education of nurses and physicians caring for hospitalized older adults using
comprehensive multidisciplinary geriatric educational models.
PMID- 27879985
TI - Criterion Validity of a Frailty Index Derived from the Easycare Instrument.
PMID- 27879984
TI - No End in Sight: Benzodiazepine Use in Older Adults in the United States.
AB - OBJECTIVES: To establish the rate of new and continuation of benzodiazepine use
in older adults seen by nonpsychiatrist physicians and to identify subpopulations
at risk of new and continuation benzodiazepine use. DESIGN: Cross-sectional
analysis. SETTING: National Ambulatory Medical Care Survey (2007-10).
PARTICIPANTS: Adults visiting office-based nonpsychiatrist physicians (n =
98,818) who were prescribed a benzodiazepine (new or continuation). MEASUREMENTS:
Percentage of benzodiazepine visits of all outpatient encounters according to
patient age and corresponding annual visit rate per 1,000 population. Analysis
was then limited to adults aged 65 and older, demographic, clinical, and visits
characteristics were used to compare visits of benzodiazepine users with those of
nonusers and visits of continuation users with those of new users. RESULTS: The
overall proportion of benzodiazepine visits ranged from 3.2% (95% confidence
interval (CI) = 2.7-3.7) of those aged 18 to 34 to 6.6% (95% CI = 5.8-7.6) of
those aged 80 and older, and the proportion of continuation visits increased with
age, rising to 90.2% (95% CI = 86.2-93.1) of those aged 80 and older. The
population-based visit rate ranged from 61.7 (95% CI = 50.7-72.7) per 1,000
persons in the youngest adults to 463.7 (95% CI = 385.4-542.0) in those aged 80
and older. Only 16.0% (95% CI = 13.5-18.8) of continuation users had any mental
health diagnosis. Of all benzodiazepine users, fewer than 1% (95% CI = .4-1.8)
were provided or referred to psychotherapy, and 10.0% (95% CI = 7. 2-13.3) were
also prescribed an opioid. CONCLUSION: In the United States, few older adult
benzodiazepine users receive a clinical mental health diagnosis, and almost none
are provided or referred to psychotherapy. Prescribing to older adults continues
despite decades of evidence documenting safety concerns, effective alternative
treatments, and effective methods for tapering even chronic users.
PMID- 27879986
TI - Anticholinergic Burden in Older Adults with Mild Cognitive Impairment.
PMID- 27879987
TI - Ocular extramedullary myeloid leukaemia.
PMID- 27879988
TI - Immune thrombocytopenia: a need for assisted suicide.
PMID- 27879989
TI - CD274 (PD-L1)/PDCD1 (PD-1) expression in de novo and transformed diffuse large B
cell lymphoma.
PMID- 27879990
TI - Sequential chemotherapy followed by reduced-intensity conditioning and allogeneic
haematopoietic stem cell transplantation in adult patients with relapse or
refractory acute myeloid leukaemia: a survey from the Acute Leukaemia Working
Party of EBMT.
AB - This study analysed the outcome of 267 patients with relapse/refractory acute
myeloid leukaemia (AML) who received sequential chemotherapy including
fludarabine, cytarabine and amsacrine followed by reduced-intensity conditioning
(RIC) and allogeneic haematopoietic stem cell transplantation (HSCT). The
transplants in 77 patients were from matched sibling donors (MSDs) and those in
190 patients were from matched unrelated donors. Most patients (94.3%) were given
anti-T-cell antibodies. The incidence of acute graft-versus-host disease (GVHD)
of grades II-IV was 32.1% and that of chronic GVHD was 30.2%. The 3-year
probability of non-relapse mortality (NRM) was 25.9%, that of relapse was 48.5%,
that of GVHD-free and relapse-free survival (GRFS) was 17.8% and that of
leukaemia-free survival (LFS) was 25.6%. In multivariate analysis, unrelated
donor recipients more frequently had acute GVHD of grades II-IV [hazard ratio
(HR) = 1.98, P = 0.017] and suffered less relapses (HR = 0.62, P = 0.01) than MSD
recipients. Treatment with anti-T-cell antibodies reduced NRM (HR = 0.35, P =
0.01) and improved survival (HR = 0.49, P = 0.01), GRFS (HR = 0.37, P = 0.0004)
and LFS (HR = 0.46, P = 0.005). Thus, sequential chemotherapy followed by RIC
HSCT and use of anti-T-cell antibodies seems promising in patients with
refractory AML.
PMID- 27879991
TI - Clients' Retrospective Accounts of Corrective Experiences in Psychotherapy: An
International, Multisite Collaboration.
AB - This article introduces a series of 4 original research reports that used varied
qualitative methods for understanding an internationally diverse sample of
clients' own accounts of corrective experiences (CEs), as they looked back on
their completed psychotherapy. The basis for all studies, which were conducted
across 4 different countries, was the Patients' Perceptions of Corrective
Experiences in Individual Therapy (PPCEIT) semistructured interview protocol
(Constantino, Angus, Friedlander, Messer, & Moertl, 2011). The PPCEIT interview
assesses clients' retrospective accounts of aspects of self, other, and/or
relationships that may have been corrected, and what they perceived as corrective
experiences that facilitated such transformations. It also asks for specific,
detailed examples of these accounts and experiences. Across all studies, the
PPCEIT interview generated rich clinical material and resulting empirically
generated themes that may inform clinical practice. After briefly defining the CE
construct and highlighting a lack of research on clients' own accounts of such
experiences, we describe the development of the PPCEIT interview (and provide the
full interview manual and question protocol as appendices). We then summarize the
foci of the culturally diverse reports in this series.
PMID- 27879992
TI - Spleen hypofunction in eosinophilic gastrointestinal disease.
PMID- 27879993
TI - Randomized clinical trial of preoperative skin antisepsis with chlorhexidine
gluconate or povidone-iodine.
AB - BACKGROUND: Skin antiseptic agents are used to prevent surgical-site infection
(SSI); few trials have reported the superiority of any specific agent in clean
contaminated abdominal surgery. This RCT was designed to compare the
effectiveness of chlorhexidine gluconate and povidone-iodine. METHODS:
Consecutive patients who underwent clean-contaminated upper gastrointestinal or
hepatobiliary-pancreatic open surgery between 2011 and 2014 were assigned
randomly to either chlorhexidine gluconate or povidone-iodine. The primary
endpoint was the occurrence of SSI within 30 days of surgery. Secondary endpoints
included causative organisms and risk factors for SSI. RESULTS: A total of 534
patients were randomized; 31 (5.8 per cent) developed an SSI. There was no
difference in the overall SSI rate in the chlorhexidine gluconate and povidone
iodine groups: 15 of 267 (5.6 per cent) and 16 of 267 (6.0 per cent) respectively
(P = 0.853). The most common causative organism was Enterococcus faecalis. In
subgroup analysis, biliary-pancreatic surgery had a higher SSI rate (26 of 127,
20.5 per cent) than upper gastrointestinal (2 of 204, 1.0 per cent) and hepatic
(3 of 203, 1.5 per cent) resection. Both age (60 years and over) and type of
incision were associated with the risk of SSI. CONCLUSION: No difference was
detected between chlorhexidine gluconate and povidone-iodine antiseptics for
prevention of SSI. Registration number: NCT01495117
(http://www.clinicaltrials.gov).
PMID- 27879994
TI - A new mutation of ANO6 in two familial cases of Scott syndrome.
PMID- 27879996
TI - Microaggressions: An Approach to Understanding the Health of Workers in Long-Term
Care Settings.
PMID- 27879995
TI - EGFR gene copy number predicts response to anti-EGFR treatment in RAS wild type
and RAS/BRAF/PIK3CA wild type metastatic colorectal cancer.
AB - Anti-EGFR antibodies are used for the treatment of RAS wild type metastatic
colorectal cancer. We previously showed that EGFR gene copy number (GCN) predicts
response to anti-EGFR therapy in KRAS exon 2 wild type metastatic colorectal
cancer. The aim of our study was to analyse the predictive role of EGFR GCN in
RAS/BRAF/PIK3CA wild type metastatic colorectal cancer. The material included 102
patients with KRAS exon 2 wild type metastatic colorectal cancer treated with
anti-EGFR +/- cytotoxic therapy. Next generation sequencing was used for KRAS,
NRAS, BRAF and PIK3CA gene mutation analyses. EGFR GCN was analysed by EGFR
immunohistochemistry guided automated silver in situ hybridisation. Increased
EGFR GCN (>=4.0) predicted a better response and prolonged progression free
survival in anti-EGFR treated RAS/BRAF/PIK3CA wild type patients (Log-rank test,
p = 0.0004). In contrast, survival of RAS/BRAF/PIK3CA wild type, EGFR GCN below
4.0 patients did not differ from patients with mutant RAS, BRAF or PIK3CA. Our
study indicates that EGFR GCN predicts anti-EGFR treatment efficacy in patients
with RAS/BRAF/PIK3CA wt metastatic CRC. Tumours with EGFR GCN below 4.0 appear to
be as refractory to anti-EGFR treatment as tumours with mutation in any of the
RAS/RAF/PIK3CA pathway genes.
PMID- 27879998
TI - Patients' Perceptions of Corrective Experiences in Naturalistically Delivered
Psychotherapy.
AB - Corrective experiences (CEs), which suggest transformative experience(s) for the
psychotherapy patient, have a rich theoretical history; yet there is little
empirical information on patients' own perceptions of what gets "corrected" from
therapy, and what is "corrective" (i.e., the mechanisms driving the CE). To
address this gap, we investigated 14 patients' posttreatment accounts of both CE
elements in the context of naturalistically delivered individual psychotherapy,
using a consensual qualitative research methodology. Extending prior research
focused on patients' accounts of CEs while still engaged in treatment
(Heatherington et al., 2012), the present results revealed that patients
retrospectively identified an array of categories that were deemed corrected,
such as positive changes in cognitions, interpersonal problems, self-concepts,
symptoms, and behaviors. Patients also identified CEs that may have led to those
shifts/transformations, including their therapist's actions (especially giving
feedback), their own agentic actions (especially engaging in the therapeutic
process), and the patient-therapist collaborative and engaged relationship.
Clinical practice implications are discussed.
PMID- 27879997
TI - A United Kingdom Immune Thrombocytopenia (ITP) Forum review of practice:
thrombopoietin receptor agonists.
PMID- 27879999
TI - Addendum to the British Committee for Standards in Haematology (BCSH): guidelines
for the use of fresh-frozen plasma, cryoprecipitate and cryosupernatant, 2004
(Br. J Haematol 2004,126,11-28) - response to Neisser-Svae and Heger.
PMID- 27880000
TI - Reply to 'A phase II randomized controlled trial of nicotinamide for skin cancer
chemoprevention in renal transplant recipients'.
PMID- 27880001
TI - Oligomeric form of C-terminal-binding protein coactivates NeuroD1-mediated
transcription.
AB - The mechanism underlying transcriptional coactivation by the corepressor C
terminal-binding protein (CtBP) is not established. We previously found that CtBP
co-occupies several actively transcribed endocrine genes with the transcription
factor NeuroD1 to paradoxically increase transcription by recruiting KDM1A and
CoREST. While the importance of the oligomeric form of CtBP for corepression is
well established, the role of oligomerization in transcriptional coactivation has
received little attention. Here, we examined the importance of the oligomeric
state of CtBP for coactivation of NeuroD1-dependent transcription by expressing a
CtBP dimerization mutant in cells depleted of endogenous CtBP. Dimerization
mutants failed to increase transcription or to associate with KDM1A and CoREST,
suggesting that oligomeric, but not monomeric CtBP is required to recruit other
proteins needed to activate transcription.
PMID- 27880002
TI - Predictors and Outcomes of Growth Mixture Modeled Trajectories Across an Exposure
Based PTSD Intervention With Veterans.
AB - OBJECTIVES: Exposure-based psychotherapies for posttraumatic stress disorder
(PTSD) are effective for many, but not all patients. It is important to determine
for whom these treatments work and to examine predictors of success. METHOD: An 8
week modified prolonged exposure (PE) treatment, including components of
behavioral activation and reducing the number of imaginal exposure sessions, was
administered to a sample of 231 Veterans (mean age = 45.7 years, standard
deviation = 14.89). Growth mixture modeling was used to model PTSD symptom
trajectories across the 8-week intervention and a postintervention appointment.
Further, baseline demographics, social support, clinician-rated PTSD symptoms,
anxiety, and depression were examined as predictors of trajectories. RESULTS:
Three classes emerged, labeled responders (n = 35), nonresponders (n = 190), and
immediate responders (n = 6). The only significant baseline difference between
responders and nonresponders was higher anxiety symptoms in the nonresponders. At
follow-up time points, there were higher levels of clinician-rated PTSD, anxiety,
and depression symptoms and lower social support in the nonresponders compared to
the responders. CONCLUSION: Findings suggest that modifying standard PE
treatments by reducing imaginal exposure sessions while adding behavioral
activation may not be advisable for most Veterans with PTSD.
PMID- 27880003
TI - Association of Multimorbidity with Mortality and Healthcare Utilization in
Chronic Kidney Disease.
AB - OBJECTIVES: Chronic kidney disease (CKD) almost universally occurs in individuals
with other medical problems. However, few studies have described CKD-related
multimorbidity using a framework that identifies chronic conditions as concordant
(having overlap in treatment goals) versus discordant (having opposing treatment
recommendations) and unrelated (having no overlap, but contributing to complexity
via different resource requirements). DESIGN: Retrospective cohort. SETTING:
Veterans Affairs (VA) Medical Centers. PARTICIPANTS: VA patients (n = 821,334)
ages 18-100 years with at least one outpatient visit and incident CKD defined as
an estimated glomerular filtration rate <60 mL/min/1.73 m2 for at least 3 months
between January 1, 2005 and December 31, 2008 after excluding prevalent CKD.
MEASUREMENTS: We determined the associations of number of chronic conditions (1,
2, 3, 4, 5, 6 or more) stratified by the presence of one or more
discordant/unrelated conditions with mortality, hospitalizations and emergency
department (ED) visits. RESULTS: There were 381,187 deaths over 6.8 median years
of follow-up. Higher risks of death, hospitalization and ED visits were
associated with higher number of chronic conditions, among those with and without
discordant/unrelated conditions. However, the magnitudes of the associations were
consistently larger when at least one discordant/unrelated condition was present.
For example, compared to patients with one concordant condition, patients with
six or more concordant conditions had an age-, race- and sex-adjusted hazard
ratio (HR) for mortality of 1.72 (95% CI 1.64-1.80) whereas those with six or
more conditions, at least one of which was discordant/unrelated, had a HR of 2.05
(2.01-2.09) (P-interaction <0.001). CONCLUSIONS: The presence of one or more
discordant/unrelated conditions was associated with increased risk for adverse
health outcomes, beyond the effect of multimorbidity.
PMID- 27880005
TI - S-nitrosoglutathione promotes cell wall remodelling, alters the transcriptional
profile and induces root hair formation in the hairless root hair defective 6
(rhd6) mutant of Arabidopsis thaliana.
AB - Nitric oxide (NO) exerts pleiotropic effects on plant development; however, its
involvement in cell wall modification during root hair formation (RHF) has not
yet been addressed. Here, mutants of Arabidopsis thaliana with altered root hair
phenotypes were used to assess the involvement of S-nitrosoglutathione (GSNO),
the primary NO source, in cell wall dynamics and gene expression in roots induced
to form hairs. GSNO and auxin restored the root hair phenotype of the hairless
root hair defective 6 (rhd6) mutant. A positive correlation was observed between
increased NO production and RHF induced by auxin in rhd6 and transparent testa
glabra (ttg) mutants. Deposition of an epitope within rhamnogalacturonan-I
recognized by the CCRC-M2 antibody was delayed in root hair cells (trichoblasts)
compared with nonhair cells (atrichoblasts). GSNO, but not auxin, restored the
wild-type root glycome and transcriptome profiles in rhd6, modulating the
expression of a large number of genes related to cell wall composition and
metabolism, as well as those encoding ribosomal proteins, DNA and histone
modifying enzymes and proteins involved in post-translational modification. Our
results demonstrate that NO plays a key role in cell wall remodelling in
trichoblasts and suggest that it also participates in chromatin modification in
root cells of A. thaliana.
PMID- 27880004
TI - Causal relationships between neurons of the nucleus incertus and the hippocampal
theta activity in the rat.
AB - KEY POINTS: The nucleus incertus is a key node of the brainstem circuitry
involved in hippocampal theta rhythmicity. Synchronisation exists between the
nucleus incertus and hippocampal activities during theta periods. By the Granger
causality analysis, we demonstrated a directional information flow between theta
rhythmical neurons in the nucleus incertus and the hippocampus in theta-on
states. The electrical stimulation of the nucleus incertus is also able to evoke
a phase reset of the hippocampal theta wave. Our data suggest that the nucleus
incertus is a key node of theta generation and the modulation network. ABSTRACT:
In recent years, a body of evidence has shown that the nucleus incertus (NI), in
the dorsal tegmental pons, is a key node of the brainstem circuitry involved in
hippocampal theta rhythmicity. Ascending reticular brainstem system activation
evokes hippocampal theta rhythm with coupled neuronal activity in the NI. In a
recent paper, we showed three populations of neurons in the NI with differential
firing during hippocampal theta activation. The objective of this work was to
better evaluate the causal relationship between the activity of NI neurons and
the hippocampus during theta activation in order to further understand the role
of the NI in the theta network. A Granger causality analysis was run to determine
whether hippocampal theta activity with sensory-evoked theta depends on the
neuronal activity of the NI, or vice versa. The analysis showed causal
interdependence between the NI and the hippocampus during theta activity, whose
directional flow depended on the different neuronal assemblies of the NI. Whereas
type I and II NI neurons mainly acted as receptors of hippocampal information,
type III neuronal activity was the predominant source of flow between the NI and
the hippocampus in theta states. We further determined that the electrical
activation of the NI was able to reset hippocampal waves with enhanced theta-band
power, depending on the septal area. Collectively, these data suggest that
hippocampal theta oscillations after sensory activation show dependence on NI
neuron activity, which could play a key role in establishing optimal conditions
for memory encoding.
PMID- 27880006
TI - Impairing both HMA4 homeologs is required for cadmium reduction in tobacco.
AB - In tobacco, the heavy metal P1B-ATPases HMA4.1 and HMA4.2 function in root-to
shoot zinc and cadmium transport. We present greenhouse and field data that
dissect the possibilities to impact the two homeologous genes in order to define
the best strategy for leaf cadmium reduction. In a first step, both genes were
silenced using an RNAi approach leading to >90% reduction of leaf cadmium
content. To modulate HMA4 function more precisely, mutant HMA4.1 and HMA4.2
alleles of a Targeting Induced Local Lesions IN Genomes (TILLING) population were
combined. As observed with RNAi plants, knockout of both homeologs decreased
cadmium root-to-shoot transfer by >90%. Analysis of plants with segregating null
and wild-type alleles of both homeologs showed that one functional HMA4 allele is
sufficient to maintain wild-type cadmium levels. Plant development was affected
in HMA4 RNAi and double knockout plants that included retarded growth, necrotic
lesions, altered leaf morphology and increased water content. The combination of
complete functional loss (nonsense mutation) in one homeologous HMA4 gene and the
functional reduction in the other HMA4 gene (missense mutation) is proposed as
strategy to limit cadmium leaf accumulation without developmental effects.
PMID- 27880007
TI - Benefits from living together? Clades whose species use similar habitats may
persist as a result of eco-evolutionary feedbacks.
AB - Contents 66 I. 67 II. 68 III. 69 IV. 70 V. 73 VI. 75 VII. 77 78 References 78
SUMMARY: Recent decades have seen declines of entire plant clades while other
clades persist despite changing environments. We suggest that one reason why some
clades persist is that species within these clades use similar habitats, because
such similarity may increase the degree of co-occurrence of species within
clades. Traditionally, co-occurrence among clade members has been suggested to be
disadvantageous because of increased competition and enemy pressure. Here, we
hypothesize that increased co-occurrence among clade members promotes mutualist
exchange, niche expansion or hybridization, thereby helping species avoid
population decline from environmental change. We review the literature and
analyse published data for hundreds of plant clades (genera) within a well
studied region and find major differences in the degree to which species within
clades occupy similar habitats. We tentatively show that, in clades for which
species occupy similar habitats, species tend to exhibit increased co-occurrence,
mutualism, niche expansion, and hybridization - and rarely decline. Consistently,
throughout the geological past, clades whose species occupied similar habitats
often persisted through long time-spans. Overall, for many plant species, the
occupation of similar habitats among fellow clade members apparently reduced
their vulnerability to environmental change. Future research should identify when
and how this previously unrecognized eco-evolutionary feedback operates.
PMID- 27880008
TI - Head and neck surgical subspecialty training in Africa: Sustainable models to
improve cancer care in developing countries.
AB - BACKGROUND: Cancer poses a health crisis in the developing world where surgery is
the mainstay of treatment for head and neck cancers. However, a shortage of
surgeons with appropriate skills exists. How do we train head and neck surgeons
in developing countries and avoid a brain drain? The ideal model provides
appropriate affordable training leading to establishment of head and neck cancer
centers that teach and train others. METHODS: Different head and neck surgery
training models are presented based on the personal experiences of the authors.
Surgical exposure of head and neck fellows in Cape Town and (potentially) in
Nairobi is benchmarked against programs in the United States. RESULTS: Surgical
exposure in Cape Town is equivalent to that in the United States, but more
appropriate to a developing world setting. CONCLUSION: Training can be achieved
in a number of ways, which may be complimentary. Fellowship training is possible
in developing countries. (c) 2016 Wiley Periodicals, Inc. Head Neck 39: 605-611,
2017.
PMID- 27880009
TI - Antianginal Efficacy of Ivabradine/Metoprolol Combination in Patients With Stable
Angina.
AB - Medical treatment is the main clinical strategy for controlling patients with
chronic stable angina and improving their quality of life (QoL). Ivabradine
treatment on top of metoprolol decreases angina symptoms and improves QoL in
patients with stable angina and coronary artery disease (CAD). This is a post hoc
analysis (636 CAD patients given ivabradine/metoprolol free combination) of a
prospective, noninterventional study that included 2403 patients with CAD and
stable angina. Data were recorded at baseline at 1 and 4 months after inclusion.
Patient QoL was assessed using the EQ-5D questionnaire. From baseline to study
completion; ivabradine administration on top of metoprolol decreased heart rate
(HR) from 80.8 +/- 9.6 to 64.2 +/- 6.2 bpm (P < 0.001). Mean number of angina
attacks decreased from 2.0 +/- 2.0/wk to 0.2 +/- 0.6/wk (P < 0.001), whereas
nitroglycerin consumption decreased from 1.4 +/- 1.9 times/wk to 0.1 +/- 0.4
times/wk (P < 0.001). The percentage of patients in Canadian Cardiovascular
Society angina class III to IV decreased from 15.4% to 1.9% (P < 0.001). The
improvement of symptoms and angina class led to a significant 14.7-point increase
in EQ-5D questionnaire score (P < 0.001). Patients with increased HR showed
greater improvement (P = 0.001). Adherence to treatment during the entire trial
was high (98%). Ivabradine combined with metoprolol significantly decreased
angina symptoms and use of nitroglycerin in patients with stable angina and CAD,
leading to improved QoL. The benefits observed with this combination explain the
high rate of adherence to treatment.
PMID- 27880010
TI - Abnormal baseline brain activity in Parkinson's disease with and without REM
sleep behavior disorder: A resting-state functional MRI study.
AB - PURPOSE: To investigate the differences in spontaneous brain activity between
Parkinson's disease (PD) patients with rapid eye movement sleep behavior disorder
(RBD), PD patients without RBD, and normal controls, which may shed new light on
the neural mechanism of RBD. MATERIALS AND METHODS: Eighteen PD patients with
RBD, 16 patients without RBD, and 19 age- and gender-matched normal controls
underwent clinical assessment and functional magnetic resonance imaging (fMRI)
with a 3.0T scanner. Resting-state fMRI scans were collected using an echo planar
imaging sequence. Amplitude of low-frequency fluctuations (ALFF) were calculated
to measure spontaneous brain activity in each subject. RESULTS: Compared with PD
patients without RBD, patients with RBD exhibited significantly decreased ALFF
values (P < 0.001, cluster level) in primary motor cortex extending to premotor
cortex. Compared with normal controls, PD patients exhibited decreased ALFF
values (P < 0.001, cluster level) in caudate and putamen (P < 0.001, cluster
level), and increased ALFF values (P = 0.03, cluster level) in prefrontal cortex.
CONCLUSION: The altered spontaneous brain activity in motor cortex may contribute
to the pathogenesis of RBD in PD patients, which further supports the idea that
the pathophysiology of RBD involves not only midbrain dysfunction but also
cerebral cortex abnormalities. Our findings provide additional insight into the
neural mechanism of RBD and may drive future research to develop better
treatment. LEVEL OF EVIDENCE: 3 Technical Efficacy: Stage 3 J. MAGN. RESON.
IMAGING 2017;46:697-703.
PMID- 27880011
TI - Shear Bond Strength of Repair Systems to New CAD/CAM Restorative Materials.
AB - PURPOSE: To evaluate the bond strength of repair systems (Ceramic Repair,
Clearfil Repair) to computer-aided design/computer-assisted machining (CAD/CAM)
restorative materials (IPS e.max CAD, Vita Suprinity, Vita Enamic, Lava
Ultimate). MATERIALS AND METHODS: Thermally aged CAD/CAM restorative material
specimens (5000 cycles between 5 degrees C and 55 degrees C) were randomly
divided into two groups according to the repair system: Ceramic Repair (37%
phosphoric acid + Monobond-S + Heliobond + Tetric N Ceram) or Clearfil Repair
(40% phosphoric acid + mixture of Clearfil Porcelain Bond Activator and Clearfil
SE Bond Primer + Clearfil SE Bond + Filtek Z250). The resin composite was light
cured on conditioned specimens. All specimens were stored in distilled water at
37 degrees C for 24 hours and then additionally aged for 5000 thermal cycles. The
shear bond strength test was performed using a universal testing machine (0.5
mm/min). Two-way ANOVA was used to detect significance differences according to
the CAD/CAM material and composite repair system factors. Subgroup analyses were
conducted using the least significant difference post-hoc test. RESULTS: The
results of two-way ANOVA indicated that bond strength values varied according to
the restorative materials (p < 0.05). No significant differences were observed
between the CAD/CAM restorative materials (p > 0.05), except in the Vita
Suprinity group (p < 0.05). Moreover, no differences were observed between the
repair systems. CONCLUSIONS: Both the Clearfil and Ceramic repair systems used in
the study allow for successful repairs.
PMID- 27880012
TI - Patterns of gene expression in developing embryos of Arabidopsis hybrids.
AB - Hybrids between the Arabidopsis ecotypes C24 and Ler have high levels of hybrid
vigour, or heterosis, in both biomass and seed yield. Heterosis can be detected
throughout the development of the plant and in different tissues. We examined
developing embryos and seeds of C24/Ler reciprocal hybrids with the aim of
detecting the earliest time at which heterotic gene activity occurs. In the
transcriptomes of 4-dap (days after pollination; dermatogen to globular) and 6
dap (heart) embryos from both parents and hybrids, 95% of expressed genes were at
the mid parent value (MPV) and 95% of the genes with single nucleotide
polymorphisms between C24 and Ler retained the same relative allelic expression
levels in the hybrids as existed in the parents. This included loci that had
equivalent levels of transcription in the two parents, together with loci which
had different levels of expression in the parents. Amongst the genes which did
not have MPV expression levels in the hybrids (non-additively expressed genes),
approximately 40 in the globular embryo stage and 89 in the heart embryo stage
had altered levels of transcription in both reciprocal hybrids; these genes could
contribute to the heterotic phenotype of the hybrid embryo. Many of the non
additively expressed genes had expression levels that were shifted towards
maternal levels of transcription, and these differed in the reciprocal hybrids.
Allelic expression analysis indicated that most genes with altered allelic
contributions in the hybrids had an increase in the expression level of the
hybrid's maternal allele. Consistent with the maternal pattern of gene
expression, embryo and seed also show maternally influenced phenotypes.
PMID- 27880013
TI - On-Demand Release of Hydrosoluble Drugs from a Paramagnetic Porous Collagen-Based
Scaffold.
AB - The design of a collagen scaffold containing iron oxide nanostructures capped by
a TiO2 (anatase) layer is reported. The TiO2 shell is proposed to perform a dual
role: 1) as an innovative and biocompatible cross-linker agent, providing binding
sites to the protein moiety, through the well-known TiO2 chemical affinity
towards carboxyl groups, and 2) as a protective surface layer for the
paramagnetic core against oxidation. Simultaneously, the presence of the
nanostructures confers to the collagen gel sensitivity to an external stimulus;
that is, the application of a magnetic field. The hybrid biomaterial was
demonstrated to be nontoxic and is proposed as a smart scaffold for the release
of bioactive compounds on demand. The tuneable release of a model protein
(myoglobin) upon application of a magnetic field was investigated. Myoglobin was
loaded in the microporous material and discharge was induced by consecutive
magnet applications, leading to release of the protein with high spatio-temporal
and dosage control.
PMID- 27880014
TI - Inhibition of epithelial-mesenchymal transition by cetuximab via the EGFR-GEP100
Arf6-AMAP1 pathway in head and neck cancer.
AB - BACKGROUND: Despite improved survival by the addition of a monoclonal antibody
against epidermal growth factor receptor (EGFR), cetuximab, to chemotherapy or
radiotherapy for squamous cell carcinoma of the head and neck (SCCHN), cetuximab
by itself is not a potent antiproliferative agent against SCCHN. We aimed to
elucidate working mechanism of cetuximab in SCCHN. METHODS: The effect of
cetuximab on the proliferation, migration, invasion, epithelial-mesenchymal
transition, and signaling events downstream of the EGFR were investigated in 4
SCCHN cell lines. The in vivo efficacy of cetuximab was evaluated in a
xenotransplant model. RESULTS: Cetuximab inhibited migration, invasion,
epithelial-mesenchymal transition, and lymph node metastasis by suppressing EGFR
GEP100-Arf6-AMAP1 pathway, but it did not inhibit cancer cell proliferation.
CONCLUSION: The improved survival by the addition of cetuximab is likely to be
attributable to the antiepithelial-mesenchymal transition action of cetuximab via
inhibiting EGFR-GEP100-Arf6-AMAP1 pathway. (c) 2016 Wiley Periodicals, Inc. Head
Neck 39: 476-485, 2017.
PMID- 27880015
TI - Bifunctional Molecular Photoswitches Based on Overcrowded Alkenes for Dynamic
Control of Catalytic Activity in Michael Addition Reactions.
AB - The emerging field of artificial photoswitchable catalysis has recently shown
striking examples of functional light-responsive systems allowing for dynamic
control of activity and selectivity in organocatalysis and metal-catalysed
transformations. While our group has already disclosed systems featuring first
generation molecular motors as the switchable central core, a design based on
second generation molecular motors is lacking. Here, the syntheses of two
bifunctionalised molecular switches based on a photoresponsive tetrasubstituted
alkene core are reported. They feature a thiourea substituent as hydrogen-donor
moiety in the upper half and a basic dimethylamine group in the lower half. This
combination of functional groups offers the possibility for application of these
molecules in photoswitchable catalytic processes. The light-responsive central
cores were synthesized by a Barton-Kellogg coupling of the prefunctionalized
upper and lower halves. Derivatization using Buchwald-Hartwig amination and
subsequent introduction of the thiourea substituent afforded the target
compounds. Control of catalytic activity in the Michael addition reaction between
(E)-3-bromo-beta-nitrostyrene and 2,4-pentanedione is achieved upon irradiation
of stable-(E) and stable-(Z) isomers of the bifunctional catalyst 1. Both isomers
display a decrease in catalytic activity upon irradiation to the metastable
state, providing systems with the potential to be applied as ON/OFF catalytic
photoswitches.
PMID- 27880016
TI - Reactions Catalysed by a Binuclear Copper Complex: Relay Aerobic Oxidation of N
Aryl Tetrahydroisoquinolines to Dihydroisoquinolones with a Vitamin B1 Analogue.
AB - N-Aryl tetrahydroisoquinolines were oxidised to dihydroisoquinolones through the
relay catalysis of a binuclear paddle-wheel copper complex and a vitamin B1
analogue with oxygen as oxidant. Mechanistic studies revealed that the copper
catalyst oxidises amines to the corresponding iminium salts, which are then
oxygenated to lactam products by catalysis of the vitamin B1 analogue.
PMID- 27880017
TI - Comparison of the outcomes of free jejunal flap reconstructions of
pharyngoesophageal defects in hypopharyngeal cancer and corrosive injury
patients.
AB - INTRODUCTION: Free jejunal flap is one of the optimal choices for restoring upper
digestive tract. The purpose of this study was to introduce the treatment
strategies and to compare the outcomes of free jejunal flap for
pharyngoesophageal reconstruction between hypopharyngeal cancer and chemical
corrosive injured esophagus. METHODS: From 2001 to 2012, patients who had been
received esophageal reconstruction by free jejunal flap for pharyngoesophageal
defect were divided into squamous cell carcinoma (SCC) group and corrosive
chemical injury (CCI) group. All data on outcomes and complications including
relevant radiographic investigations were collected retrospectively. RESULTS:
Sixteen patients were in SCC group and 9 patients in CCI group underwent free
jejunal flap reconstruction. Two cases of flap failure were noted in SCC group,
and no flap failure in CCI group-the total failure rate was 8% (2/25 patients).
In SCC group, 5 of 16 patients (31.3%) developed fistula; however, no fistula in
CCI group. One patient in SCC group and 3 patients in CCI group developed
esophageal strictures. Other than early stricture (stricture <1 year) which
reached significant difference, all of other complications were not statistically
significant between two groups. The average follow-up time was 42 months.
CONCLUSIONS: Postoperative early stricture but lower fistula occurrence is
expected in patients with corrosively injured esophagi. This helpful preliminary
findings could not only early-check complication, but also better explanation and
prepare were taken placed before operation.
PMID- 27880018
TI - Drought-related secondary metabolites of barley (Hordeum vulgare L.) leaves and
their metabolomic quantitative trait loci.
AB - Determining the role of plant secondary metabolites in stress conditions is
problematic due to the diversity of their structures and the complexity of their
interdependence with different biological pathways. Correlation of metabolomic
data with the genetic background provides essential information about the
features of metabolites. LC-MS analysis of leaf metabolites from 100 barley
recombinant inbred lines (RILs) revealed that 98 traits among 135 detected
phenolic and terpenoid compounds significantly changed their level as a result of
drought stress. Metabolites with similar patterns of change were grouped in
modules, revealing differences among RILs and parental varieties at early and
late stages of drought. The most significant changes in stress were observed for
ferulic and sinapic acid derivatives as well as acylated glycosides of flavones.
The tendency to accumulate methylated compounds was a major phenomenon in this
set of samples. In addition, the polyamine derivatives hordatines as well as
terpenoid blumenol C derivatives were observed to be drought related. The
correlation of drought-related compounds with molecular marker polymorphisms
resulted in the definition of metabolomic quantitative trait loci in the genomic
regions of single-nucleotide polymorphism 3101-111 and simple sequence repeat
Bmag0692 with multiple linkages to metabolites. The associations pointed to genes
related to the defence response and response to cold, heat and oxidative stress,
but not to genes related to biosynthesis of the compounds. We postulate that the
significant metabolites have a role as antioxidants, regulators of gene
expression and modulators of protein function in barley during drought.
PMID- 27880020
TI - Reactions Catalysed by a Binuclear Copper Complex: Aerobic Cross Dehydrogenative
Coupling of N-Aryl Tetrahydroisoquinolines.
AB - Binuclear copper complex [{Cu(Sal)2 (NCMe)}2 ] (Sal=salicylate) was found to be
an active catalyst for the aerobic oxidation of N-aryl tetrahydroisoquinolines to
the corresponding iminium ions, which could be trapped by a wide range of
nucleophiles to form coupled products. The reactions took place under 1 bar of O2
at room temperature with 1 mol % of the copper catalyst being sufficient in most
cases, and are considerably accelerated by catalytic chloride anions. Mechanistic
studies show that the CuII dimer oxidizes the amine to the iminium ion, and this
two-electron process requires O2 , whereby the resulting CuI is concomitantly
reoxidised back to CuII . Various lines of evidence suggest that the oxidative
coupling reaction is turnover-limited by the step of iminium formation, and it is
this step that is promoted by the chloride anion. Since it is more efficient than
and mechanistically distinct from the well-studied simple copper salts such as
CuBr and CuCl2 , the binuclear copper catalyst provides a new tool for oxidative
coupling reactions.
PMID- 27880019
TI - Inferential and forward projection modeling to evaluate options for controlling
invasive mammals on islands.
AB - Successful pest-mammal eradications from remote islands have resulted in
important biodiversity benefits. Near-shore islands can also serve as refuges for
native biota but require ongoing effort to maintain low-pest or pest-free status.
Three management options are available in the presence of reinvasion risk: (1)
control-to-zero density, in which immigration may occur but reinvaders are
removed; (2) sustained population suppression (to relatively low numbers); or (3)
no action. Biodiversity benefits can result from options one and two. The
management challenge is to make evidence-based decisions on the selection of an
appropriate objective and to identify a financially feasible control strategy
that has a high probability of success. This requires understanding the pest
species population dynamics and how it will respond to a range of potential
management strategies, each with an associated financial cost. We developed a two
stage modeling approach that consisted of (1) Bayesian inferential modeling to
estimate parameters for a model of pest population dynamics and control, and (2)
a forward projection model to simulate a range of plausible management scenarios
and quantify the probability of obtaining zero density within four years. We
applied the model to an ongoing, six-year trapping program to control stoats
(Mustela erminea) on Resolution Island, New Zealand. Zero density has not yet
been achieved. Results demonstrate that management objectives were impeded by a
combination of a highly fecund population, insufficient trap attractiveness, and
a substantial proportion of the population that did not enter traps. Immigration
is known to occur because the founding population arrived on the island by
swimming from the mainland. However, immigration rate during this study was
indistinguishable from zero. The forward projection modeling showed that control
to-zero density was feasible but required greater than a two-fold budget increase
to intensify the trapping rate relative to population growth. The two-stage
modeling provides the foundation for a management program in which broad-scale
trials of additional trapping effort or improved trap lures would test model
predictions and increase our understanding of system dynamics.
PMID- 27880021
TI - Engineering the expression level of cytosolic nucleoside diphosphate kinase in
transgenic Solanum tuberosum roots alters growth, respiration and carbon
metabolism.
AB - Nucleoside diphosphate kinase (NDPK) is a ubiquitous enzyme that catalyzes the
transfer of the gamma-phosphate from a donor nucleoside triphosphate to an
acceptor nucleoside diphosphate. In this study we used a targeted metabolomic
approach and measurement of physiological parameters to report the effects of the
genetic manipulation of cytosolic NDPK (NDPK1) expression on physiology and
carbon metabolism in potato (Solanum tuberosum) roots. Sense and antisense NDPK1
constructs were introduced in potato using Agrobacterium rhizogenes to generate a
population of root clones displaying a 40-fold difference in NDPK activity. Root
growth, O2 uptake, flux of carbon between sucrose and CO2 , levels of reactive
oxygen species and some tricarboxylic acid cycle intermediates were positively
correlated with levels of NDPK1 expression. In addition, NDPK1 levels positively
affected UDP-glucose and cellulose contents. The activation state of ADP-glucose
pyrophosphorylase, a key enzyme in starch synthesis, was higher in antisense
roots than in roots overexpressing NDPK1. Further analyses demonstrated that ADP
glucose pyrophosphorylase was more oxidized, and therefore less active, in sense
clones than antisense clones. Consequently, antisense NDPK1 roots accumulated
more starch and the starch to cellulose ratio was negatively affected by the
level of NDPK1. These data support the idea that modulation of NDPK1 affects the
distribution of carbon between starch and cellulose biosynthetic pathways.
PMID- 27880022
TI - Active commuting to school was inversely associated with academic achievement in
primary but not secondary school students.
AB - AIM: Physical activity has numerous benefits for children when it comes to
academic achievements. This study determined whether active commuting - walking
or cycling - to school, as a way of increasing total physical activity levels,
was associated with academic achievements in students aged seven to 18 years.
METHODS: A total of 2138 students participated in the study, which took place in
the 2012/2013 academic year in three Spanish cities. We used a self-reported
questionnaire to assess how the students travelled to and from school and their
final school grades. RESULTS: We enrolled 489 primary schoolchildren and 1649
secondary schoolchildren, with similar numbers of boys and girls. The primary
schoolchildren who travelled to school by motorbike, car or bus had better grades
for all the selected school subjects (p <= 0.009) than those who walked or
cycled. No significant associations were found for secondary schoolchildren,
except for mathematics and their grade point averages. All models were adjusted
for sex, age and school. CONCLUSION: Active commuting to school was inversely
associated with academic achievement in primary school students but not those at
secondary school. Longitudinal and intervention studies are needed to further
understand our findings.
PMID- 27880023
TI - Effect of Cyclic Fatigue Tests on Aging and Their Translational Implications for
Survival of All-Ceramic Tooth-Borne Single Crowns and Fixed Dental Prostheses.
AB - PURPOSE: The objective of this systematic review was to elaborate the aging
effect of cyclic fatigue tests on mechanical durability of all-ceramic single
crowns and fixed dental prostheses (FDP). MATERIALS AND METHODS: Original
scientific papers published in the MEDLINE (PubMed) database in English between
01/01/1950 and 12/31/2013 on cyclic loading on all-ceramics were included in this
systematic review. The following MeSH terms, search terms, and their combinations
were used: "in vitro," "stress mechanical," "crowns," "denture, partial, fixed,"
"dentistry," "fatigue," "all-ceramic," "zirconia," "fixed dental prosthesis,"
"FDP," "bridges," and "cyclic loading." Two reviewers performed screening and
analyzed the data. Only the studies that reported on both static fracture
strength and static fracture after fatigue of all-ceramic single crowns and FDPs
that allowed comparison of aging effect through cyclic loading were included.
RESULTS: The selection process resulted in a final sample of 14 journal articles.
In total, 9 articles were identified related to all-ceramic single crowns, 3 of
which were on anterior and 6 on posterior crowns, and 5 articles on 3-unit FDPs,
all of which were on posterior FDPs. Fatigue cycles varied between minimum of
1000 to maximum 1,200,000 cycles for crowns and 10,000 to 2,000,000 cycles for 3
unit FDPs. The applied force during cyclic loading varied between 20 to 300 N for
single crowns and 49 to 200 N for 3-unit FDPs. For the 3-unit FDPs, fracture
strength results showed slightly decreased values after cyclic loading (659 +/-
182 to 2333 +/- 183 N) compared to static loading only (841 +/- 244 to 2434 +/-
154 N). For crowns similar trends were not observed, but cyclic loading decreased
the fracture strength in only some materials after cyclic loading (659 +/- 182 to
2333 +/- 183 N) compared to static loading only (395 +/- 96 to 2726 N).
CONCLUSIONS: An inclination for decreased static fracture strength could be
observed after cyclic loading of all-ceramic single crowns and FDPs, but this was
material specific. Due to the heterogeneity of data such as aging, loading
conditions, and fewer experimental groups, statistical analysis could not be
performed. Cyclic loading tests require more standardized guidelines for testing
and reporting.
PMID- 27880024
TI - Insect cold hardiness: the role of mitogen-activated protein kinase and Akt
signalling in freeze avoiding larvae of the goldenrod gall moth, Epiblema
scudderiana.
AB - Larvae of the goldenrod gall moth, Epiblema scudderiana, use the freeze avoidance
strategy of cold hardiness to survive the winter. Here we report that protein
kinase-dependent signal transduction featuring mitogen-activated protein kinase
(MAPK) signalling cascades (extracellular signal regulated kinase, c-jun N
terminal kinase and p38 MAPK pathways) and the Akt (also known as protein kinase
B, or PKB) pathway could be integral parts of the development of cold hardiness
by E. scudderiana. We used Luminex technology to assess the protein levels and
phosphorylation status of key components and downstream targets of those pathways
in larvae in response to low temperature acclimation. The data showed that MAPK
pathways (both total protein and phosphorylated MAPK targets) were inhibited
after 5 degrees C acclimation, but not -15 degrees C exposure, as compared with
the 15 degrees C control group. However, total heat shock protein 27 (HSP27)
levels increased dramatically by ~12-fold in the -15 degrees C acclimated
insects. Elevated HSP27 may facilitate anti-apoptotic mechanisms in an Akt
dependent fashion. By contrast, both 5 and -15 degrees C acclimation produced
signs of Akt pathway activation. In particular, the inhibitor phosphorylated
Glycogen Synthase Kinase 3a (p-GSK3) levels remained high in cold-exposed larvae.
Additionally, activation of the Akt pathway might also facilitate inhibition of
apoptosis independently of GSK3. Overall, the current study indicates that both
MAPK and Akt signal transduction may play essential roles in freeze avoidance by
E. scudderiana.
PMID- 27880025
TI - Attitudes towards decisions about extremely premature infants differed between
Swiss linguistic regions in population-based study.
AB - AIM: Studies have provided insights into the different attitudes and values of
healthcare professionals and parents towards extreme prematurity. This study
explored societal attitudes and values in Switzerland with regard to this patient
group. METHODS: A nationwide trilingual telephone survey was conducted in the
French-, German- and Italian-speaking regions of Switzerland to explore the
general population's attitudes and values with regard to extreme prematurity.
Swiss residents of 18 years or older were recruited from the official telephone
registry using quota sampling and a logistic regression model assessed the
influence of socio-demographic factors on end-of-life decision-making. RESULTS:
Of the 5112 people contacted, 1210 (23.7%) participated. Of these 5% were the
parents of a premature infant and 26% knew parents with a premature infant. Most
participants (77.8%) highlighted their strong preference for shared decision
making, and 64.6% said that if there was dissent then the parents should have the
final word. Overall, our logistic regression model showed that regional
differences were the most significant factors influencing decision-making.
CONCLUSION: The majority of the Swiss population clearly favoured shared decision
making. The context of sociocultural demographics, especially the linguistic
region in which the decision-making took place, strongly influenced attitudes
towards extreme prematurity and decision-making.
PMID- 27880027
TI - A Simple Technique for Placing Extraoral Implants at an Optimal Position in
Orbital Defects.
AB - Translucent acrylic templates have been used to indicate implant positions for
implant-retained extraoral prostheses; however, this procedure can be
challenging, as the acrylic templates have to be positioned onto reflected skin
flaps. The fabrication of an acrylic-based colorless template or duplicating an
existing prosthesis can facilitate the location of extraoral implants. Spots can
be created on templates to indicate the optimal position of the implants.
Afterward, punching the skin to the bone with a very thick sharp needle or a
small sharp bur will mark the desired implant position on the bone before
reflecting the skin.
PMID- 27880026
TI - The cryptic Cryptococcus.
PMID- 27880028
TI - Effect of Different Surface Treatments on Bond Strength of Resin Cement to a
CAD/CAM Restorative Material.
AB - PURPOSE: To investigate the effects of various surface treatment methods on the
shear bond strength of self-adhesive resin cement to a novel CAD/CAM hybrid
ceramic material. MATERIALS AND METHODS: A novel hybrid ceramic restorative
material (Vita Enamic) was used in this study. Sixty resin block specimens were
prepared and separated into six groups for each surface treatment method (n =
10); group 1: control group, no treatment applied; group 2: sandblasted by 30 MUm
silicate-coated alumina particles (CoJet Sandblasting); group 3: 50 MUm Al2 O3
sandblasting; group 4: 10% hydrofluoric acid; group 5: no surface treatment +
universal adhesive (3M Single Bond Universal); group 6: 200 mJ, 10 Hz 2W
Er,Cr:YSGG laser treatment. For each specimen, surface roughness measurements in
noncontact mode were taken after the surface treatments using a portable surface
texture-measuring machine. A self-adhesive resin cement (3M U200) was applied on
every surface treated with the help of a cylindrical mold (2 * 4 mm) and was
filled gradually. Shear bond strength was measured using a universal test
machine, and one-way ANOVA and a Duncan test (p = 0.05) were used to analyze the
data. RESULTS: Mean values of the shear bond strength varied between 7.75 and
10.73 MPa. Bond strength values were enhanced by all surface treatment methods
compared to the control group. The difference between group 5 and the control
group (p < 0.05) was statistically significant, whereas there were no
considerable differences between other treatment methods. CONCLUSION: Surface
treatments of hybrid ceramic resin blocks could enhance the bond strength to
resin cement; however, using Single Bond Universal without surface treatment
showed a higher bond strength value.
PMID- 27880029
TI - Hypertension, haematuria and renal functioning in haemophilia - a cross-sectional
study in Europe.
AB - BACKGROUND AND OBJECTIVES: This cross-sectional, epidemiological study sought to
assess the prevalence and extent of potential risk factors for hypertension,
particularly renal function related to haematuria and their associations in
people with haemophilia. METHODOLOGY: Demographic and medical data were collected
at a single time-point in patients with haemophilia over 40 years of age from 16
European centres. Associations with diagnosis of hypertension were tested in
univariate and multivariate analyses. RESULTS: We enrolled 532 patients (median
age 52 years, range 40-98) with haemophilia A (n = 467) or haemophilia B (n =
65). Haemophilia was severe (<0.01 IU mL-1 ) in 313 patients (59%). Hypertension
was diagnosed in 239 patients (45%). In multivariate analyses, age and body mass
index (BMI) were significantly and independently associated with hypertension
(adjusted odds ratio (OR) 18.1, P < 0.001, in elderly patients and OR = 25.1, P <
0.001, in patients with BMI >30 kg m-2 ). Estimated glomerular filtration rate
(eGFR) <70 mL min-1 (OR = 2.7, P = 0.047) was significantly associated with
hypertension, but mean eGFR was significantly higher for severe than mild
haemophilia. Further variables with OR > 2.8 were diabetes (OR = 2.8, P = 0.04),
coronary artery disease (OR = 3.3, P = 0.052) and family history of hypertension
(OR = 4.4, P < 0.001). Neither severity of haemophilia nor history of haematuria
was significantly associated with hypertension in univariate or multivariate
analyses. CONCLUSION: As in the general population, age and BMI were major risk
factors for hypertension in people with haemophilia. Renal dysfunction was
associated with hypertension, but the prevalence of renal dysfunction was not
extensive and furthermore not significantly correlated with haematuria. The
associations of other variables with hypertension require further studies to
confirm causal relationships over time.
PMID- 27880030
TI - Osseointegrated implants into a variety of composite free flaps: A comparative
analysis.
AB - BACKGROUND: Significant oral function is often lost after surgical therapy for
head and neck cancer. The use of osseointegrated implants for reconstruction in
patients with head and neck surgery has shown to significantly improve the
quality of life for these patients. Variable success rates range from 99% to 70%.
METHODS: A retrospective audit of patient records was performed looking at
cumulative survival of implants. Inclusion criteria were patients who were
treated at 1 of 2 designated Australian Head and Neck Units and received oral
osseointegrated implants. RESULTS: Fifty-nine patients were included for
analysis. One hundred ninety-nine implants were placed into vascularized bone
grafts (VBGs). There were 11 implant failures with an overall success rate of
94.5%. There was 1 significant adverse outcome with a pathological fracture of a
flap after implant placement. Implant success in scapula and iliac crest flaps
was comparable to fibula flaps. CONCLUSION: Implants placed into VBGs have a
reasonable success rate in well-selected patients. (c) 2016 Wiley Periodicals,
Inc. Head Neck 39: 443-447, 2017.
PMID- 27880032
TI - Zeolite-based, dry formulations for conservation and practical application of
Paraburkholderia phytofirmans PsJN.
AB - AIMS: Producing dry, zeolite-based granular and powder inoculants of the Gram
negative, plant growth-promoting bacterium Paraburkholderia phytofirmans PsJN.
Key aspects were maintenance of cell viability during desiccation and throughout
storage at ambient conditions. METHODS AND RESULTS: Twenty additives and
exopolysaccharide (EPS) produced by PsJN were screened for conserving cell
viability of PsJN in air-drying and lyophilization. Suitable combinations (e.g.
skimmed milk + air-drying) increased survival of PsJN up to 100 000-fold and
maintained it for >7 months. EPS performed as good as skimmed milk during air
drying, but was second-rank regarding shelf life. Combinations of zeolite,
skimmed milk and gelatin as a film-forming agent were extruded and processed into
granules and powders, both displaying relatively stable viability for over 4
weeks at ambient conditions. Gelatin promoted brittleness of zeolite-based
inoculants. CONCLUSIONS: Viability of highly sensitive PsJN was successfully
conserved in dry formulations, taking into account the interplay between carrier,
protectants, drying method and coating agent. SIGNIFICANCE AND IMPACT OF THE
STUDY: This is the first study to provide ways of maintaining viability of PsJN
during desiccation stress and to investigate the applicability of its EPS as a
protectant, thus ultimately facilitating successful plant inoculation especially
under field conditions.
PMID- 27880031
TI - Increased plasma soluble human leukocyte antigen-G in persistent wheezy infants.
AB - BACKGROUND: Human leukocyte antigen (HLA)-G is a non-classical major
histocompatibility complex class I antigen characterized by limited polymorphism
in its coding region, unique tissue expression pattern in physiologic conditions
and immunomodulatory properties. Recently, the level of soluble (s)HLA-G was
found to be higher in atopic asthma and allergic rhinitis, but this remains to be
clarified in wheezy infants. The aim of the present study was therefore to
investigate sHLA-G in wheezy infants. METHODS: The subjects consisted of infants
with persistent wheezing and positive modified asthma predictive index (mAPI; n =
30; persistent group) and those with transient wheezing and negative mAPI (n =
17; transient group). sHLA-G was measured in plasma using enzyme-linked
immunosorbent assay. Total immunoglobulin E (IgE) and eosinophil count were
measured, and skin testing was performed with a battery of 13 antigens with
appropriate positive and negative controls. RESULTS: sHLA-G was significantly
higher in the persistent wheezing (positive mAPI) group compared with the
transient wheezing (negative mAPI) group (P = 0.008). There was no significant
difference in peripheral blood eosinophil count and total IgE between the groups.
CONCLUSIONS: The increased sHLA-G in infants with persistent wheeze suggests that
sHLA-G may be able to be used to distinguish persistent from transient wheeze.
Further comprehensive studies are needed on this topic.
PMID- 27880033
TI - A comparative proteomic analysis reveals important proteins for the fertilization
and early embryonic development of the oyster Crassostrea gigas.
AB - Molluscan development involves important features that are important to
understanding not only molluscan ontogeny but also animal evolution. To gain
insight into the gamete proteome and protein function in fertilization and early
development, we analyzed the proteomes of unfertilized oocytes and early embryos
(2/4-cell stage) of the Pacific oyster, Crassostrea gigas. An oocyte reference
map containing 116 protein spots, of which 69 were identified, revealed a high
abundance of vitellogenin-derived protein spots. The differentially regulated
protein spots during fertilization were screened using comparative proteomic
approaches. In total, 18 differentially regulated protein spots were screened,
and 15 of these were identified and divided into three groups. The proteins
belonging to the first group function in energy supply and antioxidation and are
proposed to ensure successful fertilization by regulating the levels of adenosine
triphosphate, resisting oxidative stress, and preventing polyspermy. The proteins
of the second group are associated with protein synthesis and modification,
reflecting active protein synthesis after fertilization. The three proteins
belonging to the final group are hypothesized to function in the regulation of
embryonic development through the establishment of cell polarity and modulation
of methylation reactions in nuclei. These results will enhance our knowledge of
molluscan fertilization and development.
PMID- 27880034
TI - Synthesis of Monoalkyl Glyceryl Ethers by Ring Opening of Glycidol with Alcohols
in the Presence of Lewis Acids.
AB - The present work deals with the production of monoalkyl glyceryl ethers (MAGEs)
through a new reaction pathway based on the reaction of glycidol and alcohols
catalyzed by Lewis acid-based catalysts. Glycidol is quantitatively converted
with high selectivity (99 %) into MAGEs under very mild reaction conditions (80
degrees C and 0.01 mol % catalyst loading) in only 1 h using Al(OTf)3 or Bi(OTf)3
as catalyst. The proposed method enhances the choice of possible green synthetic
approaches for the production of value-added products such as MAGEs.
PMID- 27880035
TI - Visible-Light-Promoted AuI to AuIII Oxidation in Triazol-5-ylidene Complexes.
AB - Reaction of triazolium precursors [MIC(CH2 )n - H+ ]I- (n=1-3) with potassium
hexamethyldisilazane (KHMDS) and AuCl(SMe2 ) generates the gold(I) complexes of
the type MIC(CH2 )n ?AuI. Visible light exposure of the latter complexes promotes
a spontaneous disproportionation process rendering gold(III) complexes of the
type [{MIC(CH2 )n }2 ?AuI2 ]+ I- . Both the AuI and AuIII complex series were
tested in the catalytic hydrohydrazination of terminal alkynes using hydrazine as
nitrogen source.
PMID- 27880036
TI - Protein-phosphotyrosine proteome profiling by superbinder-SH2 domain affinity
purification mass spectrometry, sSH2-AP-MS.
AB - Recently, "superbinder" SH2 domain variants with three amino acid substitutions
(sSH2) were reported to have 100-fold or greater affinity for protein
phosphotyrosine (pY) than natural SH2 domains. Here we report a protocol in which
His-tagged Src sSH2 efficiently captures pY-peptides from protease-digested HeLa
cell total protein extracts. Affinity purification of pY-peptides by this method
shows little bias for pY-proximal amino acid sequences, comparable to that
achieved by using antibodies to pY, but with equal or higher yield. Superbinder
SH2 affinity purification mass spectrometry (sSH2-AP-MS) therefore provides an
efficient and economical approach for unbiased pY-directed phospho-proteome
profiling without the use of antibodies.
PMID- 27880037
TI - Suppressed erythropoietin expression in a nitrofen-induced congenital
diaphragmatic hernia.
AB - BACKGROUND: Erythropoietin (EPO), an essential stimulator of erythropoiesis
produced by the fetal liver, is important both in vascular remodeling and
modulation of the endothelial response in the pulmonary vasculature. In addition,
EPO guides alveolar development, along with retinoic acid (RA). EPO is a direct
target of RA, and the retinoid pathway is altered in the nitrofen-induced
congenital diaphragmatic hernia (CDH) model. In the present study, we tested the
hypothesis that the synthesis of EPO is suppressed in a rat model of CDH.
MATERIALS AND METHODS: Pregnant rats were treated with either nitrofen or vehicle
on gestational day 9 (D9). Fetuses were sacrificed on D19 and D21 and divided
into control and CDH groups. Immunohistochemistry and quantitative real-time
polymerase chain reaction (RT-PCR) were performed to determine the expression of
EPO in the fetal liver and kidney. We also estimated the expression of EPO
receptor in the fetal lung. RESULTS: The relative EPO mRNA expression in the
liver on D19 and in the kidney on D21 were significantly lower in the CDH group
than in the controls (P = 0.0008 and P = 0.0064, respectively). In addition, the
results of immunohistochemistry supported the findings from the RT-PCR analysis.
No significant changes were noted in the expression pattern or EPO receptor
levels in the fetal lungs of the CDH group compared to the controls. CONCLUSIONS:
Our results reveal the suppressed EPO synthesis in the CDH fetus, which may
contribute to the pathogenesis of lung hypoplasia and modification of pulmonary
vasculature in the CDH rat model. Pediatr Pulmonol. 2017;52:606-615. (c) 2016
Wiley Periodicals, Inc.
PMID- 27880038
TI - Excessive vomiting in pregnancy, active component service women, U.S. Armed
Forces, 2005-2014.
AB - Up to 80% of women experience some form of nausea and vomiting during pregnancy.
However, in some women, nausea and vomiting can be excessive and require
inpatient and/or outpatient treatment. Data were obtained on 149,071 live births
from 116,510 service women from 1 January 2005 through 31 December 2014. A total
of 19,175 of the service women with live births had pregnancies complicated by
excessive vomiting in pregnancy (EVP) and accounted for 20,511 EVP cases (overall
rate of 13.8%). Mild and severe hyperemesis gravidarum (HG) combined accounted
for almost two-thirds of the total EVP cases. The slight increase observed in the
annual rate of EVP between 2005 and 2014 was driven largely by the outpatient
trend for mild HG. Together, mild and severe HG accounted for three-quarters of
all hospital bed days for EVP. About one-third of the women with EVP-complicated
pregnancies had two or more EVP-related medical encounters. A better awareness of
this medical condition, severity of its symptoms, and their effect on a woman's
functioning is needed to provide effective interventions that protect a woman's
health-related functional status.
PMID- 27880039
TI - Importance of external cause coding for injury surveillance: lessons from
assessment of overexertion injuries among U.S. Army soldiers in 2014.
AB - Injuries are a barrier to military medical readiness, and overexertion has
historically been a leading mechanism of injury among active duty U.S. Army
soldiers. Details are needed to inform prevention planning. The Defense Medical
Surveillance System (DMSS) was queried for unique medical encounters among active
duty Army soldiers consistent with the military injury definition and assigned an
overexertion external cause code (ICD-9: E927.0-E927.9) in 2014 (n=21,891). Most
(99.7%) were outpatient visits and 60% were attributed specifically to sudden
strenuous movement. Among the 41% (n=9,061) of visits with an activity code (ICD
9: E001-E030), running was the most common activity (n=2,891, 32%); among the 19%
(n=4,190) with a place of occurrence code (ICD-9: E849.0-E849.9), the leading
location was recreation/sports facilities (n=1,332, 32%). External cause codes
provide essential details, but the data represented less than 4% of all injury
related medical encounters among U.S. Army soldiers in 2014. Efforts to improve
external cause coding are needed, and could be aligned with training on and
enforcement of ICD-10 coding guidelines throughout the Military Health System.
PMID- 27880040
TI - Acetaminophen overdoses, active component, U.S. Armed Forces, 2006-2015.
AB - Acetaminophen, a drug commonly used to relieve pain and fever, is generally safe
and effective when used as directed. However, acetaminophen overdose can cause
serious adverse events, including liver damage and death. From 2006 through 2015,
a total of 2,588 cases of acetaminophen overdose were identified in active
component military members. Rates of acetaminophen overdose declined during this
10-year surveillance period, from 2.2 cases per 10,000 person-years (p-yrs) in
2006 to 1.2 cases per 10,000 p-yrs in 2015. Rates of overdose were higher among
females, members of the Army, and service members younger than 25 years of age.
Despite the apparent decline in acetaminophen overdose in the active component,
continued surveillance is warranted to monitor this trend.
PMID- 27880041
TI - Future Directions in Sleep and Developmental Psychopathology.
AB - It is critical for psychologists to gain a better understanding about the
intersection between sleep and developmental psychopathology. However, while many
strive to answer the question of whether sleep causes developmental
psychopathology, or vice versa, ultimately the relationship between sleep and
developmental psychopathology is complex and dynamic. This article considers
future directions in the field of clinical child and adolescent psychology that
go beyond this mechanistic question, highlighting areas important to address for
clinicians and researchers who strive to better understand how best to serve
children and adolescents with developmental psychopathology. Questions are
presented about what is normal in terms of sleep across development, the role of
individual variability in terms of sleep needs and vulnerability to sleep loss,
and how sleep may serve as a risk or resilience factor for developmental
psychopathology, concluding with considerations for interventions.
PMID- 27880042
TI - Morus Rubra Extract Induces Cell Cycle Arrest and Apoptosis in Human Colon Cancer
Cells Through Endoplasmic Reticulum Stress and Telomerase.
AB - Many studies have reported cytotoxic effects of different Morus species, but
there have been only limited studies on the cytotoxic effect of Morus rubra. The
aims of this study were to evaluate the cytotoxic effect of dimethyl sulfoxide
extract of M. rubra and to investigate, for the first time, its probable
cytotoxic activity in human colon cancer (WiDr) cells, together with the
mechanism involved. The cytotoxic activity of extract was determined using MTT
assay. The mechanism involved in the cytotoxic effect of extract was then
evaluated in terms of apoptosis, and the cell cycle using flow cytometry,
mitochondrial membrane potential (MMP) was investigated using the fluorometric
method, and expression levels of telomerase and C/EBP homologous protein (CHOP)
were investigated using reverse-transcription PCR (RT-PCR). M. rubra extract
exhibited moderate selective cytotoxicity on colon cancer cells compared with
fibroblast cells. Extract induced cell cycle arrest at the G1 phase and apoptosis
via reduced MMP in WiDr cells. Additionally, M. rubra extract significantly
repressed telomerase and induced CHOP expressions in WiDr cells. Our results
demonstrate that targeting telomerase and endoplasmic reticulum stress represents
a promising strategy in colon cancer therapy, and M. rubra may have considerable
potential for development as a novel natural product-based anticancer agent.
PMID- 27880043
TI - MMP-8, MMP-9 and Neutrophil Elastase in Peripheral Blood and Exhaled Breath
Condensate in COPD.
AB - Chronic obstructive pulmonary disease (COPD) is characterised by progressive and
irreversible airflow limitation associated with chronic inflammation involving
cytokines and metalloproteinases (MMPs). MMP-8, MMP-9 and neutrophil elastase
(NE) are known to be implicated in COPD but the factors influencing activation
and suppression remain unclear. This study aimed to compare MMP-8, MMP-9 and NE
in the peripheral blood of COPD patients and controls and to likewise assess
exhaled breath condensate (EBC) for these MMPs. Peripheral blood micro(mi)RNA139
5p levels, which may regulate MMPs in COPD, were also measured. Blood and EBC
were collected from COPD patients (stable and during exacerbations) and healthy
controls. Expression of mRNA for MMP-8, MMP-9, NE and miRNA-139-5p expression in
peripheral blood mononuclear cells (PBMCs) was measured using qRT-PCR. MMP-8, MMP
9 and NE protein in plasma as well as MMP-8 and MMP-9 protein in EBC were
analysed by enzyme-linked immunoassays. PBMCs from COPD patients showed greater
expression of mRNA for MMP-8 (p = 0.0004), MMP-9 (p = 0.0023) and NE (p =
0.0019). PBMC expression of mRNA for NE was significantly higher in COPD
exacerbations compared to stable cases (p < 0.05). Expression of mRNA for MMP-9
and NE correlated negatively with spirometry in patients (p < 0.05). Plasma from
COPD patients showed greater levels of protein for MMP-8 (p = 0.003), MMP-9 (p =
0.046) and NE (p = 0.018). MMP-8 protein levels were lower in the EBC of COPD
patients (p < 0.0001). In PBMCs, enhanced expression of mRNA for MMP-9 and NE is
associated with COPD and may correlate with disease severity and exacerbations.
PMID- 27880044
TI - Tuberculosis, Airway Obstruction and Mortality in a Finnish Population.
AB - There is little long-term follow-up data concerning the association between past
pulmonary tuberculosis (TB), airway obstruction and mortality. We aimed to
analyse a national health examination survey data from 6701 adult Finns
undergoing spirometry between 1978 and 1980 (follow-up through 2013). We
identified TB either through a disease history or by a TB-indicative scar on a
chest x-ray. We specified obstruction using the lower limit of normal (LLN) and
classified severity using the Global Initiative for Chronic Obstructive Lung
Disease (GOLD) stages 1-4. After adjusting for smoking and other confounders,
past TB associated with obstruction. Compared to non-TB patients, the adjusted
odds ratio (OR; 95% CI) of obstruction reached 2.21 (1.52-3.21) among patients
with a scar recorded by one radiologist, 2.48 (1.63-3.78) when recorded by both
radiologists and 4.59 (2.86-7.37) among patients with a disease history. Among
those with neither past TB nor obstruction, with past TB only, with an
obstruction only and with both, we found hazard ratios (HRs; 95% CIs) for
subsequent mortality of 1.00 (reference), 1.11 (1.03-1.20), 1.62 (1.31-2.00) and
1.77 (1.45-2.16), adjusted for age, gender, smoking, body mass index (BMI),
physical activity, education and general health. In conclusion, past TB strongly
determines obstruction, although on its own quite weakly predicts premature
death. TB and obstruction combined predict an additive mortality pattern.
PMID- 27880045
TI - Koelreuteria Formosana Extract Induces Growth Inhibition and Cell Death in Human
Colon Carcinoma Cells via G2/M Arrest and LC3-II Activation-Dependent Autophagy.
AB - Autophagy is a self-destructive process that degrades cytoplasmic constituents.
In our previous study, Koelreuteria formosana ethanolic extract (KFEE), which is
obtained from natural plants endemic to Taiwan, has inhibited cell metastasis in
renal carcinoma cells. However, the anticancer effects of KFEE on colon cancer
remain unclear. In this study, KFEE exerted a strong cytotoxic effect on DLD-1
and COLO 205 human colorectal cancer cell lines. KFEE effectively inhibited
cancer cell proliferation, induced G2/M-phase arrest associated with
downregulaton of cyclin E, cyclin B and cdc25C and upregulation of p21, and
induced cell death by activating autophagy but did not cause apoptotic cell
death. Exposed KFEE cells showed increased levels of acridine orange, autophagic
vacuoles, and LC3-II proteins, which are specific autophagic markers. Bcl-2, p
Akt, and p-mTOR levels, which have been implicated in autophagic downregulation,
were decreased after KFEE treatment. Autophagy inhibitor 3-methyladenosine and
bafilomycin-A1 and genetic silencing of LC3 attenuated KFEE-induced growth
inhibition. These findings suggested that KFEE causes cytostatic effect through
autophagy. In xenograft studies, oral administration of KFEE had significantly
inhibited the tumor growth in nude mice that had received subcutaneous injection
of DLD-1 cells. KFEE is a promising candidate in phytochemical-based,
mechanistic, and pathway-targeted cancer prevention strategies.
PMID- 27880046
TI - Docosahexaenoic Acid-mediated Inhibition of Heat Shock Protein 90-p23 Chaperone
Complex and Downstream Client Proteins in Lung and Breast Cancer.
AB - The molecular chaperone, heat shock protein 90 (Hsp90), is a critical regulator
for the proper folding and stabilization of several client proteins, and is a
major contributor to carcinogenesis. Specific Hsp90 inhibitors have been designed
to target the ATP-binding site in order to prevent Hsp90 chaperone maturation.
The current study investigated the effects of docosahexaenoic acid (DHA; C22:6 n
3) on Hsp90 function and downstream client protein expression. In vitro analyses
of BT-474 human breast carcinoma and A549 human lung adenocarcinoma cell lines
revealed dose-dependent decreases in intracellular ATP levels by DHA treatment,
resulting in a significant reduction of Hsp90 and p23 association in both cell
lines. Attenuation of the Hsp90-p23 complex led to the inhibition of Hsp90 client
proteins, epidermal growth factor receptor 2 (ErbB2), and hypoxia-inducible
factor 1alpha (HIF-1alpha). Similar results were observed when employing 2
deoxyglucose (2-DG), confirming that DHA and 2-DG, both independently and
combined, can disturb Hsp90 molecular chaperone function. In vivo A549 xenograft
analysis also demonstrated decreased expression levels of Hsp90-p23 association
and diminished protein levels of ErbB2 and HIF-1alpha in mice supplemented with
dietary DHA. These data support a role for dietary intervention to improve cancer
therapy in tumors overexpressing Hsp90 and its client proteins.
PMID- 27880047
TI - Are Food Advertisements Promoting More Unhealthy Foods and Beverages over Time?
Evidence from Three Swedish Food Magazines, 1995-2014.
AB - Unhealthy food in advertising has been suggested as a mediator for the increase
in diet-related illness. This study quantitatively investigates changes in food
advertising between 1995 and 2014 in terms of food categories promoted,
macronutrient content, and percentage of foods classified as heathy or unhealthy
from a sample of 7,199 ads from three Swedish food magazines. With the exception
of increased alcoholic beverage and decreased carbohydrate-rich-food promotion,
no monotonic trends of increasingly unhealthy food advertisement are found. From
these findings, it is argued that food magazine advertising is not a mediator of
the adverse dietary trend.
PMID- 27880048
TI - Using a Few Snapshots to Distinguish Mountains from Waves: Weak Factorial
Invariance in the Context of Trait-State Research.
AB - In this article, we show that the underlying dimensions obtained when factor
analyzing cross-sectional data actually form a mix of within-person state
dimensions and between-person trait dimensions. We propose a factor analytical
model that distinguishes between four independent sources of variance: common
trait, unique trait, common state, and unique state. We show that by testing
whether there is weak factorial invariance across the trait and state factor
structures, we can tackle the fundamental question first raised by Cattell; that
is, are within-person state dimensions qualitatively the same as between-person
trait dimensions? Furthermore, we discuss how this model is related to other
trait-state factor models, and we illustrate its use with two empirical data
sets. We end by discussing the implications for cross-sectional factor analysis
and suggest potential future developments.
PMID- 27880049
TI - Understanding clinicians' attitudes toward a mobile health strategy to childhood
asthma management: A qualitative study.
AB - OBJECTIVES: Mobile technology for childhood asthma can provide real-time data to
enhance care. What real-time adherence information clinicians want, how they may
use it, and if the data meet their clinical needs have not been fully explored.
Our goal was to determine whether pediatric primary care and pulmonary clinicians
believe if a sensor-based mobile intervention is useful in caring for patients
with asthma. METHODS: We recruited participants from 3 urban, primary care and 1
pulmonary practice from July to September 2015 in Hartford, CT. Forty-one
participated in four focus groups, which included a demonstration of the
technology. Participants were probed with open-ended questions on the type,
frequency, and format of inter-visit patient information they found useful.
RESULTS: 41 participants (mean age 49 (+/-13.7) years) were board-certified
clinicians (41% MDs and 20% mid-level practitioners), practiced medicine on an
average of 19 (+/-14) years, were primarily white (59%) and women (78%).
Clinicians wanted 1) adherence to prescribed inhaler therapy and 2) data on
inhaler technique. Clinicians wanted it at the time of a scheduled clinic visit
but also wanted inter-visit alerts for excessive use of rescue therapy.
Pulmonologists liked the mobile spirometer's provision of inter-visit lung
function data; pediatricians did not share this view. Concerns with data accuracy
were raised due to families who shared inhalers, access to smartphones, and
protection of health information. CONCLUSIONS: Overall, clinicians view an asthma
mobile health technology as enhancing the patient-centered medical home.
Pediatric primary care clinicians and pulmonologists want different information
from a mobile app.
PMID- 27880050
TI - Stable State Proadrenomedullin Level in COPD Patients: A Validation Study.
AB - In patients with stable COPD, proadrenomedullin (MR-proADM) has been shown to be
a good predictor for mortality. This study aims to provide an external validation
of earlier observed cut-off values used by Zuur-Telgen et al. and Stolz.et al. in
COPD patients in stable state and at hospitalization for an acute exacerbation of
COPD (AECOPD). From the COMIC cohort study we included 545 COPD patients with a
blood sample obtained in stable state (n = 490) and/or at hospitalization for an
AECOPD (n = 101). Time to death was compared between patients with MR-proADM cut
off scores 0.71 and 0.75 nmol/L for stable state or 0.79 and 0.84 nmol/l for
AECOPD. The predictive value of MR-proADM for survival was represented by the C
statistic. Risk ratios were corrected for sex, age, BMI, presence of heart
failure, and GOLD stage. Patients above the cut-off of 0.75 nmol/l had a 2-fold
higher risk of dying than patient below this cut-off (95% CI: 1.20-3.41). The cut
off of 0.71 nmol/l showed only a borderline significantly higher risk of 1.67
(95% CI: 0.98-2.85). The corrected odds ratios for one-year mortality were 3.15
(95% CI 1.15-8.64) and 3.70 (95% CI 1.18-11.6) in patients with MR-proADM levels
above versus below the cut-off of respectively 0.75 and 0.71 nmol/l measured in
stable state. MR-proADM levels in samples at hospitalization for an AECOPD were
not predictive for mortality in this validation cohort. MR-proADM in stable state
is a powerful predictor for mortality.
PMID- 27880051
TI - Multimorbidities of asthma, allergies, and airway illnesses in childhood: Chance
or not chance?
AB - OBJECTIVES: We investigated patterns of multimorbidities among asthma, allergies,
and respiratory illnesses in preschool children. We investigated multimorbidities
of lifetime asthma, allergic rhinitis, eczema, food allergy, pneumonia, and ear
infections; and multimorbidities of current (in the last year before the survey)
wheeze, dry cough, rhinitis, eczema, and common cold during childhood. We further
analyzed whether prevalences of these multimorbidities were due to chance.
METHODS: A cross-sectional study was conducted in 72 kindergartens of Shanghai,
China. Parents of preschool children were surveyed with a modified ISAAC
questionnaire. Observed prevalences (OPs), expected prevalences (EPs), absolute
excess comorbidities (AECs), and relative excess comorbidities (RECs) of various
combinations of illnesses were calculated to indicate whether the combined
illnesses were related. RESULTS: We analyzed questionnaires for children aged 4-6
years, whose 13,335 questionnaires were the majority of the total 15,266 returned
questionnaires (response rate: 85.3%). The studied illnesses were common. For
children who had more than three lifetime or current illnesses, OPs tended to be
higher than EPs. Most OPs and EPs were higher in boys than in girls, and were
higher in children with a family history of atopy (FHA) than in children without
FHA. AECs and RECs between boys and girls as well as between children with and
without FHA were substantially different. CONCLUSIONS: Our findings suggest that
multimorbidities among childhood asthma, allergies, and respiratory illnesses are
likely not random, but rather share etiology. Specific patterns of childhood
asthma multimorbidities perhaps differ between boys and girls and between
children with and without FHA.
PMID- 27880052
TI - Functional impact of different muscle localization techniques for Botulinum
neurotoxin A injections in clinical routine management of post-stroke spasticity.
AB - BACKGROUND: Treatment options for spasticity include intramuscular botulinum
neurotoxin A (BoNT-A) injections. Both ultrasound (US) or electromyographic (EMG)
guided BoNT-A injections are employed to isolate muscles. To date, most studies
have included patients naive to BoNT-A or following a prolonged wash out phase.
OBJECTIVE: To determine the impact of US/EMG guided BoNT-A injections on function
in outpatients with spasticity receiving an established re-injection regime.
METHODS: Thirty patients post-stroke were investigated in a single-blinded,
randomized controlled trial using a cross-over design for the EMG and US and a
parallel design for the control group. The Modified Ashworth (MAS), Disability
Assessment (DAS), Quality of Life (EQ-5D), self-rating scale and Barthel Index
were assessed pre- and post-BoNT-A injections of upper limb muscles by a to the
injection technique blinded person. RESULTS: MAS improved in arm, finger and
upper limb 4 weeks after BoNT-A treatment. The improvement showed no significant
differences between the three injection techniques. Barthel Index, DAS and EQ-5D
remained unchanged in all groups. CONCLUSIONS: This pilot study questions the
impact of the instrumental guided injection techniques on everyday functionality
in a routine clinical setting with established re-injection intervals. Larger
trials are warranted with patients who are under long-term treatment on a regular
basis.
PMID- 27880053
TI - Disparities in emergency department visits in American children with asthma: 2006
2010.
AB - OBJECTIVE: This article was to examine the trends in emergency department (ED)
visits for asthma among American children in 2006-2010 across sociodemographic
factors, parental smoking status, and children's body weight status. METHODS: We
analyzed 5,535 children aged 2-17 years with current asthma in the Asthma Call
Back Survey in 2006-2010. Multivariate log binomial regression was used to
examine the disparities of ED visits by demographics, socioeconomic status,
parental smoking status, children's body weight status, and the level of asthma
control. We controlled for average state-level air pollutants. Prevalence ratios
(PRs) and 95% confidence intervals (CIs) were reported. RESULTS: Minority
children with current asthma had higher risks of ED visits compared with white
children in 2009 and 2010, e.g., the PR (95% CI) for black children in 2009 was
3.64 (1.79, 7.41). Children who had current asthma and more highly educated
parents experienced a higher risk of ED visits in 2007 (PRs [95% CI] = 2.15
[1.02, 4.53] and 2.97 [1.29, 6.83] for children with some college or college
graduated parents), but not significant in other years. Children with
uncontrolled asthma were significantly more likely to visit the ED in 2008 (PRs
[95% CI] = 2.79 [1.44, 5.41] and 6.96 [3.55, 13.64] for not-well-controlled and
very poorly controlled children with asthma). CONCLUSIONS: Minority children with
current asthma or children with uncontrolled asthma were more likely to visit EDs
for asthma treatment. However, the disparities in ED visits across
sociodemographics, health status, or asthma control vary in scale and
significance across time. More research is needed to explain these differences.
PMID- 27880054
TI - Elucidating the role of compression waves and impact duration for generating mild
traumatic brain injury in rats.
AB - BACKGROUND: In total, 3.8 million concussions occur each year in the US leading
to acute functional deficits, but the underlying histopathologic changes that
occur are relatively unknown. In order to improve understanding of acute injury
mechanisms, appropriately designed pre-clinical models must be utilized. METHODS:
The clinical relevance of compression wave injury models revolves around the
ability to produce consistent histopathologic deficits. Mild traumatic brain
injuries activate similar neuroinflammatory cascades, cell death markers and
increases in amyloid precursor protein in both humans and rodents. Humans,
however, infrequently succumb to mild traumatic brain injuries and, therefore,
the intensity and magnitude of impacts must be inferred. Understanding
compression wave properties and mechanical loading could help link the
histopathologic deficits seen in rodents to what might be happening in human
brains following concussions. RESULTS: While the concept of linking duration and
intensity of impact to subsequent histopathologic deficits makes sense, numerical
modelling of compression waves has not been performed in this context. In this
interdisciplinary work, numerical simulations were performed to study the
creation of compression waves in an experimental model. CONCLUSION: This work was
conducted in conjunction with a repetitive compression wave injury paradigm in
rats in order to better understand how the wave generation correlates with
histopathologic deficits.
PMID- 27880055
TI - Excessive daytime sleepiness in asthma: What are the risk factors?
AB - OBJECTIVE: Previous studies have found that excessive daytime sleepiness (EDS) is
a more common problem in asthmatic subjects than in the general population. The
aim of this study was to investigate whether the prevalence of EDS is increased
in asthmatic subjects and, if so, to analyse the occurrence of potential risk
factors for EDS in asthmatics. METHODS: Cross-sectional epidemiological study. In
2008, a postal questionnaire was sent out to a random sample of 45,000
individuals aged 16-75 years in four Swedish cities. RESULTS: Of the 25,160
persons who participated, 7.3% were defined as having asthma. The prevalence of
EDS was significantly higher in asthmatic subjects (42.1% vs. 28.5%, p < 0.001)
compared with non-asthmatic subjects. Asthma was an independent risk factor for
EDS (adjusted OR 1.29) and the risk of having EDS increased with asthma severity.
Risk factors for EDS in subjects with asthma included insomnia (OR, 3.87; 95% CI,
3.10-4.84); chronic rhinosinusitis (OR, 2.00; 95% CI, 1.53-2.62); current smoking
(OR, 1.60; 95% CI, 1.15-2.22) and obesity (OR, 1.53; 95% CI, 1.09-2.13).
CONCLUSIONS: EDS is a common problem among subjects with asthma. Asthma is an
independent risk factor for having EDS. Furthermore, subjects with asthma often
have other risk factors for EDS, many of them potentially modifiable.
PMID- 27880057
TI - Ankle plantarflexor spasticity is not differentially disabling for those who are
weak following traumatic brain injury.
AB - PRIMARY OBJECTIVES: The main aim of this study was to determine whether the
presence of distal lower-limb spasticity had a greater impact on mobility for
those who had greater levels of muscle paresis following traumatic brain injury
(TBI). RESEARCH DESIGN: This was a cross-sectional cohort study of convenience.
Seventy-five people attending physiotherapy for mobility limitations following
TBI participated in this study. All participants had sustained a moderate-severe
TBI and were grouped according to the presence or absence of ankle plantarflexor
spasticity for comparison. MAIN OUTCOMES AND RESULTS: The primary outcome measure
for mobility was self-selected walking speed and the primary outcome measure for
muscle strength was hand-held dynamometry. Secondary outcome measures for
mobility and muscle strength were the High-level Mobility Assessment Tool (HiMAT)
and ankle power generation (APG) at push-off. Spasticity was quantified with the
Modified Tardieu scale. Participants with ankle plantarflexor spasticity (Group
2) had slower self-selected walking speeds. There was no statistically
significant effect for Group and plantarflexor strength (p = 0.81). CONCLUSION:
Although participants with ankle plantarflexor spasticity walked significantly
slower than those without, the presence of ankle plantarflexor spasticity did not
lead to greater mobility limitations for those who were weak.
PMID- 27880056
TI - Bronchial biopsy and reactivity in patients with chest tightness relieved with
bronchodilator.
AB - OBJECTIVE: It has been hypothesized that some patients with chest tightness of
unknown origin can be successfully treated with a bronchodilator and that they
should be diagnosed with chest pain variant asthma. We conducted a prospective
study to characterize newly diagnosed patients with chest tightness relieved with
bronchodilator use and without characteristic bronchial asthma attacks. METHODS:
Eleven patients were registered following recurrent positive responses of chest
tightness to inhalation of a beta2-agonist. These patients underwent assessments
of airway responsiveness to methacholine, bronchial biopsy and bronchial lavage
under fiber-optic bronchoscopy before receiving treatment. RESULTS: For the
patients with chest tightness relieved with bronchodilator use, the bronchial
biopsy specimens exhibited significant increases in lymphocyte and macrophage
infiltration (p < 0.05) and no significant increase in eosinophils (p = 0.2918)
compared with the control subjects. The bronchial responsiveness to methacholine
was increased in two of the patients with chest tightness, and it was not
increased in seven; in addition, increased percentages of eosinophils were
detected in bronchial lavage fluid (5% or more) from two patients, but no
increase was detected in eight patients. CONCLUSIONS: We suspect that the chest
tightness was induced by airway constriction in these patients, but further study
is necessary to validate this hypothesis. We propose that the chest tightness
relieved with bronchodilator use was attributed to airway constriction resulting
from inflammation with lymphocytes and macrophages and/or that the chest
tightness was directly attributed to airway inflammation. This clinical trial is
registered at www.umin.ac.jp (UMIN13994 and UMIN 16741).
PMID- 27880058
TI - Fish-Oil-Derived DHA-mediated Enhancement of Apoptosis in Acute Lymphoblastic
Leukemia Cells is Associated with Accumulation of p53, Downregulation of
Survivin, and Caspase-3 Activation.
AB - In acute lymphoblastic leukemia (ALL), resistance to chemotherapy is associated
with inactivation of p53 and upregulation of survivin. Thus, targeting the p53
and survivin expression may provide an attractive strategy for ALL treatment. It
has been shown that fish-oil-derived docosahexaenoic acid (DHA) activates several
antitumorigenic mechanisms in tumor cells, but little is known regarding the role
of DHA on modulating p53 and survivin expression in ALL cells. In this study, we
investigated the alterations of the p53 and survivin expression and induction of
apoptosis in DHA-treated Molt-4 cells that serve as a model for ALL cells. Molt-4
cells were treated with 50, 100, 150, and 200 MUM DHA after which cell
proliferation, survivin mRNA and protein levels, p53 protein level, caspase-3
activation, and apoptotic rates were evaluated by different cellular and
molecular techniques. After 48- and 72-h treatments with DHA at concentrations
ranging from 50 to 200 MUM, cell proliferation rates were measured to be 80.5
44.4%, and 73.4-14.4%, respectively, compared to untreated cells. We also found
that treatment for 48 h with 200 MUM DHA resulted in 10.8- and 3.6-fold increase
in p53 protein level and caspase-3 activation followed by 4.7-and 1.6-fold
decrease in survivin mRNA and protein levels, respectively, compared to untreated
cells. Treatment of cells with different concentrations of DHA dramatically
increased the p53/survivin and caspase-3/survivin ratios by 2.8- to 16.9-fold and
3.3 to 5.6-fold increases, respectively, compared to untreated cells. A decrease
in the number of cells ranging from 16% to 70% and an increase in the number of
apoptotic cells ranging from 9.3% to 93% was also observed with increasing DHA
concentrations. In conclusion, p53 and survivin may provide promising targets of
DHA in ALL cells and this compound with high proapoptotic capacity represents the
possibility of its therapeutic application for ALL treatment.
PMID- 27880059
TI - Repetition-lag memory training is feasible in patients with chronic stroke,
including those with memory problems.
AB - PRIMARY OBJECTIVE: Repetition-lag memory training was developed to increase
individuals' use of recollection as opposed to familiarity in recognition memory.
The goals of this study were to examine the feasibility of repetition-lag
training in patients with chronic stroke and to explore whether the training
might show suggestions of transfer to non-trained tasks. RESEARCH DESIGN: Quasi
experimental. METHODS AND PROCEDURES: Patients (n = 17) took part in six
repetition-lag training sessions and their gains on the training and non-trained
tasks were compared to those of age-matched healthy controls (n = 30). MAIN
OUTCOMES AND RESULTS: All but two patients completed the training, indicating
that the method is feasible with a wide range of patients with stroke. The amount
patients gained on the training task was similar to that of healthy controls
(that is, the Group * Time interactions were by-and-large not significant),
suggesting that patients with stroke might benefit to the same degree as healthy
adults from this training. Both groups showed some indication of transfer to the
non-trained backward digit span task and visuospatial memory. CONCLUSIONS: These
findings show that repetition-lag memory training is a possible approach with
patients with stroke to enhance recollection. Further research on the method's
efficacy and effectiveness is warranted.
PMID- 27880060
TI - Neurogenic pulmonary oedema complicating traumatic posterior fossa extradural
haematoma: Case report and review.
AB - INTRODUCTION: Pulmonary oedema is accumulation of fluid in the lung air spaces
and interstitia. Neurogenic pulmonary oedema (NPE) is a potentially life
threatening condition which has been noted in head injury, subarachnoid
haemorrhage (SAH), intracerebral haemorrhage (ICH) and others. Timely management
is crucial to achieve good outcome; however, no specific guidelines have been
defined. METHODS: A 33-year female involved in a motor vehicular accident had a
GCS of 14/15 and CT scan showed a moderate-sized unilateral posterior fossa
extradural haematoma (PFEDH). She had sudden deterioration in her haemodynamic
status with drop in sensorium 2 hours after admission. There was a copious amount
of frothy secretions noted on intubation and she was diagnosed as having NPE.
RESULTS: Sub-occiptial craneictomy (SOC) with haematoma evacuation was performed
and was managed with PEEP mechanical ventilation post-operatively. Excellent
outcome was obtained and was discharged with a GOS of 5. CONCLUSIONS: NPE is a
poorly understood and uncommon complication of acute CNS injury and should be
considered in any patient with acute respiratory distress in the setting of CNS
injury. Reduction in ICP and supportive mechanical ventilation form the mainstay
of management. Diagnosis of NPE remains challenging and more reliable diagnostic
criteria need to be defined to identify such cases with greater frequency.
PMID- 27880061
TI - The safety profile of monoclonal antibodies for chronic lymphocytic leukemia.
AB - INTRODUCTION: Monoclonal antibodies (MoAbs), non-chemotherapeutic agents
targeting the antigens present on chronic lymphocytic leukemia (CLL) lymphocytes,
are being implemented increasingly more often as treatment options. Areas
covered: This article reviews the similarities and differences in the structure,
mechanism of action, efficacy and safety profile of commercially-available MoAbs
and prevents new agents potentially useful for CLL treatment. Publications in
English before June 2016 were surveyed on the MEDLINE database for articles.
Proceedings of the American Society of Hematology held during the last five years
were also included. Expert opinion: MoAbs, especially those targeting CD20, are
highly effective biological options for first-line and salvage treatment of CLL,
particularly in chemoimmunotherapy, and possibly also as maintenance therapy.
Treatment with MoAbs is associated with reduced risk of such adverse events as
cytopenias, infections and secondary neoplasias and is generally well tolerated.
Depending on antibody type, the most common adverse events are usually transient
and limited to grade 1 and 2 infusion-related reactions. In addition to
commercially available MoAbs, several other antibodies exist which are targeted
against different antigens studied in the clinical trials.
PMID- 27880062
TI - The effect of vegetarian diets on iron status in adults: A systematic review and
meta-analysis.
AB - BACKGROUND: Vegetarian diets exclude meat, seafood, and products containing these
foods. Although the vegetarian lifestyle could lead to a better health status in
adults, it may also bear risks for certain nutritional deficiencies. Cross
sectional studies and narrative reviews have shown that the iron status of
vegetarians is compromised by the absence of highly bioavailable haem-iron in
meatless diets and the inhibiting effect of certain components present in plant
foods on non-haem iron bioavailability. METHODS: The databases Pubmed, Scopus,
Embase, and Cochrane CentralRegister of Controlled Trials were searched for
studies comparing serum ferritin, as the major laboratory parameter for iron
status of adult vegetarians with non-vegetarian control groups. A qualitative
review was conducted as well as an inverse-variance random-effects meta-analysis
to pool available data. In addition the effect of vegetarian diets according to
gender was investigated with a subgroup analysis. The results were validated
using a sensitivity analysis. RESULTS: A total of 27 cross-sectional studies and
three interventional studies were selected for the systematic review. The meta
analysis which combined data of 24 cross-sectional studies showed that adult
vegetarians have significantly lower serum ferritin levels than their non
vegetarian controls (-29.71 ug/L, 95% CI [-39.69, -19.73], p < 0.01). Inclusion
of semi-vegetarian diets did not change the results considerably (-23.27 ug/L,
95% CI [-29.77, -16.76], p < 0.01). The effects were more pronounced in men (
61.88 ug/L, 95% CI [-85.59, -38.17], p < 0.01) than in both premenopausal women (
17.70 MUg/L, 95% CI [-29.80, -5.60], p < 0.01) and all women (-13.50 MUg/L, 95%
CI [-22.96, -4.04], p < 0.01), respectively. CONCLUSIONS: In conclusion our
results showed that vegetarians are more likely to have lower iron stores
compared with non-vegetarians. However, since high iron stores are also a risk
factor for certain non-communicable diseases, such as type 2 diabetes, it is
recommended that not only vegetarians but also non-vegetarians should regularly
control their iron status and improve their diet regarding the content and
bioavailability of iron by consuming more plants and less meat.
PMID- 27880063
TI - Interactions between phytochemicals from fruits and vegetables: Effects on
bioactivities and bioavailability.
AB - The combinations of two or more phytochemicals bring about changes in the
ultimate biological effects and/or the bioavailability of each component. A
number of mixtures of pure bioactive compounds or phytochemical-containing plant
extracts provide synergy with regard to antioxidant status, anti-inflammation,
anti-cancer and chemoprevention of several oxidative stress and metabolic
disorders in vitro. The biological activities of food phytochemicals depend upon
their bioaccessibility and bioavailability which can be affected by the presence
of other food components including other bioactive constituents. The interactions
between phytochemicals during intestinal absorption could result in changes in
the bioavailability of the compounds, which in turn affects the intensity of
their bioactivities. This paper provides an overview of combined biological
effects of phytochemical mixtures derived from fruits and vegetables with a focus
on anti-oxidative, anti-inflammatory and anti-carcinogenic activities. The
bioavailability impairment or enhancement caused by the co-consumption of dietary
phytochemicals is also discussed. Finally, research gaps for future studies on
phytochemical interactions are identified.
PMID- 27880064
TI - A randomised controlled feasibility trial of Group Cognitive Behavioural Therapy
for people with severe asthma.
AB - OBJECTIVES: Evidence for the efficacy of Cognitive Behavioural Therapy (CBT) in
asthma is developing but it is not known if this translates to benefits in severe
asthma or if a group approach is acceptable to this patient group. This study
aimed to assess the feasibility and acceptability of Group-CBT in severe asthma.
METHOD: This was a two-centre, randomised controlled parallel group feasibility
study. Eligible participants (patients with severe asthma and a clinically
significant diagnosis of anxiety and/or depression - Hospital Anxiety and
Depression Scale (HAD) score greater than 8 for the anxiety or depression sub
scale) received Group-CBT in weekly sessions for eight consecutive weeks and
usual care or usual care only. Follow-up was for 16 weeks and end points were:
Asthma Quality of Life Questionnaire, Asthma Control Questionnaire, HAD, Dyspnoea
12, EuroQual-5D and EuroQuol-VAS. RESULTS: 51 patients were randomised: 36% (51
out of 140) consent rate and attrition at week 16 was 12. Screening logs
indicated that study take-up was influenced by patients living long distances
from the treatment centre and inability to commit to the weekly demands of the
programme. Drop-out was higher in Group-CBT compared due to inability to commit
to the weekly programme because of poor health. Participants who contributed to
focus group discussions reported that Group-CBT contributed to a better
understanding of their illness and related approaches to anxiety management and
acceptance of their asthma condition. Although weekly face-to-face sessions were
challenging, this was the preferred method of delivery for these participants.
CONCLUSIONS: This feasibility study shows that Group-CBT warrants further
investigation as a potentially promising treatment option for patients with
severe asthma. It has been possible but not easy to recruit and retain the
sample. Options for a less demanding intervention schedule, such as less frequent
face-to-face visits and the use of web-based interventions, require careful
consideration.
PMID- 27880065
TI - Fluctuations in the emotional intelligence of therapy students during clinical
placements: Implication for educators, supervisors, and students.
AB - This study investigated the changes in emotional intelligence (EI) of
occupational therapy, physiotherapy, and speech pathology students (therapy
students). Clinical placements have multiple benefits including the development
of interprofessional skills, enhancing practice skills and interpersonal skills.
Higher EI competencies have been shown to have a positive impact on patient
outcomes, teamwork skills, dealing with stress, and patient satisfaction. Data
for this study were collected at two time points: before third-year therapy
students commenced extended clinical placements (T1 with 261 students) and
approximately 7 months later after students had completed one or more clinical
placements (T2 with 109 students). EI was measured using the Emotional Quotient
Inventory 2.0 (EQ-i2.0). Only one EI score, assertiveness, demonstrated a
significant decline. No EI score showed a significant increase. A third or more
of the students showed increases of five points or more in self-actualisation,
emotional expression, independence, reality testing and optimism. However, of
concern were the five EI scores where therapy students' EI scores decreased by
more than five points: assertiveness (where 38% of students declined), problem
solving (37%), impulse control (35%), self-actualisation (35%), and stress
tolerance (33%). With EI scores declining for some students during clinical
placements, there are implications for clinical supervisors and interprofessional
facilitators as clinical performance may decline concurrently. There is a range
of potential reasons that clinical placements could negatively influence the EI
competencies of a therapy student, including poor clinical supervision, conflict
between a student, and supervisor and failing a clinical placement. The research
suggests that interprofessional facilitators and university educators might
consider students undertaking EI tests before clinical placements.
PMID- 27880066
TI - Features of KAT6B-related disorders in a patient with 10q22.1q22.3 deletion.
AB - BACKGROUND: Blepharophimosis is a fixed reduction in the vertical distance
between the upper and lower eyelids with short palpebral fissures. It is a rare
facial malformation and is considered an important diagnostic feature in
dysmorphic analysis. It is likely that many patients with blepharophimosis-mental
retardation syndrome have submicroscopic chromosomal rearrangements, and the use
of molecular karyotyping can narrow the known blepharophimosis-mental retardation
critical regions or clarify the effect of the haploinsufficiency of the involved
genes on the phenotype. MATERIALS AND METHODS: A female patient presented with
bilateral blepharophimosis, ptosis, epicanthus inversus, telecanthus, low-set and
small ears, other minor anomalies, hypotonia and psychomotor developmental delay.
Metabolic investigations and array CGH analysis were performed. The results of
molecular karyotyping were confirmed by real-time PCR analysis. RESULTS:
Molecular karyotyping revealed a 5.2 Mb deletion in the 10q22.1q22.3 region. Real
time PCR analysis of the proband and her parents confirmed the deletion in the
proband and revealed its de novo origin. CONCLUSIONS: With ptosis, hypotonia, and
developmental delay as the main diagnostic features of our patient, the effect of
histone acetyltransferase-encoding KAT6B gene haploinsufficiency was suspected to
have a significant role in determining the phenotype. Detailed clinical
characterization of the patient provided additional information on the clinical
manifestation of the 10q22 deletion.
PMID- 27880067
TI - Cell proliferation downregulated by TGF-beta2-triggered G1/S checkpoint in
clinical CAFs.
AB - The metabolic reprogramming is indispensible for the fast growth of tumor cells.
The metabolism of CAFs is reprogrammed to aerobic glycolysis too. However, it is
not clear whether this metabolic reprogramming promotes the growth of CAFs
themselves. In this study, we found that the proliferation rate of CAFs was
slower than NAFs, which was determined by cell counting, BrdU assay and flow
cytometry analysis. Moreover, we found TGF-beta signaling regulated cell growth
of CAF through RNA-sequencing analysis and Western blot, which was further
supported by the observation that TGF-beta2 was highly expressed in colon cancer
tissues. In the end, we demonstrated that CAFs were critical to tumor cell
proliferation, which was supported by the evidence of their close localization in
clinical tumor tissue and tumor promoting effect in mice. In brief, our data have
manifested that the proliferation rate is decreased in CAFs, which enable CAFs
generate more intermediate metabolites to support tumor cells growth, suggesting
CAFs is an ideal target for tumor therapy.
PMID- 27880068
TI - Human hair-follicle associated pluripotent (hHAP) stem cells differentiate to
cardiac-muscle cells.
AB - We have previously demonstrated that nestin-expressing hair follicle-associated
pluripotent (HAP) stem cells are located in the bulge area. HAP stem cells have
been previously shown to differentiate to neurons, glial cells, keratinocytes,
smooth-muscle cells, melanocytes and cardiac-muscle cells in vitro. Subsequently,
we demonstrated that HAP stem cells could effect nerve and spinal cord
regeneration in mouse models, differentiating to Schwann cells and neurons. In
previous studies, we established an efficient protocol for the differentiation of
cardiac-muscle cells from mouse HAP stem cells. In the present study, we isolated
the upper part of human hair follicles containing human HAP (hHAP) stem cells.
The upper parts of human hair follicles were suspended in DMEM containing 10% FBS
where they differentiated to cardiac-muscle cells as well as neurons, glial
cells, keratinocytes and smooth-muscle cells. This method is appropriate for
future use with human hair follicles to produce hHAP stem cells in sufficient
quantities for future heart, nerve and spinal cord regeneration in the clinic.
PMID- 27880069
TI - Role of First-Trimester HbA1c as a Predictor of Adverse Obstetric Outcomes in a
Multiethnic Cohort.
AB - Context: Risk of obstetric complications increases linearly with rising maternal
glycemia. Testing hemoglobin A1c (HbA1c) is an effective option to detect
hyperglycemia, but its association with adverse pregnancy outcomes remains
unclear. Emerging data sustain that an early HbA1c >=5.9% could act as a
pregnancy risk marker. Objective: To determine, in a multiethnic cohort, whether
an early >=5.9% HbA1c could be useful to identify women without diabetes mellitus
at increased pregnancy risk. Design and Setting: A prospective study was
conducted at Hospital del Mar, Barcelona, between April 2013 and September 2015.
Patients and Intervention: A total of 1631 pregnant women had an HbA1c
measurement added to their first antenatal blood tests and were screened for
gestational diabetes mellitus at 24 to 28 weeks' gestation. Outcome Measures:
Primary outcome was macrosomia. Secondary outcomes were preeclampsia, preterm
birth, and cesarean section rate. Results: A total of 1228 pregnancies were
included for outcome analysis. Women with HbA1c >=5.9% (n = 48) showed a higher
rate of macrosomia (16.7% vs 5.9%, P = 0.008) and a tendency toward a higher rate
of preeclampsia (9.32% vs 3.9%, P = 0.092). There were no statistically
significant differences in other pregnancy outcomes. After adjusting for
potential confounders, an HbA1c >=5.9% was independently associated with a 3-fold
increased risk of macrosomia (95% confidence interval, 1.127 to 8.603, P = 0.028)
and preeclampsia (95% confidence interval, 1.086 to 11.532, P = 0.036).
Conclusions: In a multiethnic population, an early HbA1c >=5.9% measurement
identifies women at high risk for poorer pregnancy outcomes independently of
gestational diabetes mellitus diagnosis later in pregnancy. Further studies are
required to establish cutoff points adapted to each ethnic group and to assess
whether early detection and treatment are of benefit.
PMID- 27880070
TI - Influence of Premature Mortality on the Link Between Type 2 Diabetes and Hip
Fracture: The Fremantle Diabetes Study.
AB - Context: Studies of hip fracture complicating diabetes have not considered the
effect of premature mortality. Objective: The aim of our study was to determine
influence of the competing risk of death on the association between type 2
diabetes and hip fracture. Design: The study was designed as a longitudinal
observational study. Setting: The study setting was an urban community. Patients:
Participants included 1291 patients with type 2 diabetes (mean age 64.0 years)
and 5159 matched residents without diabetes. Main Outcome Measures: Primary
outcome measures were incident hip fracture hospitalizations and deaths. Hip
fracture risk was assessed using proportional hazards and competing risk
regression modeling. Results: During a mean of 14.1 years of follow-up, the
incidence rate ratio for first hip fracture hospitalization in participants with
vs without diabetes was 1.33 [95% confidence interval (CI), 1.05 to 1.68; P =
0.013]. Type 2 diabetes was associated with a cause-specific hazard ratio (csHR)
for hip fracture of 1.50 (95% CI, 1.19 to 1.89; P < 0.001) and a subdistribution
hazard ratio (sdHR) of 1.21 (95% CI, 0.96 to 1.52; P = 0.11) after adjustment for
age, sex, and comorbidities. In patients with diabetes, significant csHRs for
incident hip fracture were male sex (protective), body mass index (protective),
insulin use, and renal impairment. These variables, with increasing age, also had
significant sdHRs. Conclusions: The diabetes-associated risk of hip fracture is
attenuated after allowing for the competing risk of death. Risk factors for hip
fracture in diabetes were those in reported in general population studies plus
insulin use.
PMID- 27880071
TI - Interplay of cis- and trans-regulatory mechanisms in the spliceosomal RNA
helicase Brr2.
AB - RNA helicase Brr2 is implicated in multiple phases of pre-mRNA splicing and thus
requires tight regulation. Brr2 can be auto-inhibited via a large N-terminal
region folding back onto its helicase core and auto-activated by a catalytically
inactive C-terminal helicase cassette. Furthermore, it can be regulated in trans
by the Jab1 domain of the Prp8 protein, which can inhibit Brr2 by intermittently
inserting a C-terminal tail in the enzyme's RNA-binding tunnel or activate the
helicase after removal of this tail. Presently it is unclear, whether these
regulatory mechanisms functionally interact and to which extent they are
evolutionarily conserved. Here, we report crystal structures of Saccharomyces
cerevisiae and Chaetomium thermophilum Brr2-Jab1 complexes, demonstrating that
Jab1-based inhibition of Brr2 presumably takes effect in all eukaryotes but is
implemented via organism-specific molecular contacts. Moreover, the structures
show that Brr2 auto-inhibition can act in concert with Jab1-mediated inhibition,
and suggest that the N-terminal region influences how the Jab1 C-terminal tail
interacts at the RNA-binding tunnel. Systematic RNA binding and unwinding studies
revealed that the N-terminal region and the Jab1 C-terminal tail specifically
interfere with accommodation of double-stranded and single-stranded regions of an
RNA substrate, respectively, mutually reinforcing each other. Additionally, such
analyses show that regulation based on the N-terminal region requires the
presence of the inactive C-terminal helicase cassette. Together, our results
outline an intricate system of regulatory mechanisms, which control Brr2
activities during snRNP assembly and splicing.
PMID- 27880073
TI - An interprofessional health assessment program in rural amateur sport.
AB - Effective interprofessional learning (IPL) in multisectoral collaborations such
as those linking health services within communities can provide an authentic
experience for students and also appears to be the most effective way to achieve
health changes in targeted population groups. The aim of this study was to
facilitate the IPL of students at a rural university in a multisectoral health
assessment programme and to promote health in players of rural amateur sport. Two
rural rugby league teams took part in three pre-season health assessments
conducted by general medical practitioners, practice nurses, and nursing,
osteopathy, and exercise science students. The Readiness for Interprofessional
Learning Scale questionnaire and a series of focus groups were used to evaluate
participants' experiences of the programme. Results indicated that students saw
the benefits for patients and 93% valued the opportunity to improve
interprofessional communication, problem-solving and team skills. Some students
felt they needed to learn more about their own professional role before learning
about others, and instances of stereotyping were identified. The programme also
enabled early detection of potential health risks and referral for medical care,
management of musculoskeletal conditions, and health promotion. These health
assessments would be readily transferred to other multisectoral sporting
settings.
PMID- 27880072
TI - Oncogenic KRAS and the EGFR loop in pancreatic carcinogenesis-A connection to
licensing nodes.
AB - EGFR signaling has a critical role in oncogenic KRAS-driven tumorigenesis of the
pancreas, whereas it is dispensable in other organs. The complex signaling
network engaged by oncogenic KRAS and its modulation by EGFR signaling, remains
incompletely understood. In order to study early signaling events activated by
oncogenic KRAS in the pancreas, we recently developed a novel model system based
on murine primary pancreatic epithelial cells enabling the time-specific
expression of mutant KrasG12D from its endogenous promoter. Here, we discuss our
findings of a KrasG12D-induced autocrine EGFR loop, how this loop is integrated
by the MYC oncogene, and point to possible translational implications.
PMID- 27880075
TI - Holes in the Head: The Art and Archeology of Trepanation in Ancient Peru, by John
W. Verano.
PMID- 27880074
TI - What does the fruitless gene tell us about nature vs. nurture in the sex life of
Drosophila?
AB - The fruitless (fru) gene in Drosophila has been proposed to play a master
regulator role in the formation of neural circuitries for male courtship
behavior, which is typically considered to be an innate behavior composed of a
fixed action pattern as generated by the central pattern generator. However,
recent studies have shed light on experience-dependent changes and sensory-input
guided plasticity in courtship behavior. For example, enhanced male-male
courtship, a fru mutant "hallmark," disappears when fru-mutant males are raised
in isolation. The fact that neural fru expression is induced by neural activities
in the adult invites the supposition that Fru as a chromatin regulator mediates
experience-dependent epigenetic modification, which underlies the neural and
behavioral plasticity.
PMID- 27880077
TI - Teriparatide Treatment in Elderly Patients With Sacral Insufficiency Fracture.
AB - Context and Objective: Pain-related immobility because of insufficiency fractures
may result in serious complications and a high mortality rate in senile patients
with preexisting comorbidities. This study aimed to evaluate the efficacy of
teriparatide in patients with sacral insufficiency fractures. Design, Setting,
and Participants: This retrospective, case-controlled, single center study,
performed from 2009 to 2014, included 41 patients who underwent radiographs,
magnetic resonance imaging, and/or bone scans to document sacral insufficiency
fractures. Intervention: The intervention involved teriparatide at a once-daily
subcutaneous dose of 20 MUg within 2 days of hospital admission (21 patients).
Twenty patients (control group) did not receive teriparatide. Main Outcome
Measures: Functional outcome was assessed using a visual analog scale for pain
and the time to mobilization. Pelvic anteroposterior radiographs were repeated at
0, 1, 4, 8, 12, and 16 weeks until radiographic evidence of cortical bridging at
the fracture site was confirmed. Results: From the date of admission to 4 weeks,
the mean visual analog scale score improved between the 2 groups. The mean time
to mobilization was 1.2 +/- 0.4 weeks in patients who received teriparatide
treatment, compared with 2.0 +/- 0.3 weeks in controls (P < 0.001). At 8 weeks,
all fractures in the teriparatide treatment group and 4 fractures in the control
group had healed. Conclusions: In senile patients with preexisting comorbidities
who have sacral insufficiency fractures, teriparatide treatment may achieve
earlier pain reduction and mobilization and reduce healing time.
PMID- 27880076
TI - Correlation of ultra-widefield fundus autofluorescence patterns with the
underlying genotype in retinal dystrophies and retinitis pigmentosa.
AB - PURPOSE: Ultra-widefield fundus autofluorescence (UW-FAF) allows the
characterization of the peripheral retinal features of vitreoretinal diseases.
The purpose of this study was to examine possible genotypic/phenotypic
correlations of UW-FAF patterns in patients with a variety of retinal dystrophies
and retinitis pigmentosa (RP). METHODS: An IRB-approved retrospective consecutive
case series study was performed of genetically characterized retinal dystrophy or
RP patients who underwent UW-FAF imaging. UW-FAF was performed with the Optos
200Tx system. Clinical variables, genotypic analysis, and phenotypic
characteristics were reviewed. RESULTS: Seventeen patients were identified who
had identified mutations in retinal dystrophy or RP genes and who also had
undergone UW-FAF. Three patients had X-linked RP with RPGR mutations. Six
patients had autosomal dominant RP (four with RHO mutations and one with a PRPF31
mutation, and one with RDS/PRPH2 mutation). Four patients had autosomal recessive
RP (four with USH2A mutations). Three patients had Leber Congenital Amaurosis
(LCA) with mutations including CRB1, CEP290, and RPGRIP1. Macular
hyperautofluorescence was noted in all patients. A ring of hyperautofluorescence
was clear in patients with RHO and USH2A mutations, and patients with USH2A
mutations demonstrated a second ring of hyperautofluorescence. In the periphery,
patients with RHO or RPGR mutations exhibited hyperautofluorescence with patchy
areas of hypoautofluorescence. Patients with USH2A mutations had a distinctive
pattern of diffuse and homogeneous peripheral hypoautofluorescence. CONCLUSION:
UW-FAF may provide important information to facilitate diagnosis and further
research is needed to better characterize this technology as an imaging biomarker
for genotype association in retinal dystrophies and RP.
PMID- 27880078
TI - Serine racemase is expressed in islets and contributes to the regulation of
glucose homeostasis.
AB - NMDA receptors (NMDARs) have recently been discovered as functional regulators of
pancreatic beta-cell insulin secretion. While these excitatory receptor channels
have been extensively studied in the brain for their role in synaptic plasticity
and development, little is known about how they work in beta-cells. In neuronal
cells, NMDAR activation requires the simultaneous binding of glutamate and a rate
limiting co-agonist, such as D-serine. D-serine levels and availability in most
of the brain rely on endogenous synthesis by the enzyme serine racemase (Srr).
Srr transcripts have been reported in human and mouse islets but it is not clear
whether Srr is functionally expressed in beta-cells or what its role in the
pancreas might be. In this investigation, we reveal that Srr protein is highly
expressed in primary human and mouse beta-cells. Mice with whole body deletion of
Srr (Srr KO) show improved glucose tolerance through enhanced insulin secretory
capacity, possibly through Srr-mediated alterations in islet NMDAR expression and
function. We observed elevated insulin sensitivity in some animals, suggesting
Srr metabolic regulation in other peripheral organs as well. Srr expression in
neonatal and embryonic islets, and adult deficits in Srr KO pancreas weight and
islet insulin content, point toward a potential role for Srr in pancreatic
development. These data reveal the first evidence that Srr may regulate glucose
homeostasis in peripheral tissues and provide circumstantial evidence that D
serine may be an endogenous islet NMDAR co-agonist in beta-cells.
PMID- 27880080
TI - Anchoring interprofessional education in undergraduate curricula: The Heidelberg
story.
AB - The ability of health professionals to collaborate effectively has significant
potential impact on patient safety and quality-care outcomes, especially given
the increasingly complex and dynamic clinical practice environments of today.
Educators of the health professions are faced with an immediate challenge to
adapt curricula and traditional teaching methods to ensure graduates are equipped
with the necessary interprofessional competencies and (inter)professional values
for their future practice. The World Health Organization's "Framework for action
in interprofessional education (IPE) and collaborative practice" promotes IPE as
a key strategy to enhance patient outcomes by preparing a "collaborative practice
ready health workforce." Logistical and attitudinal barriers can hinder
integration of IPE into curricula. Lessons learned through the implementation of
a planned change to establish four interprofessional seminars (team
communication, medical error communication, healthcare English, and small
business management) at Heidelberg University Medical Faculty, Germany, are
described. A key factor in successfully anchoring IPE seminars in the
undergraduate curricula was the structured approach drawing on change management
concepts.
PMID- 27880079
TI - Relationship Between Gastric Emptying and Diurnal Glycemic Control in Type 1
Diabetes Mellitus: A Randomized Trial.
AB - Context: In type 1 diabetes (T1D), delayed gastric emptying (GE) may predispose
to a mismatch between insulin delivery and glucose absorption. Previous studies
evaluated, only partly, the relationship between delayed GE and postprandial, but
not diurnal, glycemia. Objective: To assess the relationship between GE
disturbances and glycemic control in T1D and the effects of accelerating GE on
glycemic control. Design, Setting, and Participants: This was a randomized
placebo-controlled trial in 30 patients with T1D on an insulin pump at an
academic medical center. Intervention(s): GE was evaluated with a [13C]-Spirulina
breath test at baseline (GEbaseline), during intravenous saline or erythromycin
(2 or 3 mg/kg; GEiv), and after 7 days of oral erythromycin or placebo (GEoral).
Weighed meals were provided throughout the study. Main Outcome Measure(s): These
were GE and continuous glucose monitoring (CGM). Results: The baseline
glycosylated hemoglobin was 7.6% +/- 0.8% (60 +/- 8.7 mmol/mol); 12 patients
(40%) had delayed GE; faster GE was associated with a greater postprandial CGM
based glucose, but slower GE was not associated with postprandial hypoglycemia
(<70 mg/dL). Intravenous (3 mg/kg) but not oral erythromycin accelerated GE. The
relationship between GE and glycemia differed between the postprandial periods
and the entire day. After adjusting for carbohydrate intake and insulin
consumption, faster GE was associated with more hyperglycemia during the
postprandial period but lower glucose values across the entire study.
Conclusions: In T1D, pharmacologically mediated acceleration of GE increases
postprandial CGM-based glucose. In contrast, delayed GE is associated with
greater CGM-based glucose values over the entire day.
PMID- 27880082
TI - Perceived performance and impact of a non-physician-led interprofessional team in
a trauma clinic setting.
AB - Faced with the challenge of meeting the wide degree of post-discharge needs in
their trauma population, the University of Pittsburgh Medical Center (UPMC)
developed a non-physician-led interprofessional team to provide follow-up care at
its UPMC Falk Trauma Clinic. We assessed this model of care using a survey to
gauge team member perceptions of this model, and used clinic visit documentation
to apply a novel approach to assessing how this model improves the care received
by clinic patients. The high level of perceived team performance and cohesion
suggests that this model has been successful thus far from a provider
perspective. Patients are seen most frequently by audiologists, while
approximately half of physical therapy and speech language therapy consults
generate a new therapy referral, which is interpreted as a potential change in
the patient's care trajectory. The broader message of this analysis is that a
collaborative, non-hierarchical team model incorporating rehabilitative
specialists, who often operate independently of one another, can be successful in
this setting, where patients appear to have a strong and previously under
attended need for rehabilitative intervention.
PMID- 27880081
TI - An emerging role for IQGAP1 in tight junction control.
AB - IQGAP1 is a scaffold protein involved in the assembly of adherens junctions. Our
work has recently revealed a novel role for IQGAP1 in the regulation of tight
junctions (TJ) through differential recruitment of claudins to the nascent TJ.
Here, we discuss the potential mechanisms of this regulation, including IQGAP1
effects on CDC42, and IQGAP1 interactions with sorting/trafficking molecules
(e.g. Exo70). Given the many roles of IQGAP1 and the large number of interacting
partners, we focus our discussion of these functions in the context of junction
formation, trafficking, growth factor signaling and cancer. We also propose a
potential role for IQGAP1 in regulating epithelial integrity and
compartmentalized signaling in epithelia.
PMID- 27880083
TI - How do parents and pediatricians arrive at the decision to immunize their
children in the private sector? Insights from a qualitative study on rotavirus
vaccination across select Indian cities.
AB - Key debates on improving vaccination coverage tend to focus on factors that
affect uptake in the public health system while ignoring the private sector that
plays an important role in providing health services in any low or middle-income
country setting. Using in-depth interviews, we explored factors that influenced
the decision of parents as well as pediatricians working in the private sector
across 8 Indian cities on whether their children should be vaccinated with a
particular vaccine Pediatricians and their relationship with parents was an
important factor that influenced the decision on whether parents vaccinated their
children with a particular vaccine or not. The decision to recommend a vaccine is
taken on the principle that it is better to be safe than sorry than on any
objective assessment of whether a child requires a particular vaccine or not.
Family members and social factors also played a major role in the decision
making. According to some parents, vaccinating their child added an aspirational
value to their growth. This is especially true of the newer vaccines that are
considered optional in India. The cost of a vaccine did not come up as an
inhibiting factor in the decision to vaccinate a child. Access to appropriate
evidence was limited for both pediatricians and parents and evidence per se
played a minimal role in the final decision to vaccinate a child or not. Far more
important were the influences of factors such as relationship with the
pediatrician, the role of decisions related to vaccination taken by people in the
immediate social network.
PMID- 27880084
TI - Corrigendum.
PMID- 27880085
TI - Different forms of spirituality and heavy episodic drinking among college
students.
AB - OBJECTIVE: The current study examined prospective, bidirectional relationships
between 3 measures of spirituality (Daily Spiritual Experiences, Positive
Religious Coping, and Negative Religious Coping) and frequency of heavy episodic
drinking. PARTICIPANTS: Three hundred ninety-one students attending a large,
public university in the Midwest. METHODS: Electronic surveys assessing
predictors of college alcohol use were sent to participants in the winter of
their freshman and sophomore years. Structural equation modeling was used to
analyze data. RESULTS: A latent factor comprised of Daily Spiritual Experiences
and Positive Religious Coping (ie, "positive spirituality") was negatively
related to future frequency of heavy episodic drinking. Negative Religious Coping
was unrelated to heavy episodic drinking. Additionally, heavy episodic drinking
did not prospectively predict any measures of spirituality. CONCLUSIONS: Data are
supportive of continued efforts to integrate positive spirituality into
interventions for collegiate heavy episodic drinking.
PMID- 27880087
TI - Ethnic Disparities in Medicare Part D Satisfaction and Intention to Switch Plans.
AB - Medicare Part D has been successful in providing affordable prescription drug
coverage with relatively high levels of beneficiary reported satisfaction. We use
nationally representative survey data to examine whether racial/ethnic
disparities exist in reported Part D satisfaction and plan evaluations. Compared
to non-Hispanic White Medicare beneficiaries, Hispanic beneficiaries are
considerably more likely to report to switch to a new plan in the next year and,
among beneficiaries auto-enrolled in a Part D plan, are less likely to be very
satisfied with the currently enrolled plan. The findings of ethnic disparities in
both Medicare Part D plan satisfaction and the intent to switch plans call for
future quality and equity improvement efforts to address these disparities.
PMID- 27880086
TI - Comparing the effects of Calendula officinalis and clotrimazole on vaginal
Candidiasis: A randomized controlled trial.
AB - This triple-blind trial examined the effects of Calendula officinalis vaginal
cream on the treatment of vaginal Candidiasis (primary outcome) and sexual
function (secondary outcome). Married women aged 18-45 years with vaginal
Candidiasis (n = 150) were recruited from April to October 2014 and randomized
into Calendula and clotrimazole groups, using 5-g vaginal cream every night for
seven nights. Clinical and laboratory assessments were conducted at 10-15 and 30
35 days after intervention and the female sexual function index was assessed at
30-35 days. Six women were lost to follow-up. The frequency of testing negative
for Candidiasis in the Calendula group was significantly lower at the first (49%
vs. 74%; odds ratio (OR) 0.32; 95% confidence interval (CI) 0.16-0.67) but higher
at the second (77% vs. 34%; OR 3.1; 95% CI 1.5-6.2) follow-up compared to the
clotrimazole group. The frequency of most signs and symptoms were almost equal in
the two groups at the first follow-up, but were significantly lower in the
Calendula group at the second follow-up. Sexual function had almost equal
significant improvement in both groups. Calendula vaginal cream appears to have
been effective in the treatment of vaginal Candidiasis and to have a delayed but
greater long-term effect compared to clotrimazole.
PMID- 27880089
TI - The Human Right to Leisure in Old Age: Reinforcement of the Rights of an Aging
Population.
AB - The right to leisure is recognized as a human right under the 1948 United Nations
Universal Declaration of Human Rights. The actual meaning and material content of
this human right is subject to debate. The aim of this study is to examine the
extent and the context to which this human right is specifically recognized with
regard to older persons. Methodologically, this study textually analyzed 17
different international older persons' human rights documents. The findings
reveal that in the majority of these documents there is no reference to the right
to leisure. In the remaining documents, the right to leisure is mostly referred
to indirectly or in a narrow legal construction. These findings support the
notion that despite the growing body of knowledge regarding the importance of
meaningful leisure in old age-and its empowering and anti-ageist nature-this
knowledge has not transformed into a legal human rights discourse.
PMID- 27880088
TI - Lectio Divina: A Preliminary Evaluation of a Chaplaincy Program.
AB - This participant outcome evaluation serves as a preliminary investigation into
the effects of lectio divina, a chaplaincy service representing a form of focused
Scripture reading. A sample of n = 19 patients who participated in this service
at a Department of Veterans Affairs Medical Center in upstate New York was
screened at baseline and 30-days follow-up using the Duke University Religion
Index, Berg Spiritual Injury Scale, and a screening question for thoughts of
harming self or others. These measures were used to assess the intended outcomes
of enhanced religiosity, ameliorated spiritual injury, and decreased thoughts of
violence. Data were collected through retrospective clinical chart reviews. The
outcomes of enhanced religiosity or decreased thoughts of violence were not
observed in this sample population. Ameliorated spiritual injury was observed in
veterans with substantial engagement in lectio divina (>2 sessions) as well as
those who endorsed thoughts of harming self or others.
PMID- 27880090
TI - The Differential Impact of Alcohol and Interpersonal Violence on the Severity of
Violent Traumatic Brain Injuries among American Indians.
AB - Research shows connections between substance use and traumatic brain injury
(TBI), high rates of substance use and interpersonal violence (IPV) in American
Indians with TBI, and connections between IPV and TBI. This study assessed the
effects of substance use at the time of a violent TBI and possible mediators such
as American Indian race on injury severity (injury severity score [ISS]). A
secondary data analysis of 3,351 individuals who experienced a TBI due to
violence was conducted. American Indians with TBI were more likely to experience
IPV (chi2 = 4.19; p = .04) and had significantly higher blood alcohol content
level (BAC) scores (t = - 16.78; p = .000) than other racial groups. A regression
model explained 27% of the variance in ISS. Significant interaction terms
uncovered positive relationships between: (a) American Indian race and ISS when
the injury aetiology was not IPV and BAC scores were lower than the legal limit,
and (b) IPV and ISS when patients were not American Indian. Alcohol was
negatively associated with ISS among American Indians, suggesting that BAC may
impact individuals with TBI differentially as a function of race.
PMID- 27880091
TI - Contextualizing urban living as a determinant of women's health in Jakarta,
Indonesia.
AB - Big cities can offer great opportunities for women to have a better life. At the
same time, however, the physical and social environment in cities can negatively
affect their health. We conducted focus groups with 24 women (aged 18-72 years)
living in Jakarta, Indonesia from October to November 2014. Our aim was to elicit
women's experiences of living in Jakarta, including their health and accessing
health care. The most frequent health problems reported by women in this study
were hypertension and arthritis. Women often gave priority to their family,
particularly their children, over themselves and their own health. Discussants
also thought that the city's physical and social conditions could affect their
health, and that women were more prone to feel stress than men. The results of
this study highlight the importance of addressing social determinants of health
across the life course, including gender, to improve women's health status.
Moreover, multi-sectoral collaboration at the municipal and national level is
needed for urban planning to create better living conditions for women in the
cities.
PMID- 27880092
TI - Efficacy of zinc oxide nanoparticles in attenuating pancreatic damage in a rat
model of streptozotocin-induced diabetes.
AB - Zinc oxide nanoparticles (ZnO NPs) therapy is a promising strategy for treatment
of several diseases. We aimed to investigate the therapeutic potential of ZnO NPs
in ameliorating the histopathological and functional alterations in the pancreas
of a rat model of streptozotocin-induced diabetes. Rats were randomized into
control, diabetic and ZnO NPs-treated diabetic groups. Biochemical assays of
blood glucose and serum insulin were performed. Pancreas specimens were processed
for light and electron microscope examinations. ZnO NPs effectively reversed
diabetes-induced pancreatic injury, as evidenced by the structural and
ultrastructural improvement and confirmed by biochemical normalization of blood
glucose and serum insulin.
PMID- 27880518
TI - Association between workplace psychosocial factors and mental health in Black,
Hispanic, and White women: Cross-sectional findings from the National Health
Interview Survey.
AB - Research evaluating the relation of workplace psychosocial factors to mental
health among U.S. women of different racial/ethnic backgrounds is limited. This
study investigated the relationship between work-related psychosocial factors and
mental health among non-Hispanic Black, Hispanic, and non-Hispanic White women
using data from the 2010 National Health Interview Survey. Independent variables
of interest included job insecurity, workplace harassment, and work-family
conflict (WFC). Multiple Poisson regression models were used to examine the
associations between the outcome and independent variables. The prevalence of
unfavorable mental health was highest among non-Hispanic Black women (36%)
compared to Hispanic (34%) and non-Hispanic White (30%) women. A higher
proportion of non-Hispanic Black women reported WFC compared to Hispanics and non
Hispanic Whites (chi2 = 15.50, p < .01), while more Hispanics reported job
insecurity (chi2 = 116.81, p < .01). Prevalence of workplace harassment did not
differ significantly by race/ethnicity. Odds of unfavorable mental health were
significantly higher for women reporting psychosocial work factors. Unexpectedly,
a greater association between psychosocial work factors and unfavorable mental
health was observed among non-Hispanic White women compared to non-White women;
however, caution should be taken in interpreting these cross-sectional results.
Future studies should investigate temporal associations and additional
psychosocial variables that were not available for use in the current study.
PMID- 27880519
TI - Special Issue: GTPases in trafficking, autophagy, and disease (FASEB SRC).
PMID- 27880619
TI - Experiencing an Intimate Partner's Breast Cancer: Attachment, Caregiving, and
Burden in Men.
AB - OBJECTIVE: This study examined interrelationships among adult attachment
orientations, caregiving, and caregiver burden in men of female partners with
breast cancer, and tested whether caregiving patterns mediated associations
between men's attachment orientations and their self-reported caregiver burden.
METHOD: The participants were 124 male partners of women with breast cancer.
These participants completed assessments related to attachment, caregiving, and
caregiver burden. Path models examined the associations between constructs and
tested mediational effects. RESULTS: Findings demonstrated significant
associations between men's adult attachment orientations and their experience of
caregiver burden. In addition, the maintenance of proximity in caregiving
completely mediated the respective associations of attachment security and
attachment avoidance to caregiver health problems, on one hand, and to the
caregiver's self-esteem (e.g., another indicator for caregiver burden), on the
other. Moreover, we found a direct effect of attachment avoidance on health
problems. CONCLUSIONS: This study highlighted the importance of addressing adult
attachment dispositions and caregiving to understanding the relational processes
implicated in caregiver burden. The results support the conclusion that men's
adult attachment orientations and caregiving patterns toward their female
partners with breast cancer are relevant contributors to men's perceptions of
caregiver burden.
PMID- 27880620
TI - A Relational Model for the Intergenerational Transmission of Captivity Trauma: A
23-Year Longitudinal Study.
AB - OBJECTIVES: The aversive, long-term toll of war captivity and fathers' combat
induced posttraumatic stress disorder (PTSD) on adult offspring's secondary
traumatization (ST) has been recently exemplified. However, the study of
potential mechanisms of the intergenerational transmission of trauma to offspring
is still lacking. This prospective study aimed to assess the role of fathers'
PTSD symptoms (PTSS), paternal parenting, and adult offspring's attachment
insecurities in adult offspring's PTSS. METHOD: A sample of 124 Israeli father
child dyads (80 ex-POW dyads and a comparison group of 44 veteran dyads)
completed self-report measures. The fathers participated in three waves of
measurements following the Yom Kippur War (T1: 1991, T2: 2003, T3: 2008), while
the offspring took part in T4 (2013). RESULTS: Offspring of ex-POWs with PTSD at
T3 reported more PTSS and higher levels of attachment insecurities than offspring
of ex-POWs without PTSD and controls at T4. Fathers' proximity to the children
and sensitivity to the children's needs were negatively related. Offspring's
attachment insecurities were positively related to offspring's PTSS. Importantly,
serial multiple mediation model results show that war captivity increased the
level of the fathers' PTSD at T2 and T3, which in turn decreased the level of the
fathers' parenting at T3, and thereby increased the level of offspring's
attachment avoidance at T4, which by its own merit was related to higher levels
of adult offspring's PTSS. CONCLUSIONS: The mechanisms of the intergenerational
transmission of captivity-related trauma of veterans' PTSD and paternal
parenting, through offspring's attachment insecurities and offspring's PTSS, was
exemplified.
PMID- 27880621
TI - The Dying Role: Its Relevance to Improved Patient Care.
AB - SOCIETY is failing to meet the obligation it has to its dying members. Persons
with terminal illnesses suffer isolation and neglect in hospitals, receive
overzealous treatment by physicians, and are kept in ignorance of their situation
by families and medical personnel. Evidence for these statements has come from
observers of the medical care system and from dying patients themselves (Kubler
Ross, 1969; Reynolds and Kalish, 1974; Sudnow, 1967). In the nineteenth century
it was common for persons to die in the familiar environs of their homes,
surrounded by grieving families from whom they parted in a meaningful manner
(Blauner, 1966). Dying persons of today no longer fill a well-defined social
role. Instead, the distinction between the roles of sick and dying persons has
been lost and, in the resulting confusion, the care of dying people has suffered.
The purpose of this article is to clarify the distinction between the dying and
sick roles, identify the signs of existing role confusion, suggest ways in which
this confusion may be corrected, and show how reestablishment of the dying role
can result in improved care of dying people. The important part physicians play
in defining sick and dying roles will be emphasized.
PMID- 27880622
TI - Physicians' Understanding of the Dying Role: A Training Opportunity.
PMID- 27880623
TI - The "Dying Role": Its Time Had Come.
PMID- 27880624
TI - Suicidal Ideation Among Korean Elderly: Risk Factors and Population Attributable
Fractions.
AB - OBJECTIVES: The objective of this study was to investigate the risk factors of
suicidal ideation and their population attributable fraction (PAF) in a
representative sample of the elderly population in Korea. METHOD: We examined the
data set from the Survey of Living Conditions and Welfare Needs of Korean Older
Persons, which was conducted by the Korea Institute for Health and Social Affairs
(KIHASA) in 2011. In that survey, 10,674 participants were randomly selected from
those older than age 65. Simultaneous multivariate logistic regression was used
to investigate the risk factors of suicidal ideation in terms of their
sociodemographic and health-related variables. Subsequently, the PAF was
calculated with adjustment for other risk factors. RESULTS: The weighted
prevalences of depression and suicidal ideation were 30.3% and 11.2%,
respectively. In multivariate analysis, factors significantly associated with
decreased risk of suicidal ideation included old-old age (odds ratio [OR] = 0.66
for 75 to 79 years, OR = 0.52 for 80 to 84 years, OR = 0.32 for older than 85
years), economic status (OR = 0.59 for 5th quintile; more than US$25,700 per
year), whereas those associated with increased risk included poor social support
(OR = 1.28), currently smoking (OR = 1.42), sleep problems (OR = 1.74), chronic
illness (OR = 1.40), poor subjective health (OR = 1.56), functional impairment
(OR = 1.45), and depression (OR = 4.36). Depression was associated with a fully
adjusted PAF of 45.7%, followed by chronic illness (19.4%), poor subjective
health status (18.9%), sleep problems (14.1%), functional impairment (4.9%), poor
social support (4.2%), and currently smoking (3.6%). CONCLUSIONS: Preventive
strategies focused particularly on depression might reduce the impact of suicidal
ideation in the elderly population. Also, specific mental health centers focused
on the specific needs of the elderly population should be established to manage
suicidal risk.
PMID- 27880625
TI - Evolution of the Care of the Dying: From Paternalistic to Palliative Care.
PMID- 27880626
TI - Psychological Sequelae of the 2013 Super Typhoon Haiyan Among Survivor
Responders.
AB - OBJECTIVE: Super Typhoon Haiyan (known as Yolanda in the Philippines), one of the
strongest tropical cyclones recorded in history, made landfall in the Philippines
in November 2013. This cross-sectional study examined the psychological impact of
the typhoon and its aftermath, as well as the impact of individual typhoon
related stressors among a group of survivor-responders. METHOD: A total of 192
Filipino adult survivors who were also disaster-relief responders and 45
unaffected disaster-relief responders (N = 237) completed a questionnaire that
assessed their general psychological distress (GPD), symptoms of posttraumatic
stress (PTS), and disaster experiences 1.5 to 4 months after the event. RESULTS:
The disaster-exposed group was more distressed and suffered from more symptoms of
PTS, but the prevalence of posttraumatic stress disorder (PTSD) was not
statistically different between the two groups (7.9% versus 9.4%). Regression
analysis revealed that financial instability (beta = .52), physical injury (beta
= .21), and perceived life threat (beta = .17) were associated with GPD. Physical
injury (beta = .20) and perceived life threat (beta = .20) were also associated
with PTS symptoms. CONCLUSIONS: Although a marked difference in PTSD was not
noted, the psychological impact of Super Typhoon Haiyan on survivor-responders in
terms of nonspecific psychological distress and symptoms of PTS was considerable.
Some typhoon-related stressors, including financial instability, physical injury,
and perceived life threat, appear to be more detrimental to mental health than
other stressors.
PMID- 27880627
TI - Do We Need Rules for Dying?
PMID- 27880628
TI - A Prescient View of Palliative Care by the Psychiatric Physician.
PMID- 27880630
TI - The "Dying Role": A New Path, Already Well Trodden.
PMID- 27880629
TI - Suicidal Ideation and Interpersonal Needs: Factor Structure of a Short Version of
the Interpersonal Needs Questionnaire in an At-Risk Military Sample.
AB - OBJECTIVE: The interpersonal-psychological theory of suicide posits that
perceived burdensomeness (PB; i.e., the belief that others would be better off if
one were dead) and thwarted belongingness (TB; i.e., the belief that one lacks
meaningful social connections) are both necessary risk factors for the
development of suicidal ideation. To test these relations, measures are needed
that are well validated, especially in samples of at-risk adults. METHOD: The
current study was designed to examine the factor structure of an eight-item
version of the Interpersonal Needs Questionnaire (INQ) in a sample of 405 U.S.
past and current military personnel (Mage = 31.57 years, SD = 7.28; 90.4% male)
who endorsed either current suicidal ideation and/or a past suicide attempt.
Analyses were conducted using confirmatory factor analysis (CFA). RESULTS: A
bifactor model comprising a general factor, labeled interpersonal needs, and two
specific factors, labeled PB and TB, fit the data best. The general factor
captured a high proportion of overall variance (81.9%). In contrast, the TB
factor captured only a modest amount of variance in items meant to capture this
factor (59.1%) and the PB factor captured very little variance in items meant to
capture this factor (13.5%). Further, only the interpersonal needs factor was
associated with lifetime and past-week suicidal ideation as well as suicidal
ideation frequency and duration. CONCLUSIONS: The current findings indicate that,
for the INQ-8 in high-risk military personnel, a general interpersonal needs
factor accounted for the relations PB and TB share with suicidal ideation.
PMID- 27880632
TI - Burden of Multiple Chronic Conditions in Delaware, 2011-2014.
AB - The objective of this study was to use data from the Behavioral Risk Factor
Surveillance System (BRFSS) to examine the prevalence of multiple chronic
conditions (MCC) by select sociodemographic groups and determine the prevalence
of most common MCC dyads and triads among Delaware adults. Combined data for 2011
through 2014 from BRFSS (n = 18,052) were analyzed to determine prevalence of
MCC. Delaware adults were categorized as having 0, 1, 2, or 3 or more of the
following diagnosed chronic conditions: angina, arthritis, asthma, cancer,
chronic kidney disease, chronic obstructive pulmonary disease, diabetes, high
blood pressure, high cholesterol, myocardial infarction (heart attack), obesity,
or stroke. More than 65% of Delaware adults had at least 1 of the 12 selected
chronic conditions. Furthermore, 36.8% of Delaware adults had MCC. The
arthritis/obesity dyad and the arthritis/high blood pressure/high cholesterol
triad were the 2 most prevalent MCC combinations. The findings of this study
contribute information to the field of MCC research.
PMID- 27880633
TI - Diversion Alert: 1-Year Evaluation Across Northern New England, 2013-2014.
AB - This report describes Diversion Alert, a unique online tool aimed at reducing
misuse and diversion of prescription drugs, and reports the results of a 1-year
evaluation of Diversion Alert's impact in Maine. We used a quasi-experimental
research design to compare survey data in Maine with those of neighboring states
(New Hampshire and Vermont, 2013 and 2014). Compared with their counterparts in
New Hampshire and Vermont who did not use Diversion Alert, prescribers and
pharmacists in Maine who used Diversion Alert increased their communication with
patients and other providers involved in their patients' care, became aware of
patients arrested for prescription drugs possession or diversion, used best
practices associated with prevention or detection of addiction and diversion more
frequently, and attributed positive changes in their prescribing practices to
Diversion Alert. In combination with other state and federal programs, Diversion
Alert may be an effective tool to help prevent the misuse of opioid medications.
PMID- 27880634
TI - Erratum, Vol. 13, November 3, 2016.
AB - [This corrects the article DOI: 10.5888/pcd13.160212.].
PMID- 27880641
TI - Molecular profiling optimizes the treatment of low-grade glioma.
PMID- 27880642
TI - Lesion momentum as explanation for preoperative neurocognitive function in
patients with malignant glioma.
PMID- 27880649
TI - Corrigendum.
PMID- 27880640
TI - The clinical use of stress echocardiography in non-ischaemic heart disease:
recommendations from the European Association of Cardiovascular Imaging and the
American Society of Echocardiography.
AB - A unique and highly versatile technique, stress echocardiography (SE) is
increasingly recognized for its utility in the evaluation of non-ischaemic heart
disease. SE allows for simultaneous assessment of myocardial function and
haemodynamics under physiological or pharmacological conditions. Due to its
diagnostic and prognostic value, SE has become widely implemented to assess
various conditions other than ischaemic heart disease. It has thus become
essential to establish guidance for its applications and performance in the area
of non-ischaemic heart disease. This paper summarizes these recommendations.
PMID- 27880650
TI - Deconstructing Chronic Low Back Pain in the Older Adult-Step by Step Evidence and
Expert-Based Recommendations for Evaluation and Treatment: Part XI: Dementia.
AB - : OBJECTIVE : To present the 11th in a series of articles designed to deconstruct
chronic low back pain (CLBP) in older adults. The series presents CLBP as a
syndrome, a final common pathway for the expression of multiple contributors
rather than a disease localized exclusively to the lumbosacral spine. Each
article addresses one of 12 important contributions to pain and disability in
older adults with CLBP. This article focuses on dementia. METHODS: A modified
Delphi technique was used to develop an algorithm for an approach to treatment
for older adults living with CLBP and dementia. A panel of content experts on
pain and cognition in older adults developed the algorithm through an iterative
process. Though developed using resources available within Veterans Health
Administration (VHA) facilities, the algorithm is applicable across all health
care settings. A case taken from the clinical practice of one of the contributors
demonstrates application of the algorithm. RESULTS: We present an evidence-based
algorithm and biopsychosocial rationale to guide providers evaluating CLBP in
older adults who may have dementia. The algorithm considers both subtle and overt
signs of dementia, dementia screening tools to use in practice, referrals to
appropriate providers for a complete a workup for dementia, and clinical
considerations for persons with dementia who report pain and/or exhibit pain
behaviors. A case of an older adult with CLBP and dementia is presented that
highlights how an approach that considers the impact of dementia on verbal and
nonverbal pain behaviors may lead to more appropriate and successful pain
management. CONCLUSIONS: Comprehensive pain evaluation for older adults in
general and for those with CLBP in particular requires both a medical and a
biopsychosocial approach that includes assessment of cognitive function. A
positive screen for dementia may help explain why reported pain severity does not
improve with usual or standard-of-care pain management interventions. Pain
reporting in a person with dementia does not always necessitate pain treatment.
Pain reporting in a person with dementia who also displays signs of pain
associated suffering requires concerted pain management efforts targeted to
improving function while avoiding harm in these vulnerable patients.Key Words.
Dementia; Chronic Pain; Low Back Pain; Lumbar; Primary Care.
PMID- 27880651
TI - Durations of Opioid, Nonopioid Drug, and Behavioral Clinical Trials for Chronic
Pain: Adequate or Inadequate?
AB - OBJECTIVES: A recent US federal review and clinical guideline on opioids for
chronic pain asserted that the literature contributes no evidence on efficacy
because all trials had "inadequate duration." To explore the evidence, we
examined durations of studies on opioid, nonopioid drug, and behavioral therapies
for chronic pain. METHODS: We retrieved Cochrane reviews of anticonvulsants,
antidepressants, NSAIDs, opioids, or behavioral interventions for chronic pain.
We also examined all opioid treatment studies retrieved for the federal evidence
report but excluded due to "inadequate duration." RESULTS: Of 378 Cochrane
reviews retrieved, 72 evaluated one of the five therapies. Six of these 72 were
excluded because they were proposals without data or investigated acute pain.
Fourteen addressed multiple interventions, leaving 52 for analysis. We graphed
numbers of trials vs duration for the five treatments reviewed in the Cochrane
Library, compared with durations of opioid trials dropped from the federal
evidence report. Most graphs were overdispersed Poisson distributions. Nearly all
trials had active treatment durations of 12 weeks or less. CONCLUSIONS: No common
nonopioid treatment for chronic pain has been studied in aggregate over longer
intervals of active treatment than opioids. To dismiss trials as "inadequate" if
their observation period is a year or less is inconsistent with current
regulatory standards. The literature on major drug and nondrug treatments for
chronic pain reveals similarly shaped distributions across modalities.
Considering only duration of active treatment in efficacy or effectiveness
trials, published evidence is no stronger for any major drug category or
behavioral therapy than for opioids.
PMID- 27880653
TI - New Research on Secondhand Smoke: Implications for Research and Practice.
PMID- 27880652
TI - Characterization of Chronic Pain and Opioid Usage in Adult Sickle Cell Disease
Patients Referred to a Comprehensive Pain Clinic.
PMID- 27880662
TI - Preface.
PMID- 27880663
TI - An introduction to antifungal stewardship.
PMID- 27880664
TI - The current treatment landscape: the need for antifungal stewardship programmes.
AB - There is increasing evidence supporting the need for antifungal stewardship (AFS)
programmes in order to promote appropriate antifungal use, improve diagnosis and
quality of care, and decrease the costs of antifungal treatment. AFS programmes
delivered by experienced teams can be efficacious and cost effective. However,
there are a variety of challenges often faced during the implementation of AFS
programmes which can present barriers to their success. These can include lack of
dedicated personnel, lack of investment in new diagnostic and prescription tools,
and misperception by other physicians.
PMID- 27880666
TI - The current management landscape: aspergillosis.
AB - Diagnosing invasive aspergillosis (IA) has long been challenging due to the
inability to culture the causal Aspergillus agent from blood or other body
fluids. This shortcoming has fuelled an interest in non-culture-based diagnostic
techniques such as the detection of galactomannan (GM) in blood and
bronchoalveolar lavage fluid, the detection of 1,3-beta-d-glucan (BDG) in blood
and the detection of Aspergillus DNA by PCR-based techniques. Past decades have
witnessed important improvements in our understanding of the strengths and
limitations of antigen assays and in the standardization of PCR-based DNA
techniques. These assays are now being incorporated into care pathways and
diagnostic algorithms; they help us to steward and monitor antifungal therapies
and to predict treatment outcomes.
PMID- 27880665
TI - The current treatment landscape: candidiasis.
AB - The epidemiology of Candida species infection has changed over recent decades,
influenced by local hospital-related factors, patient predisposing conditions and
type of antifungal agents administered. A shift from Candida albicans as the
predominant pathogen towards an increasing prevalence of the species Candida
glabrata and Candida parapsilosis amongst critically ill patients has been
documented. Changes in Candida species distribution may impact treatment
recommendations due to differences in susceptibility to antifungal agents among
species. Previous exposure to antifungal agents has likely contributed to this
shift in species distribution. Another evolving epidemiological factor to
consider is the global increase in antifungal resistance to certain antifungal
drug types, which has been contributed to by the inappropriate use of these
agents. Proposed management strategies to optimize treatment of patients with
Candida infection include starting prompt 'early' antifungal therapy, early
cessation of inappropriate therapy, using an adequate dose and duration of
therapy and de-escalating treatment whenever possible. The implementation of
institutional antifungal stewardship programmes has the potential to promote
appropriate utilization of antifungal agents and to significantly improve the
care of patients with Candida infection. However, a cultural change among
healthcare providers and authorities is currently needed to improve antifungal
use worldwide.
PMID- 27880667
TI - The current treatment landscape: other fungal diseases (cryptococcosis,
fusariosis and mucormycosis).
AB - Compared with major invasive mycoses such as aspergillosis and candidiasis, the
antifungal stewardship management strategies of other fungal diseases have
different opportunities and considerations. Cryptococcosis, fusariosis and
mucormycosis are globally prevalent invasive fungal diseases (IFDs), but are not
currently included in antifungal prophylaxis guidelines for immunocompromised
hosts. Since the implementation of biomarkers as part of diagnostic screening
strategies, the concept of pre-emptive antifungal therapy has emerged for these
IFDs. Management of cryptococcosis, the most common IFD worldwide, generally
utilizes a pre-emptive or therapeutic strategy that does not involve prophylaxis
or empirical antifungal treatment strategies. Antifungal stewardship outcomes for
cryptococcosis may vary according to the availability of local resources.
Invasive fusariosis, the second-most common form of non-Aspergillus mould
infection among haematological malignancy patients, can be managed with pre
emptive (or diagnostic-driven) approaches based on the monitoring of serum
galactomannan (GM) antigen in increased-risk populations. The success of
antimicrobial stewardship programmes in decreasing the burden of invasive
fusariosis in selected patient populations depends on the development and
implementation of rapid diagnostic strategies for early and appropriate
administration of therapy. Mucormycosis may emerge as a breakthrough IFD in
haematology or solid organ transplant recipients receiving antifungals that lack
activity against Mucorales. The concept of pre-emptive antifungal therapy has
thus arisen for mucormycosis in the haematology setting because of the recent
availability of circulating Mucorales DNA measurement. These examples demonstrate
the challenges of implementing antifungal stewardship programmes in areas with
limited resources, as well as in IFDs that are difficult to diagnose and treat.
PMID- 27880668
TI - The role of the multidisciplinary team in antifungal stewardship.
AB - There are a variety of challenges faced in the management of invasive fungal
diseases (IFD), including high case-fatality rates, high cost of antifungal drugs
and development of antifungal resistance. The diagnostic challenges and poor
outcomes associated with IFD have resulted in excessive empirical use of
antifungals in various hospital settings, exposing many patients without IFD to
potential drug toxicities as well as causing spiralling antifungal drug costs.
Further complexity arises as different patient groups show marked variation in
their risk for IFD, fungal epidemiology, sensitivity and specificity of
diagnostic tests and the pharmacokinetics and pharmacodynamics of antifungal
drugs. To address these issues and to ensure optimal management of IFD,
specialist knowledge and experience from a range of backgrounds is required,
which extends beyond the remit of most antibiotic stewardship programmes. The
first step in the development of any antifungal stewardship (AFS) programme is to
build a multidisciplinary team encompassing the necessary expertise in the
management of IFD to develop and implement the AFS programme. The specific roles
of the key individuals within the AFS team and the importance of collaboration
are discussed in this article.
PMID- 27880669
TI - Conclusion and future perspectives on antifungal stewardship.
PMID- 27880676
TI - Editorial for Integrative and Comparative Biology.
PMID- 27880677
TI - Neuroecology: Neural Mechanisms of Sensory and Motor Processes that Mediate
Ecologically Relevant Behaviors: An Introduction to the Symposium.
AB - What is Neuroecology? Animal behavior mediates many critical ecological processes
that, in turn, have implications for the evolution of organismal interactions.
Because the peripheral and central nervous systems ultimately control behavior,
research in neuroecology seeks to link the neural basis of behavior with
behavioral control of ecological interactions, and to determine how specific
processes (e.g., environmental and genetic constraints, ecological and
evolutionary forces) operating to alter nervous system function might constrain
or facilitate adaptive behavior. Our goal for this symposium was to promote a
general framework for neuroecology by exploring fundamental questions germane to
this new area of research, and to develop a "toolbox" of techniques and
approaches for addressing those questions. In the following series of papers, we
provide a starting point for future work on neuroecology, including evolutionary
context, the role of plasticity in shaping nervous system function and behavior,
and an exploration of various sensorimotor systems that control ecological
interactions. By promoting an integration of observational and experimental
approaches at different levels of organization, we can reveal much about how the
neural bases of behaviors influence interactions that occur under ecologically
relevant contexts that would otherwise be impossible from isolated physiological,
behavioral, or ecological components.
PMID- 27880678
TI - Evidence for an Alternative Mechanism of Toxin Production in the Box Jellyfish
Alatina alata.
AB - Cubozoans (box jellyfish) have a reputation as the most venomous animals on the
planet. Herein, we provide a review of cubozoan prey capture and digestion
informed by the scientific literature. Like all cnidarians, box jellyfish
envenomation originates from structures secreted within nematocyte post-Golgi
vesicles called nematocysts. When tentacles come in contact with prey or would-be
predators, a cocktail of toxins is rapidly deployed from nematocysts via a long
spiny tubule that serves to immobilize the target organism. The implication has
long been that toxin peptides and proteins making up the venom within the
nematocyst capsule are secreted directly by nematocytes during nematogenesis.
However, our combined molecular and morphological analysis of the venomous box
jellyfish Alatina alata suggests that gland cells with possible dual roles in
secreting toxins and toxic-like enzymes are found in the gastric cirri. These
putative gland cell assemblages might be functionally important internally
(digestion of prey) as well as externally (envenomation) in cubozoans. Despite
the absence of nematocysts in the gastric cirri of mature A. alata medusae, this
area of the digestive system appears to be the region of the body where venom
implicated gene products are found in highest abundance, challenging the idea
that in cnidarians venom is synthesized exclusively in, or nearby, nematocysts.
In an effort to uncover evidence for a central area enriched in gland cells
associated with the gastric cirri we provide a comparative description of the
morphology of the digestive structures of A. alata and Carybdea box jellyfish
species. Finally, we conduct a multi-faceted analysis of the gene ontology terms
associated with venom-implicated genes expressed in the tentacle/pedalium and
gastric cirri, with a particular emphasis on zinc metalloprotease homologs and
genes encoding other bioactive proteins that are abundant in the A. alata
transcriptome.
PMID- 27880686
TI - Commentary: It takes more than one to tango: life course epidemiology and related
approaches.
PMID- 27880687
TI - Commentary: Developing the future: life course epidemiology, DOHaD and
evolutionary medicine.
PMID- 27880685
TI - The last two decades of life course epidemiology, and its relevance for research
on ageing.
PMID- 27880688
TI - Commentary: The value of life course epidemiology in low- and middle-income
countries: an ageing perspective.
PMID- 27880689
TI - Commentary: The course of life and life, of course: a commentary on Ben-Shlomo,
Cooper and Kuh.
PMID- 27880690
TI - Commentary: The use and misuse of life course models.
PMID- 27880691
TI - Commentary: Incorporating concepts and methods from causal inference into life
course epidemiology.
PMID- 27880692
TI - Commentary: Value of the life course approach to the health care of older people.
PMID- 27880693
TI - Commentary: Life course epidemiology: the past two decades and future directions.
PMID- 27880694
TI - Commentary: Life course epidemiology embraces geroscience.
PMID- 27880695
TI - The association of birth weight and infant growth with childhood autonomic
nervous system activity and its mediating effects on energy-balance-related
behaviours-the ABCD study.
AB - BACKGROUND: The purpose of this study was to examine the association of birth
weight and infant growth with childhood autonomic nervous system (ANS) activity
and to assess whether ANS activity mediates the associations of birth weight and
infant growth with energy-balance-related behaviours, including energy intake,
satiety response, physical activity and screen time. METHODS: In 2089 children,
we prospectively collected birth weight, infant growth defined as conditional
weight and height gain between birth and 12 months and-at 5 years-indices of
cardiac ANS activity and parent-reported energy-balance-related behaviours. A
mediation analysis was conducted, based on MacKinnon's multivariate extension of
the product-of-coefficients strategy. RESULTS: Birth weight and infant height
gain were inversely associated with sympathetic, but not parasympathetic,
activity at age 5. Infant weight gain was not associated with childhood ANS
activity. Infant weight gain was predictive of increased childhood screen time
and infant height gain of diminished childhood energy intake, but sympathetic
activity did not mediate these associations. CONCLUSIONS: Low-birth-weight
children have higher sympathetic activity, which is considered a risk factor for
cardiovascular disease. Height gain in infancy seems to be beneficial for
childhood sympathetic activity. However, sympathetic activity was no mediator of
the associations of infant growth with childhood energy-balance-related
behaviours. As individual differences in ANS activity predict increased risk of
cardiovascular disease, these differences may offer insight into the early-life
origins of chronic diseases and provide further basis for public health
strategies to optimize birth weight and infant growth.
PMID- 27880696
TI - Response to: Reliability and validity of telomere length measurements.
PMID- 27880701
TI - In European public health we trust?
PMID- 27880702
TI - The future of public health practice in Europe.
PMID- 27880703
TI - ISIS? Crop failure? And no antibiotics? What training will we need for the future
of public health.
PMID- 27880704
TI - Voluntary home smoking ban: prevalence, trend and determinants in Italy.
AB - To investigate voluntary home smoking bans, we analysed five nationally
representative surveys on 15 175 adults conducted in Italy in 2011-2015. Overall,
61% of Italians (69% of non-smokers and 32% of current smokers) adopted a
complete home smoking ban. Although families with children more frequently live
in smoke-free homes, still the majority of current smokers living with children
admit smoking inside their homes. Complete home smoking bans are substantially
increasing in Italy, and an acceleration of this trend is expected with the 2016
implementation of a new tobacco control legislation aimed at decreasing the
social acceptability of smoking.
PMID- 27880706
TI - eComment. How can we be sure?
PMID- 27880707
TI - eComment. Diagnostic intricacies and fortuitous treatment approaches for
carbapenem-resistant Klebsiella pneumoniae.
PMID- 27880708
TI - eReply. Diagnostic intricacies and fortuitous treatment approaches for carbapenem
resistant Klebsiella pneumoniae.
PMID- 27880709
TI - eComment. Resident surgical training in mitral valve surgery.
PMID- 27880712
TI - First by Land, Then by Sea: Origins of Dietary Adaptations for Whales and
Dolphins during Land to Sea Transition.
PMID- 27880716
TI - Data-driven informatics tools targeting patients and providers.
PMID- 27880717
TI - Health informatics: our domain, our challenge.
PMID- 27880711
TI - Fine-Tuning Motile Cilia and Flagella: Evolution of the Dynein Motor Proteins
from Plants to Humans at High Resolution.
AB - The flagellum is a key innovation linked to eukaryogenesis. It provides motility
by regulated cycles of bending and bend propagation, which are thought to be
controlled by a complex arrangement of seven distinct dyneins in repeated
patterns of outer- (OAD) and inner-arm dynein (IAD) complexes. Electron
tomography showed high similarity of this axonemal repeat pattern across
ciliates, algae, and animals, but the diversity of dynein sequences across the
eukaryotes has not yet comprehensively been resolved and correlated with
structural data. To shed light on the evolution of the axoneme I performed an
exhaustive analysis of dyneins using the available sequenced genome data.
Evidence from motor domain phylogeny allowed expanding the current set of nine
dynein subtypes by eight additional isoforms with, however, restricted taxonomic
distributions. I confirmed the presence of the nine dyneins in all eukaryotic
super-groups indicating their origin predating the last eukaryotic common
ancestor. The comparison of the N-terminal tail domains revealed a most likely
axonemal dynein origin of the new classes, a group of chimeric dyneins in
plants/algae and Stramenopiles, and the unique domain architecture and origin of
the outermost OADs present in green algae and ciliates but not animals. The
correlation of sequence and structural data suggests the single-headed class-8
and class-9 dyneins to localize to the distal end of the axonemal repeat and the
class-7 dyneins filling the region up to the proximal heterodimeric IAD. Tracing
dynein gene duplications across the eukaryotes indicated ongoing diversification
and fine-tuning of flagellar functions in extant taxa and species.
PMID- 27880718
TI - Contribution of JAC to antimicrobial stewardship.
AB - Antimicrobial stewardship programmes are increasingly being used to improve the
quality of antimicrobial prescribing, with the dual aim of optimizing clinical
outcomes and minimizing the emergence and spread of antimicrobial resistance. The
Journal of Antimicrobial Chemotherapy (JAC) is celebrating its 40th anniversary
and, as part of activities to commemorate this event, this article highlights the
contribution of JAC to antimicrobial stewardship. Papers published in JAC have
contributed to the evidence base for stewardship, have highlighted educational
and behavioural change initiatives aimed at improving antibiotic prescribing
practice, and have actively sought to foster the practice of antimicrobial
stewardship amongst its readers.
PMID- 27880720
TI - The impact of reproductive life on breast cancer risk in women with family
history or BRCA mutation.
AB - Reproductive history and exogenous hormonal exposures are acknowledged risk
factors for breast cancer in the general population. In women at increased breast
cancer risk for genetic predisposition or positive family history, data regarding
these risk factors are limited or conflicting, and recommendations for these
categories are unclear. We evaluated the characteristics of reproductive life in
2522 women at increased genetic or familial breast cancer risk attending our
Family Cancer Center. Breast cancers in BRCA mutation carriers were more likely
to be hormone receptor negative, diagnosed at 35 years or before and multiple
during the lifetime than tumors in women at increased familial risk, while the
distribution of invasive cancers and HER2 positive tumors was similar in the
different risk groups. At least one full-term pregnancy (HR 0.27; 95% CI 0.12
0.58; p = 0.001), breastfeeding either less (HR 0.24; 95% CI 0.09-0.66; p =
0.005) or more (HR 0.25; 95% IC 0.08-0.82; p = 0.022) than one year and late age
at menopause (HR 0.10; 95% CI 0.01-0.82; p = 0.033) showed to be protective
factors in BRCA mutation carriers, while in women at increased familial risk
early age at first full-term pregnancy (HR 0.62; 95% IC 0.38-0.99; p = 0.048) and
late menarche (HR 0.61; 95% CI 0.42-0.85; p = 0.004) showed to be the main
protective factors. Finally, for the entire population, combined hormonal
contraceptives demonstrated to do not increase breast cancer risk. The results of
our study suggest that women at high familial risk and mutation carries develop
tumors with different clinical-pathological characteristics and, consequently,
are influenced by different protective and risk factors.
PMID- 27880721
TI - Inhibition of HAX-1 by miR-125a reverses cisplatin resistance in laryngeal cancer
stem cells.
AB - Chemoresistance is a major obstacle in chemotherapy of laryngeal carcinoma.
Recently, studies indicate that cancer stem cells are responsible for
chemotherapy failure. In addition, microRNAs play important roles in tumor
initiation, development and multidrug resistance. In the present study, we found
that the expression of microRNA-125a was decreased in laryngeal carcinoma tissues
and Hep-2 laryngeal cancer stem cells (Hep-2-CSCs). MicroRNA-125a gain-of
function significantly increased the sensitivity of Hep-2-CSCs to cisplatin in
vitro and in vivo. Combination with microRNA-125a mimics can decrease the half
maximal inhibitory concentration of Hep-2-CSCs to cisplatin. Mechanically, we
found that microRNA-125a reverses cisplatin resistance in Hep-2-CSCs by targeting
Hematopoietic cell-specific protein 1-associated protein X-1 (HAX-1). Inhibition
of HAX-1 by microRNA-125a significantly promotes the cisplatin-induced apoptosis
in Hep-2-CSCs through mitochondrial pathway. In addition, multidrug resistance of
Hep-2-CSCs to vincristine, etoposide and doxorubicin was greatly improved after
the cells were transfected with microRNA-125a mimics. These dates strongly
suggested the promotion of microRNA-125a/HAX-1 axis on chemotherapy of laryngeal
carcinoma.
PMID- 27880723
TI - Association between three functional microRNA polymorphisms (miR-499 rs3746444,
miR-196a rs11614913 and miR-146a rs2910164) and breast cancer risk: a meta
analysis.
AB - Three functional microRNA polymorphisms (miR-499 rs3746444 A > G, miR-196a
rs11614913 C > T and miR-146a rs2910164 G > C) have been reported to be
associated with breast cancer (BC) risk. However, the results of the published
studies are inconsistent. In order to obtain a more credible result, we conducted
this meta-analysis. We searched PubMed, EMBASE and Web of Science databases to
identify relevant studies. Pooled odds ratios (ORs) and 95% confidence intervals
(CIs) were used to assess the association. Thirty-eight eligible studies with
17,417 cases and 18,988 controls were included in this meta-analysis. Our results
showed that the rs3746444 was associated with an increased breast cancer risk in
the four genetic models (G vs. A: OR = 1.17, P = 0.008; GG vs. AA: OR = 1.41, P <
0.001; AG vs. AA: OR = 1.10, P = 0.036; GG+AG vs. AA: OR = 1.16, P = 0.001). In
the subgroup analysis by ethnicity, significant correlation remained in Asians
but not in Caucasians. For rs11614913, obvious decreased breast cancer risk was
observed in Caucasian populations (T vs. C: OR = 0.93, P = 0.044). However, we
couldn't detect an association between rs2910164 and breast cancer risk. This
meta-analysis demonstrates that rs3746444 could increase breast cancer risk in
Asians and in general populations, while rs11614913 could decrease the risk of
breast cancer in Caucasians. The rs2910164 polymorphism has no association with
breast cancer risk. More multicenter studies with larger sample sizes are
required to verify our results.
PMID- 27880722
TI - Deletion of 8p is an independent prognostic parameter in prostate cancer.
AB - Deletion of chromosome 8p is the second most frequent genomic alteration in
prostate cancer. To better understand its clinical significance, 8p deletion was
analyzed by fluorescence in-situ hybridization on a prostate cancer tissue
microarray. 8p deletion was found in 2,581 of 7,017 cancers (36.8%), and was
linked to unfavorable tumor phenotype. 8p deletion increased from 29.5% in 4,456
pT2 and 47.8% in 1,598 pT3a to 53.0% in 931 pT3b-pT4 cancers (P < 0,0001).
Deletions of 8p were detected in 25.5% of 1,653 Gleason <= 3 + 3, 36.6% of 3,880
Gleason 3 + 4, 50.2% of 1,090 Gleason 4 + 3, and 51.1% of 354 Gleason >= 4 + 4
tumors (P < 0,0001). 8p deletions were strongly linked to biochemical recurrence
(P < 0.0001) independently from established pre- and postoperative prognostic
factors (P = 0.0100). However, analysis of morphologically defined subgroups
revealed, that 8p deletion lacked prognostic significance in subgroups with very
good (Gleason <= 3 + 3, 3 + 4 with <= 5% Gleason 4) or very poor prognosis (pT3b,
Gleason >= 8, pN1). 8p deletions were markedly more frequent in cancers with
(53.5%) than without PTEN deletions (36.4%; P < 0,0001) and were slightly more
frequent in ERG-positive (40.9%) than in ERG-negative cancers (34.7%, P < 0.0001)
due to the association with the ERG-associated PTEN deletion. Cancers with
8p/PTEN co-deletions had a strikingly worse prognosis than cancers with deletion
of PTEN or 8p alone (P <= 0.0003). In summary, 8p deletion is an independent
prognostic parameter in prostate cancer that may act synergistically with PTEN
deletions. Even statistically independent prognostic biomarkers like 8p may have
limited clinical impact in morphologically well defined high or low risk cancers.
PMID- 27880724
TI - Hepatic resection after transarterial chemoembolization increases overall
survival in large/multifocal hepatocellular carcinoma: a retrospective cohort
study.
AB - To investigate the prognosis of transarterial chemoembolization (TACE) followed
by hepatic resection (HR) in large/multifocal hepatocellular carcinoma (HCC), the
medical records of consecutive HCC patients who underwent TACE between January
2006 and December 2010 were retrospectively analyzed. Patients who received TACE
alone comprised the T group (61 patients), while those who received HR after TACE
comprised the T+R group (49 patients). All the resections were successfully
performed, and only one class V complication occurred. While liver function was
altered from baseline within 1 week after HR, it recovered within 1 month.
Overall survival (OS) of the T+R and T groups were compared, and sub-group
analyses were performed based on baseline alpha-fetoprotein (AFP) levels, the
reduction of AFP, and tumor response before HR. Overall survival (OS) in the T+R
group was longer than in the T group (47.00 +/- 2.87 vs. 20.00 +/- 1.85 months, P
< 0.001). OS in the T+R group with AFP reduction was less than 50%, and OS among
those with a poor tumor response before HR did not differ from the T group (P >
0.05). These patients may not benefit from the combined treatment. Our findings
suggest HR after TACE is safe and effective for large/multifocal HCC, and
prolongs OS when compared to TACE alone.
PMID- 27880725
TI - Mouse Sirt3 promotes autophagy in AngII-induced myocardial hypertrophy through
the deacetylation of FoxO1.
AB - Sirt3, a mitochondrial NAD+-dependent histone deacetylase, is the only member
proven to promote longevity in mammalian Sirtuin family. The processed short form
of Sirt3 has been demonstrated to target many mediators of energy metabolism and
mitochondrial stress adaptive program. Autophagy serves as a dynamic recycling
mechanism and provides energy or metabolic substrates. Among the mechanisms
triggered by cardiac stress, opinions vary as to whether autophagy is a
protective or detrimental response. Here, by inducing the Sirt3-knockout mice to
myocardial hypertrophy with chronic angiotensin II infusion for four weeks, we
determined the role of Sirt3 in myocardial hypertrophy and autophagy. In this
study, the Sirt3-knockout mice developed deteriorated cardiac function and
impaired autophagy compared to wild-type mice. What's more, the overexpression of
Sirt3 by lentivirus transfection attenuated cardiomyocytes hypertrophy by
promoting autophagy. We further demonstrated that Sirt3 could bind to FoxO1 and
activate its deacetylation. Sequentially, deacetylated FoxO1 translocates to the
nucleus where it facilitates downstream E3 ubiquitin ligases such as Muscle RING
Finger 1 (MuRF1) and muscle atrophy F-box (MAFbx, Atrogin1). Altogether, these
results revealed that Sirt3 activation is essential to improve autophagy flux by
reducing the acetylation modification on FoxO1, which in turn alleviates
myocardial hypertrophy.
PMID- 27880727
TI - Gold nanoparticles delivered miR-375 for treatment of hepatocellular carcinoma.
AB - MiR-375 is a tumor suppressor miRNA that is downregulated in hepatocellular
carcinoma (HCC). However, due to the lack of effective delivery strategies, miR
375 replacement as a therapy for HCC has not been investigated. In the present
study, we have developed a straightforward strategy to deliver miR-375 into HCC
cells by assembling miR-375 mimics on the surface of AuNPs and forming AuNP-miR
375 nanoparticles. AuNP-miR-375 exhibits high cellular uptake and preserves miR
375's activities to suppress cellular proliferation, migration/invasion, and
colony formation, and to induce apoptosis in HCC cells. Furthermore, AuNP
delivered miR-375 efficiently downregulated its target genes through RNA
interference. In primary and xenograft tumor mouse models, AuNP-miR-375 showed
high tumor uptake, therapeutic efficacy, and no apparent toxicity to the host
mice. In conclusion, our findings indicate that AuNPs is a reliable strategy to
deliver miR-375 into HCC cells and tissue, and that AuNP-miR-375 has the
potential in the clinic for treatment of unresectable HCC.
PMID- 27880726
TI - Chrysophanic acid reduces testosterone-induced benign prostatic hyperplasia in
rats by suppressing 5alpha-reductase and extracellular signal-regulated kinase.
AB - Benign prostatic hyperplasia (BPH) is one of the most common chronic diseases in
male population, of which incidence increases gradually with age. In this study,
we investigated the effect of chrysophanic acid (CA) on BPH. BPH was induced by a
4-week injection of testosterone propionate (TP). Four weeks of further injection
with vehicle, TP, TP + CA, TP + finasteride was carried on. In the CA treatment
group, the prostate weight was reduced and the TP-induced histological changes
were restored as the normal control group. CA treatment suppressed the TP
elevated prostate specific antigen (PSA) expression. In addition, 5alpha
reductase, a crucial factor in BPH development, was suppressed to the normal
level close to the control group by CA treatment. The elevated expressions of
androgen receptor (AR), estrogen receptor alpha and steroid receptor coactivator
1 by TP administration were also inhibited in the CA group when compared to the
TP-induced BPH group. Then we evaluated the changes in three major factors of the
mitogen-activated protein kinase chain during prostatic hyperplasia;
extracellular signal-regulated kinase (ERK), c-Jun-N-terminal kinase (JNK) and
p38 mitogen-activated protein kinase (p38). While ERK was elevated in the process
of BPH, JNK and p38 was not changed. This up-regulated ERK was also reduced as
normal by CA treatment. Further in vitro studies with RWPE-1 cells confirmed TP
induced proliferation and elevated AR, PSA and p-ERK were all reduced by CA
treatment. Overall, these results suggest a potential pharmaceutical feature of
CA in the treatment of BPH.
PMID- 27880728
TI - Analysis of miRNA profiles identified miR-196a as a crucial mediator of aberrant
PI3K/AKT signaling in lung cancer cells.
AB - Hyperactivation of the PI3K/AKT pathway is observed in most human cancer
including lung carcinomas. Here we have investigated the role of miRNAs as
downstream targets of activated PI3K/AKT signaling in Non Small Cell Lung Cancer
(NSCLC). To this aim, miRNA profiling was performed in human lung epithelial
cells (BEAS-2B) expressing active AKT1 (BEAS-AKT1-E17K), active PI3KCA (BEAS
PIK3CA-E545K) or with silenced PTEN (BEAS-shPTEN).Twenty-four differentially
expressed miRNAs common to BEAS-AKT1-E17K, BEAS-PIK3CA-E545K and BEAS-shPTEN
cells were identified through this analysis, with miR-196a being the most
consistently up-regulated miRNA. Interestingly, miR-196a was significantly
overexpressed also in human NSCLC-derived cell lines (n=11) and primary lung
cancer samples (n=28).By manipulating the expression of miR-196a in BEAS-2B and
NCI-H460 cells, we obtained compelling evidence that this miRNA acts downstream
the PI3K/AKT pathway, mediating some of the proliferative, pro-migratory and
tumorigenic activity that this pathway exerts in lung epithelial cells, possibly
through the regulation of FoxO1, CDKN1B (hereafter p27) and HOXA9.
PMID- 27880730
TI - Loss of ZG16 is regulated by miR-196a and contributes to stemness and progression
of colorectal cancer.
AB - Colorectal cancer (CRC) is one of the most common malignant tumour and the
leading cause of cancer-related mortality worldwide. Clarification of the
mechanism that underlies CRC tumorigenesis and progression therefore is urgently
needed ffor developing novel therapies. Through analysis of The Cancer Genome
Atlas (TCGA) dataset, we identified an interesting gene, ZG16, which is
significantly decreased in CRC samples compared to adjacent non-tumor tissues and
associated with prognosis of patients. We found that the expression of ZG16
correlated with CRC related genes which were regulated by APC/CTNNB1 pathway.
Interestingly, the expression of ZG16 was negatively correlated with CRC stem
cell marker, LGR5. Overexpression of ZG16 significantly inhibits growth and
sphere formation of stem-like CRC cells. Moreover, we also identified an upstream
regulator of ZG16, miR-196a, which was significantly overexpressed in CRC and
promotes cell growth and stemness. In conclusion, this study demonstrated that
loss of ZG16 is regulated by miR-196a and contributes to stemness and progression
of CRC, which may provide a promising therapeutic strategy for advanced CRCs.
PMID- 27880729
TI - LMO2 promotes tumor cell invasion and metastasis in basal-type breast cancer by
altering actin cytoskeleton remodeling.
AB - LMO2 is traditionally recognized as a pivotal transcriptional regulator during
embryonic hematopoiesis and angionenesis, and its ectopic expression in T
lymphocyte progenitors is closely correlated to the onset of acute T lymphocytic
leukemia. However, recently studies revealed complicated expression features and
dual functions of LMO2 on tumor behaviors in a variety of cancer types, including
breast cancers. Basal-type breast cancer is one of the breast cancer subtypes and
a prognostically unfavorable subtype among all breast cancers. Herein we found
that in basal-type breast cancer specifically, high LMO2 expression was
positively correlated with lymph node metastases in patients, promoted tumor cell
migration and invasion and increased distant metastasis in SCID mice. Moreover,
the novel function of LMO2 was achieved by its predominantly cytoplasmic location
and interaction with cofilin1, which is a critical regulator in actin
cytoskeleton dynamics. These findings suggest a subtype-dependent role of LMO2 in
breast cancers and the potential of LMO2 as a subtype-specific biomarker for
clinical practice.
PMID- 27880731
TI - Smad4 in T cells plays a protective role in the development of autoimmune
Sjogren's syndrome in the nonobese diabetic mouse.
AB - We investigated the role of Smad4, a signaling molecule of the TGF-beta pathway,
in T cells on the pathology of Sjogren's syndrome (SS) in nonobese diabetic (NOD)
mice, an animal model of SS. T cell-specific Smad4-deleted (Smad4fl/fl,CD4-Cre;
Smad4 tKO) NOD mice had accelerated development of SS compared with wild-type
(Smad4+/+,CD4-Cre; WT) NOD mice, including increased lymphocyte infiltration into
exocrine glands, decreased tear and saliva production, and increased levels of
autoantibodies at 12 weeks of age. Activated/memory T cells and cytokine (IFN
gamma, IL-17)-producing T cells were increased in Smad4 tKO NOD mice, however the
proportion and function of regulatory T (Treg) cells were not different between
Smad4 tKO and WT NOD mice. Effector T (Teff) cells from Smad4 tKO NOD mice were
less sensitive than WT Teff cells to suppression by Treg cells. Th17
differentiation capability of Teff cells was similar between Smad4 tKO and WT NOD
mice, but IL-17 expression was increased under inducible Treg skewing conditions
in T cells from Smad4 tKO NOD mice. Our results demonstrate that disruption of
the Smad4 pathway in T cells of NOD mice increases Teff cell activation resulting
in upregulation of Th17 cells, indicating that Smad4 in T cells has a protective
role in the development of SS in NOD mice.
PMID- 27880733
TI - An automated workflow for patient-specific quality control of contour
propagation.
AB - Contour propagation is an essential component of adaptive radiotherapy, but
current contour propagation algorithms are not yet sufficiently accurate to be
used without manual supervision. Manual review of propagated contours is time
consuming, making routine implementation of real-time adaptive radiotherapy
unrealistic. Automated methods of monitoring the performance of contour
propagation algorithms are therefore required. We have developed an automated
workflow for patient-specific quality control of contour propagation and
validated it on a cohort of head and neck patients, on which parotids were
outlined by two observers. Two types of error were simulated-mislabelling of
contours and introducing noise in the scans before propagation. The ability of
the workflow to correctly predict the occurrence of errors was tested, taking
both sets of observer contours as ground truth, using receiver operator
characteristic analysis. The area under the curve was 0.90 and 0.85 for the
observers, indicating good ability to predict the occurrence of errors. This tool
could potentially be used to identify propagated contours that are likely to be
incorrect, acting as a flag for manual review of these contours. This would make
contour propagation more efficient, facilitating the routine implementation of
adaptive radiotherapy.
PMID- 27880735
TI - Automatic 3D liver segmentation based on deep learning and globally optimized
surface evolution.
AB - The detection and delineation of the liver from abdominal 3D computed tomography
(CT) images are fundamental tasks in computer-assisted liver surgery planning.
However, automatic and accurate segmentation, especially liver detection, remains
challenging due to complex backgrounds, ambiguous boundaries, heterogeneous
appearances and highly varied shapes of the liver. To address these difficulties,
we propose an automatic segmentation framework based on 3D convolutional neural
network (CNN) and globally optimized surface evolution. First, a deep 3D CNN is
trained to learn a subject-specific probability map of the liver, which gives the
initial surface and acts as a shape prior in the following segmentation step.
Then, both global and local appearance information from the prior segmentation
are adaptively incorporated into a segmentation model, which is globally
optimized in a surface evolution way. The proposed method has been validated on
42 CT images from the public Sliver07 database and local hospitals. On the
Sliver07 online testing set, the proposed method can achieve an overall score of
[Formula: see text], yielding a mean Dice similarity coefficient of [Formula: see
text], and an average symmetric surface distance of [Formula: see text] mm. The
quantitative validations and comparisons show that the proposed method is
accurate and effective for clinical application.
PMID- 27880732
TI - Ceramide activates lysosomal cathepsin B and cathepsin D to attenuate autophagy
and induces ER stress to suppress myeloid-derived suppressor cells.
AB - Myeloid-derived suppressor cells (MDSCs) are immune suppressive cells that are
hallmarks of human cancer. MDSCs inhibit cytotoxic T lymphocytes (CTLs) and NK
cell functions to promote tumor immune escape and progression, and therefore are
considered key targets in cancer immunotherapy. Recent studies determined a key
role of the apoptosis pathways in tumor-induced MDSC homeostasis and it is known
that ceramide plays a key role in regulation of mammalian cell apoptosis. In this
study, we aimed to determine the efficacy and underlying molecular mechanism of
ceramide in suppression of MDSCs. Treatment of tumor-bearing mice with LCL521, a
lysosomotropic inhibitor of acid ceramidase, significantly decreased MDSC
accumulation in vivo. Using a MDSC-like myeloid cell model, we determined that
LCL521 targets lysosomes and increases total cellular C16 ceramide level.
Although MDSC-like cells have functional apoptosis pathways, LCL521-induced MDSC
death occurs in an apoptosis- and necroptosis-independent mechanism. LCL521
treatment resulted in an increase in the number of autophagic vesicles,
heterolysosomes and swollen ERs. Finally, concomitant inhibition of cathepsin B
and cathepsin D was required to significantly decrease LCL521-induced cell death.
Our observations indicate that LCL521 targets lysosomes to activate cathepsin B
and cathepsin D, resulting in interrupted autophagy and ER stress that culminates
in MDSC death. Therefore, a ceramidase inhibitor is potentially an effective
adjunct therapeutic agent for suppression of MDSCs to enhance the efficacy of CTL
based cancer immunotherapy.
PMID- 27880736
TI - Experimental validation of a multi-energy x-ray adapted scatter separation
method.
AB - Both in radiography and computed tomography (CT), recently emerged energy
resolved x-ray photon counting detectors enable the identification and
quantification of individual materials comprising the inspected object. However,
the approaches used for these operations require highly accurate x-ray images.
The accuracy of the images is severely compromised by the presence of scattered
radiation, which leads to a loss of spatial contrast and, more importantly, a
bias in radiographic material imaging and artefacts in CT. The aim of the present
study was to experimentally evaluate a recently introduced partial attenuation
spectral scatter separation approach (PASSSA) adapted for multi-energy imaging.
For this purpose, a prototype x-ray system was used. Several radiographic
acquisitions of an anthropomorphic thorax phantom were performed. Reference
primary images were obtained via the beam-stop (BS) approach. The attenuation
images acquired from PASSSA-corrected data showed a substantial increase in local
contrast and internal structure contour visibility when compared to uncorrected
images. A substantial reduction of scatter induced bias was also achieved.
Quantitatively, the developed method proved to be in relatively good agreement
with the BS data. The application of the proposed scatter correction technique
lowered the initial normalized root-mean-square error (NRMSE) of 45% between the
uncorrected total and the reference primary spectral images by a factor of 9,
thus reducing it to around 5%.
PMID- 27880737
TI - Development and clinical introduction of automated radiotherapy treatment
planning for prostate cancer.
AB - To develop an automated radiotherapy treatment planning and optimization workflow
to efficiently create patient specifically optimized clinical grade treatment
plans for prostate cancer and to implement it in clinical practice. A two-phased
planning and optimization workflow was developed to automatically generate 77Gy 5
field simultaneously integrated boost intensity modulated radiation therapy (SIB
IMRT) plans for prostate cancer treatment. A retrospective planning study (n =
100) was performed in which automatically and manually generated treatment plans
were compared. A clinical pilot (n = 21) was performed to investigate the
usability of our method. Operator time for the planning process was reduced to
<5 min. The retrospective planning study showed that 98 plans met all clinical
constraints. Significant improvements were made in the volume receiving 72Gy
(V72Gy) for the bladder and rectum and the mean dose of the bladder and the body.
A reduced plan variance was observed. During the clinical pilot 20 automatically
generated plans met all constraints and 17 plans were selected for treatment. The
automated radiotherapy treatment planning and optimization workflow is capable of
efficiently generating patient specifically optimized and improved clinical grade
plans. It has now been adopted as the current standard workflow in our clinic to
generate treatment plans for prostate cancer.
PMID- 27880734
TI - The role of necrosis, acute hypoxia and chronic hypoxia in 18F-FMISO PET image
contrast: a computational modelling study.
AB - Positron emission tomography (PET) using 18F-fluoromisonidazole (FMISO) is a
promising technique for imaging tumour hypoxia, and a potential target for
radiotherapy dose-painting. However, the relationship between FMISO uptake and
oxygen partial pressure ([Formula: see text]) is yet to be quantified fully.
Tissue oxygenation varies over distances much smaller than clinical PET
resolution (<100 MUm versus ~4 mm), and cyclic variations in tumour perfusion
have been observed on timescales shorter than typical FMISO PET studies (~20 min
versus a few hours). Furthermore, tracer uptake may be decreased in voxels
containing some degree of necrosis. This work develops a computational model of
FMISO uptake in millimetre-scale tumour regions. Coupled partial differential
equations govern the evolution of oxygen and FMISO distributions, and a dynamic
vascular source map represents temporal variations in perfusion. Local FMISO
binding capacity is modulated by the necrotic fraction. Outputs include
spatiotemporal maps of [Formula: see text] and tracer accumulation, enabling
calculation of tissue-to-blood ratios (TBRs) and time-activity curves (TACs) as a
function of mean tissue oxygenation. The model is characterised using
experimental data, finding half-maximal FMISO binding at local [Formula: see
text] of 1.4 mmHg (95% CI: 0.3-2.6 mmHg) and half-maximal necrosis at 1.2 mmHg
(0.1-4.9 mmHg). Simulations predict a non-linear non-monotonic relationship
between FMISO activity (4 hr post-injection) and mean tissue [Formula: see text]
: tracer uptake rises sharply from negligible levels in avascular tissue, peaking
at ~5 mmHg and declining towards blood activity in well-oxygenated conditions.
Greater temporal variation in perfusion increases peak TBRs (range 2.20-5.27) as
a result of smaller predicted necrotic fraction, rather than fundamental
differences in FMISO accumulation under acute hypoxia. Identical late FMISO
uptake can occur in regions with differing [Formula: see text] and necrotic
fraction, but simulated TACs indicate that additional early-phase information may
allow discrimination of hypoxic and necrotic signals. We conclude that a robust
approach to FMISO interpretation (and dose-painting prescription) is likely to be
based on dynamic PET analysis.
PMID- 27880739
TI - Estimation of cardiac motion in cine-MRI sequences by correlation transform
optical flow of monogenic features distance.
AB - Cine-MRI is widely used for the analysis of cardiac function in clinical routine,
because of its high soft tissue contrast and relatively short acquisition time in
comparison with other cardiac MRI techniques. The gray level distribution in
cardiac cine-MRI is relatively homogenous within the myocardium, and can
therefore make motion quantification difficult. To ensure that the motion
estimation problem is well posed, more image features have to be considered. This
work is inspired by a method previously developed for color image processing. The
monogenic signal provides a framework to estimate the local phase, orientation,
and amplitude, of an image, three features which locally characterize the 2D
intensity profile. The independent monogenic features are combined into a 3D
matrix for motion estimation. To improve motion estimation accuracy, we chose the
zero-mean normalized cross-correlation as a matching measure, and implemented a
bilateral filter for denoising and edge-preservation. The monogenic features
distance is used in lieu of the color space distance in the bilateral filter.
Results obtained from four realistic simulated sequences outperformed two other
state of the art methods even in the presence of noise. The motion estimation
errors (end point error) using our proposed method were reduced by about 20% in
comparison with those obtained by the other tested methods. The new methodology
was evaluated on four clinical sequences from patients presenting with cardiac
motion dysfunctions and one healthy volunteer. The derived strain fields were
analyzed favorably in their ability to identify myocardial regions with impaired
motion.
PMID- 27880740
TI - Beam angle selection incorporation of anatomical heterogeneities for pencil beam
scanning charged-particle therapy.
AB - In charged particle therapy with pencil beam scanning (PBS), localization of the
dose in the Bragg peak makes dose distributions sensitive to lateral tissue
heterogeneities. The sensitivity of a PBS plan to lateral tissue heterogeneities
can be reduced by selecting appropriate beam angles. The purpose of this study is
to develop a fast and accurate method of beam angle selection for PBS. The
lateral tissue heterogeneity surrounding the path of the pencil beams at a given
angle was quantified with the heterogeneity number representing the variation of
the Bragg peak depth across the cross section of the beams using the stopping
power ratio of body tissues with respect to water. To shorten the computation
time, one-dimensional dose optimization was conducted along the central axis of
the pencil beams as they were directed by the scanning magnets. The heterogeneity
numbers were derived for all possible beam angles for treatment. The angles
leading to the minimum mean heterogeneity number were selected as the optimal
beam angle. Three clinical cases of head and neck cancer were used to evaluate
the developed method. Dose distributions and their robustness to setup and range
errors were evaluated for all tested angles, and their relation to the
heterogeneity numbers was investigated. The mean heterogeneity number varied from
1.2 mm-10.6 mm in the evaluated cases. By selecting a field with a low mean
heterogeneity number, target dose coverage and robustness against setup and range
errors were improved. The developed method is simple, fast, accurate and
applicable for beam angle selection in charged particle therapy with PBS.
PMID- 27880738
TI - An adaptive reconstruction algorithm for spectral CT regularized by a reference
image.
AB - The photon counting detector based spectral CT system is attracting increasing
attention in the CT field. However, the spectral CT is still premature in terms
of both hardware and software. To reconstruct high quality spectral images from
low-dose projections, an adaptive image reconstruction algorithm is proposed that
assumes a known reference image (RI). The idea is motivated by the fact that the
reconstructed images from different spectral channels are highly correlated. If a
high quality image of the same object is known, it can be used to improve the low
dose reconstruction of each individual channel. This is implemented by maximizing
the patch-wise correlation between the object image and the RI. Extensive
numerical simulations and preclinical mouse study demonstrate the feasibility and
merits of the proposed algorithm. It also performs well for truncated local
projections, and the surrounding area of the region- of-interest (ROI) can be
more accurately reconstructed. Furthermore, a method is introduced to adaptively
choose the step length, making the algorithm more feasible and easier for
applications.
PMID- 27880742
TI - Probiotic Administration in Infants With Gastroschisis: A Pilot Randomized
Placebo-Controlled Trial.
PMID- 27880741
TI - OPTICAL COHERENCE TOMOGRAPHY ANGIOGRAPHY OF CHORIORETINAL LESIONS DUE TO
IDIOPATHIC MULTIFOCAL CHOROIDITIS.
AB - PURPOSE: To evaluate the spectrum of macular chorioretinal lesions occurring in
idiopathic multifocal choroiditis using optical coherence tomography angiography
(OCTA) to evaluate those showing neovascular flow. METHODS: This was a
descriptive, retrospective study of 18 eyes of 14 patients with multifocal
choroiditis. Macular lesions were characterized as subretinal pigment epithelium,
subretinal, or mixed and evaluated during active and presumed inactive states of
multifocal choroiditis. Correlations between structural optical coherence
tomography and OCTA were performed. In select cases, correlations between OCTA,
fluorescein angiography, and fundus autofluorescence were evaluated. In 5 eyes,
quantitative measurements of neovascular lesions were compared at baseline and
following intravitreal anti-vascular endothelial growth factor therapy. RESULTS:
Mean patient age was 48 years (SD: 13.8; 86% women). Optical coherence tomography
angiography flow signatures consistent with neovascularization were identified in
83% of eyes, including in 0% of subretinal pigment epithelium, 91% of subretinal,
and 100% of mixed lesions. Lesions that did not demonstrate definitive signs of
fluorescein angiography leakage were frequently found to have neovascularization
using OCTA. There was no change in quantitative measurements of neovascular
lesions after anti-vascular endothelial growth factor therapy (all tested
variables P > 0.05). CONCLUSION: Optical coherence tomography angiography may be
a useful imaging modality for understanding the pathophysiology of multifocal
choroiditis and monitoring its clinical course.
PMID- 27880744
TI - Notice to Readers: Final 2015 Reports of Nationally Notifiable Infectious
Diseases and Conditions.
AB - The table listed in this report on pages 1307-1321 presents finalized data, as of
June 30, 2016, from the National Notifiable Diseases Surveillance System (NNDSS)
for 2015. These data will be published in more detail in the Summary of
Notifiable Infectious Diseases and Conditions - United States, 2015 (1). Because
no cases were reported in the United States during 2015, the following diseases
do not appear in this early release table: anthrax; dengue hemorrhagic fever;
diphtheria; eastern equine encephalitis virus disease, nonneuroinvasive;
poliomyelitis, paralytic; poliovirus infection, nonparalytic; severe acute
respiratory syndrome-associated coronavirus disease (SARS-CoV); smallpox; western
equine encephalitis virus disease, neuroinvasive and nonneuroinvasive; yellow
fever; and viral hemorrhagic fevers.
PMID- 27880743
TI - Ras-Association Domain Family 1 Isoform A (RASSF1A) Gene Polymorphism rs1989839
is Associated with Risk and Metastatic Potential of Osteosarcoma in Young Chinese
Individuals: A Multi-Center, Case-Control Study.
AB - BACKGROUND The ras-association domain family 1 isoform A (RASSF1A) gene serves as
a bona fide tumor suppressor gene. The polymorphisms in RASSF1A were previously
reported to be associated with the risk of solid malignant tumors. We
hypothesized herein that RASSF1A gene polymorphisms are involved in the risk and
prognosis of osteosarcoma (OS). MATERIAL AND METHODS We recruited 279 young OS
cases and 286 tumor-free controls from the east Chinese population. Five tagSNPs
of RASSF1A gene (rs2236947A/C, rs2073497A/C, rs1989839C/T, rs72932987C/T, and
rs4688728G/T) were genotyped. DNA was isolated from blood samples and then
underwent PCR analysis for genotyping. RESULTS rs1989839C/T is an important
predictor of osteosarcoma risk and outcome. The CT genotype of rs1989839 is
highly related to elevated risk of osteosarcoma. Furthermore, rs1989839C/T is
also associated with the Enneking stage of osteosarcoma and risk of lung
metastasis. One of the other 4 SNPs, rs2236947A/C, shows a borderline
significance in predicting osteosarcoma risk. CONCLUSIONS Our study is the first
to prove that RASSF1A gene polymorphisms may potentially be predictive for
osteosarcoma risk and prognosis.
PMID- 27880745
TI - Announcement: National Family History Day - November 24, 2016.
AB - In 2004, the U.S. Surgeon General declared that Thanksgiving would be National
Family History Day, a day designed to encourage American families to learn about
and create a written record of their family health history. Family history can
identify those persons with a higher-than-average risk for many common diseases,
such as heart disease, cancer, and type 2 diabetes. Having at least one first
degree relative with a disease can increase a person's risk twofold or more (1).
Family history is also a determinant of less common diseases like sickle cell
disease and cystic fibrosis (1). Persons who might be at increased risk because
of family history might benefit from screening or other interventions to prevent
disease or detect it earlier.
PMID- 27880746
TI - Notice to Readers: NNDSS Tables Have Updated "N" Indicators for 2015 and 2016.
AB - CDC's National Notifiable Diseases Surveillance System (NNDSS) maintains and
annually updates information about whether each Nationally Notifiable Infectious
Condition (NNIC) is considered "reportable" (by health care providers, hospitals,
laboratories, or other public health reporters) in each reporting jurisdiction.
NNDSS personnel within the Division of Health Informatics and Surveillance
performed assessments with each reporting jurisdiction to ascertain the
reportable disease status of each NNIC for 2015 and 2016. NNICs that are not
designated reportable are indicated with an "N"; NNICs that are reportable, but
for which no cases were reported, are indicated with a "-" and NNICs that are
reportable, but for which data are not available in a jurisdiction, are
designated by either "U" or "NA." These designations are used in the annual MMWR
Summary of Notifiable Diseases - United States and in the weekly MMWR Notifiable
Diseases and Mortality Tables I and II of provisional NNDSS data.
PMID- 27880747
TI - Announcement: Guidance for U.S. Laboratory Testing for Zika Virus Infection:
Implications for Health Care Providers.
AB - CDC has released updated guidance online for U.S. laboratory testing for Zika
virus infection. The guidance is available at
https://www.cdc.gov/zika/laboratories/lab-guidance.html. Frequently asked
questions are addressed at https://www.cdc.gov/zika/laboratories/lab-guidance
faq.html. This guidance updates recommendations for testing of specimens by U.S.
laboratories for possible Zika virus infection. Major updates to the guidance
with clinical implications for health care providers include the following.
PMID- 27880748
TI - CDC Grand Rounds: Family History and Genomics as Tools for Cancer Prevention and
Control.
AB - Although many efforts in cancer prevention and control have routinely focused on
behavioral risk factors, such as tobacco use, or on the early detection of
cancer, such as colorectal cancer screening, advances in genetic testing have
created new opportunities for cancer prevention through evaluation of family
history and identification of cancer-causing inherited mutations. Through the
collection and evaluation of a family cancer history by a trained health care
provider, patients and families at increased risk for a hereditary cancer
syndrome can be identified, referred for genetic counseling and testing, and make
informed decisions about options for cancer risk reduction (1). Although
hereditary cancers make up a small proportion of all cancers, the number of
affected persons can be large, and the level of risk among affected persons is
high. Two hereditary cancer syndromes for which public health professionals have
worked to reduce the burden of morbidity and mortality are hereditary breast and
ovarian cancer syndrome (HBOC) and Lynch syndrome.
PMID- 27880749
TI - Early Diagnosis of HIV Infection in Infants - One Caribbean and Six Sub-Saharan
African Countries, 2011-2015.
AB - Pediatric human immunodeficiency virus (HIV) infection remains an important
public health issue in resource-limited settings. In 2015, 1.4 million children
aged <15 years were estimated to be living with HIV (including 170,000 infants
born in 2015), with the vast majority living in sub-Saharan Africa (1). In 2014,
150,000 children died from HIV-related causes worldwide (2). Access to timely HIV
diagnosis and treatment for HIV-infected infants reduces HIV-associated
mortality, which is approximately 50% by age 2 years without treatment (3). Since
2011, the annual number of HIV-infected children has declined by 50%. Despite
this gain, in 2014, only 42% of HIV-exposed infants received a diagnostic test
for HIV (2), and in 2015, only 51% of children living with HIV received
antiretroviral therapy (1). Access to services for early infant diagnosis of HIV
(which includes access to testing for HIV-exposed infants and clinical diagnosis
of HIV-infected infants) is critical for reducing HIV-associated mortality in
children aged <15 years. Using data collected from seven countries supported by
the U.S. President's Emergency Plan for AIDS Relief (PEPFAR), progress in the
provision of HIV testing services for early infant diagnosis was assessed. During
2011-2015, the total number of HIV diagnostic tests performed among HIV-exposed
infants within 6 weeks after birth (tests for early infant diagnosis of HIV), as
recommended by the World Health Organization (WHO) increased in all seven
countries (Cote d'Ivoire, the Democratic Republic of the Congo, Haiti, Malawi,
South Africa, Uganda, and Zambia); however, in 2015, the rate of testing for
early infant diagnosis among HIV-exposed infants was <50% in five countries. HIV
positivity among those tested declined in all seven countries, with three
countries (Cote d'Ivoire, the Democratic Republic of the Congo, and Uganda)
reporting >50% decline. The most common challenges for access to testing for
early infant diagnosis included difficulties in specimen transport, long
turnaround time between specimen collection and receipt of results, and
limitations in supply chain management. Further reductions in HIV mortality in
children can be achieved through continued expansion and improvement of services
for early infant diagnosis in PEPFAR-supported countries, including initiatives
targeted to reach HIV-exposed infants, ensure access to programs for early infant
diagnosis of HIV, and facilitate prompt linkage to treatment for children
diagnosed with HIV infection.
PMID- 27880751
TI - Abortion Surveillance - United States, 2013.
AB - PROBLEM/CONDITION: Since 1969, CDC has conducted abortion surveillance to
document the number and characteristics of women obtaining legal induced
abortions in the United States. PERIOD COVERED: 2013. DESCRIPTION OF SYSTEM: Each
year, CDC requests abortion data from the central health agencies of 52 reporting
areas (the 50 states, the District of Columbia, and New York City). The reporting
areas provide this information voluntarily. For 2013, data were received from 49
reporting areas. For trend analysis, abortion data were evaluated from 47 areas
that reported data every year during 2004-2013. Census and natality data,
respectively, were used to calculate abortion rates (number of abortions per
1,000 women) and ratios (number of abortions per 1,000 live births). RESULTS: A
total of 664,435 abortions were reported to CDC for 2013. Of these abortions,
98.2% were from the 47 reporting areas that provided data every year during 2004
2013. Among these 47 reporting areas, the abortion rate for 2013 was 12.5
abortions per 1,000 women aged 15-44 years, and the abortion ratio was 200
abortions per 1,000 live births. From 2012 to 2013, the total number, rate, and
ratio of reported abortions decreased 5%. From 2004 to 2013, the total number,
rate, and ratio of reported abortions decreased 20%, 21%, and 17%, respectively.
In 2013, all three measures reached their lowest level for the entire period of
analysis (2004-2013). In 2013 and throughout the period of analysis, women in
their 20s accounted for the majority of abortions and had the highest abortion
rates; women in their 30s and older accounted for a much smaller percentage of
abortions and had lower abortion rates. In 2013, women aged 20-24 and 25-29 years
accounted for 32.7% and 25.9% of all abortions, respectively, and had abortion
rates of 21.8 and 18.2 abortions per 1,000 women aged 20-24 and 25-29 years,
respectively. In contrast, women aged 30-34, 35-39, and >=40 years accounted for
16.8%, 9.2%, and 3.6% of all abortions, respectively, and had abortion rates of
11.8, 7.0, and 2.5 abortions per 1,000 women aged 30-34 years, 35-39 years, and
>=40 years, respectively. During 2004-2013, the decrease in abortion rates among
adult women aged 20-39 years ranged from 8% to 27% across these age groups,
whereas the abortion rate was stable for women aged >=40 years. In 2013,
adolescents aged <15 and 15-19 years accounted for 0.3% and 11.4% of all
abortions, respectively, and had abortion rates of 0.6 and 8.2 abortions per
1,000 adolescents aged <15 and 15-19 years, respectively. From 2004 to 2013, the
percentage of abortions accounted for by adolescents aged 15-19 years decreased
31% and their abortion rate decreased 46%. These decreases were greater than the
decreases for women in any older age group. In contrast to the percentage
distribution of abortions and abortion rates by age, abortion ratios in 2013 and
throughout the entire period of analysis were highest among adolescents and
lowest among women aged 30-39 years. Abortion ratios decreased from 2004 to 2013
for women in all age groups, except for adolescents aged <15 years. In 2013, the
majority (66.0%) of abortions were performed by <=8 weeks' gestation, and nearly
all (91.6%) were performed by <=13 weeks' gestation. Few abortions were performed
between 14 and 20 weeks' gestation (7.1%) or at >=21 weeks' gestation (1.3%).
From 2004 to 2013, the percentage of all abortions performed at <=13 weeks'
gestation remained consistently high (>=91.5%) and among those performed at <=13
weeks' gestation, the percentage performed at <=6 weeks' gestation increased 16%.
In 2013, among the 43 reporting areas that included medical (nonsurgical)
abortion on their reporting form, a total of 67.9% of abortions were performed by
curettage at <=13 weeks' gestation, 22.2% were performed by early medical
abortion (a nonsurgical abortion at <=8 weeks' gestation), and 8.6% were
performed by curettage at >13 weeks' gestation; all other methods were uncommon.
Among abortions performed at <=8 weeks' gestation that were eligible for early
medical abortion on the basis of gestational age, 32.8% were completed by this
method. From 2012 to 2013, the percentage of abortions reported as early medical
abortions increased 5%. Deaths of women associated with complications from
abortion for 2013 are being investigated as part of CDC's Pregnancy Mortality
Surveillance System. In 2012, the most recent year for which data were available,
four women were identified to have died as a result of complications from known
legal induced abortion. No reported deaths were associated with known illegal
induced abortion. INTERPRETATION: Among the 47 areas that reported data every
year during 2004-2013, the decreases in the total number, rate, and ratio of
reported abortions that occurred during 2009-2012 continued from 2012 to 2013,
resulting in historic lows for all three measures of abortion. PUBLIC HEALTH
ACTION: The data in this report can help program planners and policymakers
identify groups of women with highest rates of abortion. Unintended pregnancy is
the major contributor to abortion. Increasing access to and use of contraception,
including the most effective methods, can reduce unintended pregnancies and
further reduce the number of abortions performed in the United States.
PMID- 27880750
TI - Notes from the Field: Clostridium perfringens Gastroenteritis Outbreak Associated
with a Catered Lunch - North Carolina, November 2015.
AB - During November 2015, the North Carolina Division of Public Health was notified
by the Pitt County Health Department (PCHD) that approximately 40 persons who
attended a catered company Thanksgiving lunch the previous day were ill with
diarrhea and abdominal pain. The North Carolina Division of Public Health and
PCHD worked together to investigate the source of illness and implement control
measures. Within hours of notification, investigators developed and distributed
an online survey to all lunch attendees regarding symptoms and foods consumed and
initiated a cohort study. A case of illness was defined as abdominal pain or
diarrhea in a lunch attendee with illness onset <24 hours after the event. Risk
ratios (RRs) and 95% confidence intervals (CIs) were estimated for all menu
items. Among 80 attendees, 58 (73%) completed the survey, including 44
respondents (76%) who reported illnesses meeting the case definition; among
these, 41 (93%) reported diarrhea, and 40 (91%) reported abdominal pain. There
were no hospitalizations. Symptom onset began a median of 13 hours after lunch
(range = 1-22 hours). Risk for illness among persons who ate turkey or stuffing
(38 of 44; 86%), which were plated and served together, was significantly higher
than risk for illness among those who did not eat turkey or stuffing (six of 14;
43%) (RR = 2.02; 95% CI = 1.09-3.73).
PMID- 27880752
TI - Progress Toward Poliomyelitis Eradication - Pakistan, January 2015-September
2016.
AB - Pakistan, Afghanistan, and Nigeria remain the only countries where endemic wild
poliovirus type 1 (WPV1) transmission continues. This report describes the
activities, challenges, and progress toward polio eradication in Pakistan during
January 2015-September 2016 and updates previous reports (1,2). In 2015, a total
of 54 WPV1 cases were reported in Pakistan, an 82% decrease from 2014. In 2016,
15 WPV1 cases had been reported as of November 1, representing a 61% decrease
compared with the 38 cases reported during the same period in 2015 (Figure 1).
Among the 15 WPV1 cases reported in 2016, children aged <36 months accounted for
13 cases; four of those children had received only a single dose of oral
poliovirus vaccine (OPV). Seven of the 15 WPV1 cases occurred in the province of
Khyber Pakhtunkhwa (KP), five in Sindh, two in the Federally Administered Tribal
Areas (FATA), and one in Balochistan (3). During January-September 2016, WPV1 was
detected in 9% (36 of 384) of environmental samples collected, compared with 19%
(69 of 354) of samples collected during the same period in 2015. Rigorous
implementation of the 2015-2016 National Emergency Action Plan (NEAP) (4),
coordinated by the National Emergency Operations Center (EOC), has resulted in a
substantial decrease in overall WPV1 circulation compared with the previous year.
However, detection of WPV1 cases in high-risk areas and the detection of WPV1 in
environmental samples from geographic areas where no polio cases are identified
highlight the need to continue to improve the quality of supplemental
immunization activities (SIAs),* immunization campaigns focused on vaccinating
children with OPV outside of routine immunization services, and surveillance for
acute flaccid paralysis (AFP). Continuation and refinement of successful program
strategies, as outlined in the new 2016-2017 NEAP (5), with particular focus on
identifying children missed by vaccination, community-based vaccination, and
rapid response to virus identification are needed to stop WPV transmission.
PMID- 27880753
TI - Notes from the Field: Community-Based Prevention of Rocky Mountain Spotted Fever
Sonora, Mexico, 2016.
AB - Rocky Mountain spotted fever (RMSF), a life-threatening tickborne zoonosis caused
by Rickettsia rickettsii, is a reemerging disease in Mexico (1,2). R. rickettsii
is an intracellular bacterium that infects vascular endothelium and can cause
multisystem organ failure and death in the absence of timely administration of a
tetracycline-class antibiotic, typically doxycycline. Epidemic RMSF, as described
in parts of Arizona and Mexico, is associated with massive local infestations of
the brown dog tick (Rhiphicephalus sanguineus sensu lato) on domestic dogs and in
peridomestic settings that result in high rates of human exposure; for example,
during 2003-2012, in Arizona the incidence of RMSF in the three most highly
affected communities was 150 times the U.S. national average (3,4). In 2015, the
Mexico Ministry of Health (MOH) declared an epidemiologic emergency because of
high and sustained rates of RMSF in several states in northern Mexico, including
the state of Sonora. During 2004-2015, a total of 1,129 cases and 188 RMSF deaths
were reported from Sonora (Sonora MOH, unpublished data, 2016). During 2009-2015,
one impoverished community (community A) in Sonora reported 56 cases of RMSF
involving children and adolescents, with a case-fatality rate of 40% (Sonora MOH,
unpublished data, 2016). Poverty and lack of timely access to health services are
risk factors for severe RMSF. Children are especially vulnerable to infection,
because they might have increased contact with dogs and spend more time playing
around spaces where ticks survive (5). In Sonora, case fatality rates for
children aged <10 years can be as high as 30%, which is almost four times the
aggregate case-fatality rate reported for the general population of the state
(8%) (2), and 10-13 times higher than the case-fatality rate described for this
age group in the United States (2.4%) (6).
PMID- 27880754
TI - QuickStats: Percentage* of Adults Aged >=20 Years Who Ever Told A Doctor That
They Had Trouble Sleeping,? by Age Group and Sex - National Health and Nutrition
Examination Survey, 2013-2014.
AB - In 2013-2014, 28.0% of U.S. adults reported that they had told a doctor or other
health professional that they had trouble sleeping. A smaller percentage of
adults aged 20-39 years (19.2%) reported having trouble sleeping compared with
persons aged 40-59 years (32.8%) and >=60 years (33.2%). This pattern by age
group was observed for both men and women, although larger percentages of women
aged 40-59 years and >=60 years reported trouble sleeping compared with men in
those age groups.
PMID- 27880756
TI - Sub-ice-shelf sediments record history of twentieth-century retreat of Pine
Island Glacier.
AB - The West Antarctic Ice Sheet is one of the largest potential sources of rising
sea levels. Over the past 40 years, glaciers flowing into the Amundsen Sea sector
of the ice sheet have thinned at an accelerating rate, and several numerical
models suggest that unstable and irreversible retreat of the grounding line-which
marks the boundary between grounded ice and floating ice shelf-is underway.
Understanding this recent retreat requires a detailed knowledge of grounding-line
history, but the locations of the grounding line before the advent of satellite
monitoring in the 1990s are poorly dated. In particular, a history of grounding
line retreat is required to understand the relative roles of contemporaneous
ocean-forced change and of ongoing glacier response to an earlier perturbation in
driving ice-sheet loss. Here we show that the present thinning and retreat of
Pine Island Glacier in West Antarctica is part of a climatically forced trend
that was triggered in the 1940s. Our conclusions arise from analysis of sediment
cores recovered beneath the floating Pine Island Glacier ice shelf, and constrain
the date at which the grounding line retreated from a prominent seafloor ridge.
We find that incursion of marine water beyond the crest of this ridge, forming an
ocean cavity beneath the ice shelf, occurred in 1945 (+/-12 years); final
ungrounding of the ice shelf from the ridge occurred in 1970 (+/-4 years). The
initial opening of this ocean cavity followed a period of strong warming of West
Antarctica, associated with El Nino activity. Thus our results suggest that, even
when climate forcing weakened, ice-sheet retreat continued.
PMID- 27880755
TI - [Spanish Adaptation of the 2016 European Guidelines on Cardiovascular Disease
Prevention in Clinical Practice].
AB - The VI European Guidelines for Cardiovascular Prevention recommend combining
population and high-risk strategies with lifestyle changes as a cornerstone of
prevention, and propose the SCORE function to quantify cardiovascular risk. The
guidelines highlight disease specific interventions, and conditions as women,
young people and ethnic minorities. Screening for subclinical atherosclerosis
with noninvasive imaging techniques is not recommended. The guidelines
distinguish four risk levels (very high, high, moderate and low) with therapeutic
objectives for lipid control according to risk. Diabetes mellitus confers a high
risk, except for subjects with type 2 diabetes with less than 10 years of
evolution, without other risk factors or complications, or type 1 diabetes of
short evolution without complications. The decision to start pharmacological
treatment of arterial hypertension will depend on the blood pressure level and
the cardiovascular risk, taking into account the lesion of target organs. The
guidelines don't recommend antiplatelet drugs in primary prevention because of
the increased bleeding risk. The low adherence to the medication requires
simplified therapeutic regimes and to identify and combat its causes. The
guidelines highlight the responsibility of health professionals to take an active
role in advocating evidence-based interventions at the population level, and
propose effective interventions, at individual and population level, to promote a
healthy diet, the practice of physical activity, the cessation of smoking and the
protection against alcohol abuse.
PMID- 27880757
TI - Redefining the invertebrate RNA virosphere.
AB - Current knowledge of RNA virus biodiversity is both biased and fragmentary,
reflecting a focus on culturable or disease-causing agents. Here we profile the
transcriptomes of over 220 invertebrate species sampled across nine animal phyla
and report the discovery of 1,445 RNA viruses, including some that are
sufficiently divergent to comprise new families. The identified viruses fill
major gaps in the RNA virus phylogeny and reveal an evolutionary history that is
characterized by both host switching and co-divergence. The invertebrate virome
also reveals remarkable genomic flexibility that includes frequent recombination,
lateral gene transfer among viruses and hosts, gene gain and loss, and complex
genomic rearrangements. Together, these data present a view of the RNA virosphere
that is more phylogenetically and genomically diverse than that depicted in
current classification schemes and provide a more solid foundation for studies in
virus ecology and evolution.
PMID- 27880758
TI - Corrigendum: The Asian monsoon over the past 640,000 years and ice age
terminations.
PMID- 27880760
TI - Astronomy: A black hole changes its feeding habits.
PMID- 27880759
TI - Cell biology: Double agents for mitochondrial division.
PMID- 27880761
TI - Biological rhythms: Wild times.
PMID- 27880763
TI - Inhibition of mTOR induces a paused pluripotent state.
AB - Cultured pluripotent stem cells are a cornerstone of regenerative medicine owing
to their ability to give rise to all cell types of the body. Although pluripotent
stem cells can be propagated indefinitely in vitro, pluripotency is paradoxically
a transient state in vivo, lasting 2-3 days around the time of blastocyst
implantation. The exception to this rule is embryonic diapause, a reversible
state of suspended development triggered by unfavourable conditions. Diapause is
a physiological reproductive strategy widely employed across the animal kingdom,
including in mammals, but its regulation remains poorly understood. Here we
report that the partial inhibition of mechanistic target of rapamycin (mTOR), a
major nutrient sensor and promoter of growth, induces reversible pausing of mouse
blastocyst development and allows their prolonged culture ex vivo. Paused
blastocysts remain pluripotent and competent-able to give rise to embryonic stem
(ES) cells and live, fertile mice. We show that both naturally diapaused
blastocysts in vivo and paused blastocysts ex vivo display pronounced reductions
in mTOR activity, translation, histone modifications associated with gene
activity and transcription. Pausing can be induced directly in cultured ES cells
and sustained for weeks without appreciable cell death or deviations from cell
cycle distributions. We show that paused ES cells display a remarkable global
suppression of transcription, maintain a gene expression signature of diapaused
blastocysts and remain pluripotent. These results uncover a new pluripotent stem
cell state corresponding to the epiblast of the diapaused blastocyst and indicate
that mTOR regulates developmental timing at the peri-implantation stage. Our
findings have implications in the fields of assisted reproduction, regenerative
medicine, cancer, metabolic disorders and ageing.
PMID- 27880764
TI - Malaria Elimination Campaigns in the Lake Kariba Region of Zambia: A Spatial
Dynamical Model.
AB - As more regions approach malaria elimination, understanding how different
interventions interact to reduce transmission becomes critical. The Lake Kariba
area of Southern Province, Zambia, is part of a multi-country elimination effort
and presents a particular challenge as it is an interconnected region of variable
transmission intensities. In 2012-13, six rounds of mass test-and-treat drug
campaigns were carried out in the Lake Kariba region. A spatial dynamical model
of malaria transmission in the Lake Kariba area, with transmission and climate
modeled at the village scale, was calibrated to the 2012-13 prevalence survey
data, with case management rates, insecticide-treated net usage, and drug
campaign coverage informed by surveillance. The model captured the spatio
temporal trends of decline and rebound in malaria prevalence in 2012-13 at the
village scale. Various interventions implemented between 2016-22 were simulated
to compare their effects on reducing regional transmission and achieving and
maintaining elimination through 2030. Simulations predict that elimination
requires sustaining high coverage with vector control over several years. When
vector control measures are well-implemented, targeted mass drug campaigns in
high-burden areas further increase the likelihood of elimination, although drug
campaigns cannot compensate for insufficient vector control. If infections are
regularly imported from outside the region into highly receptive areas, vector
control must be maintained within the region until importations cease.
Elimination in the Lake Kariba region is possible, although human movement both
within and from outside the region risk damaging the success of elimination
programs.
PMID- 27880765
TI - Mechanisms for Complex Chromosomal Insertions.
AB - Chromosomal insertions are genomic rearrangements with a chromosome segment
inserted into a non-homologous chromosome or a non-adjacent locus on the same
chromosome or the other homologue, constituting ~2% of nonrecurrent copy-number
gains. Little is known about the molecular mechanisms of their formation. We
identified 16 individuals with complex insertions among 56,000 individuals tested
at Baylor Genetics using clinical array comparative genomic hybridization (aCGH)
and fluorescence in situ hybridization (FISH). Custom high-density aCGH was
performed on 10 individuals with available DNA, and breakpoint junctions were
fine-mapped at nucleotide resolution by long-range PCR and DNA sequencing in 6
individuals to glean insights into potential mechanisms of formation. We observed
microhomologies and templated insertions at the breakpoint junctions, resembling
the breakpoint junction signatures found in complex genomic rearrangements
generated by replication-based mechanism(s) with iterative template switches. In
addition, we analyzed 5 families with apparently balanced insertion in one parent
detected by FISH analysis and found that 3 parents had additional small copy
number variants (CNVs) at one or both sides of the inserting fragments as well as
at the inserted sites. We propose that replicative repair can result in
interchromosomal complex insertions generated through chromothripsis-like
chromoanasynthesis involving two or three chromosomes, and cause a significant
fraction of apparently balanced insertions harboring small flanking CNVs.
PMID- 27880762
TI - Unexpected diversity in socially synchronized rhythms of shorebirds.
AB - The behavioural rhythms of organisms are thought to be under strong selection,
influenced by the rhythmicity of the environment. Such behavioural rhythms are
well studied in isolated individuals under laboratory conditions, but free-living
individuals have to temporally synchronize their activities with those of others,
including potential mates, competitors, prey and predators. Individuals can
temporally segregate their daily activities (for example, prey avoiding
predators, subordinates avoiding dominants) or synchronize their activities (for
example, group foraging, communal defence, pairs reproducing or caring for
offspring). The behavioural rhythms that emerge from such social synchronization
and the underlying evolutionary and ecological drivers that shape them remain
poorly understood. Here we investigate these rhythms in the context of biparental
care, a particularly sensitive phase of social synchronization where pair members
potentially compromise their individual rhythms. Using data from 729 nests of 91
populations of 32 biparentally incubating shorebird species, where parents
synchronize to achieve continuous coverage of developing eggs, we report
remarkable within- and between-species diversity in incubation rhythms. Between
species, the median length of one parent's incubation bout varied from 1-19 h,
whereas period length-the time in which a parent's probability to incubate cycles
once between its highest and lowest value-varied from 6-43 h. The length of
incubation bouts was unrelated to variables reflecting energetic demands, but
species relying on crypsis (the ability to avoid detection by other animals) had
longer incubation bouts than those that are readily visible or who actively
protect their nest against predators. Rhythms entrainable to the 24-h light-dark
cycle were less prevalent at high latitudes and absent in 18 species. Our results
indicate that even under similar environmental conditions and despite 24-h
environmental cues, social synchronization can generate far more diverse
behavioural rhythms than expected from studies of individuals in captivity. The
risk of predation, not the risk of starvation, may be a key factor underlying the
diversity in these rhythms.
PMID- 27880768
TI - Real-Time Control of an Articulatory-Based Speech Synthesizer for Brain Computer
Interfaces.
AB - Restoring natural speech in paralyzed and aphasic people could be achieved using
a Brain-Computer Interface (BCI) controlling a speech synthesizer in real-time.
To reach this goal, a prerequisite is to develop a speech synthesizer producing
intelligible speech in real-time with a reasonable number of control parameters.
We present here an articulatory-based speech synthesizer that can be controlled
in real-time for future BCI applications. This synthesizer converts movements of
the main speech articulators (tongue, jaw, velum, and lips) into intelligible
speech. The articulatory-to-acoustic mapping is performed using a deep neural
network (DNN) trained on electromagnetic articulography (EMA) data recorded on a
reference speaker synchronously with the produced speech signal. This DNN is then
used in both offline and online modes to map the position of sensors glued on
different speech articulators into acoustic parameters that are further converted
into an audio signal using a vocoder. In offline mode, highly intelligible speech
could be obtained as assessed by perceptual evaluation performed by 12 listeners.
Then, to anticipate future BCI applications, we further assessed the real-time
control of the synthesizer by both the reference speaker and new speakers, in a
closed-loop paradigm using EMA data recorded in real time. A short calibration
period was used to compensate for differences in sensor positions and
articulatory differences between new speakers and the reference speaker. We found
that real-time synthesis of vowels and consonants was possible with good
intelligibility. In conclusion, these results open to future speech BCI
applications using such articulatory-based speech synthesizer.
PMID- 27880766
TI - SOX2 and PI3K Cooperate to Induce and Stabilize a Squamous-Committed Stem Cell
Injury State during Lung Squamous Cell Carcinoma Pathogenesis.
AB - Although cancers are considered stem cell diseases, mechanisms involving stem
cell alterations are poorly understood. Squamous cell carcinoma (SQCC) is the
second most common lung cancer, and its pathogenesis appears to hinge on changes
in the stem cell behavior of basal cells in the bronchial airways. Basal cells
are normally quiescent and differentiate into mucociliary epithelia. Smoking
triggers a hyperproliferative response resulting in progressive premalignant
epithelial changes ranging from squamous metaplasia to dysplasia. These changes
can regress naturally, even with chronic smoking. However, for unknown reasons,
dysplasias have higher progression rates than earlier stages. We used primary
human tracheobronchial basal cells to investigate how copy number gains in SOX2
and PIK3CA at 3q26-28, which co-occur in dysplasia and are observed in 94% of
SQCCs, may promote progression. We find that SOX2 cooperates with PI3K signaling,
which is activated by smoking, to initiate the squamous injury response in basal
cells. This response involves SOX9 repression, and, accordingly, SOX2 and PI3K
signaling levels are high during dysplasia, while SOX9 is not expressed. By
contrast, during regeneration of mucociliary epithelia, PI3K signaling is low and
basal cells transiently enter a SOX2LoSOX9Hi state, with SOX9 promoting
proliferation and preventing squamous differentiation. Transient reduction in
SOX2 is necessary for ciliogenesis, although SOX2 expression later rises and
drives mucinous differentiation, as SOX9 levels decline. Frequent coamplification
of SOX2 and PIK3CA in dysplasia may, thus, promote progression by locking basal
cells in a SOX2HiSOX9Lo state with active PI3K signaling, which sustains the
squamous injury response while precluding normal mucociliary differentiation.
Surprisingly, we find that, although later in invasive carcinoma SOX9 is
generally expressed at low levels, its expression is higher in a subset of SQCCs
with less squamous identity and worse clinical outcome. We propose that early
pathogenesis of most SQCCs involves stabilization of the squamous injury state in
stem cells through copy number gains at 3q, with the pro-proliferative activity
of SOX9 possibly being exploited in a subset of SQCCs in later stages.
PMID- 27880767
TI - Distribution of Misfolded Prion Protein Seeding Activity Alone Does Not Predict
Regions of Neurodegeneration.
AB - Protein misfolding is common across many neurodegenerative diseases, with
misfolded proteins acting as seeds for "prion-like" conversion of normally folded
protein to abnormal conformations. A central hypothesis is that misfolded protein
accumulation, spread, and distribution are restricted to specific neuronal
populations of the central nervous system and thus predict regions of
neurodegeneration. We examined this hypothesis using a highly sensitive assay
system for detection of misfolded protein seeds in a murine model of prion
disease. Misfolded prion protein (PrP) seeds were observed widespread throughout
the brain, accumulating in all brain regions examined irrespective of
neurodegeneration. Importantly, neither time of exposure nor amount of misfolded
protein seeds present determined regions of neurodegeneration. We further
demonstrate two distinct microglia responses in prion-infected brains: a novel
homeostatic response in all regions and an innate immune response restricted to
sites of neurodegeneration. Therefore, accumulation of misfolded prion protein
alone does not define targeting of neurodegeneration, which instead results only
when misfolded prion protein accompanies a specific innate immune response.
PMID- 27880770
TI - Comment on Re-Analysis of Data by Terluin, de Boer and de Vet.
PMID- 27880769
TI - Effects of Treatment of Treadmill Combined with Electro-Acupuncture on Tibia Bone
Mass and Substance PExpression of Rabbits with Sciatic Nerve Injury.
AB - The peripheral nervous system may play an important role in normal bone
maintenance and remodeling. Substance P (SP) is a neuropeptide associated with
bone loss and formation that may mediate the effects of the nervous system. The
purpose of this study is to determine if treadmill running combined with electro
acupuncture at Jiaji acupoints (Jiaji-EA) affects tibial bone mass and SP
expression in rabbits with sciatic nerve injury. Twenty-four juvenile male New
Zealand white rabbits were randomly assigned to one of 4 groups: sham injury
control (sham), sciatic never crush control (SNCr), treadmill running
(treadmill), and Jiaji-EA combined with treadmill running (ET group). The SNCr,
treadmill, and ET groups all had an induced sciatic never crush injury of
approximately 2mm. Control groups received no intervention; the treadmill and ET
groups were trained by treadmill; the ET group also received Jiaji-EA. After the
4 weeks of treatment, toe-spreading index (TSI), BMD, bone strength, and SP
expression in the tibia were significantly lower in the nerve injury groups
(SNCr, treadmill, and ET) compared to the sham groups (p<0.05). Treatment
(treadmill and ET groups) increased all measures compared to the SNCr group
(p<0.05). Further, TSI, BMD, bone strength, and SP expression in the ET group
were higher than the treadmill group (p<0.05). Our results indicate that
treadmill therapy combined with electro-acupuncture at Jiaji acupoints prevents
bone loss in rabbit tibias after sciatic nerve injury. This may occur in two
ways: indirectly in association with axon regeneration and directly via loading
on the bone mediated through increased SP expression. This study provides
important evidence for the clinical treatment of bone loss after peripheral nerve
injury.
PMID- 27880771
TI - Differences in Connection Strength between Mental Symptoms Might Be Explained by
Differences in Variance: Reanalysis of Network Data Did Not Confirm Staging.
AB - BACKGROUND: The network approach to psychopathology conceives mental disorders as
sets of symptoms causally impacting on each other. The strengths of the
connections between symptoms are key elements in the description of those symptom
networks. Typically, the connections are analysed as linear associations (i.e.,
correlations or regression coefficients). However, there is insufficient
awareness of the fact that differences in variance may account for differences in
connection strength. Differences in variance frequently occur when subgroups are
based on skewed data. An illustrative example is a study published in PLoS One
(2013;8(3):e59559) that aimed to test the hypothesis that the development of
psychopathology through "staging" was characterized by increasing connection
strength between mental states. Three mental states (negative affect, positive
affect, and paranoia) were studied in severity subgroups of a general population
sample. The connection strength was found to increase with increasing severity in
six of nine models. However, the method used (linear mixed modelling) is not
suitable for skewed data. METHODS: We reanalysed the data using inverse Gaussian
generalized linear mixed modelling, a method suited for positively skewed data
(such as symptoms in the general population). RESULTS: The distribution of
positive affect was normal, but the distributions of negative affect and paranoia
were heavily skewed. The variance of the skewed variables increased with
increasing severity. Reanalysis of the data did not confirm increasing connection
strength, except for one of nine models. CONCLUSIONS: Reanalysis of the data did
not provide convincing evidence in support of staging as characterized by
increasing connection strength between mental states. Network researchers should
be aware that differences in connection strength between symptoms may be caused
by differences in variances, in which case they should not be interpreted as
differences in impact of one symptom on another symptom.
PMID- 27880772
TI - T Cell Production of IFNgamma in Response to TLR7/IL-12 Stimulates Optimal B Cell
Responses to Viruses.
AB - Knowledge of the processes that underlie IgG subclass switching could inform
strategies designed to counteract infections and autoimmunity. Here we show that
TLR7 ligands induce subsets of memory CD4 and CD8 T cells to secrete interferon
gamma (IFNgamma) in the absence of antigen receptor stimulation. In turn, TLR
ligation and IFNgamma cause B cells to express the transcription factor, T-bet,
and to switch immunoglobulin production to IgG2a/c. Absence of TLR7 in T cells
leads to the impaired T-bet expression in B cells and subsequent inefficient
IgG2a isotype switching both in vitro and during the infection with Friend virus
in vivo. Our results reveal a surprising mechanism of antiviral IgG subclass
switching through T-cell intrinsic TLR7/IL-12 signaling.
PMID- 27880773
TI - Do Bird Friendly(r) Coffee Criteria Benefit Mammals? Assessment of Mammal
Diversity in Chiapas, Mexico.
AB - Biodiversity-friendly coffee certifications offer a viable way to protect
wildlife habitat while providing a financial incentive to farmers. Most studies
related to these certifications focus on avian habitat requirements and it is not
known whether these standards also apply to other wildlife, such as mammals, that
inhabit the coffee landscapes. We assessed the non-volant mammalian fauna and
their associated habitat requirements in 23 sites representing forest, Bird
Friendly(r) shade, conventional shade, and sun coffee habitats. We used Sherman
trap-grids to measure small mammal abundance and richness, while camera traps
were set for medium-sized and large mammals. We detected 17 species of mammals,
representing 11 families. This preliminary study indicates that coffee farms in
this region provide an important refuge for mammalian wildlife. Mammal species
density ranked significantly higher in Bird Friendly(r) coffee sites than other
coffee habitats, although there was no significant difference for species
richness (using Chao2 estimator) among the habitat types. No significant
difference was found in small mammal abundance among the habitat types. We found
a higher species density of medium and large mammals in sites with larger, more
mature shade trees associated with, but not required by Bird Friendly(r)
certification standards. However, lower strata vegetation (5 cm to 1 m tall), the
only vegetation parameter found to increase abundance and density for small
mammals, is not specified in the Bird Friendly(r) standards. Our findings suggest
that although the standards devised for avian habitat do benefit mammals, further
study is needed on the requirements specific for mammals that could be included
to enhance the coffee habitat for mammals that inhabit these coffee landscapes.
PMID- 27880774
TI - Transmissibility of the Influenza Virus during Influenza Outbreaks and Related
Asymptomatic Infection in Mainland China, 2005-2013.
AB - We collected 2768 Influenza-like illness emergency public health incidents from
April 1, 2005 to November 30, 2013reported in the Emergency Public Reporting
System. After screening by strict inclusion and exclusion criteria, there were
613 outbreaks analyzed with susceptible-exposed-infectious/asymptomatic-removed
model in order to estimate the proportion of asymptomatic individuals (p) and the
effective reproduction number (Rt). The relation between Rt and viral subtypes,
regions, outbreak sites, populations, and seasons were analyzed. The mean values
of p of different subtypes ranged from 0.09 to 0.15, but could be as high as up
to 0.94. Different subtypes, provinces, regions, and sites of outbreak had
statistically significantly different Rt. In particular, the southern region also
manifested different Rt by affected population size and seasonality. Our results
provide China and also the rest of the world a reference to understand
characteristics of transmission and develop prevention and control strategies.
PMID- 27880775
TI - How Many Kinds of Birds Are There and Why Does It Matter?
AB - Estimates of global species diversity have varied widely, primarily based on
variation in the numbers derived from different inventory methods of arthropods
and other small invertebrates. Within vertebrates, current diversity metrics for
fishes, amphibians, and reptiles are known to be poor estimators, whereas those
for birds and mammals are often assumed to be relatively well established. We
show that avian evolutionary diversity is significantly underestimated due to a
taxonomic tradition not found in most other taxonomic groups. Using a sample of
200 species taken from a list of 9159 biological species determined primarily by
morphological criteria, we applied a diagnostic, evolutionary species concept to
a morphological and distributional data set that resulted in an estimate of
18,043 species of birds worldwide, with a 95% confidence interval of 15,845 to
20,470. In a second, independent analysis, we examined intraspecific genetic data
from 437 traditional avian species, finding an average of 2.4 evolutionary units
per species, which can be considered proxies for phylogenetic species. Comparing
recent lists of species to that used in this study (based primarily on
morphology) revealed that taxonomic changes in the past 25 years have led to an
increase of only 9%, well below what our results predict. Therefore, our
molecular and morphological results suggest that the current taxonomy of birds
understimates avian species diversity by at least a factor of two. We suggest
that a revised taxonomy that better captures avian species diversity will enhance
the quantification and analysis of global patterns of diversity and distribution,
as well as provide a more appropriate framework for understanding the
evolutionary history of birds.
PMID- 27880777
TI - Avian Influenza Risk Surveillance in North America with Online Media.
AB - The use of Internet-based sources of information for health surveillance
applications has increased in recent years, as a greater share of social and
media activity happens through online channels. The potential surveillance value
in online sources of information about emergent health events include early
warning, situational awareness, risk perception and evaluation of health
messaging among others. The challenge in harnessing these sources of data is the
vast number of potential sources to monitor and developing the tools to translate
dynamic unstructured content into actionable information. In this paper we
investigated the use of one social media outlet, Twitter, for surveillance of
avian influenza risk in North America. We collected AI-related messages over a
five-month period and compared these to official surveillance records of AI
outbreaks. A fully automated data extraction and analysis pipeline was developed
to acquire, structure, and analyze social media messages in an online context.
Two methods of outbreak detection; a static threshold and a cumulative-sum
dynamic threshold; based on a time series model of normal activity were evaluated
for their ability to discern important time periods of AI-related messaging and
media activity. Our findings show that peaks in activity were related to real
world events, with outbreaks in Nigeria, France and the USA receiving the most
attention while those in China were less evident in the social media data. Topic
models found themes related to specific AI events for the dynamic threshold
method, while many for the static method were ambiguous. Further analyses of
these data might focus on quantifying the bias in coverage and relation between
outbreak characteristics and detectability in social media data. Finally, while
the analyses here focused on broad themes and trends, there is likely additional
value in developing methods for identifying low-frequency messages,
operationalizing this methodology into a comprehensive system for visualizing
patterns extracted from the Internet, and integrating these data with other
sources of information such as wildlife, environment, and agricultural data.
PMID- 27880776
TI - Early Left Parietal Activity Elicited by Direct Gaze: A High-Density EEG Study.
AB - Gaze is one of the most important cues for human communication and social
interaction. In particular, gaze contact is the most primary form of social
contact and it is thought to capture attention. A very early-differentiated brain
response to direct versus averted gaze has been hypothesized. Here, we used high
density electroencephalography to test this hypothesis. Topographical analysis
allowed us to uncover a very early topographic modulation (40-80 ms) of event
related responses to faces with direct as compared to averted gaze. This
modulation was obtained only in the condition where intact broadband faces-as
opposed to high-pass or low-pas filtered faces-were presented. Source estimation
indicated that this early modulation involved the posterior parietal region,
encompassing the left precuneus and inferior parietal lobule. This supports the
idea that it reflected an early orienting response to direct versus averted gaze.
Accordingly, in a follow-up behavioural experiment, we found faster response
times to the direct gaze than to the averted gaze broadband faces. In addition,
classical evoked potential analysis showed that the N170 peak amplitude was
larger for averted gaze than for direct gaze. Taken together, these results
suggest that direct gaze may be detected at a very early processing stage,
involving a parallel route to the ventral occipito-temporal route of face
perceptual analysis.
PMID- 27880779
TI - A Mighty Claw: Pinching Force of the Coconut Crab, the Largest Terrestrial
Crustacean.
AB - Crustaceans can exert a greater force using their claws than many animals can
with other appendages. Furthermore, in decapods, the chela is a notable organ
with multifunctional roles. The coconut crab, Birgus latro, is the largest
terrestrial crustacean and has a remarkable ability to lift weights up to
approximately 30 kg. However, the pinching force of this crab's chelae has not
been previously investigated. In the present study, we measured the pinching
force of the chelae in 29 wild coconut crabs (33-2,120 g in body weight). The
maximum force ranged from 29.4 to 1,765.2 N, and showed a strong positive
correlation with body mass. Based on the correlation between pinching force and
body weight, the force potentially exerted by the largest crab (4 kg weight)
reported in a previous study would be 3300 N, which greatly exceeds the pinching
force of other crustaceans as well as the bite force of most terrestrial
predators. The mighty claw is a terrestrial adaptation that is not only a weapon,
which can be used to prevent predator attack and inhibit competitors, but is also
a tool to hunt other terrestrial organisms with rigid exteriors, aiding in these
organisms to be omnivores.
PMID- 27880778
TI - Colonizing the High Arctic: Mitochondrial DNA Reveals Common Origin of Eurasian
Archipelagic Reindeer (Rangifer tarandus).
AB - In light of current debates on global climate change it has become important to
know more on how large, roaming species have responded to environmental change in
the past. Using the highly variable mitochondrial control region, we revisit
theories of Rangifer colonization and propose that the High Arctic archipelagos
of Svalbard, Franz Josef Land, and Novaia Zemlia were colonized by reindeer from
the Eurasian mainland after the last glacial maximum. Comparing mtDNA control
region sequences from the three Arctic archipelagos showed a strong genetic
connection between the populations, supporting a common origin in the past. A
genetic connection between the three archipelagos and two Russian mainland
populations was also found, suggesting colonization of the Eurasian high Arctic
archipelagos from the Eurasian mainland. The age of the Franz Josef Land material
(>2000 years before present) implies that Arctic indigenous reindeer colonized
the Eurasian Arctic archipelagos through natural dispersal, before humans
approached this region.
PMID- 27880780
TI - Mitochondrial Genome Analysis Reveals Historical Lineages in Yellowstone Bison.
AB - Yellowstone National Park is home to one of the only plains bison populations
that have continuously existed on their present landscape since prehistoric times
without evidence of domestic cattle introgression. Previous studies characterized
the relatively high levels of nuclear genetic diversity in these bison, but
little is known about their mitochondrial haplotype diversity. This study
assessed mitochondrial genomes from 25 randomly selected Yellowstone bison and
found 10 different mitochondrial haplotypes with a haplotype diversity of 0.78
(+/- 0.06). Spatial analysis of these mitochondrial DNA (mtDNA) haplotypes did
not detect geographic population subdivision (FST = -0.06, p = 0.76). However, we
identified two independent and historically important lineages in Yellowstone
bison by combining data from 65 bison (defined by 120 polymorphic sites) from
across North America representing a total of 30 different mitochondrial DNA
haplotypes. Mitochondrial DNA haplotypes from one of the Yellowstone lineages
represent descendants of the 22 indigenous bison remaining in central Yellowstone
in 1902. The other mitochondrial DNA lineage represents descendants of the 18
females introduced from northern Montana in 1902 to supplement the indigenous
bison population and develop a new breeding herd in the northern region of the
park. Comparing modern and historical mitochondrial DNA diversity in Yellowstone
bison helps uncover a historical context of park restoration efforts during the
early 1900s, provides evidence against a hypothesized mitochondrial disease in
bison, and reveals the signature of recent hybridization between American plains
bison (Bison bison bison) and Canadian wood bison (B. b. athabascae). Our study
demonstrates how mitochondrial DNA can be applied to delineate the history of
wildlife species and inform future conservation actions.
PMID- 27880782
TI - Correction: Systemic Delivery of Recombinant Brain Derived Neurotrophic Factor
(BDNF) in the R6/2 Mouse Model of Huntington's Disease.
AB - [This corrects the article DOI: 10.1371/journal.pone.0064037.].
PMID- 27880781
TI - Assessment of Blood Pressure Control among Hypertensive Patients in Southwest
Ethiopia.
AB - BACKGROUND: The rate of blood pressure control among hypertensive patients is
poor and the reasons for poor control of blood pressure remain poorly understood
globally. OBJECTIVE: To assess the rate of blood pressure control among adult
hypertensive patients at Jimma University Specialized Hospital. MATERIALS AND
METHODS: We conducted a hospital based cross sectional study among adult
hypertensive patients at Jimma University Specialized Hospital hypertension
clinic from March 4, 2015 to April 3, 2015. Data on sociodemographic
characteristics of the participants and adherence to antihypertensive
medication(s) were collected from patients by face to face interview using a
pretested structured questionnaire. Comorbidities, antihypertensive medication(s)
and blood pressure measurements were collected retrospectively from medical
records. Medication adherence was assessed using Morisky's Medication Adherence
Scale-8 score. We did the statistical analysis using chi-square test and binary
logistic regression with level of alpha set at 0.05. Statistical significance was
considered for variables with p<0.05. RESULTS: Out of 311 participants, 286
patients were eligible and were studied. More than half, 154 (53.8%), of the
participants were males. The mean age of the participants was 54.8+/- 12.6 years
(range 26 to 94). The majority, 196 (68.53%), of the participants were taking
more than one antihypertensive medication. More than one third (39.5%) of the
participants were non adherent to their medication(s). The rate of blood pressure
control was 50.3%. In a univariate logistic regression analyses, age >=65 years
old (P = 0.008), physical inactivity (p<0.001), chat chewing (P<0.001), adding
salt to food (P<0.001), and coffee use (P<0.001) are significantly associated
with uncontrolled blood pressure. CONCLUSION: Almost half of the hypertensive
patients on follow up had uncontrolled blood pressure. We recommend better health
education and care of patients to improve the rate of blood pressure control at
the hospital.
PMID- 27880783
TI - Comparability of Point-of-Care versus Central Laboratory Hemoglobin Determination
in Emergency Patients at a Supra-Maximal Care Hospital.
AB - Fulfilling the requirements of point-of-care testing (POCT) training regarding
proper execution of measurements and compliance with internal and external
quality control specifications is a great challenge. Our aim was to compare the
values of the highly critical parameter hemoglobin (Hb) determined with POCT
devices and central laboratory analyzer in the highly vulnerable setting of an
emergency department in a supra maximal care hospital to assess the quality of
POCT performance. In 2548 patients, Hb measurements using POCT devices (POCT-Hb)
were compared with Hb measurements performed at the central laboratory (Hb-ZL).
Additionally, sub collectives (WHO anemia classification, patients with Hb <8
g/dl and suprageriatric patients (age >85y.) were analyzed. Overall, the
correlation between POCT-Hb and Hb-ZL was highly significant (r = 0.96, p<0.001).
Mean difference was -0.44g/dl. POCT-Hb values tended to be higher than Hb-ZL
values (t(2547) = 36.1, p<0.001). Standard deviation of the differences was 0.62
g/dl. Only in 26 patients (1%), absolute differences >2.5g/dl occurred. McNemar's
test revealed significant differences regarding anemia diagnosis according to WHO
definition for male, female and total patients (? p<0.001; ? p<0.001, total
p<0.001). Hb-ZL resulted significantly more often in anemia diagnosis. In samples
with Hb<8g/dl, McNemar's test yielded no significant difference (p = 0.169). In
suprageriatric patients, McNemar's test revealed significant differences
regarding anemia diagnosis according to WHO definition in male, female and total
patients (? p<0.01; ? p = 0.002, total p<0.001). The difference between Hb-ZL and
POCT-Hb with Hb<8g/dl was not statistically significant (<8g/dl, p = 1.000).
Overall, we found a highly significant correlation between the analyzed
hemoglobin concentration measurement methods, i.e. POCT devices and at the
central laboratory. The results confirm the successful implementation of the
presented POCT concept. Nevertheless some limitations could be identified in
anemic patients stressing the importance of carefully examining clinically
implausible results.
PMID- 27880784
TI - CDH1 Missense Variant c.1679C>G (p.T560R) Completely Disrupts Normal Splicing
through Creation of a Novel 5' Splice Site.
AB - Disease-causing germline mutations in CDH1 cause Hereditary Diffuse Gastric
Cancer (HDGC). For patients who meet the HDGC screening criteria, the
identification and classification of the sequence variants found in CDH1 are
critical for risk management of patients. In this report, we describe a germline
CDH1 c.1679C>G (p.T560R) variant identified in a 50 year old man who was
diagnosed with gastric cancer with a strong family history of gastric cancer (one
living brother was diagnosed with gastric cancer at 63 and another brother died
of gastric cancer at 45). cDNA analysis, involving fragment analysis and cloning,
indicated that the p.T560R mutation created a novel 5' splice donor site, which
led to a novel transcript with a 32 nucleotide deletion in exon 11. This abnormal
transcript putatively produces a truncated CDH1 protein (E-cadherin) of 575 amino
acids instead of 882. We also demonstrated that the variant completely abolishes
normal splicing as the mutant allele does not generate any normal transcript.
Furthermore, the CDH1 c.1679C>G (p.T560R) variant segregated with gastric cancer
in all three family members affected with gastric cancer in this family. These
results support the conclusion that CDH1 c.1679C>G (p.T560R) variant is a
pathogenic mutation and contributes to HDGC through disruption of normal
splicing.
PMID- 27880786
TI - Effects of Pile Driving on the Residency and Movement of Tagged Reef Fish.
AB - The potential effects of pile driving on fish populations and commercial
fisheries have received significant attention given the prevalence of pile
driving occurring in coastal habitats throughout the world. Behavioral impacts of
sound generated from these activities on fish typically have a greater area of
influence than physical injury, and may therefore adversely affect a greater
portion of the local population. This study used acoustic telemetry to assess the
movement, residency, and survival of 15 sheepshead (Archosargus probatocephalus)
and 10 grey snapper (Lutjanus griseus) in Port Canaveral, Florida, USA, in
response to 35 days of pile driving at a wharf complex. No obvious signs of
mortality or injury to tagged fish were evident from the data. Received sound
pressure levels from pile strikes on the interior of the wharf, where reef fish
primarily occur, were on average 152-157 dB re 1 MUPa (peak). No significant
decrease in sheepshead daytime residency was observed during pile driving within
the central portion of the wharf and area of highest sound exposure, and no major
indicators of displacement from the exposure wharf with the onset of pile driving
were observed. There was evidence of potential displacement from the exposure
wharf that coincided with the start of pile driving observed for 2 out of 4 grey
snapper, along with a decrease in daytime residency for a subset of this species
with high site fidelity prior to the event. Results indicate that snapper may be
more likely to depart an area of pile driving disturbance more readily than
sheepshead, but were less at risk for behavioral impact given the lower site
fidelity of this species.
PMID- 27880785
TI - Individual Differences in Dynamic Functional Brain Connectivity across the Human
Lifespan.
AB - Individual differences in brain functional networks may be related to complex
personal identifiers, including health, age, and ability. Dynamic network theory
has been used to identify properties of dynamic brain function from fMRI data,
but the majority of analyses and findings remain at the level of the group. Here,
we apply hypergraph analysis, a method from dynamic network theory, to quantify
individual differences in brain functional dynamics. Using a summary metric
derived from the hypergraph formalism-hypergraph cardinality-we investigate
individual variations in two separate, complementary data sets. The first data
set ("multi-task") consists of 77 individuals engaging in four consecutive
cognitive tasks. We observe that hypergraph cardinality exhibits variation across
individuals while remaining consistent within individuals between tasks;
moreover, the analysis of one of the memory tasks revealed a marginally
significant correspondence between hypergraph cardinality and age. This finding
motivated a similar analysis of the second data set ("age-memory"), in which 95
individuals, aged 18-75, performed a memory task with a similar structure to the
multi-task memory task. With the increased age range in the age-memory data set,
the correlation between hypergraph cardinality and age correspondence becomes
significant. We discuss these results in the context of the well-known finding
linking age with network structure, and suggest that hypergraph analysis should
serve as a useful tool in furthering our understanding of the dynamic network
structure of the brain.
PMID- 27880787
TI - Seasonal and Spatial Environmental Influence on Opisthorchis viverrini
Intermediate Hosts, Abundance, and Distribution: Insights on Transmission
Dynamics and Sustainable Control.
AB - BACKGROUND: Opisthorchis viverrini (Ov) is a complex-life-cycle trematode
affecting 10 million people in SEA (Southeast Asia). Human infection occurs when
infected cyprinid fish are consumed raw or undercooked. Ov requires three hosts
and presents two free-living parasitic stages. As a consequence Ov transmission
and infection in intermediate and human hosts are strongly mediated by
environmental factors and understanding how environmental variability influences
intermediate host abundance is critical. The objectives of this study were 1) to
document water parameters, intermediate hosts abundance and infection spatio
temporal variation, 2) to assess their causal relationships and identify windows
of transmission risk. METHODOLOGY/PRINCIPAL FINDINGS: Fish and snails were
collected monthly for one year at 12 sites in Lawa Lake, an Ov-endemic region of
Khon Kaen Province in Northeast Thailand. Physicochemical water parameters [pH,
temperature (Tp), dissolved oxygen (DO), Salinity, electrical conductivity (EC),
total dissolved solid (TDS), nitrite nitrogen (NO2-N), lead (Pb), total coliform
bacteria (TCB) and fecal coliform bacteria (FCB)] were measured. Multivariate
analyses, linear models and kriging were used to characterize water parameter
variation and its influence on host abundance and infection prevalence. We found
that sampling sites could be grouped in three clusters and discriminated along a
nitrogen-salinity gradient where higher levels in the lake's southern region
predicted higher Bithynia relative abundance (P<0.05) and lower snail and fish
species diversity (P<0.05). Highest Bithynia abundance occurred during rainy
season (P<0.001), independently of site influence. Cyprinids were the most
abundant fish family and higher cyprinid relative abundance was found in areas
with higher Bithynia relative abundance (P<0.05). Ov infection in snails was
anecdotal while Ov infection in fish was higher in the southern region (P<0.001)
at sites showing high FCB. CONCLUSIONS/SIGNIFICANCE: Our results indicate that
water contamination and waterways configuration can influence freshwater
communities' assemblages possibly creating ideal conditions for sustained
transmission. Sustainable control may require a better appreciation of the
system's ecology with wise governance and development planning particularly in
the current context of SEA agricultural intensification and landscape
modification.
PMID- 27880788
TI - Micro-CT vs. Whole Body Multirow Detector CT for Analysing Bone Regeneration in
an Animal Model.
AB - OBJECTIVES: Compared with multirow detector CT (MDCT), specimen (ex vivo) micro
CT (MUCT) has a significantly higher (~ 30 x) spatial resolution and is
considered the gold standard for assessing bone above the cellular level.
However, it is expensive and time-consuming, and when applied in vivo, the
radiation dose accumulates considerably. The aim of this study was to examine
whether the lower resolution of the widely used MDCT is sufficient to
qualitatively and quantitatively evaluate bone regeneration in rats. METHODS:
Forty critical-size defects (5mm) were placed in the mandibular angle of rats and
covered with coated bioactive titanium implants to promote bone healing. Five
time points were selected (7, 14, 28, 56 and 112 days). MUCT and MDCT were used
to evaluate the defect region to determine the bone volume (BV), tissue mineral
density (TMD) and bone mineral content (BMC). RESULTS: MDCT constantly achieved
higher BV values than MUCT (10.73+/-7.84 mm3 vs. 6.62+/-4.96 mm3, p<0.0001) and
consistently lower TMD values (547.68+/-163.83 mm3 vs. 876.18+/-121.21 mm3,
p<0.0001). No relevant difference was obtained for BMC (6.48+/-5.71 mm3 vs.
6.15+/-5.21 mm3, p = 0.40). BV and BMC showed very strong correlations between
both methods, whereas TMD was only moderately correlated (r = 0.87, r = 0.90, r =
0.68, p < 0.0001). CONCLUSIONS: Due to partial volume effects, MDCT overestimated
BV and underestimated TMD but accurately determined BMC, even in small volumes,
compared with MUCT. Therefore, if bone quantity is a sufficient end point, a
considerable number of animals and costs can be saved, and compared with in vivo
MUCT, the required dose of radiation can be reduced.
PMID- 27880789
TI - Targeting Ruminative Thinking in Adolescents at Risk for Depressive Relapse:
Rumination-Focused Cognitive Behavior Therapy in a Pilot Randomized Controlled
Trial with Resting State fMRI.
AB - : This pilot randomized control trial was designed to examine whether Rumination
Focused Cognitive Behavior Therapy (RFCBT) reduces rumination and residual
depressive symptoms among adolescents with a history of Major Depressive Disorder
(MDD) who are at risk for relapse. We also examined whether these changes in
symptoms were associated with changes in functional connectivity of the posterior
cingulate cortex (PCC), a key node in the default mode network (DMN). Thirty
three adolescents (ages 12-18) were randomized to eight weeks of RFCBT or an
assessment only (AO) control. Twenty two adolescents successfully completed fMRI
scans pre- and post-intervention. Adolescents were recruited from the clinic and
community and met criteria for at least one previous episode of MDD and were
currently in full or partial remission. An Independent Evaluator interviewed
parent and child before and after the eight-week intervention. The left PCC (-5,
50, 36) seed was used to probe resting state functional connectivity of the DMN.
Adolescents who received RFCBT demonstrated reduced rumination (F = -2.76, df =
112, p < .01, 95% CI [-4.72,-0.80]) and self-report depression across eight weeks
(F = -2.58, df = 113, p < .01, 95% CI [-4.21, -0.94]). Youth who received RFCBT
also demonstrated significant decreases in connectivity between the left PCC and
the right inferior frontal gyrus (IFG) and bilateral inferior temporal gyri
(ITG). Degree of change in connectivity was correlated with changes in self
report depression and rumination. These data suggest that rumination can be
reduced over eight weeks and that this reduction is associated with parallel
decreases in residual depressive symptoms and decreased functional connectivity
of the left PCC with cognitive control nodes. These changes may enhance the
ability of vulnerable youth to stay well during the transition to adulthood.
TRIAL REGISTRATION: ClinicalTrials.gov NCT01905267.
PMID- 27880790
TI - Integrating Maternal and Children's Oral Health Promotion into Nursing and
Midwifery Practice- A Systematic Review.
AB - BACKGROUND: Globally, oral diseases contribute to major disease problems and oral
health disparities persistently exist amongst vulnerable population groups. Two
contributory factors to these challenges are the shortage of dental practitioners
and the characteristic separation between the medical and dental professions.
Nurses and midwives, in particular, are in a potentially excellent position to
assist in basic oral health services such as dental health education and
intraoral screening. We aimed to assess the effectiveness of integrating
promotion of oral health of young children and their mothers into nursing and
midwifery practice. METHODS AND FINDINGS: Seven electronic databases including
CENTRAL, EMBASE, MEDLINE, GLOBAL HEALTH, CINHAL, Scopus, and Web of Science were
systematically searched whereas conference proceedings and theses were retrieved
via PROQUEST. Only randomized, non-randomized trials and observational studies on
preventive oral health programs delivered by nurses or midwives in healthcare
settings or through home visits were included. Two investigators reviewed full
text articles independently to decide on eligibility for inclusion. Quality
assessment was done using Cochrane tool for risk of bias for randomized trials
and Downs and Black assessment tool for all other studies. Out of 3162 retrieved
records, twenty one trials on oral health interventions incorporated into
standard nursing practice were reviewed. Eighteen programs reported significant
positive outcomes including reduction in caries experience, better oral hygiene
and dietary habits and increased rates of dental visits amongst young children as
reported by their caregivers. CONCLUSIONS: Incorporating oral health promotion
into nursing practice is a promising initiative for reducing oral health
disparities by contributing to a downward trend in caries experience and
increased access to dental care especially amongst the poor disadvantaged
communities.
PMID- 27880791
TI - Common Noctule Bats Are Sexually Dimorphic in Migratory Behaviour and Body Size
but Not Wing Shape.
AB - Within the large order of bats, sexual size dimorphism measured by forearm length
and body mass is often female-biased. Several studies have explained this through
the effects on load carrying during pregnancy, intrasexual competition, as well
as the fecundity and thermoregulation advantages of increased female body size.
We hypothesized that wing shape should differ along with size and be under
variable selection pressure in a species where there are large differences in
flight behaviour. We tested whether load carrying, sex differential migration, or
reproductive advantages of large females affect size and wing shape dimorphism in
the common noctule (Nyctalus noctula), in which females are typically larger than
males and only females migrate long distances each year. We tested for univariate
and multivariate size and shape dimorphism using data sets derived from wing
photos and biometric data collected during pre-migratory spring captures in
Switzerland. Females had forearms that are on average 1% longer than males and
are 1% heavier than males after emerging from hibernation, but we found no sex
differences in other size, shape, or other functional characters in any wing
parameters during this pre-migratory period. Female-biased size dimorphism
without wing shape differences indicates that reproductive advantages of big
mothers are most likely responsible for sexual dimorphism in this species, not
load compensation or shape differences favouring aerodynamic efficiency during
pregnancy or migration. Despite large behavioural and ecological sex differences,
morphology associated with a specialized feeding niche may limit potential
dimorphism in narrow-winged bats such as common noctules and the dramatic
differences in migratory behaviour may then be accomplished through plasticity in
wing kinematics.
PMID- 27880792
TI - Telomere Length and Survival of Patients with Hepatocellular Carcinoma in the
United States.
AB - BACKGROUND: Telomere shortening is an important molecular event in hepatocellular
carcinoma (HCC) initiation; however, its role in HCC progression and prognosis is
less clear. Our study aimed to examine the association of telomere length with
survival of patients with HCC. METHODS: We measured telomere length in tumor and
adjacent non-tumor tissues from 126 persons with HCC in the United States (U.S.)
who were followed for mortality outcomes. Relative telomere length (RTL) was
measured by a monochrome multiplex quantitative polymerase chain reaction assay.
Multivariable Cox proportional hazards modeling was used to calculate hazard
ratios (HRs) and 95% CIs for the association between telomere length and all
cause mortality. We also examined associations between telomere length and
patient characteristics using multiple linear regression. RESULTS: During a mean
follow-up of 6.0 years, 79 deaths occurred among 114 individuals for whom
survival data were available. The ratio of RTL in tumor relative to non-tumor
tissue was greater for individuals with regional or distant stage tumors (0.97)
than localized stage tumors (0.77), and for individuals with grade III or IV
tumors (0.95) than grade II (0.88) or grade I (0.67) tumors. An RTL ratio >=1 was
not associated with survival (HR 0.92, 95% CI 0.55, 1.55) compared to a ratio <1,
after adjusting for age at diagnosis, sex, tumor stage and tumor size. Similarly,
RTL in the tumor and non-tumor tissue, respectively, were not associated with
survival. CONCLUSIONS: This U.S. based study found that telomeres may be longer
in more aggressive HCCs. There was no evidence, however, that telomere length was
associated with survival of patients with HCC. Future investigations are
warranted to clarify the role of telomere length in HCC prognosis.
PMID- 27880793
TI - Seed Quality Traits Can Be Predicted with High Accuracy in Brassica napus Using
Genomic Data.
AB - Improving seed oil yield and quality are central targets in rapeseed (Brassica
napus) breeding. The primary goal of our study was to examine and compare the
potential and the limits of marker-assisted selection and genome-wide prediction
of six important seed quality traits of B. napus. Our study is based on a bi
parental population comprising 202 doubled haploid lines and a diverse validation
set including 117 B. napus inbred lines derived from interspecific crosses
between B. rapa and B. carinata. We used phenotypic data for seed oil, protein,
erucic acid, linolenic acid, stearic acid, and glucosinolate content. All lines
were genotyped with a 60k SNP array. We performed five-fold cross-validations in
combination with linkage mapping and four genome-wide prediction approaches in
the bi-parental population. Quantitative trait loci (QTL) with large effects were
detected for erucic acid, stearic acid, and glucosinolate content, blazing the
trail for marker-assisted selection. Despite substantial differences in the
complexity of the genetic architecture of the six traits, genome-wide prediction
models had only minor impacts on the prediction accuracies. We evaluated the
effects of training population size, marker density and phenotyping intensity on
the prediction accuracy. The prediction accuracy in the independent and
genetically very distinct validation set still amounted to 0.14 for protein
content and 0.17 for oil content reflecting the utility of the developed
calibration models even in very diverse backgrounds.
PMID- 27880794
TI - Phylogeography of Crimean Congo Hemorrhagic Fever Virus.
AB - Crimean Congo hemorrhagic fever virus (CCHFV) is one of the most severe viral
zoonozes. It is prevalent throughout Africa, Asia and southern Europe. Limited
availability of sequence data has hindered phylogeographic studies. The complete
genomic sequence of all three segments of 14 Crimean Congo hemorrhagic fever
virus strains isolated from 1958-2000 in Russia, Central Asia and Africa was
identified. Each genomic segment was independently subjected to continuous
Bayesian phylogeographic analysis. The origin of each genomic segment was traced
to Africa about 1,000-5,000 years ago. The virus was first introduced to South
and Central Asia in the Middle Ages, and then spread to China, India and Russia.
Reverse transfers of genomic segments from Asia to Africa were also observed. The
European CCHFV genotype V was introduced to Europe via the Astrakhan region in
South Russia 280-400 years ago and subsequently gradually spread westward in
Russia, to Turkey and the Balkans less than 150 years ago. Only a few
recombination events could be suggested in S and L genomic segments, while
segment reassortment was very common. The median height of a non-reassortant
phylogenetic tree node was 68-156 years. There were reassortment events within
the European CCHFV lineage, but not with viruses from other locations. Therefore,
CCHFV in Europe is a recently emerged zoonosis that represents a spillover from
the global gene pool.
PMID- 27880796
TI - Foxn1[Cre] Expression in the Male Germline.
AB - Foxn1 (forkhead box N1), also known as the nude gene or winged-helix nude (Whn),
is a forkhead transcription factor thought to be restricted to keratinocytes in
the skin and thymus. Consistent with this tissue distribution, spontaneous or
targeted mutation of Foxn1 results in the absence of both hair and a thymus.
Genetic manipulation of the Foxn1 locus thus represents a powerful tool for
tissue specific gene control in the skin and thymus, and tools such as Cre
recombinase under control of the Foxn1 locus are widely used for this purpose.
Unexpectedly, we show that Foxn1[Cre] exhibits unexpected activity in male germ
cells, resulting in ubiquitous targeting of loxP-flanked alleles in all tissues
in offspring from Foxn1[Cre] expressing male mice. Inheritance of recombined loxP
alleles occurs independently of Cre inheritance (i.e., offspring lacking Cre
nonetheless exhibit recombined alleles), suggesting that Foxn1[Cre] induced
recombination in male germ cells must occur prior to meiosis in diploid germ
cells. Together with previously published data, our results show that Foxn1, and
alleles under its control, are expressed in the pre-meiotic male germline,
revealing a new tool for germline targeting of genes, and raising important
concerns for gender selection when using Foxn1 regulatory elements.
PMID- 27880795
TI - The Association of Fatty Acid Levels and Gleason Grade among Men Undergoing
Radical Prostatectomy.
AB - BACKGROUND: Epidemiological data suggest that omega-6 (omega-6) fatty acids (FAs)
may be associated with cancer incidence and/or cancer mortality, whereas omega-3
FAs are potentially protective. We examined the association of the ratio of omega
6 to omega-3 FA (omega-6:omega-3) and individual FA components with pathological
results among men with prostate cancer (PCa) undergoing radical prostatectomy.
METHODS: Sixty-nine men were included in the study. Components of omega-6
(linoleic acid (LA), arachidonic acid (AA), and dihomo-gamma-linolenic acid
(DGLA)) and omega-3 (docosahexaenoic acid (DHA) and eicosapentaenoic acid (EPA))
were analyzed by liquid chromatography/mass selective detector separation.
Logistic regression analysis was performed to determine association of FA with
pathological high grade (Gleason >=4+3) disease. RESULTS: The were 35 men with
low grade disease (Gleason <=3+4) and 34 men with high grade disease. Men with
low grade disease were significantly younger (58y vs 61y, p = 0.012) and had
lower D'Amico clinical classification (p = 0.001) compared to men with high grade
disease. There was no significant association of omega-6:omega-3 with high grade
disease (OR 0.93, p = 0.78), however overall omega-6, omega-3, and individual
components of omega-6 and omega-3 FAs except EPA were significantly associated
with high grade disease (omega-6: OR 3.37, 95% CI: 1.27,8.98; LA: OR 3.33, 95%
CI:1.24,8.94; AA: OR 2.93, 95% CI:1.24,6.94; DGLA: OR 3.21, 95% CI:1.28,8.04;
omega-3: OR 3.47, 95% CI:1.22,9.83; DHA: OR 3.13, 95% CI:1.26,7.74). omega-6 and
omega-3 FA components were highly correlated (Spearman rho = 0.77). CONCLUSION:
Higher levels of individual components of omega-6 and omega-3FAs may be
associated with higher-grade PCa. IMPACT: Studies into the causative
factors/pathways regarding FAs and prostate carcinogenesis may prove a potential
association with PCa aggressiveness.
PMID- 27880797
TI - Regulation and Maintenance of an Adoptive T-Cell Dependent Memory B Cell Pool.
AB - We investigated the ability of monoclonal B cells to restore primary and
secondary T-cell dependent antibody responses in adoptive immune-deficient hosts.
Priming induced B cell activation and expansion, AID expression, antibody
production and the generation of IgM+IgG- and IgM-IgG+ antigen-experienced B-cell
subsets that persisted in the lymphopenic environment by cell division. Upon
secondary transfer and recall the IgM-IgG+ cells responded by the production of
antigen-specific IgG while the IgM+ memory cells secreted mainly IgM and little
IgG, but generated new B cells expressing germinal center markers. The recall
responses were more efficient if the antigenic boost was delayed suggesting that
a period of adaptation is necessary before the transferred cells are able to
respond. Overall these findings indicate that reconstitution of a functional and
complete memory pool requires transfer of all different antigen-experienced B
cell subsets. We also found that the size of the memory B cell pool did not rely
on the number of the responding naive B cells, suggesting autonomous homeostatic
controls for naive and memory B cells. By reconstituting a stable memory B cell
pool in immune-deficient hosts using a monoclonal high-affinity B cell population
we demonstrate the potential value of B cell adoptive immunotherapy.
PMID- 27880798
TI - Added Value of 3D Proton-Density Weighted Images in Diagnosis of Intracranial
Arterial Dissection.
AB - BACKGROUND: An early and reliable diagnosis of intracranial arterial dissection
is important to reduce the risk of neurological complication. The purpose of this
study was to assess the clinical usefulness of three-dimensional high-resolution
MRI (3D-HR-MRI) including pre- and post-contrast T1-weighted volumetric isotropic
turbo spin echo acquisition with improved motion-sensitized driven equilibrium
preparation (3D-iMSDE-T1) and proton-density weighted image (3D-PD) in detecting
dissection and to evaluate the added value of 3D-PD in diagnosing intracranial
arterial dissection. METHODS: We retrospectively recruited patients who underwent
3D-HR-MRI with clinical suspicion of arterial dissection. Among them, we selected
patients who were diagnosed with definite dissection according to the Spontaneous
Cervicocephalic Arterial Dissections Study criteria. For each patient, the
presence of intimal flap, intramural hematoma, and vessel dilatation were
evaluated independently by two neuroradiologists on each sequence. Interobserver
agreement was assessed. RESULTS: Seventeen patients (mean age: 41 +/- 10 [SD]
years; 13 men) were diagnosed with definite dissection. The intimal flaps were
more frequently detected on 3D-PD (88.2%, 15/17) than on 3D-iMSDE-T1 (29.4%,
5/17), and post-contrast 3D-iMSDE-T1 (35.3%, 6/17; P = 0.006 and P = 0.004,
respectively). No significant difference was found in the detection rate of
intramural hematomas (59-71%) and vascular dilatations (47%) on each sequence.
Interobserver agreement for detection of dissection findings showed almost
perfect agreement (k = 0.84-1.00), except for detection of intimal flaps on pre
contrast 3D-iMSDE-T1 (k = 0.62). After addition of 3D-PD to pre- and post
contrast 3D-iMSDE-T1, more patients were diagnosed with definite dissection with
the initial MRI (88.2% vs. 47.1%; P = 0.039). CONCLUSIONS: The intimal flap might
be better visualized on the 3D-PD sequence than the 3D-iMSDE-T1 sequences,
allowing diagnosis of definite dissection without follow-up imaging.
PMID- 27880799
TI - Treatment of Oral Biofilms by a D-Enantiomeric Peptide.
AB - Almost all dental diseases are caused by biofilms that consist of multispecies
communities. DJK-5, which is a short D-enantiomeric, protease-resistant peptide
with broad-spectrum anti-biofilm activity, was tested for its effect on oral
multispecies biofilms. Peptide DJK-5 at 10 MUg/mL effectively prevented the
growth of these microbes in culture media in a time-dependent manner. In addition
to the prevention of growth, peptide DJK-5 completely killed both Streptococcus
mutans and Enterococcus faecalis suspended from biofilms after 30 minutes of
incubation in liquid culture media. DJK-5 also led to the effective killing of
microbes in plaque biofilm. The proportion of bacterial cells killed by 10 MUg/mL
of DJK-5 was similar after 1 and 3 days, both exceeding 85%. DJK-5 was able to
significantly prevent biofilm formation over 3 days (P = 0.000). After 72 hours
of exposure, DJK-5 significantly reduced and almost completely prevented plaque
biofilm production by more than 90% of biovolume compared to untreated controls
(P = 0.000). The proportion of dead biofilm bacteria at the 10 MUg/mL DJK-5
concentration was similar for 1- and 3-day-old biofilms, whereby >86% of the
bacteria were killed. DJK-5 was also able to kill >79% and >85% of bacteria,
respectively, after one-time and three brief treatments of 3-day-old biofilms.
The combination of DJK-5 and chlorhexidine showed the best bacterial killing
among all treatments, with ~83% and >88% of bacterial cells killed after 1 and 3
minutes, respectively. No significant difference was found in the percentage of
biofilm killing amongst three donor plaque samples after DJK-5 treatment. In
particular, DJK-5 showed strong performance in inhibiting biofilm development and
eradicating pre-formed oral biofilms compared to L-enantiomeric peptide 1018. DJK
5 was very effective against oral biofilms when used alone or combined with
chlorhexidine, and may be a promising agent for use in oral anti-biofilm
strategies in the future.
PMID- 27880800
TI - Minimal In Vivo Efficacy of Iminosugars in a Lethal Ebola Virus Guinea Pig Model.
AB - The antiviral properties of iminosugars have been reported previously in vitro
and in small animal models against Ebola virus (EBOV); however, their effects
have not been tested in larger animal models such as guinea pigs. We tested the
iminosugars N-butyl-deoxynojirimycin (NB-DNJ) and N-(9-methoxynonyl)
1deoxynojirimycin (MON-DNJ) for safety in uninfected animals, and for antiviral
efficacy in animals infected with a lethal dose of guinea pig adapted EBOV. 1850
mg/kg/day NB-DNJ and 120 mg/kg/day MON-DNJ administered intravenously, three
times daily, caused no adverse effects and were well tolerated. A pilot study
treating infected animals three times within an 8 hour period was promising with
1 of 4 infected NB-DNJ treated animals surviving and the remaining three showing
improved clinical signs. MON-DNJ showed no protective effects when EBOV-infected
guinea pigs were treated. On histopathological examination, animals treated with
NB-DNJ had reduced lesion severity in liver and spleen. However, a second study,
in which NB-DNJ was administered at equally-spaced 8 hour intervals, could not
confirm drug-associated benefits. Neither was any antiviral effect of iminosugars
detected in an EBOV glycoprotein pseudotyped virus assay. Overall, this study
provides evidence that NB-DNJ and MON-DNJ do not protect guinea pigs from a
lethal EBOV-infection at the dose levels and regimens tested. However, the one
surviving animal and signs of improvements in three animals of the NB-DNJ treated
cohort could indicate that NB-DNJ at these levels may have a marginal beneficial
effect. Future work could be focused on the development of more potent
iminosugars.
PMID- 27880801
TI - AMPA Receptor Antagonist NBQX Decreased Seizures by Normalization of Perineuronal
Nets.
AB - Epilepsy is a serious brain disorder with diverse seizure types and epileptic
syndromes. AMPA receptor antagonist 2,3-dihydroxy-6-nitro-7-sulfamoyl
benzoquinoxaline-2,3-dione (NBQX) attenuates spontaneous recurrent seizures in
rats. However, the anti-epileptic effect of NBQX in chronic epilepsy model is
poorly understood. Perineuronal nets (PNNs), specialized extracellular matrix
structures, surround parvalbumin-positive inhibitory interneurons, and play a
critical role in neuronal cell development and synaptic plasticity. Here, we
focused on the potential involvement of PNNs in the treatment of epilepsy by
NBQX. Rats were intraperitoneally (i.p.) injected with pentylenetetrazole (PTZ,
50 mg/kg) for 28 consecutive days to establish chronic epilepsy models.
Subsequently, NBQX (20 mg/kg, i.p.) was injected for 3 days for the observation
of behavioral measurements of epilepsy. The Wisteria floribundi agglutinin (WFA)
labeled PNNs were measured by immunohistochemical staining to evaluate the PNNs.
The levels of three components of PNNs such as tenascin-R, aggrecan and neurocan
were assayed by Western blot assay. The results showed that there are reduction
of PNNs and decrease of tenascin-R, aggrecan and neurocan in the medial
prefrontal cortex (mPFC) in the rats injected with PTZ. However, NBQX treatment
normalized PNNs, tenascin-R, aggrecan and neurocan levels. NBQX was sufficient to
decrease seizures through increasing the latency to seizures, decrease the
duration of seizure onset, and reduce the scores for the severity of seizures.
Furthermore, the degradation of mPFC PNNs by chondroitinase ABC (ChABC)
exacerbated seizures in PTZ-treated rats. Finally, the anti-epileptic effect of
NBQX was reversed by pretreatment with ChABC into mPFC. These findings revealed
that PNNs degradation in mPFC is involved in the pathophysiology of epilepsy and
enhancement of PNNs may be effective for the treatment of epilepsy.
PMID- 27880802
TI - Algebraic Topology of Multi-Brain Connectivity Networks Reveals Dissimilarity in
Functional Patterns during Spoken Communications.
AB - Human behaviour in various circumstances mirrors the corresponding brain
connectivity patterns, which are suitably represented by functional brain
networks. While the objective analysis of these networks by graph theory tools
deepened our understanding of brain functions, the multi-brain structures and
connections underlying human social behaviour remain largely unexplored. In this
study, we analyse the aggregate graph that maps coordination of EEG signals
previously recorded during spoken communications in two groups of six listeners
and two speakers. Applying an innovative approach based on the algebraic topology
of graphs, we analyse higher-order topological complexes consisting of mutually
interwoven cliques of a high order to which the identified functional connections
organise. Our results reveal that the topological quantifiers provide new
suitable measures for differences in the brain activity patterns and inter-brain
synchronisation between speakers and listeners. Moreover, the higher topological
complexity correlates with the listener's concentration to the story, confirmed
by self-rating, and closeness to the speaker's brain activity pattern, which is
measured by network-to-network distance. The connectivity structures of the
frontal and parietal lobe consistently constitute distinct clusters, which extend
across the listener's group. Formally, the topology quantifiers of the multi
brain communities exceed the sum of those of the participating individuals and
also reflect the listener's rated attributes of the speaker and the narrated
subject. In the broader context, the presented study exposes the relevance of
higher topological structures (besides standard graph measures) for
characterising functional brain networks under different stimuli.
PMID- 27880804
TI - Dietary Intake and Eating Behaviours of Obese New Zealand Children and
Adolescents Enrolled in a Community-Based Intervention Programme.
AB - OBJECTIVES: The aim of this study was to describe dietary intake and eating
behaviours of obese children and adolescents, and also to determine how these
differ in Indigenous versus non-Indigenous children at enrolment in an obesity
programme. METHODS: Baseline dietary intake and eating behaviour records were
assessed from those enrolled in a clinical unblinded randomised controlled trial
of a multi-disciplinary intervention. The setting was a community-based obesity
programme in Taranaki, New Zealand. Children or adolescents who were enrolled
from January 2012 to August 2014, with a BMI >=98th percentile or >91st centile
with weight-related comorbidities were eligible. RESULTS: 239 participants (45%
Maori, 45% NZ Europeans, 10% other ethnicities), aged 5-17 years were assessed.
Two-thirds of participants experienced hyperphagia and half were not satiated
after a meal. Comfort eating was reported by 62% of participants, and daily
energy intake was above the recommended guidelines for 54%. Fruit and vegetable
intake was suboptimal compared with the recommended 5 servings per day (mean 3.5
[SD = 1.9] servings per day), and the mean weekly breakfasts were less than the
national average (5.9 vs 6.5; p<0.0001). Median sweet drink intake amongst Maori
was twice that of NZ Europeans (250 vs 125 ml per day; p = 0.0002). CONCLUSIONS:
There was a concerning prevalence of abnormal eating behaviours and significant
differences in dietary intake between obese participants and their national
counterparts. Ethnic differences between Indigenous and non-Indigenous
participants were also present, especially in relation to sweet drink
consumption. Eating behaviours, especially sweet drink consumption and
fruit/vegetable intake need to be addressed.
PMID- 27880805
TI - Feasibility of Quantification of Intracranial Aneurysm Pulsation with 4D CTA with
Manual and Computer-Aided Post-Processing.
AB - BACKGROUND AND PURPOSE: The analysis of the pulsation of unruptured intracranial
aneurysms might improve the assessment of their stability and risk of rupture.
Pulsations can easily be concealed due to the small movements of the aneurysm
wall, making post-processing highly demanding. We hypothesized that the
quantification of aneurysm pulsation is technically feasible and can be improved
by computer-aided post-processing. MATERIALS AND METHODS: Images of 14 cerebral
aneurysms were acquired with an ECG-triggered 4D CTA. Aneurysms were post
processed manually and computer-aided on a 3D model. Volume curves and random
noise-curves were compared with the arterial pulse wave and volume curves were
compared between both post-processing modalities. RESULTS: The aneurysm volume
curves showed higher similarity with the pulse wave than the random curves
(Hausdorff-distances 0.12 vs 0.25, p<0.01). Both post-processing methods did not
differ in intra- (r = 0.45 vs r = 0.54, p>0.05) and inter-observer (r = 0.45 vs r
= 0.54, p>0.05) reliability. Time needed for segmentation was significantly
reduced in the computer-aided group (3.9 +/- 1.8 min vs 20.8 +/- 7.8 min,
p<0.01). CONCLUSION: Our results show pulsatile changes in a subset of the
studied aneurysms with the final prove of underlying volume changes remaining
unsettled. Semi-automatic post-processing significantly reduces post-processing
time but cannot yet replace manual segmentation.
PMID- 27880806
TI - Choroidal Round Hyporeflectivities in Geographic Atrophy.
AB - PURPOSE: In geographic atrophy (GA), choroidal vessels typically appear on
structural optical coherence tomography (OCT) as hyperreflective round areas with
highly reflective borders. We observed that some GA eyes show choroidal round
hyporeflectivities with highly reflective borders beneath the atrophy, and futher
investigated the charcteristcs by comparing structural OCT, indocyanine green
angiography (ICGA) and OCT angiography (OCT-A). METHODS: Round hyporeflectivities
were individuated from a pool of patients with GA secondary to non-neovascular
age-related macular degeneration consecutively presenting between October 2015
and March 2016 at the Medical Retina & Imaging Unit of the University Vita-Salute
San Raffaele. Patients underwent a complete ophthalmologic examination including
ICGA, structural OCT and OCT-A. The correspondence between choroidal round
hyporeflectivities beneath GA on structural OCT and ICGA and OCT-A imaging were
analyzed. RESULTS: Fifty eyes of 26 consecutive patients (17 females and 9 males;
mean age 76.8+/-6.2 years) with GA were included. Twenty-nine round
hyporeflectivities have been found by OCT in choroidal layers in 21 eyes of 21
patients (42.0%; estimated prevalence of 57.7%). All 29 round hyporeflectivities
showed constantly a hyperreflective border and a backscattering on structural
OCT, and appeared as hypofluorescent in late phase ICGA and as dark foci with non
detectable flow in the choroidal segmentation of OCT-A. Interestingly, the GA
area was greater in eyes with compared to eyes without round hyporeflectivities
(9.30+/-5.74 and 5.57+/-4.48mm2, respectively; p = 0.01). CONCLUSIONS: Our
results suggest that most round hyporeflectivities beneath GA may represent non
perfused or hypo-perfused choroidal vessels with non-detectable flow.
PMID- 27880803
TI - Wdr68 Mediates Dorsal and Ventral Patterning Events for Craniofacial Development.
AB - Birth defects are among the leading causes of infant mortality and contribute
substantially to illness and long-term disability. Defects in Bone Morphogenetic
Protein (BMP) signaling are associated with cleft lip/palate. Many craniofacial
syndromes are caused by defects in signaling pathways that pattern the cranial
neural crest cells (CNCCs) along the dorsal-ventral axis. For example,
auriculocondylar syndrome is caused by impaired Endothelin-1 (Edn1) signaling,
and Alagille syndrome is caused by defects in Jagged-Notch signaling. The BMP,
Edn1, and Jag1b pathways intersect because BMP signaling is required for ventral
edn1 expression that, in turn, restricts jag1b to dorsal CNCC territory. In
zebrafish, the scaffolding protein Wdr68 is required for edn1 expression and
subsequent formation of the ventral Meckel's cartilage as well as the dorsal
Palatoquadrate. Here we report that wdr68 activity is required between the 17
somites and prim-5 stages, that edn1 functions downstream of wdr68, and that
wdr68 activity restricts jag1b, hey1, and grem2 expression from ventral CNCC
territory. Expression of dlx1a and dlx2a was also severely reduced in anterior
dorsal and ventral 1st arch CNCC territory in wdr68 mutants. We also found that
the BMP agonist isoliquiritigenin (ISL) can partially rescue lower jaw formation
and edn1 expression in wdr68 mutants. However, we found no significant defects in
BMP reporter induction or pSmad1/5 accumulation in wdr68 mutant cells or
zebrafish. The Transforming Growth Factor Beta (TGF-beta) signaling pathway is
also known to be important for craniofacial development and can interfere with
BMP signaling. Here we further report that TGF-beta interference with BMP
signaling was greater in wdr68 mutant cells relative to control cells. To
determine whether interference might also act in vivo, we treated wdr68 mutant
zebrafish embryos with the TGF-beta signaling inhibitor SB431542 and found
partial rescue of edn1 expression and craniofacial development. While ISL
treatment failed, SB431542 partially rescued dlx2a expression in wdr68 mutants.
Together these findings reveal an indirect role for Wdr68 in the BMP-Edn1-Jag1b
signaling hierarchy and dorso-anterior expression of dlx1a/2a.
PMID- 27880807
TI - Human Genome-Wide RNAi Screen for Host Factors That Facilitate Salmonella
Invasion Reveals a Role for Potassium Secretion in Promoting Internalization.
AB - Salmonella enterica can actively invade the gastro-intestinal epithelium. This
frequently leads to diarrheal disease, and also gives the pathogen access to
phagocytes that can serve as vehicles for dissemination into deeper tissue. The
ability to invade host cells is also important in maintaining the carrier state.
While much is known about the bacterial factors that promote invasion, relatively
little is known about the host factors involved. To gain insight into how
Salmonella enterica serovar Typhimurium is able to invade normally non-phagocytic
cells, we undertook a global RNAi screen with S. Typhimurium-infected human
epithelial cells. In all, we identified 633 genes as contributing to bacterial
internalization. These genes fall into a diverse group of functional categories
revealing that cytoskeletal regulators are not the only factors that modulate
invasion. In fact, potassium ion transport was the most enriched molecular
function category in our screen, reinforcing a link between potassium and
internalization. In addition to providing new insights into the molecular
mechanisms underlying the ability of pathogens to invade host cells, all 633 host
factors identified are candidates for new anti-microbial targets for treating
Salmonella infections, and may be useful in curtailing infections with other
pathogens as well.
PMID- 27880808
TI - Natural Schistosoma mansoni Infection in the Wild Reservoir Nectomys squamipes
Leads to Excessive Lipid Droplet Accumulation in Hepatocytes in the Absence of
Liver Functional Impairment.
AB - Schistosomiasis is a neglected tropical disease of a significant public health
impact. The water rat Nectomys squamipes is one of the most important non-human
hosts in the schistosomiasis mansoni transmission in Brazil, being considered a
wild reservoir. Cellular mechanisms that contribute to the physiological
adaptation of this rodent to the Schistosoma mansoni parasite are poorly
understood. Here we identified, for the first time, that a hepatic steatosis, a
condition characterized by excessive lipid accumulation with formation of lipid
droplets (LDs) within hepatocytes, occurs in response to the natural S. mansoni
infection of N. squamipes, captured in an endemic region. Significant increases
of LD area in the hepatic tissue and LD numbers/hepatocyte, detected by
quantitative histopathological and ultrastructural analyses, were paralleled by
increased serum profile (total cholesterol and triglycerides) in infected
compared to uninfected animals. Raman spectroscopy showed high content of
polyunsaturated fatty acids (PUFAs) in the liver of both groups. MALDI-TOFF mass
spectroscopy revealed an amplified pool of omega-6 PUFA arachidonic acid in the
liver of infected animals. Assessment of liver functional activity by the levels
of hepatic transaminases (ALT and AST) did not detect any alteration during the
natural infection. In summary, this work demonstrates that the natural infection
of the wild reservoir N. squamipes with S. mansoni elicits hepatic steatosis in
the absence of liver functional harm and that accumulation of lipids, markedly
PUFAs, coexists with low occurrence of inflammatory granulomatous processes,
suggesting that lipid stores may be acting as a protective mechanism for dealing
with the infection.
PMID- 27880810
TI - A Global Model for Bankruptcy Prediction.
AB - The recent world financial crisis has increased the number of bankruptcies in
numerous countries and has resulted in a new area of research which responds to
the need to predict this phenomenon, not only at the level of individual
countries, but also at a global level, offering explanations of the common
characteristics shared by the affected companies. Nevertheless, few studies focus
on the prediction of bankruptcies globally. In order to compensate for this lack
of empirical literature, this study has used a methodological framework of
logistic regression to construct predictive bankruptcy models for Asia, Europe
and America, and other global models for the whole world. The objective is to
construct a global model with a high capacity for predicting bankruptcy in any
region of the world. The results obtained have allowed us to confirm the
superiority of the global model in comparison to regional models over periods of
up to three years prior to bankruptcy.
PMID- 27880809
TI - Molecular Analysis of Glucose-6-Phosphate Dehydrogenase Gene Mutations in
Bangladeshi Individuals.
AB - Glucose-6-phosphate dehydrogenase (G6PD) deficiency is a common X-linked human
enzyme defect of red blood cells (RBCs). Individuals with this gene defect appear
normal until exposed to oxidative stress which induces hemolysis. Consumption of
certain foods such as fava beans, legumes; infection with bacteria or virus; and
use of certain drugs such as primaquine, sulfa drugs etc. may result in lysis of
RBCs in G6PD deficient individuals. The genetic defect that causes G6PD
deficiency has been identified mostly as single base missense mutations. One
hundred and sixty G6PD gene mutations, which lead to amino acid substitutions,
have been described worldwide. The purpose of this study was to detect G6PD gene
mutations in hospital-based settings in the local population of Dhaka city,
Bangladesh. Qualitative fluorescent spot test and quantitative enzyme activity
measurement using RANDOX G6PDH kit were performed for analysis of blood specimens
and detection of G6PD-deficient participants. For G6PD-deficient samples, PCR was
done with six sets of primers specific for G6PD gene. Automated Sanger sequencing
of the PCR products was performed to identify the mutations in the gene. Based on
fluorescence spot test and quantitative enzyme assay followed by G6PD gene
sequencing, 12 specimens (11 males and one female) among 121 clinically suspected
patient-specimens were found to be deficient, suggesting a frequency of 9.9% G6PD
deficiency. Sequencing of the G6PD-deficient samples revealed c.C131G
substitution (exon-3: Ala44Gly) in six samples, c.G487A substitution (exon
6:Gly163Ser) in five samples and c.G949A substitution (exon-9: Glu317Lys) of
coding sequence in one sample. These mutations either affect NADP binding or
disrupt protein structure. From the study it appears that Ala44Gly and Gly163Ser
are the most common G6PD mutations in Dhaka, Bangladesh. This is the first study
of G6PD mutations in Bangladesh.
PMID- 27880812
TI - Quantifying Risk for Anxiety Disorders in Preschool Children: A Machine Learning
Approach.
AB - Early childhood anxiety disorders are common, impairing, and predictive of
anxiety and mood disorders later in childhood. Epidemiological studies over the
last decade find that the prevalence of impairing anxiety disorders in preschool
children ranges from 0.3% to 6.5%. Yet, less than 15% of young children with an
impairing anxiety disorder receive a mental health evaluation or treatment. One
possible reason for the low rate of care for anxious preschoolers is the lack of
affordable, timely, reliable and valid tools for identifying young children with
clinically significant anxiety. Diagnostic interviews assessing psychopathology
in young children require intensive training, take hours to administer and code,
and are not available for use outside of research settings. The Preschool Age
Psychiatric Assessment (PAPA) is a reliable and valid structured diagnostic
parent-report interview for assessing psychopathology, including anxiety
disorders, in 2 to 5 year old children. In this paper, we apply machine-learning
tools to already collected PAPA data from two large community studies to identify
sub-sets of PAPA items that could be developed into an efficient, reliable, and
valid screening tool to assess a young child's risk for an anxiety disorder.
Using machine learning, we were able to decrease by an order of magnitude the
number of items needed to identify a child who is at risk for an anxiety disorder
with an accuracy of over 96% for both generalized anxiety disorder (GAD) and
separation anxiety disorder (SAD). Additionally, rather than considering GAD or
SAD as discrete/binary entities, we present a continuous risk score representing
the child's risk of meeting criteria for GAD or SAD. Identification of a short
question-set that assesses risk for an anxiety disorder could be a first step
toward development and validation of a relatively short screening tool feasible
for use in pediatric clinics and daycare/preschool settings.
PMID- 27880813
TI - Transcranial Doppler-Based Surrogates for Cerebral Blood Flow: A Statistical
Study.
AB - It is commonly assumed that perfusion in a given cerebral territory can be
inferred from Blood Flow Velocity (BFV) measurements in the corresponding stem
artery. In order to test this hypothesis, we construct a cerebral blood flow
(CBF) estimator based on transcranial Doppler (TCD) blood flow velocity and ten
other easily available patient characteristics and clinical parameters. A total
of 261 measurements were collected from 88 older patients. The estimator is based
on local regression (Random Forest). Its performance is analyzed against baseline
CBF from 3-D pseudocontinuous arterial spin labeling (pCASL) magnetic resonance
imaging (MRI). Patient specific CBF predictions are of poor quality (r = 0.41 and
p-value = 4.5 * 10-12); the hypothesis is thus not clearly supported by evidence.
PMID- 27880814
TI - Health Care Professionals' Understandings of Cross-Cultural Interaction in End-of
Life Care: A Focus Group Study.
AB - OBJECTIVE: The academic debate on cross-cultural interaction within the context
of end-of-life care takes for granted that this interaction is challenging.
However, few empirical studies have actually focused on what health care
professionals think about this interaction. This study aimed to explore health
care professionals' understandings of cross-cultural interaction during end-of
life care. METHODS: Sixty end-of-life care professionals were recruited from
eleven care units in Sweden to take part in focus group interviews. These
interviews were analyzed using qualitative content analysis. RESULTS: The health
care professionals interviewed talked about cross-cultural interaction in end-of
life care as interaction that brings about uncertainty, stress and frustration
even though they had limited experience of this type of interaction. The focus
group discussions brought attention to four specific challenges that they
expected to meet when they care for patients with migrant backgrounds since they
took for granted that they would have an ethno-cultural background that is
different to their own. These challenges had to do with communication barriers,
'unusual' emotional and pain expressions, the expectation that these patients'
families would be 'different' and the anticipation that these patients and their
families lack knowledge. At the core of the challenges in question is the idea
that cross-cultural interaction means meeting "the unknown". In addition, the end
of-life care professionals interviewed talked about patients whose backgrounds
they did not share in homogenizing terms. It is against this backdrop that they
worried about their ability to provide end-of-life care that is individualized
enough to meet the needs of these patients. CONCLUSIONS: The study suggests that
end-of-life care professionals who regard cross-cultural interaction in this
manner could face actual challenges when caring for patients whose backgrounds
they regard as "the unknown" since they anticipate a variety of challenges and do
not seem confident enough that they can provide good quality care when cross
cultural interaction is at stake.
PMID- 27880811
TI - Oral Ondansetron versus Domperidone for Acute Gastroenteritis in Pediatric
Emergency Departments: Multicenter Double Blind Randomized Controlled Trial.
AB - The use of antiemetics for vomiting in acute gastroenteritis in children is still
a matter of debate. We conducted a double-blind randomized trial to evaluate
whether a single oral dose of ondansetron vs domperidone or placebo improves
outcomes in children with gastroenteritis. After failure of initial oral
rehydration administration, children aged 1-6 years admitted for gastroenteritis
to the pediatric emergency departments of 15 hospitals in Italy were randomized
to receive one oral dose of ondansetron (0.15 mg/kg) or domperidone (0.5 mg/kg)
or placebo. The primary outcome was the percentage of children receiving
nasogastric or intravenous rehydration. A p value of 0.014 was used to indicate
statistical significance (and 98.6% CI were calculated) as a result of having
carried out two interim analyses. 1,313 children were eligible for the first
attempt with oral rehydration solution, which was successful for 832 (63.4%); 356
underwent randomization (the parents of 125 children did not give consent): 118
to placebo, 119 to domperidone, and 119 to ondansetron. Fourteen (11.8%) needed
intravenous rehydration in the ondansetron group vs 30 (25.2%) and 34 (28.8%) in
the domperidone and placebo groups, respectively. Ondansetron reduced the risk of
intravenous rehydration by over 50%, both vs placebo (RR 0.41, 98.6% CI 0.20
0.83) and domperidone (RR 0.47, 98.6% CI 0.23-0.97). No differences for adverse
events were seen among groups. In a context of emergency care, 6 out of 10
children aged 1-6 years with vomiting due to gastroenteritis and without severe
dehydration can be managed effectively with administration of oral rehydration
solution alone. In children who fail oral rehydration, a single oral dose of
ondansetron reduces the need for intravenous rehydration and the percentage of
children who continue to vomit, thereby facilitating the success of oral
rehydration. Domperidone was not effective for the symptomatic treatment of
vomiting during acute gastroenteritis.
PMID- 27880815
TI - Validation of a Bayesian Adaptive Estimation Technique in the Stop-Signal Task.
AB - The Stop Signal Task (SST), a commonly used measure of response inhibition, uses
standard psychophysical methods to gain an estimate of the time needed to
withhold a prepotent response. Under some circumstances, conventional forms of
the SST are impractical to use because of the large number of trials necessary to
gain a reliable estimate of the speed of inhibition. Here we applied to the SST
an adaptive method for estimating psychometric parameters that can find reliable
threshold estimates over a relatively small number of trials. The Psi adaptive
staircase, which uses a Bayesian algorithm to find the most likely parameters of
a psychophysical function, was used to estimate the critical stop signal delay at
which the probability of successful response inhibition equals 0.5. Using
computational modeling and adult participants, estimates of stop signal reaction
time (SSRT) based on the Psi staircase were compared to estimates using the
method of constant stimuli and a standard staircase method of adjustment. Results
demonstrate that a reliable estimate of SSRT can be gained very quickly (20-30
stop trials), making the method very useful for testing populations that cannot
maintain concentration for long periods or for rapidly obtaining multiple SSRT
estimates from healthy adult participants.
PMID- 27880816
TI - Paradoxical Sleep Deprivation Causes Cardiac Dysfunction and the Impairment Is
Attenuated by Resistance Training.
AB - BACKGROUND: Paradoxical sleep deprivation activates the sympathetic nervous
system and the hypothalamus-pituitary-adrenal axis, subsequently interfering with
the cardiovascular system. The beneficial effects of resistance training are
related to hemodynamic, metabolic and hormonal homeostasis. We hypothesized that
resistance training can prevent the cardiac remodeling and dysfunction caused by
paradoxical sleep deprivation. METHODS: Male Wistar rats were distributed into
four groups: control (C), resistance training (RT), paradoxical sleep deprivation
for 96 hours (PSD96) and both resistance training and sleep deprivation
(RT/PSD96). Doppler echocardiograms, hemodynamics measurements, cardiac
histomorphometry, hormonal profile and molecular analysis were evaluated.
RESULTS: Compared to the C group, PSD96 group had a higher left ventricular
systolic pressure, heart rate and left atrium index. In contrast, the left
ventricle systolic area and the left ventricle cavity diameter were reduced in
the PSD96 group. Hypertrophy and fibrosis were also observed. Along with these
alterations, reduced levels of serum testosterone and insulin-like growth factor
1 (IGF-1), as well as increased corticosterone and angiotensin II, were observed
in the PSD96 group. Prophylactic resistance training attenuated most of these
changes, except angiotensin II, fibrosis, heart rate and concentric remodeling of
left ventricle, confirmed by the increased of NFATc3 and GATA-4, proteins
involved in the pathologic cardiac hypertrophy pathway. CONCLUSIONS: Resistance
training effectively attenuates cardiac dysfunction and hormonal imbalance
induced by paradoxical sleep deprivation.
PMID- 27880817
TI - Melissa officinalis Protects against Doxorubicin-Induced Cardiotoxicity in Rats
and Potentiates Its Anticancer Activity on MCF-7 Cells.
AB - Cardiotoxicity is a limiting factor of doxorubicin (DOX)-based anticancer
therapy. Due to its beneficial effects, we investigated whether standardized
extract of Melissa officinalis (MO) can attenuate doxorubicin-induced
cardiotoxicity and can potentiate the efficacy of DOX against human breast cancer
cells. MO was administered orally to male albino rats once daily for 10
consecutive days at doses of 250, 500 and 750 mg/kg b.wt. DOX (15 mg/kg b.wt.
i.p.) was administered on the 8th day. MO protected against DOX-induced leakage
of cardiac enzymes and histopathological changes. MO ameliorated DOX-induced
oxidative stress as evidenced by decreasing lipid peroxidation, protein oxidation
and total oxidant capacity depletion and by increasing antioxidant capacity.
Additionally, MO pretreatment inhibited inflammatory responses to DOX by
decreasing the expressions of nuclear factor kappa-B, tumor necrosis factor-alpha
and cyclooxygenase-2 and the activity of myeloperoxidase. MO ameliorated DOX
induced apoptotic tissue damage in heart of rats. In vitro study showed that MO
augmented the anticancer efficacy of DOX in human breast cancer cells (MCF-7) and
potentiated oxidative damage and apoptosis. Thus, combination of DOX and MO may
prove future cancer treatment protocols safer and more efficient.
PMID- 27880818
TI - Merkel Cell Polyomavirus Small T Antigen Promotes Pro-Glycolytic Metabolic
Perturbations Required for Transformation.
AB - Merkel cell polyomavirus (MCPyV) is an etiological agent of Merkel cell carcinoma
(MCC), a highly aggressive skin cancer. The MCPyV small tumor antigen (ST) is
required for maintenance of MCC and can transform normal cells. To gain insight
into cellular perturbations induced by MCPyV ST, we performed transcriptome
analysis of normal human fibroblasts with inducible expression of ST. MCPyV ST
dynamically alters the cellular transcriptome with increased levels of glycolytic
genes, including the monocarboxylate lactate transporter SLC16A1 (MCT1).
Extracellular flux analysis revealed increased lactate export reflecting elevated
aerobic glycolysis in ST expressing cells. Inhibition of MCT1 activity suppressed
the growth of MCC cell lines and impaired MCPyV-dependent transformation of IMR90
cells. Both NF-kappaB and MYC have been shown to regulate MCT1 expression. While
MYC was required for MCT1 induction, MCPyV-induced MCT1 levels decreased
following knockdown of the NF-kappaB subunit RelA, supporting a synergistic
activity between MCPyV and MYC in regulating MCT1 levels. Several MCC lines had
high levels of MYCL and MYCN but not MYC. Increased levels of MYCL was more
effective than MYC or MYCN in increasing extracellular acidification in MCC
cells. Our results demonstrate the effects of MCPyV ST on the cellular
transcriptome and reveal that transformation is dependent, at least in part, on
elevated aerobic glycolysis.
PMID- 27880819
TI - Risk Assessment of Patients Undergoing Transfemoral Aortic Valve Implantation
upon Admission for Post-Interventional Intensive Care and Surveillance:
Implications on Short- and Midterm Outcomes.
AB - BACKGROUND: Several studies have found that standard risk scores inaccurately
reflect risk in TAVI cohorts. The assessment of mortality risk upon post
interventional ICU admission is important to optimizing clinical management. This
study sought to determine outcomes and factors affecting mortality in patients
admitted to the intensive care unit (ICU) after transcatheter aortic valve
implantation (TAVI), and to analyze and compare the predictive values of SAPS II
and EuroSCORE. METHODS AND FINDINGS: 214 consecutive patients treated with
transfemoral TAVI (2006-2012) admitted to the ICU in an academic tertiary-care
university hospital, were included in this retrospective data analysis. The
overall 30-day mortality rate was 7%. Non-survivors at 30-days and survivors
showed differences in the rates of catecholamine therapy upon ICU admission (93
vs. 29%; p<0.001), stroke (20 vs. 1%;p<0.001), sepsis (27 vs. 2%;p<0.001), kidney
injury (83 vs. 56%; log-rank p<0.001), catecholamine therapy (88 vs. 61%;log-rank
p<0.001) and vascular complications (60 vs. 17%; p<0.001). Mean SAPS II score and
predicted mortality were higher in non-survivors (38.1+/-7.0 vs. 29.9+/
6.2;p<0.001 and 23.1+/-11.7 vs. 10.5+/-8.2;p<0.001, retrospectively), whereas the
logistic EuroSCORE could not discriminate between the groups (p = 0.555). Among
the biochemical parameters, the maximum values of creatinine, procalcitonin, and
troponin I during the first 48 h after ICU admission were significantly higher in
non-survivors. Multivariate analysis of baseline characteristics and
complications associated with two-year mortality showed no significant results.
CONCLUSIONS: The SAPS II is a good tool for estimating ICU mortality immediately
after performing the TAVI procedure and provides valuable information for other
known predictors of mortality.
PMID- 27880820
TI - From Lab to Lake - Evaluation of Current Molecular Methods for the Detection of
Infectious Enteric Viruses in Complex Water Matrices in an Urban Area.
AB - Quantitative PCR methods are commonly used to monitor enteric viruses in the
aquatic environment because of their high sensitivity, short reaction times and
relatively low operational cost. However, conclusions for public health drawn
from results of such molecular techniques are limited due to their inability to
determine viral infectivity. Ethidium monoazide (EMA) and propidium monoazide
(PMA) are capable to penetrate the damaged or compromised capsid of the
inactivated viruses and bind to the viral nucleic acids. We assessed whether dye
treatment is a suitable approach to improve the ability of qPCR to distinguish
between infectious and non-infectious human adenovirus, enterovirus and rotavirus
A in surface water of an urban river and sewage before and after UV disinfection.
Like the gold standard of cell culture assays, pretreatment EMA-/PMA-qPCR
succeeded in removing false positive results which would lead to an
overestimation of the viral load if only qPCR of the environmental samples was
considered. A dye pretreatment could therefore provide a rapid and relatively
inexpensive tool to improve the efficacy of molecular quantification methods in
regards to viral infectivity.
PMID- 27880821
TI - Inhibition of the TGFbeta Pathway Enhances Retinal Regeneration in Adult
Zebrafish.
AB - In contrast to the mammalian retina, the zebrafish retina exhibits the potential
for lifelong retinal neurogenesis and regeneration even after severe damage.
Previous studies have shown that the transforming growth factor beta (TGFbeta)
signaling pathway is activated during the regeneration of different tissues in
the zebrafish and is needed for regeneration in the heart and the fin. In this
study, we have investigated the role of the TGFbeta pathway in the N-methyl-N
nitrosourea (MNU)-induced chemical model of rod photoreceptor de- and
regeneration in adult zebrafish. Immunohistochemical staining for phosphorylated
Smad3 was elevated during retinal regeneration, and phosphorylated Smad3 co
localized with proliferating cell nuclear antigen and glutamine synthetase,
indicating TGFbeta pathway activation in proliferating Muller glia. Inhibiting
the TGFbeta signaling pathway using a small molecule inhibitor (SB431542)
resulted in accelerated recovery from retinal degeneration. Accordingly, we
observed increased cell proliferation in the outer nuclear layer at days 3 to 8
after MNU treatment. In contrast to the observations in the heart and the fin,
the inhibition of the TGFbeta signaling pathway resulted in increased
proliferation after the induction of retinal degeneration. A better understanding
of the underlying pathways with the possibility to boost retinal regeneration in
adult zebrafish may potentially help to stimulate such proliferation also in
other species.
PMID- 27880823
TI - Will Gay Sex-Seeking Mobile Phone Applications Facilitate Group Sex? A Cross
Sectional Online Survey among Men Who Have Sex with Men in China.
AB - INTRODUCTION: China is amidst a sexual revolution, with changing sexual practices
and behaviors. Sex-seeking mobile phone applications (gay apps) that allow
multiple people to meet up quickly may facilitate group sex. This study was
therefore undertaken to evaluate group sex among Chinese MSM and to better
understand factors associated with group sex. METHODS: An online survey was
conducted from September-October 2014, collecting data on socio-demographics,
sexual behaviors, use of gay apps and occurrence of group sex among Chinese MSM.
Univariate and multivariable logistic regressions were used to compare group sex
and non-group sex participants. RESULTS: Of the 1,424 MSM, the majority were
under 30 years old (77.5%), unmarried (83.9%), and were gay apps users (57.9%).
Overall, 141 (9.9%) participants engaged in group sex in the last 12 months.
Multivariate analyses showed that men living with HIV, engaged in condomless anal
intercourse with men, and used gay apps were more likely to engage in group sex,
with adjusted ORs of 3.74 (95% CI 1.92-7.28), 2.88 (95% CI 2.00-4.16) and 1.46
(95% CI: 1.00-2.13), respectively. Among gay app users, the likelihood of group
sex increases with the number of sex partners and the number of sex acts with
partners met through a gay app. CONCLUSIONS: Chinese MSM who engage in group sex
are also more likely to engage in other risky sexual behaviors, and gay app use
may facilitate group sex. Further research is needed among MSM who engage in
group sex in order to target interventions and surveillance.
PMID- 27880822
TI - Isolation of a Defective Prion Mutant from Natural Scrapie.
AB - It is widely known that prion strains can mutate in response to modification of
the replication environment and we have recently reported that prion mutations
can occur in vitro during amplification of vole-adapted prions by Protein
Misfolding Cyclic Amplification on bank vole substrate (bvPMCA). Here we
exploited the high efficiency of prion replication by bvPMCA to study the in
vitro propagation of natural scrapie isolates. Although in vitro vole-adapted
PrPSc conformers were usually similar to the sheep counterpart, we repeatedly
isolated a PrPSc mutant exclusively when starting from extremely diluted seeds of
a single sheep isolate. The mutant and faithful PrPSc conformers showed to be
efficiently autocatalytic in vitro and were characterized by different PrP
protease resistant cores, spanning aa ~155-231 and ~80-231 respectively, and by
different conformational stabilities. The two conformers could thus be seen as
different bona fide PrPSc types, putatively accounting for prion populations with
different biological properties. Indeed, once inoculated in bank vole the
faithful conformer was competent for in vivo replication while the mutant was
unable to infect voles, de facto behaving like a defective prion mutant. Overall,
our findings confirm that prions can adapt and evolve in the new replication
environments and that the starting population size can affect their evolutionary
landscape, at least in vitro. Furthermore, we report the first example of
"authentic" defective prion mutant, composed of brain-derived PrPC and
originating from a natural scrapie isolate. Our results clearly indicate that the
defective mutant lacks of some structural characteristics, that presumably
involve the central region ~90-155, critical for infectivity but not for in vitro
replication. Finally, we propose a molecular mechanism able to account for the
discordant in vitro and in vivo behavior, suggesting possible new paths for
investigating the molecular bases of prion infectivity.
PMID- 27880825
TI - Can Simple Transmission Chains Foster Collective Intelligence in Binary-Choice
Tasks?
AB - In many social systems, groups of individuals can find remarkably efficient
solutions to complex cognitive problems, sometimes even outperforming a single
expert. The success of the group, however, crucially depends on how the judgments
of the group members are aggregated to produce the collective answer. A large
variety of such aggregation methods have been described in the literature, such
as averaging the independent judgments, relying on the majority or setting up a
group discussion. In the present work, we introduce a novel approach for
aggregating judgments-the transmission chain-which has not yet been consistently
evaluated in the context of collective intelligence. In a transmission chain, all
group members have access to a unique collective solution and can improve it
sequentially. Over repeated improvements, the collective solution that emerges
reflects the judgments of every group members. We address the question of whether
such a transmission chain can foster collective intelligence for binary-choice
problems. In a series of numerical simulations, we explore the impact of various
factors on the performance of the transmission chain, such as the group size, the
model parameters, and the structure of the population. The performance of this
method is compared to those of the majority rule and the confidence-weighted
majority. Finally, we rely on two existing datasets of individuals performing a
series of binary decisions to evaluate the expected performances of the three
methods empirically. We find that the parameter space where the transmission
chain has the best performance rarely appears in real datasets. We conclude that
the transmission chain is best suited for other types of problems, such as those
that have cumulative properties.
PMID- 27880827
TI - Correction: E2F1 and TFDP1 Regulate PITX1 Expression in Normal and Osteoarthritic
Articular Chondrocytes.
AB - [This corrects the article DOI: 10.1371/journal.pone.0165951.].
PMID- 27880824
TI - Anti-Invasive and Anti-Proliferative Synergism between Docetaxel and a
Polynuclear Pd-Spermine Agent.
AB - The present work is aimed at evaluating the antitumour properties of a Pd(II)
dinuclear complex with the biogenic polyamine spermine, by investigating: i) the
anti-angiogenic and anti-migration properties of a Pd(II) dinuclear complex with
spermine (Pd2Spm); ii) the anti-proliferative activity of Pd2Spm against a triple
negative human breast carcinoma (MDA-MB-231); and finally iii) the putative
interaction mediated by combination of Pd2Spm with Docetaxel. Anti-invasive (anti
angiogenic and anti-migratory) as well as anti-proliferative capacities were
assessed, for different combination schemes and drug exposure times, using the
CAM assay and VEGFR2 activity measurement, the MatrigelTM method and the SRB
proliferation test. The results thus obtained evidence the ability of Pd2Spm to
restrict angiogenesis and cell migration: Pd2Spm induced a marked inhibition of
migration (43.8+/-12.2%), and a higher inhibition of angiogenesis (81.8+/-4.4%
for total length values, at 4 MUM) as compared to DTX at the clinical dosage 4x10
2 MUM (26.4+/-14.4%; n = 4 to 11). Combination of Pd2Spm/DTX was more effective
as anti-invasive and anti-proliferative than DTX or Pd2Spm in sole
administration, which is compatible with the occurrence of synergism: for the
anti-angiogenic effect, IC50(Pd2Spm/DTX) = 0.5/0.5x10-2 MUM vs IC50(DTX) = 1.7x10
2 MUM and IC50(Pd2Spm) = 1.6 MUM. In conclusion, the reported effects of Pd2Spm
on angiogenesis, migration and proliferation showed that this compound is a
promising therapeutic agent against this type of breast cancer. Moreover,
combined administration of Pd2Spm and DTX was found to trigger a substantial
synergetic effect regarding angiogenesis inhibition as well as anti-migratory and
anti-proliferative activities reinforcing the putative use of Pd(II) complexes in
chemotherapeutic regimens. This is a significant outcome, aiming at the
application of these combined strategies towards metastatic breast cancer (or
other type of resistant cancers), justifying further studies that include pre
clinical trials.
PMID- 27880826
TI - Social Monitoring Matters for Deterring Social Deviance in Stable but Not Mobile
Socio-Ecological Contexts.
AB - Previous research suggests that reputational concerns can incentivize cooperation
and deter socially deviant behavior. The current research showed that social
monitoring of information that has the potential to damage one's reputation has
differential effects on deviant behavior in social-ecological environments that
vary in level of mobility. Study 1 showed that residentially stable cities that
employed more journalists-who can be regarded as social monitoring agents in a
community-tended to have lower rates of violent crime than residentially stable
cities that employed fewer journalists; by contrast, in residentially mobile
cities, violent crime rates did not vary as a function of the number of
journalists employed. In Study 2, we found that individual differences in
perceptions of relational mobility moderated the effects of social monitoring on
cheating in a die-under-cup game. Specifically, social monitoring cues reduced
the likelihood of cheating but only among participants who perceived their
immediate social environment to be low in relational mobility. The same results
were replicated in Study 3, an experiment in which participants' perception of
relational mobility was manipulated before completing an online maze game that
allowed them to earn extra cash. In the low mobility condition, the percentage of
participants who continued working on the mazes after reaching the time limit
decreased as a function of social monitoring; however, this pattern was not
observed in the high mobility condition. Together, our findings suggest that
socioecological context matters for understanding effective mechanisms of social
control.
PMID- 27880828
TI - Metabolic Health in Relation to Body Size: Changes in Prevalence over Time
between 1997-99 and 2008-11 in Germany.
AB - OBJECTIVE: The study examined potential changes in the proportion of metabolic
health according to body size categories over time and across strata of sex and
age, varying definitions of metabolic health. METHODS: We analysed data from
national health interview and examination surveys 1997-99 and 2008-11 for adults
aged 18-79 years (GNHIES98: N = 6,565; DEGS1: 6,860). Metabolic health as defined
by ATPIII criteria was examined across body mass index categories. The Plourde
and Karelis criteria were applied in relation to abdominal obesity. RESULTS:
Proportions of adults with metabolic health by body size categories were largely
stable over time, except for an increasing proportion of metabolically healthy
persons with pre-obesity and metabolically healthy women without abdominal
obesity. In both surveys proportions of adults meeting ATPIII criteria ranged
from approximately 30% among men and women with obesity, to about two thirds of
those with pre-obesity to about 93% among those with normal weight. According to
Plourde and Karelis criteria proportions ranged from almost 30% among men and
women without abdominal obesity to less than 10% among those with abdominal
obesity. Proportions were consistently higher among younger than older age groups
and less consistently higher among women than men. CONCLUSIONS: Proportions of
adults with metabolic health by body size categories were largely stable over
time, except for an increasing proportion of metabolically healthy women without
abdominal obesity. There is no evidence that metabolic health among adults with
obesity increased in Germany over a period of ten years.
PMID- 27880829
TI - Interleukin-15 (IL-15) Strongly Correlates with Increasing HIV-1 Viremia and
Markers of Inflammation.
AB - OBJECTIVE: IL-15 has been postulated to play an important role in HIV-1
infection, yet there are conflicting reports regarding its expression levels in
these patients. We sought to measure the level of IL-15 in a large, well
characterised cohort of HIV-1 infected patients and correlate this with well
known markers of inflammation, including CRP, D-dimer, sCD163 and sCD14. DESIGN
AND METHODS: IL-15 levels were measured in 501 people (460 patients with HIV-1
infection and 41 uninfected controls). The HIV-1 infected patients were divided
into 4 groups based on viral load: <50 copies/ml, 51-10,000 copies/ml, 10,001
100,000 copies/ml and >100,000 copies/ml. The Mann Whitney test (non-parametric)
was used to identify significant relationships between different patient groups.
RESULTS: IL-15 levels were significantly higher in patients with viral loads
>100,000 copies/ml (3.02 +/- 1.53 pg/ml) compared to both uninfected controls
(1.69 +/- 0.37 pg/ml, p<0.001) or patients with a viral load <50 copies/ml (1.59
+/- 0.40 pg/ml (p<0.001). There was a significant correlation between HIV-1
viremia and IL-15 levels (Spearman r = 0.54, p<0.001) and between CD4+ T cell
counts and IL-15 levels (Spearman r = -0.56, p<0.001). CONCLUSIONS: IL-15 levels
are significantly elevated in HIV-1 infected patients with viral loads >100,000
copies/ml compared to uninfected controls, with a significant direct correlation
noted between IL-15 and HIV-1 viremia and an inverse correlation between IL-15
levels and CD4+ T cell counts. These data support a potential role for IL-15 in
the pathogenesis of HIV-associated immune activation.
PMID- 27880830
TI - Synonymous Co-Variation across the E1/E2 Gene Junction of Hepatitis C Virus
Defines Virion Fitness.
AB - Hepatitis C virus is a positive-sense single-stranded RNA virus. The gene
junction partitioning the viral glycoproteins E1 and E2 displays concurrent
sequence evolution with the 3'-end of E1 highly conserved and the 5'-end of E2
highly heterogeneous. This gene junction is also believed to contain structured
RNA elements, with a growing body of evidence suggesting that such structures can
act as an additional level of viral replication and transcriptional control. We
have previously used ultradeep pyrosequencing to analyze an amplicon library
spanning the E1/E2 gene junction from a treatment naive patient where samples
were collected over 10 years of chronic HCV infection. During this timeframe
maintenance of an in-frame insertion, recombination and humoral immune targeting
of discrete virus sub-populations was reported. In the current study, we present
evidence of epistatic evolution across the E1/E2 gene junction and observe the
development of co-varying networks of codons set against a background of a
complex virome with periodic shifts in population dominance. Overtime, the number
of codons actively mutating decreases for all virus groupings. We identify strong
synonymous co-variation between codon sites in a group of sequences harbouring a
3 bp in-frame insertion and propose that synonymous mutation acts to stabilize
the RNA structural backbone.
PMID- 27880831
TI - Assessment of Homonymous Recurrent Inhibition during Voluntary Contraction by
Conditioning Nerve Stimulation.
AB - In humans, the amount of spinal homonymous recurrent inhibition during voluntary
contraction is usually assessed by using a peripheral nerve stimulation paradigm.
This method consists of conditioning the maximal M-wave (SM stimulus) with prior
reflex stimulation (S1), with 10 ms inter-stimulus interval (ISI). The decrease
observed between unconditioned (S1 only) and conditioned (S1+SM) reflex size is
then attributed to recurrent inhibition. However, during a voluntary contraction,
a superimposed SM stimulation leads to a maximal M-wave followed by a voluntary
(V) wave at similar latency than the H-reflex. This wave can therefore interfere
with the conditioned H-reflex when two different stimulation intensities are used
(S1 and SM), leading to misinterpretation of the data. The aim of the present
study was to assess if conditioning V-wave response instead of H-reflex, by
applying SM for both stimuli (test and conditioning), can be used as an index of
recurrent inhibition. Conditioned and unconditioned responses of soleus and
medial gastrocnemius muscles were recorded in twelve subjects at 25% and at 50%
of maximal voluntary contraction at the usual ISI of 10 ms and an optimal inter
stimulus of 15 ms determined upon M- and V-wave latencies. Conditioned H-reflex
(obtained with S1+SM paradigm) was significantly lower than the unconditioned by
~30% on average, meaning that the amount of inhibition was 70%. This amount of
recurrent inhibition was significantly lower at higher force level with both
methods. Regardless of the level of force or the conditioning ISI, results
obtained with V-wave conditioning (SM+SM) were similar at both force levels,
linearly correlated and proportional to those obtained with H conditioning. Then,
V-wave conditioning appears to be a reliable index of homonymous recurrent
inhibition during voluntary contraction.
PMID- 27880832
TI - Chronic Low-Calorie Sweetener Use and Risk of Abdominal Obesity among Older
Adults: A Cohort Study.
AB - INTRODUCTION: Low-calorie sweetener use for weight control has come under
increasing scrutiny as obesity, especially abdominal obesity, remain entrenched
despite substantial low-calorie sweetener use. We evaluated whether chronic low
calorie sweetener use is a risk factor for abdominal obesity. PARTICIPANTS AND
METHODS: We used 8268 anthropometric measurements and 3096 food diary records
with detailed information on low-calorie sweetener consumption in all food
products, from 1454 participants (741 men, 713 women) in the Baltimore
Longitudinal Study of Aging collected from 1984 to 2012 with median follow-up of
10 years (range: 0-28 years). At baseline, 785 were low-calorie sweetener non
users (51.7% men) and 669 participants were low-calorie sweetener users (50.1%
men). Time-varying low-calorie sweetener use was operationalized as the
proportion of visits since baseline at which low-calorie sweetener use was
reported. We used marginal structural models to determine the association between
baseline and time-varying low-calorie sweetener use with longitudinal outcomes
body mass index, waist circumference, obesity and abdominal obesity-with outcome
status assessed at the visit following low-calorie sweetener ascertainment to
minimize the potential for reverse causality. All models were adjusted for year
of visit, age, sex, age by sex interaction, race, current smoking status, dietary
intake (caffeine, fructose, protein, carbohydrate, and fat), physical activity,
diabetes status, and Dietary Approaches to Stop Hypertension score as
confounders. RESULTS: With median follow-up of 10 years, low-calorie sweetener
users had 0.80 kg/m2 higher body mass index (95% confidence interval [CI], 0.17
1.44), 2.6 cm larger waist circumference (95% CI, 0.71-4.39), 36.7% higher
prevalence (prevalence ratio = 1.37; 95% CI, 1.10-1.69) and 53% higher incidence
(hazard ratio = 1.53; 95% CI 1.10-2.12) of abdominal obesity than low-calorie
sweetener non-users. CONCLUSIONS: Low-calorie sweetener use is independently
associated with heavier relative weight, a larger waist, and a higher prevalence
and incidence of abdominal obesity suggesting that low-calorie sweetener use may
not be an effective means of weight control.
PMID- 27880833
TI - Prioritizing Risk in Preparation for a Demonstration Project: A Mixed Methods
Feasibility Study of Oral Pre-Exposure Prophylaxis (PREP) among Female Sex
Workers in South India.
AB - BACKGROUND: HIV prevalence among female sex workers (FSWs) in India remains well
above the national average. Pre-exposure prophylaxis (PrEP), a new HIV prevention
technology, may help to reduce HIV incidence, but there is a dearth of research
that can inform the potential scale-up of PrEP in India. In partnership with
Ashodaya Samithi, a local sex worker collective, we conducted a feasibility study
to assess acceptance of a planned PrEP demonstration project, willingness to use
PrEP, and recommendations for project roll-out among FSWs in southern Karnataka.
METHODS: From January-April 2015, 6 focus group discussions, 47 in-depth
interviews, and 427 interviewer-administered questionnaires were completed by
female sex workers. All participants were 18 years of age or older and practiced
sex work. Qualitative data were coded for key themes and emergent categories.
Univariate descriptive analysis was employed to summarise the quantitative data.
RESULTS: Qualitative. PrEP was described as an exciting new prevention technology
that places control in the hands of FSWs and provides a "double safety" in
combination with condom use. Participants expressed agreement that women who may
experience more HIV risk in their occupational environments should be prioritized
for enrollment into a demonstration project. Quantitative. 406 participants (95%)
expressed interest in PrEP. Participants prioritized the inclusion of FSWs under
the age of 25 (79%), those who do not use condoms when clients offer more money
(58%), who do not consistently use condoms with regular partners (57%), who drink
alcohol regularly (49%), and who do not use condoms consistently with clients
(48%). DISCUSSION: This feasibility study indicated strong interest in PrEP and a
desire to move forward with the demonstration project. Participants expressed
their responses in terms of public health discourses surrounding risk, pointing
to the importance of situating PrEP scale up within the trusted spaces of
community-based organizations as a means of supporting PrEP uptake and adherence.
PMID- 27880834
TI - Overexpressed Proteins in Hypervirulent Clade 8 and Clade 6 Strains of
Escherichia coli O157:H7 Compared to E. coli O157:H7 EDL933 Clade 3 Strain.
AB - Escherichia coli O157:H7 is responsible for severe diarrhea and hemolytic uremic
syndrome (HUS), and predominantly affects children under 5 years. The major
virulence traits are Shiga toxins, necessary to develop HUS and the Type III
Secretion System (T3SS) through which bacteria translocate effector proteins
directly into the host cell. By SNPs typing, E. coli O157:H7 was separated into
nine different clades. Clade 8 and clade 6 strains were more frequently
associated with severe disease and HUS. In this study, we aimed to identify
differentially expressed proteins in two strains of E. coli O157:H7 (clade 8 and
clade 6), obtained from cattle and compared them with the well characterized
reference EDL933 strain (clade 3). Clade 8 and clade 6 strains show enhanced
pathogenicity in a mouse model and virulence-related properties. Proteins were
extracted and analyzed using the TMT-6plex labeling strategy associated with two
dimensional liquid chromatography and mass spectrometry in tandem. We detected
2241 proteins in the cell extract and 1787 proteins in the culture supernatants.
Attention was focused on the proteins related to virulence, overexpressed in
clade 6 and 8 strains compared to EDL933 strain. The proteins relevant
overexpressed in clade 8 strain were the curli protein CsgC, a transcriptional
activator (PchE), phage proteins, Stx2, FlgM and FlgD, a dienelactone hydrolase,
CheW and CheY, and the SPATE protease EspP. For clade 6 strain, a high
overexpression of phage proteins was detected, mostly from Stx2 encoding phage,
including Stx2, flagellin and the protease TagA, EDL933_p0016, dienelactone
hydrolase, and Haemolysin A, amongst others with unknown function. Some of these
proteins were analyzed by RT-qPCR to corroborate the proteomic data. Clade 6 and
clade 8 strains showed enhanced transcription of 10 out of 12 genes compared to
EDL933. These results may provide new insights in E. coli O157:H7 mechanisms of
pathogenesis.
PMID- 27880836
TI - Towards the Automatic Classification of Avian Flight Calls for Bioacoustic
Monitoring.
AB - Automatic classification of animal vocalizations has great potential to enhance
the monitoring of species movements and behaviors. This is particularly true for
monitoring nocturnal bird migration, where automated classification of migrants'
flight calls could yield new biological insights and conservation applications
for birds that vocalize during migration. In this paper we investigate the
automatic classification of bird species from flight calls, and in particular the
relationship between two different problem formulations commonly found in the
literature: classifying a short clip containing one of a fixed set of known
species (N-class problem) and the continuous monitoring problem, the latter of
which is relevant to migration monitoring. We implemented a state-of-the-art
audio classification model based on unsupervised feature learning and evaluated
it on three novel datasets, one for studying the N-class problem including over
5000 flight calls from 43 different species, and two realistic datasets for
studying the monitoring scenario comprising hundreds of thousands of audio clips
that were compiled by means of remote acoustic sensors deployed in the field
during two migration seasons. We show that the model achieves high accuracy when
classifying a clip to one of N known species, even for a large number of species.
In contrast, the model does not perform as well in the continuous monitoring
case. Through a detailed error analysis (that included full expert review of
false positives and negatives) we show the model is confounded by varying
background noise conditions and previously unseen vocalizations. We also show
that the model needs to be parameterized and benchmarked differently for the
continuous monitoring scenario. Finally, we show that despite the reduced
performance, given the right conditions the model can still characterize the
migration pattern of a specific species. The paper concludes with directions for
future research.
PMID- 27880835
TI - Built Environment, Selected Risk Factors and Major Cardiovascular Disease
Outcomes: A Systematic Review.
AB - INTRODUCTION: Built environment attributes have been linked to cardiovascular
disease (CVD) risk. Therefore, identifying built environment attributes that are
associated with CVD risk is relevant for facilitating effective public health
interventions. OBJECTIVE: To conduct a systematic review of literature to examine
the influence of built environmental attributes on CVD risks. DATA SOURCE:
Multiple database searches including Science direct, CINAHL, Masterfile Premier,
EBSCO and manual scan of reference lists were conducted. INCLUSION CRITERIA:
Studies published in English between 2005 and April 2015 were included if they
assessed one or more of the neighborhood environmental attributes in relation
with any major CVD outcomes and selected risk factors among adults. DATA
EXTRACTION: Author(s), country/city, sex, age, sample size, study design, tool
used to measure neighborhood environment, exposure and outcome assessments and
associations were extracted from eligible studies. RESULTS: Eighteen studies met
the inclusion criteria. Most studies used both cross-sectional design and
Geographic Information System (GIS) to assess the neighborhood environmental
attributes. Neighborhood environmental attributes were significantly associated
with CVD risk and CVD outcomes in the expected direction. Residential density,
safety from traffic, recreation facilities, street connectivity and high walkable
environment were associated with physical activity. High walkable environment,
fast food restaurants, supermarket/grocery stores were associated with blood
pressure, body mass index, diabetes mellitus and metabolic syndrome. High density
traffic, road proximity and fast food restaurants were associated with CVDs
outcomes. CONCLUSION: This study confirms the relationship between neighborhood
environment attributes and CVDs and risk factors. Prevention programs should
account for neighborhood environmental attributes in the communities where people
live.
PMID- 27880837
TI - Rice Yield and the Fate of Fertilizer Nitrogen as Affected by Addition of
Earthworm Casts Collected from Oilseed Rape Fields: A Pot Experiment.
AB - The mechanism associated with improvement of soil nutritional status by oilseed
rape crop, leading to better performance of rice crop, in rice-oilseed rape
cropping systems is little known. The present study was aimed to test the
hypothesis that earthworm casts produced during oilseed rape-growing season have
positive effects on grain yield and fertilizer nitrogen (N) utilization in the
subsequent flooded rice crop. A 15N-tracing pot experiment was conducted to
determine the effects of earthworm casts collected from oilseed rape fields on
yield attributes in rice and the fate of fertilizer N. Soil treated with
earthworm casts (soil: earthworm casts = 4: 1, w/w) (EC1) produced 39% higher
grain yield than soil only (EC0). EC1 had 18% more panicle number and 10% higher
spikelet filling percentage than EC0. Aboveground biomass and harvest index were
higher in EC1 than in EC0 by 20% and 15%, respectively. SPAD values in flag
leaves were 10% and 22% higher under EC1 than EC0 at 15 and 20 days after
heading, respectively. EC1 had 19% higher total N uptake and 18% higher
physiological N-use efficiency than EC0. These positive effects of earthworm
casts on yield attributes offset negative effects of decreasing N rate from 0.74
g pot-1 (equivalent to the recommended field rate of 150 kg ha-1) to 0.44 g pot-1
(equivalent to 60% of the recommended rate). Fertilizer N retention rate was 7%
higher while fertilizer N loss rate was 6% lower in EC1 than in EC0. Our study
suggests that earthworm casts produced during oilseed rape-growing season are
expected to have the following benefits on the subsequent flooded rice system:
(1) improving growth and physiological processes in rice plants and consequently
increasing rice grain yield, and (2) increasing fertilizer N retention rate and
hence decreasing fertilizer N loss rate and reducing environmental risk.
PMID- 27880839
TI - Composite Sickles and Cereal Harvesting Methods at 23,000-Years-Old Ohalo II,
Israel.
AB - Use-wear analysis of five glossed flint blades found at Ohalo II, a 23,000-years
old fisher-hunter-gatherers' camp on the shore of the Sea of Galilee, Northern
Israel, provides the earliest evidence for the use of composite cereal harvesting
tools. The wear traces indicate that tools were used for harvesting near-ripe
semi-green wild cereals, shortly before grains are ripe and disperse naturally.
The studied tools were not used intensively, and they reflect two harvesting
modes: flint knives held by hand and inserts hafted in a handle. The finds shed
new light on cereal harvesting techniques some 8,000 years before the Natufian
and 12,000 years before the establishment of sedentary farming communities in the
Near East. Furthermore, the new finds accord well with evidence for the earliest
ever cereal cultivation at the site and the use of stone-made grinding
implements.
PMID- 27880838
TI - Detection of Endotoxin Contamination of Graphene Based Materials Using the TNF
alpha Expression Test and Guidelines for Endotoxin-Free Graphene Oxide
Production.
AB - Nanomaterials may be contaminated with bacterial endotoxin during production and
handling, which may confound toxicological testing of these materials, not least
when assessing for immunotoxicity. In the present study, we evaluated the
conventional Limulus amebocyte lysate (LAL) assay for endotoxin detection in
graphene based material (GBM) samples, including graphene oxide (GO) and few
layered graphene (FLG). Our results showed that some GO samples interfered with
various formats of the LAL assay. To overcome this problem, we developed a TNF
alpha expression test (TET) using primary human monocyte-derived macrophages
incubated in the presence or absence of the endotoxin inhibitor, polymyxin B
sulfate, and found that this assay, performed with non-cytotoxic doses of the GBM
samples, enabled unequivocal detection of endotoxin with a sensitivity that is
comparable to the LAL assay. FLG also triggered TNF-alpha production in the
presence of the LPS inhibitor, pointing to an intrinsic pro-inflammatory effect.
Finally, we present guidelines for the preparation of endotoxin-free GO,
validated by using the TET.
PMID- 27880840
TI - Modelling Carbon Emissions in Calluna vulgaris-Dominated Ecosystems when
Prescribed Burning and Wildfires Interact.
AB - A present challenge in fire ecology is to optimize management techniques so that
ecological services are maximized and C emissions minimized. Here, we modeled the
effects of different prescribed-burning rotation intervals and wildfires on
carbon emissions (present and future) in British moorlands. Biomass-accumulation
curves from four Calluna-dominated ecosystems along a north-south gradient in
Great Britain were calculated and used within a matrix-model based on Markov
Chains to calculate above-ground biomass-loads and annual C emissions under
different prescribed-burning rotation intervals. Additionally, we assessed the
interaction of these parameters with a decreasing wildfire return intervals. We
observed that litter accumulation patterns varied between sites. Northern sites
(colder and wetter) accumulated lower amounts of litter with time than southern
sites (hotter and drier). The accumulation patterns of the living vegetation
dominated by Calluna were determined by site-specific conditions. The optimal
prescribed-burning rotation interval for minimizing annual carbon emissions also
differed between sites: the optimal rotation interval for northern sites was
between 30 and 50 years, whereas for southern sites a hump-backed relationship
was found with the optimal interval either between 8 to 10 years or between 30 to
50 years. Increasing wildfire frequency interacted with prescribed-burning
rotation intervals by both increasing C emissions and modifying the optimum
prescribed-burning interval for minimum C emission. This highlights the
importance of studying site-specific biomass accumulation patterns with respect
to environmental conditions for identifying suitable fire-rotation intervals to
minimize C emissions.
PMID- 27880841
TI - Association between Maternal Mortality and Cesarean Section: Turkey Experience.
AB - BACKGROUND: To investigate the cesarean Section (C/S) rates and maternal
mortality (MM) causes and its relation between 2002 and 2013. METHODS: Data were
gathered from Turkish Ministry of Health and Istanbul Health Administration. The
Annual Clinical Reports for 2002-2013 were reviewed and analyzed: C/Ss and
maternal deaths in women who gave birth >=20 weeks between January 1, 2002, and
December 31, 2013, in any hospital in Turkey and Istanbul. RESULTS: The major
causes of MM were hemorrhage (20%), hypertensive disorders (18.2%), embolism
(10.3%), cardiovascular conditions (9%), infection (8.5%), and other causes
(10.4%). Overall, the average annual CS delivery rate was 46.4% in Istanbul and
36.6% in Turkey. There was a significant increase in the CS rates in Istanbul and
Turkey from 2008 to 2013 relative to those from 2002 to 2007 (p = 0.004). There
was a statistically significant and inverse relationship (97.2%) between the MMR
and CS rate from 2002 to 2013 in Turkey (p = 0.001). However, no significant
relationship was detected between the MMR and CS rate from 2002 to 2013 in
Istanbul (p > 0.05). There was a significant inverse correlation (66.3%) between
the CS rate and peripartumhemorrhage in Turkey (p = 0.019) and there was a
significant inverse correlation (66.5%) between the CS rate and
peripartumhemorrhage(p = 0.018) in Istanbul between 2007 to 2013. There were no
significant differences in ante-intrapartum haemorrhage bleeding (p > 0.05) or
postpartum hemorrhage (p > 0.05) from 2007 to 2013. CONCLUSIONS: This study
demonstrates that there was a inverse correlation between increased CS and
maternal mortality rates during the previous decade in Turkey. Although cesarean
rates increase excessively, it appears that improved health care facilities have
a positive effect on MMRs in Turkey.
PMID- 27880842
TI - A New Morphological Type of Volvox from Japanese Large Lakes and Recent
Divergence of this Type and V. ferrisii in Two Different Freshwater Habitats.
AB - Volvox sect. Volvox is characterized by having unique morphological
characteristics, such as thick cytoplasmic bridges between adult somatic cells in
the spheroids and spiny zygote walls. Species of this section are found from
various freshwater habitats. Recently, three species of Volvox sect. Volvox
originating from rice paddies and a marsh were studied taxonomically based on
molecular and morphological data of cultured materials. However, taxonomic
studies have not been performed on cultured materials of this section originating
from large lake water bodies. We studied a new morphological type of Volvox sect.
Volvox ("Volvox sp. Sagami"), using cultured materials originating from two large
lakes and a pond in Japan. Volvox sp. Sagami produced monoecious sexual spheroids
and may represent a new morphological species; it could be clearly distinguished
from all previously described monoecious species of Volvox sect. Volvox by its
small number of eggs or zygotes (5-25) in sexual spheroids, with short acute
spines (up to 3 MUm long) on the zygote walls and elongated anterior somatic
cells in asexual spheroids. Based on sequences of internal transcribed spacer
(ITS) regions of nuclear ribosomal DNA (rDNA; ITS-1, 5.8S rDNA and ITS-2) and
plastid genes, however, the Volvox sp. Sagami lineage and its sister lineage (the
monoecious species V. ferrisii) showed very small genetic differences, which
correspond to the variation within a single biological species in other
volvocalean algae. Since V. ferrisii was different from Volvox sp. Sagami, by
having approximately 100-200 zygotes in the sexual spheroids and long spines (6
8.5 MUm long) on the zygote walls, as well as growing in Japanese rice paddies,
these two morphologically distinct lineages might have diverged rapidly in the
two different freshwater habitats. In addition, the swimming velocity during
phototaxis of Volvox sp. Sagami spheroids originating from large lakes was
significantly higher than that of V. ferrisii originating from rice paddies,
suggesting adaptation of Volvox sp. Sagami to large water bodies.
PMID- 27880843
TI - Highly Divergent Clostridium difficile Strains Isolated from the Environment.
AB - Clostridium difficile is one of the most important human and animal pathogens.
However, the bacterium is ubiquitous and can be isolated from various sources.
Here we report the prevalence and characterization of C. difficile in less
studied environmental samples, puddle water (n = 104) and soil (n = 79). C.
difficile was detected in 14.4% of puddle water and in 36.7% of soil samples.
Environmental strains displayed antimicrobial resistance patterns comparable to
already published data of human and animal isolates. A total of 480 isolates were
grouped into 34 different PCR ribotypes. More than half of these (52.9%; 18 of
34) were already described in humans or animals. However, 14 PCR ribotypes were
new in our PCR ribotype library and all but one were non-toxigenic. The
multilocus sequence analysis of these new PCR ribotypes revealed that non
toxigenic environmental isolates are phylogenetically distinct and belong to
three highly divergent clades, two of which have not been described before. Our
data suggest that environment is a potential reservoir of genetically diverse
population of C. difficile.
PMID- 27880844
TI - Atorvastatin Improves Ventricular Remodeling after Myocardial Infarction by
Interfering with Collagen Metabolism.
AB - PURPOSE: Therapeutic strategies that modulate ventricular remodeling can be
useful after acute myocardial infarction (MI). In particular, statins may exert
effects on molecular pathways involved in collagen metabolism. The aim of this
study was to determine whether treatment with atorvastatin for 4 weeks would lead
to changes in collagen metabolism and ventricular remodeling in a rat model of
MI. METHODS: Male Wistar rats were used in this study. MI was induced in rats by
ligation of the left anterior descending coronary artery (LAD). Animals were
randomized into three groups, according to treatment: sham surgery without LAD
ligation (sham group, n = 14), LAD ligation followed by 10mg atorvastatin/kg/day
for 4 weeks (atorvastatin group, n = 24), or LAD ligation followed by saline
solution for 4 weeks (control group, n = 27). After 4 weeks, hemodynamic
characteristics were obtained by a pressure-volume catheter. Hearts were removed,
and the left ventricles were subjected to histologic analysis of the extents of
fibrosis and collagen deposition, as well as the myocyte cross-sectional area.
Expression levels of mediators involved in collagen metabolism and inflammation
were also assessed. RESULTS: End-diastolic volume, fibrotic content, and myocyte
cross-sectional area were significantly reduced in the atorvastatin compared to
the control group. Atorvastatin modulated expression levels of proteins related
to collagen metabolism, including MMP1, TIMP1, COL I, PCPE, and SPARC, in remote
infarct regions. Atorvastatin had anti-inflammatory effects, as indicated by
lower expression levels of TLR4, IL-1, and NF-kB p50. CONCLUSION: Treatment with
atorvastatin for 4 weeks was able to attenuate ventricular dysfunction, fibrosis,
and left ventricular hypertrophy after MI in rats, perhaps in part through
effects on collagen metabolism and inflammation. Atorvastatin may be useful for
limiting ventricular remodeling after myocardial ischemic events.
PMID- 27880845
TI - Central Sleep Apnoea Is Related to the Severity and Short-Term Prognosis of Acute
Coronary Syndrome.
AB - OBJECTIVE: To evaluate the relation of central sleep apnoea (CSA) to the severity
and short-term prognosis of patients who experience acute coronary syndrome
(ACS). METHODS: Observational study with cross-sectional and longitudinal
analyses. Patients acutely admitted to participating hospitals because of ACS
underwent respiratory polygraphy during the first 24 to 72 h. CSA was defined as
an apnoea-hypopnoea index (AHI) >15 events*h-1 (>50% of central apnoeas). ACS
severity (Killip class, ejection fraction, number of diseased vessels and peak
plasma troponin) was evaluated at baseline, and short-term prognosis (length of
hospitalization, complications and mortality) was evaluated at discharge.
RESULTS: A total of 68 CSA patients (AHI 31+/-18 events*h-1, 64+/-12 years, 87%
males) and 92 controls (AHI 7+/-5 events*h-1, 62+/-12 years, 84% males) were
included in the analyses. After adjusting for age, body mass index, hypertension
and smoking status, patients diagnosed with CSA spent more days in the coronary
unit compared with controls (3.7+/-2.9 vs. 1.5+/-1.7; p<0.001) and had a worse
Killip class (Killip I: 16% vs. 96%; p<0.001). No differences were observed in
ejection fraction estimates. CONCLUSIONS: CSA patients exhibited increased ACS
severity as indicated by their Killip classification. These patients had a worse
prognosis, with longer lengths of stay in the coronary care units. Our results
highlight the relevance of CSA in patients suffering ACS episodes and suggest
that diagnosing CSA may be a useful strategy to improve the management of certain
ACS patients.
PMID- 27880846
TI - Potential Impacts of Climate Change on Native Plant Distributions in the Falkland
Islands.
AB - The Falkland Islands are predicted to experience up to 2.2 degrees C rise in mean
annual temperature over the coming century, greater than four times the rate over
the last century. Our study investigates likely vulnerabilities of a suite of
range-restricted species whose distributions are associated with archipelago-wide
climatic variation. We used present day climate maps calibrated using local
weather data, 2020-2080 climate predictions from regional climate models, non
climate variables derived from a digital terrain model and a comprehensive
database on local plant distributions. Weighted mean ensemble models were
produced to assess changes in range sizes and overlaps between the current range
and protected areas network. Target species included three globally threatened
Falkland endemics, Nassauvia falklandica, Nastanthus falklandicus and Plantago
moorei; and two nationally threatened species, Acaena antarctica and Blechnum
cordatum. Our research demonstrates that temperature increases predicted for the
next century have the potential to significantly alter plant distributions across
the Falklands. Upland species, in particular, were found to be highly vulnerable
to climate change impacts. No known locations of target upland species or the
southwestern species Plantago moorei are predicted to remain environmentally
suitable in the face of predicted climate change. We identify potential refugia
for these species and associated gaps in the current protected areas network.
Species currently restricted to the milder western parts of the archipelago are
broadly predicted to expand their ranges under warmer temperatures. Our results
emphasise the importance of implementing suitable adaptation strategies to offset
climate change impacts, particularly site management. There is an urgent need for
long-term monitoring and artificial warming experiments; the results of this
study will inform the selection of the most suitable locations for these. Results
are also helping inform management recommendations for the Falkland Islands
Government who seek to better conserve their biodiversity and meet commitments to
multi-lateral environmental agreements.
PMID- 27880848
TI - Genome-Scale Assessment of Age-Related DNA Methylation Changes in Mouse
Spermatozoa.
AB - DNA methylation plays important roles in the production and functioning of
spermatozoa. Recent studies have suggested that DNA methylation patterns in
spermatozoa can change with age, but the regions susceptible to age-related
methylation changes remain to be fully elucidated. In this study, we conducted
genome-scale DNA methylation profiling of spermatozoa obtained from C57BL/6N mice
at 8 weeks (8w), 18 weeks (18w) and 17 months of age (17m). There was no
substantial difference in the global DNA methylation patterns between 18w and 17m
samples except for a slight increase of methylation levels in long interspersed
nuclear elements in the 17m samples. We found that maternally methylated
imprinting control regions (mICRs) and spermatogenesis-related gene promoters had
5-10% higher methylation levels in 8w samples than in 18w or 17m samples.
Analysis of individual sequence reads suggested that these regions were fully
methylated (80-100%) in a subset of 8w spermatozoa. These regions are also known
to be highly methylated in a subset of postnatal spermatogonia, which might be
the source of the increased DNA methylation in 8w spermatozoa. Another possible
source was contamination by somatic cells. Although we carefully purified the
spermatozoa, it was difficult to completely exclude the possibility of somatic
cell contamination. Further studies are needed to clarify the source of the small
increase in DNA methylation in the 8w samples. Overall, our findings suggest that
DNA methylation patterns in mouse spermatozoa are relatively stable throughout
reproductive life.
PMID- 27880847
TI - Activation of Both the Calpain and Ubiquitin-Proteasome Systems Contributes to
Septic Cardiomyopathy through Dystrophin Loss/Disruption and mTOR Inhibition.
AB - Cardiac dysfunction caused by the impairment of myocardial contractility has been
recognized as an important factor contributing to the high mortality in sepsis.
Calpain activation in the heart takes place in response to increased
intracellular calcium influx resulting in proteolysis of structural and
contractile proteins with subsequent myocardial dysfunction. The purpose of the
present study was to test the hypothesis that increased levels of calpain in the
septic heart leads to disruption of structural and contractile proteins and that
administration of calpain inhibitor-1 (N-acetyl-leucinyl-leucinyl-norleucinal
(ALLN)) after sepsis induced by cecal ligation and puncture prevents cardiac
protein degradation. We also tested the hypothesis that calpain plays a role in
the modulation of protein synthesis/degradation through the activation of
proteasome-dependent proteolysis and inhibition of the mTOR pathway. Severe
sepsis significantly increased heart calpain-1 levels and promoted ubiquitin and
Pa28beta over-expression with a reduction in the mTOR levels. In addition, sepsis
reduced the expression of structural proteins dystrophin and beta-dystroglycan as
well as the contractile proteins actin and myosin. ALLN administration prevented
sepsis-induced increases in calpain and ubiquitin levels in the heart, which
resulted in decreased of structural and contractile proteins degradation and
basal mTOR expression levels were re-established. Our results support the concept
that increased calpain concentrations may be part of an important mechanism of
sepsis-induced cardiac muscle proteolysis.
PMID- 27880850
TI - Visual Enhancement of Illusory Phenomenal Accents in Non-Isochronous Auditory
Rhythms.
AB - Musical rhythms encompass temporal patterns that often yield regular metrical
accents (e.g., a beat). There have been mixed results regarding perception as a
function of metrical saliency, namely, whether sensitivity to a deviant was
greater in metrically stronger or weaker positions. Besides, effects of metrical
position have not been examined in non-isochronous rhythms, or with respect to
multisensory influences. This study was concerned with two main issues: (1) In
non-isochronous auditory rhythms with clear metrical accents, how would
sensitivity to a deviant be modulated by metrical positions? (2) Would the
effects be enhanced by multisensory information? Participants listened to
strongly metrical rhythms with or without watching a point-light figure dance to
the rhythm in the same meter, and detected a slight loudness increment. Both
conditions were presented with or without an auditory interference that served to
impair auditory metrical perception. Sensitivity to a deviant was found greater
in weak beat than in strong beat positions, consistent with the Predictive Coding
hypothesis and the idea of metrically induced illusory phenomenal accents. The
visual rhythm of dance hindered auditory detection, but more so when the latter
was itself less impaired. This pattern suggested that the visual and auditory
rhythms were perceptually integrated to reinforce metrical accentuation, yielding
more illusory phenomenal accents and thus lower sensitivity to deviants, in a
manner consistent with the principle of inverse effectiveness. Results were
discussed in the predictive framework for multisensory rhythms involving observed
movements and possible mediation of the motor system.
PMID- 27880849
TI - Loading Patterns of the Posterior Cruciate Ligament in the Healthy Knee: A
Systematic Review.
AB - BACKGROUND: The posterior cruciate ligament (PCL) is the strongest ligament of
the knee, serving as one of the major passive stabilizers of the tibio-femoral
joint. However, despite a number of experimental and modelling approaches to
understand the kinematics and kinetics of the ligament, the normal loading
conditions of the PCL and its functional bundles are still controversially
discussed. OBJECTIVES: This study aimed to generate science-based evidence for
understanding the functional loading of the PCL, including the anterolateral and
posteromedial bundles, in the healthy knee joint through systematic review and
statistical analysis of the literature. DATA SOURCES: MEDLINE, EMBASE and
CENTRAL. ELIGIBILITY CRITERIA FOR SELECTING STUDIES: Databases were searched for
articles containing any numerical strain or force data on the healthy PCL and its
functional bundles. Studied activities were as follows: passive flexion, flexion
under 100N and 134N posterior tibial load, walking, stair ascent and descent,
body-weight squatting and forward lunge. METHOD: Statistical analysis was
performed on the reported load data, which was weighted according to the number
of knees tested to extract average strain and force trends of the PCL and
identify deviations from the norms. RESULTS: From the 3577 articles retrieved by
the initial electronic search, only 66 met all inclusion criteria. The results
obtained by aggregating data reported in the eligible studies indicate that the
loading patterns of the PCL vary with activity type, knee flexion angle, but
importantly also the technique used for assessment. Moreover, different fibres of
the PCL exhibit different strain patterns during knee flexion, with higher strain
magnitudes reported in the anterolateral bundle. While during passive flexion the
posteromedial bundle is either lax or very slightly elongated, it experiences
higher strain levels during forward lunge and has a synergetic relationship with
the anterolateral bundle. The strain patterns obtained for virtual fibres that
connect the origin and insertion of the bundles in a straight line show similar
trends to those of the real bundles but with different magnitudes. CONCLUSION:
This review represents what is now the best available understanding of the
biomechanics of the PCL, and may help to improve programs for injury prevention,
diagnosis methods as well as reconstruction and rehabilitation techniques.
PMID- 27880851
TI - Diagnostic Utility of Pleural Fluid Cell Block versus Pleural Biopsy Collected by
Flex-Rigid Pleuroscopy for Malignant Pleural Disease: A Single Center
Retrospective Analysis.
AB - BACKGROUND: Some trials recently demonstrated the benefit of targeted treatment
for malignant disease; therefore, adequate tissues are needed to detect the
targeted gene. Pleural biopsy using flex-rigid pleuroscopy and pleural effusion
cell block analysis are both useful for diagnosis of malignancy and obtaining
adequate samples. The purpose of our study was to compare the diagnostic utility
between the two methods among patients with malignant pleural disease with
effusion. METHODS: Data from patients who underwent flex-rigid pleuroscopy for
diagnosis of pleural effusion suspicious for malignancy at the National Cancer
Center Hospital, Japan between April 2011 and June 2014 were retrospectively
reviewed. All procedures were performed under local anesthesia. At least 150 mL
of pleural fluid was collected by pleuroscopy, followed by pleural biopsies from
the abnormal site. RESULTS: Thirty-five patients who were finally diagnosed as
malignant pleural disease were included in this study. Final diagnoses of
malignancy were 24 adenocarcinoma, 1 combined adeno-small cell carcinoma, and 7
malignant pleural mesothelioma (MPM), and 3 metastatic breast cancer. The
diagnostic yield was significantly higher by pleural biopsy than by cell block
[94.2% (33/35) vs. 71.4% (25/35); p = 0.008]. All patients with positive results
on cell block also had positive results on pleural biopsy. Eight patients with
negative results on cell block had positive results on pleural biopsy (lung
adenocarcinoma in 4, sarcomatoid MPM in 3, and metastatic breast cancer in 1).
Two patients with negative results on both cell block and pleural biopsy were
diagnosed was sarcomatoid MPM by computed tomography-guided needle biopsy and
epithelioid MPM by autopsy. CONCLUSION: Pleural biopsy using flex-rigid
pleuroscopy was efficient in the diagnosis of malignant pleural diseases. Flex
rigid pleuroscopy with pleural biopsy and pleural effusion cell block analysis
should be considered as the initial diagnostic approach for malignant pleural
diseases presenting with effusion.
PMID- 27880853
TI - Risk of Stroke after Herpes Zoster - Evidence from a German Self-Controlled Case
Series Study.
AB - BACKGROUND: Herpes zoster (HZ) is caused by reactivation of the latent varicella
zoster virus (VZV). A severe complication of HZ is VZV vasculopathy which can
result in ischemic or hemorrhagic stroke. The aims of our study were to assess
the risk of stroke after the onset of HZ and to investigate the roles of stroke
subtype, HZ location and the time interval between HZ onset and stroke. METHODS:
A self-controlled case-series study was performed on a cohort of patients with
incident stroke recorded in the German Pharmacoepidemiological Research Database
(GePaRD), which covers about 20 million persons throughout Germany. We estimated
adjusted incidence rate ratios (IRR) by comparing the rate of stroke in risk
periods (i.e., periods following HZ) with the rate of stroke in control periods
(i.e., periods without HZ) in the same individuals, controlling for both time
invariant and major potentially time-variant confounders. RESULTS: The cohort
included 124,462 stroke patients, of whom 6,035 (5%) had at least one HZ
diagnosis identified in GePaRD either as main hospital discharge diagnosis or as
HZ treated with antivirals. The risk of stroke was about 1.3 times higher in the
risk periods 3 months after HZ onset, than in the control periods (IRR: 1.29; 95%
confidence interval: 1.16-1.44). An elevated risk of similar magnitude was
observed for ischemic and unspecified stroke, but a 1.5-fold higher risk was
observed for hemorrhagic stroke. A slightly stronger effect on the risk of stroke
was also observed during the 3 months after HZ ophthalmicus (HZO) onset (1.59;
1.10-2.32). The risk was highest 3 and 4 weeks after HZ onset and decreased
thereafter. CONCLUSIONS: Our study corroborates an increased risk of stroke after
HZ, which is highest 3 to 4 weeks after HZ onset. The results suggest that the
risk is more pronounced after HZO and is numerically higher for hemorrhagic than
for ischemic stroke.
PMID- 27880852
TI - Clinical Characteristics and Outcomes of Patients with High Ankle-Brachial Index
from the IMPACT-ABI Study.
AB - BACKGROUND: Reduced ankle-brachial index (ABI) is a predictor of cardiovascular
events. However, the significance of high ABI remains poorly understood. This
study aimed to assess the characteristics and outcomes of patients with high ABI.
METHODS: The IMPACT-ABI study was a retrospective cohort study that enrolled and
examined ABI in 3,131 patients hospitalized for cardiovascular disease between
January 2005 and December 2012. From this cohort, 2,419 patients were identified
and stratified into two groups: high ABI (> 1.4; 2.6%) and normal ABI (1.0-1.4;
97.3%). The primary endpoint was the cumulative incidence of major adverse
cardiovascular events (MACE), including cardiovascular-associated death,
myocardial infarction, and stroke. RESULTS: Compared with the normal ABI group,
patients in the high ABI group showed significantly lower body mass index (BMI)
and hemoglobin level, but had higher incidence of chronic kidney disease and
hemodialysis. Multivariate logistic regression analysis revealed that
hemodialysis was the strongest predictor of high ABI (odds ratio, 6.18; 95%
confidence interval (CI), 3.05-12.52; P < 0.001). During the follow-up (median,
4.7 years), 172 cases of MACE occurred. Cumulative MACE incidence in patients
with high ABI was significantly increased compared to that in those with normal
ABI (32.5% vs. 14.5%; P = 0.005). In traditional cardiovascular risk factors
adjusted multivariate Cox proportional hazard analysis, high ABI was an
independent predictor of MACE (hazard ratio, 2.07; 95% CI, 1.02-4.20; P = 0.044).
CONCLUSION: Lower BMI, chronic kidney disease, and hemodialysis are more frequent
in patients with high ABI. Hemodialysis is the strongest predictor of high ABI.
High ABI is a parameter that independently predicts MACE.
PMID- 27880855
TI - The paradoxical pro- and antiangiogenic actions of resveratrol: therapeutic
applications in cancer and diabetes.
AB - Resveratrol, a polyphenol found in grapes, peanuts, and red wine, plays different
roles in diseases such as cancer and diabetes. Existing information indicates
that resveratrol provides cardioprotection, as evidenced by superior postischemic
ventricular recovery, reduced myocardial infarct size, and decreased number of
apoptotic cardiomyocytes associated with resveratrol treatment in animal models.
Cardiovascular benefits are experienced in humans with routine but not acute
consumption of red wine. In this concise review, the paradoxical pro- and
antiangiogenic effects of resveratrol are described, and different roles for
resveratrol in the formation of new blood vessels are explained through different
mechanisms. It is hypothesized that the effects of resveratrol on different cell
types are not only dependent on its concentration but also on the physical and
chemical conditions surrounding cells. The findings discussed herein shed light
on potential therapeutic proapoptotic and antiangiogenic applications of low-dose
resveratrol treatment in the prevention and treatment of different diseases.
PMID- 27880856
TI - Direct Analysis in Real-time Mass Spectrometry for Rapid Identification of
Traditional Chinese Medicines with Coumarins as Primary Characteristics.
AB - INTRODUCTION: The increasing popularity of traditional Chinese medicines (TCMs)
necessitates rapid and reliable methods for controlling their quality. Direct
analysis in real-time mass spectrometry (DART-MS) represents a novel approach to
analysing TCMs. OBJECTIVE: To develop a quick and reliable method of identifying
TCMs with coumarins as primary characteristics. METHODOLOGY: DART-MS coupled with
ion trap mass spectrometry was employed to rapidly identify TCMs with coumarins
as primary characteristics and to explore the ionisation mechanisms of simple
coumarins, furocoumarins and pyranocoumarins in detail. With minimal sample
pretreatment, mass spectra of Fraxini Cortex, Angelicae Pubescentis Radix,
Peucedani Radix and Psoraleae Fructus samples were obtained within seconds. The
operating parameters of the DART ion source (e.g. grid electrode voltage and
ionisation gas temperature) were carefully investigated to obtain high-quality
mass spectra. The mass spectra of samples and DART-MS/MS spectra of marker
compounds were used to identify sample materials. RESULTS: Successful
authentication was achieved by analysing the same materials of different origins.
Some simple coumarins, furocoumarins and pyranocoumarins can be directly detected
by DART-MS as marker compounds. CONCLUSION: Our results demonstrated that DART-MS
can provide a rapid and reliable method for the identification of TCMs containing
different configurations of coumarins; the method may also be applicable to other
plants. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27880854
TI - Increased Paternal Age at Conception Is Associated with Transcriptomic Changes
Involved in Mitochondrial Function in Elderly Individuals.
AB - The increased paternal age at conception (PAC) has been associated with autism
spectrum disorder (ASD), schizophrenia and other neurodevelopmental disorders,
thus raising questions that imply, potential health concerns in the offspring. As
opposed to female oogonia, the male germ cells undergo hundreds of cell divisions
during the fertile years. Thus, the advanced paternal age is associated with
increase of point mutations in the male spermatogonia DNA, implying that this
could be the major driving mechanism behind the paternal age effect observed in
the offspring. In addition to replication errors, DNA replication fidelity and
inefficient DNA repair machinery in the spermatogonia also contribute to the
mutagenic load. Our study population consisted of 38 nonagenarians, participants
in the Vitality 90+ Study, born in the year 1920 (women n = 25, men n = 13), for
whom the parental birth dates were available. The gene expression profile of the
study subjects was determined with HumanHT-12 v4 Expression BeadChip from
peripheral blood mononuclear cells. We used Spearman's rank correlation to look
for the associations of gene expression with paternal age at conception.
Associated transcripts were further analyzed with GOrilla and IPA to determine
enriched cellular processes and pathways. PAC was associated with the expression
levels of 648 transcripts in nonagenarian subjects. These transcripts belonged to
the process of mitochondrial translational termination and the canonical pathway
of Mitochondrial dysfunction, more specifically of Oxidative phosphorylation. The
observed systematic down-regulation of several mitochondrial respiratory chain
components implies compromised function in oxidative phosphorylation and thus in
the production of chemical energy.
PMID- 27880857
TI - Conformational Flexibility of Limonene Oxide Studied By Microwave Spectroscopy.
AB - Monoterpenoids are biogenic volatile organic compounds that play a major role in
atmospheric chemistry by participating in the formation of aerosols. In this
work, the monoterpenoid (R)-(+)-limonene oxide (C10 H16 O) was characterized in
the gas phase by Fourier-transform microwave spectroscopy in a supersonic jet.
Five conformers of limonene oxide, four equatorial and one axial considering the
configuration of the isopropenyl group, were unambiguously identified from
analysis of the rotational spectrum. The observed conformers include cis and
trans forms, which are stabilized by a subtle balance of hydrogen bonds,
dispersive interactions, and steric effects. Estimated conformational relative
abundances surprisingly reveal that the abundance of the axial conformer is
similar to that of some of the equatorial conformers. In addition, the potential
energy surface was extensively explored by using density functional theory and ab
initio methods.
PMID- 27880859
TI - Clinical Education (2016/048).
PMID- 27880858
TI - Precepting 101: Teaching Strategies and Tips for Success for Preceptors.
AB - The current shortage of certified nurse-midwives and certified midwives willing
to serve as preceptors for midwifery education programs limits the number of
students accepted into education programs. Preceptors are an essential link
between academic programs and clinical practice and are indispensable to the
growth of the midwifery profession. Preceptors create a safe environment for
learning and teach adult learners through a variety of clinical teaching
strategies. Novice preceptors need training and support to learn a new role, and
experienced preceptors desire continued support and training. Before starting,
preceptors need to identify sources of support and mentoring as well as
understand the academic program's expectations for the student. This article
draws on the clinical education literature to describe approaches to teaching all
types of students. Practical strategies for integrating all levels of students
into busy clinical settings are identified. Two approaches for clinical teaching,
the Five Minute Preceptor and SNAPPS, are discussed in detail. Strategies for
providing effective feedback and approaches to student evaluation are provided.
PMID- 27880860
TI - Precepting Challenge: Helping the Student Attain the Affective Skills of a Good
Midwife.
AB - Preparing students for a career in midwifery is a rewarding venture. The
knowledge and techniques necessary to meet the requirements of a safe, beginning
level practitioner are familiar to experienced midwives. It is common for
students to achieve learning outcomes in the classroom (actual or virtual) but
struggle in the clinical setting. Other students may struggle academically but
perform smoothly and comfortably when they apply knowledge and skills in the
clinical setting. The cognitive and psychomotor domains of learning are
represented by a student's application of knowledge and performance of skills.
Affective skills of caring and professionalism are equally important. Research
that describes characteristics of what is considered a good midwife identifies
affective characteristics associated with effective midwifery practice. By
attending equally to all domains of learning, the preceptor can more effectively
support the student in attainment of the skills, values, and beliefs that make a
good midwife. This article focuses on the acquisition of affective skills by
students in the clinical setting. When affective skills are well developed, they
require little attention, but if they are not, the recognition and remediation
can be a difficult task for the preceptor. Acquisition of affective skills in the
realms of caring and professionalism are necessary for midwifery practice.
Effective teaching techniques and knowledge of the affective domain enhance the
preceptors' ability to evaluate and remediate deficiencies.
PMID- 27880861
TI - Midwifing the Student: Creating an Effective Learning Environment.
PMID- 27880862
TI - The Importance of Preceptors: Midwifing the Next Generation.
PMID- 27880863
TI - Collaborative and Bidirectional Feedback Between Students and Clinical
Preceptors: Promoting Effective Communication Skills on Health Care Teams.
AB - Current literature on feedback suggests that clinical preceptors lead feedback
conversations that are primarily unidirectional, from preceptor to student. While
this approach may promote clinical competency, it does not actively develop
students' competency in facilitating feedback discussions and providing feedback
across power differentials (ie, from student to preceptor). This latter
competency warrants particular attention given its fundamental role in effective
health care team communication and its related influence on patient safety.
Reframing the feedback process as collaborative and bidirectional, where both
preceptors and students provide and receive feedback, maximizes opportunities for
role modeling and skills practice in the context of a supportive relationship,
thereby enhancing team preparedness. We describe an initiative to introduce these
fundamental skills of collaborative, bidirectional feedback in the nurse
midwifery education program at the University of California, San Francisco.
PMID- 27880865
TI - Identifying and Addressing Problems for Student Progression in Midwifery Clinical
Education.
AB - Identifying challenges to progression for a health care profession student who is
not meeting expectations in a busy clinical practice can be challenging yet can
lead to assisting the student toward success. Preceptor preparation includes
acquiring knowledge about the student's education program, understanding federal
regulations designed to protect students, gathering background information about
a student, learning to provide feedback, structuring the clinical experience, and
completing student evaluations. Students in health care professions may have
difficulties with cognitive, affective, or psychomotor learning, and the clinical
preceptor can identify problems for student progression within these learning
domains. Subsequently, specific solutions that are tailored to the individual
student's needs can be developed, implemented, and evaluated. A structured
evaluation of the student's performance by the clinical preceptor, in accordance
with the education program's parameters, is essential. Through a structured
process, preceptors can assist many students to achieve success, in spite of
challenges. This article describes how the preceptor can prepare, identify the
type(s) of problem(s), and develop learning solutions for students who are
experiencing difficulties in clinical settings.
PMID- 27880867
TI - Psittacine cognition: Individual differences and sources of variation.
AB - Both the number and breadth of avian cognition studies have expanded in the past
three decades. Parrots have a long history as subjects in avian cognition
research. This paper summarizes results from a number of parrot species tested on
basic learning, and physical & social cognitive processes, with an emphasis on
individual differences. Early psittacine studies were aimed at demonstrating a
particular cognitive ability existed in a given species. Because of this proof of
capacity focus, early studies typically included only a single individual or a
dyad of parrots. Existing reviews of parrot cognition tend to focus on a
particular cognitive component in a single species, or even a single individual.
Despite the narrow focus, results from increasing sample sizes show intraspecific
variation across a variety of cognitive assessments and parrot species.
Intraspecific variability in performance on cognitive tasks highlights the need
for establishing a cognitive normal range for a given species and process. To
accomplish this, large numbers of individuals need to be tested and non-cognitive
sources of variability need to be controlled. Once species typical cognitive
normal ranges are established, cognitive comparisons can be made between parrot
species and between parrots and other taxa.
PMID- 27880866
TI - TGF-beta1 stimulates movement of renal proximal tubular epithelial cells in a
three-dimensional cell culture via an autocrine TGF-beta2 production.
AB - TGF-betas are multifunctional cytokines, but their roles in human renal
homeostasis are not fully understood. This study investigated the role of TGF
beta1 in the movement of human renal proximal tubular epithelial cells (PTECs) in
a three-dimensional (3D) model. HKC-8 cells, a human PTEC line, were grown in a
3D collagen culture system. Cell movement was observed under a microscope. The
gene expression was examined using PCR Arrays or qRT-PCR, and protein levels by
Western blot. Here, we showed that the tight junction structure formed between
adjacent cells of a HKC-8 cell colony in 3D cultures, and TGF-beta1 stimulated
their movement, evidenced by the appearance of fingerlike pseudopodia in the
leader cells at the edge of the colonies. The cell movement of these human PTECs
was correlated with up-regulation of both MMP2 and MMP9 and down-regulation or
inactivation of PLAUR and PTK2B. Analysis of TGF-beta signaling targets confirmed
autocrine production of TGF-beta2 and its cleaving enzyme furin as well as SNAI1
by TGF-beta1stimulation. Knockdown of TGF-beta2 expression disrupted TGF-beta1
stimulated PTEC invasiveness, which was correlated with the down-regulation of
MMP2 and MMP9. In conclusion, the activation of TGF-beta receptor autocrine
signaling by up-regulated TGF-beta2 may play a pivotal role in TGF-beta1-induced
human PTEC movement, which could be mediated at least by both MMP2 and MMP9.
PMID- 27880868
TI - Origin and evolution of the metazoan non-coding regulatory genome.
AB - Animals rely on genomic regulatory systems to direct the dynamic spatiotemporal
and cell-type specific gene expression that is essential for the development and
maintenance of a multicellular lifestyle. Although it is widely appreciated that
these systems ultimately evolved from genomic regulatory mechanisms present in
single-celled stem metazoans, it remains unclear how this occurred. Here, we
focus on the contribution of the non-coding portion of the genome to the
evolution of animal gene regulation, specifically on recent insights from non
bilaterian metazoan lineages, and unicellular and colonial holozoan sister taxa.
High-throughput next-generation sequencing, largely in bilaterian model species,
has led to the discovery of tens of thousands of non-coding RNA genes (ncRNAs),
including short, long and circular forms, and uncovered the central roles they
play in development. Based on the analysis of non-bilaterian metazoan,
unicellular holozoan and fungal genomes, the evolution of some ncRNAs, such as
Piwi-interacting RNAs, correlates with the emergence of metazoan
multicellularity, while others, including microRNAs, long non-coding RNAs and
circular RNAs, appear to be more ancient. Analysis of non-coding regulatory DNA
and histone post-translational modifications have revealed that some cis
regulatory mechanisms, such as those associated with proximal promoters, are
present in non-animal holozoans, while others appear to be metazoan innovations,
most notably distal enhancers. In contrast, the cohesin-CTCF system for
regulating higher-order chromatin structure and enhancer-promoter long-range
interactions appears to be restricted to bilaterians. Taken together, most
bilaterian non-coding regulatory mechanisms appear to have originated before the
divergence of crown metazoans. However, differential expansion of non-coding RNA
and cis-regulatory DNA repertoires in bilaterians may account for their increased
regulatory and morphological complexity relative to non-bilaterians.
PMID- 27880872
TI - Manganese showcase symposium.
PMID- 27880871
TI - Spray-dried nanocrystals for a highly hydrophobic drug: Increased drug loading,
enhanced redispersity, and improved oral bioavailability.
AB - For a highly hydrophobic and drug, it is difficult to formulate and solidify its
nanocrystals with high drug loading and good redispersity. In this study,
Allisartan Isoproxil was used as a model drug, and SDS was tested in combination
with sugar alcohols to improve the drug loading and redispersity for its spray
dried nanocrystals, simultaneously. These spray-dried nanocrystals had high drug
loading of 61.7% and good redispersity, which was mainly attributed to the
addition of SDS. In addition, the nanocrystals were characterized by scanning
electron microscopy, differential scanning calorimetry, X-ray power diffraction
analysis, Fourier transform infrared spectroscopy and Raman spectroscopy. The
results showed that Allisartan Isoproxil was unchanged in chemical structure, but
was partially amorphous. Regarding the in vitro dissolution, the optimism
formulation shown an increased dissolution compared with the bulk drug and
aggregated nanocrystals. Importantly, the optimum formulation increased the oral
bioavailability of crude ALS-3 for 4.73 times. In conclusion, we developed a
method to solidify aqueous nanocrystals with increased drug loading, good
redispersity and improved bioavailability for high hydrophobic drugs.
PMID- 27880873
TI - Nurses' smoking habits and their professional smoking cessation practices. A
systematic review and meta-analysis.
AB - BACKGROUND: A better understanding of whether nurses' own smoking behaviours
influence their engagement with smoking cessation interventions is needed. AIM:
To establish whether the smoking status of nurses is associated with their
professional smoking cessation practices. METHODS: Twelve electronic databases
covering English and Spanish language publications from 01 Jan, 1996 to 25 Mar,
2015 were systematically searched. Studies were included if they reported nurses'
smoking cessation practices in relation to their personal smoking habits.
Proportions of nurses' smoking status and smoking cessation practices were pooled
across studies using random effects meta-analysis. RESULTS: Fifteen studies were
included in this systematic review. Levels of reportedsmoking cessation
interventions were generally low across the studies. The meta-analyses suggested
that nurses' personal smoking status was not associated significantly with nurses
always asking patients about their smoking, but nurses who smoked were 13% less
likely to advise their patients to quit and 25% less likely to arrange smoking
cessation follow-up. More intense interventions (assessing motivation and
assisting) were not significantly associated with the smoking status of the
nurse. CONCLUSIONS: The smoking status of nurses appears to have a negative
impact in the delivery of smoking cessation practices. The overall level of
nurses' engagement with the delivery of smoking cessation interventions requires
attention if nurses are to be effective agents of smoking cessation.
PMID- 27880869
TI - The impact of metagenomic interplay on the mosquito redox homeostasis.
AB - Mosquitoes are exposed to oxidative challenges throughout their life cycle. The
primary challenge comes from a blood meal. The blood digestion turns the midgut
into an oxidative environment, which imposes pressure not only on mosquito
fecundity and other physiological traits but also on the microbiota in the
midgut. During evolution, mosquitoes have developed numerous oxidative defense
mechanisms to maintain redox homeostasis in the midgut. In addition to
antioxidants, SOD, catalase, and glutathione system, sufficient supply of the
reducing agent, NADPH, is vital for a successful defense against oxidative
stress. Increasing evidence indicates that in response to oxidative stress, cells
reconfigure metabolic pathways to increase the generation of NADPH through NADP
reducing networks including the pentose phosphate pathway and others. The
microbial homeostasis is critical for the functional contributions to various
host phenotypes. The symbiotic microbiota is regulated largely by the Duox-ROS
pathway in Drosophila. In mosquitoes, Duox-ROS pathway, heme-mediated signaling,
antimicrobial peptide production and C-type lectins work in concert to maintain
the dynamic microbial community in the midgut. Microbial mechanisms against
oxidative stress in this context are not well understood. Emerging evidence that
microbial metabolites trigger host oxidative response warrants further study on
the metagenomic interplay in an oxidative environment like mosquito gut
ecosystem. Besides the classical Drosophila model, hematophagous insects like
mosquitoes provide an alternative model system to study redox homeostasis in a
symbiotic metagenomic context.
PMID- 27880874
TI - Specialized hybrid learners resolve Rogers' paradox about the adaptive value of
social learning.
AB - Culture is considered an evolutionary adaptation that enhances reproductive
fitness. A common explanation is that social learning, the learning mechanism
underlying cultural transmission, enhances mean fitness by avoiding the costs of
individual learning. This explanation was famously contradicted by Rogers (1988),
who used a simple mathematical model to show that cheap social learning can
invade a population without raising its mean fitness. He concluded that some
crucial factor remained unaccounted for, which would reverse this surprising
result. Here we extend this model to include a more complex environment and
limited resources, where individuals cannot reliably learn everything about the
environment on their own. Under such conditions, cheap social learning evolves
and enhances mean fitness, via hybrid learners capable of specializing their
individual learning. We then show that while spatial or social constraints hinder
the evolution of hybrid learners, a novel social learning strategy, complementary
copying, can mitigate these effects.
PMID- 27880875
TI - Stable polymorphism of cooperators and punishers in a public goods game.
AB - The role of punishment in the maintenance of cooperation has been emphasized
recently. However, the maintenance of punishment is not an obvious consequence
because punishment itself is also a public good; it is costly to perform and
hence vulnerable to exploitation. For example, cooperative punishers, who help
others and punish free riders, are disadvantageous in competition against pure
cooperators, who cooperate but do not punish free riders. In addition, pure
punishers, who do not help others but punish free riders, have been considered to
be selfish in conventional models, because they do not perform cooperation.
Instead, here we assume that performing either cooperation or punishment is
sufficient to avoid accusation from others because not only cooperators but also
pure punishers contribute to their society by reducing a threat of free riders.
Under such an assumption, we analyzed the evolutionary dynamics of pure
cooperators, pure punishers and free riders. We first showed that cooperation is
never maintained in a well-mixed population. When the population is spatially
structured, however, oscillatory rock-paper-scissors dynamics among those
strategies appear. We further find that a stable polymorphism of the three
strategies is attained when mutation is introduced. Our results indicate that
cooperation and punishment can be stably maintained even when one cannot perform
cooperation and punishment at the same time, and provide insights about the
coexistence of cooperation and punishment in real societies.
PMID- 27880876
TI - An integrative review of methylation at the serotonin transporter gene and its
dialogue with environmental risk factors, psychopathology and 5-HTTLPR.
AB - Gene-environment (G*E) interactions have largely been regarded as the root of
many complex disorders, including several psychiatric disorders. In this regard,
it has been hypothesized that epigenetic mechanisms may be the main mediators of
such interactions. Of particular interest is the previously described interaction
between psychosocial stress and genetic variability of the serotonin transporter
gene (SLC6A4) in its polymorphic region 5-HTTLPR. Here we review the literature
concerning SLC6A4 methylation in association with environmental, clinical or
genetic variables. While SLC6A4 hypermethylation has typically been described to
be independently associated with both early life stress and depressive disorders,
only a few papers address whether methylation could mediate the interaction
between stress and 5-HTTLPR in predicting psychopathological risk. Nevertheless,
research preliminarily indicates a methylation-driven increased vulnerability of
carriers of the short allele of 5-HTTLPR to psychiatric disorders when exposed to
early stress or soon after exposure to stress.
PMID- 27880877
TI - Association of the occurrence of Brazilian spotted fever and Atlantic rain forest
fragmentation in the Sao Paulo metropolitan region, Brazil.
AB - Brazilian Spotted Fever (BSF) is a zoonotic disease caused by the bacterium
Rickettsia rickettsii. In the Sao Paulo Metropolitan Region (SPMR) it is
transmitted by Amblyomma aureolatum ticks. In this region, annual lethality of
the disease can reach 80% and spatial occurrence depends on environmental factors
and more particularly on the presence and interaction of domestic and wild
carnivores as well as the presence and characteristics of the remnant Atlantic
Rain Forest patches. This study analyzed the association between forest
fragmentation and its influence on the risk of occurrence of the disease in the
human population. Domestic dogs tested for R. rickettsii antibodies in nine
different areas under the influence of different patterns of Rain Forest
fragmented landscapes and human occupancy. Landscape metrics were obtained by
analyzing satellite images and high-resolution orthophotos. Principal component
analysis (PCA) was used to determine among the different landscape variables the
one that could best explain the data variance, and the results were tested
against canine seroprevalence in order to address disease occurrence risk levels.
From 270 canine samples, the seroprevalence ranged from 0 to 37%. PCA showed an
inverse correlation between functionally connected large forest patches and the
canine seroprevalence for R. rickettsii (p=0.030; Spearman's R=-0.683), while
there was a positive correlation between forest border effect and canine
seroprevalence (p=0.037; Spearman's R=- 0.909). The further attributed disease
occurrence risk level supported the real spatial prevalence of the disease
reported for the last eight years (p=0.023; Spearman's R=0.63). The results
suggest an important relation of deforestation and fragmentation with the
occurrence of BSF in the SPMR.
PMID- 27880878
TI - Neurocysticercosis infection and disease-A review.
AB - Neurocysticercosis (NCC) is the most common parasitic disease of the human
central nervous system (CNS), a pleomorphic disease with a diverse array of
clinical manifestations. The infection is pleomorphic and dependent on a complex
range of interconnecting factors, including number and size of the cysticerci,
their stage of development and localisation within the brain with resulting
difficulties in accurate diagnosis and staging of the disease. This review
examines the factors that contribute to the accurate assessment of NCC
distribution and transmission that are critical to achieving robust disease
burden calculations. Control and prevention of T. solium transmission should be a
key priority in global health as intervention can reduce the substantial
healthcare and economic burdens inflicted by both NCC and taeniasis. Surveillance
systems need to be better established, including implementing obligatory
notification of cases. In the absence of reliable estimates of its global burden,
NCC will remain-along with other endemic zoonoses, of low priority in the eyes of
funding agencies-a truly neglected disease.
PMID- 27880870
TI - Formation and processing of DNA damage substrates for the hNEIL enzymes.
AB - Reactive oxygen species (ROS) are harnessed by the cell for signaling at the same
time as being detrimental to cellular components such as DNA. The genome and
transcriptome contain instructions that can alter cellular processes when
oxidized. The guanine (G) heterocycle in the nucleotide pool, DNA, or RNA is the
base most prone to oxidation. The oxidatively-derived products of G consistently
observed in high yields from hydroxyl radical, carbonate radical, or singlet
oxygen oxidations under conditions modeling the cellular reducing environment are
discussed. The major G base oxidation products are 8-oxo-7,8-dihydroguanine (OG),
5-carboxamido-5-formamido-2-iminohydantoin (2Ih), spiroiminodihydantoin (Sp), and
5-guanidinohydantoin (Gh). The yields of these products show dependency on the
oxidant and the reaction context that includes nucleoside, single-stranded DNA
(ssDNA), double-stranded DNA (dsDNA), and G-quadruplex DNA (G4-DNA) structures.
Upon formation of these products in cells, they are recognized by the DNA
glycosylases in the base excision repair (BER) pathway. This review focuses on
initiation of BER by the mammalian Nei-like1-3 (NEIL1-3) glycosylases for removal
of 2Ih, Sp, and Gh. The unique ability of the human NEILs to initiate removal of
the hydantoins in ssDNA, bulge-DNA, bubble-DNA, dsDNA, and G4-DNA is outlined.
Additionally, when Gh exists in a G4 DNA found in a gene promoter, NEIL-mediated
repair is modulated by the plasticity of the G4-DNA structure provided by
additional G-runs flanking the sequence. On the basis of these observations and
cellular studies from the literature, the interplay between DNA oxidation and BER
to alter gene expression is discussed.
PMID- 27880879
TI - Arsenic levels among pregnant women and newborns in Canada: Results from the
Maternal-Infant Research on Environmental Chemicals (MIREC) cohort.
AB - Arsenic is a common environmental contaminant from both naturally-occurring and
anthropomorphic sources and human exposure can be detected in various tissues.
Its toxicity depends on many factors including the chemical form, valence state,
bioavailability, metabolism and detoxification within the human body. Of
paramount concern, particularly with respect to health effects in children, is
the timing of exposure as the prenatal and early life periods are more
susceptible to toxic effects. The Maternal-Infant Research on Environmental
Chemicals (MIREC) cohort was established to obtain national-level biomonitoring
data for approximately 2,000 pregnant women and their infants between 2008 and
2011 from 10 Canadian cities. We measured total arsenic (As) in 1st and 3rd
trimester maternal blood, umbilical cord blood, and infant meconium and speciated
arsenic in 1st trimester maternal urine. Most pregnant women had detectable
levels of total arsenic in blood (92.5% and 87.3%, respectively, for 1st and 3rd
trimester); median difference between 1st and 3rd trimester was 0.1124ug/L
(p<0.0001), but paired samples were moderately correlated (Spearman r=0.41,
p<0.0001). Most samples were below the LOD for umbilical cord blood (50.9%) and
meconium (93.9%). In 1st trimester urine samples, a high percentage (>50%) of
arsenic species (arsenous acid (As-III), arsenic acid (As-V), monomethylarsonic
acid (MMA), and arsenobetaine (AsB)) were also below the limit of detection,
except dimethylarsinic acid (DMA). DMA (>85% detected) ranged from 17% of total fatty acids) and the Hippotraginae high amounts
of oligosaccharides (>0.4%).
PMID- 27880891
TI - A novel 3D in vitro metastasis model elucidates differential invasive strategies
during and after breaching basement membrane.
AB - Invasive breast cancer and other tumors of epithelial origin must breach a layer
of basement membrane (BM) that surrounds the primary tumor before invading into
the adjacent extracellular matrix. To analyze invasive strategies of breast
cancer cells during BM breaching and subsequent invasion into a collagen I-rich
extracellular matrix (ECM), we developed a physiologically relevant 3D in vitro
model that recreates the architecture of a solid tumor with an intact,
degradable, cell-assembled BM layer embedded in a collagen I environment. Using
this model we demonstrate that while the BM layer fully prevents dissemination of
non-malignant cells, cancer cells are capable of breaching it and invading into
the surrounding collagen, indicating that the developed system recreates a
hallmark of invasive disease. We demonstrate that cancer cells exhibiting
individual invasion in collagen matrices preferentially adopt a specific mode of
collective invasion when transmigrating a cell-assembled BM that is not observed
in any other tested fibrillar, non-fibrillar, or composite ECM. Matrix-degrading
enzymes are found to be crucial during BM breaching but not during subsequent
invasion in the collagen matrix. It is further shown that multicellular
transmigration of the BM is less susceptible to pharmacological MMP inhibition
than multicellular invasion in composite collagen/basement membrane extract
matrices. The newly developed in vitro model of metastasis allows 3D cancer cell
invasion to be studied not only as a function of a particular tumor's genetics
but also as a function of its heterogeneous environment and the different stages
of invasion. As such, this model is a valuable new tool with which to dissect
basic mechanisms of invasion and metastasis and develop new therapeutic
approaches in a physiologically relevant, yet inexpensive and highly tunable, in
vitro setting.
PMID- 27880890
TI - Potential antidepressant and resilience mechanism revealed by metabolomic study
on peripheral blood mononuclear cells of stress resilient rats.
AB - Resilience is an active coping response to stress, which plays a very important
role in major depressive disorder study. The molecular mechanisms underlying such
resilience are poorly understood. Peripheral blood mononuclear cells (PBMCs) were
promising objects in unveiling the underlying pathogenesis of resilience. Hereby
we carried out successive study on PBMCs metabolomics in resilient rats of
chronic unpredictable mild stress (CUMS) model. A gas chromatography-mass
spectrometry (GC-MS) metabolomic approach coupled with principal component
analysis (PCA) and orthogonal partial least-squares discriminant analysis (OPLS
DA) was used to detect differential metabolites in PBMCs of resilient rats.
Ingenuity Pathways Analysis (IPA) was applied for pathway analysis. A set of
differential metabolites including Malic acid, Ornithine, l-Lysine, Stigmasterol,
Oleic acid, gamma-Tocopherol, Adenosine and N-acetyl-d-glucosamine were
significantly altered in resilient rats, meanwhile promoting antidepressant
research. As revealed by IPA that aberrant energy metabolism, HIFalpha signaling,
neurotransmitter, O-GlcNAcylation and cAMP signaling cascade in peripheral might
be evolved in the pathogenesis of coping mechanism. The GC-MS based metabolomics
may contribute to better understanding of resilience, as well as shedding light
on antidepressant discovery.
PMID- 27880892
TI - Electrospinning versus microfluidic spinning of functional fibers for biomedical
applications.
AB - Micro- or nanofiber-based materials have extensive applications in biomedical
fields due to their capability to mimic many aspects of physiological
microenvironment in vivo. Fabricating micro- or nanofibers using biocompatible
and biodegradable materials is becoming of great interest in the area of
biomaterials and tissue engineering. Among the various technologies,
electrospinning and microfluidic spinning are the two promising approaches to
produce fibers at micro- and nano-scale. Choosing an appropriate spinning method
is critical important for a specific application. Although some review papers on
each spinning method have been published, a review comparing these two methods
has not been reported yet. In this review, we present an overview of the two
spinning methods including the spinning principle, their unique features and
materials selections. Several applications of fibers spun by both methods,
especially in tissue engineering, organ function regeneration and drug delivery
are introduced. The current challenges, future directions and potential
applications of these approaches are discussed as well.
PMID- 27880893
TI - Postoperative outcomes and quality of life following hysterectomy by natural
orifice transluminal endoscopic surgery (NOTES) compared to laparoscopy in women
with a non-prolapsed uterus and benign gynaecological disease: a systematic
review and meta-analysis.
AB - OBJECTIVE: To critically appraise studies comparing benefits and harms in women
with benign disease without prolapse undergoing hysterectomy by natural orifice
transluminal endoscopic surgery (NOTES) versus laparoscopy. STUDY DESIGN: We
followed the PRISMA guidelines. We searched MEDLINE, EMBASE and CENTRAL for
randomised controlled trials (RCTs), controlled clinical trials (CCTs) and cohort
studies comparing NOTES with laparoscopy assisted vaginal hysterectomy (LAVH) or
total laparoscopic hysterectomy (TLH) in women bound to undergo removal of a non
prolapsed uterus for benign disease. Two authors searched and selected studies,
extracted data and assessed the risk of bias independently. Any disagreement was
resolved by discussion or arbitration. RESULTS: We did not find RCTs but
retrieved two retrospective cohort studies comparing NOTES with LAVH. The study
quality as assessed by the Newcastle-Ottawa scale was acceptable. Both studies
reported no conversions. The operative time in women treated by NOTES was shorter
compared to LAVH: the mean difference (MD) was -22.04min (95% CI -28.00min to
16.08min; 342 women; 2 studies). There were no differences for complications in
women treated by NOTES compared to LAVH: the risk ratio (RR) was 0.57 (95% CI
0.17-1.91; 342 women; 2 studies). The length of stay was shorter in women treated
by NOTES versus LAVH: the MD was -0.42days (95% CI -0.59days to -0.25days; 342
women; 2 studies). There were no differences for the median VAS scores at 12h
between women treated by NOTES (median 2, range 0-6) or by LAVH (median 2, range
0-6) (48 women, 1 study). There were no differences in the median additional
analgesic dose request in women treated by NOTES (median 0, range 0-6) or by LAVH
(median 1, range 0-5) (48 women, 1 study). The hospital charges for treatment by
NOTES were higher compared to LAVH: the mean difference was 137.00 ? (95% CI
88.95-185.05 ?; 294 women; 1 study). CONCLUSIONS: At the present NOTES should be
considered as a technique under evaluation for use in gynaecological surgery.
RCTs are needed to demonstrate its effectiveness.
PMID- 27880894
TI - Requirement for Dicer in Maintenance of Monosynaptic Sensory-Motor Circuits in
the Spinal Cord.
AB - In contrast to our knowledge of mechanisms governing circuit formation, our
understanding of how neural circuits are maintained is limited. Here, we show
that Dicer, an RNaseIII protein required for processing microRNAs (miRNAs), is
essential for maintenance of the spinal monosynaptic stretch reflex circuit in
which group Ia proprioceptive sensory neurons form direct connections with motor
neurons. In postnatal mice lacking Dicer in proprioceptor sensory neurons, there
are no obvious defects in specificity or formation of monosynaptic sensory-motor
connections. However, these circuits degrade through synapse loss and retraction
of proprioceptive axonal projections from the ventral spinal cord. Peripheral
terminals are also impaired without retracting from muscle targets.
Interestingly, despite these central and peripheral axonal defects,
proprioceptive neurons survive in the absence of Dicer-processed miRNAs. These
findings reveal that Dicer, through its production of mature miRNAs, plays a key
role in the maintenance of monosynaptic sensory-motor circuits.
PMID- 27880895
TI - p53 Regulates Progenitor Cell Quiescence and Differentiation in the Airway.
AB - Mechanisms that regulate progenitor cell quiescence and differentiation in slowly
replacing tissues are not fully understood. Here, we demonstrate that the tumor
suppressor p53 regulates both proliferation and differentiation of progenitors in
the airway epithelium. p53 loss decreased ciliated cell differentiation and
increased the self-renewal and proliferative capacity of club progenitors,
increasing epithelial cell density. p53-deficient progenitors generated a
pseudostratified epithelium containing basal-like cells in vitro and putative
bronchioalveolar stem cells in vivo. Conversely, an additional copy of p53
increased quiescence and ciliated cell differentiation, highlighting the
importance of tight regulation of p53 levels. Using single-cell RNA sequencing,
we found that loss of p53 altered the molecular phenotype of progenitors and
differentially modulated cell-cycle regulatory genes. Together, these findings
reveal that p53 is an essential regulator of progenitor cell behavior, which
shapes our understanding of stem cell quiescence during homeostasis and in cancer
development.
PMID- 27880896
TI - RNF166 Determines Recruitment of Adaptor Proteins during Antibacterial Autophagy.
AB - Xenophagy is a form of selective autophagy that involves the targeting and
elimination of intracellular pathogens through several recognition, recruitment,
and ubiquitination events. E3 ubiquitin ligases control substrate selectivity in
the ubiquitination cascade; however, systematic approaches to map the role of E3
ligases in antibacterial autophagy have been lacking. We screened more than 600
putative human E3 ligases, identifying E3 ligases that are required for adaptor
protein recruitment and LC3-bacteria colocalization, critical steps in
antibacterial autophagy. An unbiased informatics approach pinpointed RNF166 as a
key gene that interacts with the autophagy network and controls the recruitment
of ubiquitin as well as the autophagy adaptors p62 and NDP52 to bacteria.
Mechanistic studies demonstrated that RNF166 catalyzes K29- and K33-linked
polyubiquitination of p62 at residues K91 and K189. Thus, our study expands the
catalog of E3 ligases that mediate antibacterial autophagy and identifies a
critical role for RNF166 in this process.
PMID- 27880899
TI - LC3C Contributes to Vpu-Mediated Antagonism of BST2/Tetherin Restriction on HIV-1
Release through a Non-canonical Autophagy Pathway.
AB - BST2 (bone marrow stromal antigen 2)/tetherin is a restriction factor of
enveloped viruses, which blocks the release of viral particles. HIV-1 encodes
proteins that antagonize this innate barrier, including the accessory protein
Vpu. Here, we investigate whether the autophagy pathway and/or ATG proteins are
hijacked by HIV-1 Vpu to circumvent BST2 restriction of viral release. We report
that BST2 and Vpu are present in LC3-positive compartments. We found that Vpu
selectively interacts with the ATG8 ortholog LC3C through the Vpu L63VEM66
sequence. This sequence is required for Vpu to antagonize BST2 restriction. LC3C
expression favors the removal of BST2 from the HIV-1 budding site, and thus HIV-1
release in BST2-expressing cells. Additionally, ATG5 and beclin 1/ATG6, but not
all the components of the autophagy pathway, act with LC3C to facilitate Vpu
antagonism of BST2 restriction. Altogether, our data support the view that a non
canonical autophagy pathway reminiscent of LC3-associated phagocytosis
contributes to Vpu counteraction of BST2 restriction.
PMID- 27880898
TI - HIV-1 Control by NK Cells via Reduced Interaction between KIR2DL2 and HLA
C*12:02/C*14:03.
AB - Natural killer (NK) cells control viral infection in part through the interaction
between killer cell immunoglobulin-like receptors (KIRs) and their human
leukocyte antigen (HLA) ligands. We investigated 504 anti-retroviral (ART)-free
Japanese patients chronically infected with HIV-1 and identified two KIR/HLA
combinations, KIR2DL2/HLA-C*12:02 and KIR2DL2/HLA-C*14:03, that impact
suppression of HIV-1 replication. KIR2DL2+ NK cells suppressed viral replication
in HLA-C*14:03+ or HLA-C*12:02+ cells to a significantly greater extent than did
KIR2DL2- NK cells in vitro. Functional analysis showed that the binding between
HIV-1-derived peptide and HLA-C*14:03 or HLA-C*12:02 influenced KIR2DL2+ NK cell
activity through reduced expression of the peptide-HLA (pHLA) complex on the cell
surface (i.e., reduced KIR2DL2 ligand expression), rather than through reduced
binding affinity of KIR2DL2 to the respective pHLA complexes. Thus, KIR2DL2/HLA
C*12:02 and KIR2DL2/HLA-C*14:03 compound genotypes have protective effects on
control of HIV-1 through a mechanism involving KIR2DL2-mediated NK cell
recognition of virus-infected cells, providing additional understanding of NK
cells in HIV-1 infection.
PMID- 27880900
TI - Tailored Tumor Immunogenicity Reveals Regulation of CD4 and CD8 T Cell Responses
against Cancer.
AB - CD4 and CD8 T cells play a pivotal role in controlling tumor growth. However, the
interplay of both cell types and their role in tumor suppression still remain
elusive. In this study, we investigated the regulation of CD4 and CD8 T cell
responses to different classes of tumor-specific antigens in liver cancer mouse
models. Tumors were induced in p19Arf-deficient mice by hydrodynamic injection of
transposon plasmids encoding NrasG12V and pre-defined tumor antigens. This
allowed for assessing the regulation of tumor-specific CD4 and CD8 T cell
responses. We showed that MHC class I tumor immunogenicity was essential to
trigger tumor-directed CD4 T cells. Tumor-specific CD8 T cell responses arose
independently of CD4 T cells, but they required Th1-polarized CD4 T cells for
efficient tumor suppression. Our results further indicate that the immune system
is incapable of eliciting sufficient numbers of T cells directed against antigens
derived from immunoedited tumors, which consequently leads to a lack of T-cell
mediated tumor suppression in untreated hosts.
PMID- 27880897
TI - Direct Probing of Germinal Center Responses Reveals Immunological Features and
Bottlenecks for Neutralizing Antibody Responses to HIV Env Trimer.
AB - Generating tier 2 HIV-neutralizing antibody (nAb) responses by immunization
remains a challenging problem, and the immunological barriers to induction of
such responses with Env immunogens remain unclear. Here, some rhesus monkeys
developed autologous tier 2 nAbs upon HIV Env trimer immunization (SOSIP.v5.2)
whereas others did not. This was not because HIV Env trimers were immunologically
silent because all monkeys made similar ELISA-binding antibody responses; the key
difference was nAb versus non-nAb responses. We explored the immunological
barriers to HIV nAb responses by combining a suite of techniques, including
longitudinal lymph node fine needle aspirates. Unexpectedly, nAb development best
correlated with booster immunization GC B cell magnitude and Tfh characteristics
of the Env-specific CD4 T cells. Notably, these factors distinguished between
successful and unsuccessful antibody responses because GC B cell frequencies and
stoichiometry to GC Tfh cells correlated with nAb development, but did not
correlate with total Env Ab binding titers.
PMID- 27880901
TI - Stress Kinase GCN2 Controls the Proliferative Fitness and Trafficking of
Cytotoxic T Cells Independent of Environmental Amino Acid Sensing.
AB - GCN2 is one of four "stress kinases" that block translation by phosphorylating
eIF2alpha. GCN2 is thought to bind uncharged tRNAs to "sense" amino acid
availability. In mammals, myeloid cells expressing indoleamine dioxygenases
locally deplete tryptophan, which is detected by GCN2 in T cells to cause
proliferative arrest. GCN2-deficient T cells were reported to ectopically enter
the cell cycle when tryptophan was limiting. Using GCN2-deficient strains crossed
to T cell receptor (TCR) transgenic backgrounds, we found GCN2 is essential for
induction of stress target genes such as CHOP. However, GCN2-deficient CD8+ T
cells fail to proliferate in limiting tryptophan, arginine, leucine, lysine, or
asparagine, the opposite of what previous studies concluded. In vitro and in vivo
proliferation experiments show that GCN2-deficient CD8+ T cells have T cell
intrinsic proliferative and trafficking defects not observed in CD4+ T cells.
Thus, GCN2 is required for normal cytotoxic T cell function.
PMID- 27880904
TI - LYVE1 Marks the Divergence of Yolk Sac Definitive Hemogenic Endothelium from the
Primitive Erythroid Lineage.
AB - The contribution of the different waves and sites of developmental hematopoiesis
to fetal and adult blood production remains unclear. Here, we identify lymphatic
vessel endothelial hyaluronan receptor-1 (LYVE1) as a marker of yolk sac (YS)
endothelium and definitive hematopoietic stem and progenitor cells (HSPCs).
Endothelium in mid-gestation YS and vitelline vessels, but not the dorsal aorta
and placenta, were labeled by Lyve1-Cre. Most YS HSPCs and erythro-myeloid
progenitors were Lyve1-Cre lineage traced, but primitive erythroid cells were
not, suggesting that they represent distinct lineages. Fetal liver (FL) and adult
HSPCs showed 35%-40% Lyve1-Cre marking. Analysis of circulation-deficient Ncx1-/-
concepti identified the YS as a major source of Lyve1-Cre labeled HSPCs. FL
proerythroblast marking was extensive at embryonic day (E) 11.5-13.5, but
decreased to hematopoietic stem cell (HSC) levels by E16.5, suggesting that HSCs
from multiple sources became responsible for erythropoiesis. Lyve1-Cre thus marks
the divergence between YS primitive and definitive hematopoiesis and provides a
tool for targeting YS definitive hematopoiesis and FL colonization.
PMID- 27880902
TI - Forward Genetic Screens in Zebrafish Identify Pre-mRNA-Processing Pathways
Regulating Early T Cell Development.
AB - Lymphocytes represent basic components of vertebrate adaptive immune systems,
suggesting the utility of non-mammalian models to define the molecular basis of
their development and differentiation. Our forward genetic screens in zebrafish
for recessive mutations affecting early T cell development revealed several major
genetic pathways. The identification of lineage-specific transcription factors
and specific components of cytokine signaling and DNA replication and/or repair
pathways known from studies of immunocompromised mammals provided an evolutionary
cross-validation of the screen design. Unexpectedly, however, genes encoding
proteins required for pre-mRNA processing were enriched in the collection of
mutants identified here. In both zebrafish and mice, deficiency of the splice
regulator TNPO3 impairs intrathymic T cell differentiation, illustrating the
evolutionarily conserved and cell-type-specific functions of certain pre-mRNA
processing factors for T cell development.
PMID- 27880905
TI - Semaphorin 3G Provides a Repulsive Guidance Cue to Lymphatic Endothelial Cells
via Neuropilin-2/PlexinD1.
AB - The vertebrate circulatory system is composed of closely related blood and
lymphatic vessels. It has been shown that lymphatic vascular patterning is
regulated by blood vessels during development, but its molecular mechanisms have
not been fully elucidated. Here, we show that the artery-derived ligand
semaphorin 3G (Sema3G) and the endothelial cell receptor PlexinD1 play a role in
lymphatic vascular patterning. In mouse embryonic back skin, genetic inactivation
of Sema3G or PlexinD1 results in abnormal artery-lymph alignment and reduced
lymphatic vascular branching. Conditional ablation in mice demonstrates that
PlexinD1 is primarily required in lymphatic endothelial cells (LECs). In vitro
analyses show that Sema3G binds to neuropilin-2 (Nrp2), which forms a receptor
complex with PlexinD1. Sema3G induces cell collapse in an Nrp2/PlexinD1-dependent
manner. Our findings shed light on a molecular mechanism by which LECs are
distributed away from arteries and form a branching network during lymphatic
vascular development.
PMID- 27880903
TI - miRNAs Are Essential for the Regulation of the PI3K/AKT/FOXO Pathway and Receptor
Editing during B Cell Maturation.
AB - B cell development is a tightly regulated process dependent on sequential
rearrangements of immunoglobulin loci that encode the antigen receptor. To
elucidate the role of microRNAs (miRNAs) in the orchestration of B cell
development, we ablated all miRNAs at the earliest stage of B cell development by
conditionally targeting the enzymes critical for RNAi in early B cell precursors.
Absence of any one of these enzymes led to a block at the pro- to pre-B cell
transition due to increased apoptosis and a failure of pre-B cells to
proliferate. Expression of a Bcl2 transgene allowed for partial rescue of B cell
development, however, the majority of the rescued B cells had low surface
immunoglobulin expression with evidence of ongoing light chain editing. Our
analysis revealed that miRNAs are critical for the regulation of the PTEN-AKT
FOXO1 pathway that in turn controls Rag expression during B cell development.
PMID- 27880907
TI - Tet3-Mediated DNA Demethylation Contributes to the Direct Conversion of
Fibroblast to Functional Neuron.
AB - The direct conversion of somatic cells to neurons by bypassing the multipotent
cell state may be a powerful approach for personalized medicine. In addition to
neuronal transcription factors and multiple small molecules, we find that
epigenetic modification also contributes to the direct conversion of fibroblasts
to neurons. Here, we show that Tet3, a DNA dioxygenase, can rapidly and
efficiently convert fibroblasts directly into functional neurons. The induced
neurons (iNs) express pan and mature neuronal markers such as Tuj1, Synapsin, and
neuronal nuclei (NeuN). Gene expression profiles demonstrate distinct neuron
specific gene clusters in iNs compared with primary neurons. Induced neurons
display maturing firing patterns and form functional synapses. Additionally, we
observe that the level of 5hmC in iNs gradually increases during the time course
of transdifferentiation. These findings suggest that DNA demethylation may
regulate direct lineage commitment, representing an avenue for investigating the
process of transdifferentiation.
PMID- 27880906
TI - Emergence of a Wave of Wnt Signaling that Regulates Lung Alveologenesis by
Controlling Epithelial Self-Renewal and Differentiation.
AB - Alveologenesis is the culmination of lung development and involves the correct
temporal and spatial signals to generate the delicate gas exchange interface
required for respiration. Using a Wnt-signaling reporter system, we demonstrate
the emergence of a Wnt-responsive alveolar epithelial cell sublineage, which
arises during alveologenesis, called the axin2+ alveolar type 2 cell, or
AT2Axin2. The number of AT2Axin2 cells increases substantially during late lung
development, correlating with a wave of Wnt signaling during alveologenesis.
Transcriptome analysis, in vivo clonal analysis, and ex vivo lung organoid assays
reveal that AT2sAxin2 promote enhanced AT2 cell growth during generation of the
alveolus. Activating Wnt signaling results in the expansion of AT2s, whereas
inhibition of Wnt signaling inhibits AT2 cell development and shunts alveolar
epithelial development toward the alveolar type 1 cell lineage. These findings
reveal a wave of Wnt-dependent AT2 expansion required for lung alveologenesis and
maturation.
PMID- 27880908
TI - Conditional Loss of Pten in Myogenic Progenitors Leads to Postnatal Skeletal
Muscle Hypertrophy but Age-Dependent Exhaustion of Satellite Cells.
AB - Skeletal muscle stem cells (satellite cells [SCs]) are normally maintained in a
quiescent (G0) state. Muscle injury not only activates SCs locally, but also
alerts SCs in distant uninjured muscles via circulating factors. The resulting
GAlert SCs are adapted to regenerative cues and regenerate injured muscles more
efficiently, but whether they provide any long-term benefits to SCs is unknown.
Here, we report that embryonic myogenic progenitors lacking the phosphatase and
tensin homolog (Pten) exhibit enhanced proliferation and differentiation,
resulting in muscle hypertrophy but fewer SCs in adult muscles. Interestingly,
Pten null SCs are predominantly in the GAlert state, even in the absence of an
injury. The GAlert SCs are deficient in self-renewal and subjected to accelerated
depletion during regeneration and aging and fail to repair muscle injury in old
mice. Our findings demonstrate a key requirement of Pten in G0 entry of SCs and
provide functional evidence that prolonged GAlert leads to stem cell depletion
and regenerative failure.
PMID- 27880909
TI - Nfix Induces a Switch in Sox6 Transcriptional Activity to Regulate MyHC-I
Expression in Fetal Muscle.
AB - Sox6 belongs to the Sox gene family and plays a pivotal role in fiber type
differentiation, suppressing transcription of slow-fiber-specific genes during
fetal development. Here, we show that Sox6 plays opposite roles in MyHC-I
regulation, acting as a positive and negative regulator of MyHC-I expression
during embryonic and fetal myogenesis, respectively. During embryonic myogenesis,
Sox6 positively regulates MyHC-I via transcriptional activation of Mef2C, whereas
during fetal myogenesis, Sox6 requires and cooperates with the transcription
factor Nfix in repressing MyHC-I expression. Mechanistically, Nfix is necessary
for Sox6 binding to the MyHC-I promoter and thus for Sox6 repressive function,
revealing a key role for Nfix in driving Sox6 activity. This feature is
evolutionarily conserved, since the orthologs Nfixa and Sox6 contribute to
repression of the slow-twitch phenotype in zebrafish embryos. These data
demonstrate functional cooperation between Sox6 and Nfix in regulating MyHC-I
expression during prenatal muscle development.
PMID- 27880910
TI - CDK12 Inhibition Reverses De Novo and Acquired PARP Inhibitor Resistance in BRCA
Wild-Type and Mutated Models of Triple-Negative Breast Cancer.
AB - Although poly(ADP-ribose) polymerase (PARP) inhibitors are active in homologous
recombination (HR)-deficient cancers, their utility is limited by acquired
resistance after restoration of HR. Here, we report that dinaciclib, an inhibitor
of cyclin-dependent kinases (CDKs) 1, 2, 5, and 9, additionally has potent
activity against CDK12, a transcriptional regulator of HR. In BRCA-mutated triple
negative breast cancer (TNBC) cells and patient-derived xenografts (PDXs),
dinaciclib ablates restored HR and reverses PARP inhibitor resistance.
Additionally, we show that de novo resistance to PARP inhibition in BRCA1-mutated
cell lines and a PDX derived from a PARP-inhibitor-naive BRCA1 carrier is
mediated by residual HR and is reversed by CDK12 inhibition. Finally, dinaciclib
augments the degree of response in a PARP-inhibitor-sensitive model, converting
tumor growth inhibition to durable regression. These results highlight the
significance of HR disruption as a therapeutic strategy and support the broad use
of combined CDK12 and PARP inhibition in TNBC.
PMID- 27880911
TI - USP44 Is an Integral Component of N-CoR that Contributes to Gene Repression by
Deubiquitinating Histone H2B.
AB - Decreased expression of the USP44 deubiquitinase has been associated with global
increases in H2Bub1 levels during mouse embryonic stem cell (mESC)
differentiation. However, whether USP44 directly deubiquitinates histone H2B or
how its activity is targeted to chromatin is not known. We identified USP44 as an
integral subunit of the nuclear receptor co-repressor (N-CoR) complex. USP44
within N-CoR deubiquitinates H2B in vitro and in vivo, and ablation of USP44
impairs the repressive activity of the N-CoR complex. Chromatin
immunoprecipitation (ChIP) experiments confirmed that USP44 recruitment reduces
H2Bub1 levels at N-CoR target loci. Furthermore, high expression of USP44
correlates with reduced levels of H2Bub1 in the breast cancer cell line MDA-MB
231. Depletion of either USP44 or TBL1XR1 impairs the invasiveness of MDA-MB-231
cells in vitro and causes an increase of global H2Bub1 levels. Our findings
indicate that USP44 contributes to N-CoR functions in regulating gene expression
and is required for efficient invasiveness of triple-negative breast cancer
cells.
PMID- 27880912
TI - CENP-A Is Dispensable for Mitotic Centromere Function after Initial
Centromere/Kinetochore Assembly.
AB - Human centromeres are defined by chromatin containing the histone H3 variant CENP
A assembled onto repetitive alphoid DNA sequences. By inducing rapid, complete
degradation of endogenous CENP-A, we now demonstrate that once the first steps of
centromere assembly have been completed in G1/S, continued CENP-A binding is not
required for maintaining kinetochore attachment to centromeres or for centromere
function in the next mitosis. Degradation of CENP-A prior to kinetochore assembly
is found to block deposition of CENP-C and CENP-N, but not CENP-T, thereby
producing defective kinetochores and failure of chromosome segregation. Without
the continuing presence of CENP-A, CENP-B binding to alphoid DNA sequences
becomes essential to preserve anchoring of CENP-C and the kinetochore to each
centromere. Thus, there is a reciprocal interdependency of CENP-A chromatin and
the underlying repetitive centromere DNA sequences bound by CENP-B in the
maintenance of human chromosome segregation.
PMID- 27880913
TI - mDia and ROCK Mediate Actin-Dependent Presynaptic Remodeling Regulating Synaptic
Efficacy and Anxiety.
AB - Here, we show neuronal inactivation-induced presynaptic remodeling and
involvement of the mammalian homolog of Diaphanous (mDia) and Rho-associated
coiled-coil-containing kinase (ROCK), Rho-regulated modulators of actin and
myosin, in this process. We find that social isolation induces inactivation of
nucleus accumbens (NAc) neurons associated with elevated anxiety-like behavior,
and that mDia in NAc neurons is essential in this process. Upon inactivation of
cultured neurons, mDia induces circumferential actin filaments around the edge of
the synaptic cleft, which contract the presynaptic terminals in a ROCK-dependent
manner. Social isolation induces similar mDia-dependent presynaptic contraction
at GABAergic synapses from NAc neurons in the ventral tegmental area (VTA)
associated with reduced synaptic efficacy. Optogenetic stimulation of NAc neurons
rescues the anxiety phenotype, and injection of a specific ROCK inhibitor, Y
27632, into the VTA reverses both presynaptic contraction and the behavioral
phenotype. mDia-ROCK signaling thus mediates actin-dependent presynaptic
remodeling in inactivated NAc neurons, which underlies synaptic plasticity in
emotional behavioral responses.
PMID- 27880914
TI - Neuronal CTCF Is Necessary for Basal and Experience-Dependent Gene Regulation,
Memory Formation, and Genomic Structure of BDNF and Arc.
AB - CCCTC-binding factor (CTCF) is an organizer of higher-order chromatin structure
and regulates gene expression. Genetic studies have implicated mutations in CTCF
in intellectual disabilities. However, the role of CTCF-mediated chromatin
structure in learning and memory is unclear. We show that depletion of CTCF in
postmitotic neurons, or depletion in the hippocampus of adult mice through viral
mediated knockout, induces deficits in learning and memory. These deficits in
learning and memory at the beginning of adulthood are correlated with impaired
long-term potentiation and reduced spine density, with no changes in basal
synaptic transmission and dendritic morphogenesis and arborization. Cognitive
disabilities are associated with downregulation of cadherin and learning-related
genes. In addition, CTCF knockdown attenuates fear-conditioning-induced
hippocampal gene expression of key learning genes and loss of long-range
interactions at the BDNF and Arc loci. This study thus suggests that CTCF
dependent gene expression regulation and genomic organization are regulators of
learning and memory.
PMID- 27880917
TI - Phenotypic and Interaction Profiling of the Human Phosphatases Identifies Diverse
Mitotic Regulators.
AB - Reversible phosphorylation is a fundamental regulatory mechanism, intricately
coordinated by kinases and phosphatases, two classes of enzymes widely disrupted
in human disease. To better understand the functions of the relatively
understudied phosphatases, we have used complementary affinity purification and
proximity-based interaction proteomics approaches to generate a physical
interactome for 140 human proteins harboring phosphatase catalytic domains. We
identified 1,335 high-confidence interactions (1,104 previously unreported),
implicating these phosphatases in the regulation of a variety of cellular
processes. Systematic phenotypic profiling of phosphatase catalytic and
regulatory subunits revealed that phosphatases from every evolutionary family
impinge on mitosis. Using clues from the interactome, we have uncovered
unsuspected roles for DUSP19 in mitotic exit, CDC14A in regulating microtubule
integrity, PTPRF in mitotic retraction fiber integrity, and DUSP23 in centriole
duplication. The functional phosphatase interactome further provides a rich
resource for ascribing functions for this important class of enzymes.
PMID- 27880918
TI - Adsorption of As(III) on porous hematite synthesized from goethite concentrate.
AB - Arsenite (As(III)) is toxic in drinking water, which becomes an environmental
concern worldwide. This work was to synthesize porous hematite through the
calcination of natural goethite concentrate for As(III) adsorption, including
adsorption kinetics, isotherms and the influence of pH and temperature. The
calcination was performed at 300 degrees C for 180 min, producing porous
hematite with large amount of micropores. The maximum adsorption capacity of
As(III) on porous hematite was achieved at pH 6.0 and 25 degrees C, about 14.46
mg g-1, compared with 2.965 mg g-1 on the original goethite concentrate. The
improvement might be attributed to the formation of micropores and thus the
increase in the surface area. Also, it was found that the adsorption was strongly
pH dependent and reduced with increasing temperature. It is indicated that the
low-cost porous hematite has great potential in As(III) removal from contaminated
water.
PMID- 27880916
TI - NRL-Regulated Transcriptome Dynamics of Developing Rod Photoreceptors.
AB - Gene regulatory networks (GRNs) guiding differentiation of cell types and cell
assemblies in the nervous system are poorly understood because of inherent
complexities and interdependence of signaling pathways. Here, we report
transcriptome dynamics of differentiating rod photoreceptors in the mammalian
retina. Given that the transcription factor NRL determines rod cell fate, we
performed expression profiling of developing NRL-positive (rods) and NRL-negative
(S-cone-like) mouse photoreceptors. We identified a large-scale, sharp transition
in the transcriptome landscape between postnatal days 6 and 10 concordant with
rod morphogenesis. Rod-specific temporal DNA methylation corroborated gene
expression patterns. De novo assembly and alternative splicing analyses revealed
previously unannotated rod-enriched transcripts and the role of NRL in transcript
maturation. Furthermore, we defined the relationship of NRL with other
transcriptional regulators and downstream cognate effectors. Our studies provide
the framework for comprehensive system-level analysis of the GRN underlying the
development of a single sensory neuron, the rod photoreceptor.
PMID- 27880915
TI - Blunted mGluR Activation Disinhibits Striatopallidal Transmission in Parkinsonian
Mice.
AB - The prevailing circuit model predicts that hyperactivity of the striatopallidal
pathway and subsequently increased inhibition of external globus pallidus (GPe)
neurons lead to the hypokinetic symptoms of Parkinson's disease (PD). It is
believed that hyperactivity of the striatopallidal pathway is due to inactivity
of dopamine receptors on the somatodendritic membrane of striatopallidal neurons,
but the exact cellular underpinnings remain unclear. In this study, we show that
mouse GPe astrocytes critically control ambient glutamate level, which in turn
gates striatopallidal transmission via the activation of presynaptic metabotropic
glutamate receptors. This presynaptic inhibition of striatopallidal transmission
is diminished after the chronic loss of dopamine. Elevation of intracellular
glutamate content in astrocytes restores the proper regulation of the
striatopallidal input in PD models. These findings argue that astrocytes are key
regulators of the striatopallidal synapse. Targeting this cell class may serve as
an alternative therapeutic strategy for PD.
PMID- 27880920
TI - Peroxidases from root exudates of Medicago sativa and Sorghum bicolor: Catalytic
properties and involvement in PAH degradation.
AB - Peroxidases from root exudates of sorghum (Sorghum bicolor L. Moench) and alfalfa
(Medicago sativa L.) were purified and characterized, and their ability to
oxidize native PAHs and PAH-derivatives was evaluated. The obtained data confirm
that peroxidases are involved in the rhizosphere degradation of PAHs.
Nondenaturing PAGE showed that the peroxidases of both plants were represented by
a range of isoforms/isoenzymes (five to eight). Minor forms were lost during
further purification, and as a result, the major anionic form from alfalfa root
exudates and the major cationic form from those of sorghum were obtained. Both
electrophoretically homogeneous peroxidases were monomeric proteins with a
molecular weight of about 46-48 kDa. The pH optima and the main catalytic
constants for the test substrates were determined. On the basis of their
molecular and catalytic properties, the obtained enzymes were found to be typical
plant peroxidases. Derivatives of PAHs and potential products of their microbial
degradation (9-phenanthrol and 9,10-phenanthrenequinone), unlike the parent PAH
(phenanthrene), inhibited the catalytic activity of the peroxidases, possibly
indicating greater availability of the enzymes' active centers to these
substances. Peroxidase-catalyzed decreases in the concentrations of a number of
PAHs and their derivatives were observed. Sorghum peroxidase oxidized anthracene
and phenanthrene, while alfalfa peroxidase oxidized only phenanthrene. 1-Hydroxy
2-naphthoic acid was best oxidized by peroxidase of alfalfa. However, quinone
derivatives of PAHs were unavailable to sorghum peroxidase, but were oxidized by
alfalfa peroxidase. These results indicate that the major peroxidases from root
exudates of alfalfa and sorghum can have a role in the rhizosphere degradation of
PAHs.
PMID- 27880919
TI - Activation of G protein-coupled receptor 30 by thiodiphenol promotes
proliferation of estrogen receptor alpha-positive breast cancer cells.
AB - Many studies have been shown that environmental estrogen bisphenol A (BPA) can
activate nuclear receptor (estrogen receptor alpha, ERalpha) or membrane receptor
(G-protein-coupled receptor, GPR30) in breast cancer cells and exerts genomic or
nongenomic actions inducing cell proliferation. 4,4'-thiodiphenol (TDP) as one of
BPA derivatives exhibits more potent estrogenic activity than BPA does. However,
comparatively little is known about the ways in which TDP interferes with these
signaling pathways and produces cell biological changes. This study evaluated the
effect of TDP on cell viability, reactive oxygen species (ROS) formation, and
intercellular calcium (Ca2+) fluctuation in MCF-7 breast cancer cells. The
underlying molecular mechanism of cell proliferation induced by TDP was analyzed
by examining the activation of ERalpha and GPR30-mediated phosphatidylinotidol 3
kinase/protein kinase B (PI3K/AKT) and extracellular-signa1regulated kinase
(ERK1/2) signaling pathways. The results showed that exposure to 0.1-10 MUM TDP
for 24, 48, and 72 h significantly increased viability of MCF-7 cells. At the
same concentration range, TDP exposure for 3 and 24 h markedly elevated ROS
production and intracellular Ca2+ levels. In addition, 0.01-1 MUM TDP
significantly increased the expression of ERalpha, GPR30, p-AKT and p-ERK1/2
protein. Specific protein inhibitors blocked phosphorylation of ERK1/2 and AKT
and decreased TDP-induced cell proliferation. These findings show that TDP
activated the GPR30-PI3K/AKT and ERK1/2 pathways, and the resulting interaction
with ERalpha stimulated MCF-7 cell proliferation. Our results indicate a novel
mechanism through which TDP may exert relevant estrogenic action in ERalpha
positive cancer cells.
PMID- 27880921
TI - Determination of the gut retention of plastic microbeads and microfibers in
goldfish (Carassius auratus).
AB - Microplastics are ubiquitous pollutants in aquatic habitats and commonly found in
the gut contents of fish yet relatively little is known about the retention of
these particles by fish. In this study, goldfish were fed a commercial fish food
pellet amended with 50 particles of one of two microplastics types, microbeads
and microfibers. Microbeads were obtained from a commercial facial cleanser while
microfibers were obtained from washed synthetic textile. Following consumption of
the amended pellet, fish were allowed to feed to satiation on non-amended food
followed by fasting for periods ranging from 1.5 h to 6 days. Fish sacrificed at
different time points were dissected to remove gut contents and the digesta
contents retention and microplastic retention was determined. Although a small
number of microplastic particles were retained in fish GI-tracts after 6 days (0
3 particles/50), the retention of microplastics was generally similar to the
retention of bulk digesta contents. According to a breakpoint regression model
fitted to digesta contents and microplastic particles, the 50% and 90% evacuation
times were 10 h and 33.4 h, respectively. The results of this study indicate that
neither microbeads nor microfibers are likely to accumulate within the gut
contents of fish over successive meals.
PMID- 27880922
TI - Genotoxicity induced by water and sediment samples from a river under the
influence of brewery effluent.
AB - Brewery effluents contain complex mixtures that are discharged into rivers.
Therefore, it is necessary to evaluate the genotoxic potential of these
effluents. The study evaluated the genotoxicity of surface water and sediment
samples from the Jacui River in the state of Rio Grande do Sul, Brazil, which
received effluents discharged from a brewery. The Salmonella/microsome test,
Comet Assay and Micronucleus test on V79 cells, as well as the element profile
(PIXE) and PAHs levels were used for this purpose. The surface water and sediment
samples were collected in summer at three sites: 1 km upstream from the brewery
discharge site (Site A); in front of the effluent discharge site, after chemical
and biological treatment (Site B); about 1 km downstream from the discharge site
(Site C). Only a sediment sample from Site A induced a mutagenic effect using the
Salmonella/microsoma test (TA97a). All three sites presented genotoxicity (A, B
and C), both for water and sediments using comet assay, and mutagenicity in the
samples from Site B (surface water) and Site A and Site C (sediments) using the
micronuclei tests. The results of PIXE and PAHs showed higher levels of elements
for samples obtained from sites upstream and downstream from the effluent
discharge. Environmental samples consist of complex mixtures of chemicals, and it
is difficult to associate DNA damage with a specific element. This study showed
that brewery effluent contains metals and PAHs that can induce in vitro
genotoxicity under the conditions of this study.
PMID- 27880923
TI - In situ speciation of uranium in treated acid mine drainage using the diffusion
gradients in thin films technique (DGT).
AB - The exchange membranes P81 and DE81 and Chelex-100 resin were used to perform in
situ speciation of uranium in treated acid mine drainage at the Osamu Utsumi
mining site, Pocos de Caldas city, Southeast Brazil. To investigate possible
chemical modifications in the samples during analysis, the three ligands were
deployed in situ and in a laboratory (in lab). The results obtained in situ were
also compared to a speciation performed using Visual MINTEQ software. Chelex-100
retained total labile U for a period of up to 48 h. The labile U fraction
determined by Chelex 100 ranged from 107 +/- 6% to 147 +/- 44% in situ and from
115 +/- 22% to 191 +/- 5% in lab. DE81 retained anionic U species up to 8 h, with
labile fractions ranging from 37 +/- 2% to 76 +/- 3% in situ and 34 +/- 12% to
180 +/- 17% in lab. P81 exhibited a lower efficiency in retaining U species, with
concentrations ranging from 6+/- 2% to 19+/- 2% in situ and 3+/- 2% to 18+/- 2%
in lab. The speciation obtained from MINTEQ suggests that the major U species
were UO2OH+, UO2(OH)3-, UO2(OH)2(aq), Ca2UO2(CO3)3(aq), CaUO2(CO3)32-, UO2(CO3)22
, and UO2(CO3)34-. This result is in accordance with the results obtained in
situ. Differences concerning speciation and the total and soluble U
concentrations were observed between the deployments performed in situ and in the
laboratory, indicating that U speciation must be performed in situ.
PMID- 27880924
TI - Pb2+ ions mobility perturbation by iron particles during electrokinetic
remediation of contaminated soil.
AB - Electrokinetic (EK) remediation is one of the most useful approaches for de
contamination of soils. However, it is unclear that how and when the
electrokinetic remediation gives advantages over other remediation techniques in
soil. This study was designed to find the influence of Fe2+ particles on the
mobility of Pb2+ ions, during electrokinetic remediation, in soil contaminated
purposely by lead nitrate Pb(NO3)2. Two types of electrokinetic experiments were
performed, by using iron and graphite electrodes. The Fe2+ ions from the iron
electrodes, produced due to acidic environment in anode compartment, affected the
mobility of lead particles by precipitating as Fe(OH)2. Fe2+ ions enhance the
adsorption of lead ions in soil. The results show Fe2+ ions of lower ionic
conductivity decreased mobility of other particles in soil. Electrokinetic
remediation for up to 120 h with iron electrodes is shown to be less effective
for removal of lead. In contrast, graphite electrodes were 15 times more
effective in lead removal from soil.
PMID- 27880925
TI - Developmental bisphenol A exposure impairs sperm function and reproduction in
zebrafish.
AB - The developmental and reproductive toxicity of bisphenol A (BPA) has been
demonstrated in a variety of model systems. Zebrafish (Danio rerio) were
waterborne-exposed to BPA during three different developmental stages: embryonic
period:6 h post fertilization (hpf) to 5 months post fertilization (mpf); larval
period: 6 days post fertilization (dpf) to 5 mpf; and sexually mature period: 3
mpf to 5 mpf. Evaluations included F0 adult growth, reproduction parameters, and
F1 offspring development. BPA exposure did not affect zebrafish growth in any of
exposure groups. Testis weight was decreased only following the 6 hpf to 5 mpf
0.001 MUM BPA exposure. The lowest effect level indicated by a reduction in sperm
volume, density, motility, and velocity across a range of exposure durations was
0.001 MUM, with all but sperm density significant for the longest exposure
duration, which was also the only significant endpoint for the lowest exposure
concentration in the 3-5 mpf exposure group. Nonmonotonic concentration-response
curves were noted for all F0 reproductive endpoints for at least one of the two
longest exposure durations. For the F1 offspring of fish exposed from 6 hpf to 5
mpf, malformations and mortality were increased following 0.001 MUM BPA exposure,
while egg production and fertilization were reduced in higher concentration
treatment groups. Overall, BPA exposure during three different developmental
periods impaired zebrafish reproductive development, with most significance
changes found in the lowest concentration treatment groups. Genetic impacts on
gamete development may underlie the secondary effects of reduced fertilization
rate, embryonic mortality, and malformations.
PMID- 27880926
TI - Release of heavy metals during long-term land application of sewage sludge
compost: Percolation leaching tests with repeated additions of compost.
AB - Leaching assessment procedures have been used to determine the leachability of
heavy metals as input for evaluating the risk from sewage sludge compost land
application. However, relatively little attention has been paid to understanding
leaching from soils with repeated application of sewage sludge compost with
elevated levels of heavy metals. In this paper, leaching assessment is extended
to evaluate the potential leaching of heavy metals during repetitive application
of composted sewage sludge to soils. Four cycling of compost additions and
percolation leaching were conducted to investigate how leaching behavior of heavy
metals changed with repeated additions of compost. Results showed that repetitive
additions of compost to soil significantly increased the content of organic
matter, which favored the formation of reducing condition due to improved
microbial activities and oxygen consumption. Establishment of reducing conditions
can enhance the leaching concentrations of As by approximately 1 order of
magnitude, especially for the soil rich in organic matter. For Cd, Cr, Cu, and
Pb, repeated additions of compost will cause accumulation in total contents but
not enhancement in leaching concentrations. The infiltration following compost
additions will leach out the mobile fraction and the residual fraction might not
release in the next cycling of compost addition and infiltration. The cumulative
release of Cd, Cr, Cu, and Pb accounted for less than 5% of the total contents
during four times of compost applications.
PMID- 27880927
TI - Very low risk ST-segment elevation myocardial infarction? It exists and may be
easily identified.
AB - BACKGROUND: Early discharge protocols have been proposed for ST-segment elevation
myocardial infarction (STEMI) low risk patients despite the existence of few but
significant cardiovascular events during mid-term follow-up. We aimed to identify
a subgroup of patients among those considered low-risk in which prognosis would
be particularly good. METHODS: We analyzed 30-day outcomes and long-term follow
up among 1.111 STEMI patients treated with reperfusion therapy. RESULTS:
Multivariate analysis identified seven variables as predictors of 30-day
outcomes: Femoral approach; age>65; systolic dysfunction; postprocedural TIMI
flow<3; elevated creatinine level>1.5mg/dL; stenosis of left-main coronary
artery; and two or higher Killip class (FASTEST). A total of 228 patients
(20.5%), defined as very low-risk (VLR), had none of these variables on
admission. VLR group of patients compared to non-VLR patients had lower in
hospital (0% vs. 5.9%; p<0.001) and 30-day mortality (0% vs. 6.25%: p<0.001).
They also presented fewer in-hospital complications (6.6% vs. 39.7%; p<0.001) and
30-day major adverse events (0.9% vs. 4.5%; p=0.01). Significant mortality
differences during a mean follow-up of 23.8+/-19.4months were also observed (2.2%
vs. 15.2%; p<0.001). The first VLR subject died 11months after hospital
discharge. No cardiovascular deaths were identified in this subgroup of patients
during follow-up. CONCLUSIONS: About a fifth of STEMI patients have VLR and can
be easily identified. They have an excellent prognosis suggesting that 24-48h in
hospital stay could be a feasible alternative in these patients.
PMID- 27880929
TI - A complicated case of amyand's hernia involving a perforated appendix and its
management using minimally invasive laparoscopic surgery: A case report.
AB - INTRODUCTION: Amyand's hernia is a rare condition of inguinal hernia in which the
appendix is incarcerated within the hernia sac through the internal ring.
Complications include acute appendicitis and perforated appendicitis, which are
rare in incidence, accounting for about 0.1% of cases.1 These complications prove
a diagnostic challenge due to their vague clinical presentation and atypical
laboratory and radiological findings. Until recently, open appendectomy was the
mainstay of treatment. Laparoscopic surgery offers a less invasive approach to
confirming a diagnosis and serving as a therapeutic tool in equivocal cases. CASE
PRESENTATION: We report a case of a previously healthy 20-year-old male
presenting with atypical signs and symptoms, as well as blood investigation
results, and radiological findings of a perforated appendix within an Amyand's
hernia. The patient was successfully managed using a minimally invasive
laparoscopic appendectomy approach. DISCUSSION: Until recently, open appendectomy
was considered the mainstay in the management of complicated Amyand's hernia.
Laparoscopic surgery provides a new avenue for dealing with diagnostic
uncertainty with advantages including faster recovery time, reduced hospital
stay, and better quality of life. CONCLUSION: This case report highlights the
concealing effects of an Amyand's hernia on a perforated appendix, the
considerations required when an equivocal diagnosis present and the safe use of
the minimally invasive laparoscopic surgery in the treatment of this rare
condition.
PMID- 27880928
TI - Determination of red blood cell fatty acid profiles: Rapid and high-confident
analysis by chemical ionization-gas chromatography-tandem mass spectrometry.
AB - Cellular fatty acid (FA) profiles have been acknowledged as biomarkers in various
human diseases. Nevertheless, common FA analysis by gas chromatography mass
spectrometry (GC-MS) requires long analysis time. Hence, there is a need for
feasible methods for high throughput analysis in clinical studies. FA was
extracted from red blood cells (RBC) and derivatized to fatty acid methyl esters
(FAME). A method using gas chromatography tandem mass spectrometry (GC-MS/MS)
with ammonia-induced chemical ionization (CI) was developed for the analysis of
FA profiles in human RBC. We compared this method with classical single GC-MS
using electron impact ionization (EI). The FA profiles of 703 RBC samples were
determined by GC-MS/MS. In contrast to EI ammonia-induced CI resulted in adequate
amounts of molecular ions for further fragmentation of FAME. Specific fragments
for confident quantification and fragmentation were determined for 45 FA. The GC
MS/MS method has a total run time of 9min compared to typical analysis times of
up to 60min in conventional GC-MS. Intra and inter assay variations were <10% for
all FA analyzed. Analysis of RBC FA composition revealed an age-dependent
increase of the omega-3 eicosapentaenoic and docosahexaenoic acid, and a decline
of the omega-6 linoleic acid with a corresponding rise of the omega-3 index. The
combination of ammonia-induced CI and tandem mass spectrometry after GC
separation allows for high-throughput, robust and confident analysis of FA
profiles in the clinical laboratory.
PMID- 27880930
TI - Lactate dehydrogenase is a prognostic indicator in patients with hepatocellular
carcinoma treated by sorafenib: results from the real life practice in HBV
endemic area.
AB - PURPOSE: Lactate dehydrogenase (LDH), which was an indirect marker of hypoxia,
was a potentially prognostic factor in several malignancies. There is a lack of
evidence about the prognostic value of serum LDH level in patients with
hepatocellular carcinoma (HCC) receiving sorafenib treatment from hepatitis B
virus endemic areas. MATERIALS AND METHODS: A total of 119 HBV-related HCC
patients treated by sorafenib from a Chinese center were included into the study.
They were categorized into 2 groups according to the cut-off value of pre
treatment LDH, which was determined by the time dependent receiver operating
characteristics (ROC) curve for the overall survival. The prognostic value of LDH
was evaluated. The relationships between LDH and other clinicopathological
factors were also assessed. RESULTS: The cut-off value was 221 U/L. With a median
follow up of 15 (range, 3-73) months, 91 patients reached the endpoint.
Multivariate analysis proved that pre-treatment serum LDH level was an
independent prognostic factor for both overall survival (OS) and progression-free
survival (PFS). For patients whose pre-treatment LDH >= 221 U/L, increased LDH
value after 3 months of sorafenib treatment predicted inferior OS and PFS. And
patients with elevated pre-treatment LDH level predisposed to be featured with
lower serum albumin, presence of macroscopic vascular invasion, advanced Child
Pugh class, advanced T category, higher AFP, and higher serum total bilirubin.
CONCLUSIONS: Serum LDH level was a potentially prognostic factor in HCC patients
treated by sorafenib in HBV endemic area. More relevant studies with reasonable
study design are needed to further strengthen its prognostic value.
PMID- 27880932
TI - Lgr6+ stem cells and their progeny in mouse epidermis under regimens of exogenous
skin carcinogenesis, and their absence in ensuing skin tumors.
AB - Lgr6+ cells have been identified as a novel class of proliferating (Ki67+) stem
cells in mouse epidermis. We investigated their response to UV exposure in Lgr6
EGFP-Ires-CreERT2/R26R-LacZ haired and hairless mice and whether they become
initiating cells of UV- or chemically induced skin tumors. UV overexposure erased
Lgr6+ cells (EGFP+) from the interfollicular epidermis (IFE), but - as after
wounding - they apparently repopulated the IFE from the hair follicles. Under sub
sunburn chronic UV exposure, Lgr6+ cells and their progeny (LacZ+ after pulse of
tamoxifen) diminished strongly in the IFE. Although the inter-tumoral IFE clearly
showed Lgr6 progeny, none of the UV- or chemically induced tumors (n = 22 and 41,
respectively) appeared to be clonal expansions of Lgr6+ stem cells; i.e. no Lgr6+
cells or progeny in the proliferating tumor bulk. In checking for promoter
methylation we found it to occur stochastically for the EGFP-Cre cassette. Lgr6
mRNA measured by qPCR was found to be diminished in skin tumors (also in UV
tumors from wt type mice). The ratio of Lgr6/Ki67 was significantly reduced,
pointing at a loss of Lgr6+ cells from the proliferative pool. Our data show that
Lgr6+ cells are not major tumor-initiating cells in skin carcinogenesis.
PMID- 27880931
TI - An XIST-related small RNA regulates KRAS G-quadruplex formation beyond X
inactivation.
AB - X-inactive-specific transcript (XIST), a long non-coding RNA, is essential for
the initiation of X-chromosome inactivation. However, little is known about other
roles of XIST in the physiological process in eukaryotic cells. In this study,
the bioinformatics approaches revealed XIST could be processed into a small non
coding RNA XPi2. The XPi2 RNA was confirmed by a northern blot assay; its
expression was gender-independent, suggesting the role of XPi2 was beyond X
chromosome inactivation. The pull-down assay combined with LC-MS-MS identified
two XPi2-associated proteins, nucleolin and hnRNP A1, connected to the formation
of G-quadruplex. Moreover, the microarray data showed the knockdown of XPi2 down
regulated the KRAS pathway. Consistently, we tested the expression of ten genes,
including KRAS, which was correlated with a G-quadruplex formation and found the
knockdown of XPi2 caused a dramatic decrease in the transcription level of KRAS
among the ten genes. The results of CD/NMR assay also supported the interaction
of XPi2 and the polypurine-polypyrimidine element of KRAS. Accordingly, XPi2 may
stimulate the KRAS expression by attenuating G-quadruplex formation. Our present
work sheds light on the novel role of small RNA XPi2 in modulating the G
quadruplex formation which may play some essential roles in the KRAS- associated
carcinogenesis.
PMID- 27880934
TI - Tumors with unmethylated MLH1 and the CpG island methylator phenotype are
associated with a poor prognosis in stage II colorectal cancer patients.
AB - We previously developed a novel tumor subtype classification model for duodenal
adenocarcinomas based on a combination of the CpG island methylator phenotype
(CIMP) and MLH1 methylation status. Here, we tested the prognostic value of this
model in stage II colorectal cancer (CRC) patients. Tumors were assigned to
CIMP+/MLH1-unmethylated (MLH1-U), CIMP+/MLH1-methylated (MLH1-M), CIMP-/MLH1-U,
or CIMP-/MLH1-M groups. Age, tumor location, lymphovascular invasion, and mucin
production differed among the four patient subgroups, and CIMP+/MLH1-U tumors
were more likely to have lymphovascular invasion and mucin production. Kaplan
Meier analyses revealed differences in both disease-free survival (DFS) and
overall survival (OS) among the four groups. In a multivariate analysis,
CIMP/MLH1 methylation status was predictive of both DFS and OS, and DFS and OS
were shortest in CIMP+/MLH1-U stage II CRC patients. These results suggest that
tumor subtype classification based on the combination of CIMP and MLH1
methylation status is informative in stage II CRC patients, and that CIMP+/MLH1-U
tumors exhibit aggressive features and are associated with poor clinical
outcomes.
PMID- 27880933
TI - Immunological effects of nilotinib prophylaxis after allogeneic stem cell
transplantation in patients with advanced chronic myeloid leukemia or
philadelphia chromosome-positive acute lymphoblastic leukemia.
AB - Allogeneic stem cell transplantation remains the standard treatment for resistant
advanced chronic myeloid leukemia and Philadelphia chromosome-positive acute
lymphoblastic leukemia. Relapse is the major cause of treatment failure in both
diseases. Post-allo-SCT administration of TKIs could potentially reduce relapse
rates, but concerns regarding their effect on immune reconstitution have been
raised. We aimed to assess immune functions of 12 advanced CML and Ph+ ALL
patients who received post-allo-SCT nilotinib. Lymphocyte subpopulations and
their functional activities including T-cell response to mitogens, NK cytotoxic
activity and thymic function, determined by quantification of the T cell receptor
(TCR) excision circles (TREC) and TCR repertoire, were evaluated at several time
points, including pre-nilotib-post-allo-SCT, and up to 365 days on nilotinib
treatment. NK cells were the first to recover post allo-SCT. Concomitant to
nilotinib administration, total lymphocyte counts and subpopulations gradually
increased. CD8 T cells were rapidly reconstituted and continued to increase until
day 180 post SCT, while CD4 T cells counts were low until 180-270 days post
nilotinib treatment. T-cell response to mitogenic stimulation was not inhibited
by nilotinib administration. Thymic activity, measured by TREC copies and surface
membrane expression of 24 different TCR Vbeta families, was evident in all
patients at the end of follow-up after allo-SCT and nilotinib treatment. Finally,
nilotinib did not inhibit NK cytotoxic activity. In conclusion, administration of
nilotinib post allo-SCT, in attempt to reduce relapse rates or progression of Ph+
ALL and CML, did not jeopardize immune reconstitution or function following
transplantation.
PMID- 27880936
TI - Dietary grape seed proanthocyanidins (GSPs) improve weaned intestinal microbiota
and mucosal barrier using a piglet model.
AB - Proanthocyanidins have been suggested as an effective antibiotic alternative,
however their mechanisms are still unknown. The present study investigated the
effects of grape seed proanthocyanidins on gut microbiota and mucosal barrier
using a weaned piglet model in comparison with colistin. Piglets weaned at 28 day
were randomly assigned to four groups treated with a control ration, or
supplemented with 250 mg/kg proanthocyanidins, kitasamycin/colistin, or 250 mg/kg
proanthocyanidins and half-dose antibiotics, respectively. On day 28, the gut
chyme and tissue samples were collected to test intestinal microbiota and barrier
function, respectively. Proanthocyanidins treated piglets had better growth
performance and reduced diarrhea incidence (P < 0.05), accompanied with decreased
intestinal permeability and improved mucosal morphology. Gene sequencing analysis
of 16S rRNA revealed that dietary proanthocyanidins improved the microbial
diversity in ileal and colonic digesta, and the most abundant OTUs belong to
Firmicutes and Bacteroidetes spp.. Proanthocyanidins treatment decreased the
abundance of Lactobacillaceae, and increased the abundance of Clostridiaceae in
both ileal and colonic lumen, which suggests that proanthocyanidins treatment
changed the bacterial composition and distribution. Administration of
proanthocyanidins increased the concentration of propionic acid and butyric acid
in the ileum and colon, which may activate the expression of GPR41. In addition,
dietary proanthocyanidins improved the antioxidant indices in serum and
intestinal mucosa, accompanied with increasing expression of barrier occludin.
Our findings indicated that proanthocyanidins with half-dose colistin was
equivalent to the antibiotic treatment and assisted weaned animals in resisting
intestinal oxidative stress by increasing diversity and improving balance of gut
microbes.
PMID- 27880935
TI - Evolutionary biologic changes of gut microbiota in an 'adenoma-carcinoma
sequence' mouse colorectal cancer model induced by 1, 2-Dimethylhydrazine.
AB - The molecular biological mechanisms underlying the evolutionary biologic changes
leading to carcinogenesis remain unclear. The main objective of our study was to
explore the evolution of the microbiota community and molecules related with CRC
in the dynamic transition from normal colon epithelium to premalignant adenoma
with the aid of an 'adenoma-carcinoma sequence' mouse CRC model induced by DMH.
We generated a modified mouse CRC model induced by DMH for DNA sequences, and
characterized the molecular networks. Data from 454 pyrosequencing of the V3- V5
region of the 16S rDNA gene and immunohistochemical detection of APC, P53, K-RAS
and BRAF genes were assessed with Principal coordinates, UniFrac, and Kruskal
Wallis rank sum test. The inflammatory group showed enrichment of Bacteroidetes
and Porphyromonadaceae (P < 0.01). OTUs affiliated with Firmicutes were enriched
in the hyperproliferative group (P < 0.01). Rikenellaceae and Ruminococcaceae
showed an increasing trend during the CRC process while the opposite pattern was
observed for Prevotellaceaeand Enterobacteriaceae. OTUs related to Alistipes
finegoldii were significantly increased during CRC development, P53, K-RAS and
BRAF, were gradually increased (P < 0.05). Conversely, expression of APC was
decreased during the course of development of CRC. Our results demonstrate that
the biological evolutionary shift of gut microbiota, characterized by a gradual
decrease in 'driver' bacteria and an increase in DNA damage-causing bacteria, is
accompanied by tumor development in the CRC model. The synergistic actions of
microbiota dysbiosis and effects of bacterial metabolites on related molecular
events are proposed to contribute to the progression of CRC tumorigenesis.
PMID- 27880938
TI - Pathologic stratification of operable lung adenocarcinoma using radiomics
features extracted from dual energy CT images.
AB - PURPOSE: To evaluate the usefulness of surrogate biomarkers as predictors of
histopathologic tumor grade and aggressiveness using radiomics data from dual
energy computed tomography (DECT), with the ultimate goal of accomplishing
stratification of early-stage lung adenocarcinoma for optimal treatment. RESULTS:
Pathologic grade was divided into grades 1, 2, and 3. Multinomial logistic
regression analysis revealed i-uniformity and 97.5th percentile CT attenuation
value as independent significant factors to stratify grade 2 or 3 from grade 1.
The AUC value calculated from leave-one-out cross-validation procedure for
discriminating grades 1, 2, and 3 was 0.9307 (95% CI: 0.8514-1), 0.8610 (95% CI:
0.7547-0.9672), and 0.8394 (95% CI: 0.7045-0.9743), respectively. MATERIALS AND
METHODS: A total of 80 patients with 91 clinically and radiologically suspected
stage I or II lung adenocarcinoma were prospectively enrolled. All patients
underwent DECT and F-18-fluorodeoxyglucose (FDG) positron emission tomography
(PET)/CT, followed by surgery. Quantitative CT and PET imaging characteristics
were evaluated using a radiomics approach. Significant features for a tumor
aggressiveness prediction model were extracted and used to calculate diagnostic
performance for predicting all pathologic grades. CONCLUSIONS: Quantitative
radiomics values from DECT imaging metrics can help predict pathologic
aggressiveness of lung adenocarcinoma.
PMID- 27880937
TI - Prognostic relevance of miRNA-155 methylation in anaplastic glioma.
AB - The outcome of patients with anaplastic gliomas varies considerably depending on
single molecular markers, such as mutations of the isocitrate dehydrogenase (IDH)
genes, as well as molecular classifications based on epigenetic or genetic
profiles. Remarkably, 98% of the RNA within a cell is not translated into
proteins. Of those, especially microRNAs (miRNAs) have been shown not only to
have a major influence on physiologic processes but also to be deregulated and
prognostic in malignancies.To find novel survival markers and treatment options
we performed unbiased DNA methylation screens that revealed 12 putative miRNA
promoter regions with differential DNA methylation in anaplastic gliomas.
Methylation of these candidate regions was validated in different independent
patient cohorts revealing a set of miRNA promoter regions with prognostic
relevance across data sets. Of those, miR-155 promoter methylation and miR-155
expression were negatively correlated and especially the methylation showed
superior correlation with patient survival compared to established
biomarkers.Functional examinations in malignant glioma cells further cemented the
relevance of miR-155 for tumor cell viability with transient and stable
modifications indicating an onco-miRNA activity. MiR-155 also conferred
resistance towards alkylating temozolomide and radiotherapy as consequence of
nuclear factor (NF)kappaB activation.Preconditioning glioma cells with an
NFkappaB inhibitor reduced therapy resistance of miR-155 overexpressing cells.
These cells resembled tumors with a low methylation of the miR-155 promoter and
thus mir-155 or NFkappaB inhibition may provide treatment options with a special
focus on patients with IDH wild type tumors.
PMID- 27880940
TI - EZH2 overexpression is associated with poor prognosis in patients with glioma.
AB - Previous studies have investigated the prognostic value of enhancer of zeste
homolog 2 (EZH2) expression in patients with glioma but conclude contradictory
results. We aimed to comprehensively evaluate the prognostic role of EZH2 in
glioma by meta-analysis. The databases of PubMed, Embase and Web of Science were
searched. Hazard ratio (HR) and 95% confidence interval (CI) were combined to
assess the association between EZH2 and overall survival (OS) as well as
progression-free survival (PFS). Odd ratio (OR) and 95% CI were calculated to
investigate the relevance of EZH2 on clinical factors. Six studies with 575
patients were included for meta-analysis. The results showed that EZH2
overexpression was correlated with poor OS (n = 6, HR = 2.23, 95% CI: 1.56-3.19,
p < 0.001) and PFS (n = 3, HR = 2.23, 95% CI: 1.56-3.19, p < 0.001). Subgroup
analysis showed that EZH2 had enhanced prognostic value in Asian patients, for
WHO grade I-IV and when using immunohistochemistry (IHC) method. In addition,
EZH2 was associated with KPS score < 80. No evidence of publication bias was
found in this meta-analysis. In conclusion, the present study showed that EZH2
was a potential prognostic marker for poor OS, PFS and lower KPS score in glioma
patients.
PMID- 27880941
TI - Upregulation of microRNA-524-5p enhances the cisplatin sensitivity of gastric
cancer cells by modulating proliferation and metastasis via targeting SOX9.
AB - Cisplatin-based chemotherapy is the most commonly used treatment regimen for
gastric cancer (GC), however, the resistance to cisplatin represents the key
limitation for the therapeutic efficacy. Aberrant expression of MiR-524-5p
appears to be involves in tumorigenesis and chemoresistance. However, the
mechanism by which miR-524-5p mediates effects of cisplatin treatment in GC
remains poorly understood. Expressions of MiR-524-5p was detected in GC tissues
and cell lines by qRT-PCR. Cell proliferation was observed by MTT assay; Cell
migration was detected by transwell migration and invasion assay. The targeting
protein of miR-524-5p was identified by luciferase reporter assay and western
blot. We found that downregulation of miR-524-5p in GC tissues and cell lines. SC
M1 and AZ521 cells resistant to cisplatin expressed low levels of miR-524-5p in
comparison to the sensitive parental cells. Overexpression of miR-524-5p
expression in SC-M1 and AZ521 cells inhibited cell proliferation, migration, and
invasion, and conferred sensitivity to cisplatin-resistant GC cells.
Subsequently, we identified SOX9 as a functional target protein of miR-524-5p and
found that SOX9 overexpression could counteracts the chemosensitizing effects of
miR-524-5p. These results provide novel insight into the regulation of GC
tumorigenesis and progression by miRNAs. Restoration of miR-524-5p may have
therapeutic potential against GC.
PMID- 27880939
TI - Inhibition of the transcriptional repressor complex Bcl-6/BCoR induces
endothelial sprouting but does not promote tumor growth.
AB - The oncogenic potential of the transcriptional repressor Bcl-6 (B-cell lymphoma
6) was originally discovered in non-Hodgkin patients and the soluble Bcl-6
inhibitor 79-6 was developed to treat diffuse large B-cell lymphomas with
aberrant Bcl-6 expression. Since we found Bcl-6 and its co-repressor BCoR (Bcl-6
interacting co-repressor) to be regulated in human microvascular endothelium by
colorectal cancer cells, we investigated their function in sprouting angiogenesis
which is central to tumor growth. Based on Bcl-6/BCoR gene silencing we found
that the transcriptional repressor complex in fact constitutes an endogenous
inhibitor of vascular sprouting by supporting the stalk cell phenotype: control
of Notch target genes (HES1, HEY1, DLL4) and cell cycle regulators (cyclin A and
B1). Thus, when endothelial cells were transiently transfected with Bcl-6 and/or
BCoR siRNA, vascular sprouting was prominently induced. Comparably, when the
soluble Bcl-6 inhibitor 79-6 was applied in the mouse retina model of
physiological angiogenesis, endothelial sprouting and branching were
significantly enhanced. To address the question whether clinical treatment with
79-6 might therefore have detrimental therapeutic effects by promoting tumor
angiogenesis, mouse xenograft models of colorectal cancer and diffuse large B
cell lymphoma were tested. Despite a tendency to increased tumor vessel density,
79-6 therapy did not enhance tumor expansion. In contrast, growth of colorectal
carcinomas was significantly reduced which is likely due to a combined 79-6
effect on cancer cells and tumor stroma. These findings may provide valuable
information regarding the future clinical development of Bcl-6 inhibitors.
PMID- 27880944
TI - Opportunistic Salpingectomy Does Not Affect Ovarian Reserve or Surgical Outcomes
in Patients Undergoing Laparoscopic Myomectomy.
AB - OBJECTIVE: To determine whether opportunistic salpingectomy in patients
undergoing laparoscopic myomectomy has any deleterious effects on ovarian reserve
and surgical risk. STUDY DESIGN: We performed a retrospective review of patients
who had no desire for future child bearing and who were undergoing laparoscopic
myomectomy for symptomatic myomas at 4 institutions. Among them, 41 patients
concurrently underwent opportunistic salpingectomy (the opportunistic
salpingectomy group) and 65 patients did not undergo salpingectomy at the time of
laparoscopic myomectomy (the no-salpingectomy group). The primary and secondary
outcome measures were change of ovarian reserve determined by the rate of decline
in the anti-Mullerian hormone (AMH) level from before surgery to 3 months post
surgery, and surgical outcomes. RESULTS: Baseline characteristics were similar
between groups. There were also no differences in surgical outcomes, such as
operative time, operative bleeding, hospital stay, or complications between
groups. The decline rate in AMH was 18.6% (interquartile range (IQR) 2.6-46.8%)
in the opportunistic salpingectomy group and 10.4% (IQR 2.6-46.8%) in the no
salpingectomy group, with no significant difference between groups (p = 0.593).
CONCLUSION: Opportunistic salpingectomy at the time of laparoscopic myomectomy
was not associated with negative effects on ovarian reserve or increased surgical
risk.
PMID- 27880943
TI - TP53 mutations, expression and interaction networks in human cancers.
AB - Although the associations of p53 dysfunction, p53 interaction networks and
oncogenesis have been widely explored, a systematic analysis of TP53 mutations
and its related interaction networks in various types of human cancers is
lacking. Our study explored the associations of TP53 mutations, gene expression,
clinical outcomes, and TP53 interaction networks across 33 cancer types using
data from The Cancer Genome Atlas (TCGA). We show that TP53 is the most
frequently mutated gene in a number of cancers, and its mutations appear to be
early events in cancer initiation. We identified genes potentially repressed by
p53, and genes whose expression correlates significantly with TP53 expression.
These gene products may be especially important nodes in p53 interaction networks
in human cancers. This study shows that while TP53-truncating mutations often
result in decreased TP53 expression, other non-truncating TP53 mutations result
in increased TP53 expression in some cancers. Survival analyses in a number of
cancers show that patients with TP53 mutations are more likely to have worse
prognoses than TP53-wildtype patients, and that elevated TP53 expression often
leads to poor clinical outcomes. We identified a set of candidate synthetic
lethal (SL) genes for TP53, and validated some of these SL interactions using
data from the Cancer Cell Line Project. These predicted SL genes are promising
candidates for experimental validation and the development of personalized
therapeutics for patients with TP53-mutated cancers.
PMID- 27880942
TI - Acquired resistance to BRAF inhibition induces epithelial-to-mesenchymal
transition in BRAF (V600E) mutant thyroid cancer by c-Met-mediated AKT
activation.
AB - Previously, the authors have identified that c-Met mediates reactivation of the
PI3K/AKT pathway following BRAF inhibitor treatment in BRAF (V600E) mutant
anaplastic thyroid cancer, thereby contributing to the acquired drug resistance.
Therefore dual inhibition of BRAF and c-Met led to sustained treatment response,
thereby maximizing the specific anti-tumor effect of targeted therapy. The
present study goes one step further and aims to investigate the effect of
acquired resistance of BRAF inhibitor on epithelial-to-mesenchymal transition
(EMT) in BRAF mutant thyroid cancer cells and the effect of dual inhibition from
combinatorial therapy. Two thyroid cancer cell lines, 8505C and BCPAP were
selected and treated with BRAF inhibitor, PLX4032 and its effect on EMT were
examined and compared. Further investigation was carried out in orthotopic
xenograft mouse models. Unlike BCPAP cells, the BRAF inhibitor resistant 8505C
cells showed increased expressions of EMT related markers such as vimentin, beta
catenin, and CD44. The combinatorial treatment of PLX4032 and PHA665752, a c-Met
inhibitor reversed EMT. Similar results were confirmed in vivo. c-Met-mediated
reactivation of the PI3K/AKT pathway contributes to the drug resistance to
PLX4032 in BRAF (V600E) mutant anaplastic thyroid cancer cells and further
promotes tumor cell migration and invasion by upregulated EMT mechanism. Dual
inhibition of BRAF and c-Met leads to reversal of EMT, suggesting a maximal
therapeutic response.
PMID- 27880946
TI - 2MD (DP001), a Single Agent in the Management of Hemodialysis Patients: A
Randomized Trial.
AB - BACKGROUND: Vitamin D analogs and calcimimetics are used to manage secondary
hyperparathyroidism (SHPT) in dialysis patients. DP001 is an oral vitamin D
analog that suppresses parathyroid hormone (PTH) in uremic rats, osteopenic
women, and hemodialysis patients. The safety and effectiveness of DP001
suppressing PTH in dialysis patients previously managed with active vitamin D
with or without a calcimimetic are presented. METHODS: A multicenter, randomized,
double-blind study compared DP001 to placebo in hemodialysis patients with serum
intact PTH (iPTH) >=300 pg/ml. The primary efficacy endpoint was the proportion
of patients achieving 2 consecutive >=30% decreases in iPTH levels during the 12
weeks of treatment. Calcium, phosphorus, calcium * phosphorus product and safety
were also evaluated. The responses to DP001 were compared in patients previously
treated with both active vitamin D and a calcimimetic to those previously on
active vitamin D alone. RESULTS: Sixty-two patients were randomized (n = 34
DP001; n = 28 placebo). At week 12, 78% of all DP001-treated patients and 7% of
all placebo-treated patients achieved the primary endpoint (p < 0.0001); iPTH
fell 45% in the DP001 group and increased 37% in the placebo group. No patient
exceeded the safety threshold of 2 consecutively corrected serum calcium levels
>=11.0 mg/dl. Patients previously on cinacalcet plus active vitamin D also
responded to DP001 (n = 10) resulting in a 55% decrease in iPTH, while those on
placebo (n = 9) increased by 70%. CONCLUSION: DP001 safely and effectively
suppressed iPTH in hemodialysis patients with SHPT that were previously managed
with active vitamin D alone or with a calcimimetic (www.clinicaltrials.gov,
NCT01922843).
PMID- 27880945
TI - Immunohistochemical Staining of Histological Fragments Derived from Salivary
Gland Tumour Fine-Needle Biopsy Aspirates.
AB - OBJECTIVES: The aim of this study was to describe a method for analysing
histological fragments derived from fine- needle aspirate biopsy (FNAB) of
salivary gland tumours (SGTs), and to evaluate the use of immunohistochemistry
(IHC) on them. STUDY DESIGN: We reviewed all 509 FNAB pathology reports taken
from SGTs at Helsinki University Hospital, Finland, between 1999 and 2009. In 51%
of the cases (n = 209) "histo-fragments" had been obtained and 31 had been
further analysed by IHC. Of these, 25 (81%) were available for review. We
evaluated the benefit of IHC by relating its added value to the preoperative
cytological diagnosis and its accuracy compared with the postoperative
histological diagnosis. RESULTS: Most of the samples analysed by IHC were
assigned a malignant diagnosis, with 12 different types of malignancy
represented. IHC was advantageous in 76% of the cases. In the 108 studies using
IHC in this series, antibodies to 36 different antigens were used. CONCLUSION:
Analysis of histo-fragments in FNABs using IHC can be valuable in specific
differential diagnostics and raises diagnostic accuracy in SGTs.
PMID- 27880949
TI - Ecological and Behavioural Factors Influencing Territorial Call Rates for the
Bolivian Titi Monkeys, Plecturocebus modestus and Plecturocebus olallae.
AB - Primate territorial calls have been used to locate groups in censuses. Daily
variations in call rates are a potential source of error in these studies. To
obtain more accurate estimations of population density it is necessary to
determine how much variation there is in group call rates and to identify the
factors that influence them. We present data on the emission of territorial calls
by two threatened and endemic titi monkeys in Bolivia: Plecturocebus olallae and
P. modestus. We found interspecific differences in daily call rates (52% P.
modestus and 33% P. olallae). Groups inhabiting more continuous forests vocalized
more frequently than groups in fragmented forests, which might be linked to the
higher abundance of groups in less fragmented forests. We found seasonal
differences in call rates between species, with more frequent calling in P.
modestus during the dry season, while P. olallae called more frequently in the
rainy season. The study groups emitted territorial calls mostly from the central
zones of their territories, suggesting they do not face intense spatial
competition with neighbouring groups. Our results improve the general ecological
knowledge on P. olallae and P. modestus, and can also be used to improve
population abundance studies and ongoing conservation efforts.
PMID- 27880953
TI - Deletion of TOP3B Is Associated with Cognitive Impairment and Facial Dysmorphism.
AB - Deletions of different regions of chromosome 22q11 have been extensively
characterized in the literature, with a recent review outlining common deletions
with a standardized system proposed for classification and nomenclature. The
genotype-phenotype relationships have not been sufficiently elucidated for these
deletions, and it remains unclear which specific genes play the dominant roles in
producing associated clinical features. Several deletions involve entirely
distinct regions of chromosome 22q11 but do not overlap, suggesting that a number
of different genes contribute to the clinical features. Studies of patients with
small deletions involving only 1 or 2 genes may provide more convincing evidence
for the impact of individual genes on the observed phenotype. In this case
report, we present a 12-year-old female with autism, cognitive impairment,
dysmorphic features, and behavioral concerns and a 268-kb deletion of chromosome
22q11.22 including TOP3B, the only recognized disease-causing gene in the
deletion. The mechanism of pathogenesis contributing significantly to our
patient's clinical findings may relate to interaction between TOP3B and fragile X
mental retardation protein (FMRP), an mRNA-binding protein that regulates
translation and is altered in fragile X syndrome, a condition involving
developmental delay, learning disability, and autism. All these features are
recognized in our patient.
PMID- 27880954
TI - The Protective Effect of Brown-, Gray-, and Blue-Tinted Lenses against Blue LED
Light-Induced Cell Death in A2E-Laden Human Retinal Pigment Epithelial Cells.
AB - A2E-laden ARPE-19 cells were exposed to a blue light to induce cytotoxicity, in
order to investigate the protective effects of various tinted ophthalmic lenses
against photo-induced cytotoxicity in human retinal pigment epithelial (RPE)
cells laden with A2E, known to be among the etiologies of age-related macular
degeneration (AMD). Different-colored tinted lenses with varying levels of tint
and different filtering characteristics, such as polarized, blue-cut, and
photochromatic lenses, were placed over the cells, and the protective efficacies
thereof were evaluated by lactate dehydrogenase assay. When tinted lenses were
placed over ARPE-19 cells, there were different reductions in cytotoxicity
according to the colors and tint levels. The level of protection afforded by
brown-tinted lenses was 6.9, 36.1, and 49% with a tint level of 15, 50, and 80%,
respectively. For gray-tinted lenses, the protective effect was 16.3, 35, and
43.4% for the corresponding degree of tint, respectively. In the case of blue
tinted lenses, a protective effect of 20% was observed with 80% tinted lenses,
but 15 and 50% tinted lenses provided no significant protection. In addition,
photochromic lenses showed a protective effect but blue-cut lenses and polarized
lenses provided no significant protection. Tinted lenses significantly reduced
cytotoxicity in RPE cells irradiated with blue light. The protection was more
efficient in lenses with a brown or gray tint than in blue-tinted lenses. Tinted
glasses may provide significant protection against potential blue-light-induced
photochemical and photo-oxidative damage in RPE cells.
PMID- 27880950
TI - Protease-Activated Receptor 1 Contributes to Angiotensin II-Induced
Cardiovascular Remodeling and Inflammation.
AB - BACKGROUND: Angiotensin II (Ang II) plays an important role in cardiovascular
disease. It also leads to the activation of coagulation. The coagulation protease
thrombin induces cellular responses by activating protease-activated receptor 1
(PAR-1). We investigated whether PAR-1 contributes to Ang II-induced
cardiovascular remodeling and inflammation. METHODS AND RESULTS: PAR-1+/+ (wild
type; WT) and PAR-1-/- mice were infused with Ang II (600 ng/kg/min) for up to 4
weeks. In WT mice, this dose of Ang II did not cause a significant increase in
blood pressure but it did cause pathological changes in both the aorta and the
heart. Ang II infusion resulted in vascular remodeling of the aorta, demonstrated
by a significant increase in medial wall thickening and perivascular fibrosis.
Importantly, both parameters were significantly attenuated by PAR-1 deficiency.
Furthermore, perivascular fibrosis around coronary vessels was reduced in Ang II
treated PAR-1-/- mice compared to WT mice. In addition, PAR-1 deficiency
significantly attenuated Ang II induction of inflammatory cytokines and
profibrotic genes in the aortas compared to WT mice. Finally, PAR-1 deficiency
had no effect on Ang II-induced heart hypertrophy. However, the heart function
measured by fractional shortening was less impaired in PAR-1-/- mice than in WT
mice. CONCLUSION: Our data indicate that PAR-1 plays a significant role in
cardiovascular remodeling mediated by a blood pressure-independent action of Ang
II.
PMID- 27880956
TI - Contents Vol. 85, 2016.
PMID- 27880955
TI - Long-Term Angiotensin II Receptor Blockade Limits Hypertension, Aortic
Dysfunction, and Structural Remodeling in a Rat Model of Chronic Kidney Disease.
AB - BACKGROUND/AIMS: Chronic kidney disease (CKD) is associated with large artery
remodeling, endothelial dysfunction and calcification, with angiotensin II (Ang
II) a known driver of these pathologies. We investigated long-term Ang II type 1
receptor inhibition with valsartan on aortic function and structure in the Lewis
polycystic kidney (LPK) rat model of CKD. METHODS: Mixed sex LPK and Lewis
control (total n = 28) treated (valsartan 60 mg/kg/day p.o. from 4 to 18 weeks)
and vehicle groups were studied. Functional responses to noradrenaline (NA),
potassium chloride and endothelium-dependent and independent relaxations were
investigated in vitro using acetylcholine hydrochloride (ACh) and sodium
nitroprusside (SNP), respectively. Effects of the nitric oxide synthase (NOS)
substrate L-arginine, NOS inhibitor L-NAME and cyclooxygenase inhibitor
indomethacin on ACh responses were examined. RESULTS: In the LPK, valsartan
reduced systolic blood pressure and urinary protein, ameliorated exaggerated
sensitivity to NA, and normalized endothelium-dependent (ACh-Rmax; 91 +/- 7 vs.
59 +/- 6%, p = 0.0001) and independent dysfunction (SNP-Rmax; 99 +/- 1 vs. 82 +/-
7%, p = 0.040), as well as improving NO-dependent relaxation (Rmax; -51 +/- 6 vs.
-26 +/- 9%, p = 0.008). Valsartan also reduced aortic wall hypertrophy, elastin
disruption/fragmentation, calcification, media cystic degeneration, and levels of
matrix metalloproteinase 9. CONCLUSIONS: This study highlights the role of Ang II
in driving vascular manifestations of CKD and indicates that early treatment can
significantly limit pathological changes.
PMID- 27880958
TI - Factors Influencing Repair Outcomes of Vesicovaginal Fistula: A Retrospective
Review of 139 Procedures.
AB - INTRODUCTION: We aimed to report the outcomes of patients undergoing
vesicovaginal fistula (VVF) repair to identify prognostic factors. MATERIALS AND
METHODS: Patients who underwent VVF repair between January 2009 and October 2015
were reviewed. Primary outcome was fistula closure at 3 months. RESULTS: A total
of 123 patients and 139 procedures of VVF repair were reviewed. The overall
success rate was 85.6%. There were no significant differences in age (p = 0.476),
etiology (p = 0.900), fistula duration (p = 0.491) and number of repairs (p =
0.509) between success and fail group. Moderate or severe perifistula fibrosis
and multiple fistula were associated with failure in repair of fistula (70.8 vs.
93.4%, p < 0.001; 62.5 vs. 88.6%, p = 0.005). No difference was seen in success
rate of vaginal and abdominal approaches (86.0 vs. 85.0%, p = 0.800). Logistic
regression analysis identified fistula number (p = 0.003) and perifistula
fibrosis (p = 0.002) as 2 independent prognostic factors. Medium/large fistulas
were 3.2 times more likely to fail in repair than small fistulas (OR 3.2, 95% CI
0.95-10.6, p = 0.061). CONCLUSIONS: Fistula number and perifistula fibrosis were
2 independent prognostic factors for fistula repair. Unsuccessful closure was
more likely in medium/large VVF.
PMID- 27880957
TI - Integrated Use of Lung Ultrasound and Chest X-Ray in the Detection of
Interstitial Lung Disease.
AB - BACKGROUND: Current data have shown that lung ultrasound (LUS) may be useful in
the detection of interstitial lung disease (ILD) by the evaluation of B-lines,
the sonographic marker of pulmonary interstitial syndrome. Nevertheless, no
prospective study has compared LUS to chest X-ray (CXR) for ILD assessment, and
there is no general agreement on the specific echographic diagnostic criteria for
defining ILD. OBJECTIVES: The aims of this study were (1) to compare the accuracy
of LUS and CXR in the detection of ILD using high-resolution CT (HRCT) as the
gold standard and (2) to compare the accuracy of different echographic diagnostic
criteria for ILD diagnosis. METHODS: LUS was performed on 104 patients undergoing
HRCT for suspected ILD. In 49 patients, a CXR scan performed within 3 months of
HRCT was analyzed. ILD was defined as the presence of >=5 B-lines in >=3 chest
areas. A total B-line score (TBLS) was also calculated, as in previous studies.
The observers evaluating LUS and CXR were blinded to the HRCT results and
clinical data. RESULTS: On HRCT, ILD was assessed in 50 patients. CXR was
specific (91%; 95% CI 80-100) but not sensitive (48%; 95% CI 28-67). Conversely,
LUS showed high sensitivity (92%; 95% CI 84-99) and low specificity (79%; 95% CI
69-90). Using a TBLS, sensitivity did not change, while specificity decreased.
CONCLUSIONS: LUS could be a sensitive tool for ILD detection. CXR and LUS have
different but complementary features, and their combined use could reduce the
need for HRCT. The use of different diagnostic criteria for defining ILD does not
affect sensitivity but influences specificity.
PMID- 27880959
TI - Morphology and Ciliary Motion of the Middle Ear Mucosa of Neonatal and Adult
Gerbils.
AB - BACKGROUND/AIMS: Since the middle ear cavity plays an important role in middle
ear effusion, the goal of this study is to examine the morphological and
functional development of the middle ear mucosa in neonatal and adult gerbils.
METHODS: Gerbils aged between postnatal day 1 (P1) and P26 were used for the
experiments. The morphological development of the middle ear cavity was measured
by using the celloidin embedding method and scanning electronic microscope.
Ciliary motion was determined and calibrated by a photodiode-based measurement
system. RESULTS: The area of the middle ear increased significantly from 0.42 +/-
0.02 mm2 (n = 8) at P1 to 2.6 +/- 0.03 mm2 (n = 8) at P26. At P1, all regions
were covered by densely populated ciliated cells. A significant reduction of the
density of ciliated cells was found between P8 and P18 (p < 0.05). The beat
frequency at P1 is not statistically different from that of P8 (p > 0.05).
However, the beat frequency increased significantly between P8 and P18 (p <
0.05). CONCLUSION: Middle ear mucosa underwent a significant change between P1
and P18. The cilia morphology and function (ciliary motion) are fully mature at
P18. This may explain the high incidence of middle ear effusion in the neonatal
period in humans.
PMID- 27880960
TI - Antifouling Activity towards Mussel by Small-Molecule Compounds from a Strain of
Vibrio alginolyticus Bacterium Associated with Sea Anemone Haliplanella sp.
AB - Mussels are major fouling organisms causing serious technical and economic
problems. In this study, antifouling activity towards mussel was found in three
compounds isolated from a marine bacterium associated with the sea anemone
Haliplanella sp. This bacterial strain, called PE2, was identified as Vibrio
alginolyticus using morphology, biochemical tests, and phylogenetic analysis
based on sequences of 16S rRNA and four housekeeping genes (rpoD, gyrB, rctB, and
toxR). Three small-molecule compounds (indole, 3-formylindole, and cyclo (Pro
Leu)) were purified from the ethyl acetate extract of V. alginolyticus PE2 using
column chromatography techniques. They all significantly inhibited byssal thread
production of the green mussel Perna viridis, with EC50 values of 24.45 MUg/ml
for indole, 50.07 MUg/ml for 3-formylindole, and 49.24 MUg/ml for cyclo (Pro
Leu). Previous research on the antifouling activity of metabolites from marine
bacteria towards mussels is scarce. Indole, 3-formylindole and cyclo (Pro-Leu)
also exhibited antifouling activity against settlement of the barnacle Balanus
albicostatus (EC50 values of 8.84, 0.43, and 11.35 MUg/ml, respectively) and the
marine bacterium Pseudomonas sp. (EC50 values of 42.68, 69.68, and 39.05 MUg/ml,
respectively). These results suggested that the three compounds are potentially
useful for environmentally friendly mussel control and/or the development of new
antifouling additives that are effective against several biofoulers.
PMID- 27880961
TI - Characterization of Aspergillus sojae Isolated from Meju, Korean Traditional
Fermented Soybean Brick.
AB - Initially, we screened 18 Aspergillus sojae-like strains from Aspergillus spp.
isolated from meju (Korean traditional fermented soybean brick) according to
their morphological characteristics. Because members of Aspergillus section Flavi
are often incorrectly identified because of their phylogenetic similarity, we re
identified these strains at the morphological and molecular genetic levels.
Fourteen strains were finally identified as A. sojae. The isolates produced
protease and alpha-amylase with ranges of 2.66-10.64 and 21.53-106.73 unit/g
initial dry substrate (U/g-IDS), respectively, which were equivalent to those of
the koji (starter mold) strains employed to produce Japanese soy sauce. Among the
isolates and Japanese koji strains, strains SMF 127 and SMF 131 had the highest
leucine aminopeptidase (LAP) activities at 6.00 and 6.06 U/g-IDS, respectively.
LAP plays an important role in flavor development because of the production of
low-molecular-weight peptides that affect the taste and decrease bitterness. SMF
127 and SMF 131 appeared to be non-aflatoxigenic because of a termination point
mutation in aflR and the lack of the polyketide synthase gene found in other A.
sojae strains. In addition, SMF 127 and SMF 131 were not cyclopiazonic acid (CPA)
producers because of the deletion of maoA, dmaT, and pks/nrps, which are involved
in CPA biosynthesis. Therefore, A. sojae strains such as SMF 127 and SMF 131,
which have high protease and LAP activities and are free of safety issues, can be
considered good starters for soybean fermentations, such as in the production of
the Korean fermented soybean products meju, doenjang, and ganjang.
PMID- 27880963
TI - Enhanced Production of Gamma-Aminobutyric Acid by Optimizing Culture Conditions
of Lactobacillus brevis HYE1 Isolated from Kimchi, a Korean Fermented Food.
AB - This study evaluated the effects of culture conditions, including carbon and
nitrogen sources, L-monosodium glutamate (MSG), and initial pH, on gamma
aminobutyric acid (GABA) production by Lactobacillus brevis HYE1 isolated from
kimchi, a Korean traditional fermented food. L. brevis HYE1 was screened by the
production analysis of GABA and genetic analysis of the glutamate decarboxylase
gene, resulting in 14.64 mM GABA after 48 h of cultivation in MRS medium
containing 1% (w/v) MSG. In order to increase GABA production by L. brevis HYE1,
the effects of carbon and nitrogen sources on GABA production were preliminarily
investigated via one-factor-at-a-time optimization strategy. As the results, 2%
maltose and 3% tryptone were determined to produce 17.93 mM GABA in modified MRS
medium with 1% (w/v) MSG. In addition, the optimal MSG concentration and initial
pH were determined to be 1% and 5.0, respectively, resulting in production of
18.97 mM GABA. Thereafter, response surface methodology (RSM) was applied to
determine the optimal conditions of the above four factors. The results indicate
that pH was the most significant factor for GABA production. The optimal culture
conditions for maximum GABA production were also determined to be 2.14% (w/v)
maltose, 4.01% (w/v) tryptone, 2.38% (w/v) MSG, and an initial pH of 4.74. In
these conditions, GABA production by L. brevis HYE1 was predicted to be 21.44 mM
using the RSM model. The experiment was performed under these optimized
conditions, resulting in GABA production of 18.76 mM. These results show that the
predicted and experimental values of GABA production are in good agreement.
PMID- 27880962
TI - Production of Cellulases by Rhizopus stolonifer from Glucose-Containing Media
Based on the Regulation of Transcriptional Regulator CRE.
AB - Carbon catabolite repression is a crucial regulation mechanism in microorganisms,
but its characteristic in Rhizopus is still unclear. We extracted a carbon
regulation gene, cre, that encoded a carbon catabolite repressor protein (CRE)
from Rhizopus stolonifer TP-02, and studied the regulation of CRE by real-time
qPCR. CRE responded to glucose in a certain range, where it could significantly
regulate part of the cellulase genes (eg, bg, and cbh2) without cbh1. In the
comparison of the response of cre and four cellulase genes to
carboxymethylcellulose sodium and a simple carbon source (lactose), the effect of
CRE was only related to the concentration of reducing sugars. By regulating the
reducing sugars to range from 0.4% to 0.6%, a glucose-containing medium with
lactose as the inducer could effectively induce cellulases without the repression
of CRE. This regulation method could potentially reduce the cost of enzymes
produced in industries and provide a possible solution to achieve the large-scale
synthesis of cellulases.
PMID- 27880964
TI - Protective Effects of Standardized Siegesbeckia glabrescens Extract and Its
Active Compound Kirenol against UVB-Induced Photoaging through Inhibition of
MAPK/NF-kappaB Pathways.
AB - Anti-photoaging effects of standardized Siegesbeckia glabrescens extract (SGE)
and its major active compound kirenol were investigated using Hs68 human dermal
fibroblasts and hairless mice, respectively. UVB-irradiated hairless mice that
received oral SGE (600 mg/kg/day) showed reduced wrinkle formation and skinfold
thickness compared with the UVB-irradiated control. Furthermore, SGE treatment
increased the mRNA levels of collagen synthesis genes (COL1A1, COL3A1, COL4A1,
and COL7A1) and activated antioxidant enzyme (catalase), while suppressing matrix
metalloproteinase (MMP-2, -3, -9, and -13) expression. In Hs68 fibroblasts,
kirenol also significantly suppressed MMP expression while increasing the
expression of COL1A1, COL3A1, and COL7A1. Collectively, our data demonstrate that
both SGE and kirenol attenuated UVB-induced photoaging in hairless mice and
fibroblasts through inhibition of the mitogen-activated protein kinases and
nuclear factor kappa B pathways, suggesting that SGE has potential to serve as a
natural anti-photoaging nutraceutical.
PMID- 27880965
TI - [Update in pediatric endoscopy].
AB - Pediatric endoscopy is a good example of a multidiscipliniary approach. In many,
but not all hospitals, endoscopy in pediatric patients is performed by
conventional gastroenterologists and only in some centers by pediatric
gastroenterologists. This is due to the fact that not as many pediatric
gastroenterologists are available. Some of the centers are very experienced.
There is only limited literature on pediatric endoscopy available. Therefore, an
update may be relevant for both the adult and pediatric gastroenterologists. Here
we describe current knowledge on endoscopic procedures in pediatric patients.
PMID- 27880966
TI - Age-Dependency of Location of Epileptic Foci in "Continuous Spike-and-Waves
during Sleep": A Parallel to the Posterior-Anterior Trajectory of Slow Wave
Activity.
AB - BACKGROUND: Epileptic encephalopathy with continuous spike-and-waves during sleep
(CSWS) occurs during childhood and is characterized by an activation of spike
wave complexes during slow wave sleep. The location of epileptic foci is
variable, as is etiology. A relationship between the epileptic focus and age has
been shown in various focal epilepsies following a posterior-anterior trajectory,
and a link to brain maturation has been proposed. We hypothesize that in CSWS,
maximal spike wave activity, corresponding to the epileptic focus, is related to
age and shows a posterior-anterior evolution. FINDINGS: In a retrospective cross
sectional study on CSWS (22 EEGs of 22 patients aged 3.1-13.5 years), the
location of the epileptic focus is related to age and follows a posterior
anterior course. Younger patients are more likely to have posterior foci than
older ones. CONCLUSIONS: We propose that the posterior-anterior trajectory of
maximal spike waves in CSWS might reflect maturational changes of maximal
expression of sleep slow waves, which follow a comparable course. Epileptic spike
waves, that is, "hyper-synchronized slow waves" may occur at the place where the
highest and therefore most synchronized slow waves meet brain tissue with an
increased susceptibility to synchronization.
PMID- 27880967
TI - Early Prenatal MRI of Cervical "Abortive" Myelocystocele: Case Report and Review
of the Literature.
AB - Cervical abortive myelocistocele is a very rare congenital malformation. In this
case report, we describe the prenatal magnetic resonance imaging (MRI) of such
entity in a 20-week gestational age fetus, whose imaging features showed to be
different from the only other previous prenatal report. We underscored the value
of fetal MR for counseling and prognosis, especially when assessing the integrity
of the spinal cord.
PMID- 27880968
TI - Results of a New Treatment Concept for Concomitant Lesion of Medial Collateral
Ligament in Patients with Rupture of Anterior Cruciate Ligament.
AB - Anteromedial knee injury with rupture of anterior cruciate ligament (ACL) and
concomitant lesion of medial collateral ligament (MCL) is common in athletes. No
standardized treatment concept can be found within the literature. This study
presents results of a new treatment concept for concomitant MCL lesions in
patients with ACL rupture. In this study, 67 recreational athletes with ACL
injury and concomitant MCL lesion were treated according to a distinct treatment
concept. Patients were classified in six different types of concomitant MCL
lesion depending on grade of MCL lesion and presence of anteromedial rotatory
instability (AMRI). Final classification and surgical indication were determined
6 weeks posttraumatic. All patients received ACL reconstruction. MCL was treated
by surgical or conservative regime due to type of concomitant MCL lesion.
International Knee Documentation Committee (IKDC), AMRI, and Lysholm scores were
evaluated both preoperatively and after 6 weeks, 16 weeks, 12 months, and 18
months postoperatively. All patients could be uniquely classified and treated
according to the introduced treatment concept. AMRI was verifiable in patients
with grade II and III MCL lesions. All patients showed good to excellent clinical
results at the follow-up examinations. In all 67 patients (100%), the findings
were graded as normal or nearly normal according to the IKDC knee examination
form. Lysholm score averaged 93.9 at final follow-up. The introduced treatment
concept showed good results on short-term outcome and provides a sufficient
treatment strategy for concomitant MCL lesions in athletes with ACL rupture.
PMID- 27880969
TI - The Prevalence of Cruciate Ligament and Meniscus Knee Injury in Young Adults and
Associations with Gender, Body Mass Index, and Height a Large Cross-Sectional
Study.
AB - Anterior cruciate ligament and meniscal injuries are associated with secondary
osteoarthrosis which may lead to functional impairment and economic burden. The
prevalence of knee injury has not been studied in depth. Our purpose was to
report the prevalence of knee ligament and meniscal injuries and their
associations with gender, body mass index (BMI), and height in young adults and
to characterize individuals with meniscal injuries who gained full recovery. A
cross-sectional, population-based study was conducted. Information on the
disability codes of knee ligament and meniscal injury according to the
Regulations of Medical Fitness Determination was retrieved from a medical
database containing records of young prerecruits into mandatory service. Logistic
regression assessed the association between genders, BMI, and body height to knee
injuries. A total of 825,187 subjects were included. Prevalence of knee injuries
was 0.35%. Males had 2.2-fold more knee injuries than females. Increased BMI was
associated with increased prevalence of knee injury in both genders, more
significantly in females (overweight and obese females had an odds ratio of 1.406
and 1.519, respectively, to suffer from concomitant meniscal and ligamentous knee
injury). Being underweight was associated with a lower prevalence of knee injury.
An above normal BMI was more significantly associated with meniscal and/or
ligament injuries that did not fully recover (females > males). Body height was
associated with isolated meniscal injury in both genders. We found an association
between BMI, body height, and knee injury in both males and females. Higher body
height and higher BMI might be risk factors for knee injuries. Higher BMI was
associated with greater probability of disability coding. Meniscal and ligament
injuries are more common among males.
PMID- 27880970
TI - Total Knee Arthroplasty: What to Expect? A Survey of the Members of the Dutch
Knee Society on Long-Term Recovery after Total Knee Arthroplasty.
AB - The rate of satisfaction after total knee arthroplasty (TKA) is consistently
reported around 80%, leaving one in five patients unsatisfied to some extent.
Fulfillment of expectations is reported as the strongest predictor of treatment
satisfaction. In this study, we aimed to evaluate what Dutch orthopedic surgeons
assume are realistic expectations for recovery 1 year after TKA. We invited the
members of the Dutch Knee Society (DKS) to fill out a web-based questionnaire.
For expectation measurement, the validated Dutch version of the Hospital for
Special Surgery (HSS) knee replacement expectations survey was used. A total of
150 invitations were successfully sent; 84 orthopedic surgeons responded (56%).
The overall HSS knee replacement expectation score was 66.0 (standard deviation,
14.0) on a 0 to 100 scale. Most improvement was predicted for the items "pain
relief" and "walking short distances." Expectations related to patients' ability
to kneel or squat after TKA were scored poorly. To the opinion of the members of
the DKS, after TKA improvement can be expected in domains of pain, function,
activities, and psychological wellbeing. Return to normal is not likely to occur,
especially in demanding physical activities.
PMID- 27880971
TI - The Risk Factors of Postoperative Delirium after Total Knee Arthroplasty.
AB - We investigated the results of delirium which developed after total knee
arthroplasty (TKA) and the risk factors for delirium in the patients who are
older than 65 years. From March 2008 to March 2012, we performed a retrospective
study on 296 knees of 265 patients who were treated with TKA. They were divided
into two groups: 216 patients without delirium and 49 patients diagnosed with
delirium by psychiatry. We analyzed the risk factors into three categories:
First, the preoperative factors including gender, age, body mass index (BMI),
clinical and functional knee joint score (Knee Society Knee Score and Knee
Society Function Score) and the number of underlying diseases and associations
with each disease; Second, the operative factors including the anesthesia method,
amount of blood loss, operating time, laboratory factors, and transfusion count;
Third, the postoperative factors such as start time of walking and duration of
hospital stay were analyzed. There were significant statistical difference
between two groups just in age, history of dementia, cerebrovascular disease,
difference of hemoglobin and albumin, start time of walking, and duration of
hospital stay. The delirium after TKA delays the postoperative ambulation and
extends the hospital stay, which causes functional and socioeconomic loss of
patients. Therefore, the risk factors for delirium should be assessed and proper
prevention and management should be conducted.
PMID- 27880972
TI - Interferons-beta versus glatiramer acetate for relapsing-remitting multiple
sclerosis.
AB - BACKGROUND: Interferons-beta (IFNs-beta) and glatiramer acetate (GA) were the
first two disease-modifying therapies (DMTs) approved 20 years ago for the
treatment of multiple sclerosis (MS). DMTs' prescription rates as first or
switching therapies and their costs have both increased substantially over the
past decade. As more DMTs become available, the choice of a specific DMT should
reflect the risk/benefit profile, as well as the impact on quality of life. As MS
cohorts enrolled in different studies can vary significantly, head-to-head trials
are considered the best approach for gaining objective reliable data when two
different drugs are compared. The purpose of this systematic review is to
summarise available evidence on the comparative effectiveness of IFNs-beta and GA
on disease course through the analysis of head-to-head trials.This is an update
of the Cochrane review 'Interferons-beta versus glatiramer acetate for relapsing
remitting multiple sclerosis' (first published in the Cochrane Library 2014,
Issue 7). OBJECTIVES: To assess whether IFNs-beta and GA differ in terms of
safety and efficacy in the treatment of people with relapsing-remitting (RR) MS.
SEARCH METHODS: We searched the Trials Register of the Cochrane Multiple
Sclerosis and Rare Diseases of the CNS Group (08 August 2016) and the reference
lists of retrieved articles. We contacted authors and pharmaceutical companies.
SELECTION CRITERIA: Randomised controlled trials (RCTs) comparing directly IFNs
beta versus GA in study participants affected by RRMS. DATA COLLECTION AND
ANALYSIS: We used standard methodological procedures as expected by Cochrane.
MAIN RESULTS: Six trials were included and five trials contributed to this review
with data. A total of 2904 participants were randomly assigned to IFNs (1704) and
GA (1200). The treatment duration was three years for one study, two years for
the other four RCTs while one study was stopped early (after one year). The IFNs
analysed in comparison with GA were IFN-beta 1b 250 mcg (two trials, 933
participants), IFN-beta 1a 44 mcg (three trials, 466 participants) and IFN-beta
1a 30 mcg (two trials, 305 participants). Enrolled participants were affected by
active RRMS. All studies were at high risk for attrition bias. Three trials are
still ongoing, one of them completed.Both therapies showed similar clinical
efficacy at 24 months, given the primary outcome variables (number of
participants with relapse (risk ratio (RR) 1.04, 95% confidence interval (CI)
0.87 to 1.24) or progression (RR 1.11, 95% CI 0.91 to 1.35). However at 36
months, evidence from a single study suggests that relapse rates were higher in
the group given IFNs than in the GA group (RR 1.40, 95% CI 1.13 to 1.74, P value
0.002).Secondary magnetic resonance imaging (MRI) outcomes analysis showed that
effects on new or enlarging T2- or new contrast-enhancing T1 lesions at 24 months
were similar (mean difference (MD) -0.15, 95% CI -0.68 to 0.39, and MD -0.14, 95%
CI -0.30 to 0.02, respectively). However, the reduction in T2- and T1-weighted
lesion volume was significantly greater in the groups given IFNs than in the GA
groups (MD -0.58, 95% CI -0.99 to -0.18, P value 0.004, and MD -0.20, 95% CI
0.33 to -0.07, P value 0.003, respectively).The number of participants who
dropped out of the study because of adverse events was similar in the two groups
(RR 0.95, 95% CI 0.64 to 1.40).The quality of evidence for primary outcomes was
judged as moderate for clinical end points, but for safety and some MRI outcomes
(number of active T2 lesions), quality was judged as low. AUTHORS' CONCLUSIONS:
The effects of IFNs-beta and GA in the treatment of people with RRMS, including
clinical (e.g. people with relapse, risk to progression) and MRI (Gd-enhancing
lesions) measures, seem to be similar or to show only small differences. When MRI
lesion load accrual is considered, the effect of the two treatments differs, in
that IFNs-beta were found to limit the increase in lesion burden as compared with
GA. Evidence was insufficient for a comparison of the effects of the two
treatments on patient-reported outcomes, such as quality-of-life measures.
PMID- 27880973
TI - Immunopathogenesis of systemic lupus erythematosus and rheumatoid arthritis: the
role of aberrant expression of non-coding RNAs in T cells.
AB - Non-coding RNAs (ncRNAs), including microRNAs (miRNAs) and long non-coding RNAs
(lncRNAs), are RNA molecules that do not translate into protein. Both miRNAs and
lncRNAs are known to regulate gene expression and to play an essential role in T
cell differentiation and function. Both systemic lupus erythematosus (SLE), a
prototypic systemic autoimmune disease, and rheumatoid arthritis (RA), a
representative disease of inflammatory arthritis, are characterized by a complex
dysfunction in the innate and adaptive immunity. T cells play a central role in
cell-mediated immune response and multiple defects in T cells from patients with
SLE and RA have been observed. Abnormality in T cell signalling, cytokine and
chemokine production, T cell activation and apoptosis, T cell differentiation and
DNA methylation that are associated closely with the aberrant expression of a
number of miRNAs and lncRNAs have been implicated in the immunopathogenesis of
SLE and RA. This review aims to provide an overview of the current state of
research on the abnormal expression of miRNAs and lncRNAs in T cells and their
roles in the immunopathogenesis of SLE and RA. In addition, by comparing the
differences in aberrant expression of miRNAs and lncRNAs in T cells between
patients with SLE and RA, controversial areas are highlighted that warrant
further investigation.
PMID- 27880974
TI - Deep sequencing of the TCR-beta repertoire of human forkhead box protein 3
(FoxP3)+ and FoxP3- T cells suggests that they are completely distinct and non
overlapping.
AB - Maintenance of peripheral tolerance requires a balance between autoreactive
conventional T cells (Tconv ) and thymically derived forkhead box protein 3
(FoxP3)+ regulatory T cells (tTregs ). Considerable controversy exists regarding
the similarities/differences in T cell receptor (TCR) repertoires expressed by
Tconv and tTregs . We generated highly purified populations of human adult and
cord blood Tconv and tTregs based on the differential expression of CD25 and
CD127. The purity of the sorted populations was validated by intracellular
staining for FoxP3 and Helios. We also purified an overlap group of CD4 T cells
from adult donors to ensure that considerable numbers of shared clonotypes could
be detected when present. We used deep sequencing of entire TCR-beta CDR3
sequences to analyse the TCR repertoire of Tconv and tTregs . Our studies suggest
that both neonatal and adult human Tconv and tTreg cells are, in fact, entirely
distinct CD4 T cell lineages.
PMID- 27880975
TI - RORgammat expression in Tregs promotes systemic lupus erythematosus via IL-17
secretion, alteration of Treg phenotype and suppression of Th2 responses.
AB - Systemic lupus erythematosus (SLE) is a common autoimmune disorder with a complex
and poorly understood immunopathogenesis. However, a pathogenic role for the T
helper type 17 (Th17) axis was demonstrated by many studies, while regulatory T
cells (Tregs ) were shown to mediate protection. Recently, we and others
characterized a novel and independent T cell population expressing both the Treg
characteristic transcription factor forkhead box protein 3 (FoxP3) and the Th17
defining retinoic acid receptor-related orphan nuclear receptor gammat
(RORgammat). Studies in a model of acute glomerulonephritis unveiled potent
regulatory, but also proinflammatory, functions of RORgammat+ FoxP3+ Tregs . This
bi-functional nature prompted us to suggest the name 'biTregs '. Importantly, the
pathogenic biTreg effects were dependent upon expression of RORgammat. We thus
aimed to evaluate the contribution of RORgammat+ FoxP3+ biTregs to pristane
induced SLE and explored the therapeutic potential of interference with RORgammat
activation. Our analyses revealed expansion of IL-17 producing biTregs in a
distinctive time-course and organ-specific pattern, coincident with the
development of autoimmunity and tissue injury. Importantly, specific ablation of
RORgammat activation in endogenous biTregs resulted in significant amelioration
of pristane-induced pulmonary vasculitis and lupus nephritis. As potential
mechanisms underlying the observed protection, we found that secretion of IL-17
by biTregs was abrogated completely in FoxP3Cre * RORCfl/fl mice. Furthermore,
Tregs showed a more activated phenotype after cell-specific inactivation of
RORgammat signalling. Finally, and remarkably, biTregs were found to potently
suppress anti-inflammatory Th2 immunity in a RORgammat-dependent manner. Our
study thus identifies biTregs as novel players in SLE and advocates RORgammat
directed interventions as promising therapeutic strategies.
PMID- 27880976
TI - A novel quantitative microarray antibody capture assay identifies an extremely
high hepatitis delta virus prevalence among hepatitis B virus-infected
mongolians.
AB - : Hepatitis delta virus (HDV) causes the most severe form of human viral
hepatitis. HDV requires a hepatitis B virus (HBV) coinfection to provide HDV with
HBV surface antigen envelope proteins. The net effect of HDV is to make the
underlying HBV disease worse, including higher rates of hepatocellular carcinoma.
Accurate assessments of current HDV prevalence have been hampered by the lack of
readily available and reliable quantitative assays, combined with the absence of
a Food and Drug Administration-approved therapy. We sought to develop a
convenient assay for accurately screening populations and to use this assay to
determine HDV prevalence in a population with abnormally high rates of
hepatocellular carcinoma. We developed a high-throughput quantitative microarray
antibody capture assay for anti-HDV immunoglobulin G wherein recombinant HDV
delta antigen is printed by microarray on slides coated with a noncontinuous,
nanostructured plasmonic gold film, enabling quantitative fluorescent detection
of anti-HDV antibody in small aliquots of patient serum. This assay was then used
to screen all HBV-infected patients identified in a large randomly selected
cohort designed to represent the Mongolian population. We identified two
quantitative thresholds of captured antibody that were 100% predictive of the
sample either being positive on standard western blot or harboring HDV RNA
detectable by real-time quantitative PCR. Subsequent screening of the HBV+ cohort
revealed that a remarkable 57% were RNA+ and an additional 4% were positive on
western blot alone. CONCLUSION: The quantitative microarray antibody capture
assay's unique performance characteristics make it ideal for population
screening; its application to the Mongolian HBV surface antigen-positive
population reveals an apparent ~60% prevalence of HDV coinfection among these HBV
infected Mongolian subjects, which may help explain the extraordinarily high rate
of hepatocellular carcinoma in Mongolia. (Hepatology 2017;66:1739-1749).
PMID- 27880977
TI - Bariatric surgery for nonalcoholic steatohepatitis: A clinical and cost
effectiveness analysis.
AB - : Nonalcoholic steatohepatitis (NASH) affects 2%-3% of the US population and is
expected to become the leading indication for liver transplantation in the next
decade. Bariatric surgery may be an effective but expensive treatment for NASH.
Using a state-transition model, our analysis assessed the effectiveness and cost
effectiveness of surgery to manage NASH. We simulated the benefits and harms of
laparoscopic Roux-en-Y gastric bypass surgery in patients defined by weight class
(overweight, mild obesity, moderate obesity, and severe obesity) and fibrosis
stage (F0-F3). Comparators included intensive lifestyle intervention (ILI) and no
treatment. Quality-adjusted life years (QALYs), costs, and incremental cost
effectiveness ratios were calculated. Our results showed that surgery and ILI in
obese patients (with F0-F3) increased QALYs by 0.678-2.152 and 0.452-0.618,
respectively, compared with no treatment. Incremental cost-effectiveness ratios
for surgery in all F0-F3 patients with mild, moderate, or severe obesity were
$48,836/QALY, $24,949/QALY, and $19,222/QALY, respectively. In overweight
patients (with F0-F3), surgery increased QALYs by 0.050-0.824 and ILI increased
QALYs by 0.031-0.164. In overweight patients, it was cost-effective to reserve
treatment only for F3 patients; the incremental cost-effectiveness ratios for
providing surgery or ILI only to F3 patients were $30,484/QALY and $25,367/QALY,
respectively. CONCLUSIONS: Surgery was both effective and cost-effective for
obese patients with NASH, regardless of fibrosis stage; in overweight patients,
surgery increased QALYs for all patients regardless of fibrosis stage, but was
cost-effective only for patients with F3 fibrosis; our results highlight the
promise of bariatric surgery for treating NASH and underscore the need for
clinical trials in this area. (Hepatology 2017;65:1156-1164).
PMID- 27880978
TI - Defining the relationship between farsenoid X receptor, hepatitis B virus X
protein and hepatocellular carcinoma: It's complicated.
PMID- 27880979
TI - Low-Dose Lipopolysaccharide Causes Biliary Injury by Blood Biliary Barrier
Impairment in a Rat Hepatic Ischemia/Reperfusion Model.
AB - This study explored whether bacterial endotoxins, in the form of
lipopolysaccharides (LPS), could have an injurious effect on the biliary tract in
conjunction with ischemia. A total of 64 rats were randomly assigned to 4 groups:
sham operation (sham group), 1 mg/kg LPS intraperitoneal (LPS group), hepatic
ischemia/reperfusion (IR; IR group), and IR combined with LPS (IR+LPS group).
Following 1 or 6 hours of reperfusion, serum liver tests, bile duct histology,
immunofluorescence microscopy (zonula occludens-1 [ZO-1]), bile composition (bile
salts, phospholipids, lactate dehydrogenase), hepatic gene expression (bile salt
transporters and inflammatory mediators), as well as serum and biliary cytokine
concentrations were quantified and compared between the study groups. In
addition, the integrity of the blood biliary barrier (BBB) was assayed in vivo
using horseradish peroxidase (HRP). LPS administration induced severe small bile
duct injury following 6 hours of reperfusion. Furthermore, total bile salts and
bilirubin concentrations in serum were increased in the LPS groups compared with
sham controls (LPS, + 3.3-fold and +1.9-fold; IR+LPS, + 3.8-fold and +1.7-fold,
respectively). The BBB was impaired in the LPS groups as evidenced by elevated
levels of HRP in bile (+4.9-fold), and decreased expression of claudin 1 (-6.7
fold) and claudin 3 (-3.6-fold). LPS was found to be a potent inducer of small
bile duct injury following hepatic ischemia and 6 hours of reperfusion. This
injury was associated with increased permeability of the BBB and impaired hepatic
bile salt clearance. Liver Transplantation 23 194-206 2017 AASLD.
PMID- 27880980
TI - Impact of total body irradiation on successful neutrophil engraftment in
unrelated bone marrow or cord blood transplantation.
AB - Total body irradiation (TBI) has been thought to promote donor cell engraftment
in allogeneic hematopoietic cell transplantation (HCT) from alternative donors.
However, recent progress in HCT strategies may affect the clinical significance
of TBI on neutrophil engraftment. With the use of a Japanese transplant registry
database, we analyzed 3933 adult recipients (>15 y.o.) who underwent HCT between
2006 and 2013 from an 8/8 HLA-matched unrelated bone marrow donor (MUD, n =
1367), an HLA-mismatched unrelated bone marrow donor (MMUD, n = 1102), or
unrelated cord blood (CBT, n = 1464). Conditioning regimens were divided into
five groups: High-TBI-(>8Gy), Low-TBI- (<=8Gy), and no-TBI-myeloablative
conditioning (MAC), and Low-TBI- and no-TBI-reduced-intensity conditioning (RIC).
In both MUD and MMUD, neutrophil engraftment rate was >90% in each of the five
conditioning groups, and TBI was not associated with prompt neutrophil
engraftment in multivariate analyses. Conversely, in CBT, TBI regimens had a
higher rate of day-30 neutrophil engraftment than no-TBI-regimens: 78% in High
TBI-MAC, 83% in Low-TBI-MAC, and 76% in Low-TBI-RIC versus 65% in No-TBI-MAC, and
68% in No-TBI-RIC (P < .001). Multivariate analyses in CBT demonstrated that TBI
regimens were significantly associated with a higher rate of neutrophil
engraftment. Subsequently focusing on CBT patients alone, TBI-regimens were
significantly associated with a higher rate of neutrophil engraftment in patients
who received CBT with a 4/6 or less HLA allele-match, or who had anti-HLA
antibodies. In summary, TBI-regimens had no impact on neutrophil engraftment in
the current practice of unrelated bone marrow transplantation. However, in CBT,
TBI is still necessary to enhance engraftment.
PMID- 27880981
TI - A novel glucagon-like peptide 1/glucagon receptor dual agonist improves
steatohepatitis and liver regeneration in mice.
AB - : Because nonalcoholic steatohepatitis (NASH) is associated with impaired liver
regeneration, we investigated the effects of G49, a dual glucagon-like peptide
1/glucagon receptor agonist, on NASH and hepatic regeneration. C57Bl/6 mice fed
chow or a methionine and choline-deficient (MCD) diet for 1 week were divided
into 4 groups: control (chow diet), MCD diet, chow diet plus G49, and M+G49 (MCD
diet plus G49). Mice fed a high-fat diet (HFD) for 10 weeks were divided into
groups: HFD and H+G49 (HFD plus G49). Following 2 (MCD groups) or 3 (HFD groups)
weeks of treatment with G49, partial hepatectomy (PH) was performed, and all mice
were maintained on the same treatment schedule for 2 additional weeks. Analysis
of liver function, hepatic regeneration, and comprehensive genomic and metabolic
profiling were conducted. NASH was ameliorated in the M+G49 group, manifested by
reduced inflammation, steatosis, oxidative stress, and apoptosis and increased
mitochondrial biogenesis. G49 treatment was also associated with replenishment of
intrahepatic glucose due to enhanced gluconeogenesis and reduced glucose use
through the pentose phosphate cycle and oxidative metabolism. Following PH, G49
treatment increased survival, restored the cytokine-mediated priming phase, and
enhanced the proliferative capacity and hepatic regeneration ratio in mice on the
MCD diet. NASH markers remained decreased in M+G49 mice after PH, and glucose use
was shifted to the pentose phosphate cycle and oxidative metabolism. G49
administered immediately after PH was also effective at alleviating the
pathological changes induced by the MCD diet. Benefits in terms of liver
regeneration were also found in mice fed HFD and treated with G49. CONCLUSION:
Dual-acting glucagon-like peptide-1/glucagon receptor agonists such as G49
represent a novel therapeutic approach for patients with NASH and particularly
those requiring PH. (Hepatology 2017;65:950-968).
PMID- 27880983
TI - Pantoea ananatis: genomic insights into a versatile pathogen.
AB - : Pantoea ananatis, a bacterium that is well known for its phytopathogenic
characteristics, has been isolated from a myriad of ecological niches and hosts.
Infection of agronomic crops, such as maize and rice, can result in substantial
economic losses. In the last few years, much of the research performed on P.
ananatis has been based on the sequencing and analysis of the genomes of strains
isolated from different environments and with different lifestyles. In this
review, we summarize the advances made in terms of pathogenicity determinants of
phytopathogenic strains of P. ananatis and how this bacterium is able to adapt
and survive in such a wide variety of habitats. The diversity and adaptability of
P. ananatis can largely be attributed to the plasticity of its genome and the
integration of mobile genetic elements on both the chromosome and plasmid.
Furthermore, we discuss the recent interest in this species in various
biotechnological applications. TAXONOMY: Domain Bacteria; Class
Gammaproteobacteria; Family Enterobacteriaceae; genus Pantoea; species ananatis.
DISEASE SYMPTOMS: Pantoea ananatis causes disease on a wide range of plants, and
symptoms can range from dieback and stunted growth in Eucalyptus seedlings to
chlorosis and bulb rotting in onions. DISEASE CONTROL: Currently, the only
methods of control of P. ananatis on most plant hosts are the use of resistant
clones and cultivars or the eradication of infected plant material. The use of
lytic bacteriophages on certain host plants, such as rice, has also achieved a
measure of success.
PMID- 27880982
TI - Safety and efficacy of ruxolitinib in splanchnic vein thrombosis associated with
myeloproliferative neoplasms.
AB - Splanchnic vein thrombosis (SVT) is one of the vascular complications of
myeloproliferative neoplasms (MPN). We designed a phase 2 clinical trial to
evaluate safety and efficacy of ruxolitinib in reducing splenomegaly and
improving disease-related symptoms in patients with MPN-associated SVT. Patients
diagnosed with myelofibrosis (12 cases), polycythemia vera (5 cases) and
essential thrombocythemia (4 cases) received ruxolitinib for 24 weeks in the core
study period. Spleen volume was assessed by magnetic resonance imaging (MRI) and
splanchnic vein circulation by echo-Doppler analysis. Nineteen patients carried
JAK2V617F, one had MPLW515L, and one CALRL367fs*46 mutation. Eighteen patients
had spleno-portal-mesenteric thrombosis, two had Budd-Chiari syndrome, and one
had both sites involved; 16 patients had esophageal varices. Ruxolitinib was well
tolerated with hematological toxicities consistent with those of patients without
SVT and no hemorrhagic adverse events were recorded. After 24 weeks of treatment,
spleen volume reduction >=35% by MRI was achieved by 6/21 (29%) patients, and a
>=50% spleen length reduction by palpation at any time up to week 24 was obtained
by 13/21 (62%) patients. At week 72, 8 of the 13 (62%) patients maintained the
spleen response by palpation. No significant effect of treatment on esophageal
varices or in splanchnic circulation was observed. MPN-related symptoms,
evaluated by MPN-symptom assessment form (SAF) TSS questionnaire, improved
significantly during the first 4 weeks and remained stable up to week 24. In
conclusion, this trial shows that ruxolitinib is safe in patients with MPN
associated SVT, and effective in reducing spleen size and disease-related
symptoms.
PMID- 27880985
TI - Daily pain in adults with sickle cell disease-a different perspective.
AB - Previous reports demonstrated that patients with sickle cell disease (SCD)
experience pain on more than half of the observed days. Yet, these high
incidences do not seem to match observations in our population. In this
prospective cohort study, we aimed to assess the frequency and characteristics of
daily, self-reported pain among adult SCD patients in the Netherlands.
Consecutive patients were enrolled during routine outpatient visits and followed
up to 6 months. A total of 55 patients completed 5,982 diary observation days.
Median age was 27 years (IQR 23-43). Patients reported SCD related pain on 17% of
the observed days; on 13% of these days this pain was not defined as a painful
crisis, while 3% was reported as a painful crisis but managed at home, and on 1%
of the observed days patients were admitted to the hospital. Analgesics were used
on 52% of days with pain with a relatively infrequent use of oral opioids (9% of
pain days). This first European study on pain in SCD indicates that pain appears
to be significantly less frequent in our population as compared to previous study
cohorts from the United States, and may be more representative for current SCD
populations in other Western countries. Besides a more widespread use of
hydroxycarbamide in modern disease management, differences in organization and
accessibility of healthcare between countries may also explain this discrepancy.
PMID- 27880984
TI - Diffuse large B-cell lymphoma with primary treatment failure: Ultra-high risk
features and benchmarking for experimental therapies.
AB - The outcomes of patients with DLBCL and primary treatment failure (PTF) in the
rituximab era are unclear. We analyzed 331 patients with PTF, defined as primary
progression while on upfront chemoimmunotherapy (PP), residual disease at the end
of upfront therapy (RD) or relapse < 6 months from end of therapy (early relapse;
ER). Median age was 58 years and response to salvage was 41.7%. Two-year OS was
18.5% in PP, 30.6% in RD and 45.5% in ER. The presence of PP, intermediate
high/high NCCN-IPI at time of PTF or MYC translocation predicted 2-year OS of
13.6% constituting ultra-high risk (UHR) features. Among the 132 patients who
underwent autologous hematopoietic cell transplantation, 2-year OS was 74.3%,
59.6% and 10.7% for patients with 0,1 and 2-3 UHR features respectively. Patients
with PTF and UHR features should be prioritized for clinical trials with newer
agents and innovative cellular therapy.
PMID- 27880986
TI - Nutrients, amino acid, fatty acid and non-starch polysaccharide profile and in
vitro digestibility of macadamia nut cake in swine.
AB - The highly variable cost and limited availability of conventional feedstuffs make
it imperative to explore alternative feedstuffs to be used in swine. Macadamia
nut cake (MNC), a coproduct of the macadamia nut oil industry, has not been well
studied. MNC was analyzed for its nutrient profile, gross energy (GE), fibers,
amino acids and fatty acids content. Dry matter (DM) and GE digestibility of MNC
in swine was determined using an in vitro model. On a DM basis, ash, crude
protein, ether extract, NDF, ADF, lignin and GE were found to be 3.7, 25.5, 11.9,
35.8, 28.0, 16.0% and 5581 kcal/kg, respectively. Total and soluble non-starch
polysaccharide content were 32.2 and 11.8%, respectively. The concentration of
lysine was found to be 0.7%. The DM and GE digestibility were found to be 75.7
and 71.4%, respectively. Gross energy content of MNC is comparable with that of
corn and higher than soybean meal, while protein content is twice as high as corn
but lower than soybean meal. In conclusion, MNC is not merely a good source of
protein and energy but also has fairly high digestibility in swine. Hence, it can
be used as a viable alternative source of energy and protein in swine diets.
PMID- 27880988
TI - Pioglitazone for advanced fibrosis in nonalcoholic steatohepatitis: New evidence,
new challenges.
PMID- 27880987
TI - Assessing surgical research at the teaching hospital level.
AB - BACKGROUND: To undertake a comprehensive needs assessment to determine the
baseline of surgical research activity at a tertiary referral hospital in Sydney,
Australia. METHOD: The comprehensive needs assessment comprised three components:
a retrospective audit of the hospital ethics committee records to identify
surgical research activity; a survey of all 17 surgical departments about the
availability of 10 potential research resources and a survey of surgical staff to
ascertain perceptions of research culture at the organizational, team and
individual levels. RESULTS: Of all research studies submitted to the hospital
ethics committee in a 2-year period, only 9% were identified as surgical studies.
Among the 17 surgical departments, there was wide variation in activity with only
four defined as being 'research active'. On average, 52% of potential resources
for surgical research were found to be in place within surgical departments. Only
five departments were considered to be adequately research resourced (>=75%
potential resources in place). Surgical research culture was rated 'moderate' at
the organizational and team level, and 'low' at the individual level. Medical
staff rated research capacity significantly higher at the team and individual
levels compared to nursing staff. CONCLUSION: Collectively, the baseline results
indicate there is considerable opportunity to enhance surgical research at the
hospital level and to use this information to guide new and innovative approaches
in the future.
PMID- 27880989
TI - Validation of the prognostic value of histologic scoring systems in primary
sclerosing cholangitis: An international cohort study.
AB - : Histologic scoring systems specific for primary sclerosing cholangitis (PSC)
are not validated. We recently determined the applicability and prognostic value
of three histological scoring systems in a single PSC cohort. The aim of this
study was to validate their prognostic use and reproducibility across a
multicenter PSC cohort. Liver biopsies from PSC patients were collected from
seven European institutions. Histologic scoring was performed using the Nakanuma,
Ishak, and Ludwig scoring systems. Biopsies were independently scored by six
liver pathologists for interobserver agreement. The prognostic value of clinical,
biochemical, and all three histologic scoring systems on predicting composite
endpoints 1 (PSC-related death and liver transplantation), 2 (liver
transplantation), and 3 (liver-related events), was assessed using univariable
and multivariable Cox proportional hazards modeling. A total of 119 PSC patients
were identified, and the median follow-up was 142 months. During follow-up, 31
patients died (20 PSC-related deaths), 31 patients underwent liver
transplantation, and 35 patients experienced one or more liver-related events.
All three staging systems were independent predictors of endpoints 2 and 3
(Nakanuma system: hazard ratio [HR], 3.16 [95% confidence interval (CI), 1.49
6.68] for endpoint 2 and HR, 2.05 [95% CI, 1.17-3.57] for endpoint 3; Ishak
system: HR, 1.55 [95% CI, 1.10-2.18] for endpoint 2 and HR, 1.43 [95% CI, 1.10
1.85] for endpoint 3; Ludwig system: HR, 2.62 [95% CI, 1.19-5.80] for endpoint 2
and HR, 2.06 [95% CI, 1.09-3.89] for endpoint 3). Only the Nakanuma staging
system was independently associated with endpoint 1: HR, 2.14 (95% CI, 1.22
3.77). Interobserver agreement was moderate for Nakanuma stage (kappa = 0.56) and
substantial for Nakanuma component fibrosis (kappa = 0.67), Ishak stage (kappa =
0.64), and Ludwig stage (kappa = 0.62). CONCLUSION: We confirm the independent
prognostic value and demonstrate for the first time the reproducibility of
staging disease progression in PSC using the Nakanuma, Ishak, and Ludwig staging
systems. The Nakanuma staging system-incorporating features of chronic biliary
disease-again showed the strongest predictive value. (Hepatology 2017;65:907
919).
PMID- 27880990
TI - Neurodegeneration and NLRP3 inflammasome expression in the anterior thalamus of
SOD1(G93A) ALS mice.
AB - Nowadays, amyotrophic lateral sclerosis (ALS) is considered as a multisystem
disorder, characterized by a primary degeneration of motor neurons as well as
neuropathological changes in non-motor regions. Neurodegeneration in subcortical
areas, such as the thalamus, are believed to contribute to cognitive and
behavioral abnormalities in ALS patients. In the present study, we investigated
neurodegenerative changes including neuronal loss and glia pathology in the
anterodorsal thalamic nucleus (AD) of SOD1(G93A) mice, a widely used animal model
for ALS. We detected massive dendrite swelling and neuronal loss in SOD1(G93A)
animals, which was accompanied by a mild gliosis. Furthermore, misfolded SOD1
protein and autophagy markers were accumulating in the AD. Since innate immunity
and activation inflammasomes seem to play a crucial role in ALS, we examined
protein expression of Nod-like receptor protein 3 (NLRP3), apoptosis-associated
speck-like protein containing a caspase-1 recruitment domain (ASC) and the
cytokine interleukin 1 beta (IL1beta) in AD glial cells and neurons. NLRP3 and
ASC were significantly up-regulated in the AD of SOD1(G93A) mice. Finally, co
localization studies revealed expression of NLRP3, ASC and IL1beta in neurons.
Our study yielded two main findings: (i) neurodegenerative changes already occur
at an early symptomatic stage in the AD and (ii) increased inflammasome
expression may contribute to neuronal cell death. In conclusion,
neurodegeneration in the anterior thalamus may critically account for cognitive
changes in ALS pathology.
PMID- 27880991
TI - Vascular complications in living donor liver transplantation at a high-volume
center: Evolving protocols and trends observed over 10 years.
AB - Vascular complications continue to have a devastating effect on liver
transplantation recipients, even though their nature, incidence, and outcome
might have actually changed with increasing experience and proficiency in high
volume centers. The aim of this study was to analyze the trends observed in
vascular complications with changing protocols in adult and pediatric living
donor liver transplantation over 10 years in 2 time frames in terms of nature,
incidence, and outcome. It is a retrospective analysis of 391 (group 1, January
2006 to December 2010) and 741 (group 2, January 2011 to October 2013) patients.
With a minimum follow-up of 2 years, incidence of hepatic artery thrombosis (HAT)
in adults has reduced significantly from 2.2% in group 1 to 0.5% in group 2 (P =
0.02). In group 2, nonsignificantly, more adult patients (75% with HAT) could be
salvaged compared with only 25% patients in group 1 (P = 0.12). However, HAT in
children had 100% mortality. Incidence of portal vein thrombosis (PVT) in
complicated transplants in 2 eras remained the same (P = 0.2) and so has its
mortality. The thrombosis rate of the neo-middle hepatic vein was significantly
reduced in group 2 (P = 0.01). The incidence of HAT, particularly in adults, has
decreased significantly though PVT has continued to puzzle surgeons in
complicated transplants. In high-volume centers, increasing proficiency,
technical modifications, early diagnosis, and multimodality of treatment is the
key to decrease overall morbidity and mortality due to vascular complications.
Liver Transplantation 23 457-464 2017 AASLD.
PMID- 27880992
TI - Studies using concentric ring bifocal and peripheral add multifocal contact
lenses to slow myopia progression in school-aged children: a meta-analysis.
AB - PURPOSE: To evaluate the effect of soft contact lens with concentric ring bifocal
and peripheral add multifocal designs on controlling myopia progression in school
aged children. METHODS: We systematically searched MEDLINE, EMBASE, Cochrane
Library and reference lists of included trials. Methodological quality of
included trials was assessed using Jadad Scale and Newcastle-Ottawa Quality
Assessment Scale items. RESULTS: We identified five randomised controlled trials
(RCTs) and three cohort studies with a total of 587 myopic children. Compared
with the control group, concentric ring bifocal soft contact lenses showed less
myopia progression with a weighted mean difference (WMD) of 0.31 D (95% CI,
0.05~0.57 D, p = 0.02) and less axial elongation with a WMD of -0.12 mm (95% CI,
approximately -0.18 to -0.07 mm, p < 0.0001) at 12 months. Relative to the
control group, peripheral add multifocal soft contact lenses showed less myopia
progression with a WMD of 0.22 D (95% CI 0.14~0.31 D, p < 0.0001) and less axial
elongation of -0.10 mm (95% CI -0.13~0.07 mm, p < 0.0001) at 12 months,
respectively. The soft contact lenses with concentric ring bifocal and peripheral
add multifocal designs produced additional myopia control rates of 30~38% for
slowing myopia progression and 31~51% for lessening axial elongation within 24
months. CONCLUSIONS: Both concentric ring bifocal and peripheral add multifocal
soft contact lenses are clinically effective for controlling myopia in school
aged children, with an overall myopia control rates of 30~50% over 2 years.
Concentric ring bifocal soft contact lenses seem to have greater effect than
peripheral add multifocal soft contact lenses.
PMID- 27880994
TI - Isothiocyanates in Brassica: Potential Anti Cancer Agents
AB - Isothiocyanates are naturally occurring small molecules that are formed from
glucosinolate precursors ofcruciferous vegetables. Many isothiocyanates, both
natural and synthetic, display anti-carcinogenic activitybecause they reduce
activation of carcinogens and increase their detoxification. This minireview
summarizesthe current knowledge on isothiocyanates and focuses on their role as
potential anti-cancer agents.
PMID- 27880993
TI - Clinical Factors Predictive of Metastases from Renal Cell Carcinomas
AB - Background: Lymph node and distant metastases are known as the prognostic factor
in renal cell carcinoma (RCC). Clinical parameters are needed to predict
metastases preoperatively. The aim of this study was to assessclinical predictive
factors for lymph node and distant metastases. Materials and Methods: We
collected RCCdata from January 1995 until December 2015 at Cipto Mangunkusumo
hospital in Jakarta. We only revieweddata that had renal cell carcinoma
histopathology by operation or biopsy. Clinical information such as patientage,
gender, hemoglobin (Hb), erythrocyte sedimentation rate (ESR), and tumor size
(clinical T stage) werereviewed and analyzed by Chi-squre and logistic regression
to establish clinical predictive value. Results: A totalof 102 patients were
reviewed. There were 32 (31.4%) with lymph node metastases and 27 (26.5%) with
distantmetastases. Age, Hb and clinical T staging were associated with nodal
metastases. However, only Hb and clinicalT staging were found to be associated
with distant metastases. By logistic regression, we found T3-4 in clinicalT-stage
to be the only predictor of nodal metastases (OR 5.14; 1.87 - 14.09) and distant
metastases (OR 3.42;1.27 - .9.23). Conclusions: Clinical T-stages of T3 and T4
according to The AJCC TNM classification could beused as independent clinical
predictive factors for lymph node or distant metastases in patients with RCC.
PMID- 27880995
TI - Frequency of K-RAS and N-RAS Gene Mutations in Colorectal Cancers in Southeastern
Iran
AB - Background: K-RAS and N-RAS gene mutations cause resistance to treatment in
patients with colorectalcancer. Based on this, awareness of mutation of these
genes is considered a clinically important step towardsbetter diagnosis and
appropriate treatment. Materials and Methods: Fifty paraffin-embedded blocks of
colorectalcancer were obtained from Imam Reza Hospital of Birjand, Iran.
Following DNA extraction, the sampleswere analyzed for common mutations of exons
2, 3 and 4 of KRAS and NRAS genes using real time PCR andpyrosequencing. Results:
According to this study, the prevalence of mutations was respectively 28% (14 out
of50) and 2% (1 out of 50) in KRAS and NRAS genes. All the mutations were
observed in patients >50 years old.Conclusions: Mutations were found in both KRAS
and NRAS genes in colorectal cancers in Iranian patients.Determining the
frequency of these mutations in each geographical region may be necessary to
benefit fromtargeted cancer therapy.
PMID- 27880996
TI - Oxidative Stress Induced Damage to Paternal Genome and Impact of Meditation and
Yoga - Can it Reduce Incidence of Childhood Cancer?
AB - Background: Sperm DNA damage is underlying aetiology of poor implantation and
pregnancy rates but alsoaffects health of offspring and may also result in denovo
mutations in germ line and post fertilization. This mayresult in complex
diseases, polygenic disorders and childhood cancers. Childhood cancer like
retinoblastoma (RB)is more prevalent in developing countries and the incidence of
RB has increased more than three fold in India inthe last decade. Recent studies
have documented increased incidence of cancers in children born to fathers
whoconsume alcohol in excess and tobacco or who were conceived by assisted
conception. The aetiology of childhoodcancer and increased disease burden in
these children is lin ked to oxidative stress (OS) and oxidative DNAdamage( ODD)
in sperm of their fathers. Though several antioxidants are in use to combat
oxidative stress, theeffect of majority of these formulations on DNA is not
known. Yoga and meditation cause significant decline inOS and ODD and aid in
regulating OS levels such that reactive oxygen speues meditated signal
transduction, geneexpression and several other physiological functions are not
disrupted. Thus, this study aimed to analyze spermODD as a possible etiological
factor in childhood cancer and role of simple life style interventions like yoga
andmeditation in significantly decreasing seminal oxidative stress and oxidative
DNA damage and thereby decreasingincidence of childhood cancers. Materials and
Methods: A total of 131 fathers of children with RB (non-familialsporadic
heritable) and 50 controls (fathers of healthy children) were recruited at a
tertiary center in India.Sperm parameters as per WHO 2010 guidelines and reactive
oxygen species (ROS), DNA fragmentation index(DFI), 8-hydroxy-2'-deoxy guanosine
(8-OHdG) and telomere length were estimated at day 0, and after 3 and 6months of
intervention. We also examined the compliance with yoga and meditation practice
and smoking statusat each follow-up. Results: The seminal mean ROS levels
(p<0.05), sperm DFI (p<0.001), 8-OHdG (p<0.01) levelswere significantly higher in
fathers of children with RB, as compared to controls and the relative mean
telomerelength in the sperm was shorter. Levels of ROS were significantly reduced
in tobacco users (p<0.05) as well as inalcoholics (p<0.05) after intervention.
DFI reduced significantly (p<0.05) after 6 months of yoga and meditationpractice
in all groups. The levels of oxidative DNA damage marker 8-OHdG were reduced
significantly after 3months (p<0.05) and 6 months (p<0.05) of practice.
Conclusions: Our results suggest that OS and ODD DNAmay contribute to the
development of childhood cancer. This may be due to accumulation of oxidized
mutagenicbase 8OHdG , and elevated MDA levels which results in MDA dimers which
are also mutagenic, aberrantmethylation pattern, altered gene expression which
affect cell proliferation and survival through activation oftranscription
factors. Increased mt DNA mutations and aberrant repair of mt and nuclear DNA due
to highlytruncatred DNA repair mechanisms all contribute to sperm genome
hypermutability and persistant oxidativeDNA damage. Oxidative stress is also
associated with genome wide hypomethylation, telomere shortening andmitochondrial
dysfunction leading to genome hypermutability and instability. To the best of our
knowledge, thisis the first study to report decline in OS and ODD and improvement
in sperm DNA integrity following adoptionof meditation and yoga based life style
modification.This may reduce disease burden in next generation andreduce
incidence of childhood cancers.
PMID- 27880999
TI - Current knowledge on the active form of Vitamin D synthesized in the skin and its
effects on malignant melanoma.
AB - The link between sunlight and skin cancer is a frequently discussed topic.
However, ultraviolet radiation also induces the production of Vitamin D in the
body. Keratinocytes and their ability to synthesize the active form of Vitamin D,
which is consumed at the place of its origin in the skin, have a unique place in
this discussion. We observe a remarkable sunshine-related paradox when we monitor
the relationship between the dose of solar radiation and one type of skin cancer
malignant melanoma. Recent knowledge of the non-calcemic effects of Vitamin D,
which include growth regulation, DNA repair, differentiation, apoptosis, membrane
transport, metabolism, cell adhesion and oxidative stress, could help to further
clarify this relationship. In this context, adjuvant Vitamin D therapy is
currently being considered in patients with malignant melanoma, and this is
expected to reduce tumor invasiveness and micrometastases and thus improve
patient prognosis and reduce the risk of relapse.
PMID- 27880997
TI - Efficacy of switching from adefovir to tenofovir in chronic hepatitis B patients
who exhibit suboptimal responses to adefovir-based combination rescue therapy due
to resistance to nucleoside analogues (SATIS study).
AB - BACKGROUND/AIMS: It remains to be determined whether switching from adefovir
(ADV) to tenofovir (TDF) provides better virological outcomes in patients
exhibiting suboptimal responses to ADV plus nucleoside analogue (ADV+NA) therapy
for NA-resistant chronic hepatitis B (CHB). METHODS: In this prospective trial,
patients who showed partial responses (defined as serum hepatitis B virus [HBV]
DNA >60 IU/mL) to ADV+NA therapy for NA resistance were randomly allocated to
receive TDF plus NA (TDF+NA group, n=16) or to continue their current therapy
(ADV+NA group, n=16). The primary end point was the proportion of patients with
complete virological response (CVR, defined as serum HBV DNA <60 IU/mL) at 48
weeks. RESULTS: The median age was 52 years (16 men), and 28 were positive for
hepatitis B e antigen (HBeAg). The baseline characteristics did not differ
significantly between the two groups. The proportion with CVR was significantly
higher in the TDF+NA group than in the ADV+NA group at 24 weeks (81.3% vs. 25.0%,
P=0.001) and 48 weeks (87.5% vs. 37.5%, P=0.002). Furthermore, a decrease in the
serum HBV DNA level of >2log10 IU/mL was more likely in the TDF+NA group at both
24 and 48 weeks (68.8% vs. 56.3%, P=0.014 vs. 81.3% vs. 56.3%, P=0.001,
respectively). During the follow-up, the rate of HBeAg seroconversion was higher
in the TDF+NA group than the ADV+NA group (12.5% vs. 6.25%, P=0.640), as was that
for the hepatitis B surface antigen (6.25% vs. 0%, P=0.080). No serious adverse
events due to antiviral agents occurred. CONCLUSION: In patients exhibiting
suboptimal responses to ADV+NA therapy for NA-resistant CHB, switching from ADV
to TDF might provide better virological outcomes.
PMID- 27881000
TI - MicroRNA-584-3p reduces the vasculogenic mimicry of human glioma cells by
regulating hypoxia-induced ROCK1 dependent stress fiber formation.
AB - We report in this study that microRNA-584-3p (miR-584-3p) is related to the
vasculogenic mimicry (VM) of human glioma cells. Unsurprisingly, the
postoperative survival time was significantly prolonged in those glioma patients
without VM phenomena compared with those with positive VM. miR-584-3p may
function as a potent tumor suppressor by inhibiting VM of malignant glioma.
However, the molecular mechanisms underlying these properties remain poorly
understood. Our preliminary mechanistic studies revealed that miR-584-3p
suppressed the VM by disturbing hypoxia-induced stress fiber formation and
migration of glioma cells. Specifically, we defined ROCK1 as a potential
functionally relevant target of miR-584-3p involved in this process in glioma
cells. Our results demonstrate a tumor suppressor function for miR-584-3p in
glioma, where it inhibits the VM of tumor cells by antagonizing hypoxia-induced
ROCK1-dependent stress fiber formation. Our findings have potential implications
for glioma gene therapy by targeting miR-584-3p and suggest that VM could
represent a prognostic indicator for gliomas.
PMID- 27880998
TI - Recurrent acute portal vein thrombosis in liver cirrhosis treated by rivaroxaban.
AB - Cirrhosis can occur with the development of portal vein thrombosis (PVT). PVT may
aggravate portal hypertension, and it can lead to hepatic decompensation. The
international guideline recommends for anticoagulation treatment to be maintained
for at least 3 months in all patients with acute PVT. Low-molecular-weight
heparin and changing to warfarin is the usual anticoagulation treatment. However,
warfarin therapy is problematic due to a narrow therapeutic window and the
requirement for frequent dose adjustment, which has prompted the development of
novel oral anticoagulants for overcoming these problems. We report a 63-year-old
female who experienced complete resolution of recurrent acute PVT in liver
cirrhosis after treatment with rivaroxaban.
PMID- 27881001
TI - Pathway crosstalk analysis in prostate cancer based on protein-protein network
data.
AB - Prostate cancer (PCa) is one of the major leading cause in men and no effective
biomarkers or therapy have been approved for it to date. This study aimed to
explore the molecular mechanisms and identify the potential molecular biomarkers
of PCa. The microarray profile GSE38241 including 18 prostate cancer metastasis
and 21 normal prostate samples was retrieved from the Gene Expression Omnibus
(GEO) database. Differentially expressed genes (DEGs) were identified by Limma.
DEGs functions were investigated by Gene Ontology (GO) and pathway enrichment
analysis. Moreover, protein-protein interaction (PPI) network of DEGs was
constructed, followed by functional analysis of modules. Additionally, pathway
crosstalk network was constructed by integrating PPI network and Kyoto
encyclopedia of genes and genomes (KEGG) pathways. Totally, 334 up - and 703 down
regulated DEGs were identified. The functions of up-regulated DEGs were
significantly enriched in GO terms of cell cycle phase and cell cycle process.
While down-regulated DEGs mainly participated in actin filament-based process.
Among these pathways in the pathway crosstalk network, T cell receptor signaling
pathway, chemokine signaling pathways, endometrial cancer and glioma were found
to play critical roles during PC progression. Cell division cycle 45 (CDC45),
baculoviral IAP repeat containing 5 (BIRC5) and cell division cycle associated 5
(CDCA5) may be useful markers for predicting tumor metastasis and therapeutic
targets for the treatment of PCa patients. Moreover, the pathway crosstalk
network provides the groundwork that targeting multiple pathways might be more
effective than targeting one pathway alone.
PMID- 27881002
TI - Expression of orphan GPR56 correlates with tumor progression in human epithelial
ovarian cancer.
AB - G protein-coupled receptor 56 (GPR56) has been demonstrated to be a significant
prognostic predictor in several types of malignances, including melanoma,
glioblastoma, breast cancer, colon cancer, and pancreatic cancer. GPR56 has a
putative mucin-like extracellular domain, indicating functions for this receptor
in the cell-cell interactions and triggering different downstream signaling
pathways responsible for regulating cell survival, proliferation, adhesion, and
migration. But the expression and clinical significance of GPR56 has not been
elucidated in epithelial ovarian cancer (EOC). We detected GPR56 expression by
immunohistochemistry in 110 samples of ovarian serous carcinoma to explore the
correlation between its expression and clinicopathologic characteristics and
overall survival. As the result, we found that GPR56 expression is significantly
associated with advanced FIGO stage (P = 0.01) and positive lymph node invasion
(P = 0.016), and it serves as an independent unfavorable prognostic factor
through univariate and multivariate analysis. GPR56 knockdown could dramatically
decrease the proliferation and invasion of epithelial ovarian cancer cells
through down-regulating the RhoA-GTP level and up-regulating the E-cadherin
level, which indicates GPR56 could promote the progression and invasion of EOC.
In conclusion, GPR56 expression was demonstrated as an independent prognostic
factor in EOC, suggesting that GPR56 may play an oncogenic role through the Rho
and E-cadherin pathway and GPR56 could be a novel potential drug target in EOC.
PMID- 27881003
TI - miR-508-5p acts as an anti-oncogene by targeting MESDC1 in hepatocellular
carcinoma.
AB - Hepatocellular carcinoma (HCC) is the third leading cause of cancer associated
mortality. Accumulating evidence has shown that microRNAs (miRNAs) act as
critical factors for tumor recurrence and metastasis. MiR-508-5p has been
reported as a down-regulated miRNA in the primary gastric cancer tissues.
However, the role of miR-508-5p on HCC has not been well elucidated. In this
study, we observed that miR-508-5p was downregulated in HCC tissues when compared
to the non-tumorous tissues. We then demonstrated that overexpression of miR-508
5p attenuated HepG2 cells proliferation and invasion and induced cell apoptosis
in vitro. Furthermore, our further investigations revealed that mesoderm
development candidate 1 (MESDC1) is a potential target of miR-508-5p, as well as
miR-508-5p overexpression downregulated MESDC1 expression. Overexpression of
MESDC1 promoted HepG2 cells migration, invasion and proliferation in vitro. In
addition, miR-508-5p markedly suppressed the tumor growth in xenograft model,
while MESDC1 promoted the tumor growth in xenograft model. This study provides
new insight into molecular mechanisms that miR-508-5p acts as a tumor suppressor
by targeting MESDC1 in HCC progression.
PMID- 27881004
TI - Monitoring in real time the effect of TLX overexpression on proliferation and
migration of C6 cells.
AB - Orphan nuclear receptor TLX has been shown to play an essential role in
regulating the self-renewal and proliferation of neural stem cells (NSCs).
However, TLX overexpression in NSCs induces long-term NSC expansion and further
leads to glioma initiation in mouse when combined with p53 mutations. Whether
overexpression of TLX plays a role in glioma stem cell (GSC) proliferation and
migration still remains largely unknown. In this study, we infected C6 cells, a
special glioma cell line which is mainly composed of cancer stem cells(CSCs),
with lentiviruses expressing GFP(LV-GFP) or GFP-T2A-TLX(LV-TLX) and then
monitored cell proliferation and migration using the real-time analyzer system
(RTCA, xCELLigence, Roche). We found that the cell index (CI) observed for the
TLX overexpressing C6 cells showed a lower value than that of the LV-GFP
transduced cells. And the MTT results correlated highly with the RTCA
proliferation assessments. Furthermore, the expression of p21 was decreased while
other downstream genes PTEN and p53 were not significantly changed in TLX
overexpressing C6 cells . These findings strongly indicate that TLX
overexpression has the ability to decrease the proliferating and migratory
properties of C6 cells by targeting p21. Further, our results suggest that TLX
overexpression may also have a similar inhibitory effect on GSC proliferation and
migration.
PMID- 27881005
TI - A microRNA expression signature as a predictor of survival for colon
adenocarcinoma.
AB - Colon cancer is a major cause of cancer mortality worldwide and most colon
cancers are adenocarcinoma. MicroRNA (miRNA) expression signature has been shown
to be able to predict progression and prognosis of various cancers. The aim of
our study was to explore a novel signature of microRNA expression for predicting
survival of colon adenocarcinoma patients. By analyzing the miRNA expression
profiles and clinical information of 329 colon adenocarcinoma patients derived
from The Cancer Genome Atlas database. 129 miRNAs were identified to be expressed
differentially between the cancer and adjacent tissues. Among them, 27 miRNAs
were found to be associated with the corresponding clinical characteristics of
the patients. Furthermore, 7 miRNAs (let-7a-2, mir-32, mir-181a-1, mir-197, mir
328, mir-505 and mir-652) were found to be significantly correlated with the
patient survival. The risk established by the 7-miRNA signature we built was
proved be an independent prognostic factor (Hazard ratio [HR] = 2.048; 95% CI =
1.144-3.664; p, 0.016). In summary, our study identified miRNAs correlated with
progression and prognosis of colon adenocarcinoma and built a 7-microRNA
expression signature for prediction of the survival of the patients with colon
adenocarcinoma.
PMID- 27881006
TI - Decreased expression of MS4A12 inhibits differentiation and predicts early stage
survival in colon cancer.
AB - Lack of normal differentiation was considered as a common defect in cancer cells.
MS4A12, a colon-specific gene, belongs to MS4A family that plays an important
role in differentiation, proliferation and cell cycle regulation. The aim of the
study was to investigate MS4A12 role in colon cancer cell differentiation and its
prognostic value in colon cancer. We used sodium butyrate (BS) to set up
differentiated model of colon cancer cell line LoVo. Cell differentiation was
evaluated with ALP activity and E-cadherin. We used BS (4 mmol/L) inducing
differentiation of LoVo cell and found after BS treated over 48h MS4A12 variant-1
(one of MS4A12 gene transcripts) as well as ALP and E-cadherin of LoVo cells were
all increased significantly. When silence MS4A12 variant-1, the elevation of ALP
and E-cadherin in BS-treated cells were all inhibited. Besides, after silence
MS4A12 variant-1, the cells showed significant resistances to BS function of
induction cell cycle arrest and apoptosis. Survival analysis used GEO datasets
GSE39582 and GSE38832 that include 681 distinct colon cancer samples. Log-rank
test and Cox's proportional hazards regression were applied to analyzing single
and multiple prognostic variables, respectively. In early stage colon cancer, the
patients with low MS4A12 expression had a poor survival (HR=1.72; p=0.036), while
in advanced stage colon cancer MS412 had little prognostic value (HR=0.89;
p=0.601). These results indicated MS4A12 might relate to colon cancer cell
differentiation and supposed to be a risk classification marker for early stage
colon cancer.
PMID- 27881007
TI - EGCG sensitizes human nasopharyngeal carcinoma cells to TRAIL-mediated apoptosis
by activation NF-kappaB.
AB - Aim of presented study was to investigate whether EGCG could sensitize TRAIL
resistant NPC cells to TRAIL-mediated apoptosis. Three human NPC cell-lines CNE
1, CNE-2, C666-1 and a non-transformed nasopharyngeal epithelium cell-line NP-69
were treated with EGCG or/and TRAIL. The apoptosis and TRAIL receptors were
determined by flow cytometric analysis. The protein expression was determined by
western blotting. Mitochondrial transmembrane potential was dertemined by DiOC6
(3). C666-1 cell-line was the only one that resistant to TRAIL and selected to be
treated with EGCG. ECGC could sensitize C666-1 to TRAIL. Combinatorial treatments
led to decrease expression of Bcl-XL, Bcl-2, FADD and FLIP and enhance activation
of capase-3, -8, -9. The DiOC6 (3) negative cell rate was increased and p65 of NF
kappaB, XIAP and survivin expression was reduced by the combination treatment.In
summary, EGCG sensitizes NPC cells to TRAIL-mediated apoptosis via modulation of
extrinsic and intrinsic apoptotic pathways and inhibition of NF-kappaB
activation.
PMID- 27881008
TI - miR-33a expression sensitizes Lgr5+ HCC-CSCs to doxorubicin via ABCA1.
AB - Cancer stem cells (CSCs) are responsible for the unrestrained cell growth and
chemo-resistance of malignant tumors. Reports about miR-33a in different type of
cancer are limited, and it remains elusive whether there is a link between miR
33a and chemo-resistance of CSCs. Here we report that Lgr5+ hepatocellular
carcinoma (HCC) cells from primary tissues and cell lines behave similarly to
CSCs and are chemo-resistant to doxorubicin. Significantly, reduced miR-33a
expression is associated with the chemo-resistance of Lgr5+ HCC-CSCs, accompanied
by an overexpression of ABCA1 which is identified as target of miR-33a by mainly
using miRNA luciferase assay and western-blotting. We demonstrate that down
regulation of miR-33a expression directly contributes to chemo-resistance of
Lgr5+ HCC-CSCs, and restoring miR-33a expression sensitizes them to doxorubicin
via apoptosis by mainly using TUNEL assay, soft agar colony formation assay and
xenograft assay. Additionally, reduced miR-33a expression in HCC tissues is
associated with chemo-response and poor patient survival, which suggests the
therapeutic potential of miR-33a. In conclusion, our work indicates that ectopic
miR-33a expression sensitizes Lgr5+ HCC-CSCs to doxorubicin via direct targeting
ABCA1, which sheds new light on understanding the mechanism of chemo-resistance
in HCC-CSCs and contributes to development of potential therapeutics against HCC.
PMID- 27881009
TI - Late cardiac effect of anthracycline therapy in physically active breast cancer
survivors - a prospective study.
AB - The late-onset cardiotoxic effect of anthracycline is known, however the early
detection and prevention of subclinical myocardial damage has not been fully
understood yet. Besides medical therapy regular physical activities may also play
a role in the prevention and reduction of side effects of chemotherapy. The aim
of our present study was to detect the effect of regular physical activities on
the diastolic function and on the symptoms of late heart failure in case of
anthracycline chemotherapy. The prospective study included 55 female patients
(age 31-65 year, average 49.5 years) with breast cancer and no cardiovascular
risk factors. Proper cardiologic checkup included physical examination (blood
pressure, pulse, etc.), ECG, standard echocardiography parameters (EF, LV
dimensions etc.) and specific tissue Doppler (TDI) measurements. Symptoms of
heart failure were also recorded. After five years of follow-up, symptoms of
heart failure were evaluated again. Patients were assigned into two groups
depending on their physical activity: 36 patients did perform regular physical
activities (mean age 49.2 years) and 19 patients did not (average age 50.1
years). There was no significant difference between the two groups in basic
physiological or standard echocardiography parameters neither at the baseline nor
at the later time points. Diastolic dysfunction (decreased E/A) was detected 6
months after the beginning of the treatment (T2 time point) in both groups. In
the inactive group this value fell below one however there was no significant
difference (1.1+/-0.25 vs. 0.95+/-0.22). One year after the beginning of the
treatment (T3) a significant difference could be detected between the two groups
(1.05+/-0.28 vs. 0.86+/-0.25. P=0.038). Consistent change in diastolic function
(Ea/Aa) could be detected with the more sensitive TDI (Tissue Doppler Imaging)
measurements after treatments in both groups, especially in the septal segment
(in the non active group the Ea/Aa decreased markedly but not significantly at T2
- 1.1+/-0.55 vs. 0.81+/-0.44, and this difference became significant at T3 and 2
years after treatment (T4), p=0.007 and p=0.065). The filling pressure (E/Ea)
rose above 10 (p=0.09) in the non active group at T2; and it kept rising in both
groups and became significant at T3 (p=0.012). Five years after the onset of the
treatment symptoms of heart failure were less frequently reported in the
physically active group than in the inactive one (19.45% vs. 68.42%). The data of
our study show that the diastolic dysfunction of the left ventricle related to
the anthracycline therapy became evident in the physically active group later and
the symptoms of heart failure were less frequent than in the non active group
after five years period. Enrollment in sport activities could be a good means for
partial prevention in this group of patients. Cardiologic checkup at proper
intervals plays a pivotal role in detection of possible cardiotoxicity. This is a
strong indication for changes in the lifestyle of the patient and the treatment
protocol alike.
PMID- 27881010
TI - Down-regulated expression of Tim-3 promotes invasion and metastasis of colorectal
cancer cells.
AB - To explore how Tim-3 is expressed and how its expression influences invasion and
metastasis of colorectal cancer (CRC) cells. A total of 188 CRC patients were
prospectively collected for this study. Meanwhile, 135 normal controls were
incorporated during the same period. Intestinal samples of the CRC radical
cancerous tissues, paracancerous tissues ( 5.0 cm beyond the cancer tissue) were
collected for the following experiment. Furthermore, peripheral venous blood
samples (10 ml) were collected from each subject. Immunohistochemical analysis,
quantitative real-time polymerase chain reaction (RT-qPCR) and western blot were
performed for the detection of Tim-3 in different tissues. The
immunohistochemical staining results showed that a positive Tim-3 signal was
localized in the cytoplasm and nucleus, observed as yellow or brown granules. Tim
3 was largely expressed in colon carcinoma tissues and normal colon mucosa
tissues but was rarely expressed in the cell membrane. RT-qPCR results indicated
that Tim-3 mRNA levels were significantly lower in CRC tissues than in
paracancerous tissues and normal colon mucosa tissues. A trend of decreased Tim-3
mRNA levels was also found in the paracancerous tissues compared with the normal
colon mucosa tissues (all P < 0.05). Western blot results revealed reduced Tim-3
protein expression in CRC tissues compared with normal colon mucosa tissues and
paracancerous tissues, and Tim-3 protein expression was much lower in the
paracancerous tissues than in the normal colon mucosa tissues (all P < 0.05).
Furthermore, obviously lower Tim-3 mRNA levels were found in the poorly
differentiated CRC patients and in those with lymph node metastasis and distant
metastasis (all P < 0.05). Collectively, Tim-3 expression was mainly located in
the cytoplasm and nucleus, showing down-regulated expression in colon carcinoma
tissues compared with normal and paracancerous tissues. Reduced Tim-3 expression
may promote CRC invasion and metastasis providing a medical reference for the
treatment of CRC.
PMID- 27881011
TI - Adjuvant chemotherapy with bevacizumab (i.p.) can prolong survival time of
patients with advanced ovarian cancer after cytoreduction.
AB - Objective To assess whether adjuvant chemotherapy with bevacizumab
intraperitoneal (i.p.) is better than adjuvant chemotherapy with bevacizumab
intravenous (i.v.) or adjuvant chemotherapy only for patients with advanced
ovarian cancer after cytoreduction. Selected patients with advanced ovarian
cancer after cytoreduction were divided into three groups depend on their will:
adjuvant chemotherapy only; adjuvant chemotherapy combined with bevacizumab
intravenous (i.v.); adjuvant chemotherapy combined with bevacizumab
intraperitoneal (i.p.). Patients in three groups were given 4-6 cycles of
treatment and followed up for 3 years. posttreatment outcome, longterm survival
and side effects were prospectively recorded and analyzed. Disease control rate
(DCR) of three groups are 73.3%, 90.0%, 93.3% respectively (P<0.05). Remission
rate (RR) and DCR of patients with bevacizumab intraperitoneal (i.p.) are better
than that of patients with bevacizumab intravenous (i.v.) (P<0.05). The mean
progression free survival (PFS) of patients with bevacizumab intraperitoneal
(i.p.) (15.34 months) was longer than patients with bevacizumab intravenous
(i.v.) (13.19 months), and both of two were longer than that of patients with
adjuvant chemotherapy only (9.60 months) (chi2=52.11, P<0.001). The mean overall
survival (OS) of patients with bevacizumab intraperitoneal (i.p.) (17.32 months)
is longer than that of patients with adjuvant chemotherapy only (13.55 months)
and patients with bevacizumab intravenous (i.v.) (15.17 months) (chi2=75.01,
P<0.001). Incidence of hypertension and arrhythmia of groups with bevacizumab are
higher than that of patients with adjuvant chemotherapy only (P<0.05). Standard
chemotherapy combined with bevacizumab is reliable, safe and feasible for
advanced ovarian cancer after cytoreduction without severe adverse effects.
Bevacizumab intraperitoneal perfusion is better than that intravenous drip.
PMID- 27881012
TI - Elevated aspartate aminotransferase and monocyte counts predict unfavorable
prognosis in patients with malignant pleural mesothelioma.
AB - Limited biomarkers predicting prognosis of malignant pleural mesothelioma (MPM)
have been identified. The present study aims to assess potential laboratory
prognostic factors of MPM. We retrospectively reviewed the clinical data of 105
patients with MPM. The overall survival and prognostic factors were assessed by
Kaplan-Meier curves and Cox regression analysis. A receiver operating
characteristic (ROC) curve analysis was performed to determine the optimal cut
off values. The mean age of the 105 patients (62 men, 43 women) was 56.0 years.
The major clinical presentations were dyspnea, cough and chest pain. The most
common laboratory abnormalities were thrombocytosis and elevated monocyte count.
Significant prognostic factors on univariate analysis were performance status
(PS), serum albumin, aspartate aminotransferase (AST), lactate dehydrogenase
(LDH), alkaline phosphatase (ALP), monocyte, platelet to lymphocyte ratio (PLR),
lymphocyte to monocyte ratio (LMR) and treatment strategy. Multivariate analysis
showed PS, AST, monocyte, and treatment strategy were statistically significant
(p<0.05). Higher AST level and monocyte count were both related to the presence
of anemia (p=0.001 and 0.010, respectively) and higher ALP level (p=0.049 and
0.001, respectively). A higher AST level was also associated with higher alanine
aminotransferase (ALT) and LDH level (p<0.05). A higher monocyte count was also
correlated with male patients, higher white blood cell (WBC), platelet,
neutrophil counts, lower red blood cell (RBC) and LMR counts (p<0.05). In
conclusion, our data show that PS<2, normal AST level, lower monocyte count, and
multimodality treatment are independent positive prognostic factors of MPM. The
elevated AST and monocyte levels represent unfavorable prognostic biomarkers of
MPM.
PMID- 27881013
TI - FEAT expression correlates with tumor size, PR status, HER2 expression, Ki67
index, and molecular subtype and predicts recurrence in breast cancer.
AB - FEAT protein is uniformly overexpressed in a variety of human cancers but weakly
expressed in normal tissue. FEAT has antiapoptotic activity and plays a role in
carcinogenesis; however, the correlation between FEAT and clinicopathologic
characteristics in cancer has not been reported. Our study explores the
expression of FEAT protein and its clinicopathologic significance in breast
cancer. We examined the expression of FEAT in tissues from 131 cases of breast
cancer by immunohistochemistry and analyzed the correlation between FEAT
expression and clinicopathologic parameters. The difference in FEAT expression
between normal breast tissues and breast cancer tissues was also investigated.
Finally, we analyzed the association between FEAT expression and disease-free
survival or overall survival. Our data showed that FEAT was expressed in the
cytoplasm. The expression of FEAT protein was significantly higher in breast
cancer tissues than in normal breast tissues. Moreover, the expression of FEAT
protein was higher in breast cancer with a larger tumor size (>2 cm), negative
PR, positive HER2, or higher Ki67 index (>=14%) than in breast cancer with a
smaller tumor size (<=2 cm), positive PR, negative HER2, or lower Ki67 index
(<14%) (P<0.05). In addition, the expression of FEAT protein was associated with
tumor size, PR status, HER2 expression, Ki67 index, and molecular subtype.
Survival analysis showed that disease-free survival and overall survival were
significantly shorter in breast cancer patients with high FEAT expression than in
those with low expression of FEAT (P<0.05). COX regression analysis showed that
FEAT was an independent prognostic factor for recurrence in breast cancer, but
not for survival. In conclusion, FEAT may be a potential biomarker for recurrence
of breast cancer.
PMID- 27881014
TI - Prognostic significance of number of lymph node metastasis on survival in
patients with pathological T3 esophageal carcinoma.
AB - The aim of this study was to evaluate the impact of the number of metastatic
lymph nodes (MLN) and other risk factors on survival in patients with
pathological T3 (pT3) esophageal carcinoma who were treated by esophagectomy. We
analyzed 70 patients who received primary curative resection for pT3 esophageal
cancer from 1997 to 2011. The prognostic role of age, gender, tumor location,
cell type, pathological lymph node status (pN), number of MLNs (<3 vs >=3),
metastatic lymph node ratio (MLR), type of resection, local recurrence, and
distant metastasis on overall survival (OS) were examined by univariate and
multivariate analyses. Survival curves were calculated using Kaplan-Meier method
and survival differences were assessed by log-rank test. A receiver operating
characteristic analysis was used to determine the optimum cut-off point for the
MLR. The median follow-up time was 42 (range, 8-128) months, and the 1-, 3- and 5
year OS rates were 78.6%, 38.1%, and 22.5%, respectively. Tumor location, pN, the
number of MLNs, local recurrence, and distant metastasis had a significant effect
on OS in the univariate analysis. In the multivariate model, the number of MLNs
(p=0.02; hazard ratio (HR), 2.1; 95% confidence interval (CI), 1.1-4.1) and
distant metastasis (p=0.007; HR, 5.1; 95% CI, 1.5-16.8) were independent risk
factors for OS. Patients with pT3 esophageal cancer who have 3 or more MLNs and
distant metastasis have a poor OS, and this result can be used as a factor for
better estimation of prognosis.
PMID- 27881015
TI - Predictors of survival in patients with brain metastases from gastric cancer.
AB - This study aims to identify predictors of survival and contribute to treatment
personalization in patients with brain metastases from gastric cancer. Twelve
patients received whole-brain radiotherapy (WBRT), four stereotactic radiosurgery
and six neurosurgery plus WBRT. Treatment regimen, age, gender, Eastern
Cooperative Oncology Group (ECOG) performance score, tumor site, number of brain
metastases, extra-cranial metastases and interval between cancer diagnosis and
brain metastases were evaluated for survival. On univariate analyses, more
intensive treatment (p=0.003), ECOG-score 0-1 (p<0.001), cardiac location
(p=0.025) and single brain metastasis (p=0.023) were associated with better
survival. On multivariate analysis, ECOG-score maintained significance (p<0.001).
Patients with all three positive factors on univariate analysis had a 12-month
survival rate of 100%, patients with three negative factors a 3-month survival
rate of 0%. Predictors of survival were identified that can guide physicians
selecting personalized treatment approaches for patients with brain metastases
from gastric cancer.
PMID- 27881016
TI - Platelet count and mean platelet volume are associated with not only bone, soft
tissue, and lymph node metastases but also with malignant pleural effusion in
lung cancer patients.
AB - An increased platelet count is often observed in lung cancer patients. Whether
and how the platelets affect cancer progression have yet to be established. The
aim of the study was to investigate the involvement of the platelet count and
mean platelet volume (MPV) in the prognosis and progression of lung cancer
patients. This retrospective study included 146 patients with newly diagnosed
primary lung cancer. The platelet count and MPV were measured before invasive
diagnostic procedures and treatment. These platelet indices, overall survival of
the patients, and tumor metastases for each organ were analyzed. On Kaplan-Meier
survival analysis, the overall survivals of patients with platelet counts <=
244.0 * 109/L or MPV > 9.7 fL were longer than those of patients with platelet
counts > 244.0 * 109/L or MPV <= 9.7 fL. Cox regression analysis showed that poor
performance status, increased platelet count, and increased C-reactive protein
were independent prognostic factors. The platelet indices were associated with
metastases to bone, soft tissue, and lymph node, in addition to malignant pleural
effusion. Increased platelet count and decreased MPV were unfavorable prognostic
factors for patients with lung cancer, and they were involved in bone, soft
tissue, and lymph node metastases and malignant pleural effusion.
PMID- 27881017
TI - Association between interleukin-18 variants and prostate cancer in Slovak
population.
AB - Interleukin-18 (IL-18), pro-inflammatory cytokine, plays important role in
antitumor immunity. Polymorphisms in the IL-18 gene may lead to its altered
production/activity and such modulate susceptibility to prostate cancer. The aim
of this study was to evaluate the relationship between the -607 and +105
polymorphisms in the IL-18 gene and the risk of prostate cancer development and
progression in Slovak population. The study was performed using 425 patients with
prostate cancer, 270 patients with benign prostatic hyperplasia (BHP) and 263
healthy male controls. The statistically significant association of the -607 AC
genotype (OR = 2.24; p < 0.001), CC genotype (OR = 1.86; p = 0.006), as well as C
allele (OR = 1.27; p = 0.033) with the higher risk of prostate cancer development
was observed. No association of the IL-18 -607 polymorphism and BHP was detected.
The subset analysis revealed the significant association of the -607 AC genotype
(OR = 2.01; p = 0.008) with development of higher-grade carcinomas (Gleason score
>=7) and the strong association of the -607 AC genotype (OR = 3.11; p < 0.001),
CC genotype (OR = 2.96; p < 0.001) as well as C allele (OR = 1.51; p = 0.003)
with the higher risk of prostate cancer development in the group of patients with
PSA < 10 ng/ml. The -607 AC genotype was also connected with significantly higher
IL-18 plasma concentrations. No association between the IL-18 +105 polymorphism
and prostate cancer was observed. The analysis of the distribution of the -607
and +105 haplotypes showed significant association of the - 607 C/ + 105 A and -
607 C/ + 105 C haplotypes with the risk of prostate cancer. This study found that
the IL-18 -607 promoter polymorphism could contribute to prostate cancer
development in Slovak population. Its presence was also associated with
development of higher-grade carcinomas and therefore may influences the prognosis
and aggressiveness of the disease.
PMID- 27881018
TI - A different prognostic value of BRAFV600E mutation positivity in various age
groups of patients with papillary thyroid cancer.
AB - The aim of the retrospective single-center study was to assess the prognostic
value of BRAFV600E mutation positivity (BRAFV600E+) on disease
persistence/recurrence in patients with papillary thyroid cancer (PTC). A total
of 199 patients having had initial surgery with neck dissection in our hospital
between 6/2009-6/2012 were included in the cohort. Excluded were patients with
unifocal microcarcinoma <=1cm. BRAFV600E mutation was tested from formalin-fixed
paraffin-embedded surgicaly removed tumors. All included patients were
postoperatively treated with radioiodine. The median duration of follow-up was 43
months, quartiles range 30 - 58 months. Variables included in the final model:
BRAFV600E+, categorised age, sex, and high-risk status, or alternatively lymph
node status. Based on differences in persistence/recurrence rates, patients were
divided into three age categories (<35, 35-60, >=60). Multiple regression
analysis showed a significant interaction between BRAFV600E+ and age, modifying
the effect of BRAFV600E+ on persistence/recurrence. BRAFV600E+ in low-risk
patients of any age and in high-risk middle-aged patients did not confer
additional hazard compared with BRAFV600E mutation negative (BRAFV600E-) low-risk
and BRAFV600E- high-risk patients, respectively. However, younger (<35 years) and
older (>=60 years) high-risk BRAFV600E+ patients had 17.28 and 33.49-fold
increased hazard of persistence/recurrence, respectively, compared with low-risk
BRAFV600E- patients. The alternative model including lymph node status yielded
similar results for the prognostic significance of BRAFV600E+ in younger and
older patients. In conclusion, the prognostic value of BRAFV600E+ depends on high
risk status and likely on age-associated factors. Such additional knowledge could
change clinical decision-making in treatment modality.
PMID- 27881019
TI - Comparative Analysis of Renal Functional Outcomes and Overall Survival of Elderly
vs Nonelderly Patients Undergoing Radical Nephrectomy.
AB - PURPOSE: To evaluate changes in renal function and overall survival in elderly vs
nonelderly patients undergoing radical nephrectomy (RN) for renal masses.
PATIENTS AND METHODS: We reviewed available records of 392 patients undergoing RN
from 2008 through 2013. Patients were divided into elderly, defined as >=70 years
old (n = 110), or nonelderly (n = 282) at the time of nephrectomy. The groups
were compared for perioperative characteristics, renal functional outcomes, and
overall survival. Standard Student's t-tests were used for continuous variables
and Fischer's exact tests for categorical comparisons. Kaplan-Meier estimate
models for survival were compared using log-rank tests. RESULTS: Elderly patients
were more likely to have comorbidities. Preoperative estimated glomerular
filtration rate (GFR) of elderly patients was significantly lower (65.6 vs 77.9
mL/minute/1.73 m2, p = 0.0002), as was GFR at discharge (47.7 vs 57.2
mL/minute/1.73 m2, p = 0.001) and at maximum follow-up (46.8 vs 57.4
mL/minute/1.73 m2, p = 0.001). Of the patients with GFR >60 before surgery, de
novo CKD stage III progression (defined as GFR <60) was detected in 74% of
elderly and 53% nonelderly (odds ratio 2.47; 95% confidence interval 1.25-4.88; p
= 0.01). Overall survival was not statistically different. When stratified for
elderly and preoperative GFR <60, overall survival curves were not statistical
different (log-rank test, p = 0.23). CONCLUSIONS: Elderly patients who undergo RN
have worse renal functional outcomes. Following nephrectomy, these patients are
at higher risk of CKD progression than nonelderly patients. However, there does
not appear to be a difference in overall survival between cohorts, even when
stratified for preoperative GFR <60. These findings should be considered during
preoperative decision-making.
PMID- 27881021
TI - Corrigendum.
PMID- 27881020
TI - Cardiac function during resuscitation from hemorrhagic shock with polymerized
bovine hemoglobin-based oxygen therapeutic.
AB - Hemorrhage impairs myocardial contractile function and decreases oxygen delivery.
This study investigates how polymerized bovine hemoglobin (PolyHb) solutions
affect cardiac function after resuscitation from hemorrhagic shock (HS). Hamsters
were hemorrhaged and resuscitated with PolyHb at 8.5 g/dL and 11.5 g/dL. Left
ventricle (LV) function was assessed during shock and resuscitation using a
miniaturize conductance catheter. PolyHb resuscitation had no beneficial effects
in cardiac function; it increased cardiac afterload and systemic vascular
resistance (SVR) of 46 and 116% for 8.5 and 11.5 g/dL, respectively. Study
findings indicate that preclinical evaluation of cardiac function is essential to
develop safe and efficacious alternatives to blood transfusion.
PMID- 27881022
TI - Elevated serum YKL-40 levels in patients with Kawasaki disease.
AB - CONTEXT: YKL-40 is an inflammatory biomarker for endothelial dysfunction that may
have a role in Kawasaki disease (KD). OBJECTIVES: We investigated the association
of serum YKL-40 levels with KD and established laboratory parameters for YKL-40
levels and other inflammatory markers. METHODS: YKL-40 levels and other
inflammatory markers of 23 KD patients, 9 disease control patients and 11 age
matched healthy controls. RESULTS: YKL-40 concentration in the serum of KD
patients significantly increased during the acute disease phase compared with
those of disease controls and healthy controls. CONCLUSIONS: Increased YKL-40
levels may provide a useful inflammatory marker for patients with KD.
PMID- 27881023
TI - Impaired gallbladder function in patients after total gastrectomy.
AB - BACKGROUND AND AIMS: The incidence of gallstones and gallbladder sludge is higher
in patients after total gastrectomy than in general population. Formation of
gallstones after gastrectomy is multifactorial. Here, we investigate the changes
in gallbladder and biliary tract functions by cholescintygraphy and monitored
changes in cholecystokinin (CCK) release in long-term survivors after total
gastrectomy for gastric carcinoma. MATERIAL AND METHODS: Patients had undergone
total gastrectomy for gastric carcinoma at least five years ago. The final study
population consisted of 25 patients. RESULTS: Eight patients had undergone
cholecystectomy before or at the time of gastrectomy. Gallstone formation was
observed in seven of the remaining 17 patients during follow-up (41%). Maximum
uptake of radioactivity and gallbladder maximum uptake was significantly delayed
in the gastrectomy group than in the control group. There was no significant
difference in CCK levels after the overnight fasting and at 60 minutes after
stimulation among patients with or without stones in situ compared with healthy
volunteers, but 30 minutes after the energy-rich drink patients had higher CCK
levels than the control group. CONCLUSIONS: In gastrectomy patients, technetium
isotope visualisation of the gallbladder and time for maximum activity was
significantly delayed. This may indicate impaired gallbladder function. On the
contrary, CCK release was not impaired.
PMID- 27881025
TI - Autophagy-related protein 7 level in patients with ulcerative colitis.
PMID- 27881024
TI - The use of atorvastatin for chronic subdural haematoma: a retrospective cohort
comparison study.
AB - Chronic subdural haematoma (CSDH) is a common neurosurgical condition. Burr-hole
for drainage is an effective treatment. However, recurrence can be up to 8-33%
and is associated with morbidities and mortalities. The underlying pathogenesis
was postulated to be localised inflammation and pathological aberrant vessels
formation. Atorvastatin, an HMG-CoA reductase inhibitor, is a type of lipid
lowering medication. In animal studies and a preliminary clinical trial,
Atorvastatin was shown to be effective in the treatment of CSDH. It was found to
inhibit inflammation and promote vascular maturation at the neomembrane of CSDH.
Our study aimed to investigate the efficacy of Atorvastatin in CSDH. During the
study period from January to December 2014, Atorvastatin was used in 12 CSDH
patients with Glasgow Coma Scale (GCS) 13-15 or Markwalder's Grading Scale (MGS)
Grade 0-2. They were retrospectively compared with GCS- and MGS-matched controls
who had not used statin. Improvement with haematoma resolution at 3 months was
75% (9/12) for the Atorvastatin group, versus 42% (5/12) for the Control group (p
= 0.0977). The risk of deterioration requiring burr-hole drainage was 16.7%
(2/12) in the Atorvastatin group, versus 58.3% (7/12) in the Control group (p =
0.0447). The Odds Ratio (OR) of deterioration requiring burr-hole drainage with
Atorvastatin was 0.143 (95%CI: 0.021-0.958), which favours the use of
Atorvastatin in CSDH (p = 0.0451). The Number needed to treat (NNT) was 2.4 (p =
0.0447; 95%CI: 1.31-14.93). In conclusion, this retrospective cohort comparison
study has shown that CSDH with Atorvastatin had a lower rate of deterioration and
burr-hole drainage.
PMID- 27881026
TI - Cholecystokinoma syndrome and diarrhea.
PMID- 27881028
TI - Corrigendum.
PMID- 27881027
TI - Is Robotic Partial Nephrectomy Safe for T3a Renal Cell Carcinoma? Experience of a
High-Volume Center.
AB - PURPOSE: To compare the oncological and functional outcomes of robotic partial
nephrectomy (RPN) with radical nephrectomy (RN) in renal-cell carcinoma (RCC)
cases with pT3a staging. PATIENTS AND METHODS: A retrospective analysis of our
IRB-approved nephrectomy database from 2005 to 2015 was performed. RPN and RN
cases with confirmed RCC and pT3a staging were matched. Preoperative variables,
functional, and oncological outcomes were compared between the groups, as well as
Kaplan-Meier estimated overall survival (OS), cancer-specific survival (CSS), and
recurrence-free survival (RFS). A multivariable Cox proportional hazards
regression model for overall mortality rate was generated to evaluate hazard
ratios (HRs) of potential risk factors. RESULTS: Seventy patients with pT3a
tumors composed each group. Preoperative variables were comparable between
groups. The median follow-up time for the cohort was 20 (9-38) months and the
renal function preservation was higher in the RPN group (86% vs 70%; p < 0.001).
The estimated 3 years of OS (90% vs 84%; p = 0.42), CSS (94% vs 95%; p = 0.78),
and RFS (95% vs. 100%; p = 0.06) were similar between RPN and RN groups,
respectively. On multivariable Cox regression model, the presence of >=2
aggressive tumor features was the only factor associated with increased risk of
overall mortality rate (HR 4.01 95% confidence interval [1.13, 14.27)]; p =
0.03). CONCLUSION: Patients with localized pT3a RCC treated with RPN had similar
short-term oncological and better renal functional outcomes compared with similar
cases treated by RN. In the minimally invasive robotic surgery era, renal masses
suspicious for pathological T3a disease should not be a deterring factor for
performing nephron-sparing surgery when technically feasible by skilled surgeons.
PMID- 27881029
TI - Pemphigus herpetiformis-type drug reaction caused by erdosteine containing
mucolytic in a child.
AB - Drug-related pemphigus is very rare in children. Erdosteine is a thiol compound
having mucoactive, antioxidant, anti-inflammatory, and antitussive effects and is
reported to be safe for treatment of acute respiratory tract diseases in
children. Herein, we report a 9-year-old boy presented with pemphigus
herpetiformis associated with anti-desmoglein 1 antibodies due to erdosteine
consumption.
PMID- 27881031
TI - Cavitation enhances coagulated size during pulsed high-intensity focussed
ultrasound ablation in an isolated liver perfusion system.
AB - PURPOSE: To investigate whether cavitation enhances the degree of coagulation
during pulsed high-intensity focussed ultrasound (HIFU) in an isolated liver
perfusion system. METHODS: Isolated liver was treated by pulsed HIFU or
continuous-wave HIFU with different portal vein flow rates. The cavitation
emission during exposure was recorded, and real-time ultrasound images were used
to observe changes in the grey scale. The coagulation size was measured and
calculated. RESULTS: HIFU treatment led to complete coagulation necrosis and
total cell destruction in the target regions. Compared to exposure at a duty
cycle (DC) of 100%, the mean volumes of lesions induced by 6 s exposure at DCs of
50% and 10% were significantly larger (P < .01) but were smaller at a DC of 5%.
The necrosis volume was negatively related to the perfusion rate in the pulsed
HIFU at a DC of 50% for exposure durations of 4 and 6 s, while the perfusion flow
rate did not affect the necrosis volume for exposure durations of 1, 2 and 3 s.
For increased perfusion flow rates, there was no significant decrease in the
cavitation activity for the pulsed-HIFU (P > .05). For continuous-wave HIFU
exposure, there was a significant decrease in the necrosis volume and cavitation
activity for exposure times of 1, 2, 3, 4, and 6 s with increasing portal
perfusion rates. CONCLUSION: Perfusion flow rates negatively influence cavitation
activity and coagulation volume. Ablation is significantly enhanced during pulsed
HIFU exposure compared with continuous-wave HIFU.
PMID- 27881030
TI - The effect of tumor necrosis factor inhibitor therapy on the incidence of
myocardial infarction in patients with psoriasis: a retrospective study.
AB - BACKGROUND: Psoriasis has been shown to be associated with increased incidence of
myocardial infarction (MI). The data on the effect of tumor necrosis factor (TNF)
inhibitors on MI in psoriasis are scarce. OBJECTIVE: To evaluate the effect of
TNF inhibitors on the risk of MI in psoriasis patients compared with methotrexate
(MTX) and topical agents. METHODS: Data were obtained from the Electronic Health
Records database of Farwaniya Hospital from psoriasis patients seen from January
2008 to December 2014. Patients were categorized into TNF inhibitor, MTX and
topical cohorts. RESULTS: The study included 4762 psoriasis patients. Both TNF
inhibitor and MTX cohorts showed a statistically lower rate of MI compared with
topical cohort. However, there was no statistically significant difference in MI
rate between TNF inhibitor and MTX cohorts (P = .32). The probability of MI was
lower in TNF inhibitor responders compared with non-responders (p = .001).
CONCLUSIONS: The use of TNF inhibitors in psoriasis showed a significant
reduction in the risk of MI compared with topical agents and a non-significant
reduction compared with MTX. Responders to TNF inhibitor therapy showed a
reduction in MI rate compared with non-responders.
PMID- 27881032
TI - Clinical implications of assay specific differences in f-calprotectin when
monitoring inflammatory bowel disease activity over time.
AB - OBJECTIVE: With several faecal calprotectin (FC) assays on the market, it has
been difficult to define a uniform threshold for discriminating between remission
and active disease in patients with inflammatory bowel disease (IBD). We aimed to
compare the results of different FC-assays in IBD patients, followed over time.
MATERIAL AND METHODS: IBD patients provided faecal samples and reported clinical
activity every third month prospectively over a two year period. FC was measured
with two ELISA - (Buhlmann and Immunodiagnostik) and one automated
fluoroimmunoassay (Phadia). RESULTS: In total, 13 patients provided 91 faecal
samples. The median (IQR) concentration of FC was higher at active disease than
at remission for all assays: Buhlmann 845 (1061-226) MUg/g versus 62 (224-39)
MUg/g, Phadia 369 (975-122) MUg/g versus 11 (52-11) MUg/g, and Immundiagnostik
135 (302-69) MUg/g versus 8 (56-4) MUg/g. The Buhlmann assay produced the largest
absolute difference but the corresponding relative difference seemed to be more
pronounced when analysed by the Phadia - (ratio of means 8.5; 95% CI 3.3-21.9) or
the Immundiagnostik assay (ratio of means 7.4; 95% CI 3.1-17.6) than by the
Buhlmann assay (ratio of means 5.3; 95% CI 2.7-10.6). Consequently, the
specificity for discriminating active disease from remission varied between
assays (34-75%) when the cut-off 50 MUg/g was used, whereas the differences in
sensitivity were less pronounced. CONCLUSIONS: Cross-comparisons revealed overall
poor agreement between the assays as well as differences in the dynamics of FC.
These findings suggest that standardisation of the method is needed to implement
FC as a disease monitoring tool at large-scale.
PMID- 27881033
TI - Early diabetes screening in obese women.
AB - OBJECTIVE: To describe maternal characteristics related to early screening for
diabetes in obese women and evaluate the benefits of early diabetes screening and
diagnosis. STUDY DESIGN: Retrospective cohort of obese women (BMI >=30 kg/m2)
without pregestational diabetes who delivered a singleton gestation between 2011
and 2012. Maternal characteristics/demographics and maternal and neonatal
outcomes were compared between women with early diabetes screening (<20 weeks)
versus traditional screening. We additionally compared maternal and neonatal
outcomes for women with an early versus traditional diabetes diagnosis. RESULTS:
Of the 504 eligible women, 135 (26.8%) had early diabetes screening. Obese women
with early screening were older, had a higher BMI, were more likely to have
hypertension and neonates admitted to the NICU. Of women with early screening, 31
(23%) were diagnosed early. Women with an early diagnosis of diabetes were more
likely to require treatment with insulin (36% vs. 23%, p = 0.003). Women with an
early diagnosis of diabetes were more likely to have neonates in the NICU (48%
vs. 26%, p = 0.03). CONCLUSIONS: Early screening for diabetes was more common in
older women with additional comorbidities. Obese women diagnosed via early
screening were more likely to require medical treatment for diabetes, suggesting
a value to early screening.
PMID- 27881034
TI - Galanin in pregnancy: Is there an association with birth weight and gestational
diabetes?
AB - OBJECTIVE: To review the actions of galanin during pregnancy and to examine the
existence of an association between galanin and birthweight as well as with
gestational diabetes mellitus (GDM). RESULTS: Galanin concentrations in maternal
circulation are similar in pregnant and nonpregnant status and have been
correlated with body mass index (BMI). There is evidence of an association
between birthweight and galanin concentrations in amniotic fluid during second
trimester and galanin concentrations in umbilical cord at term. Moreover, there
is a positive correlation between maternal galanin concentrations and existence
of GDM. However, galanin concentrations in fetal circulation have not been
correlated with neonatal fat mass. Neonatal galanin concentrations do not differ
among uncomplicated pregnancies and those complicated by GDM or intrauterine
growth retardation (IUGR). CONCLUSIONS: There is evidence for an association
between galanin during pregnancy with birth weight and metabolic processes.
Further studies are required in order to elucidate this role. Galanin could serve
as a predictor of neonatal body weight, alternations of which contribute to the
development of diseases during adulthood.
PMID- 27881035
TI - The dangers of the bread clip - CT imaging and management: a case report.
AB - Most ingested foreign objects pass the gastrointestinal tract without serious
consequences. A particular foreign object has been described related to serious
adverse events such as gastrointestinal bleeding and small bowel obstruction: a
plastic bread clip. During the diagnostic phase the morphology of this item is
not always recognized during ultrasonography or CT imaging. In this case report
we describe the diagnosis and management of a patient with a small bowel
perforation caused by ingestion of a bread clip.
PMID- 27881037
TI - A Novel Gel Pad Laryngeal Ultrasound for Vocal Cord Evaluation.
AB - BACKGROUND: Laryngeal ultrasound (LUS) is a new method for vocal cord evaluation
in patients with risk of vocal cord palsy (VCP). However, the previously
described LUS reportedly had a high failure rate of vocal cord visualization in
male patients. A novel gel pad LUS was devised to overcome the limitations of the
previous method. METHODS: A total of 482 (100 male) consecutive LUS and direct
laryngoscopy examinations were performed in thyroidectomy and other neck surgery
patients. The conventional LUS and gel pad LUS were used for all patients.
Findings were independently cross-validated with direct laryngoscopy. RESULTS:
The conventional LUS and gel pad LUS methods had a 93.4% and 99.0% visualization
rate, respectively, with a sensitivity of 98.0% for both methods, and a
specificity of 99.7% and 99.8%, respectively. Among the 482 patients, 51 patients
had VCP and 91 patients had diffuse thyroid cartilage calcification interrupting
LUS. CONCLUSION: The new gel pad LUS method significantly enhances the
visualization of vocal cords in patients who have diffuse thyroid cartilage
calcification interrupting LUS and, therefore, the overall efficacy of LUS as a
perioperative diagnostic tool for VCP.
PMID- 27881036
TI - Comparative proteomics analysis of spermary and ovary in Hyriopsis schlegelii.
AB - We provide the first large-scale quantitative proteomics analysis in Hyriopsis
schlegelii. To investigate the proteins expressed in the gonads, a quantitative
proteomics approach has been utilized to analyze differentially expressed
proteins between the spermary and ovary. In this study, we identified and
quantified 2416 proteins in the gonads of Hyriopsis schlegelii. Of these, 559
proteins showed significantly different expression between the spermary and
ovary. Some specific proteins expressed in either the spermary or ovary were
identified in Hyriopsis schlegelii. In addition, a series of proteins related to
gametogenesis were also identified. Compared with previous reports, many proteins
in Hyriopsis schlegelii identified here have different expression patterns
between the spermary and ovary. The special hermaphroditism in Hyriopsis
schlegelii may contribute to these inconsistent results. The provided proteomics
data could be considered as a starting point for subsequent studies focusing on
the proteins involved in sexual gland development and maturity.
PMID- 27881038
TI - 'Enhance her pleasure - and your grip strength': Men's Health magazine and pseudo
reciprocal pleasure.
AB - This paper provides a snapshot of the Top Ten free, digital Men's Health magazine
articles, accessed on a randomly selected day, that can be viewed as a
collection; both a product for readership consumption and a construct of
readership priorities. Through close textual analysis, we examine how discourses
about masculinity, heterosex and consumerism have intersected to create a model
of masculinity based on the discipline of male pleasure, which impacts on men's
approach to female pleasure and gender dynamics. The analysis contributes to the
developing research about the sexual and bodily discourses the magazine promotes
and identifies a model of masculinity where men can 'have their cake and eat it';
seeming to adhere to ideals of gender equality and reciprocity while retaining
their traditional patriarchal position of producer/provider. They are encouraged
to do so by approaching female orgasm as a product, which they can 'purchase'
through adhering to Men's Health magazine's sexual advice and bodily labour at
control, delay and discipline of their own pleasure and orgasm. We argue that
this approach to sex disenfranchises men, and in turn their partners, of
opportunities to access alternative models of embodied pleasure.
PMID- 27881039
TI - Fludarabine, cyclophosphamide and lenalidomide in patients with
relapsed/refractory chronic lymphocytic leukemia. A multicenter phase I-II GIMEMA
trial.
AB - The activity and safety of a regimen combining lenalidomide with fludarabine and
cyclophosphamide (FC) was investigated in patients with relapsed/refractory (R/R)
chronic lymphocytic leukemia (CLL). Treatment consisted of six monthly courses of
the FC regimen combined with 14 days of lenalidomide given at the starting dose
of 2.5 mg during course 1. The maximum tolerated dose of lenalidomide was 5 mg.
Forty patients were assessed for response, 66% were IGHV unmutated, 45% showed
deletion 11q or 17p. The overall response and complete remission rates were 62.5%
and 22.5%, respectively, the median progression-free and overall survival (OS)
were 19 and 45 months, respectively. Grade 3-4 granulocytopenia was observed in
65% of cases, severe infections in 7.5%, the lenalidomide-related toxicity was
mild. In conclusion, the results of this study demonstrate that low-dose
lenalidomide associated with the FC schedule is an effective treatment for R/R
patients with CLL, associated with an acceptable safety profile.
PMID- 27881040
TI - A Single Dose of Docosahexaenoic Acid Increases the Functional Recovery Promoted
by Rehabilitation after Cervical Spinal Cord Injury in the Rat.
AB - Task-specific rehabilitation has been shown to promote functional recovery after
acute spinal cord injury (SCI). Recently, the omega-3 polyunsaturated fatty acid,
docosahexaenoic acid (DHA), has been shown to promote neuroplasticity after SCI.
Here, we investigated whether the combination of a single bolus of DHA with
rehabilitation can enhance the effect of DHA or rehabilitation therapy in adult
injured spinal cord. We found enhanced functional improvement with DHA in
combination with rehabilitation compared with either treatment alone in a rat
cervical lateral hemisection SCI model. This behavioral improvement correlated
with a significant sprouting of uninjured corticospinal and serotonergic fibers.
We also observed that the greatest increase in the synaptic vesicle protein,
synaptophysin, and the synaptic active zone protein, Bassoon, occurred in animals
that received both DHA and rehabilitation. In summary, the functional,
anatomical, and synaptic plasticity induced by task-specific rehabilitation can
be further enhanced by DHA treatment. This study shows the potential beneficial
effects of DHA combined with rehabilitation for the treatment of patients with
SCI.
PMID- 27881041
TI - Incidence and survival outcomes of chronic myelomonocytic leukemia in the United
States.
AB - Chronic myelomonocytic leukemia (CMML) is an aggressive neoplasm with sparse data
on outcomes at a population level. Using Surveillance Epidemiology and End
Results (SEER) database, we identified 2238 patients with CMML diagnosed in the
period 2003-2013. We found that the disease incidence was significantly higher
with advancing age and lower in females, Blacks, and Asian/pacific islanders.
Median OS declined significantly with increasing age (age 20-39 - 25 months, age
40-59 - 20 months, age 60-79 - 18 months, and age >=80 - 11 months, p < .01), but
did not vary by gender or race. Median OS has improved in the period 2007-2013 as
compared with 2003-2006 (17 months vs. 14 months, p < .01). In spite of advances
in CMML biology and therapeutics, in general, the survival of CMML patients
remains dismal. More effective therapies are needed to improve the outcomes of
CMML.
PMID- 27881042
TI - Correlation between spheno-occipital synchondrosis, dental age, chronological age
and cervical vertebrae maturation in Turkish population: is there a link?
AB - OBJECTIVE: To assess the correlation between third molar mineralization (TMM),
spheno-occipital synchondrosis (SOS) fusion, chronologic age and cervical
vertebrae maturation (CVM) for skeletal maturation. MATERIALS AND METHODS:
Radiographs for 116 patients between 8 and 28 years were evaluated for age
determination using mandibular TMM, SOS fusion and CVM. Spearman Correlation and
Kappa test analyses were used to assess the relationship between variables and
for intraobserver reliability. RESULTS: Strong correlation was found between
chronological age and TMM for males (r = .802) and females (r = .842), very
strong correlation was found between age and CVM for males (r = .812) and
moderate for females (r = .449), it was strong between age and SOS fusion for
males (r = .810) and females (r = .643). Correlation between TMM and SOS was
found to be strong for males (r = .759) and moderate for females (r = .534), it
was strong between TMM and CVM for males (r = .723) and weak for females (r =
.371). Very strong correlation was found between CVM and SOS fusion for males (r
= .851) and strong correlation for females (r = .618). CONCLUSION: Good
correlation was found between the degrees of TMM, fusion of SOS and CVM in young
Turkish population.
PMID- 27881043
TI - Experimental research methods in orthopedics and trauma.
PMID- 27881045
TI - Exploring unobserved heterogeneity in perinatal and neonatal mortality risks: The
case of an Italian sharecropping community, 1900-39.
AB - Previous researchers have found that traditional determinants explain only a
limited part of the variation in perinatal and infant mortality at the family
level. In the study reported in this paper, we explored the factors that make the
perinatal/neonatal death risk more heterogeneous across families. We estimated
logistic regressions with cluster random effects at the maternal level, using
data from the Italian village of Granarolo from 1900 to 1939. We estimated the
effects of selected predictors on perinatal/neonatal mortality and unexplained
inter-family variation. We found that non-rural skilled and lower-skilled workers
experienced higher perinatal and neonatal mortality risks. Unexplained
heterogeneity at the maternal level was lower for women living in sharecropper
families than for those in landless labourer and non-rural worker families.
Unexplained perinatal and neonatal mortality components were also due to socio
economic differences and were not necessarily related only to maternal biological
features or shared genetic frailty.
PMID- 27881044
TI - Promoting physical activity in hospitalized patients with mild ischemic stroke: a
pilot study.
AB - BACKGROUND: Patients with acute stroke spend most of their hospital day inactive.
However, a method to promote physical activity (PA) in stroke has not been
established. OBJECTIVE: To evaluate the effectiveness of promoting PA by
enhancing self-efficacy in hospitalized patients with mild ischemic stroke.
DESIGN: Pre-post interventional study. METHODS: Hospitalized patients with mild
ischemic stroke who could walk without assistance were recruited. We measured the
daily number of steps taken as the index of daily hospitalized PA using an
accelerometer. At the baseline measurement, patients did not receive
accelerometer-based feedback. To promote hospitalized PA, a physical therapist
provided instruction on the self-monitoring approach and discussed PA targets,
encouraged the patients to walk more, and instructed them on the importance of PA
after stroke. We also measured self-efficacy for PA using an assessment tool at
the baseline and during the intervention. RESULTS: Twenty-two patients (62.5
years old, 68.2% men) were included. PA during the intervention was higher than
that at the baseline measurement (5709.4 +/- 2236.1 vs. 2813.9 +/- 1511.9
steps/day, p < 0.001). Self-efficacy for PA during the intervention was also
higher than that at the baseline measurement (76.4 +/- 18.8 vs. 58.9 +/- 29.0
points, p < 0.001). CONCLUSIONS: Promoting PA by enhancing self-efficacy may
increase PA and self-efficacy for PA in hospitalized patients with mild ischemic
stroke. The present results might provide new strategies of PA promotion in these
hospitalized patients.
PMID- 27881046
TI - Preparation and characterization of bee venom-loaded PLGA particles for sustained
release.
AB - Bee venom-loaded poly(lactic-co-glycolic acid) (PLGA) particles were prepared by
double emulsion-solvent evaporation, and characterized for a sustained-release
system. Factors such as the type of organic solvent, the amount of bee venom and
PLGA, the type of PLGA, the type of polyvinyl alcohol, and the emulsification
method were considered. Physicochemical properties, including the encapsulation
efficiency, drug loading, particle size, zeta-potential and surface morphology
were examined by Fourier transform infrared (FT-IR) spectroscopy, differential
scanning calorimetry (DSC), and X-ray diffraction (XRD). The size of the bee
venom-loaded PLGA particles was 500 nm (measured using sonication). Zeta
potentials of the bee venom-loaded PLGA particles were negative owing to the
PLGA. FT-IR results demonstrated that the bee venom was completely encapsulated
in the PLGA particles, indicated by the disappearance of the amine and amide
peaks. In addition, sodium dodecyl sulfate-polyacrylamide gel electrophoresis
(SDS-PAGE) analysis indicated that the bee venom in the bee venom-loaded PLGA
particles was intact. In vitro release of the bee venom from the bee venom-loaded
PLGA particles showed a sustained-release profile over 1 month. Bee venom-loaded
PLGA particles can help improve patients' quality of life by reducing the number
of injections required.
PMID- 27881047
TI - Cambodian boys' transitions into young adulthood: exploring the influence of
societal and masculinity norms on young men's health.
AB - A growing body of evidence focuses on the experiences of young men in low-income
countries, including their health vulnerabilities. Much of this research has been
conducted in sub-Saharan Africa, Asia and Latin America. Limited evidence exists
on the norms influencing boys' transitions into young adulthood in Southeast
Asia, and in Cambodia in particular. To help fill this gap, we conducted a
comparative case study using participatory methods with 16-19-year-old young men
in rural and urban Cambodia, and with the adults who intersect in their lives.
Findings suggest that in line with their counterparts growing up elsewhere,
Cambodian boys welcome becoming young men as a time of newly acquired adult roles
and responsibilities, while some may experience growing up as a time of bodily
change, burgeoning sexual feelings and limited sources of support and guidance.
As a result, some may strive more intensely to conform to the alcohol use and
violence modelled by the older men in their environments. Additional research is
needed to better understand the vulnerabilities of boys' transition into young
adulthood in Cambodia today, and how to prevent or reduce engagement with these
more negative practices.
PMID- 27881049
TI - Breaking the silence on abortion: the role of adult community abortion education
in fostering resistance to norms.
AB - Meanings of abortion in society are constructed within sociohistorical and
gendered spaces and manifested through myriad discourses that impact on the
perception and treatment of the issue in that society. In societies with powerful
oppressive anti-abortion norms, such as Northern Ireland, little is known as to
how these norms are resisted by the adult population. This study uses a
Foucauldian feminist approach to show how resistance to religious and patriarchal
norms can be fostered through adult community abortion education. This resistance
is multi-faceted and bolstered by a lived experience discourse, which does not
necessarily involve eschewing religious notions held within society.
PMID- 27881048
TI - Accuracy of pre-treatment locoregional rectal cancer staging in a national
improvement project.
AB - BACKGROUND: The aim of this study was to assess the accuracy, particularly the
predictive value, of locoregional clinical rectal cancer staging (cTN) and its
variability in a national improvement project. METHODS: cTN stages and the
distance between tumour and mesorectal fascia (MRF) were compared with
histopathological findings in 1168 patients who underwent radical resection
without neoadjuvant treatment. Data were registered prospectively from 2006 to
2014. RESULTS: Agreement between clinical and histopathological TN stages was
50%, independent of tumour location. Inter-hospital variability was within 99%
prediction limits. Magnetic resonance imaging (MRI) was increasingly applied, but
staging accuracy did not improve. Stage II-III was correctly predicted in 69% and
pStage I was over-staged in 35%. The positive predictive value of endorectal
ultrasonography (ERUS) for T1 lesions was 57%. MRI-based distances to MRF
correlated poorly with the circumferential resection margin (r = 0.26). A
negative resection margin was achieved in 91% when the distance to the MRF was >1
mm. CONCLUSIONS: The accuracy of rectal cancer staging in general practice should
be improved to avoid under- or overtreatment. Training and expert review of pre
treatment MR imaging could be helpful. A second ERUS is justified when transanal
local resection for early lesions is planned.
PMID- 27881050
TI - Pharmaceutical Rejuvenation of Age-Associated Decline in Spatial Memory.
AB - Spatial memory and cognition decline during aging. Montelukast, an FDA approved
drug for the treatment of asthma, can restore spatial memory in old rats to
levels similar to those of young animals. Treatment improves three hallmarks of
aging in the brain: reducing microglial-mediated neuroinflammation, blood-brain
barrier (BBB) permeability, and increasing neurogenesis in the hippocampus
although not completely to youthful levels. Other aging-associated parameters,
such as reduced synaptic density, are not affected, suggesting that anti-aging
therapeutics may be further optimized. Montelukast targets leukotriene receptors
GPR17 and CysLTR1 and appears to invert leukotriene signaling, converting an
inflammatory signal into an anti-inflammatory signal. This acts as a dominant
factor to overcome the dysfunctional effects of aging reportedly mediated, in
part, by blood-borne factors such as beta-2 microglobulin that inhibit
neurogenesis in the dentate gyrus of the hippocampus. The key mechanism for
cognitive improvement by montelukast may be restoration of BBB integrity, which
would presumably decrease the amount of deleterious blood-borne factors to enter
the brain. Whether or not this hypothesis is true for montelukast, drugs that
restore or maintain BBB integrity may be useful in combating age-related loss of
cognitive function.
PMID- 27881052
TI - Prognostic value of lactate dehydrogenase in Chinese patients with newly
diagnosed transplant eligible multiple myeloma.
PMID- 27881054
TI - Transition of Care for Patients with Diabetes.
AB - BACKGROUND: Diabetes is a common chronic condition among adults that can
complicate the transition from the hospital to the community. Hospital
readmission is an important contributor to total medical expenditures and is an
emerging indicator of quality of care. Failure to acknowledge diabetes transition
of care is associated with increased emergency department visits and 30-day
readmissions. METHODS: Literature review of transition of care models, sample
tools and processes are presented. Updated guidelines and recommendations aiming
to identify and address risk factors for readmission of patients with diabetes
are provided. RESULTS: Increased attention has been given to different aspects of
diabetes care in regards to discharge planning. This includes early initiation of
a discharge plan identifying readmission risk factors at time of admission. In
addition, involvement of patients, families, care givers, health care providers
and institutions to establish transitional care. Utilization of hospital
resources includes medication reconciliation, diabetes education, care
coordination, discharge planning, follow up appointments and post discharge care.
CONCLUSION: Addressing transition of care is not a choice but an important
quality of care marker. The transition of care determines where patients with
diabetes will follow up and how payers will remunerate hospitals for management
of diabetes during hospitalization, discharge planning process and readmission
rates. Different transition of care models have been identified, utilized and
evaluated. However, more research needs to be done to establish standardized
transitional care guidelines specific to this population.
PMID- 27881053
TI - Oxytocin provides protection against diabetic polyneuropathy in rats.
AB - PURPOSE: The aim of the present study is to investigate the protective effects of
oxytocin (OT) on diabetic neuropathy (DNP) in rats. MATERIALS AND METHODS:
Eighteen rats were used to induce diabetes using single dose streptozotocin (STZ,
60 mg/kg). Diabetic DNP was verified by electromyography (EMG) and motor function
test on 21st day following STZ injection. Six rats served as naive control group
and received no drug (n = 6). Following EMG, diabetic rats were randomly divided
into three groups and administered with either 1 ml/kg saline or 80 MUg/kg OT or
160 MUg/kg OT intraperitoneally for four weeks. Then, EMG, motor function test,
biochemical analysis (plasma lipid peroxides and glutathione), histological, and
immunohistochemical analysis of sciatic nerves (bax, caspase 3, caspase 9, and
NGF) were performed. RESULTS: Diabetic rats developed neuropathy, which was
apparent from decreased compound muscle action potentials amplitudes and
prolonged distal latency in saline-treated rats (p < 0.001) whereas 160 MUg/kg OT
significantly improved EMG findings. OT treatment significantly lessened the
thickening of perineural fibrosis when compared with saline group (p < 0.001).
Besides, OT significantly reduced plasma lipid peroxides (p < 0.05) and increased
glutathione levels in diabetic rats (p < 0.001). The sciatic nerves of saline
treated rats showed considerable increase in bax, caspase 3 and caspase 8
expressions (p < 0.001) while OT treatment significantly suppressed these
apoptosis markers. Also, OT improved NGF expression in diabetic rats compared to
saline group. CONCLUSION: Present results demonstrate that OT appears to
alleviate harmful effects of hyperglycemia on peripheral neurons by suppressing
inflammation, oxidative stress and apoptotic pathways.
PMID- 27881051
TI - Mechanisms of Immune Suppression Utilized by Canine Adipose and Bone Marrow
Derived Mesenchymal Stem Cells.
AB - Mesenchymal stem cells (MSCs) from rodents and humans have been shown to suppress
T cells by distinct primary pathways, with nitric oxide (NO)-dependent pathways
dominating in rodents and indoleamine 2,3-deoxygenase (IDO)-dependent pathways
dominating in humans. However, the immune suppressive pathways utilized by canine
MSC have not been thoroughly studied, nor have bone marrow-derived MSC (BM-MSC)
and adipose-derived MSC (Ad-MSC) been directly compared for their immune
modulatory potency or pathway utilization. Therefore, canine BM-MSC and Ad-MSC
were generated in vitro and their potency in suppressing T cell proliferation and
cytokine production was compared, and differential gene expression. Mechanisms of
T cells suppression were also investigated for both MSC types. We found that BM
MSC and Ad-MSC were roughly equivalent in terms of their ability to suppress T
cell activation. However, the two MSC types used both shared and distinct
biochemical pathways to suppress T cell activation. Ad-MSC utilized TGF-beta
signaling pathways and adenosine signaling to suppress T cell activation, whereas
BM-MSC used cyclooxygenase, TGF-beta and adenosine signaling pathways to suppress
T cell activation. These results indicate that canine MSC are distinct from human
and rodent MSC terms of their immune suppressive pathways, relying primarily on
cyclooxygenase and TGF-beta pathways for T cell suppression, rather than on NO or
IDO-mediated pathways.
PMID- 27881055
TI - Patents in Nanobiotechnology: A Cross Jurisdictional Approach.
AB - BACKGROUND: Bionanomaterials create new opportunities for advancing medical
sciences and diseases treatment in relation to human health care. Innovations in
the use of such nanomaterials and nanodevices can lead to significant
improvements in the use of drugs/devices. OBJECTIVE: The present study attempts
to analyse patenting trends in different areas and compare the patentability
criteria and the disclosure norms for nanobiotechnology inventions in countries
such as US, EU and India in the field of diagnostics and therapeutics. METHOD:
Nanobiotechnology patents were identified based on the search using IPC/CPC as
well as keywords conducted on Relecura (a web-based patent and portfolio analysis
platform). Growth of filing/ grants and by area was analysed. Comparative
analysis of the patentability criteria was done to identify challenges in
prosecution of nanobiotechnology applications. RESULT: US, China, followed by
Europe are top patent filing countries in nanobiotechnology. Topic maps indicate
medicinal preparations to be the major area of patenting. There is an increase in
patenting in BRIC since 2000. The assessment of novelty, inventive step and
specific disclosure norms in different jurisdictions related to nanobiotechnology
inventions reveal challenges in patent prosecution. CONCLUSION: 79% of the
overall nanobiotechnology patents are from the medicinal preparation area
followed by a significant number in case of diagnostic and surgical applications.
The upward trend in patenting indicates to the potential of inventions in the
field of diagnostics. The development of objective and subjective criteria with
respect to patentability indicates to elaborate patent office practice and
prosecution in this area.
PMID- 27881056
TI - Recent Patents on Proteasome Inhibitors of Natural Origin.
AB - BACKGROUND: The proteasome is the major proteolytic site on the eukaryotic cell,
degrading most of its short-lived or misfolded polypeptides. The ubiquitin
proteasome pathway has been found to play a fundamental role in the development
of several pathologies, from cancer to neurodegenerative diseases, or even
retroviral infections. Nature remains a powerful source for the discovery of
bioactive compounds. Recently, a number of molecules of natural origin, as well
as natural product derivatives, have been described as proteasome inhibitors.
Most of these molecules directly block one or more catalytic sites of the 20S
proteasome, but some of them act upstream of proteolytic degradation, for
instance, inhibiting the ubiquitin tagging process. OBJECTIVES: The present
review focuses on recent patents on proteasome inhibitors of natural origin,
their derivatives and synthetic routes to obtain such molecules, as well as their
application as a tool in chemotherapy. CONCLUSION: With several of these
modulators of the ubiquitin-proteasome system under clinical trials, we hope that
the next few years lead to the development of new pharmaceutical drugs and
characterization of new proteasome inhibitors of natural origin or inspiration.
PMID- 27881057
TI - Recent Patents on Heat Shock Proteins Targeting Antibodies.
AB - BACKGROUND: Heat shock proteins (Hsp) are major chaperone molecules that have
recently emerged as cancer therapeutic targets owing to their involvement in
tumor cell proliferation, differentiation, invasion and metastasis. High levels
of extracellular Hsp90 and Hsp70 have been closely associated with a wide range
of human cancers. Accumulating evidence suggests that the pharmacological
inhibition of these molecules can play a pivotal role in non-surgical cancer
treatment. Efforts have been taken to develop monoclonal antibodies (mAbs) and
antibody fragments targeting extracellular Hsp90 and Hsp70, alone or conjugated
with standard anticancer agents, to control several types of cancer, such as
breast, colon, prostate or melanoma. OBJECTIVE: To provide an overview on the
development of monoclonal antibodies and antibody fragments with capacity to bind
Hsp90 and Hsp70, aiming at being used for cancer treatment. METHODS: A systematic
review was performed using European Patent Office and Google patents databases.
RESULTS: Based on the available literature and patents, we report the potential
anticancer strategies based on these biological molecules. CONCLUSIONS: Supported
by the recent developments in this field, Hsp targeting antibodies therapy may
emerge for clinical use in the future for cancer patients, namely as antibody
drug conjugates combining the specificity of these antibodies with the potency of
cytotoxic drugs.
PMID- 27881058
TI - The Relationship Between Systemic Lupus Erythematosus Activity and Persistent
Positive Antiphospholipid Antibodies.
AB - BACKGROUND: Systemic Lupus Erythematosus (SLE) is an autoimmune disorder,
characterized by producing different auto-antibodies and multiorgan involvements.
In this study we aimed to investigate the relationship between SLE activity and
persistently positive antiphospholipid antibodies. OBJECTIVE/METHODS: Fifty-nine
lupus patients (55 women and 4 men) who were assessed in two consecutive visits
with 6 weeks interval were selected. Patients' clinical and laboratory data and
serum antiphospholipid antibodies' values, were collected. Serum anticardiolipin
antibodies and lupus anticoagulant were measured in two visits. The correlations
between these antibodies with SLEDAI and with major organ involvements were
assessed. We found that SLEDAI was significantly higher in persistently positive
aPLs patients compared with persistently negative aPLs patients. A positive
correlation between IgG-aCL antibody titer and SLEDAI at first visit (P=0.049)
was also seen. RESULT AND CONCLUSION: The results showed that disease activity in
SLE was associated with increased APAs and persistent positive antiphospholipid
antibodies may indicate higher lupus disease activity.
PMID- 27881059
TI - Natural Products with Antiplatelet Action.
AB - BACKGROUND: Complex hemostatic mechanisms are involved in the pathophysiology of
various diseases, including cardiovascular diseases. Among them, dysregulation of
platelet activity is linked to the progression of atherosclerosis and mainly
involves platelet aggregation and a decrease in blood flow in the vascular
endothelium. The major platelet activation pathways mediated by agonists involve
the arachidonic acid pathway, adenosine diphosphate pathway, serotonin pathway,
nitric oxide pathway, and action of free radicals on molecules involved in
platelet aggregation. These mechanisms have been widely studied and discussed
because they are inhibited by the use of medicinal plants in complementary and
alternative medicine, thus reducing platelet aggregation. RESULTS: Of the main
plants discussed in this review, which have antiplatelet activity, some include
saffron, garlic, green tea, St. John's wort, ginger, ginkgo biloba, ginseng, and
guavirova. These herbal medicines have phytochemical components, which are
directly related to the antiplatelet activity of the plant, such as flavonoids,
curcumins, catechins, terpenoids, polyphenols, and saponins. While the majority
of the medicinal plants mentioned here were native to the Asian continents, some
are distributed worldwide, and found to a smaller extent throughout the American
continent, European continent, Mediterranean, African continent, and the Middle
East. CONCLUSION: This review showed that several plants and/or compounds exhibit
anti-platelet activity, and are therefore potential research targets for
developing drugs to treat diseases related to aggregation disorders.
PMID- 27881060
TI - Hormones and Sarcopenia.
AB - Sarcopenia is defined as the loss of muscle mass associated with a loss of muscle
function, e.g., walking speed. A number of consensus definitions exist for
sarcopenia with cut-off points being ethnically specific. A rapid screen test
(SARC-F) is available and does not require different ethnic cut-off points.
Sarcopenia leads to the development of frailty, disability and mortality. The
prevalence of sarcopenia varies from 1-29% in community- dwelling and 14 to 33%
in long-term care populations. Hormones play a role in the development of muscle
mass and in the regulation of muscle strength. Testosterone appears to be the
central hormone involved in the development of sarcopenia; it increases both
muscle mass and activates satellite cells leading to increased muscle function.
Growth hormone deficiency leads to the loss of muscle mass but not muscle
strength. Lack of insulin or insulin resistance leads to accelerated development
of sarcopenia. Vitamin D deficiency plays a role in the loss of muscle strength.
A variety of other hormones appear to play minor roles in age-related alterations
in muscle mass and function. At present, the treatment of sarcopenia is
resistance exercise, leucine enriched essential amino acids or
hydroxymethylbutyrate and vitamin D replacement.
PMID- 27881061
TI - Traditional and Alternative Therapies for Refractory Angina.
AB - Refractory angina (RFA) is an unfavourable condition that is characterized with
persistent angina due to reversible myocardial ischemia in patients with coronary
artery disease that remains uncontrollable despite an optimal combination of
pharmacological agents and revascularization. Despite significant advances in
revascularization techniques and agents used in pharmacological therapy, there is
still a significant population suffering from RFA and the global prevalence is
even increasing. Anti- anginal treatment and secondary risk-factor modification
are the traditional approaches for this group of patients. Furthermore, now there
is still a large number of alternative treatment options. In order to review
traditional and alternative treatment strategies in patients with RFA, we
searched Pubmed for articles in English using the search terms "pharmacological
therapy, refractory angina", "alternative therapy, refractory angina" between
inception to June 2016. We also went through separately for each alternative
treatment modality on Pubmed. To identify further articles, we handsearched
related citations in review articles and commentaries. We also included data from
the European Society of Cardiology (2013), and the Canadian Society of
Cardiology/ Canadian Pain Society (2012) guidelines. Data show that besides
traditional pharmacological agents, such as nitrates, beta- blockers or calcium
channel blockers, novel antiischemic drugs and if symptoms persist, several non-
invasive and/ or invasive alternative strategies may be considered. Impact of
some pharmacological agents, such as rho- kinase inhibitors, and novel
alternative treatment modalities, such as coronary sinus reducers, stem cell
therapy, gene and protein therapy, on outcomes are still under investigation.
PMID- 27881062
TI - Antihypertensive Treatments in Patients Affected by Aortic Valve Stenosis.
AB - Systemic hypertension and aortic valve stenosis (AVS) are both age-related
diseases. Severe aortic stenosis affects ~2% to 5% of adults age > 65 years.
Systemic hypertension is a frequent comorbidity in patients with AVS and is
coexistent for a longer period of time before AVS is treated. Essential systemic
hypertension, per se, plays an important role in the creation of lesions on the
aortic side of the valve, the region of higher exposure to tension stress. The
subsequent endothelial defect represents the principal site of inflammatory
process and oxidative stress, leading to aortic sclerosis and calcification. In
this review, we want to describe the pharmacological features of the common
antihypertensive drugs, analysing the recent literature, in order to achieve
useful and updated information about the best treatment of systemic hypertension
in patients with concomitant severe aortic stenosis.
PMID- 27881063
TI - Membrane Bioreactors for Pharmaceutical Applications: Optically Pure Enantiomers
Production.
AB - In biological systems, recognition at molecular level is governed by chiral
interactions. Therefore, optical isomers have very different effect in natural
systems. For example, one can have beneficial effect while the other can be very
harmful. For these reasons, chiral drugs nowadays are mainly admitted in the
optically pure form. Given these requirements, it is clear why demand for chiral
drugs has grown dramatically and the singleenantiomer drug segment has become an
important part of the overall pharmaceutical market. As a consequence, the
development of new chiral separation techniques is a very hot topic in both
academic research and industrial innovation. Membrane bioreactors have proven
their feasibility in the production of optically pure enantiomers by combining
enantiospecific biochemical reactions with mass transport through membranes. The
principles and the applications of enantioselective membrane bioreactors in
kinetic resolution for pharmaceutical applications will be discussed. Various
membrane bioreactors configurations and operation mode will be illustrated. The
type of enzymes utilized to produce chiral drugs or their intermediates will be
also reported. Multistep syntheses, conducted in sequential reactions catalysed
by spatially aligned biocatalysts, as promising technology for the synthesis of
fine chemicals will be highlighted.
PMID- 27881065
TI - Lipids at the Cross-road of Autoimmunity in Multiple Sclerosis.
AB - Multiple sclerosis (MS) is a chronic autoimmune disease that affects the central
nervous system (CNS) characterized by demyelination and neurodegeneration, driven
by a Th17/Th1-immune response, which afflicts mainly young women. Although MS
causes are not completely known, it is notorious that the disease is
characterized by an extended focal degradation of the myelin sheath, with
ulterior axonal and neuronal damage. Lipid molecules play a main dual role in MS,
both as target molecules of myelin destruction and as mediators of inflammation.
Indeed, recent cumulative evidence suggests that abnormalities in the
lipidbinding proteins of myelin and sphingolipid content that confer increased
immunogenicity may underlie the autoimmune response against the myelin sheath.
CNS is after all, the second organ richer in lipid content after adipose tissue.
On the other hand, soluble factors called adipokines, secreted by adipose tissue,
modulate inflammatory responses and contribute to metabolic dysfunction, which
may be important in MS pathophysiology. Disability accumulation in MS patients is
slow but persistent, often leading to a decreased mobility and physical activity,
resulting in more weakness, fatigue and associated increased risk of the
metabolic syndrome (MetS). In turn, MetS may trigger MS in susceptible
individuals and is a bad prognostic factor. Here we review what are the facts
linking lipids, MetS and MS, what we do not know yet, and what we should do to
move this field forward.
PMID- 27881066
TI - New Insights Emerging from Recent Investigations on Human Group II Pyridoxal 5'
Phosphate Decarboxylases.
AB - Aromatic amino acid, cysteine sulfinic acid, glutamate and histidine
decarboxylases, belonging to group II of pyridoxal 5'-phosphate-dependent
enzymes, catalyze the synthesis of dopamine/serotonin, hypotaurine, gamma
aminobutyric acid and histamine, respectively. Considering that these reaction
products are all essential bioactive molecules, group II decarboxylases have been
long studied from an evolutionary, biochemical and pharmacological standpoint.
Despite the fact that they all belong to a common fold-type, during evolution
each decarboxylase has evolved unique structural elements responsible for its
substrate specificity. Combining a literature update with bioinformatic analyses,
this review focuses on some structural determinants shared by these enzymes
revealing their intrinsic substrate specificity and highlighting the importance
of some residues/regions for catalytic competence. In particular, two key
structural features emerge: 1) a mobile catalytic loop, and 2) an open-to-close
conformation accompanying the apo-holo transition. Drawing attention on these
elements is crucial in correlating subtle structural modifications to functional
properties for the understanding, at a molecular level of a pathological
condition. This is corroborated by the increasingly important role played by
these decarboxylases in several different pathological states (autoimmune
diseases, type I diabetes, Parkinson's disease, aromatic amino acid decarboxylase
deficiency, Tourette's syndrome and cholangiocarcinoma).
PMID- 27881064
TI - Functional Foods for Health: The Interrelated Antioxidant and Anti-Inflammatory
Role of Fruits, Vegetables, Herbs, Spices and Cocoa in Humans.
AB - The health benefits of plant food-based diets could be related to both integrated
antioxidant and antiinflammatory mechanisms exerted by a wide array of
phytochemicals present in fruit, vegetables, herbs and spices. Therefore, there
is mounting interest in identifying foods, food extracts and phytochemical
formulations from plant sources which are able to efficiently modulate oxidative
and inflammatory stress to prevent diet-related diseases. This paper reviews
available evidence about the effect of supplementation with selected fruits,
vegetables, herbs, spices and their extracts or galenic formulation on combined
markers of redox and inflammatory status in humans.
PMID- 27881067
TI - Emerging Nanocarriers-based Approaches to Diagnose and Red uce Vascular
Inflammation in Atherosclerosis.
AB - Exploitation of nanotechnology advances in the cardiovascular medicine has led to
new approaches for the diagnosis and therapy of vascular inflammation associated
to cardiovascular diseases. Innovative nanoparticles targeted to the main players
in the arterial wall inflammation in atherosclerosis (i.e. inflammatory
molecules, immune or resident cells) have been already developed to diagnose
and/or reduce excessive vascular inflammation and is eagerly awaited that
promising preclinical and early clinical results to boost the approval of the
first nanocarrier in this field. This review discusses the challenges and the
steps undertaken so far to produce powerful multifunctional nanoparticles capable
of raising new scenarios for future precision medicine in atherosclerosis.
PMID- 27881068
TI - Neuron-Microglia Interactions in Motor Neuron Degeneration. The Inflammatory
Hypothesis in Amyotrophic Lateral Sclerosis Revisited.
AB - Research onto the pathogenesis of amyotrophic lateral sclerosis (ALS) has
obtained notable gene discoveries, although, to date, only progress with regard
to treatment has been very modest. Currently ALS is considered a multifactorial
disease that presents diverse clinical presentations, ranging from a monogenic
inherited disease to an autoimmune pathology, and develops with misfolded protein
aggregation and neuroinflammation. An important factor related to ALS
pathogenesis is the microglial activation associated with degenerative motor
neurons. This activation leads to changes in the expression of a wide range of
genes related to phagocytosis and inflammation, and to profound modifications in
the dynamic interactions between neurons and glial cells. Overactivation and
deregulation of microglial activity causes deleterious effects and leads to
neuronal death. However, the involvement of microglia in non-inflammatory
functions challenges our concept of neuroinflammation and opens up new
possibilities for the study of the pathophysiological mechanisms of ALS. In this
review we summarize the current knowledge on the adaptive interactions between
neurons and microglia in ALS. We also discuss the hypothesis that controlling the
extent of microglial activation and neuroinflammation may have clinical and
therapeutic benefits for the condition.
PMID- 27881069
TI - Anti-influenza Virus Effects of Catechins: A Molecular and Clinical Review.
AB - Influenza infection and associated epidemics represent a serious public health
problem. Several preventive and curative measures exist against its spread
including vaccination and therapeutic agents such as neuraminidase inhibitors
(e.g., oseltamivir, zanamivir, as well as peramivir and laninamivir, which are
licensed in several countries) and adamantanes (e.g., amantadine and
rimantadine). However, neuraminidase inhibitor- and adamantane- resistant viruses
have been detected, whereas vaccines exhibit strain-specific effects and are
limited in supply. Thus, new approaches are needed to prevent and treat influenza
infections. Catechins, a class of polyphenolic flavonoids present in tea leaves,
have been reported as potential anti-influenza virus agents based on experimental
and clinical studies. (-)-epigallocatechin gallate (EGCG), a major and highly
bioactive catechin, is known to inhibit influenza A and B virus infections in
Madin-Darby canine kidney cells. Additionally, EGCG and other catechin compounds
such as epicatechin gallate and catechin-5-gallate also show neuraminidase
inhibitory activities as demonstrated via molecular docking. These catechins can
bind differently to neuraminidase and might overcome known drug resistancerelated
virus mutations. Furthermore, the antiviral effects of chemically modified
catechin derivatives have also been investigated, and future structure-based drug
design studies of catechin derivatives might contribute to improvements in
influenza prevention and treatment. This review briefly summarizes probable
mechanisms underlying the inhibitory effects of tea catechins against influenza
infection and their clinical benefits on influenza prevention and treatment.
Additionally, the great potential of tea catechins and their chemical derivatives
as effective antiviral agents is described.
PMID- 27881070
TI - Pregnancy-Specific beta1-Glycoproteins: Combined Biomarker Roles,
Structure/Function Relationships and Implications for Drug Design.
AB - BACKGROUND: Pregnancy specific beta1-glycoproteins (PSGs) have long been
recognized as trophoblast quality and embryo viability markers. However,
biological roles of PSGs remain obscure, and structure/function relationships to
other feto-placental proteins as well as implications for drug design have not
been reviewed. This review summarizes and discusses advances in 45-year studies
of PSGs with focus on the latest achievements and the challenges for future
investigations. METHODS: Literature search was performed to review the majority
of recent PSG studies with emphasis on usage of high-throughput integrated
proteomic profiling technologies, systems biology and bioinformatics approaches
that enhance novel biomarker and drug target discovery as well as protein
structure/activity analysis. RESULTS: Clinical significance and screening
performance improved when PSG measurements were combined with those of other
placenta-derived proteins: hCG, hPL, PAPP-A, and proMBP. Nevertheless, analysis
of protein co-expression and co-localization data and the involvement of PSGs in
protein interaction networks are being introduced to discover novel, specific and
high-sensitive, gestational/cancer biomarkers. Despite biological roles of PSGs
are not fully understood, there are evidences of that they exhibit
immunomodulatory, antiinflammatory and proangiogenic effects. Investigation of
structure/function relationships showed that PSGs may function in
cooperative/coordinated manner with numerous regulatory proteins including alpha
fetoprotein and transforming growth factors-beta; this is provided by the
presence of conserved short linear motifs (SLiMs) such as RGD, PXXP and AFP14-20
like (YXCX) ones. CONCLUSION: PSG-derived peptides may be used as a rationale to
design novel drugs that mimic SLiMs involved in protein-protein interactions to
inhibit domain-motif binding and to block cell signaling, and/or exert
immunomodulatory, anti-inflammatory and proangiogenic effects.
PMID- 27881072
TI - Patients with longstanding ulcerative colitis in remission do not have more
irritable bowel syndrome-like symptoms than controls.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is more common in patients with
ulcerative colitis (UC) than expected. The prevalence of IBS in patients with UC
with longstanding disease is not known. We investigated the prevalence of IBS
like symptoms in patients with UC in remission and longstanding disease in
comparison to control subjects. METHODS: Sixty-eight patients with UC and 33
patients with hereditary familiar colon cancer and who underwent colonoscopy
surveillance were included. Faecal calprotectin (FC), Gastrointestinal Symptoms
Rating Scale-Irritable Bowel Syndrome (GSRS-IBS) and Hospital Anxiety and
Depression scale were fulfilled prior to endoscopy. UC in remission was define by
steroid-free clinical remission, a Mayo Score <= 1 on endoscopy, a FC <= 200
MUg/g and no significant active inflammation on colon biopsies. RESULTS: Fifty
five UC patients met the criteria for being in remission. The median disease
duration was 17 years. The patients with UC in remission tended to have lower
scores on total GSRS-IBS score (6 vs 10.5; p = 0.062) and lower or equal scores
on all specific IBS symptoms in comparison to controls. There was a moderate but
significant correlation between diarrhoea scores and FC levels (in the span <=
200 MUg/g) (rs 0.38; p = 0.004) in the UC in remission group. CONCLUSION:
Patients with UC with longstanding disease and in remission do not have more IBS
symptoms than controls. In UC patients in remission the FC level in the lower
span showed a moderate correlation to symptoms of diarrhoea.
PMID- 27881073
TI - Bilingualism in older Mexican-American immigrants is associated with higher
scores on cognitive screening.
AB - BACKGROUND: Bilingualism may protect against cognitive aging and delay the onset
of dementia. However, studies comparing monolinguals and bilinguals on such
metrics have produced inconsistent results complicated by confounding variables
and methodological concerns. METHODS: We addressed this issue by comparing
cognitive performance in a more culturally homogeneous cohort of older Spanish
speaking monolingual (n = 289) and Spanish-English bilingual (n = 339) Mexican
American immigrants from the Sacramento Longitudinal Study on Aging. RESULTS:
After adjusting for demographic differences and depressive symptoms, both groups
performed similarly at baseline on verbal memory but the bilingual group
performed significantly better than the monolingual group on a cognitive
screening test, the Modified Mini-Mental State Examination (3MS; p < 0.001).
Group differences on the 3MS were driven by language/executive and
language/praxis factors. Within the bilingual group, neither language of testing
nor degree of bilingualism was significantly associated with 3MS or verbal memory
scores. Amongst individuals who performed in the normal or better range on both
tests at baseline and were followed for an average of 6 years, both monolinguals
and bilinguals exhibited similar rates of cognitive decline on both measures.
CONCLUSIONS: These findings suggest that bilingualism is associated with modest
benefits in cognitive screening performance in older individuals in cross
sectional analyses that persist across longitudinal analyses. The effects of
bilingualism should be considered when cognitively screening is performed in
aging immigrant populations.
PMID- 27881074
TI - Changes of gonadotropin-releasing hormone receptor 2 during the anadromous
spawning migration in Coilia nasus.
AB - BACKGROUND: An increase in the activity of the pituitary-gonad axis (PG-axis) and
gonad development are essential for the onset of spawning migration in teleosts.
In the fish Coilia nasus, gonad development and spawning migration up the Yangtze
River occurs by the end of each summer. We hypothesized that gonadotropin
releasing hormones receptor 2 (GnRH-R2), which together produce a signal that
interacts with the PG-axis, may help to regulate spawning migration processes.
RESULTS: In this regard, we (1) characterized the gonadosomatic index (GSI) in
the anadromous fish C. nasus; (2) analyzed the GnRH-R2 mRNA expression levels in
ovary and brain, and concentrations in the serum; and (3) identified the GnRH-R2
protein distribution in the brain and ovaries. We found strong relationships
between all of these indices. CONCLUSIONS: The results indicate that GnRH-R2
could act together to promote spawning during the anadromous migration. There is
some evidence that the GnRH-R2 gene expression levels and protein distributions
change in association with the migratory behavior.
PMID- 27881071
TI - Genome-wide analyses of chitin synthases identify horizontal gene transfers
towards bacteria and allow a robust and unifying classification into fungi.
AB - BACKGROUND: Chitin, the second most abundant biopolymer on earth after cellulose,
is found in probably all fungi, many animals (mainly invertebrates), several
protists and a few algae, playing an essential role in the development of many of
them. This polysaccharide is produced by type 2 glycosyltransferases, called
chitin synthases (CHS). There are several contradictory classifications of CHS
isoenzymes and, as regards their evolutionary history, their origin and diversity
is still a matter of debate. RESULTS: A genome-wide analysis resulted in the
detection of more than eight hundred putative chitin synthases in proteomes
associated with about 130 genomes. Phylogenetic analyses were performed with
special care to avoid any pitfalls associated with the peculiarities of these
sequences (e.g. highly variable regions, truncated or recombined sequences, long
branch attraction). This allowed us to revise and unify the fungal CHS
classification and to study the evolutionary history of the CHS multigenic
family. This update has the advantage of being user-friendly due to the
development of a dedicated website ( http://wwwabi.snv.jussieu.fr/public/CHSdb ),
and it includes any correspondences with previously published classifications and
mutants. Concerning the evolutionary history of CHS, this family has mainly
evolved via duplications and losses. However, it is likely that several
horizontal gene transfers (HGT) also occurred in eukaryotic microorganisms and,
even more surprisingly, in bacteria. CONCLUSIONS: This comprehensive multi
species analysis contributes to the classification of fungal CHS, in particular
by optimizing its robustness, consensuality and accessibility. It also highlights
the importance of HGT in the evolutionary history of CHS and describes bacterial
chs genes for the first time. Many of the bacteria that have acquired a chitin
synthase are plant pathogens (e.g. Dickeya spp; Pectobacterium spp; Brenneria
spp; Agrobacterium vitis and Pseudomonas cichorii). Whether they are able to
produce a chitin exopolysaccharide or secrete chitooligosaccharides requires
further investigation.
PMID- 27881075
TI - Modelling microbial metabolic rewiring during growth in a complex medium.
AB - BACKGROUND: In their natural environment, bacteria face a wide range of
environmental conditions that change over time and that impose continuous
rearrangements at all the cellular levels (e.g. gene expression, metabolism).
When facing a nutritionally rich environment, for example, microbes first use the
preferred compound(s) and only later start metabolizing the other one(s). A
systemic re-organization of the overall microbial metabolic network in response
to a variation in the composition/concentration of the surrounding nutrients has
been suggested, although the range and the entity of such modifications in
organisms other than a few model microbes has been scarcely described up to now.
RESULTS: We used multi-step constraint-based metabolic modelling to simulate the
growth in a complex medium over several time steps of the Antarctic model
organism Pseudoalteromonas haloplanktis TAC125. As each of these phases is
characterized by a specific set of amino acids to be used as carbon and energy
source our modelling framework describes the major consequences of nutrients
switching at the system level. The model predicts that a deep metabolic
reprogramming might be required to achieve optimal biomass production in
different stages of growth (different medium composition), with at least half of
the cellular metabolic network involved (more than 50% of the metabolic genes).
Additionally, we show that our modelling framework is able to capture metabolic
functional association and/or common regulatory features of the genes embedded in
our reconstruction (e.g. the presence of common regulatory motifs). Finally, to
explore the possibility of a sub-optimal biomass objective function (i.e. that
cells use resources in alternative metabolic processes at the expense of optimal
growth) we have implemented a MOMA-based approach (called nutritional-MOMA) and
compared the outcomes with those obtained with Flux Balance Analysis (FBA).
Growth simulations under this scenario revealed the deep impact of choosing among
alternative objective functions on the resulting predictions of fluxes
distribution. CONCLUSIONS: Here we provide a time-resolved, systems-level scheme
of PhTAC125 metabolic re-wiring as a consequence of carbon source switching in a
nutritionally complex medium. Our analyses suggest the presence of a potential
efficient metabolic reprogramming machinery to continuously and promptly adapt to
this nutritionally changing environment, consistent with adaptation to fast
growth in a fairly, but probably inconstant and highly competitive, environment.
Also, we show i) how functional partnership and co-regulation features can be
predicted by integrating multi-step constraint-based metabolic modelling with fed
batch growth data and ii) that performing simulations under a sub-optimal
objective function may lead to different flux distributions in respect to
canonical FBA.
PMID- 27881076
TI - Clustering of disulfide-rich peptides provides scaffolds for hit discovery by
phage display: application to interleukin-23.
AB - BACKGROUND: Disulfide-rich peptides (DRPs) are found throughout nature. They are
suitable scaffolds for drug development due to their small cores, whose disulfide
bonds impart extraordinary chemical and biological stability. A challenge in
developing a DRP therapeutic is to engineer binding to a specific target. This
challenge can be overcome by (i) sampling the large sequence space of a given
scaffold through a phage display library and by (ii) panning multiple libraries
encoding structurally distinct scaffolds. Here, we implement a protocol for
defining these diverse scaffolds, based on clustering structurally defined DRPs
according to their conformational similarity. RESULTS: We developed and applied a
hierarchical clustering protocol based on DRP structural similarity, followed by
two post-processing steps, to classify 806 unique DRP structures into 81
clusters. The 20 most populated clusters comprised 85% of all DRPs.
Representative scaffolds were selected from each of these clusters; the
representatives were structurally distinct from one another, but similar to other
DRPs in their respective clusters. To demonstrate the utility of the clusters,
phage libraries were constructed for three of the representative scaffolds and
panned against interleukin-23. One library produced a peptide that bound to this
target with an IC50 of 3.3 MUM. CONCLUSIONS: Most DRP clusters contained members
that were diverse in sequence, host organism, and interacting proteins,
indicating that cluster members were functionally diverse despite having similar
structure. Only 20 peptide scaffolds accounted for most of the natural DRP
structural diversity, providing suitable starting points for seeding phage
display experiments. Through selection of the scaffold surface to vary in phage
display, libraries can be designed that present sequence diversity in
architecturally distinct, biologically relevant combinations of secondary
structures. We supported this hypothesis with a proof-of-concept experiment in
which three phage libraries were constructed and panned against the IL-23 target,
resulting in a single-digit MUM hit and suggesting that a collection of libraries
based on the full set of 20 scaffolds increases the potential to identify
efficiently peptide binders to a protein target in a drug discovery program.
PMID- 27881078
TI - No rationale for 1 variable per 10 events criterion for binary logistic
regression analysis.
AB - BACKGROUND: Ten events per variable (EPV) is a widely advocated minimal criterion
for sample size considerations in logistic regression analysis. Of three previous
simulation studies that examined this minimal EPV criterion only one supports the
use of a minimum of 10 EPV. In this paper, we examine the reasons for substantial
differences between these extensive simulation studies. METHODS: The current
study uses Monte Carlo simulations to evaluate small sample bias, coverage of
confidence intervals and mean square error of logit coefficients. Logistic
regression models fitted by maximum likelihood and a modified estimation
procedure, known as Firth's correction, are compared. RESULTS: The results show
that besides EPV, the problems associated with low EPV depend on other factors
such as the total sample size. It is also demonstrated that simulation results
can be dominated by even a few simulated data sets for which the prediction of
the outcome by the covariates is perfect ('separation'). We reveal that different
approaches for identifying and handling separation leads to substantially
different simulation results. We further show that Firth's correction can be used
to improve the accuracy of regression coefficients and alleviate the problems
associated with separation. CONCLUSIONS: The current evidence supporting EPV
rules for binary logistic regression is weak. Given our findings, there is an
urgent need for new research to provide guidance for supporting sample size
considerations for binary logistic regression analysis.
PMID- 27881077
TI - Sex difference in EGFR pathways in mouse kidney-potential impact on the immune
system.
AB - BACKGROUND: Epidermal growth factor receptor (Egfr) has been the target of
several drugs for cancers. The potential gender differences in genes in the Egfr
axis have been suggested in humans and in animal models. Female and male mice
from the same recombinant inbred (RI) strain have the same genomic components
except the sex difference. A population of different RI mouse strains allows to
conduct precise analysis of molecular pathways and regulation of Egfr between
female and male mice. METHODS: The whole genome expression profiles of 70
genetically diverse RI strains of mice were used to compare three major molecular
aspects of Egfr gene: the relative expression levels, gene network and expression
quantitative trait loci (eQTL) that regulate the expression of Egfr between
female and male mice. RESULTS: Our data showed that there is a significant sex
difference in the expression levels in kidney. A considerable number of genes in
the gene network of Egfr are sex differentially expressed. The expression levels
of Egfr in mice are statistical significant different between C57BL/6 J (B6) and
DBA/2 J (D2) genotypes in male while no difference in female mice. The eQTLs that
regulate the expression levels of Egfr between female and male mice are also
different. Furthermore, the differential expression levels of Egfr showed
significantly different correlations with two known biological traits between
male and female mice. CONCLUSION: Overall there is a substantial sex difference
in the Egfr pathways in mice. These data may have significant impact on drug
target design, development, formulation, and dosage determinant for women and men
in clinical trials.
PMID- 27881079
TI - Detection of West Nile virus in wild birds in Tana River and Garissa Counties,
Kenya.
AB - BACKGROUND: West Nile fever virus is a zoonotic arboviral infection maintained in
a sylvatic cycle involving mosquito vectors and birds. It is one the arboviruses
whose geographical range is expanding because of climate and land use changes
that enhance the densities of mosquitoes and promote mosquito-bird-human
interactions. We carried out a survey to determine the reservoirs of WNV among
wild birds in Tana River and Garissa counties, Kenya. METHODS: Blood samples were
obtained from 361 randomly trapped wild birds. Using real-time polymerase chain
reaction (PCR), all samples were screened for WNV using gene specific primer sets
amplifying a portion of the E region of the genome encoding the envelope protein.
RESULTS: Sixty five (65) out of 361 birds screened tested positive for WNV on
real-time PCR assay. Sequencing of the selected positive samples reveals that the
isolated WNV were most closely related to strains isolated from China (2011). A
regression analysis indicated that sampling location influenced the occurrence of
WNV while species, age, weight and sex of the birds did not have any effect.
CONCLUSIONS: This study provides baseline information on the existing circulation
of WNV in this region among wild bird reservoirs that could spill over to the
human population and points to the need for implementation of surveillance
programs to map the distribution of the virus among reservoirs. Awareness
creation about West Nile fever in this region is important to improve its
detection and management.
PMID- 27881082
TI - Quality assurance of human papillomavirus (HPV) testing in the implementation of
HPV primary screening in Norway: an inter-laboratory reproducibility study.
AB - BACKGROUND: Human papillomavirus (HPV) testing as primary screening for cervical
cancer is currently being implemented in Norway in a randomized controlled
fashion, involving three laboratories. As part of the quality assurance programme
of the implementation, an evaluation of the inter-laboratory reproducibility of
the HPV test was initiated, to ensure satisfactory HPV test reliability in all
three laboratories. METHODS: The HPV test used is the cobas 4800 HPV Test,
detecting 14 high-risk types with individual HPV genotype results for HPV16 and
HPV18. In addition to the three laboratories involved in the implementation, the
Norwegian HPV reference laboratory was included as a fourth comparative
laboratory. A stratified sample of 500 cervical liquid based cytology (LBC)
samples was used in the evaluation, with an aim towards a high-risk HPV
positivity of ~25%. Samples were collected at one laboratory, anonymized,
aliquoted, and distributed to the other laboratories. RESULTS: Comparison of the
test results of all four laboratories revealed a 95.6% agreement, an 86.3%
positive agreement and a kappa value of 0.94 (95% CI 0.92-0.97). For negative
cytology specimens, there was a 95.8% overall agreement, a 67.4% positive
agreement, and a kappa value of 0.88 (95% CI 0.80-0.93). For abnormal cytology
specimens, there was a 95.8% overall agreement, a 95.5% positive agreement, and a
kappa value of 0.86 (95% CI 0.71-0.97). CONCLUSIONS: The study showed a high
inter-laboratory reproducibility of HPV testing, implying satisfactory user
performance and reliability in the laboratories involved in the implementation
project. This is important knowledge and we recommend similar studies always to
be performed prior to the introduction of new screening routines.
PMID- 27881080
TI - Genotypic differences in intruder-evoked immediate early gene activation in male,
but not female, vasopressin 1b receptor knockout mice.
AB - BACKGROUND: The neuropeptide arginine vasopressin (Avp) modulates social
behaviors via its two centrally expressed receptors, the Avp 1a receptor and the
Avp 1b receptor (Avpr1b). Recent work suggests that, at least in mice, Avp
signaling through Avpr1b within the CA2 region of the hippocampus is critical for
normal aggressive behaviors and social recognition memory. However, this brain
area is just one part of a larger neural circuit that is likely to be impacted in
Avpr1b knockout (-/-) mice. To identify other brain areas that are affected by
altered Avpr1b signaling, genotypic differences in immediate early gene
activation, i.e. c-FOS and early growth response factor 1 (EGR-1), were
quantified using immunocytochemistry following a single exposure to an intruder.
RESULTS: In females, no genotypic differences in intruder-evoked c-FOS or EGR-1
immunoreactivity were observed in any of the brain areas measured. In males,
while there were no intruder-evoked genotypic differences in c-FOS
immunoreactivity, genotypic differences were observed in EGR-1 immunoreactivity
within the ventral bed nucleus of the stria terminalis and the anterior
hypothalamus; with Avpr1b -/- males having less EGR-1 immunoreactivity in these
regions than controls. CONCLUSIONS: These data are the first to identify specific
brain areas that may be a part of a neural circuit that includes Avpr1b
expressing cells in the CA2 region of the hippocampus. It is thought that this
circuit, when working properly, plays a role in how an animal evaluates its
social context.
PMID- 27881081
TI - Phylogenetic distribution of plant snoRNA families.
AB - BACKGROUND: Small nucleolar RNAs (snoRNAs) are one of the most ancient families
amongst non-protein-coding RNAs. They are ubiquitous in Archaea and Eukarya but
absent in bacteria. Their main function is to target chemical modifications of
ribosomal RNAs. They fall into two classes, box C/D snoRNAs and box H/ACA
snoRNAs, which are clearly distinguished by conserved sequence motifs and the
type of chemical modification that they govern. Similarly to microRNAs, snoRNAs
appear in distinct families of homologs that affect homologous targets. In
animals, snoRNAs and their evolution have been studied in much detail. In plants,
however, their evolution has attracted comparably little attention. RESULTS: In
order to chart the phylogenetic distribution of individual snoRNA families in
plants, we applied a sophisticated approach for identifying homologs of known
plant snoRNAs across the plant kingdom. In response to the relatively fast
evolution of snoRNAs, information on conserved sequence boxes, target sequences,
and secondary structure is combined to identify additional snoRNAs. We identified
296 families of snoRNAs in 24 species and traced their evolution throughout the
plant kingdom. Many of the plant snoRNA families comprise paralogs. We also found
that targets are well-conserved for most snoRNA families. CONCLUSIONS: The
sequence conservation of snoRNAs is sufficient to establish homologies between
phyla. The degree of this conservation tapers off, however, between land plants
and algae. Plant snoRNAs are frequently organized in highly conserved spatial
clusters. As a resource for further investigations we provide carefully curated
and annotated alignments for each snoRNA family under investigation.
PMID- 27881083
TI - Loss of maternal ANNEXIN A10 via a 34-kb deleted-type copy number variation is
associated with embryonic mortality in Japanese Black cattle.
AB - BACKGROUND: Conception is a fundamental trait for successful cattle reproduction.
However, conception rates in Japanese Black cattle have been gradually declining
over the last two decades. Although conception failures are mainly caused by
embryonic mortality, the role of maternal genetic factors in the process remains
unknown. Copy number variation (CNV), defined as large-scale genomic structural
variants, contributes to several genetic disorders. To identify CNV associated
with embryonic mortality in Japanese Black cattle, we evaluated embryonic
mortality as a categorical trait with a threshold model and conducted a genome
wide CNV association study for embryonic mortality using 791 animals. RESULTS: We
identified a deleted-type CNV ranging from 378,127 to 412,061 bp on bovine
chromosome 8, which was associated with embryonic mortality at 30-60 days after
artificial insemination (AI). The CNV harbors exon 2 to 6 of ANNEXIN A10
(ANXA10). Analysis of sequence traces from the CNV identified that 63 bp reads
bridging the breakpoint were present on both sides of the CNV, indicating that
the CNV was generated by non-allelic homologous recombination using the 63 bp
homologous sequences. Western blot analysis showed that the CNV results in a null
allele of ANXA10. This association was replicated using a sample population size
of 2552 animals. To elucidate the function of ANXA10 in vivo, we generated Anxa10
null mice using the CRISPR/Cas9 system. Crossbreeding experiments showed that
litter size from crosses of both Anxa10 -/- and Anxa10 +/- females had fewer pups
than did Anxa10 +/+ females, and embryos of Anxa10 -/- females died between
implantation stages E4.5 and E12.5. These results indicate that loss of maternal
Anxa10 causes embryonic mortality. CONCLUSIONS: This study identified a deleted
type CNV encompassing ANXA10 in cows that was associated with embryonic mortality
at 30-60 days after AI. Using a mouse model, we confirmed that litter sizes were
smaller in crosses of both Anxa10 -/- and Anxa10 +/- females relative to those of
wild females. These results indicate that ANXA10 is a maternal factor that is
critical for embryo development.
PMID- 27881085
TI - The Ebola Outbreak: Catalyzing a "Shift" in Global Health Governance?
AB - BACKGROUND: As the 2014 Ebola virus disease outbreak (EVD) transitions to its
post-endemic phase, its impact on the future of global public health,
particularly the World Health Organization (WHO), is the subject of continued
debate. Criticism of WHO's performance grew louder in the outbreak's wake,
placing this international health UN-specialized agency in the difficult position
of navigating a complex series of reform recommendations put forth by different
stakeholders. Decisions on WHO governance reform and the broader role of the
United Nations could very well shape the future landscape of 21st century global
health and how the international community responds to health emergencies.
DISCUSSION: In order to better understand the implications of the EVD outbreak on
global health and infectious disease governance, this debate article critically
examines a series of reports issued by four high-level commissions/panels
convened to specifically assess WHO's performance post-Ebola. Collectively, these
recommendations add increasing complexity to the urgent need for WHO reform, a
process that the agency must carry out in order to maintain its legitimacy.
Proposals that garnered strong support included the formation of an independent
WHO Centre for Emergency Preparedness and Response, the urgent need to increase
WHO infectious disease funding and capacity, and establishing better operational
and policy coordination between WHO, UN agencies, and other global health
partners. The recommendations also raise more fundamental questions about
restructuring the global health architecture, and whether the UN should play a
more active role in global health governance. Despite the need for a fully
modernized WHO, reform proposals recently announced by WHO fail to achieve the
"evolution" in global health governance needed in order to ensure that global
society is adequately protected against the multifaceted and increasingly complex
nature of modern public health emergencies. Instead, the lasting legacy of the
EVD outbreak may be its foreshadowing of a governance "shift" in formal sharing
of the complex responsibilities of global health, health security, outbreak
response, and managing health emergencies to other international structures, most
notably the United Nations. Only time will tell if the legacy of EVD will include
a WHO that has the full support of the international community and is capable of
leading human society in this brave new era of the globalization of infectious
diseases.
PMID- 27881084
TI - Assessing characteristics of RNA amplification methods for single cell RNA
sequencing.
AB - BACKGROUND: Recently, measurement of RNA at single cell resolution has yielded
surprising insights. Methods for single-cell RNA sequencing (scRNA-seq) have
received considerable attention, but the broad reliability of single cell methods
and the factors governing their performance are still poorly known. RESULTS:
Here, we conducted a large-scale control experiment to assess the transfer
function of three scRNA-seq methods and factors modulating the function. All
three methods detected greater than 70% of the expected number of genes and had a
50% probability of detecting genes with abundance greater than 2 to 4 molecules.
Despite the small number of molecules, sequencing depth significantly affected
gene detection. While biases in detection and quantification were qualitatively
similar across methods, the degree of bias differed, consistent with differences
in molecular protocol. Measurement reliability increased with expression level
for all methods and we conservatively estimate measurements to be quantitative at
an expression level greater than ~5-10 molecules. CONCLUSIONS: Based on these
extensive control studies, we propose that RNA-seq of single cells has come of
age, yielding quantitative biological information.
PMID- 27881087
TI - Intraspecific rearrangement of mitochondrial genome suggests the prevalence of
the tandem duplication-random loss (TDLR) mechanism in Quasipaa boulengeri.
AB - BACKGROUND: Tandem duplication followed by random loss (TDRL) is the most
frequently invoked model to explain the diversity of gene rearrangements in
metazoan mitogenomes. The initial stages of gene rearrangement are difficult to
observe in nature, which limits our understanding of incipient duplication events
and the subsequent process of random loss. Intraspecific gene reorganizations may
represent intermediate states, and if so they potentially shed light on the
evolutionary dynamics of TDRL. RESULTS: Nucleotide sequences in a hotspot of gene
rearrangement in 28 populations of a single species of frog, Quasipaa boulengeri,
provide such predicted intermediate states. Gene order and phylogenetic analyses
support a single tandem duplication event and a step-by-step process of random
loss. Intraspecific gene rearrangements are not commonly found through comparison
of all mitochondrial DNA records of amphibians and squamate reptiles in GenBank.
CONCLUSIONS: The intraspecific variation in Q. boulengeri provides insights into
the rate of partial duplications and deletions within a mitogenome, and reveals
that fixation and gene-distribution in mitogenomic reorganization is likely non
adaptive.
PMID- 27881086
TI - Lower-extremity resistance training on unstable surfaces improves proxies of
muscle strength, power and balance in healthy older adults: a randomised control
trial.
AB - BACKGROUND: It is well documented that both balance and resistance training have
the potential to mitigate intrinsic fall risk factors in older adults. However,
knowledge about the effects of simultaneously executed balance and resistance
training (i.e., resistance training conducted on unstable surfaces [URT]) on
lower-extremity muscle strength, power and balance in older adults is
insufficient. The objective of the present study was to compare the effects of
machine-based stable resistance training (M-SRT) and two types of URT, i.e.,
machine-based (M-URT) and free-weight URT (F-URT), on measures of lower-extremity
muscle strength, power and balance in older adults. METHODS: Seventy-five healthy
community-dwelling older adults aged 65-80 years, were assigned to three
intervention groups: M-SRT, M-URT and F-URT. Over a period of ten weeks, all
participants exercised two times per week with each session lasting ~60 min.
Tests included assessment of leg muscle strength (e.g., maximal isometric leg
extension strength), power (e.g., chair rise test) and balance (e.g., functional
reach test), carried out before and after the training period. Furthermore,
maximal training load of the squat-movement was assessed during the last training
week. RESULTS: Maximal training load of the squat-movement was significantly
lower in F-URT in comparison to M-SRT and M-URT. However, lower-extremity
resistance training conducted on even and uneven surfaces meaningfully improved
proxies of strength, power and balance in all groups. M-URT produced the greatest
improvements in leg extension strength and F-URT in the chair rise test and
functional reach test. CONCLUSION: Aside from two interaction effects, overall
improvements in measures of lower-extremity muscle strength, power and balance
were similar across training groups. Importantly, F-URT produced similar results
with considerably lower training load as compared to M-SRT and M-URT. Concluding,
F-URT seems an effective and safe alternative training program to mitigate
intrinsic fall risk factors in older adults. TRIAL REGISTRATION: This trial has
been registered with clinicaltrials.gov ( NCT02555033 ) on 09/18/2015.
PMID- 27881088
TI - Perceived risk of tuberculosis infection among healthcare workers in Swaziland.
AB - BACKGROUND: The incidence of tuberculosis (TB) in the Kingdom of Swaziland is
extremely high. How healthcare workers (HCWs) in Swaziland perceive infection
control (IC) measures for preventing TB transmission is unclear. This study aimed
to determine perceived risk of TB infection in relation to IC measures among HCWs
in three institutions of Swaziland. METHODS: A cross-sectional questionnaire
survey was conducted in 2014. Demographic data and IC measures were collected
from main and allied HCWs. RESULTS: In total, 186 HCWs (19 doctors, 99 nurses,
and 68 allied HCWs) were enrolled. The multivariate logistic regression analyses
revealed that nurses (OR = 39.87, 95% CI = 2.721-584.3) and other HCWs (OR
=99.34, 95% CI = 7.469-1321) perceived a higher TB infection risk than did
doctors. Moreover, HCWs working for <4 years at the TB department perceived a
lower TB infection risk (OR = 0.099, 95% CI = 0.022-0.453). Availability of N95
respirator masks (OR = 0.055, 95% CI = 0.005-0.586) and a designated sputum
collection area (OR = 0.142, 95% CI = 0.037-0.545) also carried lower TB
infection risks. CONCLUSION: This study depicts the current status of IC measures
for TB infection in a high prevalence country. The results suggest that HCWs
perceived a greater TB infection risk at inadequate environmental IC measures.
PMID- 27881089
TI - Abnormal primary and permanent dentitions with ectodermal symptoms predict WNT10A
deficiency.
AB - BACKGROUND: The WNT10A protein is critical for the development of ectodermal
appendages. Variants in the WNT10A gene may be associated with a spectrum of
ectodermal abnormalities including extensive tooth agenesis. METHODS: In seven
patients with severe tooth agenesis we identified anomalies in primary dentition
and additional ectodermal symptoms, and assessed WNT10A mutations by genetic
analysis. RESULTS: Investigation of primary dentition revealed peg-shaped crowns
of primary mandibular incisors and three individuals had agenesis of at least two
primary teeth. The permanent dentition was severely affected in all individuals
with a mean of 21 missing teeth. Primary teeth were most often present in
positions were succedaneous teeth were missing. Furthermore, most existing molars
had taurodontism. Light, brittle or coarse hair was reported in all seven
individuals, hyperhidrosis of palms and soles in six individuals and nail
anomalies in two individuals. The anomalies in primary dentition preceded most of
the additional ectodermal symptoms. Genetic analysis revealed that all seven
individuals were homozygous or compound heterozygous for WNT10A mutations
resulting in C107X, E222X and F228I. CONCLUSIONS: We conclude that tooth agenesis
and/or peg-shaped crowns of primary mandibular incisors, severe oligodontia of
permanent dentition as well as ectodermal symptoms of varying severity may be
predictors of bi-allelic WNT10A mutations of importance for diagnosis,
counselling and follow-up.
PMID- 27881090
TI - Genome-wide analysis of the TPX2 family proteins in Eucalyptus grandis.
AB - BACKGROUND: The Xklp2 (TPX2) proteins belong to the microtubule-associated (MAP)
family of proteins. All members of the family contain the conserved TPX2 motif,
which can interact with microtubules, regulate microtubule dynamics or assist
with different microtubule functions, for example, maintenance of cell morphology
or regulation of cell growth and development. However, the role of members of the
TPX family have not been studied in the model tree species Eucalyptus to date.
Here, we report the identification of the members of the TPX2 family in
Eucalyptus grandis (Eg) and analyse the expression patterns and functions of
these genes. RESULTS: In present study, a comprehensive analysis of the plant
TPX2 family proteins was performed. Phylogenetic analyses indicated that the
genes can be classified into 6 distinct subfamilies. A genome-wide survey
identified 12 members of the TPX2 family in the sequenced genome of Eucalyptus
grandis. The basic genetic properties of the TPX2 family in Eucalyptus were
analysed. Our results suggest that the TPX2 family proteins within different sub
groups are relatively conserved but there are important differences between
groups. Quantitative real-time PCR (qRT-PCR) was performed to confirm the
expression levels of the genes in different tissues. The results showed that in
the whole plant, the levels of EgWDL5 transcript are the highest, followed by
those of EgWDL4. Compared with other tissues, the level of the EgMAP20 transcript
is the highest in the root. Over-expression of EgMAP20 in Arabidopsis resulted in
organ twisting. The cotyledon petioles showed left-handed twisting while the
hypocotyl epidermal cells produced right-handed helical twisting. Finally,
EgMAP20, EgWDL3 and EgWDL3L were all able to decorate microtubules. CONCLUSIONS:
Plant TPX2 family proteins were systematically analysed using bioinformatics
methods. There are 12 TPX2 family proteins in Eucalyptus. We have performed an
initial characterization of the functions of several members of the TPX2 family.
We found that the gene products are localized to the microtubule cytoskeleton.
Our results lay the foundation for future efforts to reveal the biological
significance of TPX2 family proteins in Eucalyptus.
PMID- 27881092
TI - The relationship between in-hospital location and outcomes of care in patients
diagnosed with dementia and/or delirium diagnoses: analysis of patient journey.
AB - BACKGROUND: The discrepancy between the number of admissions and the allocation
of hospital beds means that many patients admitted to hospital can be placed in
units or wards other than that which specialise in the patient's primary health
issue (home-ward). These patients are called 'outlier' patients. Risk factors and
health system outcomes of hospital care for 'outlier' patients diagnosed with
dementia and/or delirium are unknown. Therefore, the aim of this research was to
examine patient journeys of people with dementia and/or delirium diagnoses, to
identify risk factors for 'inlier' or 'outlier' status and patient or health
system outcomes (consequences) of this status. METHODS: A retrospective,
descriptive study compared patients who had dementia and/or delirium according to
the proportion of time spent on the home ward i.e. 'inliers' or 'outliers'. Data
from the patient journey database at Flinders Medical Centre (FMC), a public
hospital in South Australia from 2007 and 2014 were extracted and analysed. The
analysis was carried out on the patient journeys of people with a dementia and/or
delirium diagnosis. RESULTS: When 6367 inpatient journeys with dementia and/or
delirium within FMC were examined, the Emergency Department (ED) Length of Stay
(LOS) after being admitted as inpatient was prolonged for 'outlier' patients
compared to 'inlier' patients (OR: 1.068, 95% CI: 1.057-1.079, p = 0.000).
However, the inpatient LOS for'outlier' patients was only marginally shorter than
that of the 'inlier' patients (OR: 0.998, 95% CI: 0.998-0.998, p = 0.000). The
chances of dying within 48 h of admission increased for 'outlier' patients (OR:
1.973, 95% CI: 1.158-3.359, p = 0.012) and their Charlson co-morbidity Index was
higher (OR: 1.059, 95% CI: 1.021-1.10, p = 0.002). Completion of discharge
summaries within 2 days post-discharge for 'outlier' patients was compromised
(OR: 1.754, 95% CI: 1.492-2.061, p = 0.000).Additionally, 'outlier' patients were
more likely to be discharged to another hospital for other care types not offered
at FMC (OR: 1.931, 95% CI: 1.559-2.391, p = 0.000). CONCLUSION: An examination of
the patient journeys at FMC has determined that the health system outcomes for
patients with dementia and/or delirium who are admitted outside of their home
ward are affected by in-hospital location despite the homogenous nature of the
study population.
PMID- 27881091
TI - Conducting a large, multi-site survey about patients' views on broad consent:
challenges and solutions.
AB - BACKGROUND: As biobanks play an increasing role in the genomic research that will
lead to precision medicine, input from diverse and large populations of patients
in a variety of health care settings will be important in order to successfully
carry out such studies. One important topic is participants' views towards
consent and data sharing, especially since the 2011 Advanced Notice of Proposed
Rulemaking (ANPRM), and subsequently the 2015 Notice of Proposed Rulemaking
(NPRM) were issued by the Department of Health and Human Services (HHS) and
Office of Science and Technology Policy (OSTP). These notices required that
participants consent to research uses of their de-identified tissue samples and
most clinical data, and allowing such consent be obtained in a one-time, open
ended or "broad" fashion. Conducting a survey across multiple sites provides
clear advantages to either a single site survey or using a large online database,
and is a potentially powerful way of understanding the views of diverse
populations on this topic. METHODS: A workgroup of the Electronic Medical Records
and Genomics (eMERGE) Network, a national consortium of 9 sites (13 separate
institutions, 11 clinical centers) supported by the National Human Genome
Research Institute (NHGRI) that combines DNA biorepositories with electronic
medical record (EMR) systems for large-scale genetic research, conducted a survey
to understand patients' views on consent, sample and data sharing for future
research, biobank governance, data protection, and return of research results.
RESULTS: Working across 9 sites to design and conduct a national survey presented
challenges in organization, meeting human subjects guidelines at each
institution, and survey development and implementation. The challenges were met
through a committee structure to address each aspect of the project with
representatives from all sites. Each committee's output was integrated into the
overall survey plan. A number of site-specific issues were successfully managed
allowing the survey to be developed and implemented uniformly across 11 clinical
centers. CONCLUSIONS: Conducting a survey across a number of institutions with
different cultures and practices is a methodological and logistical challenge.
With a clear infrastructure, collaborative attitudes, excellent lines of
communication, and the right expertise, this can be accomplished successfully.
PMID- 27881094
TI - Neutrophil-lymphocyte ratio is associated with arterial stiffness in patients
with peritoneal dialysis.
AB - BACKGROUND: Patients with peritoneal dialysis are in the persistent inflammation
state and have elevated arterial stiffness. Neutrophil-lymphocyte ratio(NLR) is a
new inflammatory marker in renal and cardiac disorders. Brachial-ankle pulse wave
velocity (baPWV) is a non-invasive measurement, which is widely used as a
surrogate marker of arterial stiffness. However, there is little evidence to show
an association between NLR and baPWV in patients with peritoneal dialysis. The
aim of this cross-section study was to investigate the relationship between NLR
and arterial stiffness measured by baPWV in patients with peritoneal dialysis.
METHODS: In this cross-section study, 101 patients with peritoneal dialysis were
enrolled from January 2014 to June 2015. According to average baPWV level
(1847.54 cm/s), the patients were categorized into two groups, low group and high
group. baPWV, which reflects arterial stiffness, was calculated using the single
point method. Clinical data were collected in details. NLR was calculated using
complete blood count. Associations between NLR and baPWV were assessed using
Pearson's correlation and linear regression analysis. RESULTS: The NLR was
significantly lower in the low baPWV group than in the high baPWV group (p =
0.03). There were positive correlations between baPWV and neutrophil count (r =
0.24, p = 0.01) and NRL(r = 0.43, P < 0.01), and there was a negative correlation
between baPWV and lymphocyte count (r = -0.23, p = 0.01). In addition, albumin,
phosphorous and intact parathyroid hormone showed negative correlations with
baPWV (r = -0.32, p < 0.01; r = -0.28, p < 0.01; r = -0.25, p = 0.01,
respectively). Age and hsCRP showed positive correlations with baPWV (r = 0.47, p
< 0.01; r = 0.25, p = 0.01). In multivariate analysis, NLR independently
correlated with baPWV in patients with peritoneal dialysis (beta = 0.33, p <
0.01), even after adjustment for various confounders. CONCLUSION: Our study
suggests that NLR was an independently associated with arterial stiffness in
patients with peritoneal dialysis. However, further prospective studies are
needed to confirm cause-and-effect relationship between NLR and baPWV, and to
investigate whether anti-inflammatory treatment could improve arterial stiffness
in patients with peritoneal dialysis.
PMID- 27881093
TI - Continuous quality improvement in nephrology: a systematic review.
AB - BACKGROUND: Continuous quality improvement (CQI) has been successfully applied in
business and engineering for over 60 years. While using CQI techniques within
nephrology has received increased attention, little is known about where, and
with what measure of success, CQI can be attributed to improving outcomes within
nephrology care. This is particularly important as payors' focus on value-based
healthcare and reimbursement is tied to achieving quality improvement thresholds.
We conducted a systematic review of CQI applications in nephrology. METHODS:
Studies were identified from PubMed, MEDLINE, Scopus, Web of Science, CINAHL,
Google Scholar, ProQuest Dissertation Abstracts and sources of grey literature
(i.e., available in print/electronic format but not controlled by commercial
publishers) between January 1, 2004 and October 13, 2014. We developed a
systematic evaluation protocol and pre-defined criteria for review. All citations
were reviewed by two reviewers with disagreements resolved by consensus. RESULTS:
We initially identified 468 publications; 40 were excluded as duplicates or not
available/not in English. An additional 352 did not meet criteria for full review
due to: 1. Not meeting criteria for inclusion = 196 (e.g., reviews, news
articles, editorials) 2. Not nephrology-specific = 153, 3. Only available as
abstracts = 3. Of 76 publications meeting criteria for full review, the majority
[45 (61%)] focused on ESRD care. 74% explicitly stated use of specific CQI tools
in their methods. The highest number of publications in a given year occurred in
2011 with 12 (16%) articles. 89% of studies were found in biomedical and allied
health journals and most studies were performed in North America (52%). Only one
was randomized and controlled although not blinded. CONCLUSIONS: Despite calls
for healthcare reform and funding to inspire innovative research, we found few
high quality studies either rigorously evaluating the use of CQI in nephrology or
reporting best practices. More rigorous research is needed to assess the
mechanisms and attributes by which CQI impacts outcomes before there is further
promotion of its use for improvement and reimbursement purposes.
PMID- 27881095
TI - A regional consensus recommendation on brain atrophy as an outcome measure in
multiple sclerosis.
AB - BACKGROUND: Multiple sclerosis (MS) is a chronic autoimmune disease characterized
by inflammatory and neurodegenerative processes leading to irreversible
neurological impairment. Brain atrophy occurs early in the course of the disease
at a rate greater than the general population. Brain volume loss (BVL) is
associated with disability progression and cognitive impairment in patients with
MS; hence its value as a potential target in monitoring and treating MS is
discussed. METHODS: A group of MS neurologists and neuro-radiologists reviewed
the current literature on brain atrophy and discussed the challenges in assessing
and implementing brain atrophy measurements in clinical practice. The panel used
a voting system to reach a consensus and the votes were counted for the proposed
set of questions for cognitive and brain atrophy assessments. RESULTS: The panel
of experts was able to identify recent studies, which demonstrated the
correlation between BVL and future worsening of disability and cognition. The
current evidence revealed that reduction of BVL could be achieved with different
disease-modifying therapies (DMTs). BVL provided a better treatment and
monitoring strategy when it is combined to the composite measures of "no evidence
of disease activity" (NEDA). The panel recommended a set of cognitive assessment
tools and MRI methods and software applications that may help in capturing and
measuring the underlying MS pathology with high degree of specificity.
CONCLUSION: BVL was considered to be a useful measurement to longitudinally
assess disease progression and cognitive function in patients with MS. Brain
atrophy measurement was recommended to be incorporated into the concept of NEDA.
Consequently, a consensus recommendation was reached in anticipation for
implementation of the use of cognitive assessment and brain atrophy measurements
on a regional level.
PMID- 27881096
TI - A step toward essential tremor gene discovery: identification of extreme
phenotype and screening of HTRA2 and ANO3.
AB - BACKGROUND: Essential tremor (ET) is characterized by a frequent family history.
No monogenic form of ET has been identified. We aimed at exploring ET patients to
identify distinct subgroups and facilitate the identification of ET genes. We
tested for the presence of HTRA2 p.G399S, and ANO3 p. W490C, p. R484 W and p.
S685G mutations. METHODS: Between June 2011 and November 2013, all consecutive
patients suspected with ET were prospectively included in a prospective,
monocentric study. Family history, age at onset (AAO), features of tremor,
benefit of alcohol and drugs, electrophysiological recording findings were
collected. Sanger sequencing was performed for HTRA2 and ANO3 mutations
screening. RESULTS: Sixty eight patients were investigated. Fourteen diagnosed
with psychogenic (5) or dystonic tremor (9) were excluded. Regarding the 54 ET
patients, mean AAO was 48 years (6-77), and mean disease duration 15 years (1
55). Bimodal distribution of AAO was consistent with phenotypic subgroups. In
patients with AAO before 30 years, marked benefit of alcohol (p < 0.01) and ET
family history (p < 0.01) were more frequent and the disease progression less
severe (p < 0.0001). Neither HTRA2 nor ANO3 mutation were identified in our
patients. CONCLUSIONS: Our data support that distinct ET phenotypic subgroups may
be encountered. We recommend to study separately extreme phenotypes of ET,
particularly autosomal dominant families with early AAO (<30 years) and marked
benefit of alcohol, to facilitate the identification of ET genes.
Electromyographic recording remains a support to distinguish ET from differential
diagnosis. HTRA2 and ANO3 mutations are not common causes of ET.
PMID- 27881098
TI - Genomic determination of minimum multi-locus sequence typing schemas to represent
the genomic phylogeny of Mycoplasma hominis.
AB - BACKGROUND: Mycoplasma hominis is an opportunistic human pathogen, associated
with clinically diverse disease. Currently, there is no standardised method for
typing M. hominis, which would aid in understanding pathogen epidemiology and
transmission. Due to availability and costs of whole genome sequencing and the
challenges in obtaining adequate M. hominis DNA, the use of whole genome sequence
analysis to provide clinical guidance is unpractical for this bacterial species
as well as other fastidious organisms. RESULTS: This study identified pan-genome
set of 700 genes found to be present in four published reference genomes. A
subset of 417 genes was identified to be core genome for 18 isolates and 1
reference. Leave-one-out analysis of the core genes highlighted set of 48 genes
that are required to recapture the original phylogenetic relationships observed
using whole genome SNP analysis. Three 7-locus MLST schemas with high diversity
index (97%) and low dN/dS ratios (0.1, 0.13, and 0.11) were derived that could be
used to confer good discrimination between strains and could be of practical use
in future studies direct on clinical specimens. CONCLUSIONS: The genes proposed
in this study could be utilised to design a cost-effective and rapid PCR-based
MLST assay that could be applied directly to clinical isolates, without prior
isolation. This study includes additional genomic analysis revealing high levels
of genetic heterogeneity among this species. This provides a novel and evidence
based approach for the development of MLST schema that accurately represent
genomic phylogeny for use in epidemiology and transmission studies.
PMID- 27881097
TI - Ischaemic stroke and Clostridium septicum sepsis and meningitis in a patient with
occult colon carcinoma - a case report and review of the literature.
AB - BACKGROUND: Clostridium septicum is a rare cause of meningitis and brain abscess
in children and adults. Gas production by the pathogen can lead to pneumocephalus
and the overall mortality rate of Clostridium septicum CNS infection is as high
as 74%. The most common entry site of the pathogen is the gastrointestinal tract.
CASE PRESENTATION: We describe a 74-year-old man who presented with a left-sided
cerebral infarction in the middle cerebral artery territory. In addition the
patient showed signs of Systemic Inflammatory Response Syndrome and Disseminated
Intravascular Coagulation. Examination of blood cultures and cerebrospinal fluid
led to the diagnosis of sepsis and meningitis caused by Clostridium septicum.
Despite appropriate antibiotic therapy the condition of the patient deteriorated
rapidly and he died on day 2 after admission. Autopsy revealed a previously
unknown adenocarcinoma of the colon ascendens as entry site of the pathogen.
CONCLUSION: Clostridium septicum should be considered as potential pathogen in
patients with sepsis and meningitis. Gram stain morphology in conjunction with
severe sepsis can rapidly point into the direction of this pathogen. CNS
infections manifest either as meningoencephalitis/cerebritis or as brain abscess.
Entry site of the pathogen is almost uniquely the gastrointestinal tract. In
adults more than 50% suffer from colorectal carcinoma, therefore survivors of
Clostridium septicum infections should be examined for underlying occult
colorectal malignancy.
PMID- 27881099
TI - Predictors of HIV infection: a prospective HIV screening study in a Ugandan
refugee settlement.
AB - BACKGROUND: The instability faced by refugees may place them at increased risk of
exposure to HIV infection. Nakivale Refugee Settlement in southwestern Uganda
hosts 68,000 refugees from 11 countries, many with high HIV prevalence. We
implemented an HIV screening program in Nakivale and examined factors associated
with new HIV diagnosis. METHODS: From March 2013-November 2014, we offered free
HIV screening to all clients in the Nakivale Health Center while they waited for
their outpatient clinic visit. Clients included refugees and Ugandan nationals
accessing services in the settlement. Prior to receiving the HIV test result,
participants were surveyed to obtain demographic information including gender,
marital status, travel time to reach clinic, refugee status, and history of prior
HIV testing. We compared variables for HIV-infected and non-infected clients
using Pearson's chi-square test, and used multivariable binomial regression
models to identify predictors of HIV infection. RESULTS: During the HIV screening
intervention period, 330 (4%) of 7766 individuals tested were identified as HIV
infected. Refugees were one quarter as likely as Ugandan nationals to be HIV
infected (aRR 0.27 [0.21, 0.34], p < 0.0001). Additionally, being female (aRR
1.43 [1.14, 1.80], p = 0.002) and traveling more than 1 h to the clinic (aRR 1.39
[1.11, 1.74], p = 0.003) increased the likelihood of being HIV-infected. Compared
to individuals who were married or in a stable relationship, being
divorced/separated/widowed increased the risk of being HIV-infected (aRR 2.41
[1.88, 3.08], p < 0.0001), while being single reduced the risk (aRR 0.60 [0.41,
0.86], p < 0.0001). Having been previously tested for HIV (aRR 0.59 [0.47, 0.74],
p < 0.0001) also lowered the likelihood of being HIV-infected. CONCLUSIONS: In an
HIV screening program in a refugee settlement in Uganda, Ugandan nationals are at
higher risk of having HIV than refugees. The high HIV prevalence among clients
seeking outpatient care, including Ugandan nationals and refugees, warrants
enhanced HIV screening services in Nakivale and in the surrounding region.
Findings from this research may be relevant for other refugee settlements in Sub
Saharan Africa hosting populations with similar demographics, including the 9
other refugee settlements in Uganda.
PMID- 27881100
TI - High prevalence of non-steroidal anti-inflammatory drug use among acute kidney
injury survivors in the southern community cohort study.
AB - BACKGROUND: Non-steroidal anti-inflammatory drugs (NSAIDs) are widely used and
have been linked to acute kidney injury (AKI), chronic kidney disease (CKD) and
cardiovascular disease (CVD). Patients who survive an AKI episode are at risk for
future adverse kidney and cardiovascular outcomes. The objective of our study was
to examine the prevalence and predictors of NSAID use among AKI survivors.
METHODS: The Southern Community Cohort Study is a prospective study of low-income
adults aged 40-79 in the southeastern US. Through linkage with Centers for
Medicare and Medicaid Services, 826 participants with an AKI diagnosis (ICD-9
584.5-584.9) at any age prior to cohort enrollment were identified. At baseline,
data were collected on regular use of prescription and over-the-counter NSAIDs,
as well as demographic, medical and other characteristics. Additional
comorbidities were ascertained via linkage with CMS or the US Renal Data System.
RESULTS: One hundred fifty-four AKI survivors (19%) reported regular NSAID use at
cohort enrollment (52 prescription, 81 OTC, 21 both) and the percentage of NSAID
users did not vary by time since AKI event. Over 58% of users were taking NSAIDS
regularly both before and after their AKI event. Hypertension (83%), arthritis
(71%), heart failure (44%), CKD (36%) and diabetes (35%) were prevalent among
NSAID users. In a multivariable model, history of arthritis (OR: 3.00; 95% CI:
1.92, 4.68) and acetaminophen use (OR: 2.43; 95% CI: 1.50, 3.93) were
significantly associated with NSAID use, while prevalent CKD (OR: 0.63; 95% CI:
0.41, 0.98) and diabetes (OR: 0.44; 95% CI: 0.29, 0.69) were significantly
inversely associated. CONCLUSIONS: NSAID use among AKI survivors is common and
highlights the need to understand physician and patient decision-making around
NSAIDs and to develop effective strategies to reduce NSAID use in this vulnerable
population.
PMID- 27881102
TI - Associations of sedentary behavior and physical activity with physical
measurements and dyslipidemia in school-age children: a cross-sectional study.
AB - BACKGROUND: Physical activity and sedentary behavior are common factors
influencing cardiovascular health. However, how school and leisure-time
activity/sedentary behavior are associated with physical fitness and blood lipid
levels in primary school children in consideration of gender disparity remains
unclear. METHODS: Data was obtained from a health and nutrition survey on primary
school children from nine areas in China. The association between physical
activities/sedentary behaviors (school and leisure-time physical activity levels,
screen time, and other sedentary behaviors) and anthropometric
measurements/prevalence of dyslipidemia were examined by multilevel analysis (the
individual level, class level, grade level, and investigation area level)
adjusted for age, energy intake and family income. RESULTS: A total of 770
participants (average age = 9.4 +/- 1.7 years) were included. Prevalence of
dyslipidemia was 10.9%. Prevalence of dyslipidemia was associated with screen
time in boys [OR = 3.04, 95% CI (1.24-7.45)] and inversely associated with
leisure-time physical activity in boys [OR = 2.22, 95% CI (1.08-4.56)] and school
time activity in girls [OR = 5.34, 95% CI (1.18-24.16)]. CONCLUSIONS: Physical
activity-but not sedentary behavior-was significantly associated with
dyslipidemia in both genders. Increasing leisure-time physical activity for boys
and school-time physical activity for girls may be critical.
PMID- 27881101
TI - Knowledge, barriers and facilitators of exercise in dialysis patients: a
qualitative study of patients, staff and nephrologists.
AB - BACKGROUND: Despite growing evidence on benefits of increased physical activity
in hemodialysis (HD) patients and safety of intra-dialytic exercise, it is not
part of standard clinical care, resulting in a missed opportunity to improve
clinical outcomes in these patients. To develop a successful exercise program for
HD patients, it is critical to understand patients', staff and nephrologists'
knowledge, barriers, motivators and preferences for patient exercise. METHODS: In
depth interviews were conducted with a purposive sample of HD patients, staff and
nephrologists from 4 dialysis units. The data collection, analysis and
interpretation followed Criteria for Reporting Qualitative Research guidelines.
Using grounded theory, emergent themes were identified, discussed and organized
into major themes and subthemes. RESULTS: We interviewed 16 in-center HD patients
(mean age 60 years, 50% females, 63% blacks), 14 dialysis staff members (6
nurses, 3 technicians, 2 dietitians, 1 social worker, 2 unit administrators) and
6 nephrologists (50% females, 50% in private practice). Although majority of the
participants viewed exercise as beneficial for overall health, most patients
failed to recognize potential mental health benefits. Most commonly reported
barriers to exercise were dialysis-related fatigue, comorbid health conditions
and lack of motivation. Specifically for intra-dialytic exercise, participants
expressed concern over safety and type of exercise, impact on staff workload and
resistance to changing dialysis routine. One of the most important motivators
identified was support from friends, family and health care providers. Specific
recommendations for an intra-dialytic exercise program included building a
culture of exercise in the dialysis unit, and providing an individualized
engaging program that incorporates education and incentives for exercising.
CONCLUSION: Patients, staff and nephrologists perceive a number of barriers to
exercise, some of which may be modifiable. Participants desired an individualized
intra-dialytic exercise program which incorporates education and motivation, and
they provided a number of recommendations that should be considered when
implementing such a program.
PMID- 27881103
TI - Demonstration of early efficacy results of the delayed-release combination of
doxylamine-pyridoxine for the treatment of nausea and vomiting of pregnancy.
AB - BACKGROUND: Nausea and vomiting of pregnancy (NVP) affects up to 80% of expecting
mothers. In April 2013 the FDA approved the delayed-release combination of
doxylamine succinate and pyridoxine hydrochloride (Diclegis(r)) for NVP, based in
part, on the results of a phase III randomized trial demonstrating the efficacy
of this drug combination [study drug marketed under the trade name Diclectin(r)
in Canada and Diclegis(r) in the United States] compared to placebo in pregnant
women. Study drug dosing occurred for 14 days, which is substantially longer than
what has been performed in similar studies. The objective of this study was to
evaluate, through secondary analysis, whether the primary measure of efficacy can
be demonstrated after five days of treatment. METHODS: Women suffering from NVP
were randomized to receive Diclegis(r) (n = 131) or placebo (n = 125) for 14 days
at doses ranging from two to four tablets a day, based on a pre-specified
titration protocol. The primary efficacy endpoint was the change in the validated
Pregnancy-Unique Quantification of Emesis (PUQE) score at baseline versus Day 15
between Diclegis(r)-treated and placebo-treated women. For the present study, the
change in PUQE score between baseline and Day 15 (end of the study) was compared
to the changes observed for Days 3, 4, and 5. RESULTS: The use of delayed-release
doxylamine succinate and pyridoxine hydrochloride tablets show improved NVP
symptom control as compared to placebo on Days 3,4 and 5, with sustained efficacy
until the end of the trial. CONCLUSION: A four day study drug dosing trial with
Diclegis(r) is sufficient to document efficacy, as the results are similar to
those achieved after 14 study drug dosing days. The benefit seen at the earlier
time validates drug efficacy and minimizes the natural course of improvement.
TRIAL REGISTRATION: CTR No. NCT006 14445 2007.
PMID- 27881104
TI - Provider adherence to first antenatal care guidelines and risk of pregnancy
complications in public sector facilities: a Ghanaian cohort study.
AB - BACKGROUND: Guideline utilization aims at improvement in quality of care and
better health outcomes. The objective of the current study was to determine the
effect of provider complete adherence to the first antenatal care guidelines on
the risk of maternal and neonatal complications in a low resource setting.
METHODS: Women delivering in 11 health facilities in the Greater Accra region of
Ghana were recruited into a cohort study. Their first antenatal visit records
were reviewed to assess providers' adherence to the guidelines, using a thirteen
point checklist. Information on their socio-demographic characteristics and
previous pregnancy history was collected. Participants were followed up for 6
weeks post-partum to complete data collection on outcomes. The incidence of
maternal and neonatal complications was estimated. The effects of complete
adherence on risk of maternal and neonatal complications were estimated and
expressed as relative risks (RRs) with their 95% confidence intervals (CI)
adjusted for a potential clustering effect of health facilities. RESULTS:
Overall, 926 women were followed up to 6 weeks post-partum. Mean age (SD) of
participants was 28.2 (5.4) years. Complete adherence to guidelines pertained to
the care of 48.5% of women. Incidence of preterm deliveries, low birth weight,
stillbirths and neonatal mortality were 5.3, 6.1, 0.4 and 1.4% respectively.
Complete adherence to the guidelines decreased risk of any neonatal complication
[0.72 (0.65-0.93); p = 0.01] and delivery complication [0.66 (0.44-0.99), p =
0.04]. CONCLUSION: Complete provider adherence to antenatal care guidelines at
first antenatal visit influences delivery and neonatal outcomes. While there is
the need to explore and understand explanatory mechanisms for these observations,
programs that promote complete adherence to guidelines will improve the pregnancy
outcomes.
PMID- 27881105
TI - Open, trusting relationships underpin safety in rural maternity a hermeneutic
phenomenology study.
AB - BACKGROUND: There are interwoven personal, professional and organisational
relationships to be navigated in maternity in all regions. In rural regions
relationships are integral to safe maternity care. Yet there is a paucity of
research on how relationships influence safety and nurture satisfying experiences
for rural maternity care providers and mothers and families in these regions.
This paper draws attention to how these relationships matter. METHODS: This
research is informed by hermeneutic phenomenology drawing on Heidegger and
Gadamer. Thirteen participants were recruited via purposeful sampling and asked
to share their experiences of rural maternity care in recorded unstructured in
depth interviews. Participants were women and health care providers living and
working in rural regions. Recordings were transcribed and data interpretively
analysed until a plausible and trustworthy thematic pattern emerged. RESULTS:
Throughout the data the relational nature of rural living surfaced as an
interweaving tapestry of connectivity. Relationships in rural maternity are
revealed in myriad ways: for some optimal relationships, for others feeling
isolated, living with discord and professional disharmony. Professional
misunderstandings undermine relationships. Rural maternity can become
unsustainable and unsettling when relationships break down leading to unsafeness.
CONCLUSIONS: This study reveals how relationships are an important and vital
aspect to the lived-experience of rural maternity care. Relationships are founded
on mutual understanding and attuned to trust matter. These relationships are
forged over time and keep childbirth safe and enable maternity care providers to
work sustainably. Yet hidden unspoken pre-understandings of individuals and
groups build tension in relationships leading to discord. Trust builds healthy
rural communities of practice within which everyone can flourish, feel accepted,
supported and safe. This is facilitated by collaborative learning activities and
open respectful communication founded on what matters most (safe positive
childbirth) whilst appreciating and acknowledging professional and personal
differences.
PMID- 27881106
TI - A pragmatic randomised multi-centre trial of multifamily and single family
therapy for adolescent anorexia nervosa.
AB - BACKGROUND: Considerable progress has been made in recent years in developing
effective treatments for child and adolescent anorexia nervosa, with a general
consensus in the field that eating disorders focussed family therapy (often
referred to as Maudsley Family Therapy or Family Based Treatment) currently
offers the most promising outcomes. Nevertheless, a significant number do not
respond well and additional treatment developments are needed to improve
outcomes. Multifamily therapy is a promising treatment that has attracted
considerable interest and we report the results of the first randomised
controlled trial of multifamily therapy for adolescent anorexia nervosa. METHODS:
The study was a pragmatic multicentre randomised controlled superiority trial
comparing two outpatient eating disorder focussed family interventions -
multifamily therapy (MFT-AN) and single family therapy (FT-AN). A total of 169
adolescents with a DSM-IV diagnosis of anorexia nervosa or eating disorder not
otherwise specified (restricting type) were randomised to the two treatments
using computer generated blocks of random sizes to ensure balanced numbers in the
trial arms. Independent assessors, blind to the allocation, completed evaluations
at baseline, 3 months, 12 months (end of treatment) and 18 months. RESULTS: Both
treatment groups showed clinically significant improvements with just under 60%
achieving a good or intermediate outcome (on the Morgan-Russell scales) at the
end of treatment in the FT-AN group and more than 75% in the MFT-AN group - a
statistically significant benefit in favour of the multifamily intervention (OR =
2.55 95%; CI 1.17, 5.52; p = 0.019). At follow-up (18 months post baseline) there
was relatively little change compared to end of treatment although the difference
in primary outcome between the treatments was no longer statistically
significant. Clinically significant gains in weight were accompanied by
improvements in mood and eating disorder psychopathology. Approximately half the
patients in FT-AN and nearly 60% of those in MFT-AN had started menstruating.
CONCLUSIONS: This study confirms previous research findings demonstrating the
effectiveness of eating disorder focused family therapy and highlights the
additional benefits of bringing together groups of families that maximises the
use of family resources and mutual support leading to improved outcomes. TRIAL
REGISTRATION: Current Controlled Trials ISRCTN11275465 ; Registered 29 January
2007 (retrospectively registered).
PMID- 27881108
TI - Study protocol for a non-inferiority trial of a blended smoking cessation
treatment versus face-to-face treatment (LiveSmokefree-Study).
AB - BACKGROUND: Smoking cessation can significantly reduce the risk of developing
smoking-related diseases. Several face-to-face and web-based treatments have
shown to be effective. Blending of web-based and face-to-face treatment is
expected to improve smoking cessation treatment. The primary objective of this
study is to compare the prolonged abstinence rate of the blended smoking
cessation treatment with the face-to-face treatment. Secondary objectives are to
assess the benefits of blended treatment in terms of cost effectiveness and
patient satisfaction, and to identify mechanisms underlying successful smoking
cessation. METHODS/DESIGN: This study will be a single-center randomized
controlled non-inferiority-trial with parallel group design. Patients (n = 344)
will be randomly assigned to either the blended or the face-to-face group. Both
treatments will consist of ten sessions with equal content held within 6 months.
In the blended treatment five out of ten sessions will be delivered online. The
treatments will cover the majority of behavior change techniques that are
evidence-based within smoking cessation counseling. All face-to-face sessions in
both treatments will take place at the outpatient smoking cessation clinic of a
hospital. The primary outcome parameter will be biochemically validated prolonged
abstinence at 15 months from the start of the smoking cessation treatment.
DISCUSSION: This RCT will be the first study to examine the effectiveness of a
blended smoking cessation treatment. It will also be the first study to explore
patient satisfaction, adherence, cost-effectiveness, and the clinically relevant
influencing factors of a blended smoking cessation treatment. The findings of
this RCT are expected to substantially strengthen the base of evidence available
to inform the development and delivery of smoking cessation treatment. TRIAL
REGISTRATION: Nederlands Trialregister NTR5113 . Registered 24 March 2015.
PMID- 27881107
TI - Risk of repeated self-harm and associated factors in children, adolescents and
young adults.
AB - BACKGROUND: Repeated self-harm represents the single strongest risk factor for
suicide. To date no study with full national coverage has examined the pattern of
hospital repeated presentations due to self-harm among young people. METHODS:
Data on consecutive self-harm presentations were obtained from the National Self
Harm Registry Ireland. Socio-demographic and behavioural characteristics of
individuals aged 10-29 years who presented with self-harm to emergency
departments in Ireland (2007-2014) were analysed. Risk of long-term repetition
was assessed using survival analysis and time differences between the order of
presentations using generalised estimating equation analysis. RESULTS: The total
sample comprised 28,700 individuals involving 42,642 presentations. Intentional
drug overdose was the most prevalent method (57.9%). Repetition of self-harm
occurred in 19.2% of individuals during the first year following a first
presentation, of whom the majority (62.7%) engaged in one repeated act. Overall,
the risk of repeated self-harm was similar between males and females. However, in
the 20-24-year-old age group males were at higher risk than females. Those who
used self-cutting were at higher risk for repetition than those who used
intentional drug overdose, particularly among females. Age was associated with
repetition only among females, in particular adolescents (15-19 years old) were
at higher risk than young emerging adults (20-24 years old). Repeated self-harm
risk increased significantly with the number of previous self-harm episodes. Time
differences between first self-harm presentations were detected. Time between
second and third presentation increased compared to time between first and second
presentation among low frequency repeaters (patients with 3 presentations only
within 1 year following a first presentation). The same time period decreased
among high frequency repeaters (patients with at least 4 to more than 30
presentations). CONCLUSION: Young people with the highest risk for repeated self
harm were 15-19-year-old females and 20-24-year-old males. Self-cutting was the
method associated with the highest risk of self-harm repetition. Time between
first self-harm presentations represents an indicator of subsequent repetition.
To prevent risk of repeated self-harm in young people, all individuals presenting
at emergency departments due to self-harm should be provided with a risk
assessment including psychosocial characteristics, history of self-harm and time
between first presentations.
PMID- 27881109
TI - Anti-tumor effects of Abnormal Savda Munziq on the transplanted cervical cancer
(U27) mouse model.
AB - BACKGROUND: Abnormal Savda Munziq (ASMq), a traditional uyghur medicine, has
shown anti-tumour properties in vitro. it was showed that total flavonoids of
ASMq could inhibit the proliferation and enhance the antioxidant ability of human
cervix cancer HeLa cell. This study attempts to confirm these effects on the
transplanted cervical cancer (U27) mouse model in vivo. METHODS: Forty eight
Kunming mice were randomly divided in to six groups: normal control group
(Control group), U27 tumor model group (Model group), cyclophosphamide
administration group (CTX group),low-dose ASMq group (ASMq.L group), medium-dose
ASMq group (ASMq.M group), and high-dose ASMq group (ASMq.H group). The five
groups except normal control group transplanted with cervical cancer (U27) cells.
We observed mice tumor inhibition rate and conducted the histopathological
analysisUsing the western blot assay, the expression of TGF-beta1 and TNF-alpha
protein in transplanted cervical cancer U27 tumor tissue were detected. RESULTS:
The tumor inhibition rates of CTX group, ASMq.L group, ASMq.M group, and ASMq.H
group were 72.21, 31.27, 60.53 and 51.94% respectively, has obvious antitumor
effect. ASMq significantly promote the spleen tlymphocyte proliferation of
transplanted cervical cancer U27 mice. Invasive growth and diffusion rate in
tumor tissue were accelerate in the transplanted cervical cancer U27 model group.
Tumor tissue necrosis of tumor cells are smaller in the medium, high dosage
group. Compared with the U27 model group, the expression levels of TGF-beta1
protein and TNF-alpha protein expression exhibited statistically significant
decreased in the mice tumor tissues in the CTX administration group and the ASMq
administration group. CONCLUSIONS: ASMq has some antitumor effects on U27 model
mice in vivo, The effects are achieved not only by improving the immune function
of U27 model mice, but also by inhibiting the expression levels of TGF-beta1
protein while promoting the expression levels of TNF-alpha protein.
PMID- 27881110
TI - Prevalence of chronic kidney disease in patients with chronic obstructive
pulmonary disease: a systematic review and meta-analysis.
AB - BACKGROUND: The incidence and prevalence of chronic kidney disease (CKD) continue
to rise worldwide. Increasing age, diabetes, hypertension, and cigarette smoking
are well-recognized risk factors for CKD. Chronic obstructive pulmonary disease
(COPD) is characterized by chronic airway inflammation leading to airway
obstruction and parenchymal lung destruction. Due to some of the common
pathogenic mechanisms, COPD has been associated with increased prevalence of CKD.
METHODS: Systematic review of medical literature reporting the incidence and
prevalence of CKD in patients with COPD using the Cochrane Collaboration
Methodology, and conduct meta-analysis to study the cumulative effect of the
eligible studies. We searched Medline via Ovid, PubMed, EMBASE and ISI Web of
Science databases from 1950 through May, 2016. We included prospective and
retrospective observational studies that reported the prevalence of CKD in
patients with COPD. RESULTS: Our search resulted in 19 eligible studies of which
9 have been included in the meta-analysis. The definition of CKD was uniform
across all the studies included in analysis. COPD was found to be associated with
CKD in the included epidemiological studies conducted in many countries. Our meta
analysis showed that COPD was found to be associated with a significantly
increased prevalence of CKD (Odds Ratio [OR] = 2.20; 95% Confidence Interval [CI]
1.83, 2.65). STUDY LIMITATIONS: Studies included are observational studies.
However, given the nature of our research question there is no possibility to
perform a randomized control trial. CONCLUSIONS: Patients with COPD have
increased odds of developing CKD. Future research should investigate the
pathophysiological mechanism behind this association, which may lead to better
outcomes.
PMID- 27881111
TI - Fluid signal changes around the knee on MRI are associated with increased volumes
of subcutaneous fat: a case-control study.
AB - BACKGROUND: Fluid in the subcutaneous fat is a common finding anterior to the
knee on MRI. This may be caused by chronic low-grade shearing injuries in
patients who are overweight. The purpose of this study was to determine if there
is a difference in the amount of subcutaneous fat around the knee between
patients with these appearances and controls. METHODS: This was a retrospective
case-control study. Following a sample size calculation on pilot data, eighteen
sequential patients demonstrating hyper-intense subcutaneous signal changes
around the knee on fat-saturated T2-weighted MRI were identified from PACS (18
females, mean age 45, range 31-62). Age and gender-matched patients without
abnormal T2 MR signal changes were selected. Two observers independently drew
regions of interest representing cross-sectional areas of bone and fat. The
location of T2 signal hyper-intense lesions was characterized by consensus.
RESULTS: Inter and intra-rater intraclass reproducibility was "excellent" (ICC >
0.8). The mean cross-sectional area of bone for patients with T2 hyper-intense
lesions was 31.79cm2 (SD 2.57) and for controls 30.11cm2 (SD 3.20) which was not
significantly different (p = 0.09). The median cross-sectional area of fat for
the study group was 62.29cm2 (IQR 57.1-66.5) and for controls was 32.77cm2 (IQR
24.8-32.3) which was significantly different (p < 0.0001). Consensus agreement
demonstrated all T2 hyper-intense lesions were anterior to the knee extensor
mechanism. CONCLUSION: Subcutaneous fluid around the knee is associated with an
increased amount of subcutaneous fat, anterior to the knee extensor mechanism.
This may be caused by shearing injuries in fat with reduced elasticity associated
with metabolic syndrome.
PMID- 27881112
TI - Photoprotective potential in some medicinal plants used to treat skin diseases in
Sri Lanka.
AB - BACKGROUND: The constant exposure to solar ultraviolet radiation (UV) has a
variety of harmful effects on human health. Although synthetic sunscreen products
have been introduced as a preventive/therapeutic strategy, with the realization
of their adverse side effects, the recent trend is to search for human friendly
alternative formulations especially of plant origin. Therefore, the present study
focuses on evaluation of photoprotective activity of aqueous extracts (1 mg/ml)
of eleven medicinal plants in Sri Lanka that have been widely employed in
traditional medicine as treatment options for various skin diseases and to
improve the complexion. METHODS: For the determination of UV filtering potential
of the extracts, UV absorption was measured and the sun protection factor (SPF)
was calculated according the Mansur equation. The antioxidant activity was
evaluated by DPPH and ABTS assays. RESULTS: Among the extracts, Atalantia
ceylanica, Hibiscus furcatus, Leucas zeylanica, Mollugo cerviana, Olax zeylanica
and Ophiorrhiza mungos have displayed SPF value >= 25, which are even higher than
two commercial photoprotective creams used as reference compounds. L. zeylanica
and O. mungos have displayed a high UV absorbance in 260-350 nm range indicating
their potential of being broad spectrum sunscreens. In addition, the extract of
O. mungos was found to be photostable, without any significant reduction in the
SPF after exposure to direct solar radiation for 21 days. DPPH assay and the ABTS
assay revealed that the extracts possess high antioxidant activity. CONCLUSION:
The results of the present study suggest that the presence of secondary
metabolites with antioxidant property could be responsible for the high UV
absorbance. Our findings would offer an exciting avenue for further research
towards the development of herbal cosmetics.
PMID- 27881113
TI - Psychotherapy integration under scrutiny: investigating the impact of integrating
emotion-focused components into a CBT-based approach: a study protocol of a
randomized controlled trial.
AB - BACKGROUND: This currently recruiting randomized controlled trial investigates
the effects of integrating components of Emotion-Focused Therapy (EFT) into
Psychological Therapy (PT), an integrative form of cognitive-behavioral therapy
in a manner that is directly mirroring common integrative practice in the sense
of assimilative integration. Aims of the study are to understand how both, an
existing therapy approach as well as the elements to be integrated, are affected
by the integration and to clarify the role of emotional processing as a mediator
of therapy outcome. METHODS: A total of 130 adults with a diagnosed unipolar
depressive, anxiety or adjustment disorder (seeking treatment at a psychotherapy
outpatient clinic) are randomized to either treatment as usual (PT) with
integrated emotion-focused components (TAU + EFT) or PT (TAU). Primary outcome
variables are psychopathology and symptom severity at the end of therapy and at
follow up; secondary outcome variables are interpersonal problems, psychological
wellbeing, quality of life, attainment of individual therapy goals, and emotional
competency. Furthermore, process variables such as the quality of the therapeutic
relationship are studied as well as aptitude-treatment interactions. Variables
are assessed at baseline, after 8 and 16 sessions, at the end of therapy, after
25 +/- 3 sessions, and at 6, 12 and 36 month follow-up. Underlying mechanisms of
change are investigated. Statistical analyses will be conducted using the
appropriate multilevel approaches, mainly two-level regression and growth
analysis. DISCUSSION: The results of this study will indicate whether the
integration of emotion-focused elements into treatment as usual increases the
effectiveness of Psychological Therapy. If advantages are found, which may be
limited to particular variables or subgroups of patients, recommendations for a
systematic integration, and caveats if also disadvantages are detected, can be
formulated. On a more abstract level, a cognitive behavioral (represented by PT)
and humanistic/experiential (represented by EFT) approach will be integrated. It
must be emphasized that mimicking common practice in the development and
continued education of psychotherapists, EFT is not integrated as a whole, but
only elements of EFT that are considered particularly important, and can be
trained in an 8-day training plus supervision of therapies. TRIAL REGISTRATION:
ClinicalTrials.gov, NCT02822443 , 22 June 2016, retrospectively registered.
PMID- 27881114
TI - Hypersensitivity reactions to metal implants: laboratory options.
AB - BACKGROUND: All implant compounds undergo an electrochemical process when in
contact with biological fluids, as well as mechanical corrosion due to abrasive
wear, with production of metal debris that may inhibit repair processes. None of
the commonly-used methods can diagnose implant allergies when used singly,
therefore a panel of tests should be performed on allergic patients as pre
operative screening, or when a postoperative metal sensitisation is suspected.
METHODS: We analysed patients with painful prostheses and subjects prone to
allergies using the Patch Test in comparison with the Lymphocyte Transformation
Test. Cytokine production was evaluated to identify prognostic markers for early
diagnosis of aseptic loosening. Metal debris endocytosis and cytoskeletal
rearrangement was visualised by confocal microscopy. RESULTS: Our results
demonstrate that the Lymphocyte Transformation Test can identify patients who
have a predisposition to develop allergic reactions and can confirm the diagnosis
of hypersensitivity in patients with painful prostheses. The prevalence of a Th2
cytokine pattern may be used to identify predisposition to the development of
allergic diseases, while the selective presence of osteoclastogenic cytokines may
be used as predictor of a negative outcome in patients with painful prosthesis.
The hypothesis of the prognostic value of these cytokines as early markers of
aseptic loosening is attractive, but its confirmation would require extensive
testing. CONCLUSIONS: The Lymphocyte Transformation Test is the most suitable
method for testing systemic allergies. We suggest that the combined use of the
Patch Test and the Lymphocyte Transformation Test, associated with cytokine
detection in selected patients, could provide a useful tool for preventive
evaluation of immune reactivity in patients undergoing primary joint replacement
surgery, and for clinical monitoring of the possible onset of a metal
sensitization in patients with implanted devices.
PMID- 27881115
TI - Intestinal polyparasitism with special emphasis to soil-transmitted helminths
among residents around Gilgel Gibe Dam, Southwest Ethiopia: a community based
survey.
AB - BACKGROUND: One third of the world population is estimated to be infected with
intestinal parasites. The most affected people are children and the poor people
living in tropics and subtropics. Polyparasitism (the concurrent infection with
multiple intestinal parasite species) is found to be the norm among the same
population although accurate estimate of its magnitude is unknown. It was found
that polyparasitism might have a greater impact on morbidity than single species
infection which might also increase susceptibility to other infections.
Therefore, this study aimed at determining the prevalence and distribution of
intestinal polyparasitism with special emphasis on Soil-Transmitted Helminths
(STH) among residents around Gilgel Gibe dam located in Jimma zone of Oromia
regional state, Ethiopia. METHODS: A total of 1,021 participants were recruited
in this study and provided stool samples for parasitological examination. Direct
wet mount and Kato-Katz techniques were employed for stool examination. Pearson
chi-square test was employed to assess the association of infection status and
polyparasitism with gender and age group of the study participants. RESULTS: Five
hundred thirty two individuals were infected with at least one parasite,
providing the overall prevalence of 52.1%. Among positive individuals, 405
(76.1%), 114 (21.4%), and 13 (2.5%) individuals were infected with only one, two
and three species of parasites, respectively. The overall prevalence of
intestinal polyparasitism observed among the study participants was 12.4%
(127/1,021). The predominant STH was hookworm, with a prevalence of 44.1%.
Hookworm and Ascaris lumbricoides were the most frequently recorded combination
in cases of polyparasitic infection. The study revealed that there was no
significant difference in the distribution of polyparasitism with regard to age
group and sex of the study participants (p > 0.05). CONCLUSION: The study
indicated the presence of high prevalence of parasites as well as distribution of
polyparasitism in the area. Moreover, the detection of Schistosoma mansoni in the
community living within close proximity of the newly constructed dam would be
taken as an indication of future risk factor. Further investigation on the
predictors of polyparasitism and the assessment of effects of polyparasitism on
the population are needed. Finally, there is a need to undertake integrated
control strategies which involve improved sanitation, health education and
chemotherapy that targets the whole community instead of only certain segments of
populations.
PMID- 27881116
TI - Concurrent agreement between an anthropometric model to predict thigh volume and
dual-energy X-Ray absorptiometry assessment in female volleyball players aged 14
18 years.
AB - BACKGROUND: A variety of performance outputs are strongly determined by lower
limbs volume and composition in children and adolescents. The current study aimed
to examine the validity of thigh volume (TV) estimated by anthropometry in late
adolescent female volleyball players. Dual-energy X-ray absorptiometry (DXA)
measures were used as the reference method. METHODS: Total and regional body
composition was assessed with a Lunar DPX NT/Pro/MD+/Duo/Bravo scanner in a cross
sectional sample of 42 Portuguese female volleyball players aged 14-18 years
(165.2 +/- 0.9 cm; 61.1 +/- 1.4 kg). TV was estimated with the reference method
(TV-DXA) and with the anthropometric method (TV-ANTH). Agreement between
procedures was assessed with Deming regression. The analysis also considered a
calibration of the anthropometric approach. RESULTS: The equation that best
predicted TV-DXA was: -0.899 + 0.876 * log10 (body mass) + 0.113 * log10 (TV
ANTH). This new model (NM) was validated using the predicted residual sum of
squares (PRESS) method (R2PRESS = 0.838). Correlation between the reference
method and the NM was 0.934 (95%CI: 0.880-0.964, Sy?x = 0.325 L). CONCLUSIONS: A
new and accurate anthropometric method to estimate TV in adolescent female
volleyball players was obtained from the equation of Jones and Pearson alongside
with adjustments for body mass.
PMID- 27881117
TI - Novel prokaryotic expression of thioredoxin-fused insulinoma associated protein
tyrosine phosphatase 2 (IA-2), its characterization and immunodiagnostic
application.
AB - BACKGROUND: The insulinoma associated protein tyrosine phosphatase 2 (IA-2) is
one of the immunodominant autoantigens involved in the autoimmune attack to the
beta-cell in Type 1 Diabetes Mellitus. In this work we have developed a complete
and original process for the production and recovery of the properly folded
intracellular domain of IA-2 fused to thioredoxin (TrxIA-2ic) in Escherichia coli
GI698 and GI724 strains. We have also carried out the biochemical and
immunochemical characterization of TrxIA-2icand design variants of non
radiometric immunoassays for the efficient detection of IA-2 autoantibodies (IA
2A). RESULTS: The main findings can be summarized in the following statements: i)
TrxIA-2ic expression after 3 h of induction on GI724 strain yielded ~ 10 mg of
highly pure TrxIA-2ic/L of culture medium by a single step purification by
affinity chromatography, ii) the molecular weight of TrxIA-2ic (55,358 Da) could
be estimated by SDS-PAGE, size exclusion chromatography and mass spectrometry,
iii) TrxIA-2ic was properly identified by western blot and mass spectrometric
analysis of proteolytic digestions (63.25 % total coverage), iv) excellent
immunochemical behavior of properly folded full TrxIA-2ic was legitimized by
inhibition or displacement of [35S]IA-2 binding from IA-2A present in Argentinian
Type 1 Diabetic patients, v) great stability over time was found under proper
storage conditions and vi) low cost and environmentally harmless ELISA methods
for IA-2A assessment were developed, with colorimetric or chemiluminescent
detection. CONCLUSIONS: E. coli GI724 strain emerged as a handy source of
recombinant IA-2ic, achieving high levels of expression as a thioredoxin fusion
protein, adequately validated and applicable to the development of innovative and
cost-effective immunoassays for IA-2A detection in most laboratories.
PMID- 27881118
TI - Electrolyte disorders and aging: risk factors for delirium in patients undergoing
orthopedic surgeries.
AB - BACKGROUND: At present, the exact mechanism of postoperative delirium has not
been elucidated. The purpose of this study was to analyze the incidence of
delirium in patients undergoing orthopedic surgeries and to explore possible
related factors. METHODS: This is a retrospective study. We used 582 patients who
had undergone orthopedic surgery between January 2011 and December 2014. The
surgeries consisted of 155 cases of internal fixation for intertrochanteric
fracture (IFIF), 128 cases of femoral head replacement (FHR), 169 cases of total
hip arthroplasty (THA) and 130 cases of total knee arthroplasty (TKA). Among the
582 patients, 75 developed postoperative delirium (an incidence of 12.9%). The
demographics of the patients, which included age, gender, operation duration and
blood loss, were statistically analyzed with univariate logistic regression
analysis and then multivariate logistic regression. To investigate the influences
of different electrolytes disorders for postoperative delirium, the Chi-square
test was used. RESULTS: Multivariate logistic regression analysis indicated that
postoperative delirium incidence in patients aged 70-79 years and in patients
aged >=80 years was higher than that in patients aged <70 years, odds ratio (OR)
values were 6.33 and 26.37, respectively. In addition, the incidence of
postoperative delirium in the group of patients with electrolyte disorders was
higher than that in the normal group (OR, 2.38). There were statistically
significant differences between the delirium group and the non-delirium group in
the incidences of the sodium and calcium disorders. CONCLUSIONS: Aging and
postoperative electrolyte disorders (hyponatremia and hypocalcemia) are risk
factors for postoperative delirium in patients undergoing orthopedic surgeries.
PMID- 27881119
TI - Antibacterial and antifungal potentials of the solvents extracts from Eryngium
caeruleum, Notholirion thomsonianum and Allium consanguineum.
AB - BACKGROUND: Herbal medicines have long been used for various ailments in various
societies and natural bioactive compounds are gaining more and more importance
due to various factors. In this context, three plant species i.e., Eryngium
caeruleum, Notholirion thomsonianum and Allium consanguineum have been aimed for
the scientific verification of their purported traditional uses against various
infectious diseases. METHODS: In this study, three plants were assayed for
antibacterial and antifungal potentials. The antibacterial investigations were
performed via well diffusion method and nutrient broth dilution method. The
bacterial strains used in the study were Enterococcus faecalis, Proteus
mirabilis, Escherichia coli, Salmonella typhi, Klebsiella pneumonia and
Pseudomonas aeruginosa. The antifungal potential was investigated by dilution
method of Muller-Hinton agar media of the plants' samples. The fungal strains
used were Aspergillis fumigatus, Aspergillis flavus and Aspergillis niger.
Ceftriaxone and nystatin were used as standard drugs in antibacterial and
antifungal assays respectively. RESULTS: Different fractions from N. thomsonianum
were tested against five bacterial strains while the samples from A.
consanguineum and E. caeruleum were tested against six bacterial strains. All the
samples exhibited prominent antibacterial activity against the tested strains.
Overall, chloroform and ethyl acetate fractions were found most potent among the
three plants' samples. N. thomsonianum excelled among the three plants in
antibacterial activity. Similarly, in antifungal assay, N. thomsonianum exhibited
strong antifungal activity against the fungal strains. The chloroform fraction
displayed MFCs of 175.67 +/- 5.20***, 29.33 +/- 5.48*** and 63.00 +/- 4.93***
MUg/ml against Aspergillus fumigatus, Aspergillus flavus and Aspergillus niger
respectively. The whole study demonstrates that all the three plant species were
active against tested bacterial and fungal strains. CONCLUSION: It can be
concluded from our findings that N. thomsonianum, A. consanguineum and E.
caeruleum have broad antibacterial and antifungal potentials. In all of the
plants' samples, chloroform and ethyl acetate fractions were more active.
Furthermore, being the potent samples, the chloroform and ethyl acetate fractions
of these plants can be subjected to column chromatography for the isolation of
more effective antimicrobial drugs.
PMID- 27881121
TI - Knowledge is not enough to solve the problems - The role of diagnostic knowledge
in clinical reasoning activities.
AB - BACKGROUND: Clinical reasoning is a key competence in medicine. There is a lack
of knowledge, how non-experts like medical students solve clinical problems. It
is known that they have difficulties applying conceptual knowledge to clinical
cases, that they lack metacognitive awareness and that higher level cognitive
actions correlate with diagnostic accuracy. However, the role of conceptual,
strategic, conditional, and metacognitive knowledge for clinical reasoning is
unknown. METHODS: Medical students (n = 21) were exposed to three different
clinical cases and instructed to use the think-aloud method. The recorded
sessions were transcribed and coded with regards to the four different categories
of diagnostic knowledge (see above). The transcripts were coded using the
frequencies and time-coding of the categories of knowledge. The relationship
between the coded data and accuracy of diagnosis was investigated with
inferential statistical methods. RESULTS: The use of metacognitive knowledge is
correlated with application of conceptual, but not with conditional and strategic
knowledge. Furthermore, conceptual and strategic knowledge application is
associated with longer time on task. However, in contrast to cognitive action
levels the use of different categories of diagnostic knowledge was not associated
with better diagnostic accuracy. CONCLUSIONS: The longer case work and the more
intense application of conceptual knowledge in individuals with high
metacognitive activity may hint towards reduced premature closure as one of the
major cognitive causes of errors in medicine. Additionally, for correct case
solution the cognitive actions seem to be more important than the diagnostic
knowledge categories.
PMID- 27881120
TI - A scoping review of medical professionalism research published in the Chinese
language.
AB - BACKGROUND: The Chinese Medical Doctors Association (CMDA) adopted the Charter of
Medical Professionalism in the New Millennium (Charter) and published the Chinese
Medical Doctor Declaration (Declaration). This is an important step to re
building medical professionalism in China at a time when the commercialization of
health care has led to a decline in physician accountability and public trust in
the profession. In response, authors have begun to examine and promote medical
professionalism in China. This study aims to present the key research themes,
identify research gaps and offer recommendations from reviewing the increasing
pool of Chinese-language literature on medical professionalism. METHODS: A
scoping review of Chinese language papers was conducted using the China National
Knowledge Infrastructure (including China Academic Journals Full-text Database,
China Doctoral Dissertations Full-text Database, Masters' Theses Full-text
Database, China Core Newspapers Full-text Database, and China Yearbooks Full-text
Database) (CNKI) database. RESULTS: Four major research themes were identified in
Chinese discourse: (1) teaching professionalism, (2) practicing professionalism,
(3) conceptualizing professionalism and (4) assessing professionalism. Overall,
authors were concerned with the cultivation of humanism in physicians and
emphasized the importance of communication skills to improve the physician
patient relationship in China. They explored the role of traditional Chinese
values, such as Confucian and Taoist values, as well as the Communist Party's
political values, in promoting professional behaviour. CONCLUSIONS: Authors
demonstrate increasing interest in medical professionalism in China. The
literature is of variable quality and further empirical studies are required in
order to evaluate teaching interventions and guide professionalism assessment. A
common professionalism framework is absent and could be developed with
consideration to China's socio-cultural context.
PMID- 27881122
TI - Using WeChat official accounts to improve malaria health literacy among Chinese
expatriates in Niger: an intervention study.
AB - BACKGROUND: Malaria is the main health risk for Chinese expatriates working in
Niger. Health education is a recommended intervention for prevention of malaria
among non-immune travellers and expatriate workers. It is urgent to develop an
effective and feasible way for these populations to obtain information about the
prevention and treatment of malaria. METHODS: An individually randomized,
unblinded, controlled trial was used to evaluate the effectiveness of using
WeChat official accounts for health education to improve malaria health literacy
among Chinese expatriates in Niger. A total 1441 participants completed a
baseline malaria health literacy questionnaire and were randomly assigned to an
intervention or comparison group in a ratio of 1:1. From July to October 2014, 50
malaria prevention and treatment messages were sent to the intervention group; 50
health news messages were concurrently sent to the control group. Both groups
completed the malaria health literacy questionnaire again 4 months after the
start of the education intervention. A questionnaire addressing satisfaction with
the health education programme was completed by the intervention group. Malaria
morbidity data for 2013 and 2014 were also collected. RESULTS: At baseline,
participant health literacy rates were 58.29, 62, 54, and 34% for skills,
knowledge, practice, and attitude, respectively. After the intervention, rates
for all four aspects of malaria literacy were above 70%. There was greater change
in knowledge, attitude, practice, skills, and overall health literacy among the
intervention group compared with the controls, with a statistically significant
difference (p < 0.01). This was especially true for acquisition of malaria
related knowledge, practice and attitude; comprehensive intervention practices;
and, correct use of rapid diagnostic tests (p < 0.001). The reported malaria
morbidity during the study period decreased from 23.72 to 15.40%. Participants
reported high levels of satisfaction with the WeChat health education programme
with over 80% stating that they would continue to follow the programme.
CONCLUSIONS: The present health education intervention, via a WeChat official
account, for the prevention and treatment of malaria among non-immune travellers
and expatriate workers proved to be an effective, sustainable, feasible, and well
accepted strategy for improving malaria health literacy among Chinese expatriates
in Niger.
PMID- 27881123
TI - Reflective practice and its role in facilitating creative responses to dilemmas
within clinical communication - a qualitative analysis.
AB - BACKGROUND: Good communication is a major factor in delivering high quality in
care. Research indicates that current communication skills training alone might
not sufficiently enable students to find context-specific creative solutions to
individual complex personal and interpersonal challenges in the clinical context.
This study explores medical students' experiences with real communication
dilemmas in a facilitated group setting. The aims were to gain a better
understanding of whether and, if so, how reflective practice can enhance
students' ability to find creative individual solutions in difficult
communication situations and to identify factors within the reflective setting
that foster their creative competency. METHODS: Thematic content analysis was
used to perform a secondary analysis of semi-structured interview data from a
qualitative evaluation of a group reflective practice training for final-year
medical students. The categories that arose from the iterative deductive
inductive approach were analyzed in light of current scientific understandings of
creativity. RESULTS: Reflection on real difficult clinical communication
situations appears to increase medical students' ability to handle such
situations creatively. Although group reflection on clinical dilemmas involving
personal aspects can stir up emotions, participating students stated they had
learned a cognitive process tool that enhanced their communicative competence in
clinical practice. They also described changes in personal attitudes: they felt
more able to persevere and to tolerate ambiguity, described themselves more open
and self-efficient in such complex clinical communication situations and thus
more motivated. Furthermore, they reported on factors that were essential in this
process, such as reflection on current and real challenges, a group format with a
trainer. CONCLUSIONS: Reflective practice providing a cognitive process tool and
using real clinical challenges and trainer support in communication education may
provide learners with the skills and attitudes to develop creativity in practice.
Implementing reflection training in clinical communication education may increase
students' overall communicative competency.
PMID- 27881124
TI - Effects of variable practice on the motor learning outcomes in manual wheelchair
propulsion.
AB - BACKGROUND: Handrim wheelchair propulsion is a cyclic skill that needs to be
learned during rehabilitation. It has been suggested that more variability in
propulsion technique benefits the motor learning process of wheelchair
propulsion. The purpose of this study was to determine the influence of variable
practice on the motor learning outcomes of wheelchair propulsion in able-bodied
participants. Variable practice was introduced in the form of wheelchair
basketball practice and wheelchair-skill practice. Motor learning was
operationalized as improvements in mechanical efficiency and propulsion
technique. METHODS: Eleven Participants in the variable practice group and 12
participants in the control group performed an identical pre-test and a post
test. Pre- and post-test were performed in a wheelchair on a motor-driven
treadmill (1.11 m/s) at a relative power output of 0.23 W/kg. Energy consumption
and the propulsion technique variables with their respective coefficient of
variation were calculated. Between the pre- and the post-test the variable
practice group received 7 practice sessions. During the practice sessions
participants performed one-hour of variable practice, consisting of five
wheelchair-skill tasks and a 30 min wheelchair basketball game. The control group
did not receive any practice between the pre- and the post-test. RESULTS:
Comparison of the pre- and the post-test showed that the variable practice group
significantly improved the mechanical efficiency (4.5 +/- 0.6% -> 5.7 +/- 0.7%)
in contrast to the control group (4.5 +/- 0.6% -> 4.4 +/- 0.5%) (group x time
interaction effect p < 0.001).With regard to propulsion technique, both groups
significantly reduced the push frequency and increased the contact angle of the
hand with the handrim (within group, time effect). No significant group * time
interaction effects were found for propulsion technique. With regard to
propulsion variability, the variable practice group increased variability when
compared to the control group (interaction effect p < 0.001). CONCLUSIONS:
Compared to a control, variable practice, resulted in an increase in mechanical
efficiency and increased variability. Interestingly, the large relative
improvement in mechanical efficiency was concomitant with only moderate
improvements in the propulsion technique, which were similar in the control
group, suggesting that other factors besides propulsion technique contributed to
the lower energy expenditure.
PMID- 27881125
TI - Enhanced expression of the stemness-related factors OCT4, SOX15 and TWIST1 in
ectopic endometrium of endometriosis patients.
AB - BACKGROUND: Current evidence suggests that endometrial-derived stem cells,
spilled in the peritoneal cavity via retrograde menstruation, are key players in
the establishment of endometriotic lesions. The aim of this study was to
determine the presence and distribution of the stemness-related factors OCT4,
SOX15, TWIST1 and DCAMLK1 in women with and without endometriosis. METHODS:
Immunohistochemical analysis was used to determine stromal and epithelial
expression of OCT4, SOX15, TWIST1 and DCAMLK1 in endometriosis patient (EP)
endometrium (n = 69) and endometriotic tissue (n = 90) and in control endometrium
(n = 50). Quantitative Real-Time PCR of OCT4, SOX15 TWIST1 and DCAMLK1 was
performed in paired samples of EP endometrium and endometriotic tissue. Co
immunofluorescence staining was performed for OCT4 and SOX15. For statistical
analyses we used unpaired t-test, Fisher combination test and Spearman test. For
paired analyses, paired t-test and McNemar test were used. RESULTS: We detected a
significant correlation between the expression of the established stem cell
marker OCT4 and the stemness-related markers SOX15 (p < 0.001) and TWIST1 (p =
0.002) but not DCAMLK1. We showed a colocalization of SOX15 and OCT4 in
epithelial and stromal cells of endometriotic tissue by coimmunofluorescence. A
concordant expression of OCT4 and SOX15 in the same sample was observed in
epithelial cells of the endometriotic tissue (71.7%). The expression of stemness
related factors was not associated with proliferative or secretory phase of the
menstrual cycle in endometriosis patients but was found to be differentially
expressed during the menstrual cycle in the control group. Increased expression
of epithelial OCT4, SOX15 and TWIST1 was detected in endometriotic tissue
compared to EP endometrium in paired (p = 0.021, p < 0.001 and p < 0.001) and
unpaired analysis (p = 0.040, p < 0.001 and p = 0.001). CONCLUSION: Our findings
support the hypothesis that upregulation of stem cell-related factors contribute
to the establishment of endometriotic lesions. TRIAL REGISTRATION: The study was
approved by the institutional review board (545/2010 on 6th of May 2014) of the
Medical University of Vienna (
http://ethikkommission.meduniwien.ac.at/fileadmin/ethik/media/dokumente/register/
lle_2010.pdf ).
PMID- 27881126
TI - Initial evaluation of prospective cardiac triggering using photoplethysmography
signals recorded with a video camera compared to pulse oximetry and
electrocardiography at 7T MRI.
AB - BACKGROUND: Accurate synchronization between magnetic resonance imaging data
acquisition and a subject's cardiac activity ("triggering") is essential for
reducing image artifacts but conventional, contact-based methods for this task
are limited by several factors, including preparation time, patient
inconvenience, and susceptibility to signal degradation. The purpose of this work
is to evaluate the performance of a new contact-free triggering method developed
with the aim to eventually replace conventional methods in non-cardiac imaging
applications. In this study, the method's performance is evaluated in the context
of 7 Tesla non-enhanced angiography of the lower extremities. METHODS: Our main
contribution is a basic algorithm capable of estimating in real-time the phase of
the cardiac cycle from reflection photoplethysmography signals obtained from skin
color variations of the forehead recorded with a video camera. Instead of finding
the algorithm's parameters heuristically, they were optimized using videos of the
forehead as well as electrocardiography and pulse oximetry signals that were
recorded from eight healthy volunteers in and outside the scanner, with and
without active radio frequency and gradient coils. Based on the video
characteristics, synthetic signals were generated and the "best available" values
of an objective function were determined using mathematical optimization. The
performance of the proposed method with optimized algorithm parameters was
evaluated by applying it to the recorded videos and comparing the computed
triggers to those of contact-based methods. Additionally, the method was
evaluated by using its triggers for acquiring images from a healthy volunteer and
comparing the result to images obtained using pulse oximetry triggering. RESULTS:
During evaluation of the videos recorded inside the bore with active radio
frequency and gradient coils, the pulse oximeter triggers were labeled in 62.5%
as "potentially usable" for cardiac triggering, the electrocardiography triggers
in 12.5%, and the proposed method's triggers in 62.5%. Evaluation of the
angiography images demonstrated that under appropriate conditions the method is
feasible to produce an image quality comparable to pulse oximetry. CONCLUSION: We
conclude that cardiac triggering using the proposed method is technically
feasible. However, for improved reliability the signal-to-noise ratio of the
videos will have to be addressed by either replacing the camera sensor, improving
the illumination, or by use of additional signal filtering techniques.
PMID- 27881127
TI - Patient-reported outcome measures in patients with peripheral arterial disease: a
systematic review of psychometric properties.
AB - BACKGROUND: Peripheral arterial disease (PAD) is generally associated with
considerable morbidity and reduced quality of life. Patient-reported outcome
measures (PROMs) provide important information about the burden of disease and
impact of treatment in affected patients. OBJECTIVES: The objective of the review
was to identify and appraise studies reporting the psychometric evaluation of
PROMs administered to a specified population of patients with PAD with a view to
recommending suitable PROMs. METHODS: A systematic review of peer-reviewed
English language articles was undertaken to identify primary studies reporting
psychometric properties of PROMs in English-speaking patients with various stages
of PAD. Comprehensive searches were completed up until January 2015. Study
selection, data extraction and quality assessment were undertaken independently
by at least two researchers. Findings were presented as tabular and narrative
summaries based on accepted guidance. RESULTS: Psychometric evaluation of 6
generic and 7 condition-specific PROMs reported in 14 studies contributed data to
the review. The frequently reported measure was the SF-36 (n = 11 studies);
others included the Walking Impairment Questionnaire (n = 8 studies), EQ-5D (n =
5 studies) and the Vascular Quality of Life Questionnaire (n = 3 studies).
Studies included a diverse PAD population and varied in methodology, including
approach to validation of PROMs. CONCLUSIONS: Various PROMs have been validated
in patients with PAD but no study provided evidence of a full psychometric
evaluation in the patient population. Careful selection is required to identify
reliable and valid PROMs to use in clinical and research settings.
PMID- 27881128
TI - Effect of intensive insulin treatment on plasma levels of lipoprotein-associated
phospholipase A2 and secretory phospholipase A2 in patients with newly diagnosed
type 2 diabetes.
AB - BACKGROUND: China has the highest absolute disease burden of diabetes worldwide.
For diabetic patients, diabetes-related vascular complications are major causes
of morbidity and mortality. The roles of lipoprotein-associated phospholipase A2
(Lp-PLA2) and secretory phospholipase A2 (sPLA2) as inflammatory markers have
been recently evaluated in the pathogenesis of both diabetes and atherosclerosis.
We aimed to determine the mechanism through which patients with newly diagnosed
type 2 diabetes gain long-term vascular benefit from intensive insulin therapy by
evaluating the change in Lp-PLA2 and sPLA2 levels after early intensive insulin
treatment and its relevance with insulin resistance and pancreatic beta-cell
function. METHODS: In total, 90 patients with newly diagnosed type 2 diabetes
mellitus were enrolled. All patients received continuous subcutaneous insulin
infusion (CSII) for approximately 2 weeks. Intravenous glucose-tolerance test
(IVGTT) and oral glucose-tolerance test (OGTT) were performed, and plasma
concentrations of Lp-PLA2 and sPLA2 were measured before and after CSII. RESULTS:
Levels of Lp-PLA2 and sPLA2 were significantly higher in diabetic patients with
macroangiopathy than in those without (P < 0.05). After CSII, the sPLA2 level
decreased significantly in all diabetic patients (P < 0.05), while the Lp-PLA2
level changed only in those with macroangiopathy (P < 0.05). The area under the
curve of insulin in IVGTT and OGTT, the acute insulin response (AIR3-5), early
phase of insulin secretion (DeltaIns30/DeltaG30), modified beta-cell function
index, and homeostatic model assessment for beta-cell function (HOMA-beta)
increased after treatment even when adjusted for the influence of insulin
resistance (IR; P < 0.001). The HOMA-IR was lower after treatment, and the three
other indicators adopted to estimate insulin sensitivity (ISIced, IAI, and
QUICKI) were higher after treatment (P < 0.05). Correlation analysis showed that
the decrease in the Lp-PLA2 and sPLA2 levels was positively correlated with a
reduction in HOMA-IR after CSII (P < 0.05). Additionally, multiple linear
regression analysis showed that Lp-PLA2 and sPLA2 independently correlated with
HOMA-IR (P < 0.05). CONCLUSIONS: Lp-PLA2 and sPLA2 are closely related to insulin
resistance and macroangiopathy in diabetic patients. Intensive insulin therapy
might help improve IR and protect against diabetic macroangiopathy by influencing
the Lp-PLA2 and sPLA2 levels. TRIAL REGISTRATION: ChiCTR-TRC-10001618 2010
September 16.
PMID- 27881130
TI - Electronic reminders to facilitate longitudinal care: a mixed-methods study in
general practices.
AB - BACKGROUND: Longitudinal, patient-centered care represents a challenge for
general practitioners (GPs), and in this context, reminder systems can offer
targeted support. This study aimed to identify details of such reminders: (1)
contents of care addressed, (2) their mode of display in the electronic health
record (EHR), (3) their visual appearance, (4) personnel responsibilities for
editing and applying reminders, and (5) use of reminders for patient recall.
METHODS: This mixed-methods study comprised (1) a cross-sectional survey among
185 GP practices from a German university network, and (2) structured
observations of reminder utilization in six practices based on a clinical
vignette describing a multimorbid senior with 26 care needs. Descriptive
statistics were performed for survey data. The practice observations were
analyzed by portraying different types of reminders. RESULTS: Seventy-three of
185 practices completed the survey (39.5%): 98.6% reported using reminders in the
EHR. Frequent care contents addressed were allergies/adverse drug events (95.8%),
preventive measures (93.1%), participation in disease management programs
(87.5%), chronic diseases (75.0%), and upcoming vaccinations (68.1%). Practice
observations showed a variety of mainly self-configured reminders. In a patients'
EHR, information was displayed (1) compiled in a separate field, (2) scattered
throughout the EHR, and/or (3) in a pop-up window. The visual appearance of
electronic reminders varied: (1) colored fields with short text, (2) EHR entries
and/or billing codes in pre-defined colors, (3) abbreviations within the
treatment documentation, (4) symbols within the treatment documentation, (5)
symbols linked to free text fields, and (6) traffic light schemes. Five practices
self-designed reminders 'as needed'; one practice applied an EHR-embedded, pre
defined reminder system. Practices used reminders for a mean of 13.3 of the 26
aspects of care detailed in the clinical vignette (range: 9-21; standard
deviation (SD): 4.3). Practices needed 20-35 min (mean: 27.5; SD: 6.1) to
retrieve the information requested. CONCLUSIONS: Most GP practices use self
designed, visual reminders for some aspects of care, yet data-based,
sophisticated solutions are needed to improve longitudinal care. TRIAL
REGISTRATION: German Clinical Trials Register, unique identifying number:
DRKS00008777 (date of registration: 06/19/2015).
PMID- 27881129
TI - Impact of dipeptidyl peptidase-4 inhibitors on serum adiponectin: a meta
analysis.
AB - BACKGROUND: Adiponectin, an adipose-specific protein, is negatively correlated
with pro-atherogenic low-density lipoprotein cholesterol (LDL-C) and other
cardiovascular risk factors such as insulin resistance. Therefore, low levels of
adiponectin are associated with a higher risk for diabetes and cardiovascular
disease. Dipeptidyl peptidase-4 inhibitors (DPP4i) have been used for the
treatment of type 2 diabetes mellitus (T2DM) as reversible inhibitors through
interacting with DPP4 substrate and increase serum incretins such as glucagon
like peptide-1 (GLP-1). The present study aimed to evaluate the effect of DPP4i
on serum adiponectin in T2DM patients. METHODS: The PubMed, Embase, and Cochrane
library databases were searched from inception to February 2016. Randomized
controlled trials, evaluating the DPP4i (sitagliptin and vildagliptin) versus
comparator (placebo or active-comparison), in T2DM patients with duration of >=
12 weeks, were identified. Weighted differences in means of adiponectin levels
were calculated by using a fixed or random-effects model. RESULTS: Ten randomized
controlled trials, including 1,495 subjects, were identified. Compared with
placebo, DPP4i (sitagliptin and vildagliptin) treatment significantly elevated
adiponectin levels by 0.74 MUg/mL (95% confidence interval [CI], 0.45 to 1.03)
relative to that using an active-comparison by 0.00 MUg/mL (95% CI, -0.57 to
0.56). Compared with active-comparison, vildagliptin treatment increased
adiponectin levels by 0.32 MUg/mL (95% CI, -0.01 to 0.65), whereas sitagliptin
treatment decreased adiponectin levels by -0.24 MUg/mL (95% CI, -1.07 to 0.58).
Trials examining effects of other DPP4i were not found. CONCLUSIONS: Sitagliptin
and vildagliptin increased serum adiponectin levels and had no stronger effect
than traditional oral antidiabetic drugs. Further trials with larger sample size
are needed to confirm the results and investigate the association between serum
adiponectin levels and treatment of other DPP-4 inhibitors. TRIAL REGISTRATION:
Registration No in PROSPERO: CRD42016037399 .
PMID- 27881131
TI - An evaluation of equity and equality in physical activity policies in four
European countries.
AB - BACKGROUND: There is strong research evidence on the importance of health equity
and equality for wellbeing in societies. As chronic non-communicable diseases are
widespread, the positive impact of physical activity (PA) on health has gained
importance. However, PA at the population level is far from optimal. PA depends
not only on individual factors, but also on policies for PA in sport, health,
transport, education and other sectors, on social and cultural factors, and on
the environment. Addressing health inequalities and inequities in PA promotion
policies could benefit from policy development processes based on partnership and
collaboration between various sectors, researchers, practitioners and policy
makers (= cross-sectoral, evidence-informed policy making). The objective of this
article is to describe how equity and equality was addressed in PA policies in
four EU member states (Denmark, Finland, Romania and England), who were partners
in the REPOPA project ( www.repopa.eu , EC/FP7/Health Research/GA 281532).
METHODS: Content analysis of 14 PA policies and 61 interviews were undertaken
between 2012 and 2013 with stakeholders involved in developing PA policies in
partner countries. RESULTS: Even though specific population subgroups were
mentioned in the policy documents analysed, they were not necessarily defined as
vulnerable populations nor was there a mention of additional emphasis to support
such groups from being marginalised by the policy due to inequity or inequality.
There were no clear objectives and activities in the analysed policies suggesting
commitment of additional resources in favour of such groups. Addressing equity
and equality were often not included in the core aims of the policies analysed;
these aspects were mentioned in the background of the policy documents analysed,
without being explicitly stated in the aims or activities of the policies. In
order to tackle health inequities and inequalities and their consequences on the
health status of different population subgroups, a more instrumental approach to
health equality and equity in PA promotion policies is needed. Policies should
include aims to address health inequalities and inequities as fundamental
objectives and also consider opportunities to allocate resources to reduce them
for identified groups in this regard: the socially excluded, the remote, and the
poor. CONCLUSIONS: The inclusion of aspects related to health inequalities and
inequities in PA policies needs monitoring, evaluation and transparent
accountability if we are to see the best gains in health of socially
disadvantaged group. To tackle health inequities and inequalities governance
structures need to take into consideration proportionate universalism. Thus, to
achieve change in the social determinants of health, policy makers should pay
attention to PA and proportionally invest for universal access to PA services. PA
promotion advocates should develop a deeper awareness of political and policy
structures and require more equity and equality in PA policies from those who
they seek to influence, within specific settings for policy making and developing
the policy agenda.
PMID- 27881132
TI - Integrated safety and efficacy analysis of once-daily fluticasone furoate for the
treatment of asthma.
AB - BACKGROUND: Fluticasone furoate is a once-daily inhaled corticosteroid. This
report provides an overview of safety and efficacy data that support the use of
once-daily fluticasone furoate 100 MUg or 200 MUg in adult and adolescent asthma
patients. METHODS: Fourteen clinical studies (six Phase II and eight Phase III)
were conducted as part of the fluticasone furoate global clinical development
programme in asthma. Safety data from 10 parallel-group, randomised, double-blind
Phase II and III studies (including 3345 patients who received at least one dose
of fluticasone furoate) were integrated to provide information on adverse events,
withdrawals, laboratory assessments, vital signs and hypothalamic-pituitary
adrenal axis function. The efficacy of once-daily fluticasone furoate was
evaluated in all included studies. RESULTS: Once-daily fluticasone furoate 100
MUg and 200 MUg safety profiles were consistent with those reported for other
inhaled corticosteroids, and both doses consistently demonstrated efficacy versus
placebo. In the integrated analysis, no dose-response relationship was observed
for the overall incidence of adverse events and there were no significant effects
of fluticasone furoate on hypothalamic-pituitary-adrenal axis function.
CONCLUSION: Once-daily fluticasone furoate 100 MUg and 200 MUg had acceptable
safety profiles and was efficacious in adult and adolescent patients with asthma.
There was no evidence of cortisol suppression at studied doses. TRIAL
REGISTRATIONS: GSK (NCT01499446/FFA20001, NCT00398645/FFA106783,
NCT00766090/112202, NCT00603746/FFA109684, NCT00603278/FFA109685,
NCT00603382/FFA109687, NCT01436071/115283, NCT01436110/115285,
NCT01159912/112059, NCT01431950/114496, NCT01165138/HZA106827,
NCT01086384/106837, NCT01134042/HZA106829 and NCT01244984/1139879).
PMID- 27881133
TI - Alcohol and stroke: the splitters win again.
AB - Study of the relationships of alcohol drinking and risk of stroke can readily
become mired in the labyrinthine interactions of drinking categorizations, non
linear associations, disparate cardiovascular conditions, and the heterogeneous
types of stroke. This Commentary discusses the recent article by Larsson et al.
(BMC Medicine 14:178, 2016). The authors split their material into separate meta
analyses of subarachnoid hemorrhage, intracerebral hemorrhage, and ischemic
stroke, finding disparate alcohol-stroke relationships. Our Commentary pursues
the disparity theme, using the lumpers versus splitters paradigm to explore
several aspects of this complex area.Please see related article:
http://bmcmedicine.biomedcentral.com/articles/10.1186/s12916-016-0721-4 .
PMID- 27881134
TI - Low dose radiation risks for women surviving the a-bombs in Japan: generalized
additive model.
AB - BACKGROUND: Analyses of cancer mortality and incidence in Japanese A-bomb
survivors have been used to estimate radiation risks, which are generally higher
for women. Relative Risk (RR) is usually modelled as a linear function of dose.
Extrapolation from data including high doses predicts small risks at low doses.
Generalized Additive Models (GAMs) are flexible methods for modelling non-linear
behaviour. METHODS: GAMs are applied to cancer incidence in female low dose
subcohorts, using anonymous public data for the 1958 - 1998 Life Span Study, to
test for linearity, explore interactions, adjust for the skewed dose
distribution, examine significance below 100 mGy, and estimate risks at 10 mGy.
RESULTS: For all solid cancer incidence, RR estimated from 0 - 100 mGy and 0 - 20
mGy subcohorts is significantly raised. The response tapers above 150 mGy. At low
doses, RR increases with age-at-exposure and decreases with time-since-exposure,
the preferred covariate. Using the empirical cumulative distribution of dose
improves model fit, and capacity to detect non-linear responses. RR is elevated
over wide ranges of covariate values. Results are stable under simulation, or
when removing exceptional data cells, or adjusting neutron RBE. Estimates of
Excess RR at 10 mGy using the cumulative dose distribution are 10 - 45 times
higher than extrapolations from a linear model fitted to the full cohort. Below
100 mGy, quasipoisson models find significant effects for all solid, squamous,
uterus, corpus, and thyroid cancers, and for respiratory cancers when age-at
exposure > 35 yrs. Results for the thyroid are compatible with studies of
children treated for tinea capitis, and Chernobyl survivors. Results for the
uterus are compatible with studies of UK nuclear workers and the Techa River
cohort. CONCLUSION: Non-linear models find large, significant cancer risks for
Japanese women exposed to low dose radiation from the atomic bombings. The risks
should be reflected in protection standards.
PMID- 27881135
TI - Cat's whiskers (Orthosiphon stamineus) tea modulates arthritis pathogenesis via
the angiogenesis and inflammatory cascade.
AB - BACKGROUND: Orthosiphon stamineus is used traditionally to treat gout, arthritis,
and inflammatory related conditions. The in vitro anti-inflammatory effects of
the plant have been scientifically investigated. The goal of the present study
was to evaluate the potential of the 50% ethanol extract of O. stamineus (EOS) to
treat rheumatoid arthritis. METHODS: Anti-arthritic activity was assessed using
the in vitro heat denaturation test and the (FCA)-induced arthritis model.
Efficacy was assessed by measurements of paw edema and granulation, X-ray
radiography, fluorescence molecular tomography (FMT), and histological
evaluation. Levels of (TNF-alpha), interleukin-1 (IL-1), and (COX-1 and COX-2)
were analyzed in vitro in lipopolysaccharide (LPS)-stimulated human macrophage
(U937). TNF-alpha and IL-1 levels in the serum samples of arthritic rats were
also measured using an ELISA kit. RESULTS: Treatment with EOS resulted in dose
dependent inhibition of paw edema in acute and chronic models of inflammation. It
also inhibited significantly the production of TNF-alpha, IL-1 COX-1, and COX-2
in the LPS-stimulated U937 macrophages. EOS significantly suppressed FCA-induced
paw edema as well as the serum levels of TNF-alpha and IL-1. X-rays of the
synovial joint of the hind leg showed considerable improvement in joint integrity
and recovery of tibia-talus bones from degeneration and osteoporotic lesions.
Histology of proximal interphalangeal joints of EOS-treated animals showed
obvious protection of cartilage and soft tissue. Finally, FMT analysis strongly
supported the anti-arthritic effect of EOS. EOS had high phenolic and total
flavonoid content as well as strong antioxidant activity. CONCLUSIONS: Results
illustrated that the anti-arthritic properties of O. stamineus could be
beneficial for prevention and management of rheumatoid arthritis and other
chronic inflammatory disorders. Illustration of the Anti- arthritis efficacy of
Orthosiphon Stamineus standardized extract.
PMID- 27881136
TI - Community health workers adherence to referral guidelines: evidence from studies
introducing RDTs in two malaria transmission settings in Uganda.
AB - BACKGROUND: Many malaria-endemic countries have implemented national community
health worker (CHW) programmes to serve remote populations that have poor access
to malaria diagnosis and treatment. Despite mounting evidence of CHWs' ability to
adhere to malaria rapid diagnostic tests (RDTs) and treatment guidelines, there
is limited evidence whether CHWs adhere to the referral guidelines and refer
severely ill children for further management. In southwest Uganda, this study
examined whether CHWs referred children according to training guidelines and
described factors associated with adherence to the referral guideline. METHODS: A
secondary analysis was undertaken of data collected during two cluster-randomized
trials conducted between January 2010 and July 2011, one in a moderate-to-high
malaria transmission setting and the other in a low malaria transmission setting.
All CHWs were trained to prescribe artemisinin-based combination therapy (ACT)
and recognize symptoms in children that required immediate referral to the
nearest health centre. Intervention arm CHWs had additional training on how to
conduct an RDT; CHWs in the control arm used a presumptive diagnosis for malaria
using clinical signs and symptoms. CHW treatment registers were reviewed to
identify children eligible for referral according to training guidelines
(temperature of >=38.5 degrees C), to assess whether CHWs adhered to the
guidelines and referred them. Factors associated with adherence were examined
with logistic regression models. RESULTS: CHWs failed to refer 58.8% of children
eligible in the moderate-to-high transmission and 31.2% of children in the low
transmission setting. CHWs using RDTs adhered to the referral guidelines more
frequently than CHWs not using RDTs (moderate-to-high transmission: 50.1 vs
18.0%, p = 0.003; low transmission: 88.5 vs 44.1%, p < 0.001). In both settings,
fewer than 20% of eligible children received pre-referral treatment with rectal
artesunate. Children who were prescribed ACT were very unlikely to be referred in
both settings (97.7 and 73.3% were not referred in the moderate-to-high and low
transmission settings, respectively). In the moderate-to-high transmission
setting, day and season of visit were also associated with the likelihood of
adherence to the referral guidelines, but not in the low transmission setting.
CONCLUSIONS: CHW adherence to referral guidelines was poor in both transmission
settings. However, training CHWs to use RDT improved correct referral of children
with a high fever compared to a presumptive diagnosis using sign and symptoms. As
many countries scale up CHW programmes, routine monitoring of reported data
should be examined carefully to assess whether CHWs adhere to referral guidelines
and take remedial actions where required.
PMID- 27881138
TI - BoHV-4-based vector delivering Ebola virus surface glycoprotein.
AB - BACKGROUND: Ebola virus (EBOV) is a Category A pathogen that is a member of
Filoviridae family that causes hemorrhagic fever in humans and non-human
primates. Unpredictable and devastating outbreaks of disease have recently
occurred in Africa and current immunoprophylaxis and therapies are limited. The
main limitation of working with pathogens like EBOV is the need for costly
containment. To potentiate further and wider opportunity for EBOV prophylactics
and therapies development, innovative approaches are necessary. METHODS: In the
present study, an antigen delivery platform based on a recombinant bovine
herpesvirus 4 (BoHV-4), delivering a synthetic EBOV glycoprotein (GP) gene
sequence, BoHV-4-syEBOVgD106DeltaTK, was generated. RESULTS: EBOV GP was
abundantly expressed by BoHV-4-syEBOVgD106DeltaTK transduced cells without
decreasing viral replication. BoHV-4-syEBOVgD106DeltaTK immunized goats produced
high titers of anti-EBOV GP antibodies and conferred a long lasting (up to 6
months), detectable antibody response. Furthermore, no evidence of BoHV-4
syEBOVgD106DeltaTK viremia and secondary localization was detected in any of the
immunized animals. CONCLUSIONS: The BoHV-4-based vector approach described here,
represents: an alternative antigen delivery system for vaccination and a proof of
principle study for anti-EBOV antibodies generation in goats for potential
immunotherapy applications.
PMID- 27881139
TI - Next of kin's experiences of involvement during involuntary hospitalisation and
coercion.
AB - BACKGROUND: Norway has extensive and detailed legal requirements and guidelines
concerning involvement of next of kin (NOK) during involuntary hospital treatment
of seriously mentally ill patients. However, we have little knowledge about what
happens in practice. This study explores NOK's views and experiences of
involvement during involuntary hospitalisation in Norway. METHODS: We performed
qualitative interviews-focus groups and individual-with 36 adult NOK to adults
and adolescents who had been involuntarily admitted once or several times. The
semi-structured interview guide included questions on experiences with and views
on involvement during serious mental illness and coercion. RESULTS: Most of the
NOK were heavily involved in the patient's life and illness. Their conceptions of
involvement during mental illness and coercion, included many important aspects
adding to the traditional focus on substitute decision-making. The overall
impression was, with a few exceptions, that the NOK had experienced lack of
involvement or had negative experiences as NOK in their encounters with the
health services. Not being seen and acknowledged as important caregivers and co
sufferers were experienced as offensive and could add to their feelings of guilt.
Lack of involvement had as a consequence that vital patient information which the
NOK possessed was not shared with the patient's therapists. CONCLUSIONS: Despite
public initiatives to improve the involvement of NOK, the NOK in our study felt
neglected, unappreciated and dismissed. The paper discusses possible reasons for
the gap between public policies and practice which deserve more attention: 1. A
strong and not always correct focus on legal matters. 2. Little emphasis on the
role of NOK in professional ethics. 3. The organisation of health services and
resource constraints. 4. A conservative culture regarding the role of next of kin
in mental health care. Acknowledging these reasons may be helpful to understand
deficient involvement of the NOK in voluntary mental health services.
PMID- 27881140
TI - Early signs of multi-walled carbon nanotbues degradation in macrophages, via an
intracellular pH-dependent biological mechanism; importance of length and
functionalization.
AB - BACKGROUND: Carbon nanotubes (CNT) can interact with the biological environment,
which could participate in their associated toxicity. We recently demonstrated
that pH is an important player of CNT fate inside macrophages. We wanted to
further characterize such process, and therefore designed a study dedicated to
decipher CNT biodegradation by macrophages, as a function of two major physico
chemical properties in regard with nanotoxicology; length and degree of
functionalization. To achieve our aim, we synthesized, following a single initial
production process, four MWCNT differing in length and/or surface chemistry: S
CNT (short), SF-CNT (short functionalized), L-CNT (long) and LF-CNT (long
functionalized). RESULTS: Raman spectroscopy analysis performed on CNT recovered
after exposure of RAW 264.7 macrophages for 6, 24, or 48 h demonstrate that CNT
show early signs of biodegradation over time inside macrophages. The modulation
of CNT length and functionalization, resulting in the modification of iron
accessibility, both represent critical determinants of the biodegradation
process; short pristine CNT were more prone to biodegradation than long CNT
(pristine or functionalized), while short functionalized CNT were protected.
Incubation of cells with Concanamycin completely prevents CNT from being
modified, demonstrating that this biodegradation process is dependent on an
intracellular pH-dependent mechanism. Interestingly, and despite evidence of
degradation via Raman spectroscopy, the CNT length and diameter were not altered
during the course of the study. CONCLUSIONS: In conclusion, our results identify
a new mechanism of CNT biodegradation inside macrophages. This could give new
insights for the understanding of CNT-associated toxicity, and represent
important tools to develop safe(r)-by-design nanomaterials.
PMID- 27881137
TI - Balancing the immune response in the brain: IL-10 and its regulation.
AB - BACKGROUND: The inflammatory response is critical to fight insults, such as
pathogen invasion or tissue damage, but if not resolved often becomes detrimental
to the host. A growing body of evidence places non-resolved inflammation at the
core of various pathologies, from cancer to neurodegenerative diseases. It is
therefore not surprising that the immune system has evolved several regulatory
mechanisms to achieve maximum protection in the absence of pathology. MAIN BODY:
The production of the anti-inflammatory cytokine interleukin (IL)-10 is one of
the most important mechanisms evolved by many immune cells to counteract damage
driven by excessive inflammation. Innate immune cells of the central nervous
system, notably microglia, are no exception and produce IL-10 downstream of
pattern recognition receptors activation. However, whereas the molecular
mechanisms regulating IL-10 expression by innate and acquired immune cells of the
periphery have been extensively addressed, our knowledge on the modulation of IL
10 expression by central nervous cells is much scattered. This review addresses
the current understanding on the molecular mechanisms regulating IL-10 expression
by innate immune cells of the brain and the implications of IL-10 modulation in
neurodegenerative disorders. CONCLUSION: The regulation of IL-10 production by
central nervous cells remains a challenging field. Answering the many remaining
outstanding questions will contribute to the design of targeted approaches aiming
at controlling deleterious inflammation in the brain.
PMID- 27881142
TI - Ethnoagroforestry: integration of biocultural diversity for food sovereignty in
Mexico.
AB - BACKGROUND: Documenting the spectrum of ecosystem management, the roles of
forestry and agricultural biodiversity, TEK, and human culture for food
sovereignty, are all priority challenges for contemporary science and society.
Ethnoagroforestry is a research approach that provides a theoretical framework
integrating socio-ecological disciplines and TEK. We analyze in this study
general types of Agroforestry Systems of Mexico, in which peasants, small
agriculturalist, and indigenous people are the main drivers of AFS and planning
of landscape diversity use. We analyzed the actual and potential contribution of
ethnoagroforestry for maintaining diversity of wild and domesticated plants and
animals, ecosystems, and landscapes, hypothesizing that ethnoagroforestry
management forms may be the basis for food sufficiency and sovereignty in Mexican
communities, regions and the whole nation. METHODS: We conducted research and
systematization of information on Mexican AFS, traditional agriculture, and
topics related to food sovereignty from August 2011 to May 2015. We constructed
the database Ethnoagroforestry based on information from our own studies, other
databases, Mexican and international specialized journals in agroforestry and
ethnoecology, catalogues and libraries of universities and research centers,
online information, and unpublished theses. We analyzed through descriptive
statistical approaches information on agroforestry systems of Mexico including
148 reports on use of plants and 44 reports on use of animals. RESULTS: Maize,
beans, squashes and chili peppers are staple Mesoamerican food and principal
crops in ethnoagroforestry systems practiced by 21 cultural groups throughout
Mexico (19 indigenous people) We recorded on average 121 +/- 108 (SD) wild and
domesticated plant species, 55 +/- 27% (SD) of them being native species; 44 +/-
23% of the plant species recorded provide food, some of them having also
medicinal, firewood and fodder uses. A total of 684 animal species has been
recorded (17 domestic and 667 wild species), mainly used as food (34%).
CONCLUSIONS: Ethnoagroforestry an emergent research approach aspiring to
establish bases for integrate forestry and agricultural diversity, soil, water,
and cultural richness. Its main premise is that ethnoagroforestry may provide the
bases for food sovereignty and sustainable ecosystem management.
PMID- 27881141
TI - Analysis of epithelial-mesenchymal transition markers in the histogenesis of
hepatic progenitor cell in HBV-related liver diseases.
AB - BACKGROUND: The origin and heterogeneity of hepatic progenitor cells (HPCs)
remain unclear. This study aimed to investigate the involvement of epithelial
mesenchymal transition (EMT) in the histogenesis of HPCs. METHODS: Surgical liver
specimens from patients with HBV-related hepatitis and cirrhosis were
investigated with double immunofluorescence labeling to detect antigens
associated with HPCs and EMT. Ductular reactions were subjected to quantitative
reverse transcription PCR following isolation by laser capture microdissection.
Electron microscopic examination was performed to find an ultrastructural
evidence of EMT. RESULTS: The number of EpCAM-positive HPCs was proportional to
the disease severity. The S100A4 expression of HPCs was firstly observed in mild
hepatitis and increased significantly in moderate hepatitis, but decreased in
severe hepatitis and cirrhosis. The levels of MMP-2, Twist, and Snail increased
in direct proportion to the number of HPCs. Some hepatocytes adjacent to portal
tracts in cirrhosis showed positivity for MMP-2. Although CK7 and E-cadherin
levels decreased in mild and moderate hepatitis, HPCs re-expressed both of them
in severe hepatitis and cirrhosis. However, HPCs expressed neither vimentin nor
alphaSMA. The relative mRNA expression levels of EpCAM and EMT-associated markers
supported immunohistochemical results. Electron microscopic examination
demonstrated the existence of intercellular junctions among HPCs, cholangiocytes,
and intermediate hepatocyte-like cells. CONCLUSION: We provided preliminary
evidence for the involvement of EMT in the histogenesis of HPCs from
cholangiocytes in HBV-related liver diseases. HPCs may re-transdifferentiate into
hepatocytes, and the differentiation direction depends, at least in part, on
interactions between HPCs and the surrounding microenvironment, especially the
non-resolving inflammation caused by HBV infection.
PMID- 27881143
TI - Weekly versus every-three-weeks platinum-based chemoradiation regimens for head
and neck cancer.
AB - BACKGROUND: The majority of chemoradiation (CRT) trials for locally advanced head
and neck squamous cell carcinoma (HNSCC) have relied on platinum-based
chemotherapy regimens administered every-3-weeks. However, given the increased
utilization of weekly platinum regimens, it remains unclear how different
chemotherapy schedules compare regarding efficacy and toxicity. METHODS: We
retrospectively identified 212 patients with HNSCC who were treated at a single
academic medical center with concurrent platinum-based CRT given weekly (N = 68)
or every-three-weeks (N = 144). JMP version 10 (SAS Institute) was used for
statistical analysis. Discrete variables were compared with the chi-square test
and differences in the medians were assessed using the Wilcoxon test. Survival
curves were constructed using the Kaplan-Meier method and significance was
assessed using the log rank test. For univariate analysis and multivariate
analysis, we used Cox proportional hazard or logistic regression models to
compare differences in survival or differences in categorical variables,
respectively. RESULTS: Patients receiving weekly platinum regimens were more
likely to be older (median age 61.4 vs. 55.5 y; P < .001), have high or very high
Charlson comorbidity index (45.6% vs. 27.8%; P = .01), and receive carboplatin
based chemotherapy (6.3% vs. 76.5%; P < .001). Weekly and every-3-week platinum
regimens had similar locoregional control (HR 1.10; 95% CI 0.63-1.88; P = .72),
progression-free survival (HR 1.13; 95% CI 0.75-1.69; P = .55), and overall
survival (HR 1.11; 95% CI 0.64-1.86; P = .71). Every-3-weeks platinum regimens
were associated with increased days of hospitalization (median: 3 days vs. 0
days; P = .03) and acute kidney injury (AKI) during radiotherapy (50.0% vs.
22.1%; P < .001). On multivariate analysis, AKI was significantly associated with
every-3-weeks regimens (OR: 24.38; 95% CI 3.00-198.03; P = .003) and high
comorbidity scores (OR: 2.74; 95% CI 2.15-5.99; P = .01). CONCLUSIONS: Our
results suggest that every-3-weeks and weekly platinum-containing CRT regimens
have similar disease control but weekly platinum regimens are associated with
less acute toxicity.
PMID- 27881144
TI - Comparison of treatment response, remission rate and drug adherence in
polyarticular juvenile idiopathic arthritis patients treated with etanercept,
adalimumab or tocilizumab.
AB - BACKGROUND: Treatment response, remission rates and compliance in patients with
polyarticular juvenile idiopathic arthritis (polyJIA) treated with adalimumab,
etanercept, or tocilizumab were analyzed in clinical practice. METHODS: Data
collected in the German BIKER registry were analyzed in patients with polyJIA who
started treatment with approved biologics, adalimumab, etanercept or tocilizumab,
from 2011 to 2015. Baseline patient characteristics, treatment response, safety
and drug survival were compared. RESULTS: Two hundred thirty-six patient started
adalimumab, 419 etanercept and 74 tocilizumab, with differences in baseline
patient characteristics. Baseline Juvenile Disease Activity Score (JADAS)10 (mean
+/- SD) in the adalimumab/etanercept/tocilizumab cohorts was 12.1+/-7.6, 13.8 +/-
7.1 and 15.1 +/- 7.4, respectively (adalimumab vs etanercept, p = 0.01), and
Childhood Health Assessment Questionnaire (CHAQ)-disability index scores was 0.43
+/- 0.58, 0.59 +/- 0.6 and 0.63 +/- 0.55, respectively (adalimumab vs etanercept,
p < 0.001). Uveitis history was more frequent in the adalimumab cohort (OR 5.73;
p < 0.001). Balanced patients' samples were obtained by a generalized propensity
score to adjust for baseline differences. Pediatric ACR30/50/70/90 criterion
improvement after 3 months treatment was achieved by 68%/60%/42%/24% in the
etanercept cohort, 67%/59%/43%/27% in the adalimumab cohort and 61%/52%/35%/26%
in the tocilizumab cohort. At 24 months, JADAS minimal disease activity was
achieved in 52.4%/61.3%/52.4% and JADAS remission in 27.9%/34.8%/27.9% patients
in the adalimumab/etanercept/tocilizumab cohorts, respectively. Etanercept was
used in 95.5% of patients as a first biologic, adalimumab in 50.8% and
tocilizumab in 20.2%. There were no important differences in efficacy between
first-line and second-line use of biologics. In total 60.4%/49.4%/31.1% patients
discontinued adalimumab/etanercept/tocilizumab, respectively (HR for adalimumab
1.67; p < 0.001; HR for tocilizumab 0.35; p = 0.001). Drug survival rates did not
differ significantly in patients on biologic monotherapy compared with
combination therapy with methotrexate. Over 4 years observation under
etanercept/adalimumab/tocilizumab, 996/386/103 adverse events, and 148/119/26
serious adverse events, respectively, were reported. CONCLUSIONS: In clinical
practice, etanercept is most frequently used as first-line biologic.
Adalimumab/etanercept/tocilizumab showed comparable efficacy toward polyJIA.
Overall, tolerance was acceptable. Interestingly, compliance was highest with
tocilizumab and lowest with adalimumab. This study provides the first indication
for the comparison of different biologic agents in polyarticular JIA based on
observational study data with all their weaknesses and demonstrates the need for
well-controlled head-to-head studies for confirmation.
PMID- 27881146
TI - Evaluation of a large healthy lifestyle program: informing program implementation
and scale-up in the prevention of obesity.
AB - BACKGROUND: The Healthy Lifestyle Program for women (HeLP-her) is a low
intensity, self-management program which has demonstrated efficacy in preventing
excess weight gain in women. However, little is known about the implementation,
reach, and sustainability of low-intensity prevention programs in rural settings,
where risk for obesity in women is higher than urban settings. We aimed to
evaluate a low-intensity healthy lifestyle program delivered to women in a rural
setting to inform development of effective community prevention programs.
METHODS: A mixed method hybrid implementation and evaluation study, guided by the
RE-AIM framework (addressing the Reach, Effectiveness, Adoption, Implementation,
and Maintenance), was undertaken. Data collection tools included anthropometric
measures, program checklists, questionnaires, and semi-structured interviews with
participants and local stakeholders. The RE-AIM self-audit tool was applied to
assess evaluation rigor. RESULTS: Six hundred and forty-nine women from 41
relatively socio-economic disadvantaged communities in Australia participated:
mean age 39.6 years (+/-SD 6.7) and body mass index of 28.8 kg/m2 (+/-SD 6.9). A
between-group weight difference of -0.92 kg (95% CI -1.67 to -0.16) showed
program effectiveness. Reach was broad across 41 towns with 62% of participants
reporting influencing some of the health behaviors of their families. Strong
implementation fidelity was achieved with good retention rates at 1 year (76%)
and high participant satisfaction (82% of participants willing to recommend this
program). Over 300 multi-level community partnerships were established supporting
high adoption. Stakeholders reported potential capacity to implement and sustain
the prevention program in resource poor rural settings, due to the low-intensity
design and minimal resources required. CONCLUSIONS: Our comprehensive RE-AIM
evaluation demonstrates that an evidence-based obesity prevention program can be
successfully implemented in real-world settings. The program achieved broad
reach, effectiveness, and satisfaction at the community and stakeholder level,
revealing potential for program sustainability. The evaluation addressed
implementation knowledge gaps to support future obesity prevention program scale
up. TRIAL REGISTRATION: Australian and New Zealand Clinical Trial Registry ACTRN
12612000115831 [ http://www.anzctr.org.au/ ].
PMID- 27881145
TI - Evaluation of efficacy and efficiency of a pragmatic intervention by a social
worker to support informal caregivers of elderly patients (The ICE Study): study
protocol for a randomized controlled trial.
AB - BACKGROUND: Medical progress and the lifestyle modification have prolonged life
expectancy, despite the development of chronic diseases. Support and care for
older subjects are often provided by a network of informal caregivers composed of
family, friends and neighbors, who are essential in helping older persons to
continue living at home. It has been shown that the extent and diversity of
informal tasks may jeopardize the physical, mental and social wellbeing of
caregivers. METHODS/DESIGN: The aim of the Informal Carers of Elderly cohort is
to define, through a longitudinal study, profiles of caregivers of older patients
with a diagnosis of one of the following diseases: cancer (breast, prostate,
colorectal), neurodegenerative diseases (Parkinson's disease, Alzheimer's disease
and similar diseases), neurovascular diseases (stroke), sensory diseases (age
related macular degeneration (AMD)) and heart disease (heart failure). Patients
must be at least 60 years old and living in the region of Burgundy-Franche-Comte
(France). By following the different phases of the caregiving relationship from
the announcement of the diagnosis, it will be possible to assess the quality of
life of caregivers, coping strategies, levels of anxiety and depression, social
support and the extent of their burden. We will also evaluate the efficacy and
efficiency of the implementation of a pragmatic intervention by a social worker
to help informal caregivers, through a randomized interventional trial nested in
the cohort. Qualitative approaches aimed at studying the caregiver/patient
relationship, and situations leading to breakdown of the caregiver relationship
will be also undertaken. DISCUSSION: Through an analytical and longitudinal
definition of profiles of informal caregivers, this study will gather detailed
information on their life courses and their health trajectory by identifying
consequences associated with the concept of their role as carers. In addition,
the randomized interventional trial will explore the relevance of the
implementation of a supportive intervention by a social worker to help
caregivers. These data will help to identify strategies that could be used to
improve the existing sources of aid and to propose new approaches to help
caregivers. This study will provide the opportunity to identify the most relevant
means of support adapted to caregivers, and provide an impulse for new health
care policies. TRIAL REGISTRATION: ClinicalTrials.gov Identifier: NCT02626377 .
Retrospectively registered on 9 December 2015. Protocol date/version: 23 October
2014/version 2.
PMID- 27881147
TI - p53 predominantly regulates IL-6 production and suppresses synovial inflammation
in fibroblast-like synoviocytes and adjuvant-induced arthritis.
AB - BACKGROUND: Dominant-negative somatic mutations of p53 has been identified in the
synovium of patients with rheumatoid arthritis (RA), in which interleukin (IL)-6
has been established as a pivotal inflammatory cytokine. The aim of this study
was to clarify the significance of p53 in the longstanding inflammation in RA by
modulating IL-6. METHODS: We established adjuvant-induced arthritis (AIA) in
Lewis rats and treated them with p53 activator, and then analyzed the
histopathology of the synovium and IL-6 expression. Human fibroblast-like
synoviocytes (FLS) were cultured and transfected with p53-siRNA or transduced
with adenovirus (Ad)-p53, and then assessed with MTT, TUNEL staining, and
luciferase assay. IL-1beta, tumor necrosis factor (TNF)-alpha and IL-17 were used
to stimulate FLS, and subsequent IL-6 expression as well as relevant signal
pathways were explored. RESULTS: p53 significantly reduced synovitis as well as
the IL-6 level in the AIA rats. It controlled cell cycle arrest and
proliferation, but not apoptosis. Proinflammatory cytokines inhibited p53
expression in FLS, while p53 significantly suppressed the production of IL-6.
Furthermore, IL-6 expression in p53-deficient FLS was profoundly reduced by NF
kappaB, p38, JNK, and ERK inhibitors. CONCLUSION: Our findings reveal a novel
function of p53 in controlling inflammatory responses and suggest that p53
abnormalities in RA could sustain and accelerate synovial inflammation mainly
through IL-6. p53 may be a key modulator of IL-6 in the synovium and plays a
pivotal role in suppressing inflammation by interaction with the signal pathways
in RA-FLS. Interfering with the p53 pathway could therefore be an effective
strategy to treat RA.
PMID- 27881149
TI - Antipsychotic prescribing patterns during and after critical illness: a
prospective cohort study.
AB - BACKGROUND: Antipsychotics are used to treat delirium in the intensive care unit
(ICU) despite unproven efficacy. We hypothesized that atypical antipsychotic
treatment in the ICU is a risk factor for antipsychotic prescription at
discharge, a practice that might increase risk since long-term use is associated
with increased mortality. METHODS: After excluding patients on antipsychotics
prior to admission, we examined antipsychotic use in a prospective cohort of ICU
patients with acute respiratory failure and/or shock. We collected data on
medication use from medical records and assessed patients for delirium using the
Confusion Assessment Method for the ICU. Using multivariable logistic regression,
we analyzed whether age, delirium duration, atypical antipsychotic use, and
discharge disposition (each selected a priori) were independent risk factors for
discharge on an antipsychotic. We also examined admission Acute Physiology and
Chronic Health Evaluation (APACHE) II score, haloperidol use, and days of
benzodiazepine use in post hoc analyses. RESULTS: After excluding 18 patients due
to prior antipsychotic use and three who withdrew, we included 500 patients.
Among 208 (42%) treated with an antipsychotic, median (interquartile range) age
was 59 (49-69) years and APACHE II score was 26 (22-32), characteristics that
were similar among antipsychotic nonusers. Antipsychotic users were more likely
than nonusers to have had delirium (93% vs. 61%, p < 0.001). Of the 208
antipsychotic users, 172 survived to hospital discharge, and 42 (24%) of these
were prescribed an antipsychotic at discharge. Treatment with an atypical
antipsychotic was the only independent risk factor for antipsychotic prescription
at discharge (odds ratio 17.6, 95% confidence interval 4.9 to 63.3; p < 0.001).
Neither age, delirium duration, nor discharge disposition were risk factors (p =
0.11, 0.38, and 0.12, respectively) in the primary regression model, and post hoc
analyses found APACHE II (p = 0.07), haloperidol use (p = 0.16), and days of
benzodiazepine use (p = 0.31) were also not risk factors for discharge on an
antipsychotic. CONCLUSIONS: In this study, antipsychotics were used to treat
nearly half of all antipsychotic-naive ICU patients and were prescribed at
discharge to 24% of antipsychotic-treated patients. Treatment with an atypical
antipsychotic greatly increased the odds of discharge with an antipsychotic
prescription, a practice that should be examined carefully during medication
reconciliation since these drugs carry "black box warnings" regarding long-term
use.
PMID- 27881148
TI - Susceptibility profile and metabolic mechanisms involved in Aedes aegypti and
Aedes albopictus resistant to DDT and deltamethrin in the Central African
Republic.
AB - BACKGROUND: Aedes aegypti and Ae. albopictus are the main epidemic vectors of
dengue, chikungunya and Zika viruses worldwide. Their control during epidemics
relies mainly on control of larvae and adults with insecticides. Unfortunately,
loss of susceptibility of both species to several insecticide classes limits the
efficacy of interventions. In Africa, where Aedes-borne viruses are of growing
concern, few data are available on resistance to insecticides. To fill this gap,
we assessed the susceptibility to insecticides of Ae. aegypti and Ae. albopictus
populations in the Central African Republic (CAR) and studied the mechanisms of
resistance. METHODS: Immature stages were sampled between June and September 2014
in six locations in Bangui (the capital of CAR) for larval and adult bioassays
according to WHO standard procedures. We also characterized DDT- and pyrethroid
resistant mosquitoes molecularly and biochemically, including tests for the
activities of nonspecific esterases (alpha and beta), mixed-function oxidases,
insensitive acetylcholinesterase and glutathione S-transferases. RESULTS: Larval
bioassays, carried out to determine the lethal concentrations (LC50 and LC95) and
resistance ratios (RR50 and RR95), suggested that both vector species were
susceptible to Bacillus thuringiensis var. israeliensis and to temephos.
Bioassays of adults showed susceptibility to propoxur and fenitrothion, except
for one Ae. albopictus population that was suspected to be resistant to
fenithrothion. None of the Ae. aegypti populations was fully susceptible to DDT.
Ae. albopictus presented a similar profile to Ae. aegypti but with a lower
mortality rate (41%). Possible resistance to deltamethrin was observed among Ae.
aegypti and Ae. albopictus, although some were susceptible. No kdr mutations were
detected in either species; however, the activity of detoxifying enzymes was
higher in most populations than in the susceptible Ae. aegypti strain, confirming
decreased susceptibility to DDT and deltamethrin. CONCLUSION: These findings
suggested that regular, continuous monitoring of resistance is necessary in order
to select the most effective adulticides for arbovirus control in Bangui.
PMID- 27881150
TI - A metadata schema for data objects in clinical research.
AB - BACKGROUND: A large number of stakeholders have accepted the need for greater
transparency in clinical research and, in the context of various initiatives and
systems, have developed a diverse and expanding number of repositories for
storing the data and documents created by clinical studies (collectively known as
data objects). To make the best use of such resources, we assert that it is also
necessary for stakeholders to agree and deploy a simple, consistent metadata
scheme. METHODS: The relevant data objects and their likely storage are
described, and the requirements for metadata to support data sharing in clinical
research are identified. Issues concerning persistent identifiers, for both
studies and data objects, are explored. RESULTS: A scheme is proposed that is
based on the DataCite standard, with extensions to cover the needs of clinical
researchers, specifically to provide (a) study identification data, including
links to clinical trial registries; (b) data object characteristics and
identifiers; and (c) data covering location, ownership and access to the data
object. The components of the metadata scheme are described. CONCLUSIONS: The
metadata schema is proposed as a natural extension of a widely agreed standard to
fill a gap not tackled by other standards related to clinical research (e.g.,
Clinical Data Interchange Standards Consortium, Biomedical Research Integrated
Domain Group). The proposal could be integrated with, but is not dependent on,
other moves to better structure data in clinical research.
PMID- 27881151
TI - Gentamicin versus ceftriaxone for the treatment of gonorrhoea (G-TOG trial):
study protocol for a randomised trial.
AB - BACKGROUND: Gonorrhoea is a common sexually transmitted infection which causes
genital pain and discomfort; in women it can also lead to pelvic inflammatory
disease and infertility, and in men to epididymo-orchitis. Current treatment is
with ceftriaxone, but there is increasing evidence of antimicrobial resistance
which is reducing its effectiveness against gonorrhoea. A small, but increasing,
number of patients have already been found to have highly resistant strains of
gonorrhoea which has been associated with clinical failure. This trial aims to
determine whether gentamicin is not clinically worse than ceftriaxone in the
treatment of gonorrhoea. METHODS/DESIGN: This is a blinded, two-arm, multicentre,
noninferiority randomised trial. Patients are eligible if they are aged 16-70
years with a diagnosis of genital, pharyngeal and/or rectal gonorrhoea. Exclusion
criteria are: known concurrent sexually transmitted infection(s) (excluding
chlamydia); bacterial vaginosis and/or Trichomonas vaginalis infection;
contraindications or an allergy to gentamicin, ceftriaxone, azithromycin or
lidocaine; pregnancy or breastfeeding; complicated gonorrhoeal infection; weight
under 40 kg; use of ceftriaxone, gentamicin or azithromycin within the preceding
28 days. Randomisation is to receive a single intramuscular injection of either
gentamicin or ceftriaxone, all participants receive 1 g oral azithromycin as
standard treatment. The estimated sample size is 720 participants (noninferiority
limit 5%). The primary outcome is clearance of Neisseria gonorrhoeae at all
infected sites by a negative Nucleic Acid Amplification Test, 2 weeks post
treatment. Secondary outcomes include clinical resolution of symptoms, frequency
of adverse events, tolerability of therapy, relationship between clinical
effectiveness and antibiotic minimum inhibitory concentration for N. gonorrhoeae,
and cost-effectiveness. DISCUSSION: The options for future treatment of
gonorrhoea are limited. Results from this randomised trial will demonstrate
whether gentamicin is not clinically worse than ceftriaxone for the treatment of
gonorrhoea. This will inform clinical practice and policy for the treatment of
gonorrhoea when current therapy with cephalosporins is no longer effective, or is
contraindicated. TRIAL REGISTRATION: International Standard Randomised Controlled
Trial Number - ISRCTN51783227 , Registered on 18 September 2014. Current protocol
version 2.0 17 June 2015.
PMID- 27881152
TI - Fitness and mobility training in patients with Intensive Care Unit-acquired
muscle weakness (FITonICU): study protocol for a randomised controlled trial.
AB - BACKGROUND: Critical illness myopathy (CIM) and polyneuropathy (CIP) are a common
complication of critical illness. Both cause intensive-care-unit-acquired (ICU
acquired) muscle weakness (ICUAW) which increases morbidity and delays
rehabilitation and recovery of activities of daily living such as walking
ability. Focused physical rehabilitation of people with ICUAW is, therefore, of
great importance at both an individual and a societal level. A recent systematic
Cochrane review found no randomised controlled trials (RCT), and thus no
supporting evidence, for physical rehabilitation interventions for people with
defined CIP and CIM to improve activities of daily living. Therefore, the aim of
our study is to compare the effects of an additional physiotherapy programme with
systematically augmented levels of mobilisation with additional in-bed cycling
(as the parallel group) on walking and other activities of daily living.
METHODS/DESIGN: We will conduct a prospective, rater-masked RCT of people with
ICUAW with a defined diagnosis of CIM and/or CIP in our post-acute hospital. We
will randomly assign patients to one of two parallel groups in a 1:1 ratio and
will use a concealed allocation. One intervention group will receive, in addition
to standard ICU treatment, physiotherapy with systematically augmented levels of
mobilisation (five times per week, over 2 weeks; 20 min each session; with a
total of 10 additional sessions). The other intervention group will receive, in
addition to standard ICU treatment, in-bed cycle sessions (same number, frequency
and treatment time as the intervention group). Standard ICU treatment includes
sitting balance exercise, stretching, positioning, and sit-to-stand training, and
transfer training to get out of bed, strengthening exercise (in and out of bed),
and stepping and assistive standing exercises. Primary efficacy endpoints will be
walking ability (defined as a Functional Ambulation Category (FAC) level of >=3)
and the sum score of the Functional Status Score for the Intensive Care Unit (FSS
ICU) (range 0-22 points) assessed by a blinded tester immediately after 2 weeks
of additional therapy. Secondary outcomes will include assessment of sit-to-stand
recovery, overall limb strength (Medical Research Council, MRC) and grip
strength, the Physical Function for the Intensive Care Unit Test-Scored (PFIT-S),
the EuroQol 5 Dimensions (EQ-5D) questionnaire and the Reintegration to Normal
Living Index (RNL-Index) assessed by a blinded tester. We will measure primary
and secondary outcomes with blinded assessors at baseline, immediately after 2
weeks of additional therapy, and at 3 weeks and 6 months and 12 months after the
end of the additional therapy intervention. Based on our sample size calculation
108 patients will be recruited from our post-acute ICU in the next 3 to 4 years.
DISCUSSION: This will be the first RCT comparing the effects of two physical
rehabilitation interventions for people with ICUAW due to defined CIP and/or CIM
to improve walking and other activities of daily living. The results of this
trial will provide robust evidence for physical rehabilitation of people with CIP
and/or CIP who often require long-term care. TRIAL REGISTRATION: We registered
the study on 6 April 2016 before enrolling the first patient in the trial at the
German Clinical Trials Register ( www.germanctr.de ) with the identifier
DRKS00010269 . This is the first version of the protocol (FITonICU study
protocol).
PMID- 27881153
TI - Verification of TREX1 as a promising indicator of judging the prognosis of
osteosarcoma.
AB - BACKGROUND: The study aimed to explore the correlation between the expression of
TREX1 and the metastasis and the survival time of patients with osteosarcoma as
well as biological characteristics of osteosarcoma cells for the prognosis
judgment of osteosarcoma. METHOD: The correlation between the expression of TREX1
protein and the occurrence of pulmonary metastasis in 45 cases of osteosarcoma
was analyzed. The CD133+ and CD133- cell subsets of osteosarcoma stem cells were
sorted by the flow cytometry. The tumorsphere culture, clone formation, growth
curve, osteogenic and adipogenic differentiation, tumor-formation ability in nude
mice, sensitivity of chemotherapeutic drugs, and other cytobiology behaviors were
compared between the cell subsets in two groups; the expressions of stem cell
related genes Nanog and Oct4 were compared; The expressions of TREX1 protein and
mRNA were compared between the cell subsets in two groups. The data was
statistically analyzed. The measurement data between the two groups were compared
using t test. The count data between the two groups were compared using chi 2
test and Kaplan-Meier survival analysis. A P value <0.05 indicated that the
difference was statistically significant. RESULTS: The expression of TREX1
protein in patients with osteosarcoma in the metastasis group was significantly
lower than that in the non-metastasis group. The difference was statistically
significant (P < 0.05). Up to the last follow-up visit, the former average
survival time was significantly lower than that of the latter, and the difference
was statistically significant (P < 0.05). The expression of TREX1 in human
osteosarcoma CD133+ cell subsets was significantly lower than that in CD133- cell
subsets. Stemness-related genes Nanog and Oct4 were highly expressed in human
osteosarcoma CD133+ cell subsets with lower expression of TREX1; the biological
characteristics identification experiment showed that human CD133+ cell subsets
with low TREX1 expression could form tumorspheres, the number of colony forming
was more, the cell proliferation ability was strong, the osteogenic and
adipogenic differentiation potential was big, the tumor-forming ability in nude
mice was strong, and the sensibility of chemotherapeutics drugs on cisplatin was
low. CONCLUSIONS: The expression of TREX1 may be related to metastasis in
patients with osteosarcoma. The expression of TREX1 was closely related to the
cytobiology characteristics of osteosarcoma stem cell. TREX1 can play an
important role in the occurrence and development processes. And, TREX1 is
expected to become an effective new index for the evaluation of the prognosis.
PMID- 27881155
TI - Selective laser trabeculoplasty for early glaucoma: analysis of success
predictors and adjusted laser outcomes based on the untreated fellow eye.
AB - BACKGROUND: To identify success predictors and to study the role of the fellow
untreated eye as a co-variable for adjustment of intraocular pressure (IOP)
outcomes following selective laser trabeculoplasty (SLT) in early open-angle
glaucoma (OAG) patients. METHODS: A case series was carried out. Patients with
uncontrolled early OAG or ocular hypertension (inadequate IOP control requiring
additional treatment) underwent SLT (one single laser session) performed by the
same surgeon in a standardized fashion. The same preoperative medical regimen was
maintained during follow-up for all patients. Post-treatment assessments were
scheduled at week 1 and months 1, 2, and 3. In order to account for possible
influence of IOP fluctuation on laser outcomes, post-laser IOP values of the
treated eye of each patient were also analyzed adjusting for IOP changes (between
visits variation) of the untreated fellow eye (adjusted analysis). Pre and post
laser IOP values were compared using paired t-test. Factors associated with the
magnitude of IOP reduction were investigated using multiple regression analysis.
RESULTS: A total of 45 eyes of 45 patients were enrolled. Mean IOP was reduced
from 20.8 +/- 5.1 to 14.9 +/- 2.9 mmHg at month 3 (p < 0.001). Adjusted success
rate (defined as IOP reduction >= 20%) was 64% and mean percentage of IOP
reduction was 23.1 +/- 14.3% at last follow-up visit. Considering unadjusted post
laser IOP values, it was found a 20% greater absolute IOP reduction (median
[interquartile range] 6 mmHg [4-7] vs 5 mmHg [3-7]; p = 0.04), with a success
rate of 76%. Although baseline IOP was significantly associated with both
adjusted and unadjusted post-laser IOP reduction, a stronger association was
found when unadjusted IOP values were considered (p < 0.001 and R 2 = 0.35; p <
0.001 and R 2 = 0.67, respectively). Age, mean deviation (MD) index, central
corneal thickness and type of glaucoma were not significant predictors (p >=
0.150). CONCLUSIONS: In this group of patients with early OAG or ocular
hypertension, our short-term results confirmed SLT as a safe and effective
alternative for IOP reduction. Although better outcomes were found in eyes with
higher preoperative IOP, this effect was mitigated when results were adjusted to
the fellow untreated eye (to the influence of between visits-IOP fluctuations).
PMID- 27881154
TI - Targeted next generation sequencing identifies novel NOTCH3 gene mutations in
CADASIL diagnostics patients.
AB - BACKGROUND: Cerebral autosomal dominant arteriopathy with subcortical infarcts
and leukoencephalopathy (CADASIL) is a monogenic, hereditary, small vessel
disease of the brain causing stroke and vascular dementia in adults. CADASIL has
previously been shown to be caused by varying mutations in the NOTCH3 gene. The
disorder is often misdiagnosed due to its significant clinical heterogeneic
manifestation with familial hemiplegic migraine and several ataxia disorders as
well as the location of the currently identified causative mutations. The aim of
this study was to develop a new, comprehensive and efficient single assay
strategy for complete molecular diagnosis of NOTCH3 mutations through the use of
a custom next-generation sequencing (NGS) panel for improved routine clinical
molecular diagnostic testing. RESULTS: Our custom NGS panel identified nine
genetic variants in NOTCH3 (p.D139V, p.C183R, p.R332C, p.Y465C, p.C597W, p.R607H,
p.E813E, p.C977G and p.Y1106C). Six mutations were stereotypical CADASIL
mutations leading to an odd number of cysteine residues in one of the 34 NOTCH3
gene epidermal growth factor (EGF)-like repeats, including three new typical
cysteine mutations identified in exon 11 (p.C597W; c.1791C>G); exon 18 (p.C977G;
c.2929T>G) and exon 20 (p.Y1106C; c.3317A>G). Interestingly, a novel missense
mutation in the CACNA1A gene was also identified in one CADASIL patient. All
variants identified (novel and known) were further investigated using in silico
bioinformatic analyses and confirmed through Sanger sequencing. CONCLUSIONS: NGS
provides an improved and effective methodology for the diagnosis of CADASIL. The
NGS approach reduced time and cost for comprehensive genetic diagnosis, placing
genetic diagnostic testing within reach of more patients.
PMID- 27881156
TI - Klotho sensitive regulation of dendritic cell functions by vitamin E.
AB - BACKGROUND: Dendritic cells (DCs) are the most potent professional antigen
presenting cells for naive T cells to link innate and acquired immunity. Klotho,
an anti-aging protein, participates in the regulation of Ca2+ dependent migration
in DCs. Vitamin E (VitE) is an essential antioxidant to protect cells from damage
and elicits its inhibitory effects on NF-kappaB-mediated inflammatory response.
However, the roles of VitE on mouse DC functions and the contribution of klotho
to those effects both are unknown. The present study explored the effects of VitE
on klotho expression, maturation, ROS production and migration in DCs. METHODS:
The mouse bone marrow cells were isolated and cultured with GM-CSF to attain bone
marrow-derived DCs (BMDCs). Cells were stimulated with LPS (100 ng/ml) in the
presence or absence of VitE (500 uM). RT-PCR and immunoprecipitation methods were
employed to determine klotho expression, ELISA to determine cytokine release,
flow cytometry to analyze number of CD86+CD11c+ cells, the intracellular
expression of cytokines and reactive oxygen species (ROS) production and a
transwell migration assay to trace migration. RESULTS: Klotho transcript level
and this hormone secretion in DC supernatant were enhanced by VitE treatment and
further increased in the presence of NF-kappaB inhibitor Bay 11-7082 (10 uM).
Moreover, VitE treatment inhibited IL-12p70 protein expression of, ROS
accumulation in and CCL21-dependent migration of LPS-triggered mature DCs, these
effects were reversed following klotho silencing. CONCLUSION: The up-regulation
of klotho by VitE could contribute to the inhibitory effects of VitE on NF-kappaB
mediated DC functional maturation. The events might contribute to
immunotherapeutic effect of VitE on the pathophysiology of klotho-related
disease.
PMID- 27881157
TI - Plasma microRNAs are associated with acute exacerbation in idiopathic pulmonary
fibrosis.
AB - BACKGROUND: Acute exacerbation of idiopathic pulmonary fibrosis (AE-IPF) has high
short-term mortality with unknown causes. To predict this malignant condition in
clinics is challenging. In this study, we aim to demonstrate whether there are
miRNAs that differ between AE-IPF and stable IPF, which may be served as reliable
biomarker for AE-IPF prediction. METHODS: Human fibrotic-associated miRNAs arrays
were designed to detect miRNAs expression in plasma of 3 AE-IPF patients, 3
Stable-IPF (S-IPF) patients and 3 normal controls (NC). Differentially expressed
miRNAs between AE-IPF and S-IPF patients were selected for further analyses. The
validation studies were carried out in plasma of 12 AE-IPF patients, 45 S-IPF
patients and 51 healthy control subjects. Signaling pathways and cellular
processes interacted with validated miRNAs were predicted by DIANA-miRPath.
RESULTS: According to the array analysis, 6 miRNAs showed differentiated
expression between AE-IPF and S-IPF patients (P < 0.05). In the validation
studies, let-7d-5p was decreased in S-IPF and further decreased in AE-IPF, when
compared to NC (0.0003 +/- 0.0002 vs 0.003 +/- 0.002, P < 0.01 and 0.0007 +/-
0.0005 vs 0.003 +/- 0.002, P < 0.01). While miR-25-3p was obviously decreased in
S-IPF (0.0002 +/- 0.0001 vs 0.0003 +/- 0.0003, P < 0.01) but significantly
increased in AE-IP (0.0023 +/- 0.002 vs 0.0003 +/- 0.0003, P < 0.01). In receiver
operator characteristic (ROC) curve analysis, the areas under the curve (AUCs) of
miR-25-3p and let-7d-5p were 0.83 and 0.75, respectively. The sensitivity at
fixed specificity of 90% was improved from 50% to 66.7% when the two miRNAs were
combined. The functional prediction of miRNAs suggested that the loss of anti
fibrotic capacity and the gain of uncontrolled cell growth may be required in AE
IPF pathogenesis. CONCLUSIONS: In conclusion, miR-25-3p and let-7d-5p in plasma
were differentially expressed between AE-IPF and S-IPF. A combination of these
two miRNAs may be a potential biomarker for AE-IPF from IPF.
PMID- 27881158
TI - Evaluation of daily patient positioning for radiotherapy with a commercial 3D
surface-imaging system (CatalystTM).
AB - BACKGROUND: To report our initial clinical experience with the novel surface
imaging system CatalystTM (C-RAD AB, Sweden) in connection with an Elekta Synergy
linear accelerator for daily patient positioning in patients undergoing radiation
therapy. METHODS: We retrospectively analyzed the patient positioning of 154
fractions in 25 patients applied to thoracic, abdominal, and pelvic body regions.
Patients were routinely positioned based on skin marks, shifted to the calculated
isocenter position and treated after correction via cone beam CT which served as
gold standard. Prior to CBCT an additional surface scan by the CatalystTM system
was performed and compared to a reference surface image cropped from the planning
CT to obtain shift vectors for an optimal surface match. These shift vectors were
subtracted from the vectors obtained by CBCT correction to assess the theoretical
setup error that would have occurred if the patients had been positioned using
solely the CatalystTM system. The mean theoretical set up-error and its standard
deviation were calculated for all measured fractions and the results were
compared to patient positioning based on skin marks only. RESULTS: Integration of
the surface scan into the clinical workflow did not result in a significant time
delay. Regarding the entire group, the mean setup error by using skin marks only
was 0.0 +/- 2.1 mm in lateral, -0.4 +/- 2.4 mm in longitudinal, and 1.1 +/- 2.6
mm vertical direction. The mean theoretical setup error that would have occurred
using solely the CatalystTM was -0.1 +/- 2.1 mm laterally, -1.8 +/- 5.4 mm
longitudinally, and 1.4 +/- 3.2 mm vertically. No significant difference was
found in any direction. For thoracic targets the mean setup error based on the
CatalystTM was 0.6 +/- 2.6 mm laterally, -5.0 +/- 7.9 mm longitudinally, and 0.5
+/- 3.2 mm vertically. For abdominal targets, the mean setup error was 0.3 +/-
2.2 mm laterally, 2.6 +/- 1.8 mm longitudinally, and 2.1 +/- 5.5 mm vertically.
For pelvic targets, the setup error was -0.9 +/- 1.5 mm laterally, -1.7 +/- 2.8
mm longitudinally, and 1.6 +/- 2.2 mm vertically. A significant difference
between CatalystTM and skin mark based positioning was only observed in
longitudinal direction of pelvic targets. CONCLUSION: Optical surface scanning
using CatalystTM seems potentially useful for daily positioning at least to
complement usual imaging modalities in most patients with acceptable accuracy,
although a significant improvement compared to skin mark based positioning could
not be derived from the evaluated data. However, this effect seemed to be rather
caused by the unexpected high accuracy of skin mark based positioning than by
inaccuracy using the CatalystTM. Further on, surface registration in longitudinal
axis seemed less reliable especially in pelvic localization. Therefore further
prospective evaluation based on strictly predefined protocols is needed to
determine the optimal scanning approaches and parameters.
PMID- 27881159
TI - Identifying profiles of service users in housing services and exploring their
quality of life and care needs.
AB - BACKGROUND: Housing services aim to support people with mental illness in their
daily life and recovery. As the level of recovery differs between service users,
the quality of life and care needs also might vary. However, the type and amount
of care and support that service users receive do not always match their
recovery. In order to improve the quality of care, this study aims to explore
whether subgroups of service users exist based on three dimensions of recovery
and to examine and compare the quality of life and care needs of the persons in
these subgroups. METHODS: Latent class analysis was performed with data from 263
service users of housing services in the Netherlands. Classes were based on three
variables: personal recovery (Mental Health Recovery Measure), social recovery
(Social Functioning Scale), and clinical recovery (Brief Symptom Inventory).
Subsequently, the quality of life (MANSA) and care needs (CANSAS) of the
different classes were analysed by the use of descriptive and inferential
statistics. RESULTS: Three classes could be distinguished. Class 1 (45%)
comprised of people who score the highest of the three classes in terms of
personal and social recovery and who experience the least number of symptoms.
People in class 2 (44%) and class 3 (11%) score significantly lower on personal
and social recovery, and they experience significantly more symptoms compared to
class 1. The distinction between class 2 and 3 can be made on the significantly
higher number of symptoms in class 3. All three classes differ significantly on
quality of life and unmet needs. CONCLUSIONS: The quality of life of service
users of housing services needs improvement, as even persons in the best
recovered subgroup have a lower quality of life than the average population.
Workers of housing services need to be aware of the recovery of a client and what
his or her individual needs and goals are. Furthermore, better care (allocation)
concerning mental and physical health and rehabilitation is needed. Care should
be provided on all dimensions of recovery at the same time, therefore mental
health care organisations should work together and integrate their services.
TRIAL REGISTRATION: ISRCTN registry ISRCTN77355880 retrospectively registered
05/07/2013.
PMID- 27881160
TI - Distribution and patterning of non-communicable disease risk factors in
indigenous Mbororo and non-autochthonous populations in Cameroon: cross sectional
study.
AB - BACKGROUND: Data on Non-Communicable Diseases (NCDs) among indigenous populations
are needed for interventions to improve health care. We conducted a survey in
2013 among rural indigenous Mbororo, Fulbe and other ethnic groups to determine
the distribution of risk factors of NCDs in Cameroon. METHODS: We selected seven
targets of NCD risk factors: tobacco use, alcohol use, diet (salt/sugar intake,
vegetable/fruit consumption), raised blood pressure, raised blood glucose,
physical inactivity and weight measures. The WHO STEPwise approach was used to
collect data from 1921 consenting participants aged >=20 years. Prevalence of NCD
risk factors was summarised by descriptive statistics. RESULTS: Underweight was
widespread, Mbororo (50.8%) and Fulbe (37.2%). Increase in prevalence of six risk
factors was observed among the Fulbe when compared to Mbororo. Participants aged
20-39 years had low levels of physical activity, poor diet and higher levels of
alcohol consumption (except Mbororo) and those aged >=40 years had higher
prevalence of diabetes, hypertension, current smoking and overweight/obesity. Men
and women differed in current smoking, occasional/daily alcohol consumption, pre
hypertension and hypertension, continuous walking for at least ten minutes, and
weight measures for Fulbe and Mbororo, p < 0.05. CONCLUSION: Distribution of NCD
risk factors was high among settled Fulani (Fulbe) when compared to indigenous
nomadic Fulani (Mbororo). Change from nomadic to settled life might be
accompanied by higher prevalence of NCDs. This data should be used to develop
intervention programmes to curb the rising burden of NCDs in rural indigenous and
non-indigenous populations.
PMID- 27881161
TI - Lower hypoxic ventilatory response in smokers compared to non-smokers during
abstinence from cigarettes.
AB - BACKGROUND: Carotid body O2-chemosensitivity determines the hypoxic ventilatory
response (HVR) as part of crucial regulatory reflex within oxygen homeostasis.
Nicotine has been suggested to attenuate HVR in neonates of smoking mothers.
However, whether smoking affects HVR in adulthood has remained unclear and
probably blurred by acute ventilatory stimulation through cigarette smoke. We
hypothesized that HVR is substantially reduced in smokers when studied after an
overnight abstinence from cigarettes i.e. after nicotine elimination. METHODS: We
therefore determined the isocapnic HVR of 23 healthy male smokers (age 33.9 +/-
2.0 years, BMI 24.2 +/- 0.5 kg m-2, mean +/- SEM) with a smoking history of >8
years after 12 h of abstinence and compared it to that of 23 healthy male non
smokers matched for age and BMI. RESULTS: Smokers and non-smokers were comparable
with regard to factors known to affect isocapnic HVR such as plasma levels of
glucose and thiols as well as intracellular levels of glutathione in blood
mononuclear cells. As a new finding, abstinent smokers had a significantly lower
isocapnic HVR (0.024 +/- 0.002 vs. 0.037 +/- 0.003 l min-1 %-1BMI-1, P = 0.002)
compared to non-smokers. However, upon re-exposure to cigarettes the smokers' HVR
increased immediately to the non-smokers' level. CONCLUSIONS: This is the first
report of a substantial HVR reduction in abstinent adult smokers which appears to
be masked by daily smoking routine and may therefore have been previously
overlooked. A low HVR may be suggested as a novel link between smoking and
aggravated hypoxemia during sleep especially in relevant clinical conditions such
as COPD.
PMID- 27881162
TI - Residence-related factors and psychological distress among evacuees after the
Fukushima Daiichi nuclear power plant accident: a cross-sectional study.
AB - BACKGROUND: Relocation following a disaster can impact the psychological well
being of evacuees. This study investigated the associations between residence
related factors and psychological distress among evacuees living in temporary
housing after the Fukushima Daiichi Nuclear Power Plant accident. METHODS: Data
from 525 participants living in temporary housing were collected. Associations
between residence-related factors (frequent relocation, dissatisfaction with the
residence, and plan to move to permanent housing) and psychological distress were
measured. The psychological distress of evacuees was measured using the Japanese
version of the 6-item Kessler scale (K6). We used a cut-off score of five to
identify cases with psychological distress, the basis of Kessler's 6 items for
psychological distress. RESULTS: Multivariate logistic regression analysis (n =
418) showed that frequent relocation (OR = 2.05, 95% CI: 1.14-3.66, p = 0.016)
and dissatisfaction with the residence (OR = 2.48, 95% CI: 1.60-3.83, p < 0.001)
was significantly associated with psychological distress. After stratifying by
gender, dissatisfaction with the residence was associated with psychological
distress, and a plan to move to permanent housing was significantly associated
with psychological distress in women (OR = 1.93, 95% CI: 1.03-3.63, p = 0.041).
CONCLUSIONS: Frequent relocation and dissatisfaction with the residence were
associated with psychological distress among evacuees following the Fukushima
nuclear disaster. Evacuees should be provided with comfortable living spaces, and
steps should be taken to reduce repeated relocation of evacuees. Thus, particular
attention should be paid to women with a plan to move to permanent housing within
this context.
PMID- 27881164
TI - Administration of anti-receptor activator of nuclear factor-kappa B ligand
(RANKL) antibody for the treatment of osteoporosis was associated with
amelioration of hepatitis in a female patient with growth hormone deficiency: a
case report.
AB - BACKGROUND: Growth hormone deficiency (GHD) is associated with non-alcoholic
fatty liver disease (NAFLD). A recent animal study showed that hepatocyte
specific receptor activator of nuclear factor-kappa B (RANK) knockout mice had
significantly lower liver fat content compared with control mice concomitant with
a decrease in production of inflammatory cytokines such as tumor necrosis factor
alpha (TNF-alpha) from hepatocytes and kupffer cells. The role of anti-RANK
ligand (RANKL) antibody for osteoporosis on hepatitis in patients with aGHD is
still unknown. CASE PRESENTATION: A forty-seven-year-old female patient was
referred to our hospital to investigate chronic hepatitis caused by unknown
etiology. She had past history of craniopharyngioma treated with craniotomy and
post-surgical radiotherapy. She was for the first time diagnosed as
panhypopituitarism including growth hormone deficiency and osteoporosis by
endocrine examinations and bone mineral densitometry, respectively. In addition,
non-alcoholic steatohepatitis (NASH) was histologically confirmed by liver biopsy
in this time. Sixty mg anti-RANKL antibody, which was subcutaneously injected to
treat the osteoporosis every six months after replacement of 5 mg hydrocortisone
and 30 MUg oral desmopressin, rapidly decreased the levels of her liver enzymes
(ALT and gammaGTP were 133 to 72 U/L and 284 to 99 U/L at 16 months after the
beginning of the treatment, respectively). Additional amelioration of liver
dysfunction was not observed after growth hormone replacement. CONCLUSIONS: The
clinical course of the present case suggested that RANKL-RANK signaling may be a
key pathological mechanism in establishment or development of NAFLD or NASH in
patients with panhypopituitarism including GHD.
PMID- 27881163
TI - Assessing the feasibility of a web-based domestic violence intervention using
chronic disease frameworks: reducing the burden of 'treatment' and promoting
capacity for action in women abused by a partner.
AB - BACKGROUND: Domestic violence shares many features with chronic disease,
including ongoing physical and mental health problems and eroded self-efficacy.
Given the challenges around help-seeking for women experiencing domestic
violence, it is essential that they be given support to 'self-manage' their
condition. The growing popularity of web-based applications for chronic disease
self-management suggests that there may be opportunities to use them as an
intervention strategy for women experiencing domestic violence, however, as yet,
little is known about whether this might work in practice. DISCUSSION: It is
critical that interventions for domestic violence-whether web-based or otherwise
promote agency and capacity for action rather than adding to the 'workload' of
already stressed and vulnerable women. Although randomised controlled trials are
vital to determine the effectiveness of interventions, robust theoretical
frameworks can complement them as a way of examining the feasibility of
implementing an intervention in practice. To date, no such frameworks have been
developed for the domestic violence context. Consequently, in this paper we
propose that it may be useful to appraise interventions for domestic violence
using frameworks developed to help understand the barriers and facilitators
around self-management of chronic conditions. Using a case study of an online
healthy relationship tool and safety decision aid developed in Australia (I
DECIDE), this paper adapts and applies two theories: Burden of Treatment Theory
and Normalisation Process Theory, to assess whether the intervention might
increase women's agency and capacity for action. In doing this, it proposes a new
theoretical model with which the practical application of domestic violence
interventions could be appraised in conjunction with other evaluation frameworks.
This paper argues that theoretical frameworks for chronic disease are appropriate
to assess the feasibility of implementing interventions for domestic violence in
practice. The use of the modified Burden of Treatment/Normalisation Process
Theory framework developed in this paper strengthens the case for I-DECIDE and
other web-based applications as a way of supporting women experiencing domestic
violence.
PMID- 27881165
TI - Comparative analysis of four terpenoids in root and cortex of Tripterygium
wilfordii Radix by different drying methods.
AB - BACKGROUND: Tripterygium wilfordii Radix, a well-known traditional medicine in
china which is used for treatment of inflammation, pain, tumor and immune
regulation for centuries in china, accompany with the serious toxic side effects.
This study was carried out for simultaneously analyzing the four main components
(triptolide, triptophenolide, demethylzeylasteral and celastrol) in Tripterygium
wilfordii Radix under different drying processes, which was important for
reducing the toxicity and quality control of Tripterygium wilfordii Radix in
future. METHODS: The terpenes were extracted by using ultrasonic method with
ethyl acetate from root or cortex of Tripterygium wilfordii Radix, and the
sensitive and rapid HPLC-PDA method was developed for simultaneous quantification
of triptolide, triptophenolide, demethylzeylasteral and celastrol in root and
cortex of Tripterygium wilfordii Radix for evaluation of the impacts by different
drying processes. RESULTS: The four compounds in their respective determined
arrange had good linearity of 0.9998?R2?0.9999 and the average recoveries were
range from 94.69 to 100.28%, RSDs were within 0.27 to 2.42%, respectively. The
contents of triptolide, triptophenolide, demethylzeylasteral and celastrol in
different Tripterygium wilfordii Radix individuals were varied greatly at
different drying temperatures. Under different temperatures, the contents of
triptolide, triptophenolide, demethylzeylasteral, and celastrol were 37.94-70.31
mg/g, 0-1.807 mg/g, 0.3513-9.205 mg/g, 3.202-15.31 mg/g, respectively. The
suitable drying temperature of terpenoids in root of wild and cultivate are 80
degrees C and 60 degrees C, the suitable drying temperature of terpenoids in
cortex is 40 degrees C. CONCLUSIONS: The method established is high sensitivity,
accuracy, reliability and suitable for the simultaneous analysis of terpenoids in
Tripterygium wilfordii Radix. The data provide a scientific basis and reference
for the quality control of herb and preparations related to Tripterygium
wilfordii Radix.
PMID- 27881167
TI - Differing association of alcohol consumption with different stroke types: a
systematic review and meta-analysis.
AB - BACKGROUND: Whether light-to-moderate alcohol consumption is protective against
stroke, and whether any association differs by stroke type, is controversial. We
conducted a meta-analysis to summarize the evidence from prospective studies on
alcohol drinking and stroke types. METHODS: Studies were identified by searching
PubMed to September 1, 2016, and reference lists of retrieved articles.
Additional data from 73,587 Swedish adults in two prospective studies were
included. Study-specific results were combined in a random-effects model.
RESULTS: The meta-analysis included 27 prospective studies with data on ischemic
stroke (25 studies), intracerebral hemorrhage (11 studies), and/or subarachnoid
hemorrhage (11 studies). Light and moderate alcohol consumption was associated
with a lower risk of ischemic stroke, whereas high and heavy drinking was
associated with an increased risk; the overall RRs were 0.90 (95 % CI, 0.85-0.95)
for less than 1 drink/day, 0.92 (95 % CI, 0.87-0.97) for 1-2 drinks/day, 1.08 (95
% CI, 1.01-1.15) for more than 2-4 drinks/day, and 1.14 (95 % CI, 1.02-1.28) for
more than 4 drinks/day. Light and moderate alcohol drinking was not associated
with any hemorrhagic stroke subtype. High alcohol consumption (>2-4 drinks/day)
was associated with a non-significant increased risk of both hemorrhagic stroke
subtypes, and the relative risk for heavy drinking (>4 drinks/day) were 1.67 (95
% CI, 1.25-2.23) for intracerebral hemorrhage and 1.82 (95 % CI, 1.18-2.82) for
subarachnoid hemorrhage. CONCLUSION: Light and moderate alcohol consumption was
inversely associated only with ischemic stroke, whereas heavy drinking was
associated with increased risk of all stroke types with a stronger association
for hemorrhagic strokes.
PMID- 27881168
TI - Loss of porin function in dopaminergic neurons of Drosophila is suppressed by
Buffy.
AB - BACKGROUND: Mitochondrial porin, also known as the voltage-dependent anion
channel (VDAC), is a multi-functional channel protein that shuttles metabolites
between the mitochondria and the cytosol and implicated in cellular life and
death decisions. The inhibition of porin under the control of neuronal Ddc-Gal4
result in short lifespan and in an age-dependent loss in locomotor function,
phenotypes that are strongly associated with Drosophila models of Parkinson
disease. METHODS: Loss of porin function was achieved through exploitation of RNA
interference while derivative lines were generated by homologous recombination
and tested by PCR. The UAS/Gal4 expression system was exploited with directed
expression in neurons achieved with the use of the Dopa decarboxylase and in the
developing eye with the Glass multiple reporter transgenes. Statistical analyses
for ageing assay employed Log rank (Mantel-Cox) test, climbing indices were
fitted with a non-linear curve and confidence intervals compared at 95%.
Biometric analysis of the eye phenotypes was obtained by unpaired student T-test.
RESULTS: The expression of alpha-synuclein in neuronal populations that include
dopamine producing neurons under the control of Ddc-Gal4 produces a robust
Parkinson disease model, and results in severely reduced lifespan and locomotor
dysfunction. In addition, the porin-induced phenotypes are greatly suppressed
when the pro-survival Bcl-2 homologue Buffy is overexpressed in these neurons and
in the developing eye adding to the cellular advantages of altered expression of
this anti-apoptotic gene. When we co-expressed alpha-synuclein along with porin,
it results in a decrease in lifespan and impaired climbing ability. This
enhancement of the alpha-synuclein-induced phenotypes observed in neurons was
demonstrated in the neuron rich eye, where the simultaneous co-expression of
porin-RNAi and alpha-synuclein resulted in an enhanced eye phenotype, marked by
reduced number of ommatidia and increased disarray of the ommatidia. CONCLUSIONS:
The inhibition of porin in dopaminergic neurons among others result in reduced
lifespan and age-dependent loss in climbing ability, phenotypes that are
suppressed by the overexpression of the sole pro-survival Bcl-2 homologue Buffy.
The inhibition of porin phenocopies Parkinson disease phenotypes in Drosophila,
while the overexpression of Buffy can counteract these phenotypes to improve the
overall "healthspan" of the organism.
PMID- 27881169
TI - Spatiotemporal exposure modeling of ambient erythemal ultraviolet radiation.
AB - BACKGROUND: Ultraviolet B (UV-B) radiation plays a multifaceted role in human
health, inducing DNA damage and representing the primary source of vitamin D for
most humans; however, current U.S. UV exposure models are limited in spatial,
temporal, and/or spectral resolution. Area-to-point (ATP) residual kriging is a
geostatistical method that can be used to create a spatiotemporal exposure model
by downscaling from an area- to point-level spatial resolution using fine-scale
ancillary data. METHODS: A stratified ATP residual kriging approach was used to
predict average July noon-time erythemal UV (UVEry) (mW/m2) biennially from 1998
to 2012 by downscaling National Aeronautics and Space Administration (NASA) Total
Ozone Mapping Spectrometer (TOMS) and Ozone Monitoring Instrument (OMI) gridded
remote sensing images to a 1 km spatial resolution. Ancillary data were
incorporated in random intercept linear mixed-effects regression models. Modeling
was performed separately within nine U.S. regions to satisfy stationarity and
account for locally varying associations between UVEry and predictors. Cross
validation was used to compare ATP residual kriging models and NASA grids to UV-B
Monitoring and Research Program (UVMRP) measurements (gold standard). RESULTS:
Predictors included in the final regional models included surface albedo, aerosol
optical depth (AOD), cloud cover, dew point, elevation, latitude, ozone, surface
incoming shortwave flux, sulfur dioxide (SO2), year, and interactions between
year and surface albedo, AOD, cloud cover, dew point, elevation, latitude, and
SO2. ATP residual kriging models more accurately estimated UVEry at UVMRP
monitoring stations on average compared to NASA grids across the contiguous U.S.
(average mean absolute error [MAE] for ATP, NASA: 15.8, 20.3; average root mean
square error [RMSE]: 21.3, 25.5). ATP residual kriging was associated with
positive percent relative improvements in MAE (0.6-31.5%) and RMSE (3.6-29.4%)
across all regions compared to NASA grids. CONCLUSIONS: ATP residual kriging
incorporating fine-scale spatial predictors can provide more accurate, high
resolution UVEry estimates compared to using NASA grids and can be used in
epidemiologic studies examining the health effects of ambient UV.
PMID- 27881166
TI - Using the social amoeba Dictyostelium to study the functions of proteins linked
to neuronal ceroid lipofuscinosis.
AB - Neuronal ceroid lipofuscinosis (NCL), also known as Batten disease, is a
debilitating neurological disorder that affects both children and adults.
Thirteen genetically distinct genes have been identified that when mutated,
result in abnormal lysosomal function and an excessive accumulation of ceroid
lipofuscin in neurons, as well as other cell types outside of the central nervous
system. The NCL family of proteins is comprised of lysosomal enzymes (PPT1/CLN1,
TPP1/CLN2, CTSD/CLN10, CTSF/CLN13), proteins that peripherally associate with
membranes (DNAJC5/CLN4, KCTD7/CLN14), a soluble lysosomal protein (CLN5), a
protein present in the secretory pathway (PGRN/CLN11), and several proteins that
display different subcellular localizations (CLN3, CLN6, MFSD8/CLN7, CLN8,
ATP13A2/CLN12). Unfortunately, the precise functions of many of the NCL proteins
are still unclear, which has made targeted therapy development challenging. The
social amoeba Dictyostelium discoideum has emerged as an excellent model system
for studying the normal functions of proteins linked to human neurological
disorders. Intriguingly, the genome of this eukaryotic soil microbe encodes
homologs of 11 of the 13 known genes linked to NCL. The genetic tractability of
the organism, combined with its unique life cycle, makes Dictyostelium an
attractive model system for studying the functions of NCL proteins. Moreover, the
ability of human NCL proteins to rescue gene-deficiency phenotypes in
Dictyostelium suggests that the biological pathways regulating NCL protein
function are likely conserved from Dictyostelium to human. In this review, I will
discuss each of the NCL homologs in Dictyostelium in turn and describe how future
studies can exploit the advantages of the system by testing new hypotheses that
may ultimately lead to effective therapy options for this devastating and
currently untreatable neurological disorder.
PMID- 27881172
TI - Eigenspace-based beamformer using oblique signal subspace projection for
ultrasound plane-wave imaging.
AB - BACKGROUND: The Eigenspace-based beamformers, by orthogonal projection of signal
subspace, can remove a large part of the noise, and provide better imaging
contrast upon the minimum variance beamformer. However, wrong estimate of signal
and noise component may bring dark-spot artifacts and distort the signal
intensity. The signal component and noise and interference components are
considered uncorrelated in conventional eigenspace-based beamforming methods. In
ultrasound imaging, however, signal and noise are highly correlated. Therefore,
the oblique projection instead of orthogonal projection should be taken into
account in the denoising procedure of eigenspace-based beamforming algorithm.
METHODS: In this paper, we propose a novel eigenspace-based beamformer based on
the oblique subspace projection that allows for consideration of the signal and
noise correlation. Signal-to-interference-pulse-noise ratio and an eigen
decomposing scheme are investigated to propose a new signal and noise subspaces
identification. To calculate the beamformer weights, the minimum variance weight
vector is projected onto the signal subspace along the noise subspace via an
oblique projection matrix. RESULTS: We have assessed the performance of proposed
beamformer by using both simulated software and real data from Verasonics system.
The results have exhibited the improved imaging qualities of the proposed
beamformer in terms of imaging resolution, speckle preservation, imaging
contrast, and dynamic range. CONCLUSIONS: Results have shown that, in ultrasound
imaging, oblique projection is more sensible and effective than orthogonal
subspace projection. Better signal and speckle preservation could be obtained by
oblique projection compare to orthogonal projection. Also shadowing artifacts
around the hyperechoic targets have been eliminated. Implementation the new
subspace identification has enhanced the imaging resolution of the minimum
variance beamformer due to the increasing the signal power in direction of
arrival. Also it has offered better sidelobe suppression and a higher dynamic
range.
PMID- 27881170
TI - Relationship of cardiometabolic parameters in non-smokers, current smokers, and
quitters in diabetes: a systematic review and meta-analysis.
AB - BACKGROUND: Smoking is associated with increased macrovascular and microvascular
complications in people with diabetes. In addition to other concomitant vascular
perturbations, it also seems to influence the cardiometabolic parameters, which
may partly explain the accelerated rate of vascular complications in smokers with
diabetes. While smoking cessation is advocated as a universal component of the
management of diabetes, there is some anecdotal evidence that HbA1c could
increase following smoking cessation. The aim of this review is to explore the
relationship between smoking and its cessation on cardiometabolic parameters in
diabetes. METHODS: Searches were conducted on Medline, EMBASE and CINAHL up to
March 2016. After screening 6866 studies (Additional file 1), 14 observational
studies with a total of 98,978 participants' with either type 1 or type 2
diabetes were selected for review. Narrative synthesis and meta-analyses were
carried out to explore the relationship between smoking and its cessation.
RESULTS: Meta-analysis showed that the pooled mean difference of HbA1c between
non-smokers and smokers was -0.61% (95% CI -0.88 to -0.33, p < 0.0001). The
difference in LDL cholesterol between non-smokers and smokers was -0.11 mmol/l
(95% CI -0.21 to -0.01, p = 0.04). The difference in HDL cholesterol between non
smokers and smokers was 0.12 mmol/l (95% CI 0.08-0.15, p < 0.001). However, there
was no statistically significant difference in blood pressure between the two
groups. The difference in HbA1c between quitters and continued smokers was not
statistically significant -0.10% (95% CI -0.42 to 0.21, p = 0.53). However, a
narrative synthesis revealed that over a period of 10 years, the HbA1c was
comparable between non-smokers and quitters. CONCLUSION: Non-smokers have a
statistically significant lower HbA1c and more favourable lipid profile compared
to smokers. Smoking cessation does not lead to an increase in HbA1c in long-term
and may reduce vascular complications in diabetes by its favourable impact on
lipid profile.
PMID- 27881171
TI - Assessment of left atrial mechanical functions and atrial electromechanical delay
in Juvenile idiopathic arthritis by tissue Doppler echocardiography.
AB - BACKGROUND: Juvenile idiopathic arthritis (JIA) is a systemic chronic
inflammatory disease. Studies using tissue Doppler imaging (TDI) for the
evaluation of cardiac functions of children with JIA are limited. Thus, this
study was conducted to evaluate Left ventricular function, left atrial mechanical
functions and atrial electromechanical delay in JIA. METHODS: This study was
carried out as a across sectional study. A total of 34 patients with active JIA
and 34 controls were included. Atrial electromechanical delay and left atrial
(LA) mechanical functions in addition to systolic and diastolic left ventricular
(LV) functions were measured by using conventional echocardiography and TDI.
Assessment of disease activity was done using Juvenile arthritis disease activity
score (JADAS-27). RESULTS: JIA patients had abnormal atrial electromechanical
coupling as established from prolonged lateral mitral annulus (PA lateral),
septal mitral annulus (PA septum), inter-atrial and intra-atrial
electromechanical delays compared with healthy controls. Left ventricular filling
abnormalities were found characterized by a reduced E/A ratio (1.07 +/- 0.56 vs.
1.48 +/- 0.16, p = 0.01). E/Em was significantly higher in patients with JIA
(7.58 +/- 1.79 vs. 4.74 +/- 1.45, p = 0.003) denoting impaired diastolic
function. Left atrial mechanical functions assessment showed significantly
decreased LA passive emptying fraction, increased LA active emptying fraction and
LA total emptying volume in JIA patients (p = 0.01, p = 0.01, p = 0.03
respectively). CONCLUSION: Atrial electromechanical coupling intervals, and LA
mechanical functions were impaired which can be considered as an early form of
subclinical cardiac involvement in JIA patients. Significant diastolic functional
abnormalities exist in JIA.
PMID- 27881174
TI - Juvenile arthritis caused by a novel FAMIN (LACC1) mutation in two children with
systemic and extended oligoarticular course.
AB - BACKGROUND: The pathophysiological origin of juvenile idiopathic arthritis (JIA)
is largely unknown. However, individuals with presumably pathogenic mutations in
FAMIN have been reported, associating this gene with a rare subtype of this
disorder. FAMIN, that is formerly also referred to as LACC1 or C13orf31, has
recently been shown to play a crucial role in immune-metabolic functions and is
involved in regulation of inflammasome activation and promotion of ROS
production. CASE PRESENTATION: We describe two siblings with severe familial
forms of juvenile arthritis in which whole-exome-sequencing revealed a novel
homozygous frameshift mutation (NM_153218.2:c.827delC . p.(T276fs*2) in FAMIN.
CONCLUSIONS: The observation of a new deleterious mutation adds further evidence
that pathogenic mutations in FAMIN are causal for a monogenic form of JIA.
Furthermore the associated phenotype is not restricted to systemic JIA, but can
also be found in other forms of familial juvenile arthritis.
PMID- 27881173
TI - Walkability and walking for transport: characterizing the built environment using
space syntax.
AB - BACKGROUND: Neighborhood walkability has been shown to be associated with walking
behavior. However, the availability of geographical data necessary to construct
it remains a limitation. Building on the concept of space syntax, we propose an
alternative walkability index, space syntax walkability (SSW). This study
examined associations of the full walkability index and SSW with walking for
transport (WT). METHODS: Data were collected in 2003-2004 from 2544 adults living
in 154 Census Collection Districts (CCD) in Adelaide, Australia. Participants
reported past week WT frequency. Full walkability (consisting of net residential
density, intersection density, land use mix, and net retail area ratio) and SSW
(consisting of gross population density and a space syntax measure of street
integration) were calculated for each CCD using geographic information systems
and space syntax software. Generalized linear models with negative binomial
variance and logarithmic link functions were employed to examine the associations
of each walkability index with WT frequency, adjusting for socio-demographic
variables. RESULTS: Two walkability indices were closely correlated (rho = 0.76,
p < 0.01). The associations of full walkability and SSW with WT frequency were
positive, with regression coefficients of 1.12 (95% CI: 1.08, 1.17) and 1.14 (95%
CI: 1.10, 1.19), respectively. CONCLUSIONS: SSW employs readily-available
geographic data, yet is comparable to full walkability in its association with
WT. The concept and methods of space syntax provide a novel approach to further
understanding how urban design influences walking behaviors.
PMID- 27881175
TI - Do emergency medicine journals promote trial registration and adherence to
reporting guidelines? A survey of "Instructions for Authors".
AB - BACKGROUND: The aim of this study was to evaluate the current state of two
publication practices, reporting guidelines requirements and clinical trial
registration requirements, by analyzing the "Instructions for Authors" of
emergency medicine journals. METHODS: We performed a web-based data abstraction
from the "Instructions for Authors" of the 27 Emergency Medicine journals
catalogued in the Expanded Science Citation Index of the 2014 Journal Citation
Reports and Google Scholar Metrics h5-index to identify whether each journal
required, recommended, or made no mention of the following reporting guidelines:
EQUATOR Network, ICMJE, ARRIVE, CARE, CONSORT, STARD, TRIPOD, CHEERS, MOOSE,
STROBE, COREQ, SRQR, SQUIRE, PRISMA-P, SPIRIT, PRISMA, and QUOROM. We also
extracted whether journals required or recommended trial registration. Authors
were blinded to one another's ratings until completion of the data validation.
Cross-tabulations and descriptive statistics were calculated using IBM SPSS 22.
RESULTS: Of the 27 emergency medicine journals, 11 (11/27, 40.7%) did not mention
a single guideline within their "Instructions for Authors," while the remaining
16 (16/27, 59.3%) mentioned one or more guidelines. The QUOROM statement and SRQR
were not mentioned by any journals whereas the ICMJE guidelines (18/27, 66.7%)
and CONSORT statement (15/27, 55.6%) were mentioned most often. Of the 27
emergency medicine journals, 15 (15/27, 55.6%) did not mention trial or review
registration, while the remaining 12 (12/27, 44.4%) at least mentioned one of the
two. Trial registration through ClinicalTrials.gov was mentioned by seven (7/27,
25.9%) journals while the WHO registry was mentioned by four (4/27, 14.8%).
Twelve (12/27, 44.4%) journals mentioned trial registration through any registry
platform. DISCUSSION: The aim of this study was to evaluate the current state of
two publication practices, reporting guidelines requirements and clinical trial
registration requirements, by analyzing the "Instructions for Authors" of
emergency medicine journals. In this study, there was not a single reporting
guideline mentioned in more than half of the journals. This undermines efforts of
other journals to improve the completeness and transparency of research
reporting. CONCLUSIONS: Reporting guidelines are infrequently required or
recommended by emergency medicine journals. Furthermore, few require clinical
trial registration. These two mechanisms may limit bias and should be considered
for adoption by journal editors in emergency medicine. TRIAL REGISTRATION:
UMIN000022486.
PMID- 27881177
TI - High IKZF1/3 protein expression is a favorable prognostic factor for survival of
relapsed/refractory multiple myeloma patients treated with lenalidomide.
AB - The aim of this study is to assess nucleoprotein expression of IKZF1/3 in
patients with relapsed/refractory multiple myeloma (MM) who received lenalidomide
based therapy and correlated them with their clinical outcomes. A total of 50
patients diagnosed with MM were entered in the study with the median follow-up of
86.4 months. By immunohistochemistry (IHC), IKZF1 and IKZF3 were expressed in 72
and 58% of the cases, respectively. IKZF1 and IKZF3 expressions were associated
with longer median progression free survival (P = 0.0029 and P < 0.0001) and
overall survival (P = 0.0014 and P < 0.0001). IKZF3 expression also appears
predicted a favorable response to the lenalidomide-based therapy.
PMID- 27881176
TI - No midterm advantages in the middle term using small intestinal submucosa and
human amniotic membrane in Achilles tendon transverse tenotomy.
AB - BACKGROUND: The study was aimed to compare the effects of small intestinal
submucosa (SIS) and human amniotic membrane (HAM) on Achilles tendon healing.
METHODS: A total of 48 New Zealand white rabbits were divided into two groups. A
full-thickness transverse tenotomy was made at the right leg of the rabbits.
Then, the laceration site was wrapped with HAM (P/A group) or SIS (P/S group).
The ultimate stress (US) and Young's modulus (E) of the tendons were detected for
biomechanical analysis. Histological evaluation was performed using hematoxylin
and eosin, immunohistochemical, and immunofluorescent stain. Expression of
collagen I was detected by western blot analysis, and levels of inflammatory
cytokines IL-1beta, IL-6, and TNF-alpha were measured. Finally, adhesion
formation was evaluated. RESULTS: There were no significant differences in
filamentous adhesion, cross-sectional areas of the laceration sites, levels of
inflammatory response, and collagen type I expression between the P/A and P/S
groups (p > 0.05). Compared with the P/A group, the US and E values were
significantly higher in the P/S group at day 7 (p < 0.05) and at day 14 (p <
0.05). In addition, vascularity was significantly higher in the P/S group than
that in the P/A group at day 3 (p < 0.05), day 7 (p < 0.01), and day 9 (p <
0.05). CONCLUSIONS: SIS showed superior biomechanical properties and
neovascularization over HAM in treatment of Achilles tendon injury in the early
stage of healing.
PMID- 27881178
TI - Measuring stress in medical education: validation of the Korean version of the
higher education stress inventory with medical students.
AB - BACKGROUND: Medical students face a variety of stressors associated with their
education; if not promptly identified and adequately dealt with, it may bring
about several negative consequences in terms of mental health and academic
performance. This study examined psychometric properties of the Korean version of
the Higher Education Stress Inventory (K-HESI). METHODS: The reliability and
validity of the K-HESI were examined in a large scale multi-site survey involving
7110 medical students. The K-HESI, Beck Depression Inventory (BDI) and questions
regarding quality of life (QOL) and self-rated physical health (SPH) were
administered. RESULTS: Exploratory factor analysis of the K-HESI identified seven
factors: Low commitment; financial concerns; teacher-student relationship;
worries about future profession; non-supportive climate; workload; and
dissatisfaction with education. A subsequent confirmatory factor analysis
supported the 7-factor model. Internal consistency of the K-HESI was satisfactory
(Cronbach's alpha = .78). Convergent validity was demonstrated by its positive
association with the BDI. Known group validity was supported by the K-HESI's
ability to detect significant differences on the overall and subscale scores of K
HESI according to different levels of QOL and SPH. CONCLUSIONS: The K-HESI is a
psychometrically valid tool that comprehensively assesses various relevant
stressors related to medical education. Evidence-based stress management in
medical education empirically guided by the regular assessment of stress using
reliable and valid measure is warranted.
PMID- 27881179
TI - Molecular evidence of Sarcocystis nesbitti in water samples of Tioman Island,
Malaysia.
AB - BACKGROUND: Sarcocystis are intracellular protozoan parasites that are
characterised by their ability to invade muscle tissue and form intramuscular
sarcocysts. A muscular sarcocystosis outbreak was reported by travellers
returning from Tioman Island in 2011 and 2012 where Sarcocystis nesbitti was
identified as the main cause. The source of the S. nesbitti that was involved has
remained elusive, although water is hypothesised to be the main cause of
transmission. A surveillance study was therefore undertaken in the northern
regions of Tioman Island to identify the source of S. nesbitti by screening
rivers, water tanks, wells and seawater. METHODS: Water samples were collected
from rivers, water tanks, wells and seawater on Tioman Island over the course of
April to October 2015. Water samples were indirectly screened for Sarcocystis
species by obtaining sediment from respective water sources. PCR amplification of
the 18S rRNA gene region was conducted to identify positive samples. Microscopy
was used in an attempt to reappraise PCR results, but no sporocysts were detected
in any of the samples. RESULTS: A total of 157 water samples were obtained and 19
were positive for various Sarcocystis species. Through BLASTn and phylogenetic
analysis, these species were found to be S. singaporensis, S. nesbitti,
Sarcocystis sp. YLL-2013 and one unidentified Sarcocystis species. CONCLUSIONS:
This is the first positive finding of S. nesbitti in water samples on Tioman
Island, which was found in a water tank and in river water samples. This finding
supports the hypothesis that water was a potential medium for the transmission of
S. nesbitti during the outbreak. This will potentially identify areas in which
preventive measures can be taken to prevent future outbreaks.
PMID- 27881180
TI - Polypharmacy and adverse outcomes after hip fracture surgery.
AB - BACKGROUND: We aimed to explore the effects of polypharmacy and specific drug
classes on readmissions and mortality after hip surgery. METHODS: We analyzed
data on 272 consecutive hip fracture patients (72.1% females; age 82 +/- 9 years)
who underwent acute hip replacement. We collected detailed data on the
pharmacological treatment upon admission and discharge. Patients were followed up
over a period of 6 months after discharge using the Swedish National Hospital
Discharge Register and the Swedish National Cause of Death Register. RESULTS:
After 6 months, 86 patients (31.6%) were readmitted, while 36 patients (13.2%)
died. The total number of medications upon discharge was predictive of
rehospitalization (odds ratio (OR) 1.08, 95%CI 1.01-1.17, p = 0.030) but not
predictive of mortality. The use of antiosteoporotic agents (OR 1.86, 95%CI 1.06
3.26, p = 0.03), SSRIs (OR 1.90, 95%CI 1.06-3.42, p = 0.03), and eye drops (OR
4.12, 95%CI 1.89-8.97, p = 0.0004) were predictive of rehospitalization.
Treatment with vitamin K antagonists (OR 4.29, 95%CI 1.19-15.39, p = 0.026),
thiazides (OR 4.10, 95%CI 1.30-12.91, p = 0.016), and tramadol (OR 2.84, 95%CI
1.17-6.90, p = 0.021) predicted readmissions due to a new fall/trauma.
CONCLUSIONS: The total number of medications, use of antiosteoporotic agents,
SSRIs, and eye drops predicted rehospitalization after hip fracture surgery,
while use of vitamin K antagonists, thiazides, and tramadol was associated with
readmissions due to a traumatic fall. TRIAL REGISTRATION: Hip fractures and
polypharmacy in the elderly. Stimulus Project for the Elderly 2009-2011 (Reg no
2009-11-26). Swedish National Board of Health and Welfare.
PMID- 27881181
TI - Efficacy of ULV and thermal aerosols of deltamethrin for control of Aedes
albopictus in nice, France.
AB - BACKGROUND: Ultra-low volume (ULV) insecticidal aerosols dispensed from vehicle
mounted cold-foggers are widely considered the method of choice for control of
Aedes aegypti and Ae. albopictus during outbreaks of dengue and chikungunya and,
more recently, Zika. Nevertheless, their effectiveness has been poorly studied,
particularly in Europe. Nearly all published studies of ULV efficacy are bio
assays based on the mortality of caged mosquitoes. In our study we preferred to
monitor the direct impact of treatments on the wild mosquito populations. This
study was undertaken to evaluate the efficiency of the two widely used space
spraying methods to control Ae. albopictus and Ae. aegypti. METHODS: We
determined the susceptibility of local Ae. albopictus to deltamethrin by two
methods: topical application and the "WHO Tube Test". We used ovitraps baited
with hay infusion and adult traps (B-G Sentinel) baited with a patented
attractant to monitor the mosquitoes in four residential areas in Nice, southern
France. The impact of deltamethrin applied from vehicle-mounted ULV fogging
machines was assessed by comparing trap results in treated vs untreated areas for
5 days before and 5 days after treatment. Four trials were conducted at the
maximum permitted application rate (1 g.ha-1). We also made two small-scale tests
of the impact of the same insecticide dispensed from a hand-held thermal fogger.
RESULTS: Susceptibility to the insecticide was high but there was no discernable
change in the oviposition rate or the catch of adult female mosquitoes, nor was
there any change in the parous rate. In contrast, hand-held thermal foggers were
highly effective, with more than 90% reduction of both laid eggs and females.
CONCLUSIONS: We believe that direct monitoring of the wild mosquito populations
gives a realistic assessment of the impact of treatments and suggest that the
lack of efficacy is due to lack of interaction between the target mosquitoes and
the ULV aerosol. We discuss the factors that influence the effectiveness of both
methods of spraying in the context of epidemic situations.
PMID- 27881182
TI - Research: Prevalence of neural tube defects Khartoum, Sudan August 2014-July
2015.
AB - BACKGROUND: Neural tube defects (NTDs) are birth defects that results from
failure of the neural tube to develop properly during early pregnancy. METHODS:
We studied the prevalence of neural tube defects in newborns admitted to the NICU
in Soba University and Omdurman Maternity hospitals, during the period 1st August
2014 to 31st July 2015. A cross-sectional hospital based study, involved all
newborns with any type of neural tube defect admitted to the NICU in the study
area during the study period. Data was collected using a questionnaire reviewing
the medical, social history and clinical examination. RESULTS: Out of the 36,785
delivered newborns during the study period, the prevalence of NTDs was 2.8:1000.
Females were 56 (54.4%) predominated males 47 (45.6%). History of neural tube
defects was found in 11 (10.7%) of the affected newborns siblings. Sixty-eight
(66%) of the studied mothers received folic acid during pregnancy with the
current child, of those who received folic acid 66 (97.1%) started folic acid
after conception, 36 (54.5%) in the first trimester and 39 (57.4%) had no regular
intake of the folic acid. The types of NTDs include myelomeningocele 49 (47.6%),
anencephaly 18 (17.5%), encephalocele 14 (13.6%), myelomeningocele and
hydrocephalus 11 (10.7%) and meningocele 8 (7.8%). CONCLUSION: The prevalence of
neural tube defects is 2.8:1000. Myelomeningocele is the commonest encountered
NTD. The use of preconception folic acid needs to be advocated.
PMID- 27881183
TI - Erratum to: Recurrent purpura due to alcohol-related Schamberg's disease and its
association with serum immunoglobulins: a longitudinal observation of a heavy
drinker.
PMID- 27881184
TI - StereoTactic radiotherapy for wet Age-Related macular degeneration (STAR): study
protocol for a randomised controlled clinical trial.
AB - BACKGROUND: The standard of care for neovascular age-related macular degeneration
(nAMD) involves ongoing intravitreal injections of anti-angiogenic drugs
targeting vascular endothelial growth factor (VEGF). The most commonly used anti
VEGF drugs are ranibizumab, bevacizumab and aflibercept. The main objective of
the STAR trial is to determine if stereotactic radiotherapy can reduce the number
of anti-VEGF injections that patients with nAMD require. METHODS/DESIGN: STAR is
a multicentre, double-masked, randomised, sham-controlled clinical trial. It
evaluates a new device (manufactured by Oraya, Newark, CA, USA) designed to
deliver stereotactic radiotherapy (SRT) to nAMD lesions. The trial enrols
participants with chronic, active nAMD. Participants receive a single SRT
treatment (16 Gy or sham) with a concomitant baseline intravitreal injection of
0.5 mg ranibizumab. Thereafter, they attend every month for 24 months, and
ranibizumab is administered at the visit if retreatment criteria are met. The
primary outcome is the number of pro re nata ranibizumab injections during the
first 24 months. Secondary outcomes include visual acuity, lesion morphology,
quality of life and safety. Additional visits occur at 36 and 48 months to
inspect for radiation retinopathy. The target sample size of 411 participants
(randomised 2:1 in favour of radiation) is designed to detect a reduction of 2.5
injections against ranibizumab monotherapy, at 90% power, and a significance
level (alpha) of 0.025 (one-sided two-sample t test). This gives 97% power to
detect non-inferiority of visual acuity at a five-letter margin. The primary
analyses will be by intention to treat. DISCUSSION: The safety and efficacy
outcomes will help determine the role of SRT in the management of chronic, active
nAMD. TRIAL REGISTRATION: International Standard Randomised Controlled Trial
Number: ISRCTN12884465. Registered on 28 November 2014. ClinicalTrials.gov:
NCT02243878 . Registered on 17 September 2014.
PMID- 27881186
TI - The social network around influenza vaccination in health care workers: a cross
sectional study.
AB - BACKGROUND: Influenza vaccination coverage remains low among health care workers
(HCWs) in many health facilities. This study describes the social network defined
by HCWs' conversations around an influenza vaccination campaign in order to
describe the role played by vaccination behavior and other HCW characteristics in
the configuration of the links among subjects. METHODS: This study used cross
sectional data from 235 HCWs interviewed after the 2010/2011 influenza
vaccination campaign at the Hospital Clinic of Barcelona (HCB), Spain. The study
asked: "Who did you talk to or share some activity with respect to the seasonal
vaccination campaign?" Variables studied included sociodemographic
characteristics and reported conversations among HCWs during the influenza
campaign. Exponential random graph models (ERGM) were used to assess the role of
shared characteristics (homophily) and individual characteristics in the social
network around the influenza vaccination campaign. RESULTS: Links were more
likely between HCWs who shared the same professional category (OR 3.13, 95% CI =
2.61-3.75), sex (OR 1.34, 95% CI = 1.09-1.62), age (OR 0.7, 95% CI = 0.63-0.78
per decade of difference), and department (OR 11.35, 95% CI = 8.17-15.64), but
not between HCWs who shared the same vaccination behavior (OR 1.02, 95% CI = 0.86
1.22). Older (OR 1.26, 95% CI = 1.14-1.39 per extra decade of HCW) and vaccinated
(OR 1.32, 95% CI = 1.09-1.62) HCWs were more likely to be named. CONCLUSIONS:
This study finds that there is no homophily by vaccination status in whom HCWs
speak to or interact with about a workplace vaccination promotion campaign. This
result highlights the relevance of social network analysis in the planning of
health promotion interventions.
PMID- 27881188
TI - A barrier to medical treatment? British medical practitioners, medical appliances
and the patent controversy, 1870-1920.
AB - From the late nineteenth century onwards there emerged an increasingly diverse
response to escalating patenting activity. Inventors were generally supportive of
legislation that made patenting more accessible, while others, especially
manufacturers, saw patenting culture as an impediment. The medical profession
claimed that patenting represented 'a barrier to medical treatment' and was thus
detrimental to the nation's health, yet, as I argue, the profession's development
of strict codes of conduct forbidding practitioners from patenting resulted in
rebellion from some members, who increasingly sought protection for their
inventions. Such polarized opinions within the medical trade continue to affect
current medical practice today.
PMID- 27881185
TI - Melatonin and melatonin agonists to prevent and treat delirium in critical
illness: a systematic review protocol.
AB - BACKGROUND: Delirium is a syndrome characterized by acute fluctuations and
alterations in attention and arousal. Critically ill patients are at particularly
high risk, and those that develop delirium are more likely to experience poor
clinical outcomes such as prolonged duration of ICU and hospital length of stay,
and increased mortality. Melatonin and melatonin agonists (MMA) have the
potential to decrease the incidence and severity of delirium through their
hypnotic and sedative-sparing effects, thus improving health-related outcomes.
The objective of this review is to synthesize the available evidence pertaining
to the efficacy and safety of MMA for the prevention and treatment of ICU
delirium. METHODS: We will search Ovid MEDLINE, Web of Science, EMBASE, PsycINFO,
the Cochrane Central Register of Controlled Trials (CENTRAL), and CINAHL to
identify studies evaluating MMA in critically ill populations. We will also
search http://apps.who.int/trialsearch for ongoing and unpublished studies and
PROSPERO for registered reviews. We will not impose restrictions on language,
date, or journal of publication. Authors will independently screen for eligible
studies using pre-defined criteria; data extraction from eligible studies will be
performed in duplicate. The Cochrane Risk of Bias Scale and the Newcastle-Ottawa
Scale will be used to assess the risk of bias and quality of randomized and non
randomized studies, respectively. Our primary outcome of interest is delirium
incidence, and secondary outcomes include duration of delirium, number of
delirium- and coma-free days, use of physical and chemical (e.g., antipsychotics
or benzodiazepines) restraints, duration of mechanical ventilation, ICU and
hospital length of stay, mortality, long-term neurocognitive outcomes, hospital
discharge disposition, and adverse events. We will use Review Manager (RevMan) to
pool effect estimates from included studies. We will present results as relative
risks with 95% confidence intervals for dichotomous outcomes and as mean
differences, or standardized mean differences, for continuous outcomes.
DISCUSSION: Current guidelines make no pharmacological recommendations for either
the prevention or treatment of ICU delirium. This systematic review will
synthesize the available evidence on the efficacy and safety of MMA for this
purpose, thus potentially informing clinical decision-making and improving
patient outcomes. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42015024713.
PMID- 27881187
TI - Class solutions for SABR-VMAT for high-risk prostate cancer with and without
elective nodal irradiation.
AB - BACKGROUND: The purpose of this study is to find the optimal planning settings
for prostate SABR-VMAT for high-risk prostate cancer patients irradiated to
prostate only (PO) or prostate and pelvic lymph nodes (PPLN). METHODS: For 10
patients, plans using 6MV flattened, flattening-filter-free (FFF) 6MV (6 F) and
FFF 10MV (10 F) photon beams with full and partial arc arrangements were
generated and compared. The prescribed dose was 40Gy to the prostate with 25Gy to
the PLN in 5 fractions. Plans were then evaluated for PTV coverage, dose fall
off, and OAR doses. The number of monitor units and the treatment delivery times
were also compared. Statistical differences were evaluated using a paired sample
Wilcoxon signed rank test with a significance level of 0.05%. RESULTS: A total of
150 plans were generated for this study. Acceptable PO plans were obtained using
single arcs, while two arcs were necessary for PPLN. All plans were highly
conformal (CI >=1.3 and CN >=0.90) with no significant differences in the PTV
dose coverage. 6MV plans required significantly longer treatment time and had
higher dose spillage compared to FFF plans. Superior plans were obtained using 10
F 300 degrees partial arcs for PO with the lowest rectal dose, dose spillage and
the shortest treatment times. For PPLN, 6 F and 10 F plans were equivalent.
CONCLUSIONS: SABR-VMAT with FFF photon beams offers a clear benefit with respect
to shorter treatment delivery times and reduced dose spillage. Class solutions
using a single 10 F 300 degrees arc for PO and two 10 F or 6 F partial 300
degrees arcs for PPLN are proposed.
PMID- 27881189
TI - Pharmaceutical patenting and the transformation of American medical ethics.
AB - The attitudes of physicians and drug manufacturers in the US toward patenting
pharmaceuticals changed dramatically from the mid-nineteenth century to the mid
twentieth. Formerly, physicians and reputable manufacturers argued that
pharmaceutical patents prioritized profit over the advancement of medical
science. Reputable manufactures refused to patent their goods and most physicians
shunned patented products. However, moving into the early twentieth century,
physicians and drug manufacturers grew increasingly comfortable with the idea of
pharmaceutical patents. In 1912, for example, the American Medical Association
dropped the prohibition on physicians holding medical patents. Shifts in wider
patenting cultures therefore transformed the ethical sensibilities of physicians.
PMID- 27881190
TI - Trace element concentration in organic and conventional milk: what are the
nutritional implications of the recently reported differences? - CORRIGENDUM.
PMID- 27881191
TI - Policies for healthy and sustainable edible oil consumption: a stakeholder
analysis for Thailand.
AB - OBJECTIVE: Palm oil is a cheap and versatile edible oil in widespread use as a
food ingredient that has been linked to negative health and environmental
outcomes. The current study aimed to understand the prospects for future health
focused policy development to limit food use of palm oil and promote a greater
diversity of oils in Thailand's food system. DESIGN: Eighteen semi-structured
interviews were conducted with a range of stakeholders. The interviews probed
views on the economic, health and environmental dimensions of the issue, the
prospects for health-focused policy development and the policy development
process. Transcripts were analysed using a health policy analytical framework.
SETTING: Thailand. SUBJECTS: Stakeholders from a range of ministries, regulatory
agencies, the private sector, non-governmental organizations and academia.
RESULTS: There are several impediments to the emergence of strong regulation,
including the primacy of economic considerations in setting policy, doubt and
misperception about health implications and a complex regulatory environment with
little space for health-related considerations. At the same time, some sections
of the food industry producing food for domestic consumption are substituting
palm with other oils on the basis of consumer health perceptions. CONCLUSIONS:
Strong regulation to curb the growth of palm oil is unlikely to emerge soon.
However, a long-term strategy can be envisaged that relies on greater policy
support for other indigenous oils, strategic rebalancing towards the use of palm
oil for biofuels and oleochemicals, and harnessing Thailand's food technology
capabilities to promote substitution in food production in favour of oils with
healthier fatty acid composition.
PMID- 27881192
TI - Effect of a ceiling fan ventilation system on finishing young bulls' health,
behaviour and growth performance.
AB - This research aimed at assessing the effects of a ceiling fan ventilation system
on health, feeding, social behaviour and growth response of finishing young bulls
fattened indoors during a mild summer season. A total of 69 Charolais young bulls
were housed in six pens without any mechanical ventilation system (Control) and
in six pens equipped with ceiling fans. The experimental period lasted 98 days
from June until mid-September 2014. Four experimental days were considered in
order to assess the effect of the ventilation system under two different
microclimatic conditions: 2 alert days at monthly interval with temperature
humidity index (THI) between 75 and 78, and 2 normal days with THI?74. Health and
behaviour of the bulls were evaluated through 8-h observation sessions starting
after morning feed delivery. The study was carried out during a rather cool
summer with a climate average THI of 68.9 and 4 days with average THI>75. Despite
these mild climate conditions, ceiling fans lowered litter moisture and acted as
a preventive measure for bulls' dirtiness (odd ratio=47.9; 95% CI 19.6 to 117.4).
The risk of abnormal breathing was increased for Control bulls (odd ratio=40.7;
95% CI 5.4 to 304.2). When exposed to alert THI conditions, respiration rate and
panting scores increased and rumination duration dropped in Control bulls
compared with bulls provided with a ceiling fan. During observations under alert
THI, bulls spent less time eating, more time being inactive and consumed more
water compared with normal THI conditions. Bulls' daily dry matter intake
measured during the observation sessions decreased on alert compared with normal
THI days (P<0.001) due to a drop of intake during the daylight hours. Ceiling fan
treatment had no effect on bulls' growth performance or water consumption but
these results most likely depended on the mild climate conditions. Ceiling fans
proved to mitigate some of the negative effects of heat stress on bulls'
behaviour (rumination, lying down and drinking water) and respiration rate,
however. The lack of a significant improvement of bulls' growth response should
not discourage beef farmers from using ceiling fans in indoor systems,
considering the likely increase in frequency and intensity of heat waves in the
planet's temperate areas induced by global warming.
PMID- 27881193
TI - Message framing in the context of the national menu-labelling policy: a
comparison of public health and private industry interests.
AB - OBJECTIVE: We conducted a content analysis of public comments to understand the
key framing approaches used by private industry v. public health sector, with the
goal of informing future public health messaging, framing and advocacy in the
context of policy making. DESIGN: Comments to the proposed menu-labelling policy
were extracted from Regulations.gov and analysed. A framing matrix was used to
organize and code key devices and themes. Documents were analysed using content
analysis with Dedoose software. SETTING: Recent national nutrition-labelling
regulations in the USA provide a timely opportunity to understand message framing
in relation to obesity prevention and policy. SUBJECTS: We examined a total of
ninety-seven documents submitted on behalf of organizations (private industry, n
64; public health, n 33). RESULTS: Public health focused on positive health
consequences of the policy, used a social justice frame and supported its
arguments with academic data. Industry was more critical of the policy; it used a
market justice frame that emphasized minimal regulation, depicted its members as
small, family-run businesses, and illustrated points with humanizing examples.
CONCLUSIONS: Public health framing should counter and consider engaging directly
with non-health-related arguments made by industry. Public health should include
more powerful framing devices to convey their messages, including metaphors and
humanizing examples.
PMID- 27881194
TI - Authority and ownership: the growth and wilting of medicine patenting in Georgian
England.
AB - Secret, owned, Georgian medicines were normally known as patent medicines, though
few had a current patent. Up to 1830, just 117 medicines had been patented,
whilst over 1,300 were listed for taxation as 'patent medicines'. What were the
benefits of patenting? Did medicine patenting affect consumer perception, and how
was this used as a marketing tool? What were the boundaries of medical patenting?
Patents for therapeutic preparations provided an apparent government guarantee on
the source and composition of widely available products, while the patenting of
medical devices seems to have been used to grant a temporary monopoly for the
inventor's benefit.
PMID- 27881195
TI - The involvement of RUNX2 and SPARC genes in the bacterial chondronecrosis with
osteomyelitis in broilers.
AB - Economic losses due to an increase of leg disorders in broilers have become a
major concern of the poultry industry. Despite the efforts to reduce skeletal
abnormalities in chickens, insufficient progress has been made. Bacterial
chondronecrosis with osteomyelitis (BCO) is one of the main disorders that affect
bone integrity in broilers. However, the genetic pathways and genes involved in
most bone problems, including BCO, remains unclear. In this study, femoral
samples from male broilers with 45 days of age affected or not with BCO were used
to compare the relative expression with a reverse transcription real time PCR
approach of 13 candidate genes: SPP1 (osteopontin), TNFRSF11B (osteoprotegerin),
SPARC (osteonectin), CALB1 (calbidin 1), CALM (Calmodulin 2), IBSP
(sialoprotein), COL1A2 (collagen, type I, alpha 2), BMP2 (bone morphogenetic
protein 2), BMP3 (bone morphogenetic protein 3), RANKL (kappa-B nuclear factor
ligand), SMAD1 (SMAD family member 1), LEPR (leptin receptor) and RUNX2 (related
transcription factor Runt 2). Differential expression test between affected and
non-affected groups was performed using the REST software. The RUNX2 and SPARC
genes were downregulated (P<0.05) in the affected group, with reduced expression
of fourfold when compared with the non-affected group. This result indicates that
the downregulation of RUNX2 and SPARC can contribute to an increased incidence of
BCO in broilers.
PMID- 27881196
TI - Assessment of Reading Precursors in Spanish-Speaking Children.
AB - This study's purpose was to analyse basic reading processes in different age
groups of Spanish-speaking children using confirmatory factor analysis (CFA) and
regression analysis. Two hundred forty-five children (aged 4 years and 9 months,
to 9 years and 7 months; 120 boys, 125 girls), native Spanish-speakers, were
selected from schools in Madrid. All participants were in either their last year
of preschool or the first three years of elementary school, depending on their
age. Nine classic reading tasks were created and administered to measure three
reading skills: word recognition, phonological awareness, and reading
comprehension. The results of the CFA show that data fit to proposed model with a
general reading factor based on these three reading skills chi2(27) = 29.03, p =
.36, RMSEA = .02, 90% CIs [.0, .05], CFI = 1.0. The word recognition skills were
the best at describing reading performance in preschool children (R 2 = .51 for
word identification task); phonological awareness, especially rhyme
identification task, discriminated well until second grade (R 2 = .60); and
finally, reading comprehension, basically phrase completion task, were the best
measure of reading performance in third grade (R 2 = .45).
PMID- 27881197
TI - Acceptability and Necessity of Training for Optimal Personal Protective Equipment
Use.
AB - Healthcare workers routinely self-contaminate even when using personal protective
equipment. Observations of donning/ doffing practices on inpatient units along
with surveys were used to assess the need for a personal protective equipment
training program. In contrast to low perceived risk, observed doffing behaviors
demonstrate significant personal protective equipment technique deficits. Infect
Control Hosp Epidemiol 2017;38:226-229.
PMID- 27881198
TI - Practical Experience with Hole-Free Phase Plates for Cryo Electron Microscopy.
AB - Phase plate (PP) imaging has proven to be valuable in transmission cryo electron
microscopy of unstained, native-state biological specimens. Many PP types have
been described, however until the recent implementation of the "hole-free" phase
plate (HFPP), imaging has been challenging. We found the HFPP to be simple to
construct and to set up in the transmission electron microscopy, but care in
implementing automated data collection is needed. Performance may be variable,
both initially and over time, thus it is important to monitor and evaluate image
quality by observing the power spectrum. We found that while some HFPPs gave
transfer to high resolution without CTF oscillation, most reached high resolution
when operated with modest defocus.
PMID- 27881200
TI - Sow-level risk factors for stillbirth of piglets in organic sow herds.
AB - In Danish organic pig production, one-third of total born piglets die before
weaning, and stillbirth has previously crudely been estimated to account for 27%
of the total preweaning mortality. The objective of this study was to evaluate
season, litter size, parity and body condition of the sow as risk factors for
stillbirth in nine commercial Danish organic pig herds. The study was conducted
over a 1-year period, and the data included registrations on 5170 farrowings with
82 906 total born piglets. The average number of total born piglets per litter
was 16.0, and the number of stillborn piglets per litter was 1.1. A significant
effect of season was seen with an odds ratio for stillbirth of 1.15 during summer
(May to August) compared with the remaining part of the year. A non-linear effect
of litter size was seen where an increase in litter size from 11 to 16 resulted
in an odds ratio of stillbirth of 1.11. An increase in litter size from 16 to 21
resulted in an odds ratio of stillbirth of 1.45. A significant interaction
between body condition and parity was present. In first parity sows, an increase
in body condition score from 2 (thin) to 3 (moderate) and from 3 to 4 (fat)
increased the probability of stillbirth with an odds ratio of 1.23 and 1.36,
respectively. In sows with parity above 4, an increase in body condition score
from 2 to 3 and from 3 to 4 decreases the probability of stillbirth with an odds
ratio of 0.68 and 0.79, respectively. In conclusion, increasing litter size and
being born during the summer months of May to August were found to be risk
factors for stillbirth. Furthermore, an interaction between body condition and
parity showed that thin sows with parity above 4 had a substantially increased
risk of stillbirth compared with normal and fat sows with parity above 4. In
contrast, for parity 1 sows risk of stillbirth was increased in fat sows.
PMID- 27881199
TI - Intestinal permeability induced by lipopolysaccharide and measured by lactulose,
rhamnose and mannitol sugars in chickens.
AB - Increased intestinal permeability (IP) can lead to compromised health. Limited in
vivo IP research has been conducted in chickens. The objectives of the current
study were to develop a model of increased IP utilizing lipopolysaccharide (LPS
Escherichia coli O55:B5) and to evaluate IP changes using the lactulose, mannitol
and rhamnose (LMR) sugar permeability test. In addition, fluorescein
isothiocyanate dextran (FITC-d), d-lactate, zonula occludens (ZO-1) and diamine
oxidase (DAO) permeability tests were employed. Male Ross chickens were reared
until day 14 on the floor in an animal care facility and then transferred to
individual cages in three separate experiments. In each of experiments 1 and 2,
36 chicks were randomly allocated to receive either saline (control) or LPS
(n=18/group). Lactulose, mannitol and rhamnose sugar concentration in blood was
measured at 0, 30, 60, 90, 120 and 180 min in experiment 1, at 60, 90 and 120 min
in experiment 2 and at 90 min in experiment 3 (n=16/group). Lipopolysaccharide
was injected intraperitoneally at doses of 0.5, 1 and 1 mg/kg BW in experiments
1, 2 and 3, respectively, on days 16, 18 and 20, whereas control received sterile
saline. On day 21, only birds in experiments 1 and 2 were fasted for 19.5 h.
Chicks were orally gavaged with the LMR sugars (0.25 gL, 0.05 gM, 0.05 gR/bird)
followed by blood collection (from the brachial vein) as per time point for each
experiment. Only in experiment 3, were birds given an additional oral gavage of
FITC-d (2.2 mg/ml per bird) 60 min after the first gavage. Plasma d-lactate, ZO-1
and DAO concentrations were also determined by ELISA in experiment 3 (n=10).
Administration of LPS did not affect IP as measured by the LMR sugar test
compared with control. This was also confirmed by FITC-d and DAO levels in
experiment 3 (P>0.05). The plasma levels of d-lactate were decreased (P<0.05).
Plasma levels of ZO-1 were increased in the third experiment only and did not
change in the first two experiments. Lipopolysaccharide at doses of 0.5 and 1
mg/kg did not increase IP in this model system. In conclusion, the LMR sugar can
be detected in blood 90 min after the oral gavage. Further studies are needed for
the applicability of LMR sugars tests.
PMID- 27881202
TI - Association between junk food consumption and fast-food outlet access near school
among Quebec secondary-school children: findings from the Quebec Health Survey of
High School Students (QHSHSS) 2010-11.
AB - OBJECTIVE: We investigated the association between junk food consumption at
lunchtime (JCL) and fast-food outlet access near school among secondary-school
children in Quebec. DESIGN: A geographic information system database was used to
characterize the food environment around a sub-sample of 374 public schools in
which 26 655 students were enrolled. The outcome variable was JCL during the
previous week, dichotomized into low JCL (none or once) v. high JCL (twice or
more). Access to fast-food outlets near school was assessed using an existing
database of fast-food outlets in Quebec. Covariates included student (age, sex
and self-rated perceived health), family (familial status and parental education)
and school (urban/rural status and deprivation) variables. Hierarchical logistic
regression models were employed for analyses using PROC GLIMMIX of SAS version
9.3. SETTING: Province of Quebec, Canada. SUBJECTS: We used data from the Quebec
Health Survey of High School Students (QHSHSS) 2010-11, a survey of secondary
school Quebec students. RESULTS: Exposure to two or more fast-food outlets within
a radius of 750 m around schools was associated with a higher likelihood of
excess JCL (OR=1.50; 95 % CI 1.28, 1.75), controlling for the characteristics of
the students, their families and their schools. CONCLUSIONS: The food environment
surrounding schools can constitute a target for interventions to improve food
choices among secondary-school children living in the province of Quebec.
Transforming environments around schools to promote healthy eating includes
modifying zoning regulations that restrict access to fast-food outlets around
schools.
PMID- 27881201
TI - Review: Feeding conserved forage to horses: recent advances and recommendations.
AB - The horse is a non-ruminant herbivore adapted to eating plant-fibre or forage
based diets. Some horses are stabled for most or the majority of the day with
limited or no access to fresh pasture and are fed preserved forage typically as
hay or haylage and sometimes silage. This raises questions with respect to the
quality and suitability of these preserved forages (considering production,
nutritional content, digestibility as well as hygiene) and required quantities.
Especially for performance horses, forage is often replaced with energy dense
feedstuffs which can result in a reduction in the proportion of the diet that is
forage based. This may adversely affect the health, welfare, behaviour and even
performance of the horse. In the past 20 years a large body of research work has
contributed to a better and deeper understanding of equine forage needs and the
physiological and behavioural consequences if these are not met. Recent nutrient
requirement systems have incorporated some, but not all, of this new knowledge
into their recommendations. This review paper amalgamates recommendations based
on the latest understanding in forage feeding for horses, defining forage types
and preservation methods, hygienic quality, feed intake behaviour, typical
nutrient composition, digestion and digestibility as well as health and
performance implications. Based on this, consensual applied recommendations for
feeding preserved forages are provided.
PMID- 27881203
TI - Validation of the Spanish Version of the School Engagement Measure (SEM).
AB - The scientific study of school engagement has recently been the subject of
considerable interest in the field of educational psychology, and significant
advances have been made in our knowledge of this construct. For instance, there
is currently consensus in the scientific community that it is multifaceted, and
has three dimensions: behavioral engagement, emotional engagement, and cognitive
engagement. However, more advanced statistical analyses are needed to validate
Spanish-language measures of school engagement, which this study proposes to do.
The sample was comprised of 1,250 adolescents from Basque Country (49% boys, 51%
girls) aged 12 to 15 years old (M = 13.72, SD = 1.09). The results of
confirmatory factor analysis on the School Engagement Measure (Fredricks,
Blumenfeld, Friedel, & Paris, 2005) supported a three-dimensional structure of
school engagement (chi2 (100) = 676.93, p < .001; GFI = .931; CFI = .906; IFI =
.907; SRMR = .058; RMSEA = .068). Reliability indexes were satisfactory, ranging
from .83 to .94. Adequate evidence of concurrent validity was found for the
variable perceived school performance (p < .01). The results are discussed from
an educational perspective, and with an eye to future research.
PMID- 27881204
TI - The Longitudinal Emergency Medical Technician (EMT) Attributes and Demographics
Study (LEADS): The First 10 Years and a Look at Public Perception of Emergency
Medical Services (EMS).
AB - Crowe RP , Bentley MA , Levine R . The Longitudinal Emergency Medical Technician
(EMT) Attributes and Demographics Study (LEADS): the first 10 years and a look at
public perception of Emergency Medical Services (EMS). Prehosp Disaster Med.
2016;31(Suppl. 1):s1-s6.
PMID- 27881205
TI - Hepatitis C Post-Exposure Prophylaxis for Healthcare Personnel: Policy Analysis
Among Philadelphia's Large Teaching Institutions.
PMID- 27881206
TI - Ultra-low-density genotype panels for breed assignment of Angus and Hereford
cattle.
AB - Angus and Hereford beef is marketed internationally for apparent superior meat
quality attributes; DNA-based breed authenticity could be a useful instrument to
ensure consumer confidence on premium meat products. The objective of this study
was to develop an ultra-low-density genotype panel to accurately quantify the
Angus and Hereford breed proportion in biological samples. Medium-density
genotypes (13 306 single nucleotide polymorphisms (SNPs)) were available on 54
703 commercial and 4042 purebred animals. The breed proportion of the commercial
animals was generated from the medium-density genotypes and this estimate was
regarded as the gold-standard breed composition. Ten genotype panels (100 to 1000
SNPs) were developed from the medium-density genotypes; five methods were used to
identify the most informative SNPs and these included the Delta statistic, the
fixation (F st) statistic and an index of both. Breed assignment analyses were
undertaken for each breed, panel density and SNP selection method separately with
a programme to infer population structure using the entire 13 306 SNP panel
(representing the gold-standard measure). Breed assignment was undertaken for all
commercial animals (n=54 703), animals deemed to contain some proportion of Angus
based on pedigree (n=5740) and animals deemed to contain some proportion of
Hereford based on pedigree (n=5187). The predicted breed proportion of all
animals from the lower density panels was then compared with the gold-standard
breed prediction. Panel density, SNP selection method and breed all had a
significant effect on the correlation of predicted and actual breed proportion.
Regardless of breed, the Index method of SNP selection numerically (but not
significantly) outperformed all other selection methods in accuracy (i.e.
correlation and root mean square of prediction) when panel density was ?300 SNPs.
The correlation between actual and predicted breed proportion increased as panel
density increased. Using 300 SNPs (selected using the global index method), the
correlation between predicted and actual breed proportion was 0.993 and 0.995 in
the Angus and Hereford validation populations, respectively. When SNP panels
optimised for breed prediction in one population were used to predict the breed
proportion of a separate population, the correlation between predicted and actual
breed proportion was 0.034 and 0.044 weaker in the Hereford and Angus
populations, respectively (using the 300 SNP panel). It is necessary to include
at least 300 to 400 SNPs (per breed) on genotype panels to accurately predict
breed proportion from biological samples.
PMID- 27881207
TI - Perceived Stress and Social Adaptation of the Primary Caregivers of Children with
Intellectual Disabilities.
AB - The study aimed to explore whether McCubbin and Patterson's Double ABCX model of
stress and adaptation can promote a better understanding of the impacts of social
support, definition of the stressor and coping strategies on stress and
adaptation of the primary caregivers of Intellectually Disabled (ID) children
above and beyond the effects of other factors. Structured Interview Schedule,
Perceived Stress Scale (Keya, 2006) and Social Adjustment Scale (Mahmuda &
Parvin, 2005) were administered on 130 caregivers of ID children and 140
caregivers of typically developing children recruited conveniently. Findings
reveal that stress and adaptation of the caregivers of ID children were
significantly positively associated (r = .429, p < .01). Significant variations
in stress and adaptation of the caregivers of ID children were found in terms of
children's disability types (F = 14.50, df = 4/120, p < .001 for stress; F =
11.92, df = 4/120, p < .001 for adaptation) and severity of disability (F = 5.21,
df = 1/120, p < .05 for stress; F = 11.14, df = 1/120, p < .01 for adaptation).
Factors of the Double ABCX model of stress and adaptation created significant
variations in stress (F = 17.94, df = 3/121, p < .001) and adaptation (F = 9.96,
df = 3/121, p < .001) of the caregivers of ID children above and beyond the
effects of other factors. The findings suggest that the Double ABCX model is
valuable in understanding stress and adaptation of the primary caregivers of ID
children.
PMID- 27881208
TI - The West Africa Disaster Preparedness Initiative: Strengthening National
Capacities for All-Hazards Disaster Preparedness.
AB - OBJECTIVE: The Ebola outbreak demonstrated the need for improved disaster
response throughout West Africa. The West Africa Disaster Preparedness Initiative
was a training and assessment effort led by US Africa Command and partners to
strengthen capacities among 12 West African partner nations (PNs). METHODS:
Series of 3-week training sessions with representatives from each PN were held
from 13 July through 20 November 2015 at the Kofi Annan International
Peacekeeping Training Centre in Accra, Ghana. A team conducted Disaster
Management Capabilities Assessments (DMCAs) for each PN, including a review of
key data, a survey for leaders, and in-person interviews of key informants.
RESULTS: All 12 PNs generated a national Ebola Preparedness and Response Plan and
Emergency Operations Center standard operating procedures. DMCA metrics were
generated for each PN. Top performers included Ghana, with a plan rated
good/excellent, and Benin and Burkina Faso, which both achieved a satisfactory
rating for their plans. More than 800 people from 12 nations were trained.
CONCLUSION: PNs have improved disaster management capabilities and awareness of
their strengths and weaknesses. The Economic Community of West African States has
increased its lead role in this and future planned initiatives. (Disaster Med
Public Health Preparedness. 2017;11:431-438).
PMID- 27881210
TI - The role of a food policy coalition in influencing a local food environment: an
Australian case study.
AB - OBJECTIVE: To explore how an Australian rural food policy coalition acts to
influence a local food environment, focusing specifically on its composition,
functions and processes as well as its food-related strategies and policy
outputs. DESIGN: A qualitative case study approach was undertaken. Three sources
were used to triangulate data: eleven semi-structured in-depth interviews with
coalition members, analysis of thirty-seven documents relating to the coalition
and observation at one coalition meeting. Data were analysed using a thematic and
constant comparison approach. Community Coalition Action Theory provided a
theoretical framework from which to interpret findings. SETTING: Two rural local
government areas on the south-eastern coast of Victoria, Australia. SUBJECTS:
Eleven members of the food policy coalition. RESULTS: Five themes emerged from
the data analysis. The themes described the coalition's leadership processes,
membership structure, function to pool resources for food system advocacy, focus
on collaborative cross-jurisdictional strategies and ability to influence policy
change. CONCLUSIONS: This Australian case study demonstrates that with strong
leadership, a small-sized core membership and focus on collaborative strategies,
food policy coalitions may be a mechanism to positively influence local food
environments.
PMID- 27881209
TI - Genetic parameters for lameness, mastitis and dagginess in a multi-breed sheep
population.
AB - The objective of the present study was to quantify the extent of genetic
variation in three health-related traits namely dagginess, lameness and mastitis,
in an Irish sheep population. Each of the health traits investigated pose
substantial welfare implications as well as considerable economic costs to
producers. Data were also available on four body-related traits, namely body
condition score (BCS), live weight, muscle depth and fat depth. Animals were
categorised as lambs (<365 days old) or ewes (?365 days old) and were analysed
both separately and combined. After edits, 39 315 records from 264 flocks between
the years 2009 and 2015 inclusive were analysed. Variance components were
estimated using animal linear mixed models. Fixed effects included contemporary
group, represented as a three-way interaction between flock, date of inspection
and animal type (i.e. lamb, yearling ewe (i.e. females ?365 days but <730 days
old that have not yet had a recorded lambing) or ewe), animal breed proportion,
coefficients of heterosis and recombination, animal gender (lambs only), animal
parity (ewes only; lambs were assigned a separate 'parity') and the difference in
age of the animal from the median of the respective parity/age group. An additive
genetic effect and residual effect were both fitted as random terms with maternal
genetic and non-genetic components also considered for traits of the lambs. The
direct heritability of dagginess was similar across age groups (0.14 to 0.15),
whereas the direct heritability of lameness ranged from 0.06 (ewes) to 0.12
(lambs). The direct heritability of mastitis was 0.04. For dagginess, 13% of the
phenotypic variation was explained by dam litter, whereas the maternal
heritability of dagginess was 0.05. The genetic correlation between ewe and lamb
dagginess was 0.38; the correlation between ewe and lamb lameness was close to
zero but was associated with a large standard error. Direct genetic correlations
were evident between dagginess and BCS in ewes and between lameness and BCS in
lambs. The present study has demonstrated that ample genetic variation exists for
all three health traits investigated indicating that genetic improvement is
indeed possible.
PMID- 27881212
TI - Building machines that learn and think like people.
AB - Recent progress in artificial intelligence has renewed interest in building
systems that learn and think like people. Many advances have come from using deep
neural networks trained end-to-end in tasks such as object recognition, video
games, and board games, achieving performance that equals or even beats that of
humans in some respects. Despite their biological inspiration and performance
achievements, these systems differ from human intelligence in crucial ways. We
review progress in cognitive science suggesting that truly human-like learning
and thinking machines will have to reach beyond current engineering trends in
both what they learn and how they learn it. Specifically, we argue that these
machines should (1) build causal models of the world that support explanation and
understanding, rather than merely solving pattern recognition problems; (2)
ground learning in intuitive theories of physics and psychology to support and
enrich the knowledge that is learned; and (3) harness compositionality and
learning-to-learn to rapidly acquire and generalize knowledge to new tasks and
situations. We suggest concrete challenges and promising routes toward these
goals that can combine the strengths of recent neural network advances with more
structured cognitive models.
PMID- 27881211
TI - Analytical Multimode Scanning and Transmission Electron Imaging and Tomography of
Multiscale Structural Architectures of Sulfur Copolymer-Based Composite Cathodes
for Next-Generation High-Energy Density Li-S Batteries.
AB - Poly[sulfur-random-(1,3-diisopropenylbenzene)] copolymers synthesized via inverse
vulcanization represent an emerging class of electrochemically active polymers
recently used in cathodes for Li-S batteries, capable of realizing enhanced
capacity retention (1,005 mAh/g at 100 cycles) and lifetimes of over 500 cycles.
The composite cathodes are organized in complex hierarchical three-dimensional
(3D) architectures, which contain several components and are challenging to
understand and characterize using any single technique. Here, multimode
analytical scanning and transmission electron microscopies and energy-dispersive
X-ray/electron energy-loss spectroscopies coupled with multivariate statistical
analysis and tomography were applied to explore origins of the cathode-enhanced
capacity retention. The surface topography, morphology, bonding, and compositions
of the cathodes created by combining sulfur copolymers with varying 1,3
diisopropenylbenzene content and conductive carbons have been investigated at
multiple scales in relation to the electrochemical performance and physico
mechanical stability. We demonstrate that replacing the elemental sulfur with
organosulfur copolymers improves the compositional homogeneity and compatibility
between carbons and sulfur-containing domains down to sub-5 nm length scales
resulting in (a) intimate wetting of nanocarbons by the copolymers at interfaces;
(b) the creation of 3D percolation networks of conductive pathways involving
graphitic-like outer shells of aggregated carbons;
PMID- 27881215
TI - Birth of an 'Asian cool' reference genome: AK1.
AB - The human reference genome, maintained by the Genome Reference Consortium, is
conceivably the most complete genome assembly ever, since its first construction.
It has continually been improved by incorporating corrections made to the
previous assemblies, thanks to various technological advances. Many currently
ongoing population sequencing projects have been based on this reference genome,
heightening hopes of the development of useful medical applications of genomic
information, thanks to the recent maturation of high-throughput sequencing
technologies. However, just one reference genome does not fit all the populations
across the globe, because of the large diversity in genomic structures and
technical limitations inherent to short read sequencing methods. The recent
success in de novo construction of the highly contiguous Asian diploid genome
AK1, by combining single molecule technologies with routine sequencing data
without resorting to traditional clone-by-clone sequencing and physical mapping,
reveals the nature of genomic structure variation by detecting thousands of novel
structural variations and by finally filling in some of the prior gaps which had
persistently remained in the current human reference genome. Now it is expected
that the AK1 genome, soon to be paired with more upcoming de novo assembled
genomes, will provide a chance to explore what it is really like to use ancestry
specific reference genomes instead of hg19/hg38 for population genomics. This is
a major step towards the furthering of genetically-based precision medicine. [BMB
Reports 2016; 49(12): 653-654].
PMID- 27881213
TI - Impact and Limitations of the 2015 National Health and Safety Network Case
Definition on Catheter-Associated Urinary Tract Infection Rates.
AB - Application of the new 2015 NHSN definition of catheter-associated urinary tract
infection (CAUTI) in intensive care units reduced CAUTI rates by ~50%, primarily
due to exclusion of candiduria. This significant reduction in CAUTI rates
resulting from the changes in the definition must be considered when evaluating
effectiveness of CAUTI prevention programs. Infect Control Hosp Epidemiol
2017;38:239-241.
PMID- 27881214
TI - Zinc(II) ion promotes anti-inflammatory effects of rhSOD3 by increasing cellular
association.
AB - Recently, we demonstrated that superoxide dismutase 3 (SOD3) is a strong
candidate for biomedicine. Anti-oxidant function of SOD3 was accomplished without
cell penetration, and it inhibited the inflammatory responses via non-enzymatic
functions. SOD3 has the heparin binding domain associating cell surface.
Interestingly, we found that Zn2+ promotes transduction effects of recombinant
human SOD3 (rhSOD3) by increasing uptake via the heparin binding domain (HBD). We
demonstrated an uptake of rhSOD3 from media to cell lysate via HBD, resulting in
an accumulation of rhSOD3 in the nucleus, which was promoted by the presence of
Zn2+. This resulted in increased inhibitory effects of rhSOD3 on NF-kB and STAT3
signals in the presence of Zn2+, which shows elevated association of rhSOD3 into
the cells. These results suggest that an optimized procedure can help to enhance
the inflammatory efficacy of rhSOD3, as a novel biomedicine. [BMB Reports 2017;
50(2): 85-90].
PMID- 27881217
TI - Erratum to: From cell senescence to age-related diseases: differential mechanisms
of action of senescence-associated secretory phenotypes.
PMID- 27881216
TI - Interacting network of Hippo, Wnt/beta-catenin and Notch signaling represses
liver tumor formation.
AB - Acquiring a selective growth advantage by breaking the proliferation barrier
established by gatekeeper genes is a centrally important event in tumor
formation. Removal of the mammalian Hippo kinase Mst1 and Mst2 in hepatocytes
leads to rapid hepatocellular carcinoma (HCC) formation, indicating that the
Hippo signaling pathway is a critical gatekeeper that restrains abnormal growth
in hepatocytes. By rigorous genetic approaches, we identified an interacting
network of the Hippo, Wnt/beta-catenin and Notch signaling pathways that control
organ size and HCC development. We found that in hepatocytes, the loss of Mst1/2
leads to the activation of Notch signaling, which forms a positive feedback loop
with Yap/Taz (transcription factors controlled by Mst1/2). This positive feedback
loop results in severe liver enlargement and rapid HCC formation. Blocking the
Yap/Taz-Notch positive feedback loop by Notch inhibition in vivo significantly
reduced the Yap/Taz activities, hepatocyte proliferation and tumor formation.
Furthermore, we uncovered a surprising inhibitory role of Wnt/beta-catenin
signaling to Yap/Taz activities, which are important in tumor initiation. Genetic
removal of beta-catenin in the liver of the Mst1/2 mutants significantly
accelerates tumoriogenesis. Therefore, Wnt/beta-catenin signaling, known for its
oncogenic property, exerts an unexpected function in restricting Yap/Taz and
Notch activities in HCC initiation. The molecular interplay between the three
signaling pathways identified in our study provides new insights in developing
novel therapeutic strategies to treat liver tumors. [BMB Reports 2017; 50(1): 1
2].
PMID- 27881219
TI - RANK/RANKL Expression Is Induced by Cardiac Surgical Operation.
AB - BACKGROUND: Cardiac surgery provokes a systemic inflammatory response in any
patient. This complex body reaction involves also RANK/RANKL molecules which have
been recently identified as principal regulators of bone metabolism. AIMS: To
follow the changes in the expression of RANK/RANKL molecules on innate immune
cells of cardiac surgical patients. PATIENTS AND METHODS: Twenty-six patients
undergoing cardiac surgical were assigned to undergo coronary artery bypass
grafting using either cardiopulmonary bypass ("on-pump") or modified
"miniinvasive on-pump". The expression of RANK/RANKL was performed by flow
cytometry. RESULTS: Significantly increased expression of RANK on monocytes of
"miniinvasive on-pump" patients was found at the 1st, the 3nd, and 7th
postoperative days. The similar pattern was found also for monocyte RANKL
expression. In addition, RANKL expression was significantly increased at the 3rd
postoperative day in "on-pump" patient. No significant differences between
"miniinvasive on-pump" and "on-pump" cardiac surgical patients were found.
CONCLUSION: The expression of both RANK and RANKL molecules is significantly
enhanced on monocytes of "miniinvasive on-pump" cardiac surgical patients.
PMID- 27881222
TI - How Serious Is Threat of Radiological Terrorism?
AB - Radiological terrorism (radioterrorism) is the deliberate use of radiological
weapons. These weapons use radioactive materials to disperse and emit ionizing
radiation. There are two classes of radiological weapons - radiological dispersal
devices (RDD) and radiation emission devices (RED). These weapons would no cause
massive numbers of dead. In most radiological attack scenarios, only few people
may die immediately or shortly after exposure to the ionizing radiation.
Nevertheless, many people could develop cancer within several years to decade
after the radiological weapon attack. Such attack might spur panic and result in
high economic costs because of the need for decontamination and possible tearing
down and reconstruction of contaminated structures. Thus, radiological weapons
may be considered rather weapons of mass disruption than weapons threating of
human life.
PMID- 27881226
TI - Semiinvasive Aspergillosis: A Diagnosis to Consider in a Seemingly
Immunocompetent Patient with a Pulmonary Nodule, Haemoptysis and Structural Lung
Disease.
AB - Semiinvasive pulmonary aspergillosis is an indolent form of pulmonary
aspergillosis, which is seen mainly in patients who are mildly immunocompromised
with underlying chronic lung diseases. This syndrome is rare, and the available
literature is based on case reports and small case series. We describe here a
patient with a semiinvasive aspergillosis associated with a probable idiopathic
pulmonary fibrosis.
PMID- 27881227
TI - Large Doubly Septated Concha Bullosa: An Unusual Anatomic Variation.
AB - Partial or total pneumatization of the middle turbinate is called concha bullosa.
It's one of the most common anatomic variations of the lateral nasal wall. The
exact reason of such pneumatization is not known. It can originate from the
frontal recess, middle meatus, sinus lateralis or, less frequently, from the
posterior ethmoid cells. Concha bullosa remains usually asymptomatic. However, an
extensively pneumatized middle turbinate may constitute space-occupying mass, and
thus, it may cause nasal obstruction. We report an extremely rare case of a
patient with a large, doubly septated concha bullosa with four different sources
of aeration.
PMID- 27881229
TI - An Assembly of Anomalous Extensor Tendons of the Hand - Anatomical Description
and Clinical Relevance.
AB - Innumerable descriptions about variations in the pattern of extensor tendons are
recorded in the literature. The dorsum of the hand in an adult male cadaver
revealed an unusual pattern of extensor arrangement during a gross anatomical
practical session. The extensor digitorum, extensor indicis and extensor digiti
minimi tendons displayed a variant pattern. Extensor digitorum contributed
tendons only to the middle and ring fingers, with junctura tendinum present
between the extensor digitorum for the ring finger and extensor digiti minimi.
Interestingly, an accessory muscle was observed arising from the common extensor
origin passing to the index finger, in addition to the usual extensor indicis.
The origin and insertion of extensor digiti minimi was as usual with an accessory
slip contributed from the extensor carpi ulnaris to the proximal phalanx of the
fifth finger. The plethora of variations in this region is of paramount
importance for the reconstructive surgeon, who may utilize the accessory tendons
to restore functional capacity of the fingers.
PMID- 27881230
TI - Summer music and arts festivals as hot spots for measles transmission: experience
from England and Wales, June to October 2016.
AB - We report 52 cases of measles linked to music and arts festivals in England and
Wales, between mid-June and mid-October 2016. Nearly half were aged 15 to 19
years. Several individuals who acquired measles at one festival subsequently
attended another festival while infectious, resulting in multiple interlinked
outbreaks. Transmission within festivals resulted in a geographical spread of
cases nationally as well as internationally, which presents particular challenges
for measles control.
PMID- 27881231
TI - Burkholderia pseudomallei gamma-carbonic anhydrase is strongly activated by amino
acids and amines.
AB - Activation of the gamma-class carbonic anhydrase (CAs, EC 4.2.1.1) from the
pathogenic bacterium Burkholderia pseudomallei (BpsgammaCA) with a series of
natural and non-natural amino acids and aromatic/heterocyclic amines has been
investigated. The best BpsgammaCA activators were d-His, l-DOPA, d-Trp, 4-amino-l
Phe, dopamine, 2-(2-aminoethyl)pyridine, 2-aminoethyl-piparazine/morpholine and l
adrenaline, which showed activation constants ranging between 9 and 86nM. The
least effective activators were l-His, l-Phe and 2-pyridyl-methylamine, with KAs
in the range of 1.73-24.7MUM. As little is known about the role of gamma-CAs in
the lifecycle and virulence of this saprophytic bacterium, this study may shed
some light on such phenomena. This is the first CA activation study of a gamma-CA
from a pathogenic bacterium, the only other such study being on the enzyme
discovered in the archaeon Methanosarcina thermophila, Cam.
PMID- 27881232
TI - Serum copper, zinc, and iron levels, and markers of carbohydrate metabolism in
postmenopausal women with prediabetes and type 2 diabetes mellitus.
AB - The objective of the present study was to evaluate serum level of copper, zinc,
iron and metabolic parameters in postmenopausal women with diabetes. A total of
413 postmenopausal women were enrolled in the current study. Women were divided
into 4 groups with equal age and body mass index according to glycated hemoglobin
(HbA1c) levels (<=5.5; 5.5-6.0; 6.0-6.5; >6.5%). Serum Fe, Cu, and Zn levels were
assessed using inductively-coupled plasma mass-spectrometry. Blood HbA1c, serum
glucose, insulin, C-reactive protein (CRP), ferritin, and ceruloplasmin (Cp) were
assessed using commercial kits. Homeostatic model assessment insulin resistance
(HOMA-IR) and transferrin (Tf) saturation were calculated. The obtained data
demonstrate that every 0.5% increase in HbA1c levels from 5.5% is associated with
a significant elevation of glucose, insulin, CRP, and HOMA-IR values. Diabetic
patients were characterized by significantly higher Fe (11%), Cu (8%), and Zn
(6%) levels as compared to the controls. At the same time, the overall trend to
increased metal levels in association with HbA1c was detected only for Fe
(p<0.05) and Cu (p<0.05). Serum ferritin levels in diabetic women was 3-fold
higher than in the controls, whereas Tf saturation was decreased by 35%. Serum Cp
levels were significantly increased by 19% in prediabetes, whereas in diabetic
postmenopausal women no such increase was observed. A significant elevation of
total metal concentration in diabetic subjects without a concomitant elevation of
transport proteins may be indicative of increased levels of "free" Fe and Cu,
known to be toxic.
PMID- 27881234
TI - DENSpm overcame Bcl-2 mediated resistance against Paclitaxel treatment in MCF-7
breast cancer cells via activating polyamine catabolic machinery.
AB - PURPOSE: The Bcl-2 mediated resistance is one of the most critical obstacle in
cancer therapy. Conventional chemotherapeutics such as Paclitaxel, a commonly
used in the treatment of metastatic breast cancer, is not sufficient to overcome
Bcl-2 mediated drug resistance mechanism. Thus, combinational drug regimes are
favored by researchers to overcome resistance phenotype against drugs. N1,N11
diethylnorspermine (DENSpm), a polyamine analogue, which is a promising drug
candidate induced-cell cycle arrest and apoptosis in various cancer cells such as
prostate, melanoma, colon and breast cancer cells via activated polyamine
catabolism and reactive oxygen generation. Recent studies indicated the potential
therapeutic role of DENSpm in phase I and II trials in breast cancer cases.
Although the molecular targets of Paclitaxel in apoptotic cell death mechanism is
well documented, the therapeutic effect of DENSpm and Paclitaxel in breast cancer
cells has not been investigated yet. In this study, our aim was to determine the
time dependent effect of DENSpm and Paclitaxel on apoptotic cell death via
determination of polyamine metabolism related targets in wt and Bcl-2
overexpressing MCF-7 breast cancer cells. RESULTS: In our experimental study,
Paclitaxel decreased cell viability in dose-dependent manner within 24h. Co
treatment of Paclitaxel (30nM) with DENSpm (20MUM) further increased the
cytoxicity of Paclitaxel (30nM) compared to alone Paclitaxel (30nM) treatment in
MCF-7 Bcl-2+ breast cancer cells. In addition, we determined that resistance
against Paclitaxel-induced apoptotic cell death in Bcl-2 overexpressed MCF-7
cells was overcome due to activation of polyamine catabolic pathway, which caused
depletion of polyamines. CONCLUSIONS: DENSpm combinational treatment might
increase the effect of low cytotoxic paclitaxel in drug-resistant breast cancer
cases.
PMID- 27881233
TI - Do people with schizophrenia experience more negative emotion and less positive
emotion in their daily lives? A meta-analysis of experience sampling studies.
AB - Research on emotion experience in response to valenced stimuli has consistently
shown that people with schizophrenia have the capacity to experience emotion.
Specifically, people with schizophrenia report similar experiences to both
positive and negative emotion-eliciting stimuli as individuals without the
disorder. However, it is less clear if people with schizophrenia experience
similar levels of positive emotion and negative emotion outside of standardized
laboratory contexts, as in their daily lives. One reliable method for assessing
emotion experience in schizophrenia has been the Experience Sampling Method
(ESM), or Ecological Momentary Assessment (EMA). Using the PRISMA guidelines for
meta-analysis, we reviewed the literature for all studies that included people
with and without schizophrenia, and that included a positive or negative emotion
assessment during participants' daily lives. The current study is a meta-analysis
of 12 EMA studies of emotion experience, which included a total of 619 people
with schizophrenia and 730 healthy controls. Results indicate that people with
schizophrenia consistently report more negative and less positive emotion than
healthy control participants. These findings differ from laboratory-based
studies, which may be due to several factors, including environmental
differences, effects of the disorder that appear more clearly in daily life, or
additional concerns, such as depression, which has been shown to be related to
negative emotion in schizophrenia. Importantly, these findings are in line with
questionnaire-based measures of emotion experience, lending some support for
their use in research and clinical settings.
PMID- 27881236
TI - Deferasirox pharmacokinetics evaluation in a woman with hereditary
haemochromatosis and heterozygous beta-thalassaemia.
AB - We present the deferasirox pharmacokinetics evaluation of a female patient on
iron chelation, for the interesting findings from her genetic background
(hereditary haemochromatosis and heterozygous beta-thalassaemia) and clinical
history (ileostomy; iron overload from transfusions). Drug plasma concentrations
were measured by an HPLC-UV validated method, before and after ileum resection.
Area under deferasirox concentration curve over 24h (AUC) values were determined
by the mixed log-linear rule, using Kinetica software. AUC was low also with high
deferasirox dose as well as tolerability. Non invasive tissue iron quantification
by magnetic resonance imaging or superconducting quantum interference device were
prevented by a metal hip replacement. Good efficacy and normalisation of iron
markers was obtained on long term. Therapeutic drug monitoring in patient in
critical conditions may help to understand reasons for non response and set
individualised treatment.
PMID- 27881235
TI - Elucidating the role of the FoxO3a transcription factor in the IGF-1-induced
migration and invasion of uveal melanoma cancer cells.
AB - Uveal melanoma (UM) is the most common primary intraocular malignant tumor of
adults. It has high mortality rate due to liver metastasis. However, the
epidemiology and pathogenesis of liver metastasis in UM are not elucidated and
there is no effective therapy available for preventing the development of this
disease. IGF-1 is a growth factor involved in cell proliferation, malignant
transformation and inhibition of apoptosis. In previous report, IGF-1 receptor
was found to be highly expressed in UM and this was related to tumor prognosis.
FoxO3a is a Forkhead box O (FOXO) transcription factor and a downstream target of
the IGF-1R/PI3K/Akt pathway involved in a number of physiological and
pathological processes including cancer. However, the role of FoxO3a in UM is
unknown. In the present study, we investigated fundamental mechanisms in the
growth, migration and invasion of UM and the involvement of FoxO3a. IGF-1
increased the cell viability, invasion, migration and S-G2/M cell cycle phase
accumulation of UM cells. Western blot analysis showed that IGF-1 led to
activation of Akt and concomitant phosphorylation of FoxO3a. FoxO3a
phosphorylation was associated with its translocation into the cytoplasm from the
nucleus and its functional inhibition led to the inhibition of expression of Bim
and p27, but an increase in the expression of Cyclin D1. The effects of IGF-1 on
UM cells were reversed by LY294002 (a PI3K inhibitor) or Akt siRNA, and the
overexpression of FoxO3a also attenuated basal invasion and migration of UM.
Taken all together, these results suggest that inhibition of FoxO3a by IGF-1 via
the PI3K/Akt pathway has an important role in IGF-1 induced proliferation and
invasion of UM cells. These findings also support FoxO3a and IGF signaling may
represent a valid target for investigating the development of new strategies for
the treatment and prevention of the pathology of UM.
PMID- 27881237
TI - Exposure to traffic-related air pollution and risk of development of childhood
asthma: A systematic review and meta-analysis.
AB - BACKGROUND AND OBJECTIVE: The question of whether children's exposure to traffic
related air pollution (TRAP) contributes to their development of asthma is
unresolved. We conducted a systematic review and performed meta-analyses to
analyze the association between TRAP and asthma development in childhood. DATA
SOURCES: We systematically reviewed epidemiological studies published until 8
September 2016 and available in the Embase, Ovid MEDLINE (R), and Transport
databases. STUDY ELIGIBILITY CRITERIA, PARTICIPANTS, AND INTERVENTIONS: We
included studies that examined the association between children's exposure to
TRAP metrics and their risk of 'asthma' incidence or lifetime prevalence, from
birth to age 18years old. STUDY APPRAISAL AND SYNTHESIS METHODS: We extracted key
characteristics of each included study using a predefined data items template and
these were tabulated. We used the Critical Appraisal Skills Programme checklists
to assess the validity of each included study. Where four or more independent
risk estimates were available for a continuous pollutant exposure, we conducted
overall and age-specific meta-analyses, and four sensitivity analyses for each
summary meta-analytic exposure-outcome association. RESULTS: Forty-one studies
met our eligibility criteria. There was notable variability in asthma
definitions, TRAP exposure assessment methods and confounder adjustment. The
overall random-effects risk estimates (95% CI) were 1.08 (1.03, 1.14) per 0.5*10
5m-1 black carbon (BC), 1.05 (1.02, 1.07) per 4MUg/m3 nitrogen dioxide (NO2),
1.48 (0.89, 2.45) per 30MUg/m3 nitrogen oxides (NOx), 1.03 (1.01, 1.05) per
1MUg/m3 Particulate Matter <2.5MUm in diameter (PM2.5), and 1.05 (1.02, 1.08) per
2MUg/m3 Particulate Matter <10MUm in diameter (PM10). Sensitivity analyses
supported these findings. Across the main analysis and age-specific analysis, the
least heterogeneity was seen for the BC estimates, some heterogeneity for the
PM2.5 and PM10 estimates and the most heterogeneity for the NO2 and NOx
estimates. LIMITATIONS, CONCLUSIONS AND IMPLICATION OF KEY FINDINGS: The overall
risk estimates from the meta-analyses showed statistically significant
associations for BC, NO2, PM2.5, PM10 exposures and risk of asthma development.
Our findings support the hypothesis that childhood exposure to TRAP contributes
to their development of asthma. Future meta-analyses would benefit from greater
standardization of study methods including exposure assessment harmonization,
outcome harmonization, confounders' harmonization and the inclusion of all
important confounders in individual studies. SYSTEMATIC REVIEW REGISTRATION
NUMBER: PROSPERO 2014: CRD42014015448.
PMID- 27881239
TI - Extreme Methemoglobinemia After Topical Benzocaine: Recognition by Pulse
Oximetry.
PMID- 27881238
TI - Rational identification of natural organic compounds to target the intermolecular
interaction between Foxm and DNA in colorectal cancer.
AB - The oncogenic transcription factor forkhead box M (Foxm) is overexpressed in
human colorectal cancer (CRC). Targeting the protein interaction with its cognate
DNA has been established as an attractive approach to anti-CRC chemotherapy.
State-of-the-art molecular dynamics (MD) simulations revealed that the Foxm
adopts considerably different conformations to interact with and without its DNA
partner; the holo conformation is tightly packed as a typical globulin
configuration, whereas the apo form is locally unstructured that exhibits
intrinsic disorder in DNA recognition helix, indicating that DNA binding can help
the Foxm refolding. With this finding, the MD equilibrium structure of DNA-free
Foxm was utilized to perform molecular docking virtual screening against a
natural organic compound library. Consequently, six hit compounds were identified
as potential small-molecule mediators of Foxm-DNA interaction; their binding
affinities (KD) to Foxm DNA-binding domain were then determined to range between
3.8 and 230MUM by using isothermal titration calorimetry. These compounds were
suggested to recognize and stabilize the apo conformation of Foxm, thus shifting
the binding reaction equilibrium of Foxm from DNA-bound to DNA-free states to
disrupt the formation of Foxm-DNA adduct.
PMID- 27881240
TI - Risk factors for fatal and non-fatal child maltreatment in families previously
investigated by CPS: A case-control study.
AB - The objective of this study was to identify individual, family and caregiver risk
factors for serious child maltreatment, resulting in hospitalization or death,
among children and families investigated by Child Protective Services (CPS). We
conducted a matched case-control study of 234 children who sustained fatal or
serious nonfatal maltreatment due to physical abuse or neglect and whose mother
was named in a CPS investigation between 1999 and 2013. A total of 702 children
and their caregivers were included in the study with 234 cases matched
2:1,resulting in 468 controls. Data on potential risk factors were abstracted
from three county administrative databases. Differences between cases and
controls were calculated and multivariable conditional logistic regression was
used to estimate risk models. Variables associated with increased risk for
serious maltreatment included male child gender,younger caregivers, three or more
children under the age of 5 living in the home, families in which a biologic
child was not living with either parent, and scoring moderate or high on the
Structured Decision Making Risk Tool(r). Caregiver involvement in intimate
partner violence (IPV) and child enrollment in public health insurance appears to
mitigate the risk of serious maltreatment.
PMID- 27881241
TI - Complications after use of elastomeric pressure-indicating media at 24-hour
follow-up visit for immediate maxillary complete removable dental prosthesis: A
clinical report.
AB - Various pressure-indicating media are available to assess the adaptation of the
intaglio surface of a removable dental prosthesis at the insertion and follow-up
appointments. This clinical report describes the use of an elastomer that entered
the maxillary sinus through an undetected oroantral communication at the 24-hour
follow-up for an immediate maxillary complete removable dental prosthesis. A
Caldwell-Luc sinusotomy procedure was required to remove the material, and the
patient required over 1 year of healing time before his reported symptoms
resolved.
PMID- 27881242
TI - Atmospheric degradation of the organothiophosphate insecticide - Pirimiphos
methyl.
AB - The gas phase atmospheric degradation of pirimiphos-methyl (a widely used
organophosphate insecticide and acaricide in many European regions) has been
investigated at the large outdoor European Photoreactor (EUPHORE) in Valencia,
Spain. Its photolysis has been studied under sunlight conditions and its reaction
rate constant with OH radicals was measured by the relative rate method. The
reaction with ozone was also investigated. The tropospheric degradation of
pirimiphos-methyl is controlled mainly by the OH radical reaction. The rate
coefficient of the OH reaction with pirimiphos-methyl, k, was measured by a
conventional relative rate technique, where aniline was taken as a reference. The
resulting value of the OH reaction rate constant with pirimiphos-methyl was
k=(1.14+/-0.2)*10-10cm3molecule-1s-1. The tropospheric lifetime of pirimiphos
methyl with respect to the reaction with OH radicals was estimated to be around
1.6h (283+/-10) K and atmospheric pressure. Significant aerosol formation was
observed in the OH reaction with yields that ranged from 25 to 37%, and with
particle diameters below 550nm. This therefore reveals a high human risk due to
PM<1, without taking into account the chemical composition of the degradation
products. SO2, glyoxal and other oxygenated and nitrogenated compounds were the
main degradation products detected.
PMID- 27881243
TI - Early stage papillary thyroid carcinoma in developing country scenario - A
different perspective.
PMID- 27881244
TI - Negotiation: How to Be Effective.
AB - The art of successful negotiation is not as random or difficult as it might seem
at first glance. Most negotiations end up with both sides receiving something of
value as well as giving up something valuable in return. It has been said that
the best negotiated outcomes occur when both parties walk away a bit disappointed
or just a little bit happy. The goal of this short primer is to give some hints
as to how to get a slightly better deal than the other party most of the time.
There are several points to remember to be able to achieve such an outcome
frequently.
PMID- 27881245
TI - The TRANSPLANTEX initiative.
AB - TRANSPLANTEX, a French "investment for the future" initiated consortium of
leading transplant units and research laboratories across France and a number of
European countries aims to unravel, through mainly high-throughput genomics (and
other omics) analyses of donor and recipients, novel (a) non-HLA,
histocompatibility antigens, whether inside, or outside the MHC; (b) pre/post
transplantation biomarkers. This shall lead to our better understanding of the
pathophysiology of (and eventually designing better therapeutics for) the graft
versus-host disease in hematopoietic cell transplants and that of chronic graft
rejection after kidney transplant. Industrial developments as well as innovative
teaching initiatives are also integral part of this program. The present issue of
Human Immunology aims to present a first snapshot of some of the research
performed by TRANPLANTEX partners.
PMID- 27881246
TI - Referral for Kidney Transplantation and Indicators of Quality of Dialysis Care: A
Cross-sectional Study.
AB - BACKGROUND: Dialysis facility performance measures to improve access to kidney
transplantation are being considered. Referral of patients for kidney
transplantation evaluation by the dialysis facility is one potential indicator,
but limited data exist to evaluate whether referral is associated with existing
dialysis facility quality indicators. STUDY DESIGN: Cross-sectional study.
SETTING & PARTICIPANTS: 12,926 incident (July 2005 to September 2011) adult (aged
18-69 years) patients treated at 241 dialysis facilities with complete quality
indicator information from US national registry data linked to transplantation
referral data from all 3 Georgia kidney transplantation centers. FACTORS:
Facility performance on dialysis quality indicators (high, intermediate, and low
tertiles). OUTCOME: Percentages of patients referred within 1 year of dialysis
therapy initiation at dialysis facility. RESULTS: Overall, a median of 25.4% of
patients were referred for kidney transplantation within 1 year of dialysis
therapy initiation. Higher facility-level referral was associated with better
performance with respect to standardized transplantation ratio (high, 28.6%;
intermediate, 25.1%; and low, 22.9%; P=0.001) and percentage waitlisted (high,
30.7%; intermediate, 26.8%; and low, 19.2%; P<0.001). Facility-level referral was
not associated with indicators of quality of care associated with dialysis
therapy initiation, including percentage of incident patients being informed of
transplantation options. For most non-transplantation-related indicators of high
quality care, including those capturing mortality, morbidity, and anemia
management, better performance was not associated with higher facility-level
transplantation referral. LIMITATIONS: Potential ecologic fallacy and residual
confounding. CONCLUSIONS: Transplantation referral among patients at dialysis
facilities does not appear to be associated with overall quality of dialysis care
at the facility. Quality indicators related to kidney transplantation were
positively associated with, but not entirely correspondent with, higher
percentages of patients referred for kidney transplantation evaluation from
dialysis facilities. These results suggest that facility-level referral, which is
within the control of the dialysis facility, may provide information about the
quality of dialysis care beyond current indicators.
PMID- 27881247
TI - Pain Management in CKD: A Guide for Nephrology Providers.
AB - Although pain is one of the most commonly experienced symptoms by patients with
chronic kidney disease, it is under-recognized, the severity is underestimated,
and the treatment is inadequate. Pain management is one of the general primary
palliative care competencies for medical providers. This review provides
nephrology providers with basic skills for pain management. These skills include
recognition of types of pain (nociceptive and neuropathic) syndromes and
appropriate history-taking skills. Through this history, providers can identify
clinical circumstances in which specialist referral is beneficial, including
those who are at high risk for addiction, at risk for adverse effects to
medications, and those with complicated care needs such as patients with a
limited prognosis. Management of pain begins with the development of a shared
treatment plan, identification of appropriate medications, and continual follow
up and assessment of efficacy and adverse effects. Through adequate pain
management, providers can positively affect the health of individual patients and
the performance of health care systems.
PMID- 27881249
TI - The present scope of Biomineralization.
PMID- 27881248
TI - Communication about HIV and death: Maternal reports of primary school-aged
children's questions after maternal HIV disclosure in rural South Africa.
AB - INTRODUCTION: Children's understanding of HIV and death in epidemic regions is
under-researched. We investigated children's death-related questions post
maternal HIV-disclosure. Secondary aims examined characteristics associated with
death-related questions and consequences for children's mental health. METHODS:
HIV-infected mothers (N = 281) were supported to disclose their HIV status to
their children (6-10 years) in an uncontrolled pre-post intervention evaluation.
Children's questions post-disclosure were collected by maternal report, 1-2 weeks
post-disclosure. 61/281 children asked 88 death-related questions, which were
analysed qualitatively. Logistic regression analyses examined characteristics
associated with death-related questions. Using the parent-report Child Behaviour
Checklist (CBCL), linear regression analysis examined differences in total CBCL
problems by group, controlling for baseline. RESULTS: Children's questions were
grouped into three themes: 'threats'; 'implications' and 'clarifications'.
Children were most concerned about the threat of death, mother's survival, and
prior family deaths. In multivariate analysis variables significantly associated
with asking death-related questions included an absence of regular remittance to
the mother (AOR 0.25 [CI 0.10, 0.59] p = 0.002), mother reporting the child's
initial reaction to disclosure being "frightened" (AOR 6.57 [CI 2.75, 15.70]
p=<0.001) and level of disclosure (full/partial) to the child (AOR 2.55 [CI 1.28,
5.06] p = 0.008). Controlling for significant variables and baseline, all
children showed improvements on the CBCL post-intervention; with no significant
differences on total problems scores post-intervention (beta -0.096 SE1.366 t =
0.07 p = 0.944). DISCUSSION: The content of questions children asked following
disclosure indicate some understanding of HIV and, for almost a third of
children, its potential consequence for parental death. Level of maternal
disclosure and stability of financial support to the family may facilitate or
inhibit discussions about death post-disclosure. Communication about death did
not have immediate negative consequences on child behaviour according to maternal
report. CONCLUSION: In sub-Saharan Africa, given exposure to death at young ages,
meeting children's informational needs could increase their resilience.
PMID- 27881250
TI - Opportunistic Risk Screening for Type 2 Diabetes: Exploring of Application of
Diabetes Risk Assessment Tool in Community Pharmacy in Australia and Thailand.
AB - OBJECTIVE: To evaluate the feasibility of providing diabetes risk assessment at
community pharmacy level in Australia and Thailand from organizational aspects.
METHODS: The intervention study was conducted in eight community pharmacies in
New South Wales, Australia, and six community pharmacies in Central Thailand.
Diabetes risk assessment tools were applied to determine the risk of developing
type 2 diabetes. An open-ended question was asked to solicit the willingness-to
pay value for the service. A semistructured interview was conducted with
participating pharmacists to solicit the perceived facilitators and barriers in
providing the service. RESULTS: There were a total of 132 and 185 participants,
with the ratio of participants in the three risk categories of low, intermediate,
and high being 1:4:11 and 2:1:1.5 for Australia and Thailand, respectively. More
Thai participants were willing to pay for the service (72.4% vs. 18.9%; P =
0.0001). Pharmacists from both countries agreed that providing risk assessment
would increase health awareness and assist in dampening the burden of disease. A
major barrier is time and staff shortage. Support from the government and
collaboration among health care providers were major facilitators from Thai
pharmacists' perspective, whereas remuneration was a major facilitator from
Australian pharmacists' perspective. CONCLUSIONS: Pharmacists in both countries
agreed that this intervention would contribute to produce positive health
benefits. Differences in advantages and barriers as well as in the proportion of
consumers willing to pay for the service demonstrated that it is essential for
pharmacists (particularly in developing countries) to be aware of the pitfalls of
copying practice initiatives in developed countries without any consideration of
the local health care environment.
PMID- 27881252
TI - Pharmacoeconomic and Outcomes Research are steadily moving forward in Asia.
PMID- 27881251
TI - Comparison of Health Care Utilization and Costs for Patients with Asthma by
Severity and Health Insurance in Thailand.
AB - BACKGROUND: To compare health care utilization and cost by asthma severity and
type of health insurance in Thailand. METHODS: A retrospective cohort study using
an electronic database was conducted in patients with asthma. Patients who were
diagnosed with asthma from 2009 to 2011, had at least two subsequent health care
encounters for asthma during the first six months after the first asthma
diagnosis, and had at least 90 days of follow-up were included. The primary
outcome was direct health care costs of inpatient and outpatient care. We
compared outcomes between groups on the basis of a proxy of severity
(mild/moderate severe asthma vs. high severe asthma) and type of health insurance
using a multivariable generalized linear model. Covariates such as Patients'
demographic characteristics, comorbidities, and concurrent medications were
included in the model. RESULTS: Among 1982 patients included, the average age was
40.3 +/- 24.0 years, with 60.7% being males. A total of 1936 patients had
mild/moderate severe asthma, whereas 46 patients had high severe asthma. There
were 1293 patients under the Universal Coverage Scheme, 264 patients under Social
Security Insurance, and 626 patients under the Civil Servant Medical Benefit
Scheme (CSMBS). The average annual cost per patient was $598 +/- $871. In
adjusted analyses, the health care cost of patients with high severe asthma was
$71 higher than that of patients with mild/moderate severe asthma (95% confidence
interval $-131 to $274). The cost of patients under the CSMBS was $110 (95%
confidence interval $29-$191) higher than that of patients under Universal
Coverage Scheme. CONCLUSIONS: Health care costs of patients with asthma were
substantial and were higher in patients with high severe asthma and patients
under the CSMBS.
PMID- 27881253
TI - Erratum.
PMID- 27881254
TI - Health Technology Assessment, International Reference Pricing, and Budget Control
Tools from China's Perspective: What Are the Current Developments and Future
Considerations?
AB - BACKGROUND: China is investing considerably in health care reforms to address
issues in its health care system. An example is access to innovative drugs, which
remains challenging because it is largely dependent on patient self-pay.
Recognizing this, the government has invested considerably in its basic medical
insurance. As health care expenditure increases, there are growing concerns on
budget control. Several health policy tools have been discussed recently such as
health technology assessment, international reference pricing, and hospital
budget control tools, which can be viewed as addressing the affordability
concerns of the government budget. China has also listed her health outcomes
goals in "Healthy China 2020" initiative. OBJECTIVES: This article aimed to
discuss the "fit-for-purpose" of these tools to address budget concerns and
support China in reaching her health outcomes goals. METHODS: The findings are
informed by a panel discussion at ISPOR Asia Pacific 2014, literature review, and
authors' experience. This review looks at the current developments in China and
the considerations and implications for using these tools by drawing experiences
from countries where they are used. RESULTS: These tools are generally used in
countries with advanced health care systems. China's health care spending is
still below that of countries with advanced health care systems and below World
Health Organization recommendation. CONCLUSIONS: China has not yet reached the
"critical mass" necessary for the effective use of these tools. As China
continues its health care reforms, increase in health care spending to balance
the health needs of the population would be key.
PMID- 27881256
TI - Cost-Effectiveness Analysis of the Self-Management Program for Thai Patients with
Metabolic Syndrome.
AB - BACKGROUND: Lifestyle modification programs are partly evaluated for their
usefulness. OBJECTIVES: This study aimed to assess the cost-effectiveness and
healthy lifestyle persistence of a self-management program (SMP) for patients
with metabolic syndrome (MetS) in Thai health care settings. METHODS: A cost
effectiveness analysis was performed on the basis of an intervention study of 90
patients with MetS randomly allocated to the SMP and control groups. A Markov
model with the Difference-in-Difference method was used to predict the lifetime
costs from a societal perspective and quality-adjusted life-years (QALYs), of
which 95% confidence intervals (CIs) were estimated by bootstrapping. The cost
effectiveness analysis, along with healthy lifestyle persistence, was performed
using the discount rate of 3% per annum. Parameter uncertainties were identified
using one-way and probabilistic sensitivity analyses. RESULTS: The lifetime costs
tended to decrease in both groups. The SMP could save lifetime costs (-2310 baht;
95% CI -5960 to 1400) and gain QALYs (0.0098; 95% CI -0.0003 to 0.0190), compared
with ordinary care. The probability of cost-effectiveness was 99.4% from the
Monte-Carlo simulation, and the program was deemed cost-effective at dropout
rates below 69% per year as determined by the threshold of 160,000 baht per QALY
gained. The cost of macrovascular complications was the most influencing variable
for the overall incremental cost-effectiveness ratio. CONCLUSIONS: The SMP
provided by the health care settings is marginally cost-effective, and the
persistence results support the implementation of the program to minimize the
complications and economic burden of patients with MetS.
PMID- 27881255
TI - Cost-Effectiveness Analysis of Ticagrelor and Prasugrel for the Treatment of
Acute Coronary Syndrome.
AB - BACKGROUND: In the management of Asian patients with acute coronary syndrome
(ACS), the comparative cost-effectiveness of ticagrelor and prasugrel, referenced
to generic clopidogrel, is unknown. OBJECTIVE: To assess the cost-effectiveness
of ticagrelor and prasugrel as compared with generic clopidogrel in patients with
ACS in Singapore. METHODS: A Markov model simulating a typical cohort of 62-year
old patients with ACS was constructed from a patient's perspective over a
lifetime horizon. Treatment effects and adverse events, including nonfatal
myocardial infarction, major bleeding related to non-coronary artery bypass
grafting, dyspnea, or death, were estimated from pivotal trials comparing
clopidogrel with ticagrelor and prasugrel, respectively. Costs were estimated
from a tertiary hospital with more than 1500 admissions for ACS per year.
RESULTS: The incremental cost-effectiveness ratio (ICER) per life-year gained for
ticagrelor was about three times more favorable than for prasugrel (Singapore
dollar [SGD] 13,276 vs. SGD 38,809). The ICER per quality-adjusted life-year
(QALY) for prasugrel and ticagrelor, however, was comparable at SGD 18,921 and
SGD 18,647, respectively. Deterministic sensitivity analysis revealed that the
ICER per QALY gained for prasugrel and ticagrelor was most sensitive to the
hazard ratio of all-cause mortality and utility for dyspnea, respectively.
Probabilistic sensitivity analysis demonstrated that compared with clopidogrel,
the probabilities of prasugrel and ticagrelor being cost-effective are 87.1% and
88.3% based on the willingness-to-pay value of SGD 65,000 (one time the gross
domestic product per capita in Singapore). CONCLUSIONS: Ticagrelor is more cost
effective than prasugrel in reducing all-cause mortality in patients with ACS.
The cost-effectiveness of ticagrelor and prasugrel become similar, however, when
accounting for the impact of dyspnea on QALY.
PMID- 27881257
TI - Economic Burden of Osteoporotic Fracture of the Elderly in South Korea: A
National Survey.
AB - BACKGROUND: Osteoporotic fractures (OFs) in the elderly are common worldwide, and
the predicted number of the aging population is increasing the burden of OF on
health care systems. OBJECTIVES: To estimate the economic burden of OF in people
older than 65 years in South Korea from a societal perspective. METHODS: National
Health Insurance claim databases were used to analyze health care utilization and
medical costs of OF in the Korean population (49 million). We identified medical
claims records with a diagnosis of OF and estimated the costs from 2007 to 2011.
RESULTS: From 2007 to 2011, there were 244,798 patients with at least one medical
insurance claim related to OF. Most patients had a single fracture (80%), whereas
20% of all patients had two or more. For fracture sites, vertebral fracture
accounted for 75.6% of all fractures, followed by hip and wrist fractures. The
societal cost of OF increased annually, from US $88.8 million in 2007 to US
$149.3 million in 2011. Among the entire cost, the direct medical cost was US
$134.9 million in 2011, which includes the cost of treatment (US $91.2 million)
and long-term care (US $48.1 million). The direct nonmedical cost was US $9.9
million in 2011. Costs associated with morbidity and mortality of OF were
excluded. CONCLUSIONS: The economic burden associated with OF in elderly is
expected to rise with the predicted increase in life expectancy and the number of
elderly in South Korea. Therefore, effective management of the disease is
necessary to reduce the growth in the economic burden of OF.
PMID- 27881258
TI - Cost-Effectiveness Analysis of Tocilizumab in Comparison with Infliximab in
Iranian Rheumatoid Arthritis Patients with Inadequate Response to tDMARDs: A
Multistage Markov Model.
AB - OBJECTIVES: To analyze the cost-effectiveness of two common treatment strategies
in Iran, comparing infliximab plus methotrexate with tocilizumab plus
methotrexate in patients with rheumatoid arthritis with inadequate response to
traditional disease-modifying antirheumatic drugs. METHODS: A multistage Markov
decision model was applied to assess the incremental cost-effectiveness ratio
(ICER) of a tocilizumab-containing regimen versus an infliximab-containing
regimen over a 5-year time period. In the case of no response, we assumed that
patients switched to the next treatment (adalimumab, rituximab, or supportive
care) in sequence for each strategy. We considered major cost items, such as
direct medical costs and direct nonmedical costs, from a payer (patients and
third-party payers) perspective. A deterministic sensitivity analysis was
conducted to assess the robustness of the model results over the uncertainty of
key parameters. RESULTS: In the base-case analysis, the ICER of the tocilizumab
containing regimen was US $60,800 per quality-adjusted life-year as compared to
the infliximab-containing regimen. In the sensitivity analysis, changes in the
price of the drugs by generic substitution, in utility scores, and in discount
rate did not change our overall conclusions. Among all inputs to the primary
study and the sensitivity analyses, however, the price of tocilizumab had the
most impact on the ICER. CONCLUSIONS: Although tocilizumab and methotrexate
provide a larger gain in quality-adjusted life-years, their current price is
quite high as compared with those of our other interventions. Therefore, a
regimen containing tocilizumab is not cost-effective as compared with an
infliximab-containing regimen for patients with rheumatoid arthritis in Iran.
PMID- 27881259
TI - Lifestyle-Related Metabolic Disorders, Osteoporosis, and Fracture Risk in Asia: A
Systematic Review.
AB - BACKGROUND: The prevalence of both lifestyle-related metabolic disorders and
osteoporosis is increasing in Asia. OBJECTIVES: To conduct a systematic review of
the published literature to identify studies examining disorders of glucose and
lipid metabolism (type 2 diabetes, hyperglycemia, hypercholesterolemia,
hyperlipidemia, dyslipidemia, metabolic syndrome [MetS], and atherosclerosis) as
risk factors for osteoporosis and fracture in Asian populations. Studies
examining the relationship between metabolic disorders and bone mineral density
(BMD) were also included. METHODS: EMBASE (including MEDLINE) and the Cochrane
Library were searched. Studies conducted only within Asia, which reported
multivariate analysis with a sample size of 200 or more subjects, were included.
RESULTS: A total of 32 studies were included. All six studies examining diabetes
and fracture found that subjects with diabetes had a significantly higher risk of
fracture than did subjects without diabetes (risk estimate range 1.26-4.73). Two
studies found that subjects with atherosclerosis had a significantly higher risk
of fracture (risk estimate range 1.10-2.52). Studies consistently reported that
MetS is likely associated with osteoporosis or decreased BMD in men but not
women. No consistent association was found for diabetes and BMD, with studies
reporting contrasting results. There was limited evidence investigating lipid
metabolism and hyperglycemia and risk of fracture or bone loss in Asian
populations. CONCLUSIONS: These findings suggest that diabetes is a risk factor
for fracture in Asian populations. MetS may be associated with bone loss in Asian
men and atherosclerosis associated with increased fractures; however, caution is
needed interpreting these findings given limitations in study design.
PMID- 27881261
TI - Registration and Reimbursement of New Cancer Medicines in Australia.
PMID- 27881260
TI - The EQ-5D-5L is More Discriminative Than the EQ-5D-3L in Patients with Diabetes
in Singapore.
AB - OBJECTIVES: To compare the discriminative power of the five-level version of the
EuroQol five-dimensional questionnaire (EQ-5D-5L [5L]) and its three-level
version (EQ-5D-3L [3L]) in patients with diabetes in Singapore. METHODS: A
consecutive sample of patients with type 2 diabetes mellitus (T2DM) self
completed the two versions of the EQ-5D in the clinic. The 3L index score was
calculated from the Singapore 3L value set, whereas the 5L index score was mapped
from the 5L index score using an interim scoring. The discriminative power of the
two EQ-5D indices was assessed in terms of their relative efficiency (RE) in
differentiating patients with T2DM with and without one of eight clinical
conditions. The efficiency of the two EQ-5D classification systems was evaluated
using the Shannon's index (H') and in terms of ceiling effects. RESULTS: A total
of 121 patients with T2DM provided data for this study. The 3L score was
systematically higher than the 5L score for patients with T2DM with a condition
and systematically lower for the patients without a condition, with the mean
differences being 0.005 and -0.011, respectively. The 5L index score showed
higher RE in seven of eight clinical conditions (mean RE 1.87). The 5L
classification system had higher H' in all dimensions: mobility (1.17 vs. 0.70),
self-care (0.57 vs. 0.41), usual activities (1.01 vs. 0.72), pain/discomfort
(1.47 vs. 1.02), and anxiety/depression (1.36 vs. 1.10). The overall ceiling
effects decreased from 47.9% (3L) to 38.8% (5L). CONCLUSIONS: The EQ-5D-5L is
more discriminative than the EQ-5D-3L in patients with T2DM in Singapore,
supporting the use of EQ-5D-5L in the population.
PMID- 27881262
TI - Registration and Reimbursement of New Cancer Medicines in Australia-Response to
Letter to the Editor by Michael Wonder.
PMID- 27881263
TI - Utilities for Type 2 Diabetes Treatment-Related Attributes in a South Korean and
Taiwanese Population.
AB - OBJECTIVES: To elicit utilities associated with type 2 diabetes medication
related attributes from South Korean and Taiwanese populations and to identify
key drivers of preferences. METHODS: Data from 59 respondents from the general
population in South Korea and Taiwan were analyzed. Respondents' preferences were
elicited using a paper-based standard gamble questionnaire. Health states were
designed to identify the utility or disutility of type 2 diabetes medication
related attributes, including dose frequency, nausea/vomiting (hereafter referred
to as nausea), and weight change. RESULTS: The mean utility for the basic health
state (encompassing current body weight and no nausea) was 0.754 +/- 0.155 with
weekly dose administration. Respondents showed a preference for weekly over daily
administration (average increase in utility of 0.043 across all health states
with weekly, vs. daily, administration). Nausea was associated with a decrease in
utility (average decrease of -0.034 across all health states with, vs. without,
nausea). Weight gain had little effect on utility (average decrease of 0.000 and
0.001 across all health states with, vs. without, 3% and 5% gain, respectively),
although weight loss was associated with a small increase in utility (average
increase of 0.028 and 0.029 across all health states with, vs. without, 3% and 5%
loss, respectively). CONCLUSIONS: Utilities associated with type 2 diabetes
medication-related attributes were elicited from a general population sample from
South Korea and Taiwan. Treatment-related attributes, in particular dose
frequency and nausea, had a measurable effect on utility and should be considered
when selecting treatment regimens for South Korean or Taiwanese patients with
type 2 diabetes.
PMID- 27881265
TI - Importance of Economic Evaluation in Health Care: An Indian Perspective.
AB - Health economic studies provide information to decision makers for efficient use
of available resources for maximizing health benefits. Economic evaluation is one
part of health economics, and it is a tool for comparing costs and consequences
of different interventions. Health technology assessment is a technique for
economic evaluation that is well adapted by developed countries. The traditional
classification of economic evaluation includes cost-minimization, cost
effectiveness analysis, cost-utility analysis, and cost-benefit analysis. There
has been uncertainty in the conduct of such economic evaluations in India, due to
some hesitancy with respect to the adoption of their guidelines. The biggest
challenge in this evolutionary method is lack of understanding of methods in
current use by all those involved in the provision and purchasing of health care.
In some countries, different methods of economic evaluation have been adopted for
decision making, most commonly to address the question of public subsidies for
the purchase of medicines. There is limited evidence on the impact of health
insurance on the health and economic well-being of beneficiaries in developing
countries. India is currently pursuing several strategies to improve health
services for its population, including investing in government-provided services
as well as purchasing services from public and private providers through various
schemes. Prospects for future growth and development in this field are required
in India because rapid health care inflation, increasing rates of chronic
conditions, aging population, and increasing technology diffusion will require
greater economic efficiency into health care systems.
PMID- 27881264
TI - Quality of Life and Economic Burden of Respiratory Disease in Asia-Pacific-Asia
Pacific Burden of Respiratory Diseases Study.
AB - OBJECTIVES: Asia-Pacific Burden of Respiratory Diseases is a cross-sectional,
observational study examining the burden of disease in adults with respiratory
diseases across six countries. The aim of this study was to describe health care
resource use (HCRU), work impairment, cost burden, and health-related quality of
life (HRQOL) associated with respiratory disease in the Asia-Pacific. METHODS:
Consecutive participants aged 18 years or older with a primary diagnosis of
asthma, allergic rhinitis, chronic obstructive pulmonary disease, or
rhinosinusitis were enrolled. Participants completed a survey detailing
respiratory symptoms, HCRU, work productivity and activity impairment, and HRQOL.
Locally sourced unit costs for each country were used in the calculation of total
costs. RESULTS: The study enrolled 5250 patients. Overall, the mean annual cost
for patients with a respiratory disease was US $4191 (SGD 8489) per patient. For
patients who reported impairment at work, the mean annual cost was US $7315 (SGD
10,244), with productivity loss being the highest cost component for all four
diseases (US $6310 [SGD 9100]). On average, patients were impaired for one-third
of their time at work and 5% of their work time missed because of respiratory
disease, which resulted in a 36% reduction in productivity. Patients with a
primary diagnosis of chronic obstructive pulmonary disease had the greatest
impact on HRQOL. CONCLUSIONS: In the Asia-Pacific, respiratory diseases have a
significant impact on HCRU and associated costs, along with work productivity.
Timely and effective management of these diseases has the potential to reduce
disease burden and health care costs and improve work productivity and HRQOL.
PMID- 27881266
TI - Budget Impact Analysis of Peritoneal Dialysis versus Conventional In-Center
Hemodialysis in Malaysia.
AB - OBJECTIVES: To investigate the 5-year health care budget impact of variable
distribution of adult patients treated with peritoneal dialysis (PD) and in
center hemodialysis (ICHD) on government funding in Malaysia. METHODS: An Excel
based budget impact model was constructed to assess dialysis-associated costs
when changing dialysis modalities between PD and ICHD. The model incorporates the
current modality distribution and accounts for Malaysian government dialysis
payments and erythropoiesis-stimulating agent costs. Epidemiological data
including dialysis prevalence, incidence, mortality, and transplant rates from
the Malaysian renal registry reports were used to estimate the dialysis patient
population for the next 5 years. The baseline scenario assumed a stable
distribution of PD (8%) and ICHD (92%) over 5 years. Alternative scenarios
included the prevalence of PD increasing by 2.5%, 5.0%, and 7.5% or decreasing 1%
yearly over 5 years. All four scenarios were accompanied with commensurate
changes in ICHD. RESULTS: Under the current best available cost information, an
increase in the prevalent PD population from 8% in 2014 to 18%, 28%, or 38% in
2018 is predicted to result in 5-year cumulative savings of Ringgit Malaysia (RM)
7.98 million, RM15.96 million, and RM23.93 million, respectively, for the
Malaysian government. If the prevalent PD population were to decrease from 8% in
2014 to 4.0% by 2018, the total expenditure for dialysis treatments would
increase by RM3.19 million over the next 5 years. CONCLUSIONS: Under the current
cost information associated with PD and HD paid by the Malaysian government,
increasing the proportion of patients on PD could potentially reduce dialysis
associated costs in Malaysia.
PMID- 27881267
TI - Cost-Utility Analysis of Human Papillomavirus Vaccination and Cervical Screening
on Cervical Cancer Patient in Indonesia.
AB - BACKGROUND: Although cervical cancer is a preventable disease, the clinical and
economic burdens of cervical cancer are still substantial issues in Indonesia.
OBJECTIVES: The main purpose of this study was to model the costs, clinical
benefits, and cost-utility of both visual inspection with acetic acid (VIA)
screening alone and human papillomavirus (HPV) vaccination in addition to VIA
screening in Indonesia. METHODS: We developed a population-based Markov model,
consisting of three health states (susceptible, cervical cancer, and death), to
assess future costs, health effects, and the cost-utility of cervical cancer
prevention strategies in Indonesia. We followed a cohort of 100,000 females 12 to
100 years old and compared VIA screening alone with the addition of HPV
vaccination on top of the screening to "no intervention." RESULTS: The
implementation of VIA screening alone and in combination with HPV vaccination
would reduce the cervical cancer incidence by 7.9% and 58.5%, corresponding to 25
and 98 deaths avoided within the cohort of 100,000, respectively. We also
estimated that HPV vaccination combined with VIA screening apparently yielded a
lower incremental cost-effectiveness ratio at international dollar 1863/quality
adjusted life-year (QALY), compared with VIA screening alone (I$3126/QALY). Both
strategies could however be definitely labeled as very cost-effective
interventions, based on a threshold suggested by the World Health Organization.
The incremental cost-effectiveness ratio was sensitive to the discount rate,
cervical cancer treatment costs, and quality of life as part of the QALY.
CONCLUSIONS: The addition of HPV vaccination on top of VIA screening could be a
cost-effective strategy in Indonesia even if relatively conservative assumptions
are applied. This population-based model can be considered as an essential tool
to inform decision makers on designing optimal strategies for cervical cancer
prevention in Indonesia.
PMID- 27881268
TI - Antithrombotic Therapy and Direct Medical Costs in Patients with Acute Coronary
Syndrome in Shanghai, China.
AB - BACKGROUND: Acute coronary syndrome (ACS) is a leading cause of morbidity and
mortality worldwide. OBJECTIVES: To describe patient profile, treatment patterns,
and disease burden for patients with ACS. METHODS: A retrospective descriptive
cohort study was conducted. Data were obtained from electronic medical records
from seven Shanghai medical centers. Patients with at least one primary diagnosis
of ACS from 2006 to 2012 were included. Patient ACS-related antithrombotic
medication use, laboratory tests, key comorbidities, health care utilization, and
direct medical costs were examined. Log-linear regression was conducted to
explore factors associated with total direct medical costs. RESULTS: The mean age
for the 6601 patients included was 69.7 +/- 12.5 years, and most of the patients
(73%) were men. Comorbidities included diabetes (18.2%), hypertension (21.2%),
and hyperlipidemia (8.6%). Out of these, 6466 (98%) patients had been
hospitalized for ACS with an average length of stay of 14.0 +/- 16.4 days per
hospitalization. A total of 914 (13.8%) patients had emergency room visits. Of
these, 93.5% received any antithrombotic therapy, including antiplatelet agents
(92.7%) and anticoagulants (20.8%). ACS-related direct medical costs (in yuan
renminbi [Y]) were Y18,421 +/- Y24,741 per hospitalization, including costs for
medications (Y6,776) and laboratory tests (Y1,355), and Y2,894 +/- Y7,060 per
outpatient visit, including costs for medications (Y620) and laboratory tests
(Y464). The higher direct medical cost was associated significantly (P < 0.05)
with age, being male, antiplatelet and anticoagulant use, and several comorbid
disease states (diabetes, hyperlipidemia, hypertension, and chronic kidney
disease). CONCLUSIONS: Antithrombotic therapeutic treatments were commonly used
among patients with ACS in Shanghai, China. Higher treatment costs for patients
with ACS in Shanghai, China, involved their antithrombotic medication use and key
comorbidities.
PMID- 27881269
TI - Value of Information in Asia: Concepts, Current Use, and Future Directions.
AB - Health technology assessment is a form of health policy research that provides
policymakers with information relevant to decisions about policy alternatives.
Findings from cost-effectiveness analysis (CEA) are one of the important aspects
of health technology assessment. Nevertheless, the more advanced method of value
of information (VOI), which is recommended by the International Society for
Pharmacoeconomics and Outcomes Research and Society for Medical Decision Making
Modeling Good Research Practices Task Force, has rarely been applied in CEA
studies in Asia. The lack of VOI in Asian CEA studies may be due to limited
understanding of VOI methods and what VOI can and cannot help policy decision
makers accomplish. This concept article offers audiences a practical primer in
understanding the calculation, presentation, and policy implications of VOI. In
addition, it provides a rapid survey of health technology assessment guidelines
and literature related to VOI in Asia and discusses the future directions of VOI
use in Asia and its potential barriers. This article will enable health
economists, outcomes researchers, and policymakers in Asia to better understand
the importance of VOI analysis and its implications, leading to the appropriate
use of VOI in Asia.
PMID- 27881271
TI - Cost-Effectiveness Analysis Expands its Reach Worldwide.
PMID- 27881270
TI - Cost-Effectiveness of Screening and Treatment for Cervical Cancer in Tanzania:
Implications for other Sub-Saharan African Countries.
AB - OBJECTIVES: To compare the institutional cost per person of screening and
treatment between two groups of patients-those screened and those not screened
before treatment for cervical cancer at Ocean Road Cancer Institute (ORCI) in Dar
es Salaam, Tanzania-and to perform a cost-effectiveness analysis of the ORCI
cervical cancer screening program. METHODS: The study included 721 screened and
333 unscreened patients treated at ORCI for cervical cancer from 2002 to 2011. We
compared the cost of cervical cancer treatment per patient with life-years gained
for patients screened at ORCI versus not screened. RESULTS: Patients with cancer
were diagnosed at an earlier stage after participating in screening compared with
nonparticipants. For example, 14.0% of stage I cancer patients had received
screening by ORCI compared with 7.8% of unscreened cases. For stage IV cancer,
these percentages were 1.4% and 6.9%, respectively. Average screening and
treatment cost for patients receiving cancer screening ($2526) was higher than
that for unscreened patients ($2482). However, we calculated an incremental cost
effectiveness ratio of $219 per life-year gained from receiving cervical cancer
screening compared with not being screened, and thus the ORCI screening program
was highly cost-effective. Furthermore, the screening program was associated with
averting 1.3 deaths from cervical cancer each year resulting from earlier
diagnoses of cancer cases, with the incremental cost-effectiveness ratio of $4597
per life saved. CONCLUSIONS: Although Sub-Saharan Africa faces substantial
challenges in population health management, our study highlights the potential
benefits from expanding access to regular cervical cancer screening for women in
this region.
PMID- 27881272
TI - The Impact of a Pricing Policy Change on Retail Prices of Medicines in Egypt.
AB - OBJECTIVES: To describe the products with price changes and assess the impact of
price changes on the products' price and affordability within the context of the
Egyptian market. METHODS: A descriptive pre-post observational study was
conducted. We selected March through June 2013 as the post-change observation
period. A matching pre-change observation period, one year earlier, was selected
to be consistent with potential seasonal variation in product use. RESULTS: It
was found that 65.7% of the products with price changes were low-priced generic
products. The overall average percent change in price was 24.7%. Before decree
#499 implementation, the average affordability of the low-, medium-, and high
priced products was 0.25 days' wage, 2 days' wage, and more than 100 days' wage,
respectively. After the implementation, the cost increase for the low- and medium
priced products was less than 0.1 days' wage, whereas the high-priced products'
cost decreased by 11 days' wage. CONCLUSIONS: The policy change resulted in both
price decreases and increases without substantive implications on affordability.
PMID- 27881273
TI - The Effects of the New Methodology Application on the Method of Pricing of Drugs
The Case of the Republic of Macedonia.
AB - BACKGROUND: The introduction of a new methodology for the pricing of drugs by the
Agency of Medicines of the Republic of Macedonia for the period 2012 to 2015
resulted in a price reduction of 1386 drugs. OBJECTIVE: This pioneer study
evaluated the effects of the price changes during this period of 4 years and the
consequent effects on the sale quantities for the segmented Anatomical
Therapeutic Chemical groups. METHODS: The drugs were grouped by the size of the
reductions, by segmenting the drugs by generic names, and by the Anatomical
Therapeutic Chemical classification, in which the quantities are grouped by
generic names and the prices are calculated by average values for a period of 1
year. RESULTS: Analysis of the relations between price changes and quantities
sold showed that since the introduction of the new methodology the decrease in
the prices pushed down the sales of the drugs. CONCLUSIONS: This article presents
not only the market developments but also projects the tendencies, concluding
clearly that focusing only on the price reduction of drugs and not on the
implementation of the pharmacoeconomic studies is deviating the supply of drugs
that are on the market and affecting their quality. The trends indicate that
patients are using old-generation drugs, packaging forms that do not fully answer
the market demand, and policies that significantly affect the suppliers. The
presented analysis confirms that if the new methodology is only partially
implemented and is not followed in full consideration of the pharmacoeconomic
studies, negative consequences will also have an impact on regional
pharmaceutical markets, which are benchmarking prices of drugs with the
Macedonian market.
PMID- 27881274
TI - Performance Assessment of the Juaboso District Office of the National Health
Insurance Authority.
AB - OBJECTIVES: To assess the performance of the National Health Insurance Authority
(NHIA) in Ghana. METHODS: Using a thorough case study of the Juaboso District
Office of the NHIA, this study assessed the community coverage rate, the annual
expenditure and income, and the trend of claims payment for the period 2009 to
2012 as well as factors influencing the level of patronage of the National Health
Insurance Scheme. A self-administered structured questionnaire was used to gather
data from the management of the scheme. Secondary data were also gathered from
the scheme's audited financial statements. Informal discussions were held with
the premium collectors and clients to throw more light on revenue generation
challenges. RESULTS: The study found an increasing trend in the coverage rate on
a yearly basis. Over the study period, the rate moved from 30.6 to 60.1,
representing an increase of 96.7%. This shows that in terms of coverage rate, the
Juaboso District Office of the NHIA is performing very well. The study also found
that revenue has increased but the percentage rate of increase has decreased,
compared with the coverage percentage rate. Expenditure has been on the rise,
increasing by as much as 20.7% in 2011. Again, the study revealed a consistent
year-on-year increase in the claims payment, consistent with the national trend.
CONCLUSIONS: Constant clinical auditing of claims payments is required to ensure
accountability. This would lead to transparency with regard to performance
assessment of the claims. The findings have important implications for the
effective management of the NHIA.
PMID- 27881276
TI - The Development of the Romanian Scorecard HTA System.
AB - OBJECTIVES: To present the characteristics of the scorecard health technology
assessment (HTA) implemented since 2014 and to show the results of this HTA
process by the end of 2015. METHODS: The health care context and the Romanian HTA
legislation were studied while considering the reasons behind HTA introduction,
the key stakeholders, and the HTA process as a whole. A critical appraisal was
done covering public HTA reports and the decisions made by the Ministry of
Health. RESULTS: The scorecard HTA model is in place from 2014 and is based on
six criteria: France HTA decision, UK HTA decision, Germany HTA decision, the
number of European Union countries with reimbursement, the development of a local
real-world data study, and a budget impact assessment. By December 2015, more
than 200 HTA dossiers were evaluated and the scorecard HTA results were reflected
in three processes of the drug reimbursement list update. Consequently, 25 new
drugs, 11 fixed-dose combinations, 2 new indications, and 4 orphan drugs received
unconditional inclusion, and 5 drugs received conditional inclusion via
commercial arrangements. Moreover, the scorecard system was used for delisting
drugs: 16 were delisted and another 21 were moved to a lower level of
reimbursement (20%). CONCLUSIONS: The implementation of the scorecard HTA started
in 2014 using combined information from Romania and other countries. Although the
scorecard HTA system makes no direct evaluation of the value of drugs,
authorities consider it to be effective, being designed only to favor cost-saving
drugs and to promote high discounts.
PMID- 27881275
TI - The Living with Medicines Questionnaire: Translation and Cultural Adaptation into
the Arabic Context.
AB - BACKGROUND: The Living with Medicines Questionnaire (LMQ) was developed in
English language to assess, from a patient's perspective, issues related to the
burden resulting from the use of medicines. OBJECTIVES: To translate and
culturally adapt the LMQ into the Arabic language and context. METHODS:
Permission to translate the LMQ was obtained from the original developers, and a
protocol for its translation and cultural adaptation was developed using the
International Society for Pharmacoeconomics and Outcomes Research guidelines for
the translation and cultural adaptation of patient-reported outcome measures. Two
forward translations (from English into Arabic) were developed and compared to
produce the first reconciled version, which was back-translated into English. The
resulting English version was compared with the original questionnaire leading to
the second reconciled version. The emerged Arabic questionnaire was then
cognitively tested among purposively selected individuals to assess the
linguistic and cultural equivalence, and produce the final Arabic version.
RESULTS: Issues identified and related to cultural and conceptual equivalence of
some terms were resolved by rewording some items in the tool. The translation
process and cognitive debriefing exercise generated comments regarding the
original tool's construct and its Arabic equivalent, which were communicated to
the developers of the LMQ for their consideration while conducting further
comparative studies. CONCLUSIONS: A culturally suitable translation of the LMQ
was generated for potential use in research and clinical practice in Arabic
speaking countries. Further validation of the developed Arabic version is
recommended and planned.
PMID- 27881277
TI - Impact of Health Policy Changes on Trends in the Pharmaceutical Market in Turkey.
AB - BACKGROUND: The implementation phase of the Turkish Health Transformation Program
(HTP) began in 2003, with the aim of organizing, financing, and delivering health
care services effectively, efficiently, and equally. The HTP impacted all
clinical and economic outcomes of health, including pharmaceutical sales, by
improving access to health services. OBJECTIVES: To understand the impact of five
selected major policy changes that made an impact on supply, demand, or price in
the pharmaceutical market between 1998 and 2012. METHODS: Monthly sales data (in
units and value in US $) of a total of 180 pharmaceuticals covering the period
between 1998 and 2012 were used for statistical analysis. Five major policies
that could affect health expenditures and the demand and supply of
pharmaceuticals were selected and led by the Ministry of Health. A P value of
less than 0.05 was considered as the cutoff value for statistical significance.
RESULTS: There was a growing trend in pharmaceuticals value and units in years,
possibly as a result of the HTP implementation. Supply- and demand-related
policies had a negative impact on the trends for value, whereas the pricing
policy had a positive impact. CONCLUSIONS: It could be said that the HTP had an
impact on units for improved access to health care services. Although this access
increased the consumption of pharmaceuticals in units, the policies implemented
were successful in controlling pharmaceutical expenditures.
PMID- 27881278
TI - Cost Burden of Severe Community-Acquired Rotavirus Gastroenteritis Requiring
Hospitalization in the Czech Republic, Slovakia, Poland, and Hungary: A
Retrospective Patient Chart Review.
AB - OBJECTIVES: To provide valuable local data on the economic burden of rotavirus
gastroenteritis (RVGE) for decision making on introduction of rotavirus
vaccination in Central European countries. METHODS: We conducted a retrospective
patient hospital chart review during the winter RVGE peak in the Czech Republic
(n = 109), Hungary (n = 109), Poland, (n = 112), and Slovakia (n = 115) to
estimate resource use and associated costs from the payer's perspective in
children younger than 5 years with severe RVGE requiring hospitalization.
Microcosting analysis was used to estimate the average costs of treating RVGE
inpatients including pre- and posthospitalization costs. RESULTS: The average
cost of treatment was ?476, ?316, ?741, and ?594 in the Czech Republic, Hungary,
Poland, and Slovakia, respectively. Extrapolating these costs to the total number
of RVGE hospitalizations gives annual cost estimates of ?2.1 million, ?1.5
million, ?13.2 million, and ?1.5 million, respectively. The main component of
expenditure in all the four countries is the hospital stay, but wide variation
among countries was observed (total cost of treating RVGE in hospital was almost
2.5-fold higher in Poland than in Hungary). In countries with diagnosis related
group (DRG) costs available, the best agreement between real resource-use-driven
costs and the DRG cost was found in the Czech Republic and Hungary, with
differences of only ?22 and ?33, respectively. In Poland, the microcosting
indicated higher overall costs incurred in hospital than the DRG cost, with a
difference exceeding ?190. CONCLUSIONS: Hospitalization of children with RVGE
represents a substantial economic burden for the national health systems in these
countries.
PMID- 27881279
TI - The Economic Impact of Cigarette Smoking on the Poor in Jordan.
AB - OBJECTIVES: To examine the expenditure on cigarette smoking in Jordan and compare
the costs with potential investments in food and other essential items. METHODS:
Review of available statistics and calculations were performed to estimate the
economic cost of cigarette smoking in the Hashemite Kingdom of Jordan. Data on
tobacco use and on household expenditures were collected from the Hashemite
Kingdom of Jordan official databases. Information on the percentage of adult male
smokers in each income group was based on cross-sectional data from 804 adult
smokers. The average daily expenditure on cigarettes by cigarette smokers was
based on a sample selected using a multistage, geographically clustered design.
An example of a balanced diet was constructed on the basis of the recommendations
of the jointly published dietary guidelines of the US Department of Health and
Human Services and the US Department of Agriculture. RESULTS: Cigarette smoking
prevalence is the highest among the poorest, with the highest rate (57%) being
among adult males with an income of 100 to 250 Jordanian dinars per month as
compared with the prevalence rate of 14% among adult males with an income of 500
Jordanian dinars or more per month. Our calculations show that the poorest 40% of
adult males are 1.7 times more likely to smoke cigarettes than the richest 17% of
adult males. The average poorest adult male cigarette smoker with an income of
100 to 250 Jordanian dinars per month spends approximately 25 times more on
cigarettes than on health, approximately 10 times more on cigarettes than on
education, approximately 2.5 times more on cigarettes than on housing, and
approximately 1.5 times more on cigarettes than on food. The amount spent on
cigarettes could potentially add up to 115 calories of a balanced diet per capita
daily or 850 calories of a balanced diet per average cigarette smoker daily.
Smoking cost the country 1 billion Jordanian dinars in 2012, including money
spent on tobacco and smoking-related diseases, which amounted to approximately 5%
of the gross domestic product. These calculations underestimate the real cost of
smoking because these do not include the loss in work productivity due to
smoking, which can be substantial. CONCLUSIONS: Our positive analysis shows that
by adopting policies that reduce cigarette use, Jordan would be able to achieve
both short- and long-run economic gains that will disproportionally benefit the
poorest. Normative analysis suggests that an increase in tobacco taxes is likely
to be the most efficient policy tool to reduce cigarette smoking in Jordan.
PMID- 27881280
TI - Global Budget for Cyprus' National Health System: The Promised Land or a No Man's
Land?
AB - BACKGROUND: Soaring health expenditures worldwide call for potent cost
containment approaches. Global budgets have been used by several countries to
harness their health expenditures by constraining the total payable amount to a
predefined budget threshold. OBJECTIVES: Cyprus is vacillating on the use of a
global budget for its National Health System; nevertheless, its attributes must
be scrutinized to rule out potential adverse effects on quality of care and
access of patients. The delegation of budget across providers is a context
sensitive process and as such it must be based on historical data and performance
incentives as well. CONCLUSIONS: A global budget is not a panacea, and
consequently the enhancement of health system's performance, appropriateness
assessment, and volume and capacity control measures are incumbent. A global
budget demonstrates a higher complexity factor for pharmaceuticals, which
mandates a thorough assessment of pharmaceuticals before their reimbursement and
elaboration of measures to safeguard timely access to innovation.
PMID- 27881281
TI - Value and Service Quality Assessment of the National Health Insurance Scheme in
Ghana: Evidence from Ashiedu Keteke District.
AB - BACKGROUND: Ghana introduced the National Health Insurance Scheme (NHIS) in 2003
to provide financial access to health care for all residents. OBJECTIVES: This
article analyzed claims reimbursement data of the NHIS to assess the value of the
benefit package to the insured and responsiveness of the service to the financial
needs of health services providers. METHODS: Medical claims data reported between
January 1, 2010, and December 31, 2014, were retrieved from the database of
Ashiedu Keteke District Office of the National Health Insurance Authority. The
incurred claims ratio, promptness of claims settlements, and claims adjustment
rate were analyzed over the 5-year period. RESULTS: In all, 644,663 medical
claims with a cost of Ghana cedi (GHS) 11.8 million (US $3.1 million) were
reported over the study period. The ratio of claims cost to contributions paid
increased from 4.3 to 7.2 over the 2011-2013 period, and dropped to 5.0 in 2014.
The proportion of claims settled beyond 90 days also increased from 26% to 100%
between 2011 and 2014. Generally, the amount of claims adjusted was low; however,
it increased consistently from 1% to about 4% over the 2011-2014 period. The
reasons for claims adjustments included provision of services to ineligible
members, overbilling of services, and misapplication of diagnosis related groups.
CONCLUSIONS: There is increased value of the NHIS benefit package to subscribers;
however, the scheme's responsiveness to the financial needs of health services
providers is low. This calls for a review of the NHIS policy to improve financial
viability and service quality.
PMID- 27881283
TI - Cost-Effectiveness of Introducing Point-of-Care Test for Detection of Level of
Glycogen Phosphorylase in Early Diagnostic Algorithm of Acute Coronary Syndrome.
AB - BACKGROUND: The detection of specific biomarkers in the early phase of acute
coronary syndrome (ACS) is important for the early diagnosis and appropriate
management of patients with ACS. OBJECTIVES: To estimate the cost-effectiveness
of introducing a diagnostic point-of-care (POC) test for determining the levels
of glycogen phosphorylase BB isoform (GPBB) in a standard diagnostic algorithm
for the early diagnosis of ACS within the health system of the Republic of
Serbia. METHODS: The probabilistic decision-tree model was constructed for
patients with nontraumatic chest pain comparing the use of standard diagnostic
procedure, physical examination, and electrocardiogram monitoring with the use of
a diagnostic test for the detection of the levels of specific biomarkers. The
perspective of the health care services purchaser (the Republic Institute for
Health Insurance, Serbia) was used in the model, and only direct costs were taken
into account. The time horizon was set at one treatment episode of ACS, and the
discount rate was not included because of the short length of the time horizon.
RESULTS: Using the GPBB POC test in comparison with not using it in the early
diagnosis of ACS results in a significant reduction in the cost per treatment
episode (10,034.48 +/- 7,283.80 Serbian dinar [RSD]), increase in the number of
survivors per 1000 treatment episodes (16 +/- 18), decrease in the number of
hospitalizations per 1000 treatment episodes (104 +/- 44), and decrease in the
number of performed coronarographies per 1000 treatment episodes (22 +/- 19). The
costs per hospitalization avoided (incremental cost-effectiveness ratio) were
145,887.57 +/- 5,271.54 RSD, and the costs per coronarography avoided were
137,295.68 +/- 4,681.05 RSD. CONCLUSIONS: In the circumstances of limited health
resources, reducing hospitalizations and decreasing unnecessary treatments and
invasive diagnostic procedures by a GPBB POC test could be an effective way to
improve the economic status of other Balkan countries with limited health care
budgets.
PMID- 27881282
TI - Measuring and Valuing Informal Care for Economic Evaluation of HIV/AIDS
Interventions: Methods and Application in Malawi.
AB - BACKGROUND: Economic evaluation studies often neglect the impact of disease and
ill health on the social network of people living with HIV (PLHIV) and the wider
community. An important concern relates to informal care requirements which, for
some diseases such as HIV/AIDS, can be substantial. OBJECTIVES: To measure and
value informal care provided to PLHIV in Malawi. METHODS: A modified diary that
divided a day into natural calendar changes was used to measure informal care
time. The monetary valuation was undertaken by using four approaches: opportunity
cost (official minimum wage used to value caregiving time), modified opportunity
cost (caregiver's reservation wage), willingness to pay (amount of money
caregiver would pay for care), and willingness to accept (amount of money
caregiver would accept for providing care to someone else) approaches. Data were
collected from 130 caregivers of PLHIV who were accessing antiretroviral therapy
from six facilities in Phalombe district in southeast Malawi. RESULTS: Of the 130
caregivers, 62 (48%) provided informal care in the survey week. On average,
caregivers provided care of 8 h/wk. The estimated monetary values of informal
care provided per week were US $1.40 (opportunity cost), US $2.41 (modified
opportunity cost), US $0.40 (willingness to pay), and US $2.07 (willingness to
accept). CONCLUSIONS: Exclusion of informal care commitments may be a notable
limitation of many applied economic evaluations. This work demonstrates that
inclusion of informal care in economic evaluations in a low-income context is
feasible.
PMID- 27881284
TI - Perceived Risks Contra Benefits of Using Biosimilar Drugs in Ulcerative Colitis:
Discrete Choice Experiment among Gastroenterologists.
AB - BACKGROUND: In middle-income countries, access to biological therapy is limited
in ulcerative colitis in terms of the number of patients and the length of
therapy. Because of their cost advantages, biosimilars have the potential to
improve access to therapy, but physicians have concerns toward their use because
of the lack of evidence from randomized clinical trials. OBJECTIVES: To explore
the preferences of gastroenterologists for biosimilar drugs in ulcerative colitis
as well as to compare our results with results of previous studies on
gastroenterologists' preferences toward biosimilars. METHODS: A discrete choice
experiment was carried out involving 51 Hungarian gastroenterologists treating
patients with inflammatory bowel disease in May 2014 with the following
attributes: type of treatment (biosimilar/originator), severity of disease,
availability of continuous medicine supply, and the stopping rule (whether the
treatment is covered after 12 months). A conditional logit model was used to
estimate the probabilities of choosing a given profile. RESULTS: According to the
results, the stopping rule was the most important attribute. The type of
treatment mattered only for patients already on biologicals. The probabilities of
choosing the biosimilar option with all the benefits offered in the discrete
choice experiment over the originator option under the present reimbursement
conditions are 85% for new patients and 63% for patients already treated.
CONCLUSIONS: Most gastroenterologists have concerns about using biosimilars.
They, however, are willing to consider the use of biosimilars if they could
reallocate the potential savings to provide their patients better access to
biological treatment.
PMID- 27881285
TI - Conventionally Fractionationed Volumetric Arc Therapy versus Hypofractionated
Stereotactic Body Radiotherapy: Quality of Life, Side Effects, and Prostate
Specific Antigen Kinetics in Localized Prostate Cancer.
AB - OBJECTIVES: To compare conventionally fractionationed volumetric arc therapy
(VMAT) and hypofractionated stereotactic body radiotherapy (SBRT) modalities in
terms of prostate-specific antigen (PSA) kinetics, toxicity, and quality of life
(QOL) in patients with localized prostate cancer. METHODS: Patients received
radical radiotherapy as either 33.5 Gy/5 fr for SBRT or 75.6 Gy/35 fr for VMAT.
International Prostate Symptom Score (IPSS) and European Organisation for
Research and Treatment of Cancer Quality of Life Questionnaire Prostate Cancer
Module (QLQ-PR25) forms were used to assess QOL. RESULTS: Of the 48 patients (28
in SBRT and 20 in VMAT) included in the study, 40 (20 in SBRT and 20 in VMAT)
were evaluated for QOL status. PSA control rate was 100% and PSA nadir value was
0.5 ng/dl in both arms during the median follow-up period of 23 months. The
magnitude of PSA bounce was higher in the SBRT arm than in the VMAT arm (P =
0.01). The PSA decline rate in the VMAT arm was higher than in the SBRT arm (P =
0.028). Three (10.7%) patients treated with SBRT who had a history of
transurethral resection of the prostate (TURP) experienced grade 3 urinary
toxicity. No significant difference was observed concerning sexual activity and
sexual functioning scores, whereas scores at 10.5 and 13.5 months were decreased
in both arms. The SBRT and VMAT arms had similar urinary incontinence, bowel
symptoms, and IPSS obstruction scores. The magnitude of increase in IPSS scores
at treatment completion was higher in the VMAT arm than in the SBRT arm (P =
0.046). The decrease in hormonal symptom scores at 4.5, 10.5, and 13.5 months was
higher in the VMAT arm than in the SBRT arm (P = 0.007, 0.027, and 0.021,
respectively). CONCLUSIONS: Both treatment modalities had similar effectiveness
and provided acceptable outcomes in terms of toxicity and QOL. Grade 3 urinary
toxicities might be eliminated with careful patient selection for SBRT.
PMID- 27881286
TI - Renal adaptive changes and sodium handling in the fetal-to-newborn transition.
AB - Appropriate fluid and electrolyte management is critical for optimal care of very
low birth weight or sick infants. Delivery of such care requires an understanding
of developmental changes in renal water and salt handling that occur with
advancing gestational age as well as postnatal age. This review focuses on the
principles of sodium homeostasis during fetal and postnatal life. The physiology
of renal tubular transport mechanisms, as well as neurohumoral factors impacting
renal tubular transport are highlighted. Clinical implications and guidelines to
the provision of sodium to this vulnerable population are also discussed.
PMID- 27881287
TI - Obesity with Comorbid Stress Urinary Incontinence in Women: A Narrative Review to
Inform Dietetics Practice.
AB - Stress urinary incontinence (SUI) is a common problem among women; clinical
treatment guidelines include weight reduction as a strategy for controlling
urinary leakage. The purpose of this review was to gather evidence on the
association between obesity and SUI and to ascertain whether there are any
special considerations for implementing medical nutrition therapy with community
dwelling, obese, adult females with comorbid SUI. Five key findings emerged:
epidemiologic studies consistently report statistically significant associations
between obesity and SUI, randomized control trials found that weight loss appears
to ameliorate SUI symptoms, the SUI-activity link may affect weight management,
there is a potential interplay between SUI and the obesity-sleep connection, and
dietary components are associated with the exacerbation of urinary symptoms. The
pathogenesis of SUI and obesity-related contributions to urinary leakage is
included in the introductory discussion. Lastly, insights on special
considerations for implementing nutrition interventions with this population are
offered.
PMID- 27881288
TI - Internal anal sphincter: Clinical perspective.
AB - OBJECTIVE: To summarise current knowledge of Internal anal sphincter. BACKGROUND:
The internal anal sphincter (IAS) is the involuntary ring of smooth muscle in the
anal canal and is the major contributor to the resting pressure in the anus.
Structural injury or functional weakness of the muscle results in passive
incontinence of faeces and flatus. With advent of new assessment and treatment
modalities IAS has become an important topic for surgeons. This review was
undertaken to summarise our current knowledge of internal anal sphincter and
highlight the areas that need further research. METHOD: The PubMed database was
used to identify relevant studies relating to internal anal sphincter. RESULTS:
The available evidence has been summarised and advantages and limitations
highlighted for the different diagnostic and therapeutic techniques. CONCLUSION:
Our understanding of the physiology and pharmacology of IAS has increased greatly
in the last three decades. Additionally, there has been a rise in diagnostic and
therapeutic techniques specifically targeting the IAS. Although these are
promising, future research is required before these can be incorporated into the
management algorithm.
PMID- 27881289
TI - A comparative study of the endoscopy-assisted transoral approach versus external
approaches for the resection of large benign parapharyngeal space tumors.
AB - OBJECTIVE: The advantages and limitations of the endoscopy-assisted transoral
approach (EATA) and external approaches (EAs) in resection of parapharyngeal
space tumors (PSTs) remain unclear. In our study, we compared the use of the EATA
and the EAs for the resection of large, benign PSTs. STUDY DESIGN: Forty-four
patients with PSTs were divided into the EATA and EA groups. The perioperative
and postoperative outcomes of the patients were evaluated. RESULTS: All of the
tumors were completely removed. However, the procedure was converted to an open
procedure for four patients in the EATA group and for six patients in the EA
group who required endoscopic assistance. The intraoperative blood loss, amount
and duration of drainage, postoperative pain, total hospital stay, and cosmetic
outcomes were superior in the EATA group (P < .05). CONCLUSIONS: Use of the EATA
for resection of large, benign PSTs decreased the surgical invasiveness of the
procedure and resulted in better aesthetic outcomes. However, use of the combined
surgical approach allowed for improved access for the resection of PSTs.
PMID- 27881290
TI - Mercury, eicosapentanoic acid and docosahexaenoic acid demonstrate limited effect
on plasma paraoxonase-1 activity and blood pressure among avid seafood consumers
in the Long Island Study of Seafood Consumption, NY, USA.
AB - BACKGROUND AND AIM: Moderate fish consumption is recommended for prevention of
coronary heart disease (CHD) as docosahexaenoic acid (DHA) and eicosapentaenoic
acid (EPA) have known beneficial effects on risk factors such as reducing blood
pressure (BP) and increasing paraoxonase-1 (PON-1) activity. However concomitant
methylmercury (MeHg) exposure from fish consumption may mitigate these benefits
and the net effect on BP and PON-1 activity has not been extensively studied in
western populations consuming diverse seafood types. We studied the correlation
between EPA, DHA and Hg levels with BP and PON-1 activity in a population of avid
seafood consumers. METHODS: Two hundred and eighty-eight avid seafood consumers
from Long Island, NY had blood samples drawn for total blood mercury (THg),
plasma EPA and DHA levels, and plasma PON-1 activity. Average systolic blood
pressure (SBP), diastolic blood pressure (DBP) and pulse pressure (PP) were
measured. RESULTS: Subjects' mean EPA+DHA was 4.9% total fatty acids, mean THg
was 7.6mcg/L. Mean SBP was 122.5mmHg, mean DBP 70.3mmHg, mean PP 52.2mmHg and
mean PON-1 activity 421.2U/L. THg was not associated with any of the BP measures
in adjusted linear regression models; EPA+DHA was significantly inversely
associated with PP (p=0.02). THg was associated with a significant increase in
PON-1 activity (p=0.04), while EPA+DHA was associated with a significant decrease
in PON-1 activity (p=0.007); although the size of the effects was small.
CONCLUSIONS: Our findings suggest blood THg and serum DHA+EPA levels have limited
relationship to BP and PON-1 activity, and may not be important modulators of
these known CHD risk factors in this population of avid seafood consumers.
PMID- 27881291
TI - Assessment of Carotid Plaque Inflammation in Diabetic and Nondiabetic Patients-An
Exploratory Ultrasmall Superparamagnetic Iron Oxide-Enhanced Magnetic Resonance
Imaging Study.
AB - BACKGROUND: Ultrasmall superparamagnetic iron oxide (USPIO)-enhanced magnetic
resonance (MR) imaging enables the identification of inflammation within the
atheroma, predominantly by USPIO uptake by macrophages present in atherosclerotic
tissue. Diabetic patients, however, may have dysfunctional macrophage activity,
which may affect utilization of USPIO in identifying plaque inflammation in this
patient cohort. METHODS: Fifteen diabetic and fifteen nondiabetic patients
underwent USPIO-enhanced carotid MR imaging using 1.5T MR system. Pre- and post
USPIO carotid MR images were manually coregistered. The percentage decrease in
the signal intensity after USPIO administration was calculated as a relative
measure of the USPIO uptake. RESULTS: Diabetic and nondiabetic patients had
comparable demographics and comorbidities. The mean global, maximum quadrant, and
maximum slice changes showing change in relative signal intensity as a result of
USPIO administration were comparable for the two patient cohorts (P > .05).
CONCLUSIONS: USPIO can identify inflammatory burden with carotid atheroma in both
diabetic and nondiabetic patients.
PMID- 27881293
TI - Onset of psoriatic arthritis associated with multiple wasp stings.
PMID- 27881294
TI - Comparison of outcomes with arthroscopic repair of acute-on-chronic within 6
months and chronic rotator cuff tears.
AB - BACKGROUND: The purpose of this study was to define preoperative and
intraoperative findings of acute-on-chronic rotator cuff tears (RCTs). This study
also compared the functional and clinical outcomes with acute-on-chronic RCTs and
chronic RCTs. METHODS: This study was conducted between December 2007 and
December 2013. An acute-on-chronic full-thickness RCT was diagnosed with
preoperative and intraoperative findings on arthroscopy. The study group
consisted of 36 patients with preoperative and intraoperative findings (surgery
performed within 6 months of trauma) indicative of an acute-on-chronic RCT.
Another 36 patients matched for age, sex, and tear size, who underwent
arthroscopic rotator cuff repair after 6 months of onset of symptoms (chronic RCT
group), were selected from our institution's database within the same time frame.
Postoperative indirect magnetic resonance arthrogram was obtained 6 months after
the repair, and rotator cuff integrity was graded according to the guidelines as
described by Sugaya. Patients were evaluated using the visual analog scale for
pain, American Shoulder and Elbow Surgeons Shoulder Assessment score, and
Constant scores. Scores and measurements were obtained preoperatively and at 6,
12, and 24 months after surgery. RESULTS: The clinical outcomes and range of
motion recovery were better in the acute-on-chronic RCT group. Although
statistically not significant, the acute-on-chronic RCT group's repair appeared
closer to the complete repair and was associated with a lesser incidence of
retear than the chronic RCT group. CONCLUSION: Early repair of an acute-on
chronic full-thickness RCT results in a statistically and clinically superior
improvement in outcomes compared with repairs of chronic RCTs.
PMID- 27881295
TI - Measuring dose from radiotherapy treatments in the vicinity of a cardiac
pacemaker.
AB - This study investigated the dose absorbed by tissues surrounding artificial
cardiac pacemakers during external beam radiotherapy procedures. The usefulness
of out-of-field reference data, treatment planning systems, and skin dose
measurements to estimate the dose in the vicinity of a pacemaker was also
examined. Measurements were performed by installing a pacemaker onto an
anthropomorphic phantom, and using radiochromic film and optically stimulated
luminescence dosimeters to measure the dose in the vicinity of the device during
the delivery of square fields and clinical treatment plans. It was found that the
dose delivered in the vicinity of the cardiac device was unevenly distributed
both laterally and anteroposteriorly. As the device was moved distally from the
square field, the dose dropped exponentially, in line with out-of-field reference
data in the literature. Treatment planning systems were found to substantially
underestimate the dose for volumetric modulated arc therapy, helical tomotherapy,
and 3D conformal treatments. The skin dose was observed to be either greater or
lesser than the dose received at the depth of the device, depending on the
treatment site, and so care should be if skin dose measurements are to be used to
estimate the dose to a pacemaker. Square field reference data may be used as an
upper estimate of absorbed dose per monitor unit in the vicinity of a cardiac
device for complex treatments involving multiple gantry angles.
PMID- 27881296
TI - Is New-Onset Diabetes After Kidney Transplantation Associated with Autosomal
Dominant Polycystic Kidney Disease in Recipients of Kidney Transplants?
Incomplete Methodologies were Employed.
PMID- 27881292
TI - Innate immunity, hemostasis and matrix remodeling: PTX3 as a link.
AB - Innate immunity is evolutionarily connected with hemostasis. PTX3 is an essential
fluid-phase pattern recognition molecule of the innate immune system that acts as
a functional ancestor of antibodies. PTX3 by interacting with defense collagens
and fibrinogens amplifies effector functions of the innate immune system. At
wound sites, PTX3 regulates the injury-induced thrombotic response and promotes
wound healing by favoring timely fibrinolysis. Therefore, PTX3 interacts with
ancestral domains conserved in innate immunity, hemostasis and extracellular
matrix and exerts functions related to both antimicrobial resistance and tissue
repair. These findings strengthen the connection between innate immune system and
hemostasis, and suggest that recognition of microbes and extracellular matrix are
evolutionarily conserved and integrated functions of the innate immune system.
PMID- 27881298
TI - Engaging Patients and Clinicians in Establishing Research Priorities for
Gestational Diabetes Mellitus.
AB - OBJECTIVES: We involved patients and clinicians in Alberta, Canada, to establish
research priorities in gestational diabetes mellitus (GDM), using an approach
based on a model proposed by the James Lind Alliance (JLA). METHODS: We adapted
the 4-step JLA process to engage women with GDM and clinicians to identify
uncertainties about the management of GDM. Uncertainties were identified through
a survey and a review of the clinical practice guidelines (CPG). Uncertainties
were short-listed by a steering committee, followed by a 1-day facilitated
workshop using a nominal group format and involving a similar number of patients
and clinicians, who identified the top 10 research priorities. RESULTS: Across
the various survey formats, 75 individuals submitted 389 uncertainties, the
majority (44; 59%) coming from patients. We removed 9 questions as being out of
scope or unclear, and 41 were identified on a review of CPG, resulting in a total
of 421 uncertainties. After the priority setting process, the final top 10
research priorities included questions about a simpler, more accurate and
convenient screening test; risk factors for GDM; improving postpartum diabetes
screening; the impact of GDM on the future health of the children; lifestyle
challenges and mental health issues; safety, effectiveness and/or impact of diet
and/or medication treatments; appropriate timing for delivery; and how care is
provided, organized or communicated. CONCLUSIONS: These top 10 research
priorities were informed through a comprehensive and transparent process
involving women who have experienced GDM as well as clinicians, and they may be
regarded as research priorities for GDM.
PMID- 27881297
TI - A Randomized Controlled Clinical Trial Investigating the Effects of Omega-3 Fatty
Acids and Vitamin E Co-Supplementation on Biomarkers of Oxidative Stress,
Inflammation and Pregnancy Outcomes in Gestational Diabetes.
AB - OBJECTIVES: Limited data are available for assessing the effects of omega-3 fatty
acids and vitamin E co-supplementation on metabolic profiles and pregnancy
outcomes in gestational diabetes (GDM). This study was designed to determine the
effects of omega-3 fatty acids and vitamin E co-supplementation on biomarkers of
oxidative stress, inflammation and pregnancy outcomes in women with GDM. METHODS:
This randomized, double-blind, placebo-controlled clinical trial was conducted in
60 patients with GDM who were not taking oral hypoglycemic agents. Patients were
randomly allocated to intake either 1000 mg omega-3 fatty acids from flaxseed oil
plus 400 IU vitamin E supplements (n=30) or placebo (n=30) for 6 weeks. Fasting
blood samples were obtained from the women at the beginning of the study and
after the 6-week intervention to quantify related markers. RESULTS: After 6 weeks
of intervention, omega-3 fatty acids and vitamin E co-supplementation, compared
with the placebo, resulted in a significant rise in total antioxidant capacity
(TAC) (+187.5+/-224.9 vs. -32.5+/-136.1 mmol/L; p<0.001); nitric oxide (NO)
(+5.0+/-7.7 vs. -12.0+/-28.0 umol/L; p=0.002) and a significant decrease in
plasma malondialdehyde (MDA) concentrations (-0.1+/-0.9 vs. +0.6+/-1.4 umol/L;
p=0.03). Co-supplementation with omega-3 fatty acids and vitamin E showed no
detectable changes in plasma glutathione and serum high-sensitivity C-reactive
protein levels. Joint omega-3 fatty acids and vitamin E supplementation resulted
in lower incidences of hyperbilirubinemia in newborns (10.3% vs. 33.3%; p=0.03).
CONCLUSIONS: Overall, omega-3 fatty acids and vitamin E co-supplementation for 6
weeks in women with GDM had beneficial effects on plasma TAC, MDA and NO and on
the incidence of the newborns' hyperbilirubinemia.
PMID- 27881299
TI - Transient RNA-DNA Hybrids Are Required for Efficient Double-Strand Break Repair.
AB - RNA-DNA hybrids are a major internal cause of DNA damage within cells, and their
degradation by RNase H enzymes is important for maintaining genomic stability.
Here, we identified an unexpected role for RNA-DNA hybrids and RNase H enzymes in
DNA repair. Using a site-specific DNA double-strand break (DSB) system in
Schizosaccharomyces pombe, we showed that RNA-DNA hybrids form as part of the
homologous-recombination (HR)-mediated DSB repair process and that RNase H
enzymes are essential for their degradation and efficient completion of DNA
repair. Deleting RNase H stabilizes RNA-DNA hybrids around DSB sites and strongly
impairs recruitment of the ssDNA-binding RPA complex. In contrast, overexpressing
RNase H1 destabilizes these hybrids, leading to excessive strand resection and
RPA recruitment and to severe loss of repeat regions around DSBs. Our study
challenges the existing model of HR-mediated DSB repair and reveals a surprising
role for RNA-DNA hybrids in maintaining genomic stability.
PMID- 27881300
TI - Structure of the MIND Complex Defines a Regulatory Focus for Yeast Kinetochore
Assembly.
AB - Kinetochores connect centromeric nucleosomes with mitotic-spindle microtubules
through conserved, cross-interacting protein subassemblies. In budding yeast, the
heterotetrameric MIND complex (Mtw1, Nnf1, Nsl1, Dsn1), ortholog of the metazoan
Mis12 complex, joins the centromere-proximal components, Mif2 and COMA, with the
principal microtubule-binding component, the Ndc80 complex (Ndc80C). We report
the crystal structure of Kluyveromyces lactis MIND and examine its partner
interactions, to understand the connection from a centromeric nucleosome to a
much larger microtubule. MIND resembles an elongated, asymmetric Y; two globular
heads project from a coiled-coil shaft. An N-terminal extension of Dsn1 from one
head regulates interactions of the other head, blocking binding of Mif2 and COMA.
Dsn1 phosphorylation by Ipl1/Aurora B relieves this autoinhibition, enabling MIND
to join an assembling kinetochore. A C-terminal extension of Dsn1 recruits Ndc80C
to the opposite end of the shaft. The structure and properties of MIND show how
it integrates phospho-regulatory inputs for kinetochore assembly and disassembly.
PMID- 27881301
TI - Structure of the MIS12 Complex and Molecular Basis of Its Interaction with CENP-C
at Human Kinetochores.
AB - Kinetochores, multisubunit protein assemblies, connect chromosomes to spindle
microtubules to promote chromosome segregation. The 10-subunit KMN assembly
(comprising KNL1, MIS12, and NDC80 complexes, designated KNL1C, MIS12C, and
NDC80C) binds microtubules and regulates mitotic checkpoint function through
NDC80C and KNL1C, respectively. MIS12C, on the other hand, connects the KMN to
the chromosome-proximal domain of the kinetochore through a direct interaction
with CENP-C. The structural basis for this crucial bridging function of MIS12C is
unknown. Here, we report crystal structures of human MIS12C associated with a
fragment of CENP-C and unveil the role of Aurora B kinase in the regulation of
this interaction. The structure of MIS12:CENP-C complements previously determined
high-resolution structures of functional regions of NDC80C and KNL1C and allows
us to build a near-complete structural model of the KMN assembly. Our work
illuminates the structural organization of essential chromosome segregation
machinery that is conserved in most eukaryotes.
PMID- 27881303
TI - The Serotonergic System Tracks the Outcomes of Actions to Mediate Short-Term
Motor Learning.
AB - To execute accurate movements, animals must continuously adapt their behavior to
changes in their bodies and environments. Animals can learn changes in the
relationship between their locomotor commands and the resulting distance moved,
then adjust command strength to achieve a desired travel distance. It is largely
unknown which circuits implement this form of motor learning, or how. Using whole
brain neuronal imaging and circuit manipulations in larval zebrafish, we
discovered that the serotonergic dorsal raphe nucleus (DRN) mediates short-term
locomotor learning. Serotonergic DRN neurons respond phasically to swim-induced
visual motion, but little to motion that is not self-generated. During prolonged
exposure to a given motosensory gain, persistent DRN activity emerges that stores
the learned efficacy of motor commands and adapts future locomotor drive for tens
of seconds. The DRN's ability to track the effectiveness of motor intent may
constitute a computational building block for the broader functions of the
serotonergic system. VIDEO ABSTRACT.
PMID- 27881302
TI - Crystal Structure of a Full-Length Human Tetraspanin Reveals a Cholesterol
Binding Pocket.
AB - Tetraspanins comprise a diverse family of four-pass transmembrane proteins that
play critical roles in the immune, reproductive, genitourinary, and auditory
systems. Despite their pervasive roles in human physiology, little is known about
the structure of tetraspanins or the molecular mechanisms underlying their
various functions. Here, we report the crystal structure of human CD81, a full
length tetraspanin. The transmembrane segments of CD81 pack as two largely
separated pairs of helices, capped by the large extracellular loop (EC2) at the
outer membrane leaflet. The two pairs of helices converge at the inner leaflet to
create an intramembrane pocket with additional electron density corresponding to
a bound cholesterol molecule within the cavity. Molecular dynamics simulations
identify an additional conformation in which EC2 separates substantially from the
transmembrane domain. Cholesterol binding appears to modulate CD81 activity in
cells, suggesting a potential mechanism for regulation of tetraspanin function.
PMID- 27881305
TI - Technique for soft tissue closure in surgeries for fixed implant-supported
prostheses in the edentulous maxilla.
AB - A technique is presented that can be used as a straightforward, quick, and
minimally invasive solution to improve soft tissue closure for surgeries
involving bone reduction for fixed implant-supported prostheses in the edentulous
maxilla.
PMID- 27881306
TI - A modified method for fabricating a radiographic stent with transparent occlusal
registration material for implant placement.
PMID- 27881307
TI - Effect of polishing procedures and hydrothermal aging on wear characteristics and
phase transformation of zirconium dioxide.
AB - STATEMENT OF PROBLEM: Yttria-stabilized zirconia used for the fabrication of
crowns and fixed prostheses may require intraoral adjustments after placement and
cementation. Grinding and polishing methods may result in changes in the surface
characteristics of zirconia. PURPOSE: The purpose of this in vitro study was to
assess the effect of polishing procedures on surface roughness, topographical and
phase changes of zirconia, and wear of the opposing dentition. MATERIAL AND
METHODS: Presintered and precut yttria-stabilized zirconia specimens (10*10*1 mm)
were divided into 4 groups (control, Intensiv, Shofu, 3M ESPE) depending on the
polishing method used to prepare the specimens. All tests were carried out in
triplicate. The specimens were polished depending on the polishing regimen, while
the control was left untreated. The specimens were thermocycled for 3000 cycles,
with a temperature range of 5 degrees C to 55 degrees C. The surface roughness,
elemental, and phase changes caused by polishing before and after thermocycling
were assessed with surface profilometry, energy-dispersive spectroscopy, and x
ray diffraction analysis. The wear on antagonist steatite balls was also measured
after mastication simulation. Statistical analysis was performed using 1-way
ANOVA and the Tukey post hoc test to perform multiple comparison tests
(alpha=.05). RESULTS: The polishing procedures increased surface roughness (Ra)
of yttria-stabilized zirconia from 0.52 for the control specimen to 0.73 for
Intensiv, 0.70 for Shofu, and 0.70 for 3M ESPE (P<.05), which was reduced by
thermocycling to 0.44 (control), 0.58 (Intensiv), and 0.58 (Shofu) (P<.001),
while roughness remained unchanged for 3M ESPE specimens (0.75; P=.452). The
deposition of aluminum when using Shofu abrasives and nickel in Intensiv was
demonstrated. Phase changes were observed on the zirconia surface with formation
of the monoclinic phase in all polishing methods. Specimen aging enhanced the
surface phase changes and also induced compressive stresses in zirconia polished
with Intensiv. The different polishing protocols did not affect the wear to the
antagonist (P>.05). CONCLUSIONS: Polishing zirconia increased surface roughness
and led to surface phase changes, but wear to the antagonist was not affected.
PMID- 27881304
TI - Mitochondrial Sirtuin Network Reveals Dynamic SIRT3-Dependent Deacetylation in
Response to Membrane Depolarization.
AB - Mitochondrial sirtuins, SIRT3-5, are NAD+-dependent deacylases and ADP
ribosyltransferases that are critical for stress responses. However, a
comprehensive understanding of sirtuin targets, regulation of sirtuin activity,
and the relationships between sirtuins remains a key challenge in mitochondrial
physiology. Here, we employ systematic interaction proteomics to elucidate the
mitochondrial sirtuin protein interaction landscape. This work reveals sirtuin
interactions with numerous functional modules within mitochondria, identifies
candidate sirtuin substrates, and uncovers a fundamental role for sequestration
of SIRT3 by ATP synthase in mitochondrial homeostasis. In healthy mitochondria, a
pool of SIRT3 binds ATP synthase, but upon matrix pH reduction with concomitant
loss of mitochondrial membrane potential, SIRT3 dissociates. This release
correlates with rapid deacetylation of matrix proteins, and SIRT3 is required for
recovery of membrane potential. In vitro reconstitution experiments, as well as
analysis of CRISPR/Cas9-engineered cells, indicate that pH-dependent SIRT3
release requires H135 in the ATP5O subunit of ATP synthase. Our SIRT3-5
interaction network provides a framework for discovering novel biological
functions regulated by mitochondrial sirtuins.
PMID- 27881309
TI - Survey of dentists to determine contemporary use of endodontic posts.
AB - STATEMENT OF PROBLEM: Although the scientific literature provides sound decision
making tools for the restoration of endodontically treated teeth, dentists have
different opinions on the rationale for the use of endodontic posts (dowels) and
selection of post systems. The decision to place a post is at times contrary to
the literature. Updated information on the treatment of endodontically treated
teeth among general dentists is lacking. PURPOSE: The purpose of this survey was
to gain insight into the rationale for choice of endodontic posts and the
different endodontic post systems currently used by dental practitioners. Post
and core restorations distribute stress and replace missing tooth structure in
endodontically treated teeth. Guidelines exist to help select post systems. With
the advent of new materials, prefabricated posts have gained popularity among
dentists. However, cast-metal post-and-core systems are still considered the gold
standard. MATERIAL AND METHODS: Surveys were distributed to dentists attending
continuing education meetings in the United States, Canada, Scotland, Ireland,
and Greece. The questions addressed years of practice, specialty training, and
brand, type, shape, and material of the endodontic post systems used. RESULTS:
Descriptive statistical analysis was used to assess the percentage of
respondents. Ninety-two percent of the participants were general practitioners
with 25.94 +/-13.35 years of experience. The majority agreed upon using
endodontic posts when insufficient coronal tooth structure remains and for stress
distribution. Passive, parallel posts were the most commonly reported type and
shape. With regard to post material, fiber posts were the most frequently used
(72.2%), followed by prefabricated alloys (38.6%), cast-metal posts (33.9%),
prefabricated titanium posts (30.1%), and stainless-steel posts (21.7%). For
cementation, resin-modified glass ionomer (40%) was most frequently used,
followed by self-adhesive resin (29.6%). CONCLUSIONS: The majority of the
practitioners used fiber posts. This may be because, in terms of fracture, they
compare favorably with cast-metal post and core, although little evidence in the
literature validates this claim.
PMID- 27881308
TI - Technique for transferring the path of insertion of a removable partial denture
to a CAD-CAM-generated implant surgical template.
AB - A technique is described that uses a surveyor, gutta percha points, and a
computer-aided design and computer-aided manufacturing (CAD-CAM)-guided implant
surgery system to predetermine and transfer the ideal angulation of the implant
to be placed.
PMID- 27881310
TI - Implant-retained skull prosthesis to cover a large defect of the hairy skull
resulting from treatment of a basal cell carcinoma: A clinical report.
AB - Skin carcinoma, particularly basal cell carcinoma, and its treatment can result
in large defects of the hairy skull. A 53-year-old man is described who was
surgically treated for a large basal cell carcinoma invading the skin and
underlying tissue at the top of the hairy skull. Treatment consisted of resecting
the tumor and external part of the skull bone. To protect the brain and to cover
the defect of the hairy skull, an acrylic resin skull prosthesis with hair was
designed to mask the defect. The skull prosthesis was retained on 8 extraoral
implants placed at the margins of the defect in the skull bone. The patient was
satisfied with the treatment outcome.
PMID- 27881311
TI - A photoelastic and strain gauge comparison of two attachments for obturator
prostheses.
AB - STATEMENT OF PROBLEM: The rehabilitation of patients after a maxillectomy
involves the use of an obturator to seal oral-nasal-sinus communication and to
facilitate mastication, swallowing, and speech. PURPOSE: The purpose of this in
vitro study was to evaluate different attachment systems used for implant
retained obturators at dissipation loads and under shear forces. MATERIAL AND
METHODS: Photoelastic models were fabricated with 3 external hexagon implants at
the incisor, canine, and first molar regions. Subsequently, overdentures were
made, and metal hooks were placed at the incisor and first molar regions to
displace the prostheses in the vertical, anterior, and posterior directions, with
a constant speed of 50 mm/min. A photoelastic model with an O-ring or bar-clip
system was placed in a circular polariscope, and tested with a universal testing
machine. The images were recorded and high-intensity fringes were counted using
software. For strain gauge analysis, each strain gauge was placed horizontally at
the mesial and distal sides of the implants. The registered strains were
submitted to 2-way ANOVA (alpha=.05). RESULTS: The O-ring showed the lowest
number of high-intensity fringes in photoelastic imaging, while the strain gauge
analysis showed the lowest stress values in the bar-clip group (P=.007).
CONCLUSIONS: The stress around titanium implant necks was more damaging to
surrounding bone, while the bar-clip attachment system had a better biomechanical
performance. The bar-clip presented the lowest strain values around the dental
implants and few high-intensity fringes.
PMID- 27881312
TI - Detachable cheek plumpers with different attachments for improving esthetics in a
conventional complete denture: A clinical report.
AB - Aging is associated with loss of teeth, resorption of the alveolar process, and
reduced tonicity of the facial muscles, leading to sunken cheeks and an
unesthetic appearance. Esthetics in patients in need of complete dentures can be
improved with the help of cheek plumpers to support the cheeks. They are
noninvasive and straightforward to fabricate. Despite the reported success with
the sole use of maxillary cheek plumpers, in certain situations, maxillary cheek
plumpers provide inadequate support to the cheeks, evident in the lower third of
the face. This clinical report describes a technique for fabricating detachable
mandibular and maxillary cheek plumpers with 2 different types of attachment
mechanisms.
PMID- 27881313
TI - Effect of internal hexagonal index on removal torque and tensile removal force of
different Morse taper connection abutments.
AB - STATEMENT OF PROBLEM: The maintenance of the mechanical stability of implant
abutment connections is relevant to the clinical success of implant-supported
restorations. However, the reduction in the conical area of abutments with an
internal hexagonal index may result in a biomechanical disadvantage in Morse
taper connections. PURPOSE: The purpose of this in vitro study was to evaluate
the influence of an internal hexagonal index on the removal torque and tensile
removal force of different Morse taper connection abutments submitted to
thermomechanical cycling. MATERIAL AND METHODS: Forty Morse taper implants with
their respective abutments were divided into 4 groups (n=10): straight abutment
without index (PRNI); straight abutment with index (PRI); angled abutment without
index (PANI); and angled abutment with index (PAI). Each abutment received an
insertion torque of 15 Ncm, and the removal torque was recorded before and after
thermomechanical cycling (106 cycles, 2 Hz, load of 130 N). After cycling, the
groups were submitted to tensile testing at 0.5 mm/min under a load of 500 N
until displacement of the abutment. A paired t test was performed for the
intragroup analysis of removal torque before and after cycling and 2-way ANOVA
followed by the Tukey Honestly Significant Difference (HSD) test was used for
intergroup comparison (alpha=.05). RESULTS: Statistical analysis showed
significant differences in intragroup removal torque values before compared with
after thermomechanical cycling (P<.05). No statistically significant differences
were found between the experimental groups in the removal torque of the
prosthetic screw after cycling. The index factor (P=.028) was significant for
tensile removal force. CONCLUSIONS: The type of abutment did not significantly
influence the removal torque or tensile removal force after cycling. However, the
presence of the internal hexagonal index significantly reduced the force
necessary to dislodge the abutment from the implant.
PMID- 27881314
TI - Orientation of handle for successful prosthetic treatment in patients with an
anatomic compromise after a maxillectomy.
AB - This clinical technique provides a key procedure for successful prosthetic
treatment in patients with an anatomical compromise after a maxillectomy. When
making a preliminary impression, the clinician must keep the handle of the stock
tray parallel to the patient's interpupillary line extraorally to maintain the
correct position of the tray and to make a record of the inclination of the
occlusal plane. This simple consideration during this first step of making a
preliminary impression can be an important guide for both dentists and dental
technicians. Information about the correct positioning of the tray and the
inclination of the occlusal plane obtained by using a stock tray handle will
greatly reduce the stress experienced by dentists, dental technicians, and
patients during the fabrication of the prosthesis and will ultimately lead to
successful prosthetic treatment in patients with anatomic compromise resulting
from a maxillectomy.
PMID- 27881315
TI - CAD-CAM implant-supported fixed complete dental prosthesis with titanium milled
molars: A clinical report.
AB - Implant-supported fixed complete dental prostheses have been associated with a
high implant success rate in long-term studies. However, they have also been
associated with a high frequency of prosthetic complications. The most frequent
and primary prosthetic complication has been the fracture or wear of the occlusal
surface of acrylic resin teeth that are typically attached to a metal framework.
The design of the framework in this clinical report involved the incorporation of
metal occlusal surfaces for the posterior first molars to the framework. The
titanium framework was fabricated with computer-aided design and computer-aided
manufacturing (CAD-CAM) technology. The remaining teeth were restored in a
conventional manner with acrylic resin denture teeth bonded to the titanium
framework. This was expected to maintain the occlusal vertical dimension and also
reduce the frequency of the primary complications associated with these
prostheses.
PMID- 27881316
TI - Effects of aqueous and acid-based coloring liquids on the hardness of zirconia
restorations.
AB - STATEMENT OF PROBLEM: The effects of the application of aqueous coloring liquids
on the mechanical properties of zirconia have not yet been investigated. PURPOSE:
The purpose of this in vitro study was to evaluate the effects of 3 different
coloring techniques and the number of coloring liquid applications on the
hardness of zirconia. MATERIAL AND METHODS: Eighty specimens were divided into 8
groups (n=10); nonshaded zirconia, preshaded zirconia, acid-based coloring liquid
zirconia, and aqueous coloring liquid zirconia (1, 3, 6). Vickers hardness was
measured. Data were analyzed via 1-way and 2-way ANOVAs. Multiple comparisons
were performed using a Scheffe test (alpha=.05). RESULTS: Statistically
significant differences in hardness were found between acid-based coloring liquid
zirconia and aqueous coloring liquid zirconia (P<.001). Increasing the number of
coloring liquid applications decreased the hardness value of acid-based coloring
liquid zirconia (P<.001) but had no effect on the hardness of aqueous coloring
liquid zirconia (P>.05). CONCLUSIONS: Within the limitations of this study, the
hardness of zirconia was influenced to differing degrees depending on coloring
technique. The number of coloring liquid applications affected the hardness of
zirconia colored with the acid-based coloring liquid but not the hardness of
zirconia colored with the aqueous coloring liquid.
PMID- 27881317
TI - An update on computer-engineered complete dentures: A systematic review on
clinical outcomes.
AB - STATEMENT OF PROBLEM: Reports on computer-engineered complete dentures (CECDs)
continue to increase. Systematic reviews on clinical outcomes and applications
associated with CECDs are lacking in the literature. PURPOSE: The purpose of this
systematic review was to determine the clinical outcomes and applications of
CECDs. MATERIAL AND METHODS: Electronic searches of the English literature from
January 1984 to May 2016 were performed in MEDLINE and Cochrane databases, with
the results enriched by hand searches and citation mining to address 2 relevant
population intervention comparison outcome (PICO) questions: What are the
clinical outcomes associated with CECDs? Are there specific applications and
significant advantages for CECDs? RESULTS: A review of the selected articles on
CECDs revealed significantly better retention and reduced clinical time for the
milled CECDs compared with conventional complete dentures. An advantage
associated with CECDs is the possibility of electronically archiving data using
digital technology for rapid fabrication. Applications reported in the literature
with CECDs were also identified. CONCLUSIONS: A positive trend was seen in the
outcomes with CECDs, although patient selection might have also contributed to
favorable outcomes. Significantly reduced clinical time, improved retention, and
digital archiving were the main advantages associated with CECDs.
PMID- 27881318
TI - Clinical characteristics of an allergic reaction to a polyether dental impression
material.
AB - Allergic and hypersensitivity reactions to dental impression materials may occur
throughout dental treatment, with diverse manifestations from slight redness to
severe pain and a burning mouth with total stomatitis. Patients are often unaware
of these allergic reactions, which makes early identification of the cause almost
impossible. In addition, symptoms usually begin after 24 hours and mostly in
patients with a preexisting history of allergic responses. This report describes
a patient with a suspected allergic reaction to a polyether dental impression
material during prosthetic rehabilitation associated with a mandibular telescopic
denture. Although instances of such occurrence are rare, clinicians need to be
aware of these symptoms and select materials carefully for patients with a
history of allergy.
PMID- 27881319
TI - Influence of remaining tooth structure and restorative material type on stress
distribution in endodontically treated maxillary premolars: A finite element
analysis.
AB - STATEMENT OF PROBLEM: How tooth preparation and material type affect the stress
distribution of endodontically treated teeth restored with endocrowns remains
unclear. PURPOSE: The purpose of this finite element (FE) study was to determine
the influence of the quantity of remaining dental tissues and material type on
stress distribution in endodontically treated maxillary premolars using 3
dimensional FE analysis. MATERIAL AND METHODS: Five 3-dimensional FE models were
constructed on the basis of the restorative methods used and the quantity of
preserved tooth tissues: a sound maxillary premolar, an endodontically treated
maxillary premolar restored with composite resin, and endodontically treated
maxillary premolars restored with endocrowns with thicknesses of 1.0 mm, 2.0 mm,
and 3.0 mm. The following endocrown materials were used: Paradigm MZ100, IPS
Empress, IPS e.max CAD, and In-Ceram Zirconia. Stress distributions were analyzed
under vertical and oblique loads. RESULTS: As the quantity of preserved dental
tissues increased, the von Mises stress in dentin decreased, and the peak von
Mises strain value of the cement layer increased. When the elastic modulus of the
endocrown material increased, the von Mises stress in endocrown and dentin
increased, and the peak von Mises strain value of the cement layer decreased.
CONCLUSIONS: Although the conservative preparation of teeth for endocrowns is
likely to protect the residual tooth structure, it may cause future cohesive
bonding failure. An increase in the elastic modulus of the material may benefit
the durability of bonding between the endocrown and the abutment tooth; however,
it may cause fracture of the residual tooth structure.
PMID- 27881320
TI - Fabrication of an unconventional bolus-type stent for a combined
intraoral/extraoral defect treated with proton radiation therapy.
AB - Customized intraoral devices help the radiation oncologist minimize the adverse
effects of radiation and maximize the tumor control rate by keeping the anatomic
structures in a consistent position during each fraction of radiation treatment.
This article illustrates the fabrication of a bolus-type positioning device for a
combined intraoral/extraoral defect treated with proton radiation therapy.
PMID- 27881321
TI - A digital approach integrating facial scanning in a CAD-CAM workflow for complete
mouth implant-supported rehabilitation of patients with edentulism: A pilot
clinical study.
AB - STATEMENT OF PROBLEM: Complete-mouth implant-supported rehabilitations are
challenging because of the multiple surgical and prosthetic steps involved in
clinical evaluations to assure passive prosthesis fit and optimal esthetic and
functional outcomes. As a result, these rehabilitations are usually associated
with substantial clinical time, patient discomfort, and high treatment cost.
PURPOSE: The purpose of this pilot clinical study was to evaluate a novel digital
approach integrating digital intraoral dental and extraoral facial scanning
information to design and mill a computer-aided design and computer-aided
manufacturing (CAD-CAM) implant-retained prosthesis for patients with complete
edentulism. MATERIAL AND METHODS: Ten patients in need of complete-mouth
rehabilitation were included in this pilot study. Digital intraoral records were
obtained through optical scanning the duplicate interim prosthesis using a
laboratory scanner, while digital extraoral records were obtained through facial
scanning using an in-office scanner. The scanned impressions and occlusal records
were used to create a virtual tooth arrangement, which was matched to the
patient's 3-dimensional face scan to create a virtual clinical evaluation phase.
After applying the necessary adjustments, the virtual arrangement was submitted
to a CAM procedure where a 5-axis industrial milling machine was used to
fabricate an interim prosthesis. RESULTS: Digital intraoral and extraoral records
were integrated and used to fabricate CAD-CAM milled interim prostheses, which
were inserted and assessed for clinical fit, occlusion/articulation, and
esthetics. The prostheses remained in function for at least 6 months with no
notable technical or biological complications except for 1 prosthesis that
fractured. CONCLUSIONS: A novel digital workflow incorporating facial scanning in
a CAD-CAM workflow was used to fully digitally design and mill 10 implant
retained interim prostheses. More research is required to further develop and
assess the accuracy and applicability of this approach.
PMID- 27881322
TI - Layperson's preference regarding orientation of the transverse occlusal plane and
commissure line from the frontal perspective.
AB - STATEMENT OF PROBLEM: Facial asymmetries in features such as lip commissure and
interpupillary plane canting have been described as common conditions affecting
smile esthetics. When presented with these asymmetries, the clinician must choose
the reference line with which to orient the transverse occlusal plane of the
planned dental restorations. PURPOSE: The purpose of the online survey described
in this study was to determine lay preferences regarding the transverse occlusal
plane orientation in faces that display a cant of the commissure line viewed from
the frontal perspective. MATERIAL AND METHODS: From a digitally created
symmetrical facial model with the transverse occlusal plane and commissure line
parallel to the interpupillary line (horizontal) and a model constructed in a
previous study (control), a new facial model was created with 3 degrees of cant
of the commissure line. Three digital tooth mountings were designed with
different transverse occlusal plane orientations: parallel to the interpupillary
line (A), parallel to the commissure line (B), and the mean angulation plane
formed between the interpupillary and commissure line (C), resulting in a total
of 4 images. All images, including the control, were organized into 6 pairs and
evaluated by 247 selected laypersons through an online Web site survey. Each
participant was asked to choose the more attractive face from each of the 6 pairs
of images. RESULTS: The control image was preferred by 72.9% to 74.5% of the
participants compared with the other 3 images, all of which represented a
commissure line cant. Among the 3 pairs which represent a commissure line cant,
59.1% to 61.1% preferred a transverse plane of occlusion cant (B and C) compared
with a plane of occlusion parallel to the interpupillary, line and 61.1%
preferred a plane of occlusion parallel to the commissure line (B) compared with
the mean angulation plane (C). CONCLUSIONS: Laypeople prefer faces with a
commissure line and transverse occlusal plane parallel to the horizontal plane or
horizon. When faces present a commissure line cant, laypeople prefer a transverse
occlusal plane with a similar and coincident cant.
PMID- 27881323
TI - Celebrity smile esthetics assessment: Smile angulation.
AB - STATEMENT OF PROBLEM: Whether deviations in the angulation discrepancy between
the intercanine and interpupillary line significantly affect attractiveness is
unknown. PURPOSE: The purpose of this prospective study was to quantify dental
and facial esthetics to determine whether smile angulation discrepancies in
individuals identified as having attractive smiles are smaller than those in the
average population. MATERIAL AND METHODS: An Internet search for "best smile" and
"celebrity" identified 108 celebrities (Test group). Photographs showing smiles
within 10 degrees of a frontal view were gathered. In mannequin testing, small
head rotation (<10 degrees) was found not to affect the measurements. Photographs
of dental students were used for the control group. The angulation discrepancy
between the intercanine and interpupillary line was measured using computer
software. Groups were compared using the Mann-Whitney U test (alpha=.05).
RESULTS: Usable photographs were obtained for 94 celebrities (62 women, 32 men)
and were compared with photographs of 97 dental students (54 women, 43 men).
Significant (P<.01) differences in angulation discrepancy were found, with
celebrities having smaller mean angulation discrepancies (0.97 degrees) than
dental students (1.33 degrees). The differences between men and women were not
statistically significant (P>.05). CONCLUSIONS: Celebrities identified as having
"best smile" had significantly smaller mean angulation discrepancies than the
control group.
PMID- 27881324
TI - Bacterial adhesion on direct and indirect dental restorative composite resins: An
in vitro study on a natural biofilm.
AB - STATEMENT OF PROBLEM: Both direct and indirect techniques are used for dental
restorations. Which technique should be preferred or whether they are equivalent
with respect to bacterial adhesion is unclear. PURPOSE: The purpose of this in
vitro study was to determine the affinity of bacterial biofilm to dental
restorative composite resins placed directly and indirectly. MATERIAL AND
METHODS: Five direct composite resins for restorations (Venus Diamond, Adonis,
Optifil, Enamel Plus HRi, Clearfil Majesty Esthetic) and 3 indirect composite
resins (Gradia, Estenia, Signum) were selected. The materials were incubated in
unstimulated whole saliva for 1 day. The biofilms grown were collected and their
bacterial cells counted. In parallel, the composite resin surface morphology was
analyzed with atomic force microscopy. Both bacterial cell count and surface
topography parameters were subjected to statistical analysis (alpha=.05).
RESULTS: Indirect composite resins showed significantly lower levels than direct
composite resins for bacterial cell adhesion, (P<.001). No significant
differences were observed within the direct composite resins (P>.05). However,
within the indirect composite resins a significantly lower level was found for
Gradia than Estenia or Signum (P<.01). A partial correlation was observed between
composite resin roughness and bacterial adhesion when the second and particularly
the third-order statistical moments of the composite resin height distributions
were considered. CONCLUSIONS: Indirect dental restorative composite resins were
found to be less prone to biofilm adhesion than direct composite resins. A
correlation of bacterial adhesion to surface morphology exists that is described
by kurtosis; thus, advanced data analysis is required to discover possible
insights into the biologic effects of morphology.
PMID- 27881325
TI - Influence of porcelain firing and cementation on the marginal adaptation of metal
ceramic restorations prepared by different methods.
AB - STATEMENT OF PROBLEM: Marginal adaptation plays an important role in the survival
of metal-ceramic restorations. Porcelain firings and cementation may affect the
adaptation of restorations. Moreover, conventional casting procedures and casting
imperfections may cause deteriorations in the marginal adaptation of metal
ceramic restorations. PURPOSE: The purpose of this in vitro study was to compare
the marginal adaptation after fabrication of the framework, porcelain
application, and cementation of metal-ceramic restorations prepared by using the
conventional lost-wax technique, milling, direct metal laser sintering (DMLS),
and LaserCUSING, a direct process powder-bed system. Alterations in the marginal
adaptation of the metal frameworks during the fabrication stages and the
precision of fabrication methods were evaluated. MATERIAL AND METHODS: Forty
eight metal dies simulating prepared premolar and molar abutment teeth were
fabricated to investigate marginal adaptation. They were divided into 4 groups
(n=12) according to the fabrication method used (group C serving as the control
group: lost-wax method; group M: milling method; group LS: DMLS method; group DP:
direct process powder-bed method). Sixty marginal discrepancy measurements were
recorded separately on each abutment tooth after fabrication of the framework,
porcelain application, and cementation by using a stereomicroscope. Thereafter,
each group was divided into 3 subgroups according to the measurements recorded in
each fabrication stage: subgroup F (framework), subgroup P (porcelain
application), and subgroup C (cementation). Data were statistically analyzed with
univariate analysis of variance (followed by 1-way ANOVA and Tamhane T2 test
(alpha=.05). RESULTS: The lowest marginal discrepancy values were observed in
restorations prepared by using the direct process powder-bed method, and this was
significantly different (P<.001) from the other methods. The highest marginal
discrepancy values were recorded after the cementation procedure in all groups.
CONCLUSIONS: The results showed that the direct process powder-bed method is
quite successful in terms of marginal adaptation. The marginal discrepancy
increased after porcelain application and cementation.
PMID- 27881327
TI - Accuracy of impression scanning compared with stone casts of implant impressions.
AB - STATEMENT OF PROBLEM: Accurate virtual implant models are a necessity for the
fabrication of precisely fitting superstructures. PURPOSE: The purpose of this in
vitro study was to evaluate different methods with which to build an accurate
virtual model of a 3-dimensional implant in the oral cavity; this model would
then be used for iterative computer-aided design and computer-aided manufacturing
(CAD-CAM) procedures. MATERIAL AND METHODS: A titanium master model with 3
rigidly connected implants was manufactured and digitized with a noncontact
industrial scanner to obtain a virtual master model. Impressions of the master
model with the implant position locators (IPL) were made using vinyl siloxanether
material. The impressions were scanned (Impression scanning technique group). For
the transfer technique and pick-up technique groups (each group n=20), implant
analogs were inserted into the impression copings, impressions were made using
polyether, and casts were poured in Type 4 gypsum. The IPLs were screwed into the
analogs and scanned. To compare the virtual master model with each virtual test
model, a CAD interactive software, ATOS professional, was applied. The Kruskal
Wallis test was subsequently used to determine the overall difference between
groups, with the Mann-Whitney U test used for pairwise comparisons. Through
Bonferroni correction, the alpha-level was set to .017. RESULTS: The outcome
revealed a significant difference among the 3 groups (P<.01) in terms of
accuracy. With regard to total deviation, for all axes, the transfer technique
generated the greatest divergence, 0.078 mm (+/-0.022), compared with the master
model. Deviation with the pick-up technique was 0.041 mm (+/-0.009), with
impression scanning generating the most accurate models with a deviation of 0.022
mm (+/-0.007). CONCLUSIONS: The impression scanning method improved the precision
of CAD-CAM-fabricated superstructures.
PMID- 27881326
TI - Minimally invasive prosthetic restoration of posterior tooth loss with resin
bonded, wing-retained, and inlay-retained fixed dental prostheses fabricated from
monolithic zirconia: A clinical report of two patients.
AB - The esthetics and biocompatibility of ceramic resin-bonded fixed dental
prostheses (RBFDPs) are regarded as better than those of their metal ceramic
counterparts. However, a high incidence of complications in the posterior arches
of ceramic RBFDPs initiated a process of continuous and evolving design
development. This clinical report describes 2 successful restorations of a
missing posterior tooth with monolithic zirconia RBFDPs with 2 different retainer
designs: retentively prepared adhesive wings and inlays.
PMID- 27881328
TI - Immunostimulatory capacity of dental casting alloys on endotoxin responsiveness.
AB - STATEMENT OF PROBLEM: Oral metal exposure has been associated with systemic and
local adverse reactions, probably due to elemental release from the alloys.
Although supraphysiological concentrations of salts from dentally applied metals
can activate innate cells through TLR4 (Ni, Co, Pd) and TLR3 (Au), whether direct
exposure to solid alloys can also trigger innate immune reactivity is still
unknown. PURPOSE: The purpose of this in vitro study was to determine whether
dental cast alloy specimens can activate innate cells and influence their
responsiveness to bacterial endotoxin. MATERIAL AND METHODS: Human monocyte
derived dendritic cells (MoDC) and THP-1 cells were cultured on top of different
alloy specimens (Ni-Cr, Co-Cr, Pd-Cu, Pd-Ag, Ti-6Al-4V, amalgam, gold, and
stainless steel) or in alloy-exposed culture medium with or without endotoxin
(lipopolysaccharide [LPS]; Escherichia coli 055:B5). Interleukin-8 (IL-8)
production was used as the parameter for innate stimulation and evaluated by
enzyme-linked immunosorbent assay after 24 hours of culture. The statistical
significance of the effects of various casting alloys on the secretion of IL-8
was analyzed by using the nonparametric Wilcoxon rank sum test (alpha=.05).
RESULTS: Dental cast alloys induced IL-8 production in MoDC and THP-1 cells, with
Au and Pd-Cu providing the strongest stimulation. The alloy-exposed culture media
tested contained sufficient stimulatory metal ions to induce detectable IL-8
production in THP-1 cells, except for the Ni-Cr and stainless steel exposed
media. Au and Pd-Cu alloys were also most effective in potentiating LPS
responsiveness as measured by IL-8 production. CONCLUSIONS: Using an in vitro
culture system to expose MoDC and THP-1 cells to different alloy specimens this
study showed that contact with the solid alloys, in particular when they contain
Pd or Au, can trigger innate immune responses and augment responsiveness to
bacterial endotoxin.
PMID- 27881329
TI - Oral features and computerized rehabilitation of a young patient with CHARGE
syndrome using minimally invasive long-term interim CAD-CAM restorations.
AB - Patients with CHARGE syndrome (where CHARGE stands for coloboma of the iris or
retina, heart defects or cardiac malformations, atresia/stenosis of the choanae,
retardation of growth and development, genital anomalies, and ear abnormalities)
present several orofacial anomalies. Their treatment depends on the specific type
of manifestation. To perform the complex oral rehabilitation and achieve a
conservative, esthetic, and functional exploration of the definitive treatment
goal, computer-aided design and computer-aided manufacturing (CAD-CAM) polymers
can be used as long-term interim restorations. This article reports the treatment
of a young patient with CHARGE syndrome combined with oral alterations. CAD-CAM
polymers offer an intermediate treatment with satisfying esthetics and function
at low biological cost until bone growth is completed. This period facilitates
additional planning for the definitive restoration.
PMID- 27881330
TI - Improved digital impressions of edentulous areas.
PMID- 27881331
TI - In vitro assessment of retention and resistance failure loads of two preparation
designs for maxillary anterior teeth.
AB - STATEMENT OF PROBLEM: Parallel labiolingual walls and the preservation of the
cingulum in anterior tooth preparations have been advocated. However, their
contribution to retention and resistance form has not been evaluated. PURPOSE:
The purpose of this in vitro study was to evaluate the retention and resistance
failure loads of 2 preparation designs for maxillary anterior teeth. MATERIAL AND
METHODS: Forty metal restorations were fabricated and paired with 40 cobalt
chromium prepared tooth analogs. Twenty of the specimens had parallel
buccolingual walls at the cervical part (group PBLW; the control group), whereas
the remaining 20 had converging buccolingual walls (group CBLW; the experimental
group). The restorations were cemented to the tooth analogs with a resin-modified
glass ionomer luting agent. Ten specimens from each group were subjected to
tensile loading with a universal testing machine; the rest were subjected to
compression loading until failure. Descriptive statistics and the independent t
test (alpha=.05) were used to determine the effect of failure loads in the tested
groups. RESULTS: The independent t test revealed statistically significant
differences between the tested groups in tensile loading (P<.001) and in
compressive loading (P<.001). The PBLW group presented a higher tensile failure
load than the CBLW. On the contrary, the PBLW group presented a smaller
compression failure load than the CBLW. CONCLUSIONS: Parallelism of the
buccolingual axial walls in anterior maxillary teeth increased the retention form
but decreased the resistance form.
PMID- 27881332
TI - [Herpes simplex virus-mediated RNA interference targeting vesicular glutamate
transporter 3 attenuates tactile allodynia in mice].
AB - OBJECTIVE: To construct a replication-deficient herpes simplex virus (HSV-1) for
delivering a short hairpin RNA (shRNA) targeting vesicular glutamate transporter
3 (VGLUT3) and observe its effect in alleviating allodynia in mice. METHODS: The
recombinant HSV-1 vector carrying the shRNA targeting Vglut3 (HSV-1-shvglut3) was
constructed and inoculated in the sciatic nerve in a mouse model of mechanical
allodynia to test its analgesia effect. Mechanical allodynia and heat
hypersensitivity of the mice were tested by von Frey filaments and Hargreaves'
test, respectively. VGLUT3 expression in the dorsal root ganglion (DRG) was
evaluated by immunohistochemistry and Western blotting. RESULTS: Following
inoculation in the sciatic nerve, the HSV vector HSV-1-shvglut3 was retrogradely
transported to the DRG. Mechanical withdraw thresholds of the mouse models
receiving HSV-1-shvglut3 inoculation were reversed to nearly the baseline level,
and VGLUT3 expression in the DRG was down-regulated 2 weeks after vector
inoculation. The analgesic effect lasted for over 2 weeks in these mice without
obvious systematic side effects or changes in heat hypersensitivity threshold.
CONCLUSION: Vglut3 in the DRG is a promising therapeutic target for alleviating
mechanical allodynia, and HSV-1 vector-mediated RNA interference is safe and
efficient for inducing long-lasting analgesia after peripheral inoculation of the
vector.
PMID- 27881333
TI - [Role of pannexin 1 channels in cisplatin-induced apoptosis in I-10 cells].
AB - OBJECTIVE: To investigate the role of pannexin 1 channels in cisplatin-induced
apoptosis in I-10 cells and the mechanisms. METHODS: MTT assay was used to assess
the cytotoxicity of cisplatin (DDP) in I-10 cells. Annexin V/PI double staining
and Hoechst 33258 fluorescence staining were employed to detect early- and late
stage apoptosis of the cells, respectively. Extracellular ATP level and
intracellular IP3 level in the cells were detected using commercial detection
kits. RESULTS: I-10 cells exposed to both CBX (a pannexin 1 channel inhibitor)
and DDP showed a higher cell viability compared with the cells exposed to DDP
alone (P<0.01). CBX significantly decreased cisplatin-induced early-stage
apoptosis (P<0.001) and late-stage apoptosis (P<0.01), and cause obvious
reductions in extracellular ATP and intracellular IP3 levels during cisplatin
induced apoptosis (P<0.05). CONCLUSION: Pannexin 1 channels participate in
cisplatin-induced apoptosis in I-10 cells possibly through the ATP/IP3 pathway.
PMID- 27881334
TI - [Over-expression of receptor-interacting protein 140 in tumor-associated
macrophages suppresses invasion and proliferation of hepatoma cells in vitro].
AB - OBJECTIVE: To investigate the role of receptor-interacting protein 140 (RIP140)
in tumor-associated macrophages (TAMs) in the invasion and proliferation of
hepatoma cells in vitro. METHODS: Western blotting, qRT-PCR and flow cytometry
were performed to examine the effects of lentivirus-mediated RIP140 over
expression in mouse peritoneal macrophages (PMs). Western blotting, qRT-PCR and
immunofluorescence staining were used to detect the expression of RIP140 in TAMs
following stimulation of the PMs with hepatocellular carcinoma conditioned medium
(HCM) for 24 h. The polarization index and the expression of NF-kappaB and IL-6
were detected using qRT-PCR in TAMs in HCM-stimulated PMs with or without RIP140
over-expression. Transwell assay and flow cytometry were used to estimate the
cell invasion and apoptosis. HE staining and immunohistochemical staining were
used to analyze the effects of RIP140-over-expressing macrophages on the growth
and tumor formation of H22 cells in BALB/c nude mice. RESULTS: The lentivirus
vector efficiently mediated RIP140 over-expression in mouse PMs. HCM stimulation
significantly inhibited RIP140 expression in the TAMs and promoted their M2-like
polarization. Over-expression of RIP140 in PMs suppressed the invasion and
induced apoptosis of HCC cells. RIP140 over-expression inhibited HCM-induced M2
polarization and the activation of NF-kappaB/IL-6 axis in the TAMs, and RIP140-
overexpressing TAMs obviously suppressed the growth of H22 cell xenograft in nude
mice. CONCLUSION: Over-expression of RIP140 in TAMs suppresses the growth and
proliferation of hepatoma cells possibly by inhibiting M2 polarization of the
TAMs.
PMID- 27881335
TI - [Health Qigong Wuqinxi improves hydrogen proton magnetic resonance spectra in
prefrontal cortex and hippocampus in college students with mild depression].
AB - OBJECTIVE: To investigate the effects of health Qigong Wuqinxi exercise on mild
depression in college students and analyze the changes in hydrogen proton
magnetic resonance spectra (1H-MRS) in the prefrontal cortex and hippocampus
after the exercise. METHODS: Fifty-eight volunteer college students, including 30
with mild depression and 28 healthy students, were randomized into the
intervention group and non-intervention group. The students in the intervention
group were asked to practice health Qigong Wuqinxi training for 12 weeks and
those in the non-intervention group did not engage in such training. For each
subject, BECK Depression Self-reported questionnaire (BDI), Hamilton Depression
rating scale (HAMD) score, and the metabolic parameters of 1H-MRS in the
prefrontal cortex and hippocampus were evaluated before and after the
intervention. RESULTS: Before the intervention, the scores of BDI and HAMD in the
depression group were significantly higher than that in the control group
(P<0.01), and were lowered obviously after the 12-week intervention (P<0.01).
Compared with the control group, 1H-MRS in the depression group before
intervention showed significantly increased NAA/Cr value in the left prefrontal
cortex, Cho/Cr value in the bilateral hippocampus and the left frontal lobe, and
Cho/Cr value of the left hippocampus and right frontal lobe (P<0.05) with
significantly lowered NAA/Cho value in the bilateral prefrontal and Cho/NAA value
in the right hippocampus (P<0.05). After 12 weeks of intervention, NAA/Cr value
in the bilateral hippocampus and the NAA/Cho value in the right hippocampus were
significantly lowered (P<0.05), and NAA/Cho value in the right prefrontal and
Cho/NAA value in the right hippocampus were significantly increased (P<0.05) in
the depression group. Before the intervention, Pearson correlation analysis
showed that the scores of HAMD and BDI were positively correlated with Cho/Cr
value in the hippocampus and NAA/Cr value in prefrontal lobe (P<0.01) and
inversely with NAA/Cho in prefrontal lobe and Cho/NAA value in the hippocampus
(P<0.05); after the intervention, the scores of HAMD and BDI were positively
correlated with NAA/Cr value in the hippocampus and Cho/Cr value in the left
hippocampus (P<0.05). CONCLUSION: Exercise of health Qigong Wuqinxi can reduce
depression scale scores in patients with mild depression and improve the
metabolic indexes (NAA/Cr and Cho/Cr values) in the prefrontal cortex and the
hippocampus.
PMID- 27881336
TI - [Outcome analysis of monozygotic twin pregnancy conceived by assisted
reproductive techniques].
AB - OBJECTIVE: To analyze the incidence, management, and outcomes of monozygotic twin
(MZT) pregnancy conceived by assisted reproductive techniques (ART). METHODS: A
retrospective analysis was performed of clinical pregnancies after in vitro
fertilization and embryo transfer (IVF-ET) and introcytoplasmic sperm injection
and embryo transfer (ICSI-ET) from January, 2010 to June 2015 at our center. We
investigated the incidence, managements and outcomes of 94 MZT pregnancies.
Comparison of the pregnancy outcomes was made between the expectantly managed MZT
pregnancies, dizygotic twin (DZT) pregnancies, monozygotic (MZ)-triplet
pregnancies with selective embryo reduction (SER) to 2 fetuses and 1 fetus, and
non-MZ triplet pregnancies with SER to 2 fetuses. RESULTS: Ninety-four MZT
pregnancies occurred in the total of 6257 clinical pregnancy cycles with an
incidence of 1.5%. No significant difference was found in the incidence of MZT
pregnancies between IVF and ICSI cycles or between fresh and thawed cycles
(P>0.05). Of the 94 MZT pregnancies, 45 were MZT pregnancy cycles, 43 were MZ
triplet pregnancy cycles, 3 were MZ-quadruplet pregnancy cycles and 3 were
ectopic pregnancies. The expectantly managed MZT was associated with a
significantly greater rate of miscarriage and malformation and a lower rate of
live birth and term birth (P<0.05) in comparison with DZT pregnancy cycles that
did not undergo SER. Similar outcomes were found between MZ-triplet pregnancies
with SER to 2 fetuses and MZ-triplet pregnancies with SER to 1 fetus (P>0.05),
and between MZ-triplets with SER to 2 fetuses and non-MZ triplet pregnancies with
SER to 2 fetuses (P>0.05). CONCLUSION: ART is associated with a much higher
incidence of MZT pregnancies than spontaneous conception. MZT pregnancies are at
high risk of adverse outcomes, and reduction of MZT in multiple pregnancies may
help to improve the outcomes.
PMID- 27881337
TI - [Inhibitory effect of Mig-7 silencing by retrovirus-mediated shRNA on
vasculogenic mimicry, invasion and metastasis of human hepatocellular carcinoma
cells in vitro].
AB - OBJECTIVE: To explore the inhibitory effect of migration-inducing gene 7 (Mig-7)
gene silencing induced by retroviral-mediated small hairpin RNA (shRNA) on
vasculogenic mimicry (VM), invasion and metastasis of human hepatocellular
carcinoma (HCC) cells in vitro. METHODS: Two target sequences (Mig-7 shRNA-1 and
Mig-7 shRNA-2) and one negative control sequence (Mig-7 shRNA-N) were
synthesized. The recombinant retroviral vectors carrying Mig-7 shRNA were
constructed, and HCC cell line MHCC-97H were transfected with Mig-7 shRNA-1, Mig
7 shRNA-2, Mig-7 shRNA-N, or the empty vector, or treated with 125 ug/mL
recombinant human endostatin (ES). Mig-7 expression in the treated cells was
detected using semi-quantitative PCR and Western blotting. The inhibitory effect
of Mig-7 silencing on VM formation was investigated in a 3-dimensional cell
culture system; the changes in cell adhesion, invasion and migration were
assessed with intercellular adhesion assay, Transwell invasion assay and
Transwell migration assay, respectively. RESULTS: The expression of Mig-7 at both
mRNA and protein levels decreased significantly, VM formation, invasion and
metastasis were suppressed, while intercellular adhesion increased significantly
in MHCC-97H cells in Mig-7 shRNA-1 and Mig-7 shRNA-2 groups (P<0.05); such
changes were not observed in cells transfected with Mig-7 shRNA-N or the empty
vector, nor in cells treated with ES. CONCLUSIONS: Mig-7 silencing by retroviral
mediated shRNA significantly inhibits VM formation, invasion and metastasis and
increases the intercellular adhesion of the HCC cells, while ES does not have
such inhibitory effects.
PMID- 27881338
TI - [Plasma metabonomics of Guifu Dihuang Wan in the treatment of yang deficiency].
AB - OBJECTIVE: To assess the effect of Guifu Dihuang Wan (GFDHW) in the treatment of
yang deficiency and explore the underlying molecular mechanism. METHODS: Sixty
two participants without diseases were randomized into control group (n=31) and
experimental group (n=31) and were given lifestyle intervention additional GFDHW
treatment for a month. NMR technology was used for metabonomics analysis.
RESULTS: Intervention with GFDHW resulted in significantly decreased conversion
scores of yang deficiency in the experimental group compared with the control
group (P<0.005). The concentrations of lactate, valine, proline, arginine and 3
hydroxybutyrate were increased in the plasma of yang-deficient subjects after
lifestyle intervention. GFDHW treatment with lifestyle intervention significantly
increased the concentrations of lactate, valine, proline, arginine and 3
hydroxybutyrate and also the levels of alanine, glutamine, alpha glucose,
isoleucine, betaine and propylene glycol. CONCLUSION: GFDHW treatment improves
yang deficiency possibly by increasing the concentrations of alanine, glutamine,
alpha glucose, isoleucine, betaine and propylene glycol and promoting energy
metabolism of the body.
PMID- 27881339
TI - [Comparison of TaqMan and Allglo probe in fluorescence quantitative RT-PCR
detection of simian immunodeficiency virus].
AB - OBJECTIVE: To compare the sensitivity and reproducibility of Allglo and TaqMan
probe in the detection of simian immunodeficiency virus (SIV) using fluorescence
quantitative RT-PCR (QPCR). METHODS: The reference sample of SIV was diluted to 6
gradient concentrations; at each concentration 12 samples were tested to analyze
the variations within batches, and each sample was tested for 12 times for
analysis of variations between batches by QRT-PCR using TaqMan probe and Allglo
probe. The results of QPCR using the two probes were analyzed with ABI7300 PCR
system software. RESULTS: In QPCR using TaqMan and Allglo probe, the lower limit
of sensitivity for SIV detection was both 50 copies/mL. Assessment of the
reproducibility of the tests showed that the maximum and minimum coefficients of
variation between batches were 0.63% and 0.33% with Allglo probe, respectively,
as compared with 1.33% and 0.2% with TaqMan probe. The maximum and minimum
coefficients of inter-batch variation was 1.77% and 0.95% with Allglo probe,
respectively, as compared with 1.86% and 1.03% with TaqMan probe. CONCLUSION:
Allglo probe shows a better performance then TaqMan probe in detection of SIV
QPCR.
PMID- 27881340
TI - [Expressions of Ras and Sos1 in epithelial ovarian cancer tissues and their
clinical significance].
AB - OBJECTIVE: To detect the expressions of Ras and Sos1 proteins in human epithelial
ovarian cancer (EOC) tissues and explore their correlation with the
clinicopathological features of the patients. METHODS: The expressions of Ras and
Sos1 proteins were detected immunohistochemically in 62 EOC tissues, 5 borderline
ovarian cancer tissues, 15 benign epithelial ovarian neoplasm tissues, and 18
normal ovarian tissues. RESULTS: The EOC tissues showed significantly higher
expression levels of both Ras and Sos1 than the other tissues tested (P<0.05). In
EOC tissues, Ras and Sos1 proteins were expressed mostly on the cell membrane and
in the cytoplasm. The expression level of Ras was correlated with pathological
types of the tumor (P<0.05) and was the highest in serous cystadenomcarcinoma;
Sos1 expression did not show significant correlation with the clinicopathological
indexes of the patients. High expressions of both Ras and Sos1 proteins were
associated with shorter progression-free survival of the patients, but this
association was not statistically significant. CONCLUSIONS: Ras and Sos1 protein
may participate in in the occurrence and development of EOC. The tissue-specific
variation of Ras expression can lend support to a specific diagnosis of ovarian
serous adenocarcinoma. The association of Ras and Sos1 protein expression with
the tumor-free survival time of the patients awaits further investigation with a
larger sample size.
PMID- 27881341
TI - [Low-frequency pulsed electromagnetic fields promotes rat osteoblast
differentiation in vitro through cAMP/PKA signal pathway].
AB - OBJECTIVE: To study whether low-frequency pulsed electromagnetic fields promotes
the differentiation of cultured rat osteoblasts through the cAMP/PKA signal
pathway. METHODS: Rat calvarial osteoblasts isolated by enzyme digestion were
exposed to 50 Hz 0.6 mT low-frequency pulsed electromagnetic field for varying
lengths of time, and the concentration of cAMP and levels of phosphorylated PKA
in the cells were assayed. In cells treated with DDA to inhibit the activity of
adenylate cyclase, the changes of ALP activity and transcription of osteogenic
gene were detected after exposure to low-frequency pulsed electromagnetic field.
The changes of osteogenic gene transcription and protein expression were tested
in the osteoblasts pretreated with KT5720 in response to low-frequency pulsed
electromagnetic field exposure. RESULTS: The intracellular cAMP concentration in
the cells increased significantly at 20 min during exposure to low-frequency
pulsed electromagnetic field, began to decrease at 40 min during the exposure,
and increased again after a 2-h exposure; the same pattern of variation was also
observed in p-PKA level. Application of DDA and KT5720 pretreatment both
suppressed the increase in ALP activity and osteogenic gene transcription induced
by electromagnetic field exposure. CONCLUSION: Low- frequency pulsed
electromagnetic field exposure improves the differentiation of cultured rat
osteoblasts by activating cAMP/PKA signal pathway.
PMID- 27881342
TI - [Factors affecting maternal physical activities: an analysis based on the
structural equation modeling].
AB - OBJECTIVE: To analyze the factors affecting maternal physical activities at
different stages among pregnant women. METHODS: Self-designed questionnaires were
used to investigate the physical activities of women in different stages,
including 650 in the first, 650 in the second, and 750 in the third trimester of
pregnancy. The factors affecting maternal physical activities were analyzed using
the structural equation model that comprised 4 latent variables (attitude, norm,
behavioral attention and behavior) with observed variables that matched the
latent variables. RESULTS: The participants ranged from 18 to 35 years of age.
The women and their husbands, but not their mothers or mothers-in-law, were all
well educated. The caregiver during pregnancy was mostly the mother followed by
the husband. For traveling, the women in the first, second and third trimesters
preferred walking, bus, and personal escort, respectively; the main physical
activity was walking in all trimesters, and the women in different trimester were
mostly sedentary, a greater intensity of exercise was associated with less
exercise time. Structural equation modeling (SEM) analysis showed that the
physical activities of pregnant women was affected by behavioral intention (with
standardized regression coefficient of 0.372); attitude and subjective norms
affected physical activity by indirectly influencing the behavior intention
(standardized regression coefficients of 0.140 and 0.669). CONCLUSIONS: The
pregnant women in different stages have inappropriate physical activities with
insufficient exercise time and intensity. The subjective norms affects the
physical activities of the pregnant women by influencing their attitudes and
behavior intention indirectly, suggesting the need of health education of the
caregivers during pregnancy.
PMID- 27881343
TI - [Surgical management of proximal anterior cerebral artery (A1) aneurysms].
AB - OBJECTIVE: To review our experience in surgical management of proximal anterior
cerebral artery (A1) aneurysms in 23 patients. METHODS: Between January, 2004 and
December, 2014, 23 patients (1.6%) with A1 aneurysms diagnosed by CTA or DSA were
treated surgically. The "3H" therapy was adopted for postoperative prevention of
cerebrovascular spasm. All the patients were followed up and examined with
cerebrovascular CTA at 6, 12, 48 and 60 months after the operation with their
Glasgow Outcome Scale score recorded. RESULTS: The patients consisted of 15 men
and 8 women with an age range of 16 to 72 years (mean 51.3 years). The average
diameter of the aneurysms was 5.8 mm, ranging from 3.2 to 9.7 mm. Twenty-two
saccular aneurysms were found in these patients; 21 patients presented with SAH
and two had vascular malformation. All the A1 aneurysms were managed through the
pterional approach, and the mean postoperative Glasgow Outcome Scale score was
4.8. CONCLUSION: Thorough analysis of the angiographic data is essential for the
diagnosis and treatment of A1 aneurysms. Preservation of the perforators and
prevention of aneurysm rupture are critical during the surgery. Full exposure of
the Sylvian fissure and temporary occlusion of the parent artery ensures safe and
effective dissection of A1 aneurysms.
PMID- 27881344
TI - [Value of urinary liver fatty acid-binding protein in assessing severity of brain
trauma and predicting acute kidney injury].
AB - OBJECTIVE: To assess the value of urinary liver-type fatty acid-binding protein
(L-FABP) in early assessment of the severity of traumatic brain injury and in
predicting the occurrence of acute kidney injury (AKI) following the brain
injury. METHODS: Sixty-five patients with traumatic brain injury patients were
divided into 4 groups according to their Glasgow coma scale (GCS) scores. Blood
and urine samples were collected at 2, 6, 12, 24, 48 and 72 h after the injury to
detect serum creatinine (SCr) level using biochemical analyzer and urinary L-FABP
using enzyme-linked immunosorbent assay (ELISA), with samples from 15 healthy
adults as controls. The correlations were analyzed among SCr, urinary L-FABP, GCS
score upon admission and AKI occurrence. RESULTS: The patients with moderate to
severe brain injuries showed significantly higher SCr and urinary L-FABP levels
than the control group (P<0.05). GCS score of the patients was inversely
correlated with the levels of SCr and urinary L-FABP (P<0.05), and the changes
were more prominent in urinary L-FABP than in SCr. The incidence of AKI was
21.54% in these patients. In patients with AKI, urinary L-FABP reached the peak
level as soon as 6 h after the injury, as compared with 24 to 48 h when peak SCr
level occurred. CONCLUSION: Urinary L-FABP can be used as a marker for early
assessment of the severity of traumatic brain injury and for predicting the
occurrence of AKI following the injury.
PMID- 27881345
TI - [Extraction and identification of semen-derived exosomes using PEG6000].
AB - OBJECTIVE: To extract and identify semen-derived exosome using PEG6000. METHODS:
Exosomes were extracted from semen specimens from 6 healthy volunteers with step
by-step centrifugations and ultracentrifugation prior to 8% PEG6000 enrichment.
The extracted exosomes were characterized by transmission electron microscopy
(TEM), nanoparticle tracking analysis (NTA) and Western blotting. RESULTS: The
pellets obtained were round or elliptic membrane vesicles 30 to 150 nm in
diameter with intact double membranes and contained low electron density
material. The pellets expressed CD63, ALIX and TSG101 molecules but not calnexin
that was expressed in sperm cells. CONCLUSION: The PEG6000-based method for
extraction of exosomes from semen samples facilitates future studies of seminal
exosomes.
PMID- 27881346
TI - [Synergistic analgesic effect of choline and parecoxib sodium in mice and the
mechanism].
AB - OBJECTIVE: To investigate the synergistic analgesic effect of choline and
parecoxib sodium and study its mechanism. METHODS: In male Kunming mice with
acetic acid-induced writhing, the ED50 of choline and parecoxib sodium
(administered via the tail vein at 2 h and 30 min before modeling, respectively)
and their combined use were determined. In saline (control) group, ED50 choline
(C) group, ED50 parecoxib sodium (P) group, and 1/2ED50 choline and parecoxib
sodium (1/2[C+P]) group, blood samples were collected from the eyeball 10 min
after intraperitoneal administration of acetic acid to detect the levels of IL-1,
TNF-alpha, PGE2, NF-kappaB, and I-kappaB levels using ELISA kits. RESULTS: In the
acetic acid-induced writhing model, the ED50 of choline and parecoxib sodium was
8.64 and 6.33 mg/kg, and when combined, their ED50 was 2.13 and 1.56 mg/kg,
respectively. The isobolograms of parecoxib sodium and choline showed that the
measured ED50 of the two drugs combined was below the theoretical ED50 value
(P<0.05) with a combination index (CI) of <0.9. Compared with the control group,
C group, P group, and 1/2 (C+P) group all showed significantly lowered IL-1 and
TNF-alpha levels (P<0.05), especially in 1/2 (C+P) group (P<0.05). PGE2 level was
significantly lower in P group and 1/2 (C+P) group compared with the control
group (P<0.05). NF-kappaB and I-kappaB levels were significantly lowered in C, P,
and 1/2 (C+P) groups (P<0.05), and the reduction was the most obvious in 1/2
(C+P) group (P<0.05). CONCLUSION: Choline and parecoxib sodium has a synergistic
analgesic effect, and their interactions may involve the in vivo expression of NF
kappaB.
PMID- 27881347
TI - [Effect of rhynchophylline on behaviors of methamphetamine-dependent zebrafish
and the mechanism].
AB - OBJECTIVE: To observe the effect of rhynchophylline on methamphetamine-dependent
zebrafish and explore the possible mechanism. METHODS: Zebrafish were divided
into control group, amphetamine group, low- (50 mg/kg) and high (100 mg/kg)-dose
rhynchophylline groups, and ketamine (150 mg/kg) group. Conditioned place
preference (CPP) was induced in zebrafish with methamphetamine, and the staying
time in the drug box and the tracking map of the zebrafish were observed with
Noldus Ethovision XT system. The protein expressions of TH, NR2B and GLUR2 in the
brain of zebrafish with CPP were detected with Western blotting. RESULTS:
Compared with the control group, zebrafish in methamphetamine group showed
significant variations in the staying time and swimming distance in the drug box
after conditioning (P<0.05) with obvious alterations of NR2B, TH and GLUR2
expressions in the brain (P<0.05). Treatment of methamphetamine-dependent
zebrafish with high-dose rhynchophylline significantly reduced the variations in
the staying time and swimming distance in the drug box (P<0.05) and in the
expressions of NR2B, TH and GLUR2 in the brain (P<0.05). CONCLUSION:
Rhynchophylline can inhibit methamphetamine dependence in zebrafish, the
mechanism of which may involve the expressions of TH, NR2B and GLUR2 proteins in
the brain.
PMID- 27881348
TI - [Analysis of clinical factors correlating with osteoporosis in patients with type
2 diabetes mellitus].
AB - OBJECTIVE: To analyze the clinical factors that contribute to lowered bone
mineral density (BMD) of the lumbar vertebrae in type 2 diabetic patients.
METHODS: Forty type 2 diabetic patients with osteoporosis and 40 age- and gender
matched healthy individuals, selected using propensity score method, were
examined for BMD of the L1 to L4 vertebrae and the left femur. Age, gender,
course of the disease, body mass index (BMI), fasting blood glucose, serum
calcium, serum phosphate, urinary calcium, and urinary phosphate were compared
between the two groups. RESULTS: BMD of the L1 to L4 vertebras was significantly
lower in the diabetic group than in the healthy individuals (P<0.05). In the
diabetic patients, BMD showed an obvious difference between male and female
patients and was negatively correlated with the course of the disease but
positively with BMI, fasting blood glucose, urinary calcium and urinary
phosphate; BMD was not correlated with age, serum calcium or serum phosphate in
these patients. CONCLUSION: Osteoporosis in type 2 diabetic patients is closely
related with gender, BMI, course of the disease and poorly controlled glucose
level.
PMID- 27881349
TI - [Diagnosis of drowning by detecting gyrB and 16S rRNA genes of Aeromonas
hydrophila using PCR-capillary electrophoresis].
AB - OBJECTIVE: To establish a method for diagnosis of freshwater drowning by
amplifying gyrB and 16S rRNA genes of Aeromonas hydrophila using PCR coupled with
capillary electrophoresis (CE). METHODS: DNA samples were extracted from human,
18 planktons (including Candida albicans, Aeromonas hydrophila, and 16 species of
algae), and 30 cases of tissue samples (including the lung, liver, and kidney,
all examined with microwave digestion-vacuum filtration-automated scanning
electron microscopy) from human cadavers, including 28 freshwater drowning
victims and 2 with natural death. The DNA samples were amplified with the primer
AH (for gyrB gene) and primer Ah (for 16S rRNA gene), and the products were
analyzed with CE. RESULTS: PCR amplification followed by CE yielded negative
results for DNA of human, Candida albicans and 16 species of algae, whereas a
positive result was found for Aeromonas hydrophila DNA with PCR products of 195
bp (with primer AH) and 350 bp (with primer Ah). In the 28 drowning cases, the
detection rates of Aeromonas hydrophila using primer AH were 96.4% in the lung
tissue, 71.4% in the liver tissue, and 60.7% in the kidney, as compared with the
rates of 75.0%, 42.9%, and 32.1% using primer Ah, respectively. The positive
rates for Aeromonas hydrophila in the organs of the drowning victims were 82.1%
and 53.6% with primer AH and primer Ah, respectively. The detection showed
negative results in the 2 cases of natural deaths. The two primers produced
significantly different detection rates of Aeromonas hydrophila (P<0.05).
CONCLUSION: PCR coupled with CE for detecting gyrB gene of Aeromonas hydrophila
has a high sensitivity in assisting a diagnosis of freshwater drowning. Detection
of both the gyrB gene and 16S rRNA gene of Aeromonas hydrophila can yield more
convincing evidence of the diagnosis of freshwater drowning.
PMID- 27881351
TI - [Role of CaN-NFATc3 pathway in abdominal aorta restenosis following ballon
dilatation in rats].
AB - OBJECTIVE: To investegate the role of calcineurin (CaN) and its downstream
nuclear factor of activated T-cells (NFATc3) in abdominal aorta restenosis
following balloon dilatation in rats. METHODS: SD rats were randomly divided into
sham-operated group, balloon group and cyclosporine A (CsA) group. The rats in
the latter two groups were subjected to abdominal aorta injury with balloon
dilatation, and those in CsA group were treated with CsA at the daily dose of
12.5 mg/kg from 3 days before the surgery to the end of the experiment. Thirty
days afer the injury, histological analysis of the arterial wall was carried out
with HE staining and immunohistochemistry. The expressions of CaN and NFATc3 in
the abdominal aortas were detected with rea1-time PCR, and serum concentration of
MCP-1 was determined using enzyme-linked immunosorbent assay. RESULTS: Intimal
hyperplasia with irregular thickness of the neointima was observed in the aorta
of rats with ballon injury. In rats with CsA treatment, the area of the intimal
layers and the ratio of the intimal to the medial layers were obviously lower
than those in the balloon injury group (P<0.05). Compared to those in the sham
operated group, the expressions of calcineurin protein and mRNA and NFATc3 mRNA
in the arterial wall and serum level of MCP-1 increased significantly in the
ballon injury group (P<0.05). CsA treatment significantly suppressed aorta
restenosis and the alterations of CaN, NFATc3 and serum MCP-1 induced by ballon
dilatation (P<0.05). CONCLUSIONS: CaN-NFATc3 signal transduction pathway mediates
restenosis of rat abdominal aorta following ballon dilatation, and CsA can
attenuate the restenosis by suppressing this pathway.
PMID- 27881350
TI - [Geographical distribution of the Serum creatinine reference values of healthy
adults].
AB - OBJECTIVE: To explore the relationship between serum creatinine (Scr) reference
values in healthy adults and geographic factors and provide evidence for
establishing Scr reference values in different regions. METHODS: We collected 29
697 Scr reference values from healthy adults measured by 347 medical facilities
from 23 provinces, 4 municipalities and 5 autonomous regions. We chose 23
geographical factors and analyzed their correlation with Scr reference values to
identify the factors correlated significantly with Scr reference values.
According to the Principal component analysis and Ridge regression analysis, two
predictive models were constructed and the optimal model was chosen after
comparison of the two model's fitting degree of predicted results and measured
results. The distribution map of Scr reference values was drawn using the Kriging
interpolation method. RESULTS: Seven geographic factors, including latitude,
annual sunshine duration, annual average temperature, annual average relative
humidity, annual precipitation, annual temperature range and topsoil (silt)
cation exchange capacity were found to correlate significantly with Scr reference
values. The overall distribution of Scr reference values featured a pattern that
the values were high in the south and low in the north, varying consistently with
the latitude change. CONCLUSION: The data of the geographic factors in a given
region allows the prediction of the Scr values in healthy adults. Analysis of
these geographical factors can facilitate the determination of the reference
values specific to a region to improve the accuracy for clinical diagnoses.
PMID- 27881353
TI - [Correlation of homocysteine with diabetic microangiopathy and the change after
drug intervention].
AB - OBJECTIVE: To explore the correlation of homocysteine with diabetic
microangiopathy and the change after drug intervention. METHODS: A total of 200
patients with type 2 diabetes were selected between January and December 2013.
The patients were divide into group of no microvascular disease and group of
microvascular lesion according to the complications. Blood lipid, blood glucose,
renal function and serum homocysteine were deteced pre-treatment and after 6
months treatment.A total of 100 cases of healthy subjects with physical
examination over the same period were selected as control group according to the
principle of age and gender matching. RESULTS: SBP, DBP, TG, TC, LDL, FBG, 2
hPBG, HbA1, SUN, SCr and HCY in group of no microvascular disease and group of
microvascular lesion were significantly higher than that in control
group(P<0.01), while HDL were significantly lower(P<0.01); SBPDBP,SUN,SCr and HCY
in group of microvascular lesion were significantly higher than that in group of
no microvascular disease(P<0.01); Multi factor Logistic regression analysis
showed blood pressure, urea nitrogen, serum creatinine and homocysteine levels
are risk factors for microvascular complications in patients with diabetes
mellitus; HCY after treatment were significantly lower than that before treatment
in group of no microvascular disease and group of microvascular lesion
than(P<0.01). CONCLUSION: High homocysteine levels are risk factor of diabetic
microvascular disease, and the homocysteine levels can be reduced after
treatment.
PMID- 27881352
TI - [Efficacy and safety of drug-coated balloon angioplasty in treatment of lower
extremity arterial occlusive disease: a meta-analysis of 11 trials].
AB - OBJECTIVE: To evaluate the efficacy and safety of drug-coated balloon (DCB)
angioplasty versus uncoated balloon (UCB) angioplasty in treatment of lower
extremity arterial occlusive disease (LEAOD). METHODS: Randomized controlled
trial comparing DCB and UCB angioplasty for treatment of LEAOD were searched in
online databases. Literature screening and quality assessment was carried out
according to the established inclusion criteria and exclusion criteria.
Restenosis rate at 6 months after surgery, late lumen loss, target lesion
revascularization rate, patency rate, mortality rate, and amputation rate at 1
year after operation were compared between DCB group and UCB group using RevMan
5.3 software. RESULTS: Eleven trials involving a total of 1853 patients with 2150
lesions were included, with 1110 patients (1288 lesions) in DCB group and 743
patients (862 lesions ) in UCB group. Meta-analysis showed that the restenosis
rate at 6 months after the operation (15.2% vs 39.0%; OR: 0.28; 95%CI: 0.17 to
0.48; P<0.00001), late lumen loss (range -0.05 to 0.56 vs 0.54 to 1.7; WMD:
0.57; 95%CI: -0.93 to -0.21), and target lesion revascularization rate at 1 year
after operation (13.0% vs 28.1%; OR: 0.39; 95%CI: 0.23 to 0.64; P=0.0002) were
significantly lower in DCB group than in UCB group. The patency rate at 1 year
after the operation was significantly higher in DCB group than in UCB group
(71.8% vs 52.9%; OR: 2.32; 95%CI: 1.21 to 4.43; P=0.001). The mortality rate
(4.8% vs 5.0%; OR: 1.00; 95%CI: 0.62 to 1.63; P=0.99) and amputation rate at 1
year after the operation (3.4% vs 2.9%; OR:1.41; 95%CI: 0.74 to 2.70; P=0.30) did
not differ significantly between DCB and UCB group. CONCLUSION: DCB angioplasty
is more effective than UCB angioplasty in endovascular treatment of LEAOD with
similar treatment safety.
PMID- 27881354
TI - [Analysis of immune suppression in patients with systemic lupus erythematosus
complicated by herpes zoster virus infection].
AB - OBJECTIVE: To explore the changes in cellular immune function and the safety of
physical therapy in patients with systemic lupus erythematosus (SLE) complicated
by herpes zoster (HZ) virus infection. Methods A retrospective analysis was
conducted among 10 SLE pateints with HZ virus infection, with 30 SLE patients
without HZ infection as the control group. The results of routine laboratory
tests and T lymphocyte subset counts (before and during infection and after cure
of infection) were compared between the two groups. RESULTS: The proportion of
patients with significantly increased absolute neutrophil count and white blood
cell count before HZ infection, and those with decreased lymphocyte percentage
and elevated C-reactive protein during infection differed significantly between
the two groups (P<0.05). Before HZ infection, the proportions of patients with
increased CD3+%, decreased CD16+CD56+%, abnormal 4/8 ratio (P<0.01) and those
with increased CD8+% and CD8+ count and decreased CD16+CD56+ count differed
significantly between the two groups (P<0.05). During HZ infection, the
proportion of patients with increased CD3+% and CD8+% and decreased CD4+% and 4/8
ratio count (P<0.05) and those with decreased CD16+CD56+% and increased CD8+
count differed significantly between the two groups (P<0.01). After cure of HZ
infection, the proportion of patients with decreased CD16+CD56+% was
significantly different between the two groups (P<0.01). The treatment time of HZ
infection averaged 5?1.3 days with a cure rate of 100% postherpetic neuralgia
occurred in none of the patients after the treatment. CONCLUSION: Patients with
SLE complicated by HZ infection are in an immunosuppressive state, suggesting the
need of monitoring T lymphocyte subsets in SLE treatment. The combined use of
antiviral drugs and physical therapy can be safe and effective for treatment of
SLE complicated by HZ infection.
PMID- 27881355
TI - [Comparison of open versus laparoscopic surgeries for adrenal tumor: a meta
analysis].
AB - OBJECTIVE: To systematically review the effectiveness and safety of open and
laparoscopic surgeries for treatment of adrenal tumors. METHODS: The online
databases including CNKI, PUBMED, SinoMed, EBSCO, Springerlink, WanFang Data, and
VIP were searched for clinical trials published from 1999 to 2016. A meta
analysis was performed using RevMan 5.2 software. RESULTS: A total of 2340
patients in 25 trials were included. The results of meta-analysis showed that
laparoscopic surgery was better than open surgery in terms of intestinal function
recovery time (OR=-0.96, 95%CI [-1.22, -0.70] P<0.000 01), hospitalization time
(OR=-3.48, 95%CI [-4.13, -2.78], P<0.000 01), complications (OR=0.22, 95%CI
[0.14, 0.35], P<0.0001), and volume of blood loss (OR=-104.77, 95%CI [-138.95,
70.60], P<0.000 01). There was no significant difference in the surgery cost
between open and laparoscopic surgeries. CONCLUSION: Laparoscopic surgery is
superior to open surgery for treatment of adrenal tumors for shorter intestinal
function recovery time, surgery duration, and hospitalization time and less
complications and blood loss.
PMID- 27881356
TI - [Correlation analysis of G870A CCND1 gene polymorphism with digestive system
tumors].
AB - OBJECTIVE: To study the correlation of G870A CCND1 gene polymorphism and
digestive system tumors. METHODS: From August 2010 to August 2014, 164 digestive
system cancer patients (including 82 patients with gastric cancer and 82 with
colorectal cancer) and 82 healthy subjects (control group) were examined with PCR
restriction fragment length polymorphism (PCR-RFLP). The distribution of CCND1
gene G870A frequency in the 3 groups and its association with tumor staging and
grading were analyzed. RESULTS: The frequencies of the GG, GA and AA genotypes in
G870A CCND1 gene loci in patients with gastric cancer and colorectal cancer
differed significantly from those in the control group (P<0.05). G870A CCND1 gene
polymorphism was closely associated with an increased risk of digestive system
tumors (P<0.05). The GA and AA genotypes were associated with a significantly
higher risk of digestive system cancer risk than the GG genotype (P<0.05), and
their frequencies were significantly higher in patients with tumors of higher
pathological grade and in those in advanced tumor stages (P<0.05). CONCLUSION:
G870A CCND1 gene polymorphism is associated with the risk of digestive system
tumors. The allele A is associated with an increased risk of digestive system
tumors and correlated with the tumor differentiation and staging of the tumor.
PMID- 27881358
TI - Development of a Mobile Phone App to Support Self-Monitoring of Emotional Well
Being: A Mental Health Digital Innovation.
AB - BACKGROUND: Emotional well-being is a primary component of mental health and well
being. Monitoring changes in emotional state daily over extended periods is,
however, difficult using traditional methodologies. Providing mental health
support is also challenging when approximately only 1 in 2 people with mental
health issues seek professional help. Mobile phone technology offers a
sustainable means of enhancing self-management of emotional well-being.
OBJECTIVE: This paper aims to describe the development of a mobile phone tool
designed to monitor emotional changes in a natural everyday context and in real
time. METHODS: This evidence-informed mobile phone app monitors emotional mental
health and well-being, and it provides links to mental health organization
websites and resources. The app obtains data via self-report psychological
questionnaires, experience sampling methodology (ESM), and automated behavioral
data collection. RESULTS: Feedback from 11 individuals (age range 16-52 years; 4
males, 7 females), who tested the app over 30 days, confirmed via survey and
focus group methods that the app was functional and usable. CONCLUSIONS:
Recommendations for future researchers and developers of mental health apps to be
used for research are also presented. The methodology described in this paper
offers a powerful tool for a range of potential mental health research studies
and provides a valuable standard against which development of future mental
health apps should be considered.
PMID- 27881359
TI - Feasibility and Effectiveness of Using Wearable Activity Trackers in Youth: A
Systematic Review.
AB - BACKGROUND: The proliferation and popularity of wearable activity trackers (eg,
Fitbit, Jawbone, Misfit) may present an opportunity to integrate such technology
into physical activity interventions. While several systematic reviews have
reported intervention effects of using wearable activity trackers on adults'
physical activity levels, none to date have focused specifically on children and
adolescents. OBJECTIVE: The aim of this review was to examine the effectiveness
of wearable activity trackers as a tool for increasing children's and
adolescents' physical activity levels. We also examined the feasibility of using
such technology in younger populations (age range 5-19 years). METHODS: We
conducted a systematic search of 5 electronic databases, reference lists, and
personal archives to identify articles published up until August 2016 that met
the inclusion criteria. Articles were included if they (1) specifically examined
the use of a wearable device within an intervention or a feasibility study; (2)
included participants aged 5-19 years old; (3) had a measure of physical activity
as an outcome variable for intervention studies; (4) reported process data
concerning the feasibility of the device in feasibility studies; and (5) were
published in English. Data were analyzed in August 2016. RESULTS: In total, we
identified and analyzed 5 studies (3 intervention, 2 feasibility). Intervention
delivery ranged from 19 days to 3 months, with only 1 study using a randomized
controlled trial design. Wearable activity trackers were typically combined with
other intervention approaches such as goal setting and researcher feedback. While
intervention effects were generally positive, the reported differences were
largely nonsignificant. The feasibility studies indicated that monitor comfort
and design and feedback features were important factors to children and
adolescents. CONCLUSIONS: There is a paucity of research concerning the
effectiveness and feasibility of wearable activity trackers as a tool for
increasing children's and adolescents' physical activity levels. While there are
some preliminary data to suggest these devices may have the potential to increase
activity levels through self-monitoring and goal setting in the short term, more
research is needed to establish longer-term effects on behavior.
PMID- 27881357
TI - Social Networking Sites, Depression, and Anxiety: A Systematic Review.
AB - BACKGROUND: Social networking sites (SNSs) have become a pervasive part of modern
culture, which may also affect mental health. OBJECTIVE: The aim of this
systematic review was to identify and summarize research examining depression and
anxiety in the context of SNSs. It also aimed to identify studies that complement
the assessment of mental illness with measures of well-being and examine
moderators and mediators that add to the complexity of this environment. METHODS:
A multidatabase search was performed. Papers published between January 2005 and
June 2016 relevant to mental illness (depression and anxiety only) were extracted
and reviewed. RESULTS: Positive interactions, social support, and social
connectedness on SNSs were consistently related to lower levels of depression and
anxiety, whereas negative interaction and social comparisons on SNSs were related
to higher levels of depression and anxiety. SNS use related to less loneliness
and greater self-esteem and life satisfaction. Findings were mixed for frequency
of SNS use and number of SNS friends. Different patterns in the way individuals
with depression and individuals with social anxiety engage with SNSs are
beginning to emerge. CONCLUSIONS: The systematic review revealed many mixed
findings between depression, anxiety, and SNS use. Methodology has predominantly
focused on self-report cross-sectional approaches; future research will benefit
from leveraging real-time SNS data over time. The evidence suggests that SNS use
correlates with mental illness and well-being; however, whether this effect is
beneficial or detrimental depends at least partly on the quality of social
factors in the SNS environment. Understanding these relationships will lead to
better utilization of SNSs in their potential to positively influence mental
health.
PMID- 27881360
TI - An Observational Registry of Carotid Endarterectomy and Carotid Artery Stenting
in Brazil: Study Protocol.
AB - BACKGROUND: Carotid artery stenting (CAS) and carotid endarterectomy (CEA) are
alternative strategies for stroke prevention in patients with atherosclerotic
carotid disease. CEA has been considered the first-line treatment for carotid
stenosis worldwide, and the safety and efficacy of CAS compared to CEA remains in
question. OBJECTIVE: The purpose of this study is to compare the practice and
outcomes of CAS and CEA in a real-world setting within public university
hospitals in Brazil. METHODS: This study will be a prospective 5-year analysis of
treatment for atherosclerotic carotid stenosis with CEA and CAS performed at 5
centers affiliated with the Vascular Study Group at public university hospitals
in Brazil. The indications for the procedures will be determined by each
surgeon's individual discretion, in accordance with preoperative risk evaluation.
The primary outcome measures will be (1) any in-hospital stroke or death, and (2)
any per-procedural stroke, death, or myocardial infarction (MI). Patients
undergoing CEA in conjunction with cardiac surgery will be excluded from the
study. Multivariate logistic regression will be performed to identify predictors
of stroke or death in patients undergoing CEA and CAS. All tests of significance
will be performed at the .05 level. This study was approved by the Committee of
Ethics in Research at the University Hospital of Ribeirao Preto Medical School,
and in all other participating institutions linked to National Research System
and National Board of Health in Brazil (Process 15695/2011). RESULTS: This study
is currently in the recruitment phase, and the final patient is expected to be
enrolled by the end of 2018. We hope to recruit approximately 800 patients to the
study. Analyses will focus on primary end points for patients that are allocated
to each treatment group. During the per-procedural period, the occurrence of the
primary end point components (stroke, MI, or death) for CAS and CEA will be
analyzed for symptomatic or asymptomatic subjects. CONCLUSIONS: The analyses of
the primary endpoints (and all others variables of the study) are expected to be
published in 2019 in a peer reviewed journal, and results will be presented at
scientific meetings, with summary results published online. This study will
obtain new data related to the quality of treatment for carotid disease in Brazil
at the primary training centers of future vascular surgeons, but the initial data
that will be obtained and published (with the outcomes and complications) are
restricted to the first 30 days postprocedure. This time restriction limits the
comparison of the results that relate to the main goal of treatment, which is to
decrease the risk of stroke over 5 years. The purpose of the study group is to
continue the monitoring of patient records, and evaluate the follow-up data in
the 5 years following the initial evaluation. This study protocol will contribute
very significantly to improving the care of patients with carotid disease, in
addition to qualifying the level of assistance provided in public university
hospitals in the state of Sao Paulo, Brazil. TRIAL REGISTRATION:
Clinicaltrials.gov NCT02538276;
https://www.clinicaltrials.gov/ct2/show/NCT02538276 (Archived by WebCite at
http://www.webcitation.org/6m7APnFLD).
PMID- 27881361
TI - Older Veteran Digital Disparities: Examining the Potential for Solutions Within
Social Networks.
AB - BACKGROUND: Older adults typically have less access to the Internet than other
age groups, and older Veterans may use the Internet even less due to economic and
geographic reasons. OBJECTIVE: To explore solutions to this problem, our study
examined older Veterans' reported ability to access technology through their
close social ties. METHODS: Data were collected via mail survey from a sample of
Veterans aged 65 years and older (N=266). RESULTS: Nearly half (44.0%, 117/266)
of the sample reported having no Internet access. Yet, among those without
current access, older Veterans reported having a median of 5 (IQR 7) close social
ties with home Internet access. These older Veterans also reported that they
would feel comfortable asking a median of 2 (IQR 4) social ties for help to
access the Internet, and that a median of 2 (IQR 4) social ties would directly
access the Internet for the older Veteran to help with health management.
CONCLUSIONS: Findings suggest that even older Veterans without current Internet
access have at least two social ties with home Internet who could be called upon
for technology support. Thus, older Veterans may be willing to call upon these
"surrogate seekers" for technology assistance and support in health management.
This has implications for the digital divide, technology design, and health care
policy.
PMID- 27881362
TI - Should healthy people take a vitamin D supplement in winter months?
PMID- 27881364
TI - Waheed Arian: Telemedicine pioneer.
PMID- 27881365
TI - A musical about malignancy.
PMID- 27881366
TI - What we know so far about the STPs.
PMID- 27881363
TI - Association between prediabetes and risk of cardiovascular disease and all cause
mortality: systematic review and meta-analysis.
AB - OBJECTIVES: To evaluate associations between different definitions of
prediabetes and the risk of cardiovascular disease and all cause mortality.
DESIGN: Meta-analysis of prospective cohort studies. DATA SOURCES: Electronic
databases (PubMed, Embase, and Google Scholar). SELECTION CRITERIA: Prospective
cohort studies from general populations were included for meta-analysis if they
reported adjusted relative risks with 95% confidence intervals for associations
between the risk of composite cardiovascular disease, coronary heart disease,
stroke, all cause mortality, and prediabetes. REVIEW METHODS: Two authors
independently reviewed and selected eligible studies, based on predetermined
selection criteria. Prediabetes was defined as impaired fasting glucose according
to the criteria of the American Diabetes Association (IFG-ADA; fasting glucose
5.6-6.9 mmol/L), the WHO expert group (IFG-WHO; fasting glucose 6.1-6.9 mmol/L),
impaired glucose tolerance (2 hour plasma glucose concentration 7.8-11.0 mmol/L
during an oral glucose tolerance test), or raised haemoglobin A1c (HbA1c) of 39
47 mmol/mol : (5.7-6.4%) according to ADA criteria or 42-47 mmol/mol (6.0-6.4%)
according to the National Institute for Health and Care Excellence (NICE)
guideline. The relative risks of all cause mortality and cardiovascular events
were calculated and reported with 95% confidence intervals. RESULTS: 53
prospective cohort studies with 1 611 339 individuals were included for analysis.
The median follow-up duration was 9.5 years. Compared with normoglycaemia,
prediabetes (impaired glucose tolerance or impaired fasting glucose according to
IFG-ADA or IFG-WHO criteria) was associated with an increased risk of composite
cardiovascular disease (relative risk 1.13, 1.26, and 1.30 for IFG-ADA, IFG-WHO,
and impaired glucose tolerance, respectively), coronary heart disease (1.10,
1.18, and 1.20, respectively), stroke (1.06, 1.17, and 1.20, respectively), and
all cause mortality (1.13, 1.13 and 1.32, respectively). Increases in HBA1c to 39
47 mmol/mol or 42-47 mmol/mol were both associated with an increased risk of
composite cardiovascular disease (1.21 and 1.25, respectively) and coronary heart
disease (1.15 and 1.28, respectively), but not with an increased risk of stroke
and all cause mortality. CONCLUSIONS: Prediabetes, defined as impaired glucose
tolerance, impaired fasting glucose, or raised HbA1c, was associated with an
increased risk of cardiovascular disease. The health risk might be increased in
people with a fasting glucose concentration as low as 5.6 mmol/L or HbA1c of 39
mmol/mol.
PMID- 27881367
TI - When is a point of view a conflict of interest?
PMID- 27881368
TI - Where should the buck stop? Doctors taking the blame for system failure.
PMID- 27881369
TI - NHS spent 8% more on medicines last year.
PMID- 27881370
TI - Discussing and managing hematologic germ line variants.
AB - With the introduction of genomic technologies, more hereditary cancer syndromes
with hematologic malignancies are being described. Up to 10% of hematologic
malignancies in children and adults may be the result of an underlying inherited
genetic risk. Managing these patients with hereditary hematologic malignancies,
including familial leukemia, remains a clinical challenge because there is little
information about these relatively rare disorders. This article covers some of
the issues related to the diagnosis and interpretation of variants associated
with hereditary hematologic malignancies, including the importance of an accurate
family history in interpreting genetic variants associated with disease. The
challenges of screening other family members and offering the most appropriate
early malignancy detection is also discussed. We now have a good opportunity to
better define hereditary cancer syndromes with associated hematologic
malignancies and contribute to clinically effective guidelines.
PMID- 27881371
TI - Pure red cell aplasia.
AB - Pure red cell aplasia (PRCA) is a syndrome defined by a normocytic normochromic
anemia with severe reticulocytopenia and marked reduction or absence of erythroid
precursors from the bone marrow. Diamond-Blackfan anemia is a congenital form of
PRCA. Acquired PRCA may be either a primary disorder or secondary to some other
disorder or agent. Primary acquired PRCA is an autoimmune disorder that is
frequently antibody-mediated. Myelodysplastic syndromes may also present with the
morphologic appearance of PRCA. Secondary acquired PRCA may be associated with
collagen vascular/autoimmune disorders such as systemic lupus erythematosus;
lymphoproliferative disorders such as chronic lymphocytic leukemia or large
granular lymphocyte leukemia; infections, particularly B19 parvovirus; thymoma
and other solid tumors; or a variety of other disorders, drugs, or toxic agents.
The therapeutic approach to PRCA typically involves immunosuppression, but
specific pathogenic subtypes are associated with specific therapeutic approaches.
Cyclosporine A, with or without concurrent corticosteroids, appears to be the
single most effective immunosuppressive agent.
PMID- 27881372
TI - Should adults take vitamin D supplements to prevent disease?
PMID- 27881374
TI - Integrating a novel concept of sentence optotypes into the RADNER Reading Charts.
AB - PURPOSE: To add a new set of 24 sentence optotypes to the German version of the
RADNER reading charts and to investigate whether sentences constructed based upon
an optimised concept of sentence optotypes can be used together with the original
38 sentences. METHODS: Twenty-eight optimised sentence optotypes were constructed
based upon the concept of sentence optotypes as established for the RADNER
Reading Charts, with words having the same number of characters and syllables
being placed in the same positions. The best comparable sentences were
statistically selected in 30 volunteers. Reading speed and the number of errors
were determined. Validity was analysed in comparison to a 111-word long
standardised paragraph and 7 of the 38 original sentence optotypes. RESULTS: The
mean reading speed obtained with the 28 sentences was 192.30+/-26.69 words per
minute (wpm), as compared with 192.47+/-25.32 wpm for the 7 original sentence
optotypes and 165.28+/-20.82 wpm for the long paragraph; 24 of the 28 optimised
sentences met our selection criteria for reading speed/time (mean reading speed:
192.41+/-26.58). The mean number of reading errors was 0.10+/-0.30. The
correlation between the 24 optimised sentence optotypes and the long paragraph
was r=0.90. Reliability analyses yielded an overall Cronbach's alpha coefficient
of 0.992. CONCLUSION: The 24 new sentence optotypes can be integrated into the
existing set of 38 original sentences. Since all the statistical results obtained
were similar to those of the original sentences, the best possible reliability
had apparently already been achieved with the original sentence optotypes.
PMID- 27881375
TI - A premenarcheal girl with urogenital bleeding.
PMID- 27881376
TI - QUESTION 2: Is permissive hypercapnia beneficial to preterm infants?
PMID- 27881377
TI - Oxygen saturation of children in Pakistan's high mountain pastoral communities.
PMID- 27881378
TI - Cardiac arrest outcomes and the weekend effect.
PMID- 27881379
TI - Art therapy with a child with pulmonary hypertension.
PMID- 27881380
TI - Vulvar inflammation: a presentation of Crohn's disease.
PMID- 27881381
TI - Two-Year Outcomes of a Randomized Trial Investigating a 6-Week Return to Full
Weightbearing After Matrix-Induced Autologous Chondrocyte Implantation.
AB - BACKGROUND: Matrix-induced autologous chondrocyte implantation (MACI) has
demonstrated encouraging outcomes in treating patients with knee cartilage
defects. Postoperatively, the time required to attain full weightbearing (WB)
remains conservative. HYPOTHESIS: We hypothesized that patients would have no
significant clinical or radiological differences or graft complications after an
8-week or 6-week return to full WB after MACI. STUDY DESIGN: Randomized
controlled trial; Level of evidence, 1. METHODS: A total of 37 knees (n = 35
patients) were randomly allocated to either an 8-week return to full WB that we
considered current best practice based on the existing literature (CR group; n =
19 knees) or an accelerated 6-week WB approach (AR group; n = 18 knees). Patients
were evaluated preoperatively and at 1, 2, 3, 6, 12, and 24 months after surgery,
using the Knee Injury and Osteoarthritis Outcome Score, 36-Item Short Form Health
Survey, visual analog pain scale, 6-minute walk test, and active knee range of
motion. Isokinetic dynamometry was used to assess peak knee extension and flexion
strength and limb symmetry indices (LSIs) between the operated and nonoperated
limbs. Magnetic resonance imaging (MRI) was undertaken to evaluate the quality
and quantity of repair tissue as well as to calculate an MRI composite score.
RESULTS: Significant improvements ( P < .05) were observed in all subjective
scores, active knee flexion and extension, 6-minute capacity, peak knee extensor
torque in the operated limb, and knee extensor LSI, although no group differences
existed. Although knee flexor LSIs were above 100% for both groups at 12 and 24
months after surgery, LSIs for knee extensor torque at 24 months were 93.7% and
87.5% for the AR and CR groups, respectively. The MRI composite score and
pertinent graft parameters significantly improved over time ( P < .05), with some
superior in the AR group at 24 months. All patients in the AR group (100%)
demonstrated good to excellent infill at 24 months, compared with 83% of patients
in the CR group. Two cases of graft failure were observed, both in the CR group.
At 24 months, 83% of patients in the CR group and 88% in the AR group were
satisfied with the results of their MACI surgery. CONCLUSION: Patients in the AR
group who reduced the length of time spent ambulating on crutches produced
comparable outcomes up to 24 months, without compromising graft integrity.
PMID- 27881383
TI - Clinical and Radiological Outcomes After Hamstring Anterior Cruciate Ligament
Reconstructions: Comparison Between Fixed-Loop and Adjustable-Loop Cortical
Suspension Devices.
AB - BACKGROUND: Few studies have compared clinical and radiological outcomes after
hamstring anterior cruciate ligament (ACL) reconstruction with fixed-loop and
adjustable-loop cortical suspension devices. Purpose/Hypothesis: The purpose of
this retrospective study was to compare clinical outcomes and tunnel widening
after hamstring ACL reconstructions with fixed- and adjustable-loop cortical
suspension devices. The hypothesis was that compared with femoral graft fixation
with the fixed-loop device, fixation with the adjustable-loop device would show
similar clinical outcomes and would result in less tunnel widening after
hamstring ACL reconstruction. STUDY DESIGN: Cohort study; Level of evidence, 3.
METHODS: A total of 117 consecutive patients underwent hamstring ACL
reconstruction at a single institution. The fixed-loop cortical suspension device
was used in 67 patients, and the adjustable-loop cortical suspension device was
used in 50 patients. All patients were observed for a minimum of 2 years.
Postoperative knee laxity was evaluated with the Lachman test, pivot-shift test,
and KT-1000 arthrometer. Functional evaluations were performed by use of the
Lysholm score and the Tegner activity scale. On anteroposterior (AP) and lateral
radiographs, the measured diameters of the femoral tunnel at 1 year after surgery
were compared with the diameter of the reamer used at surgery. The measured
diameters of the tibial tunnel at 1 year after surgery were compared with those
taken immediately after surgery. RESULTS: The mean KT-1000 arthrometer laxity
measurement was 1.5 +/- 1.8 mm in the fixed-loop group and 1.2 +/- 2.3 mm in the
adjustable-loop group ( P = .530). Results of postoperative knee laxity
evaluations and functional outcomes from both groups showed no statistically
significant differences. However, the fixed-loop group showed significantly
better stability in the pivot-shift test than did the adjustable-loop group ( P =
.018). On AP radiographs, the mean diameter of the femoral and tibial tunnels
increased by 42.2% +/- 15.9% and 37.0% +/- 17.8%, respectively, in the fixed-loop
group and by 43.0% +/- 15.4% and 36.8% +/- 18.2% in the adjustable-loop group. On
lateral radiographs, the mean diameter of the femoral and tibial tunnels
increased by 38.1% +/- 14.8% and 39.9% +/- 13.8%, respectively, in the fixed-loop
group and by 35.8% +/- 12.2% and 38.1% +/- 21.0% in the adjustable-loop group. No
significant differences were found between the 2 groups in postoperative femoral
and tibial tunnel widening on AP radiographs ( P = .801 and .951, respectively)
or lateral radiographs ( P = .422 and .621, respectively). CONCLUSION: Compared
with femoral fixation by use of the fixed-loop device, femoral fixation by use of
the adjustable-loop device showed similar clinical outcomes but did not reduce
tunnel widening after hamstring ACL reconstructions.
PMID- 27881382
TI - Early Changes in Knee Center of Rotation During Walking After Anterior Cruciate
Ligament Reconstruction Correlate With Later Changes in Patient-Reported
Outcomes.
AB - BACKGROUND: Altered knee kinematics after anterior cruciate ligament injury and
reconstruction (ACLR) have been implicated in the development of posttraumatic
osteoarthritis (PTOA), leading to poor long-term clinical outcomes. PURPOSE: This
study was conducted to determine (1) whether the average knee center of rotation
(KCOR), a multidimensional metric of knee kinematics, of the ACL-reconstructed
knee during walking differs from that of the uninjured contralateral knee; (2)
whether KCOR changes between 2 and 4 years after surgery; and (3) whether early
KCOR changes predict patient-reported outcomes 8 years after ACLR. STUDY DESIGN:
Descriptive laboratory study. METHODS: Twenty-six human participants underwent
gait analysis with calculation of bilateral KCOR during walking at 2 and 4 years
after unilateral ACLR. Knee injury and Osteoarthritis Outcome Score (KOOS) and
Lysholm score results were collected at 2, 4, and 8 years after ACLR in 13 of
these participants. RESULTS: The ACL-reconstructed knee showed greater medial
compartment motion because of pivoting about a more lateral KCOR ( P = .03) than
the contralateral knee at 2 years. KCOR became less lateral over time ( P =
.047), with values approaching those of the uninjured knee by 4 years ( P = .55).
KCOR was also more anterior in the ACL-reconstructed knee at 2 years ( P = .02).
Between 2 and 4 years, KCOR moved posteriorly in 16 (62%) and anteriorly in 10
(38%) participants. Increasing the anterior position of KCOR in the ACL
reconstructed knee from 2 to 4 years correlated with worsening clinical outcomes
at 4 years (KOOS-Quality of Life, R2 = 0.172) and more strongly at 8 years
(Lysholm score, R2 = 0.41; KOOS-Pain, R2 = 0.37; KOOS-Symptoms, R2 = 0.58; and
KOOS-Quality of Life, R2 = 0.50). CONCLUSION: The observed changes to KCOR during
walking between 2 and 4 years after ACLR show progressive improvement toward
kinematic symmetry over the 2-year follow-up. The correlation between
increasingly abnormal kinematics and worsening clinical outcomes years later in a
subset of participants provides a potential explanation for the incidence of PTOA
after ACLR.
PMID- 27881384
TI - Excess Stress Fractures, Musculoskeletal Injuries, and Health Care Utilization
Among Unfit and Overweight Female Army Trainees.
AB - BACKGROUND: Musculoskeletal injuries are prevalent among military trainees and
certain occupations. Fitness and body mass index (BMI) have been associated with
musculoskeletal conditions, including stress fractures. HYPOTHESIS: The incidence
of, and excess health care utilization for, stress fracture and non-stress
fracture overuse musculoskeletal injuries during the first 6 months of service is
higher among unfit female recruits. Those who exceeded body fat limits are at a
greater risk of incident stress fractures, injuries, or health care utilization
compared with weight-qualified recruits. STUDY DESIGN: Cohort study; Level of
evidence, 3. METHODS: All applicants to the United States Army were required to
take a preaccession fitness test during the study period (February 2005-September
2006). The test included a 5-minute step test scored as pass or fail. BMI was
recorded at application. There were 2 distinct comparisons made in this study:
(1) between weight-qualified physically fit and unfit women and (2) between
weight-qualified physically fit women and those who exceeded body fat limits. We
compared the incidence of, and excess health care utilization for,
musculoskeletal injuries, including stress fractures and physical therapy visits,
during the first 183 days of military service. RESULTS: Among the weight
qualified women, unfit participants had a higher non-stress fracture injury
incidence and related excess health care utilization rate compared with fit
women, with rate ratios of 1.32 (95% CI, 1.14-1.53) and 1.18 (95% CI, 1.10-1.27),
respectively. Among fit women, compared with the weight-qualified participants,
those exceeding body fat limits had higher rate ratios for non-stress fracture
injury incidence and related excess health care utilization of 1.27 (95% CI, 1.07
1.50) and 1.20 (95% CI, 1.11-1.31), respectively. Weight-qualified women who were
unfit had a higher incidence of stress fractures and related excess health care
utilization compared with fit women, with rate ratios of 1.62 (95% CI, 1.19-2.21)
and 1.22 (95% CI, 1.10-1.36), respectively. Among fit women exceeding body fat
limits, the stress fracture incidence and related excess health care utilization
rate ratios were 0.79 (95% CI, 0.49-1.28) and 1.44 (95% CI, 1.20-1.72),
respectively, compared with those who were weight qualified. CONCLUSION: The
results indicate a significantly increased risk of musculoskeletal injuries,
including stress fractures, among unfit recruits and an increased risk of non
stress fracture musculoskeletal injuries among recruits who exceeded body fat
limits. Once injured, female recruits who were weight qualified but unfit and
those who were fit but exceeded body fat limits had increased health care
utilization. These findings may have implications for military accession and
training policies as downsizing of military services will make it more important
than ever to optimize the health and performance of individual service members.
PMID- 27881385
TI - Antihypertensive effects of peroxisome proliferator-activated receptor-beta/delta
activation.
AB - Peroxisome proliferator-activated receptors (PPARs) are members of the nuclear
hormone receptor superfamily of ligand-activated transcription factors, which is
composed of three members encoded by distinct genes: PPARalpha, PPARbeta/delta,
and PPARgamma. The biological actions of PPARalpha and PPARgamma and their
potential as a cardiovascular therapeutic target have been extensively reviewed,
whereas the biological actions of PPARbeta/delta and its effectiveness as a
therapeutic target in the treatment of hypertension remain less investigated.
Preclinical studies suggest that pharmacological PPARbeta/delta activation
induces antihypertensive effects in direct [spontaneously hypertensive rat (SHR),
ANG II, and DOCA-salt] and indirect (dyslipemic and gestational) models of
hypertension, associated with end-organ damage protection. This review summarizes
mechanistic insights into the antihypertensive effects of PPARbeta/delta
activators, including molecular and functional mechanisms. Pharmacological
PPARbeta/delta activation induces genomic actions including the increase of
regulators of G protein-coupled signaling (RGS), acute nongenomic vasodilator
effects, as well as the ability to improve the endothelial dysfunction, reduce
vascular inflammation, vasoconstrictor responses, and sympathetic outflow from
central nervous system. Evidence from clinical trials is also examined. These
preclinical and clinical outcomes of PPARbeta/delta ligands may provide a basis
for the development of therapies in combating hypertension.
PMID- 27881386
TI - PPARalpha augments heart function and cardiac fatty acid oxidation in early
experimental polymicrobial sepsis.
AB - : Children with sepsis and multisystem organ failure have downregulated leukocyte
gene expression of peroxisome proliferator-activated receptor-alpha (PPARalpha),
a nuclear hormone receptor transcription factor that regulates inflammation and
lipid metabolism. Mouse models of sepsis have likewise demonstrated that the
absence of PPARalpha is associated with decreased survival and organ injury,
specifically of the heart. Using a clinically relevant mouse model of early
sepsis, we found that heart function increases in wild-type (WT) mice over the
first 24 h of sepsis, but that mice lacking PPARalpha (Ppara-/-) cannot sustain
the elevated heart function necessary to compensate for sepsis pathophysiology.
Left ventricular shortening fraction, measured 24 h after initiation of sepsis by
echocardiography, was higher in WT mice than in Ppara-/- mice. Ex vivo working
heart studies demonstrated greater developed pressure, contractility, and aortic
outflow in WT compared with Ppara-/- mice. Furthermore, cardiac fatty acid
oxidation was increased in WT but not in Ppara-/- mice. Regulatory pathways
controlling pyruvate incorporation into the citric acid cycle were inhibited by
sepsis in both genotypes, but the regulatory state of enzymes controlling fatty
acid oxidation appeared to be permissive in WT mice only. Mitochondrial
ultrastructure was not altered in either genotype indicating that severe
mitochondrial dysfunction is unlikely at this stage of sepsis. These data suggest
that PPARalpha expression supports the hyperdynamic cardiac response early in the
course of sepsis and that increased fatty acid oxidation may prevent morbidity
and mortality. NEW & NOTEWORTHY: In contrast to previous studies in septic shock
using experimental mouse models, we are the first to demonstrate that heart
function increases early in sepsis with an associated augmentation of cardiac
fatty acid oxidation. Absence of peroxisome proliferator-activated receptor-alpha
(PPARalpha) results in reduced cardiac performance and fatty acid oxidation in
sepsis.
PMID- 27881387
TI - Intrauterine growth restriction influences vascular remodeling and stiffening in
the weanling rat more than sex or diet.
AB - : Intrauterine growth restriction (IUGR) increases the incidence of adult
cardiovascular disease (CVD). The sex-specific developmental mechanisms for IUGR
induced and Western high-fat diet (HFD) modification of CVD remain poorly
understood. We hypothesized a maternal HFD in the Sprague-Dawley rat would
augment IUGR-induced CVD in the offspring through decreased cardiac function and
increased extracellular matrix (ECM) remodeling and stiffness in a sex-specific
manner. HFD or regular diet (Reg) was given from 5 wk before mating through
postnatal day (PND) 21. IUGR was induced by uterine artery ligation at embryonic
day 19.5 (term = 21.5 days). At PND 21, echocardiographic assessments were made
and carotid arteries tested for vascular compliance using pressure myography.
Arterial samples were quantified for ECM constituents or fixed for histologic
evaluation. The insult of IUGR (IUGR + Reg and IUGR + HFD) led to increased
mechanical stiffness in both sexes (P < 0.05). The combination of IUGR + HFD
increased diastolic blood pressure 47% in males (M) and 35% in females (F)
compared with the Con + Reg (P < 0.05). ECM remodeling in IUGR + HFD caused fewer
(M = -29%, F = -24%) but thicker elastin bands (M = 18%, F = 18%) and increased
total collagen (M = 49%, F = 34%) compared with Con + Reg arteries. Remodeling in
IUGR + HFD males increased medial collagen and soluble collagen (P < 0.05).
Remodeling in IUGR + HFD females increased adventitial collagen and wall
thickness (P < 0.05) and decreased matrix metalloproteinase 2 (MMP-2), advanced
glycosylation end products (AGE), and receptor AGE (RAGE; P < 0.05). In summary,
both IUGR + Reg and IUGR + HFD remodel ECM in PND 21 rats. While IUGR + HFD
increases blood pressure, IUGR but not HFD increases vascular stiffness
suggesting a specific mechanism of vascular remodeling that can be targeted to
limit future disease. NEW & NOTEWORTHY: We report intrauterine growth restriction
(IUGR) increases vascular stiffening in both male and female rats through
increased collagen content and altered elastin structure more than a high-fat
diet (HFD) alone. Our study shows the importance of stiffness supporting the
hypothesis that there are physiologic differences and potential windows for early
intervention targeting vascular remodeling mechanisms.
PMID- 27881388
TI - Hyperglycemia induces defective Ca2+ homeostasis in cardiomyocytes.
AB - : Diabetes and other metabolic conditions characterized by elevated blood glucose
constitute important risk factors for cardiovascular disease. Hyperglycemia
targets myocardial cells rendering ineffective mechanical properties of the
heart, but cellular alterations dictating the progressive deterioration of
cardiac function with metabolic disorders remain to be clarified. In the current
study, we examined the effects of hyperglycemia on cardiac function and myocyte
physiology by employing mice with high blood glucose induced by administration of
streptozotocin, a compound toxic to insulin-producing beta-cells. We found that
hyperglycemia initially delayed the electrical recovery of the heart, whereas
cardiac function became defective only after ~2 mo with this condition and
gradually worsened with time. Prolonged hyperglycemia was associated with
increased chamber dilation, thinning of the left ventricle (LV), and myocyte
loss. Cardiomyocytes from hyperglycemic mice exhibited defective Ca2+ transients
before the appearance of LV systolic defects. Alterations in Ca2+ transients
involved enhanced spontaneous Ca2+ releases from the sarcoplasmic reticulum (SR),
reduced cytoplasmic Ca2+ clearance, and declined SR Ca2+ load. These defects have
important consequences on myocyte contraction, relaxation, and mechanisms of rate
adaptation. Collectively, our data indicate that hyperglycemia alters
intracellular Ca2+ homeostasis in cardiomyocytes, hindering contractile activity
and contributing to the manifestation of the diabetic cardiomyopathy. NEW &
NOTEWORTHY: We have investigated the effects of hyperglycemia on cardiomyocyte
physiology and ventricular function. Our results indicate that defective Ca2+
handling is a critical component of the progressive deterioration of cardiac
performance of the diabetic heart.
PMID- 27881390
TI - Dietary quality of the US child and adolescent population: trends from 1999 to
2012 and associations with the use of federal nutrition assistance programs.
AB - BACKGROUND: The state of the economy, changes in federal food assistance
programs, and policies related to nutrition and the food supply in the United
States may influence dietary quality in children and adolescents. OBJECTIVE: We
investigated dietary quality trends from 1999 to 2012 in the US child and
adolescent population and their associations with socioeconomic status and
participation in federal food assistance programs. DESIGN: In this study, a
nationally representative sample of 38,487 children and adolescents, aged 2-18 y,
in the NHANES from 1999 to 2012 were included. Dietary information was collected
with the use of a 24-h dietary recall. Dietary quality was measured with the use
of the Healthy Eating Index 2010 (HEI-2010). RESULTS: The mean HEI-2010 increased
significantly from 42.5 (95% CI: 41.2, 43.8) to 50.9 (95% CI: 50.0, 51.8) from
1999 to 2012 (P-linear trend < 0.001). The reduction in empty calorie intake
contributed to greater than one-third of this improvement in the total HEI-2010.
We also observed significant increases in 9 other HEI-2010 component scores.
However, the HEI-2010 component score for sodium decreased significantly, which
reflected an increase in sodium consumption. We calculated the covariate-adjusted
mean HEI-2010 score in subgroups that were defined by sociodemographic status and
participation in nutrition assistance program at each NHANES cycle. Non-Hispanic
black children and adolescents had a consistently lower HEI-2010 than that of
other groups across all NHANES cycles. We observed a trend toward a lower HEI
2010 in Supplemental Nutrition Assistance Program (SNAP) participants than in
nonparticipants after the 2003-2004 cycle. We also observed a lower HEI-2010 in
participants in the National School Lunch Program (NSLP) and the School Breakfast
Program (SBP) than in nonparticipants. In general, participants in the Special
Supplemental Nutrition Program for Women, Infants, and Children appeared to have
a higher HEI-2010 than that of nonparticipants. CONCLUSIONS: Although HEI-2010
scores in children and adolescents improved steadily, the overall dietary quality
remained poor. Participants in the SNAP and participants in the National School
Lunch Program, School Breakfast Program, or both have lower dietary quality than
do nonparticipants. Future policy interventions are needed to continue
improvement in dietary quality and to address disparities.
PMID- 27881389
TI - Changes in skeletal muscle and organ size after a weight-loss intervention in
overweight and obese type 2 diabetic patients.
AB - BACKGROUND: The effect of a weight-loss intervention on the masses of lean
tissues and organs in humans is not well known. OBJECTIVE: We studied the effects
of a diet and exercise weight-loss intervention on skeletal muscle (SM) mass and
selected organs over 2 y using MRI in overweight adults with type 2 diabetes.
DESIGN: Participants were 53 women and 39 men [mean +/- SD: age 58 +/- 7 y; body
mass index (BMI; in kg/m2) 32 +/- 3] enrolled in the Look AHEAD (Action for
Health in Diabetes) trial and randomly assigned to an intensive lifestyle
intervention (ILI) or diabetes support and education (DSE) on whom 2 y of data
were collected. MRI-derived measurements of SM, heart, liver, kidney, spleen, and
pancreas were acquired. RESULTS: Adjusted for baseline weight, height, age, sex,
and ethnicity, the ILI group weighed (mean +/- SE) 6.6 +/- 0.7 kg less after 1 y
and 5.2 +/- 0.7 kg less after 2 y, whereas the DSE group did not change
significantly (-0.4 +/- 0.6 and -1.0 +/- 0.7 kg after 1 and 2 y, respectively; P
interaction < 0.001). Total SM decreased in both groups during year 1 (-1.4 +/-
0.2 kg; P < 0.001) with appendicular SM regained during year 2. Liver and spleen
masses decreased in the ILI group (-0.12 +/- 0.02 and -0.006 +/- 0.003 kg,
respectively) but were unchanged in the DSE group (0.00 +/- 0.02 and 0.004 +/-
0.003 kg, respectively). Kidney mass decreased by 0.013 +/- 0.003 kg (P < 0.001)
over 2 y in both groups. CONCLUSIONS: Decreases in liver (in Caucasians but not
African Americans) and spleen were detected after a 6.2-kg weight reduction
compared with a control group. SM and kidney mass decreased in both groups.
Appendicular SM was regained during the second year whereas trunk SM was not. No
evidence of a disproportionate loss of high-metabolic rate organs (heart, liver,
kidney, spleen) compared with SM was found.
PMID- 27881392
TI - Higher serum phenylalanine concentration is associated with more rapid telomere
shortening in men.
AB - BACKGROUND: Telomere length and telomere shortening are associated with age
related health outcomes. Only a few studies have been able to longitudinally
report on factors that are associated with changes in telomere length in an aging
population. OBJECTIVE: We studied the longitudinal relation between telomere
length, the change in telomere length, and circulating amino acids. DESIGN: A
total of 812 subjects from the Helsinki Birth Cohort Study (born from 1934 to
1944), who underwent 3 clinical visits during a 10-y interval that included
measurements of cardiometabolic risk factors, were included in the study.
Leukocyte telomere length (LTL) was measured with the use of quantitative real
time polymerase chain reaction. Circulating branched-chain and aromatic amino
acids (alanine, glycine, histidine, phenylalanine, leucine, isoleucine, valine,
and tyrosine) were assessed with the use of high-throughput nuclear magnetic
resonance spectroscopy. RESULTS: The relative +/- SD LTL at a mean age of 71 y
was 0.79 +/- 0.27 in men and 0.89 +/- 0.35 in women (P < 0.001). Of the studied
amino acids, the strongest inverse association was observed between the
phenylalanine concentration that was measured 5 y earlier and the LTL. This
finding was significant in men (P = 0.021) and remained significant after
adjustment for multiple comparisons, but it was not significant in women (P =
0.39). Longitudinally, the change in LTL over 10 y was inversely associated with
the phenylalanine concentration in men (P = 0.007) but not in women (P = 0.58)
after adjustment for baseline LTL, age, smoking, and percentage of body fat.
CONCLUSIONS: The serum phenylalanine concentration is associated with telomere
length and, therefore, potentially with the aging process. Because the
associations reported are observational, no conclusions can be made regarding
causality. Our findings support the hypothesis that cellular pathways that
regulate aging are sex specific.
PMID- 27881391
TI - The role of metabolism (and the microbiome) in defining the clinical efficacy of
dietary flavonoids.
AB - At a population level, there is growing evidence of the beneficial effects of
dietary flavonoids on health. However, there is extensive heterogeneity in the
response to increased intake, which is likely mediated via wide interindividual
variability in flavonoid absorption and metabolism. Flavonoids are extensively
metabolized by phase I and phase II metabolism (which occur predominantly in the
gastrointestinal tract and liver) and colonic microbial metabolism. A number of
factors, including age, sex, and genotype, may affect these metabolic processes.
In addition, food composition and flavonoid source are likely to affect
bioavailability, and emerging data suggest a critical role for the microbiome.
This review will focus on the current knowledge for the main subclasses of
flavonoids, including anthocyanins, flavonols, flavan-3-ols, and flavanones, for
which there is growing evidence from prospective studies of beneficial effects on
health. The identification of key factors that govern metabolism and an
understanding of how the differential capacity to metabolize these bioactive
compounds affect health outcomes will help establish how to optimize intakes of
flavonoids for health benefits and in specific subgroups. We identify research
areas that need to be addressed to further understand important determinants of
flavonoid bioavailability and metabolism and to advance the knowledge base that
is required to move toward the development of dietary guidelines and
recommendations for flavonoids and flavonoid-rich foods.
PMID- 27881393
TI - Food portion size and energy density evoke different patterns of brain activation
in children.
AB - BACKGROUND: Large portions of food promote intake, but the mechanisms that drive
this effect are unclear. Previous neuroimaging studies have identified the brain
reward and decision-making systems that are involved in the response to the
energy density (ED) (kilocalories per gram) of foods, but few studies have
examined the brain response to the food portion size (PS). OBJECTIVE: We used
functional MRI (fMRI) to determine the brain response to food images that
differed in PSs (large and small) and ED (high and low). DESIGN: Block-design
fMRI was used to assess the blood oxygen level-dependent (BOLD) response to
images in 36 children (7-10 y old; girls: 50%), which was tested after a 2-h
fast. Pre-fMRI fullness and liking were rated on visual analog scales. A whole
brain cluster-corrected analysis was used to compare BOLD activation for main
effects of the PS, ED, and their interaction. Secondary analyses were used to
associate BOLD contrast values with appetitive traits and laboratory intake from
meals for which the portions of all foods were increased. RESULTS: Compared with
small-PS cues, large-PS cues were associated with decreased activation in the
inferior frontal gyrus (P < 0.01). Compared with low-ED cues, high-ED cues were
associated with increased activation in multiple regions (e.g., in the caudate,
cingulate, and precentral gyrus) and decreased activation in the insula and
superior temporal gyrus (P < 0.01 for all). A PS * ED interaction was shown in
the superior temporal gyrus (P < 0.01). BOLD contrast values for high-ED cues
compared with low-ED cues in the insula, declive, and precentral gyrus were
negatively related to appetitive traits (P < 0.05). There were no associations
between the brain response to the PS and either appetitive traits or intake.
CONCLUSIONS: Cues regarding food PS may be processed in the lateral prefrontal
cortex, which is a region that is implicated in cognitive control, whereas ED
activates multiple areas involved in sensory and reward processing. Possible
implications include the development of interventions that target decision-making
and reward systems differently to moderate overeating.
PMID- 27881394
TI - Total red meat intake of >=0.5 servings/d does not negatively influence
cardiovascular disease risk factors: a systemically searched meta-analysis of
randomized controlled trials.
AB - BACKGROUND: Observational associations between red meat intake and cardiovascular
disease (CVD) are inconsistent. There are limited comprehensive analyses of
randomized controlled trials (RCTs) that investigate the effects of red meat
consumption on CVD risk factors. OBJECTIVE: The purpose of this systematically
searched meta-analysis was to assess the effects of consuming >=0.5 or <0.5
servings of total red meat/d on CVD risk factors [blood total cholesterol (TC),
LDL cholesterol, HDL cholesterol, triglycerides, ratio of TC to HDL cholesterol
(TC:HDL), and systolic and diastolic blood pressures (SBP and DBP,
respectively)]. We hypothesized that the consumption of >=0.5 servings of total
red meat/d would have a negative effect on these CVD risk factors. DESIGN: Two
researchers independently screened 945 studies from PubMed, Cochrane Library, and
Scopus databases and extracted data from 24 qualified RCTs. Inclusion criteria
were 1) RCT, 2) subjects aged >=19 y, 3) consumption of >=0.5 or <0.5 total red
meat servings/d [35 g (1.25 ounces)], and 4) reporting >=1 CVD risk factor. We
performed an adjusted 2-factor nested ANOVA mixed-effects model procedure on the
postintervention values of TC, LDL cholesterol, HDL cholesterol, TC:HDL
cholesterol, triglycerides, SBP, and DBP; calculated overall effect sizes of
change values; and used a repeated-measures ANOVA to assess pre- to
postintervention changes. RESULTS: Red meat intake did not affect lipid
lipoprotein profiles or blood pressure values postintervention (P > 0.05) or
changes over time [weighted mean difference (95% CI): -0.01 mmol/L (-0.08, 0.06
mmol/L), 0.02 mmol/L (-0.05, 0.08 mmol/L), 0.03 mmol/L (-0.01, 0.07 mmol/L), and
0.04 mmol/L (-0.02, 0.10 mmol/L); -0.08 mm Hg (-0.26, 0.11 mm Hg); and -1.0 mm Hg
(-2.4, 0.78 mm Hg) and 0.1 mm Hg (-1.2, 1.5 mm Hg) for TC, LDL cholesterol, HDL
cholesterol, triglycerides, TC:HDL cholesterol, SBP, and DBP, respectively].
Among all subjects, TC, LDL cholesterol, HDL cholesterol, TC:HDL cholesterol,
triglycerides, and DBP, but not SBP, decreased over time (P < 0.05). CONCLUSIONS:
The results from this systematically searched meta-analysis of RCTs support the
idea that the consumption of >=0.5 servings of total red meat/d does not
influence blood lipids and lipoproteins or blood pressures.
PMID- 27881395
TI - Diet-induced weight loss improves not only cardiometabolic risk markers but also
markers of vascular function: a randomized controlled trial in abdominally obese
men.
AB - BACKGROUND: Many trials assessing effects of dietary weight loss on vascular
function have been performed without no-weight loss control groups and in
individuals with obesity-related morbidities. Usually a limited set of vascular
function markers has been investigated. OBJECTIVE: The objective of this study
was to examine effects of diet-induced weight loss on various vascular function
markers and differences between normal-weight and abdominally obese men at
baseline and after weight reduction. DESIGN: Twenty-five healthy, normal-weight
men (waist circumference: <94 cm) and 54 abdominally obese men (waist
circumference: 102-110 cm) participated. Abdominally obese participants were
randomly allocated to a dietary weight-loss or a no-weight loss control group.
Individuals from the weight-loss group followed a calorie-restricted diet for 6
wk to obtain a waist circumference <102 cm followed by a weight-maintenance
period of 2 wk. The control group maintained their habitual diet and physical
activity levels. The primary outcome was the change in brachial artery flow
mediated vasodilation (FMD). RESULTS: Compared with the control group, FMD did
not change in the weight-loss group, but carotid-to-femoral pulse wave velocity
tended to decrease by 0.5 m/s (P = 0.065). The retinal arteriolar caliber
increased by 5 MUm (P < 0.001) and the arteriolar-to-venular ratio by 0.02 (P <
0.01). Soluble endothelial selectin and soluble intercellular adhesion molecule
concentrations decreased (P < 0.001). Also, total cholesterol, low-density
lipoprotein cholesterol, triacylglycerol, glucose, insulin, C-peptide,
homeostasis model assessment of insulin resistance, and blood pressure improved
(P < 0.05 for all variables). Except for FMD, these markers differed at baseline
between normal-weight and abdominally obese men but became comparable after
weight loss. CONCLUSIONS: In abdominally obese men, dietary weight loss targeting
a waist circumference of <102 cm improved retinal microvascular caliber, plasma
biomarkers of microvascular endothelial function, and the more conventional
cardiometabolic risk markers. Aortic stiffness tended to decrease, but FMD was
not changed. This trial was registered at clinicaltrials.gov as NCT01675401.
PMID- 27881396
TI - Involvement of infiltrating macrophage-derived activin A in the progression of
renal damage in MRL-lpr mice.
AB - Lupus nephritis is a life-threatening complication of systemic lupus
erythematosus (SLE). Various growth factors, cytokines, and chemokines are
implicated in the development of SLE. However, the pathophysiological processes
involved in the development of lupus nephritis still remain unclear. In this
study, we examined the involvement of activin A, a member of the transforming
growth factor beta (TGF-beta) superfamily, in the progression of renal damage in
lupus-prone MRL-lpr mice. Activin A was not expressed in the kidneys of control
MRL-MpJ mice but was detectable in perivascular infiltrating cluster of
differentiation 68 (CD68)-positive cells in the kidneys of MRL-lpr mice. Urinary
activin A, which was also absent in MRL-MpJ mice, was detectable in MRL-lpr mice
from 16 wk onward. Urinary activin A levels were significantly correlated with
the number of perivascular inflammatory cell layers, the number of crescentic
glomeruli, and the percentage of Elastica van Gieson (EVG)-positive fibrotic
areas, but not with urinary protein levels or serum activin A. When activin
action was blocked in vivo by the intraperitoneal administration of an activin
antagonist, follistatin, the number of crescentic glomeruli, percentage of EVG
positive fibrotic areas, CD68-positive cell infiltration, and proteinuria were
significantly reduced in a dose-dependent manner. These data suggest that
infiltrating macrophage-derived activin A is involved in the progression of renal
damage in MRL-lpr mice.
PMID- 27881397
TI - A simple method to ensure homogeneous drug distribution during intrarenal
infusion.
AB - Intrarenal drug infusion plays an important role in renal experimental research.
Laminar flow of the blood can cause streaming and inhomogeneous intrarenal
distribution of infused drugs. We suggest a simple method to achieve a
homogeneous intravascular distribution of drugs infused into the renal artery of
anesthetized rats. The method employs a multiple sidehole catheter inserted into
the renal artery, which enables an efficient drug mixing with the arterial blood.
To verify the efficiency of this method, we use laser speckle imaging and renal
artery flowmetry. The results show that, compared with the conventional single
hole catheter, the multiple sidehole catheter provides a more uniform drug
distribution and a homogenous vascular response on the surface of the kidney.
PMID- 27881398
TI - Separate and shared sympathetic outflow to white and brown fat coordinately
regulates thermoregulation and beige adipocyte recruitment.
AB - White adipose tissue (WAT) and brown adipose tissue (BAT) are innervated and
regulated by the sympathetic nervous system (SNS). It is not clear, however,
whether there are shared or separate central SNS outflows to WAT and BAT that
regulate their function. We injected two isogenic strains of pseudorabies virus,
a retrograde transneuronal viral tract tracer, with unique fluorescent reporters
into interscapular BAT (IBAT) and inguinal WAT (IWAT) of the same Siberian
hamsters to define SNS pathways to both. To test the functional importance of SNS
coordinated control of BAT and WAT, we exposed hamsters with denervated SNS
nerves to IBAT to 4 degrees C for 16-24 h and measured core and fat temperatures
and norepinephrine turnover (NETO) and uncoupling protein 1 (UCP1) expression in
fat tissues. Overall, there were more SNS neurons innervating IBAT than IWAT
across the neuroaxis. However, there was a greater percentage of singly labeled
IWAT neurons in midbrain reticular nuclei than singly labeled IBAT neurons. The
hindbrain had ~30-40% of doubly labeled neurons while the forebrain had ~25%
suggesting shared SNS circuitry to BAT and WAT across the brain. The raphe
nucleus, a key region in thermoregulation, had ~40% doubly labeled neurons.
Hamsters with IBAT SNS denervation maintained core body temperature during acute
cold challenge and had increased beige adipocyte formation in IWAT. They also had
increased IWAT NETO, temperature, and UCP1 expression compared with intact
hamsters. These data provide strong neuroanatomical and functional evidence of
WAT and BAT SNS cross talk for thermoregulation and beige adipocyte formation.
PMID- 27881399
TI - Intradermal administration of endothelin-1 attenuates endothelium-dependent and
independent cutaneous vasodilation via Rho kinase in young adults.
AB - We recently showed that intradermal administration of endothelin-1 diminished
endothelium-dependent and -independent cutaneous vasodilation. We evaluated the
hypothesis that Rho kinase may be a mediator of this response. We also sought to
evaluate if endothelin-1 increases sweating. In 12 adults (25 +/- 6 yr), we
measured cutaneous vascular conductance (CVC) and sweating during 1) endothelium
dependent vasodilation induced via administration of incremental doses of
methacholine (0.25, 5, 100, and 2,000 mM each for 25 min) and 2) endothelium
independent vasodilation induced via administration of 50 mM sodium nitroprusside
(20-25 min). Responses were evaluated at four skin sites treated with either 1)
lactated Ringer solution (Control), 2) 400 nM endothelin-1, 3) 3 mM HA-1077 (Rho
kinase inhibitor), or 4) endothelin-1+HA-1077. Pharmacological agents were
intradermally administered via microdialysis. Relative to the Control site,
endothelin-1 attenuated endothelium-dependent vasodilation (CVC at 2,000 mM
methacholine, 80 +/- 10 vs. 56 +/- 15%max, P < 0.01); however, this response was
not detected when the Rho kinase inhibitor was simultaneously administered (CVC
at 2,000 mM methacholine for Rho kinase inhibitor vs. endothelin-1 + Rho kinase
inhibitor sites: 73 +/- 9 vs. 72 +/- 11%max, P > 0.05). Endothelium-independent
vasodilation was attenuated by endothelin-1 compared with the Control site (CVC,
92 +/- 13 vs. 70 +/- 14%max, P < 0.01). However, in the presence of Rho kinase
inhibition, endothelin-1 did not affect endothelium-independent vasodilation (CVC
at Rho kinase inhibitor vs. endothelin-1+Rho kinase inhibitor sites: 81 +/- 9 vs.
86 +/- 10%max, P > 0.05). There was no between-site difference in sweating
throughout (P > 0.05). We show that in young adults, Rho kinase is an important
mediator of the endothelin-1-mediated attenuation of endothelium-dependent and
independent cutaneous vasodilation, and that endothelin-1 does not increase
sweating.
PMID- 27881400
TI - Loss of UCP1 exacerbates Western diet-induced glycemic dysregulation independent
of changes in body weight in female mice.
AB - We tested the hypothesis that female mice null for uncoupling protein 1 (UCP1)
would have increased susceptibility to Western diet-induced "whitening" of brown
adipose tissue (AT) and glucose intolerance. Six-week-old C57BL/6J wild-type (WT)
and UCP1 knockout (UCP1-/-) mice, housed at 25 degrees C, were randomized to
either a control diet (10% kcal from fat) or Western diet (45% kcal from fat and
1% cholesterol) for 28 wk. Loss of UCP1 had no effect on energy intake, energy
expenditure, spontaneous physical activity, weight gain, or visceral white AT
mass. Despite similar susceptibility to weight gain compared with WT, UCP1-/-
exhibited whitening of brown AT evidenced by a striking ~500% increase in mass
and appearance of large unilocular adipocytes, increased expression of genes
related to inflammation, immune cell infiltration, and endoplasmic
reticulum/oxidative stress (P < 0.05), and decreased mitochondrial subunit
protein (COX I, II, III, and IV, P < 0.05), all of which were exacerbated by
Western diet (P < 0.05). UCP1-/- mice also developed liver steatosis and glucose
intolerance, which was worsened by Western diet. Collectively, these findings
demonstrate that loss of UCP1 exacerbates Western diet-induced whitening of brown
AT, glucose intolerance, and induces liver steatosis. Notably, the adverse
metabolic manifestations of UCP1-/- were independent of changes in body weight,
visceral adiposity, and energy expenditure. These novel findings uncover a
previously unrecognized metabolic protective role of UCP1 that is independent of
its already established role in energy homeostasis.
PMID- 27881401
TI - Alterations of colonic function in the Winnie mouse model of spontaneous chronic
colitis.
AB - : The Winnie mouse, carrying a missense mutation in Muc2, is a model for chronic
intestinal inflammation demonstrating symptoms closely resembling inflammatory
bowel disease (IBD). Alterations to the immune environment, morphological
structure, and innervation of Winnie mouse colon have been identified; however,
analyses of intestinal transit and colonic functions have not been conducted. In
this study, we investigated in vivo intestinal transit in radiographic studies
and in vitro motility of the isolated colon in organ bath experiments. We
compared neuromuscular transmission using conventional intracellular recording
between distal colon of Winnie and C57BL/6 mice and smooth muscle contractions
using force displacement transducers. Chronic inflammation in Winnie mice was
confirmed by detection of lipocalin-2 in fecal samples over 4 wk and gross
morphological damage to the colon. Colonic transit was faster in Winnie mice.
Motility was altered including decreased frequency and increased speed of colonic
migrating motor complexes and increased occurrence of short and fragmented
contractions. The mechanisms underlying colon dysfunctions in Winnie mice
included inhibition of excitatory and fast inhibitory junction potentials,
diminished smooth muscle responses to cholinergic and nitrergic stimulation, and
increased number of alpha-smooth muscle actin-immunoreactive cells. We conclude
that diminished excitatory responses occur both prejunctionally and
postjunctionally and reduced inhibitory purinergic responses are potentially a
prejunctional event, while diminished nitrergic inhibitory responses are probably
due to a postjunction mechanism in the Winnie mouse colon. Many of these changes
are similar to disturbed motor functions in IBD patients indicating that the
Winnie mouse is a model highly representative of human IBD. NEW & NOTEWORTHY:
This is the first study to provide analyses of intestinal transit and whole colon
motility in an animal model of spontaneous chronic colitis. We found that
cholinergic and purinergic neuromuscular transmission, as well as the smooth
muscle cell responses to cholinergic and nitrergic stimulation, is altered in the
chronically inflamed Winnie mouse colon. The changes to intestinal transit and
colonic function we identified in the Winnie mouse are similar to those seen in
inflammatory bowel disease patients.
PMID- 27881404
TI - Novel insights into fecal incontinence in men.
AB - : Fecal incontinence (FI) in men is common, yet data on sex differences in
clinical features, physiology, and treatment are scarce. Our aim was to provide
insights into FI in males compared with females. Prospectively collected data
from 73 men and 596 women with FI in a tertiary referral center were analyzed.
Anorectal physiology, clinical characteristics, and outcome of instrumented
biofeedback (BF) were recorded. Thirty-one men with FI proceeded to BF and were
matched with 62 age-matched women with FI who underwent BF. Men with FI had
higher resting, squeeze, and cough anal sphincter pressures (P < 0.001) and were
more able to hold a sustained squeeze compared with women (P = 0.04). Men with FI
had higher rectal pressure and less inadequate rectal pressure on strain and
higher sensory thresholds (P < 0.05). Men, but not women, with isolated soiling
had higher anal resting and squeeze pressures compared with those with overt FI
(P < 0.05). Men were less likely to undergo BF when offered compared with women.
Baseline symptom severity did not differ between the groups. In men, the absence
of an organic cause for the FI and the presence of overt FI, but not isolated
soiling, were correlated with improvement in patient satisfaction following BF.
The outcomes of 50% reduction in FI episodes, physician assessment, symptoms, and
quality of life scores after BF all significantly improved in men similarly to
women. We conclude that men, compared with women, with FI have unique clinical
features and physiology and are less likely to have investigations and treatment
despite successful outcome with BF. Future studies to customize treatment in
males and determine barriers to therapy are warranted. NEW & NOTEWORTHY: Fecal
incontinence in men is common, yet data on sex differences in clinical features,
physiology, and treatment are scarce. We provide evidence that men, compared with
women, with fecal incontinence have unique clinical features and physiology and
are less likely to have investigations and treatment despite successful outcome
with anorectal biofeedback therapy.
PMID- 27881402
TI - Maturity and age influence chief cell ability to transdifferentiate into
metaplasia.
AB - : The plasticity of gastric chief cells is exemplified by their ability to
transdifferentiate into spasmolytic polypeptide-expressing metaplasia (SPEM)
after parietal cell loss. We sought to determine if chief cell maturity is a
limiting factor in the capacity to transdifferentiate. Mist1-/- mice, previously
shown to form only immature chief cells, were treated with DMP-777 or L635 to
study the capability of these immature chief cells to transdifferentiate into a
proliferative metaplastic lineage after acute parietal cell loss. Mist1-/- mice
treated with DMP-777 showed fewer chief cell to SPEM transitions. Mist1-/- mice
treated with L635 demonstrated significantly fewer proliferative SPEM cells
compared with control mice. Thus immature chief cells were unable to
transdifferentiate efficiently into SPEM after acute parietal cell loss. To
determine whether chief cell age affects transdifferentiation into SPEM, we used
tamoxifen to induce YFP expression in chief cells of Mist1CreER/+;RosaYFP mice
and subsequently treated the cells with L635 to induce SPEM at 1 to 3.5 mo after
tamoxifen treatment. After L635 treatment to induce acute parietal cell loss, 43%
of all YFP-positive cells at 1 mo posttamoxifen were SPEM cells, of which 44% of
these YFP-positive SPEM cells were proliferative. By 2 mo after tamoxifen
induction, only 24% of marked SPEM cells were proliferating. However, by 3.5 mo
after tamoxifen induction, only 12% of marked chief cells transdifferentiated
into SPEM and none were proliferative. Thus, as chief cells age, they lose their
ability to transdifferentiate into SPEM and proliferate. Therefore, both
functional maturation and age limit chief cell plasticity. NEW & NOTEWORTHY:
Previous investigations have indicated that spasmolytic polypeptide-expressing
metaplasia (SPEM) in the stomach arises from transdifferentiation of chief cells.
Nevertheless, the intrinsic properties of chief cells that influence
transdifferentiation have been largely unknown. We now report that the ability to
transdifferentiate into SPEM is impaired in chief cells that lack full functional
maturation, and as chief cells age, they lose their ability to
transdifferentiate. Thus chief cell plasticity is dependent on both cell age and
maturation.
PMID- 27881405
TI - Effectiveness of individualized lung recruitment strategies at birth: an
experimental study in preterm lambs.
AB - Respiratory transition at birth involves rapidly clearing fetal lung liquid and
preventing efflux back into the lung while aeration is established. We have
developed a sustained inflation (SIOPT) individualized to volume response and a
dynamic tidal positive end-expiratory pressure (PEEP) (open lung volume, OLV)
strategy that both enhance this process. We aimed to compare the effect of each
with a group managed with PEEP of 8 cmH2O and no recruitment maneuver (No-RM), on
gas exchange, lung mechanics, spatiotemporal aeration, and lung injury in 127 +/-
1 day preterm lambs. Forty-eight fetal-instrumented lambs exposed to antenatal
steroids were ventilated for 60 min after application of the allocated strategy.
Spatiotemporal aeration and lung mechanics were measured with electrical
impedance tomography and forced-oscillation, respectively. At study completion,
molecular and histological markers of lung injury were analyzed. Mean (SD)
aeration at the end of the SIOPT and OLV groups was 32 (22) and 38 (15) ml/kg,
compared with 17 (10) ml/kg (180 s) in the No-RM (P = 0.024, 1-way ANOVA). This
translated into better oxygenation at 60 min (P = 0.047; 2-way ANOVA) resulting
from better distal lung tissue aeration in SIOPT and OLV. There was no difference
in lung injury. Neither SIOPT nor OLV achieved homogeneous aeration. Histological
injury and mRNA biomarker upregulation were more likely in the regions with
better initial aeration, suggesting volutrauma. Tidal ventilation or an SI
achieves similar aeration if optimized, suggesting that preventing fluid efflux
after lung liquid clearance is at least as important as fluid clearance during
the initial inflation at birth.
PMID- 27881406
TI - Enrichment of selective miRNAs in exosomes and delivery of exosomal miRNAs in
vitro and in vivo.
AB - Exosomes are nanovesicles secreted by cells and contain various molecules
including protein, lipid, and DNA/RNA. They are crucial mediators of the
intercellular communication and serve as promising vehicles for drug delivery and
gene therapy. Recently, accumulating evidence suggests that microRNAs (miRNAs)
may serve as new and potentially powerful targets for therapeutic interventions
against various human diseases. However, steadily and effectively delivering
miRNA mimics or inhibitors to target cells remains a major obstacle. To enhance
the efficacy of exosome-mediated delivery of miRNA molecules, it is crucial to
develop a convenient and efficient method to enrich specific miRNAs or antisense
oligos in isolated exosomes. Here we report a novel method to prepare specific
miRNA molecule-loaded exosomes. Using a modified calcium chloride-mediated
transfection method, we successfully enhanced the designated miRNA mimics or
inhibitors in isolated exosomes directly, instead of transfecting their mother
cells. We also compared this method with direct transfection of exosomes using
electroporation. Both methods confirmed that exosomes can serve as cargos to
deliver a robustly increased amount of selected miRNA mimic(s) or inhibitor(s) to
the recipient cells. Delivery of these miRNA molecule enriched-exosomes
subsequently results in highly efficient overexpression or deletion of the
designated miRNAs in the recipient cells both in vivo and in vitro. Additionally,
we confirmed that exosome-delivered miRNA mimics or inhibitors are functional in
the recipient cells. Collectively, we developed a novel protocol to conveniently
manipulate exosomal miRNAs with high efficiency and successfully deliver the
exosomal miRNA molecules to recipient cells.
PMID- 27881408
TI - Fair vaccine pricing please, not random acts of charity.
PMID- 27881407
TI - Prophylactic and therapeutic treatment with the flavonone sakuranetin ameliorates
LPS-induced acute lung injury.
AB - Sakuranetin is the main isolate flavonoid from Baccharis retusa (Asteraceae)
leaves and exhibits anti-inflammatory and antioxidative activities. Acute
respiratory distress syndrome is an acute failure of the respiratory system for
which effective treatment is urgently necessary. This study investigated the
preventive and therapeutic effects of sakuranetin on lipopolysaccharide (LPS)
induced acute lung injury (ALI) in mice. Animals were treated with intranasal
sakuranetin 30 min before or 6 h after instillation of LPS. Twenty-four hours
after ALI was induced, lung function, inflammation, macrophages population
markers, collagen fiber deposition, the extent of oxidative stress, and the
expression of matrix metalloprotease-9 (MMP-9), tissue inhibitor of MMP-9 (TIMP
1) and NF-kappaB were evaluated. The animals began to show lung alterations 6 h
after LPS instillation, and these changes persisted until 24 h after LPS
administration. Preventive and therapeutic treatment with sakuranetin reduced the
neutrophils in the peripheral blood and in the bronchial alveolar lavage.
Sakuranetin treatment also reduced macrophage populations, particularly that of
M1-like macrophages. In addition, sakurnaetin treatment reduced keratinocyte
derived chemokines (IL-8 homolog) and NF-kappaB levels, collagen fiber formation,
MMM-9 and TIMP-1-positive cells, and oxidative stress in lung tissues compared
with LPS animals treated with vehicle. Finally, sakuranetin treatment also
reduced total protein, and the levels of TNF-alpha and IL-1beta in the lung. This
study shows that sakuranetin prevented and reduced pulmonary inflammation induced
by LPS. Because sakuranetin modulates oxidative stress, the NF-kappaB pathway,
and lung function, it may constitute a novel therapeutic candidate to prevent and
treat ALI.
PMID- 27881403
TI - Irritable bowel syndrome: a gut microbiota-related disorder?
AB - Irritable bowel syndrome (IBS) is one of the most common gastrointestinal (GI)
disorders. Despite its prevalence, the pathophysiology of IBS is not well
understood although multiple peripheral and central factors are implicated.
Recent studies suggest a role for alterations in gut microbiota in IBS.
Significant advances in next-generation sequencing technology and bioinformatics
and the declining cost have now allowed us to better investigate the role of gut
microbiota in IBS. In the following review, we propose gut microbiota as a
unifying factor in the pathophysiology of IBS. We first describe how gut
microbiota can be influenced by factors predisposing individuals to IBS such as
host genetics, stress, diet, antibiotics, and early life experiences. We then
highlight the known effects of gut microbiota on mechanisms implicated in the
pathophysiology of IBS including disrupted gut brain axis (GBA), visceral
hypersensitivity (VH), altered GI motility, epithelial barrier dysfunction, and
immune activation. While there are several gaps in the field that preclude us
from connecting the dots to establish causation, we hope this overview will allow
us to identify and fill in the voids.
PMID- 27881409
TI - Intake of individual saturated fatty acids and risk of coronary heart disease in
US men and women: two prospective longitudinal cohort studies.
AB - OBJECTIVES: To investigate the association between long term intake of
individual saturated fatty acids (SFAs) and the risk of coronary heart disease,
in two large cohort studies. DESIGN: Prospective, longitudinal cohort study.
SETTING: Health professionals in the United States. PARTICIPANTS: 73 147 women
in the Nurses' Health Study (1984-2012) and 42 635 men in the Health
Professionals Follow-up Study (1986-2010), who were free of major chronic
diseases at baseline. MAIN OUTCOME MEASURE: Incidence of coronary heart disease
(n=7035) was self-reported, and related deaths were identified by searching
National Death Index or through report of next of kin or postal authority. Cases
were confirmed by medical records review. RESULTS: Mean intake of SFAs accounted
for 9.0-11.3% energy intake over time, and was mainly composed of lauric acid
(12:0), myristic acid (14:0), palmitic acid (16:0), and stearic acid (18:0; 8.8
10.7% energy). Intake of 12:0, 14:0, 16:0 and 18:0 were highly correlated, with
Spearman correlation coefficients between 0.38 and 0.93 (all P<0.001). Comparing
the highest to the lowest groups of individual SFA intakes, hazard ratios of
coronary heart disease were 1.07 (95% confidence interval 0.99 to 1.15;
Ptrend=0.05) for 12:0, 1.13 (1.05 to 1.22; Ptrend<0.001) for 14:0, 1.18 (1.09 to
1.27; Ptrend<0.001) for 16:0, 1.18 (1.09 to 1.28; Ptrend<0.001) for 18:0, and
1.18 (1.09 to 1.28; Ptrend<0.001) for all four SFAs combined (12:0-18:0), after
multivariate adjustment of lifestyle factors and total energy intake. Hazard
ratios of coronary heart disease for isocaloric replacement of 1% energy from
12:0-18:0 were 0.92 (95% confidence interval 0.89 to 0.96; P<0.001) for
polyunsaturated fat, 0.95 (0.90 to 1.01; P=0.08) for monounsaturated fat, 0.94
(0.91 to 0.97; P<0.001) for whole grain carbohydrates, and 0.93 (0.89 to 0.97;
P=0.001) for plant proteins. For individual SFAs, the lowest risk of coronary
heart disease was observed when the most abundant SFA, 16:0, was replaced. Hazard
ratios of coronary heart disease for replacing 1% energy from 16:0 were 0.88 (95%
confidence interval 0.81 to 0.96; P=0.002) for polyunsaturated fat, 0.92 (0.83 to
1.02; P=0.10) for monounsaturated fat, 0.90 (0.83 to 0.97; P=0.01) for whole
grain carbohydrates, and 0.89 (0.82 to 0.97; P=0.01) for plant proteins.
CONCLUSIONS: Higher dietary intakes of major SFAs are associated with an
increased risk of coronary heart disease. Owing to similar associations and high
correlations among individual SFAs, dietary recommendations for the prevention of
coronary heart disease should continue to focus on replacing total saturated fat
with more healthy sources of energy.
PMID- 27881410
TI - TAZ activation drives fibroblast spheroid growth, expression of profibrotic
paracrine signals, and context-dependent ECM gene expression.
AB - Recent studies have implicated the Hippo pathway and its transcriptional
effectors YAP and TAZ as necessary for fibroblast activation and tissue fibrosis.
To test the specific and sufficient roles for TAZ in driving autonomous
fibroblast activation, we cultured NIH3T3 fibroblasts expressing a doxycycline
inducible nuclear-localized mutant of TAZ (TAZ4SA) in scaffold-free 3D hanging
drop spheroids, or on matrices of specified mechanical rigidity. Control NIH3T3
fibroblasts formed spheroids in hanging drop culture that remained stable and
neither increased nor decreased in size significantly over 15 days. In contrast,
TAZ4SA-transduced fibroblasts grew robustly in spheroid culture, and expressed
enhanced levels of genes encoding profibrotic soluble factors connective tissue
growth factor (CTGF), endothelin-1 (Et-1), and plasminogen activator inhibitor 1
(PAI-1). However, TAZ4SA expression was unable to enhance expression of
extracellular matrix (ECM)-encoding genes Col1a1, Col1a2, Col3a1, or Fn1 in
spheroid culture. Micromechanical testing indicated that spheroids composed of
either control or TAZ4SA-expressing cells were highly compliant and
indistinguishable in mechanical properties. In fibroblasts cultured on 2D
matrices of compliance similar to spheroids, TAZ4SA expression was able to
enhance contractile force generation, but was unable to enhance ECM gene
expression. In contrast, culture on stiff hydrogels potentiated TAZ4SA
enhancement of ECM expression. TAZ4SA enhancement of Col1a1 expression on soft
matrices was potentiated by TGF-beta1, while on stiff matrices it was abrogated
by inhibition of myocardin-related transcription factor, demonstrating context
dependent crosstalk of TAZ with these pathways. These findings demonstrate
sufficiency of TAZ activation for driving fibroblast proliferation, contraction,
and soluble profibrotic factor expression, and mechanical context-dependent
crosstalk of TAZ with other pathways in regulating Col1a1 expression.
PMID- 27881411
TI - Three distinct cell populations express extracellular matrix proteins and
increase in number during skeletal muscle fibrosis.
AB - Tissue extracellular matrix (ECM) provides structural support and creates unique
environments for resident cells (Bateman JF, Boot-Handford RP, Lamande SR. Nat
Rev Genet 10: 173-183, 2009; Kjaer M. Physiol Rev 84: 649-98, 2004). However, the
identities of cells responsible for creating specific ECM components have not
been determined. In striated muscle, the identity of these cells becomes
important in disease when ECM changes result in fibrosis and subsequent increased
tissue stiffness and dysfunction. Here we describe a novel approach to isolate
and identify cells that maintain the ECM in both healthy and fibrotic muscle.
Using a collagen I reporter mouse, we show that there are three distinct cell
populations that express collagen I in both healthy and fibrotic skeletal muscle.
Interestingly, the number of collagen I-expressing cells in all three cell
populations increases proportionally in fibrotic muscle, indicating that all cell
types participate in the fibrosis process. Furthermore, while some profibrotic
ECM and ECM-associated genes are significantly upregulated in fibrotic muscle,
the fibrillar collagen gene expression profile is not qualitatively altered. This
suggests that muscle fibrosis in this model results from an increased number of
collagen I-expressing cells and not the initiation of a specific fibrotic
collagen gene expression program. Finally, in fibrotic muscle, we show that these
collagen I-expressing cell populations differentially express distinct ECM
proteins-fibroblasts express the fibrillar components of ECM, fibro/adipogenic
progenitors cells differentially express basal laminar proteins, and skeletal
muscle progenitor cells differentially express genes important for the satellite
cell.
PMID- 27881412
TI - Renin-angiotensin-aldosterone system inhibitors improve membrane stability and
change gene-expression profiles in dystrophic skeletal muscles.
AB - Angiotensin-converting enzyme inhibitors (ACEi) and mineralocorticoid receptor
(MR) antagonists are FDA-approved drugs that inhibit the renin-angiotensin
aldosterone system (RAAS) and are used to treat heart failure. Combined treatment
with the ACEi lisinopril and the nonspecific MR antagonist spironolactone
surprisingly improves skeletal muscle, in addition to heart function and
pathology in a Duchenne muscular dystrophy (DMD) mouse model. We recently
demonstrated that MR is present in all limb and respiratory muscles and functions
as a steroid hormone receptor in differentiated normal human skeletal muscle
fibers. The goals of the current study were to begin to define cellular and
molecular mechanisms mediating the skeletal muscle efficacy of RAAS inhibitor
treatment. We also compared molecular changes resulting from RAAS inhibition with
those resulting from the current DMD standard-of-care glucocorticoid treatment.
Direct assessment of muscle membrane integrity demonstrated improvement in
dystrophic mice treated with lisinopril and spironolactone compared with
untreated mice. Short-term treatments of dystrophic mice with specific and
nonspecific MR antagonists combined with lisinopril led to overlapping gene
expression profiles with beneficial regulation of metabolic processes and
decreased inflammatory gene expression. Glucocorticoids increased apoptotic,
proteolytic, and chemokine gene expression that was not changed by RAAS
inhibitors in dystrophic mice. Microarray data identified potential genes that
may underlie RAAS inhibitor treatment efficacy and the side effects of
glucocorticoids. Direct effects of RAAS inhibitors on membrane integrity also
contribute to improved pathology of dystrophic muscles. Together, these data will
inform clinical development of MR antagonists for treating skeletal muscles in
DMD.
PMID- 27881413
TI - The Drosophila indirect flight muscle myosin heavy chain isoform is insufficient
to transform the jump muscle into a highly stretch-activated muscle type.
AB - Stretch activation (SA) is a delayed increase in force that enables high power
and efficiency from a cyclically contracting muscle. SA exists in various degrees
in almost all muscle types. In Drosophila, the indirect flight muscle (IFM)
displays exceptionally high SA force production (FSA), whereas the jump muscle
produces only minimal FSA We previously found that expressing an embryonic (EMB)
myosin heavy chain (MHC) isoform in the jump muscle transforms it into a
moderately SA muscle type and enables positive cyclical power generation. To
investigate whether variation in MHC isoforms is sufficient to produce even
higher FSA, we substituted the IFM MHC isoform (IFI) into the jump muscle.
Surprisingly, we found that IFI only caused a 1.7-fold increase in FSA, less than
half the increase previously observed with EMB, and only at a high Pi
concentration, 16 mM. This IFI-induced FSA is much less than what occurs in IFM,
relative to isometric tension, and did not enable positive cyclical power
generation by the jump muscle. Both isometric tension and FSA of control fibers
decreased with increasing Pi concentration. However, for IFI-expressing fibers,
only isometric tension decreased. The rate of FSA generation was ~1.5-fold faster
for IFI fibers than control fibers, and both rates were Pi dependent. We conclude
that MHC isoforms can alter FSA and hence cyclical power generation but that
isoforms can only endow a muscle type with moderate FSA Highly SA muscle types,
such as IFM, likely use a different or additional mechanism.
PMID- 27881414
TI - Enhanced Glucose Consumption and Organic Acid Production by Engineered
Corynebacterium glutamicum Based on Analysis of a pfkB1 Deletion Mutant.
AB - In the analysis of a carbohydrate metabolite pathway, we found interesting
phenotypes in a mutant strain of Corynebacterium glutamicum deficient in pfkB1,
which encodes fructose-1-phosphate kinase. After being aerobically cultivated
with fructose as a carbon source, this mutant consumed glucose and produced
organic acid, predominantly l-lactate, at a level more than 2-fold higher than
that of the wild-type grown with glucose under conditions of oxygen deprivation.
This considerably higher fermentation capacity was unique for the combination of
pfkB1 deletion and cultivation with fructose. In the metabolome and transcriptome
analyses of this strain, marked intracellular accumulation of fructose-1
phosphate and significant upregulation of several genes related to the
phosphoenolpyruvate:carbohydrate phosphotransferase system, glycolysis, and
organic acid synthesis were identified. We then examined strains overexpressing
several of the identified genes and demonstrated enhanced glucose consumption and
organic acid production by these engineered strains, whose values were found to
be comparable to those of the model pfkB1 deletion mutant grown with fructose. l
Lactate production by the ppc deletion mutant of the engineered strain was 2,390
mM (i.e., 215 g/liter) after 48 h under oxygen deprivation, which was a 2.7-fold
increase over that of the wild-type strain with a deletion of ppc IMPORTANCE:
Enhancement of glycolytic flux is important for improving microbiological
production of chemicals, but overexpression of glycolytic enzymes has often
resulted in little positive effect. That is presumably because the central carbon
metabolism is under the complex and strict regulation not only transcriptionally
but also posttranscriptionally, for example, by the ATP/ADP ratio. In contrast,
we studied a mutant strain of Corynebacterium glutamicum that showed markedly
enhanced glucose consumption and organic acid production and, based on the
findings, identified several genes whose overexpression was effective in
enhancing glycolytic flux under conditions of oxygen deprivation. These results
will further understanding of the regulatory mechanisms of glycolytic flux and
can be widely applied to the improvement of the microbial production of useful
chemicals.
PMID- 27881415
TI - Whole-Genome Relationships among Francisella Bacteria of Diverse Origins Define
New Species and Provide Specific Regions for Detection.
AB - : Francisella tularensis is a highly virulent zoonotic pathogen that causes
tularemia and, because of weaponization efforts in past world wars, is considered
a tier 1 biothreat agent. Detection and surveillance of F. tularensis may be
confounded by the presence of uncharacterized, closely related organisms. Through
DNA-based diagnostics and environmental surveys, novel clinical and environmental
Francisella isolates have been obtained in recent years. Here we present 7 new
Francisella genomes and a comparison of their characteristics to each other and
to 24 publicly available genomes as well as a comparative analysis of 16S rRNA
and sdhA genes from over 90 Francisella strains. Delineation of new species in
bacteria is challenging, especially when isolates having very close genomic
characteristics exhibit different physiological features-for example, when some
are virulent pathogens in humans and animals while others are nonpathogenic or
are opportunistic pathogens. Species resolution within Francisella varies with
analyses of single genes, multiple gene or protein sets, or whole-genome
comparisons of nucleic acid and amino acid sequences. Analyses focusing on single
genes (16S rRNA, sdhA), multiple gene sets (virulence genes, lipopolysaccharide
[LPS] biosynthesis genes, pathogenicity island), and whole-genome comparisons
(nucleotide and protein) gave congruent results, but with different levels of
discrimination confidence. We designate four new species within the genus;
Francisella opportunistica sp. nov. (MA06-7296), Francisella salina sp. nov.
(TX07-7308), Francisella uliginis sp. nov. (TX07-7310), and Francisella
frigiditurris sp. nov. (CA97-1460). This study provides a robust comparative
framework to discern species and virulence features of newly detected Francisella
bacteria. IMPORTANCE: DNA-based detection and sequencing methods have identified
thousands of new bacteria in the human body and the environment. In most cases,
there are no cultured isolates that correspond to these sequences. While DNA
based approaches are highly sensitive, accurately assigning species is difficult
without known near relatives for comparison. This ambiguity poses challenges for
clinical cases, disease epidemics, and environmental surveillance, for which
response times must be short. Many new Francisella isolates have been identified
globally. However, their species designations and potential for causing human
disease remain ambiguous. Through detailed genome comparisons, we identified
features that differentiate F. tularensis from clinical and environmental
Francisella isolates and provide a knowledge base for future comparison of
Francisella organisms identified in clinical samples or environmental surveys.
PMID- 27881416
TI - Metagenomics of Two Severe Foodborne Outbreaks Provides Diagnostic Signatures and
Signs of Coinfection Not Attainable by Traditional Methods.
AB - : Diagnostic testing for foodborne pathogens relies on culture-based techniques
that are not rapid enough for real-time disease surveillance and do not give a
quantitative picture of pathogen abundance or the response of the natural
microbiome. Powerful sequence-based culture-independent approaches, such as
shotgun metagenomics, could sidestep these limitations and potentially reveal a
pathogen-specific signature on the microbiome that would have implications not
only for diagnostics but also for better understanding disease progression and
pathogen ecology. However, metagenomics have not yet been validated for foodborne
pathogen detection. Toward closing these gaps, we applied shotgun metagenomics to
stool samples collected from two geographically isolated (Alabama and Colorado)
foodborne outbreaks, where the etiologic agents were identified by culture
dependent methods as distinct strains of Salmonella enterica subsp. enterica
serovar Heidelberg. Metagenomic investigations were consistent with the culture
based findings and revealed, in addition, the in situ abundance and level of
intrapopulation diversity of the pathogen, the possibility of coinfections with
Staphylococcus aureus, overgrowth of commensal Escherichia coli, and significant
shifts in the gut microbiome during infection relative to reference healthy
samples. Additionally, we designed our bioinformatics pipeline to deal with
several challenges associated with the analysis of clinical samples, such as the
high frequency of coeluting human DNA sequences and assessment of the virulence
potential of pathogens. Comparisons of these results to those of other studies
revealed that in several, but not all, cases of diarrheal outbreaks, the disease
and healthy states of the gut microbial community might be distinguishable,
opening new possibilities for diagnostics. IMPORTANCE: Diagnostic testing for
enteric pathogens has relied for decades on culture-based techniques, but a total
of 38.4 million cases of foodborne illness per year cannot be attributed to
specific causes. This study describes new culture-independent metagenomic
approaches and the associated bioinformatics pipeline to detect and type the
causative agents of microbial disease with unprecedented accuracy, opening new
possibilities for the future development of health technologies and diagnostics.
Our tools and approaches should be applicable to other microbial diseases in
addition to foodborne diarrhea.
PMID- 27881417
TI - Analysis of Germination Capacity and Germinant Receptor (Sub)clusters of Genome
Sequenced Bacillus cereus Environmental Isolates and Model Strains.
AB - : Spore germination of 17 Bacillus cereus food isolates and reference strains was
evaluated using flow cytometry analysis in combination with fluorescent staining
at a single-spore level. This approach allowed for rapid collection of
germination data under more than 20 conditions, including heat activation of
spores, germination in complex media (brain heart infusion [BHI] and tryptone soy
broth [TSB]), and exposure to saturating concentrations of single amino acids and
the combination of alanine and inosine. Whole-genome sequence comparison revealed
a total of 11 clusters of operons encoding germinant receptors (GRs): GerK, GerI,
and GerL were present in all strains, whereas GerR, GerS, GerG, GerQ, GerX, GerF,
GerW, and GerZ (sub)clusters showed a more diverse presence/absence in different
strains. The spores of tested strains displayed high diversity with regard to
their sensitivity and responsiveness to selected germinants and heat activation.
The two laboratory strains, B. cereus ATCC 14579 and ATCC 10987, and 11 food
isolates showed a good germination response under a range of conditions, whereas
four other strains (B. cereus B4085, B4086, B4116, and B4153) belonging to
phylogenetic group IIIA showed a very weak germination response even in BHI and
TSB media. Germination responses could not be linked to specific (combinations
of) GRs, but it was noted that the four group IIIA strains contained pseudogenes
or variants of subunit C in their gerL cluster. Additionally, two of those
strains (B4086 and B4153) carried pseudogenes in the gerK and gerRI (sub)clusters
that possibly affected the functionality of these GRs. IMPORTANCE: Germination of
bacterial spores is a critical step before vegetative growth can resume. Food
products may contain nutrient germinants that trigger germination and outgrowth
of Bacillus species spores, possibly leading to food spoilage or foodborne
illness. Prediction of spore germination behavior is, however, very challenging,
especially for spores of natural isolates that tend to show more diverse
germination responses than laboratory strains. The approach used has provided
information on the genetic diversity in GRs and corresponding subclusters encoded
by B. cereus strains, as well as their germination behavior and possible
associations with GRs, and it provides a basis for further extension of knowledge
on the role of GRs in B. cereus (group member) ecology and transmission to the
host.
PMID- 27881418
TI - Environmental Pseudomonads Inhibit Cystic Fibrosis Patient-Derived Pseudomonas
aeruginosa.
AB - : Pseudomonas aeruginosa is an opportunistic pathogen which is evolving
resistance to many currently used antibiotics. While much research has been
devoted to the roles of pathogenic P. aeruginosa in cystic fibrosis (CF)
patients, less is known of its ecological properties. P. aeruginosa dominates the
lungs during chronic infection in CF patients, yet its abundance in some
environments is less than that of other diverse groups of pseudomonads. Here, we
sought to determine if clinical isolates of P. aeruginosa are vulnerable to
environmental pseudomonads that dominate soil and water habitats in one-to-one
competitions which may provide a source of inhibitory factors. We isolated a
total of 330 pseudomonads from diverse habitats of soil and freshwater ecosystems
and competed these strains against one another to determine their capacity for
antagonistic activity. Over 900 individual inhibitory events were observed.
Extending the analysis to P. aeruginosa isolates revealed that clinical isolates,
including ones with increased alginate production, were susceptible to
competition by multiple environmental strains. We performed transposon
mutagenesis on one isolate and identified an ~14.8-kb locus involved in
antagonistic activity. Only two other environmental isolates were observed to
carry the locus, suggesting the presence of additional unique compounds or
interactions among other isolates involved in outcompeting P. aeruginosa This
collection of strains represents a source of compounds that are active against
multiple pathogenic strains. With the evolution of resistance of P. aeruginosa to
currently used antibiotics, these environmental strains provide opportunities for
novel compound discovery against drug-resistant clinical strains. IMPORTANCE: We
demonstrate that clinical CF-derived isolates of P. aeruginosa are susceptible to
competition in the presence of environmental pseudomonads. We observed that many
diverse environmental strains exhibited varied antagonistic profiles against a
panel of clinical P. aeruginosa isolates, suggesting the presence of distinct
mechanisms of inhibition among these ecological strains. Understanding the
properties of these antagonistic events offers the potential for discoveries of
antimicrobial compounds or metabolic pathways important to the development of
novel treatments for P. aeruginosa infections.
PMID- 27881419
TI - Oral Administration of a Select Mixture of Bacillus Probiotics Affects the Gut
Microbiota and Goblet Cell Function following Escherichia coli Challenge in Newly
Weaned Pigs of Genotype MUC4 That Are Supposed To Be Enterotoxigenic E. coli
F4ab/ac Receptor Negative.
AB - : Structural disruption of the gut microbiota and impaired goblet cell function
are collateral etiologic factors in enteric diseases. Low, moderate, or high
doses of a Bacillus licheniformis-B. subtilis mixture (BLS mix) were orally
administered to piglets of genotype MUC4 that are supposed to be F4-expressing
enterotoxigenic Escherichia coli strain (F4+ ETEC) F4ab/ac receptor negative
(i.e., MUC4-resistant piglets) for 1 week before F4+ ETEC challenge. The luminal
contents were collected from the mucosa of the colon on day 8 after F4+ ETEC
challenge. The BLS mix attenuated E. coli-induced expansion of Bacteroides
uniformis, Eubacterium eligens, Acetanaerobacterium, and Sporobacter populations.
Clostridium and Turicibacter populations increased following F4+ ETEC challenge
in pigs pretreated with low-dose BLS mix. Lactobacillus gasseri and Lactobacillus
salivarius populations increased after administration of BLS mix during E. coli
infection. The beneficial effects of BLS mix were due in part to the expansion of
certain Clostridium, Lactobacillus, and Turicibacter populations, with a
corresponding increase in the number of goblet cells in the ileum via upregulated
Atoh1 expression, in turn increasing MUC2 production and thus preserving the
mucus barrier and enhancing host defenses against enteropathogenic bacteria.
However, excessive BLS mix consumption may increase the risk for enteritis,
partly through disruption of colonic microbial ecology, characterized by
expansion of Proteobacteria and impaired goblet cell function in the ileum. Our
findings suggest that oral administration of BLS mix reprograms the gut
microbiota and enhances goblet cell function to ameliorate enteritis. IMPORTANCE:
The present study is important for improving our understanding of the protective
role of probiotics against Escherichia coli infection in piglets. Structural
disruption of the gut microbiota and impaired goblet cell function are collateral
etiologic factors in enteric diseases. In this study, low, moderate, or high
doses of a Bacillus licheniformis-B. subtilis mixture (BLS mix) were orally
administered to MUC4-resistant piglets for 1 week before the F4-expressing ETEC
strain (F4+ ETEC) challenge. Our findings suggest that oral administration of BLS
mix reprograms the gut microbiota and enhances goblet cell function to ameliorate
enteritis.
PMID- 27881421
TI - Common Variant in Glycoprotein Ia Increases Long-Term Adverse Events Risk After
Coronary Artery Bypass Graft Surgery.
AB - BACKGROUND: This study was aimed to investigate the clinical relevance between
glycoprotein Ia (GPIA) rs1126643C/T polymorphism and the outcome of coronary
artery disease after coronary artery bypass graft (CABG) surgery and explore the
involved potential mechanisms. METHODS AND RESULTS: We genotyped GPIA rs1126643
polymorphism of 1592 patients who underwent CABG and followed up for a median
period of 72.8 months. Patients who are GPIA rs1126643 T-allele carriers have a
higher major adverse cardiac or cerebrovascular events risk post-CABG than those
who are CC homozygotes (hazard ratio [HR]=1.29; P=0.022). The clinical
association between the risk allele (T) carriage and major adverse cardiac or
cerebrovascular events was confirmed in another cohort study, which included 646
CABG patients from various health centers across China. Meanwhile, rs1126643 T
allele was also linked with increased risk of major adverse cardiac or
cerebrovascular events (HR=1.73; P=0.019). To explore the underlying mechanisms,
we prospectively recruited 131 coronary artery disease patients, assessed their
platelet aggregation function, and focused on detecting their GPIA mRNA level and
protein expression. Results showed that patients with rs1126643 T allele have
elevated platelet aggregation activity (P=0.029) when protein expression is
increased (P<0.001) and not affected by glycoprotein Ia mRNA level. CONCLUSIONS:
The synonymous common variant, GPIA rs1126643, increases the long-term adverse
events risk of CABG by augmenting GPIa protein expression and enhancing platelet
aggregation function. This finding can serve as the implication of improving
secondary prevention of CABG patients.
PMID- 27881422
TI - Association of Cholesterol Efflux Capacity With Clinical Features of Metabolic
Syndrome: Relevance to Atherosclerosis.
AB - BACKGROUND: The contribution of high-density lipoprotein to cardiovascular
benefit is closely linked to its role in the cellular cholesterol efflux process;
however, various clinical and biochemical variables are known to modulate the
overall cholesterol efflux process. The aim of this study was to evaluate the
extent to which clinical and biological anomalies associated with the
establishment of the metabolic syndrome modulate cholesterol efflux capacity and
contribute to development of atherosclerosis. METHODS AND RESULTS: This study
involved patients (n=1202) displaying atherogenic dyslipidemia in primary
prevention who were referred to our prevention center. Among these patients, 25%
presented at least 3 criteria of the metabolic syndrome, as defined by the
National Cholesterol Education Program Adult Treatment Panel III. We measured the
capacity of 40-fold diluted serum to mediate cholesterol efflux from cholesterol
loaded human THP-1 macrophages. Cholesterol efflux capacity was reduced
progressively by 4% to 11% (P<0.0001) as a function of the increasing number of
coexisting criteria for the metabolic syndrome from 1 to 5. This observation was
primarily related to reductions in scavenger receptor class B member 1 and ATP
binding cassette subfamily G member 1-dependent efflux. Multivariate analyses
indicate that serum efflux capacity was significantly associated with established
metabolic syndrome (odds ratio 0.45; 95% CI 0.28-0.72; P=0.009) independent of
age, low-density lipoprotein cholesterol, status with regard to lipid-lowering
therapy, smoking status, and alcohol consumption. CONCLUSIONS: Our study revealed
that individual criteria of metabolic syndrome are closely related
synergistically to cholesterol efflux capacity. In addition, established
metabolic syndrome and cholesterol efflux capacity were independently associated
with clinical features of atherosclerosis.
PMID- 27881420
TI - Elongation of Long-Chain Fatty Acid Family Member 6 (Elovl6)-Driven Fatty Acid
Metabolism Regulates Vascular Smooth Muscle Cell Phenotype Through AMP-Activated
Protein Kinase/Kruppel-Like Factor 4 (AMPK/KLF4) Signaling.
AB - BACKGROUND: Fatty acids constitute the critical components of cell structure and
function, and dysregulation of fatty acid composition may exert diverging
vascular effects including proliferation, migration, and differentiation of
vascular smooth muscle cells (VSMCs). However, direct evidence for this
hypothesis has been lacking. We investigated the role of elongation of long-chain
fatty acid member 6 (Elovl6), a rate-limiting enzyme catalyzing the elongation of
saturated and monounsaturated long-chain fatty acid, in the regulation of
phenotypic switching of VSMC. METHODS AND RESULTS: Neointima formation following
wire injury was markedly inhibited in Elovl6-null (Elovl6-/-) mice, and cultured
VSMCs with siRNA-mediated knockdown of Elovl6 was barely responsive to PDGF-BB.
Elovl6 inhibition induced cell cycle suppressors p53 and p21 and reduced the
mammalian targets of rapamycin (mTOR) phosphorylation and VSMC marker expression.
These changes are ascribed to increased palmitate levels and reduced oleate
levels, changes that lead to reactive oxygen species (ROS) production and
resulting AMP-activated protein kinase (AMPK) activation. Notably, Elovl6
inhibition robustly induced the pluripotency gene Kruppel-like factor 4 (KLF4)
expression in VSMC, and KLF4 knockdown significantly attenuated AMPK-induced
phenotypic switching of VSMC, indicating that KLF4 is a bona fide target of AMPK.
CONCLUSIONS: We demonstrate for the first time that dysregulation of Elovl6
driven long-chain fatty acid metabolism induces phenotypic switching of VSMC via
ROS production and AMPK/KLF4 signaling that leads to growth arrest and
downregulation of VSMC marker expression. The modulation of Elovl6-mediated
cellular processes may provide an intriguing approach for tackling
atherosclerosis and postangioplasty restenosis.
PMID- 27881423
TI - Association of Systemic Arterial Properties With Right Ventricular Morphology:
The Multi-Ethnic Study of Atherosclerosis (MESA)-Right Ventricle Study.
AB - BACKGROUND: Systemic arterial stiffness is recognized as a major contributor to
development of left ventricular dysfunction and failure; however, the
relationship of systemic arterial properties and the right ventricle (RV) is
unknown. METHODS AND RESULTS: The associations between systemic arterial measures
(total arterial compliance [TAC], systemic vascular resistance [SVR], and aortic
augmentation index [AI]) and RV morphology (mass, end-systolic [RVESV] and end
diastolic volume [RVEDV], and ejection fraction [RVEF]) were examined using data
from the Multi-Ethnic Study of Atherosclerosis. All analyses were adjusted for
anthropometric, demographic, and clinical variables and the corresponding left
ventricular parameter. A total of 3842 subjects without clinical cardiovascular
disease were included with a mean age of 61 years, 48% male, 39% non-Hispanic
white, 25% Chinese-American, 23% Hispanic, and 13% black. RV measures were within
normal range for age and sex. A 1-mL/mm Hg decrease in TAC was associated with
3.9-mL smaller RVESV, 7.6-mL smaller RVEDV, and 2.4-g lower RV mass. A 5-Wood
unit increase in SVR was associated with 0.6-mL decrease in RVESV, 1.7-mL
decrease in RVEDV, and 0.4-g decrease in RV mass. A 1% increase in AI was
associated with 0.2-mL decrease in RVEDV. We found significant effect
modification by age, sex, and race for some of these relationships, with males,
whites, and younger individuals having greater decreases in RV volumes and mass.
CONCLUSIONS: Markers of increased systemic arterial load were associated with
smaller RV volumes and lower RV mass in a population of adults without clinical
cardiovascular disease.
PMID- 27881424
TI - Temporal Reduction in Chronotropic Index Predicts Risk of Cardiovascular Death
Among Healthy Middle-Aged Men: a 28-Year Follow-Up Study.
AB - BACKGROUND: Chronotropic index is a standardized measure of heart rate (HR)
increment during exercise that reflects the combined effects of age, resting HR,
and physical fitness. Low chronotropic index has been reported to predict disease
and death. We tested whether temporal change in chronotropic index over 7 years
influenced risk of cardiovascular death through up to 28 years. METHODS AND
RESULTS: Chronotropic index was calculated ([achieved maximal HR-resting HR]/[age
predicted maximal HR-resting HR]) after a symptom-limited bicycle ECG exercise
test in 1420 healthy men at 2 examinations 7 years apart, in 1972 and 1979.
Events of cardiovascular death were registered by manual scrutiny of all
participants' hospital charts and the Norwegian Cause of Death Registry. The
participants were divided into quartiles of temporal change in chronotropic
index, with quartile one having the most negative value. Cox proportional hazard
regression models were used to estimate risks and adjusted for classical
cardiovascular risk factors. Incidence of cardiovascular death was 310 (22%)
during median of 21 years of follow-up. After multivariable adjustment, and
comparison with quartile four (mean +0.11), quartiles one (-0.16), two (-0.04),
and three (+0.02) were associated with hazard ratios 1.50 (95% CI 1.10-2.05),
1.10 (0.79-1.53), and 1.04 (0.74-1.45) for cardiovascular death. Results remained
robust also after exclusion of 31 participants with exercise ECG-induced signs of
coronary ischemia. CONCLUSIONS: Temporal reduction in chronotropic index was
associated with increased long-term risk of cardiovascular death and might be a
clinically important predictor when assessing risk in healthy individuals over a
longer time.
PMID- 27881425
TI - Relationship Between Language Preference and Intravenous Thrombolysis Among Acute
Ischemic Stroke Patients.
AB - BACKGROUND: Approximately 20% of the US population primarily speaks a language
other than English at home. Yet the effect of language preference on treatment of
acute ischemic stroke (AIS) patients remains unknown. We aimed to evaluate the
influence of language preference on AIS patients' receipt of intravenous (IV)
thrombolysis. METHODS AND RESULTS: We analyzed data from 3894 AIS patients who
participated in the American Heart Association "Get With The Guidelines(r)
Stroke" program at our hospital from January 1, 2003 to April 30, 2014.
Information included patients' language in which they preferred to receive
medical care. We used descriptive statistics and stepwise logistic regression
models to examine associations between patients' language preference and receipt
of IV thrombolysis, adjusting for relevant covariates. A total of 306/3295 (9.3%)
AIS patients preferred to speak a non-English language and represented 25
different languages. Multivariable analyses adjusting for other socioeconomic
factors showed that non-English-preferring patients were more likely than English
preferring patients to receive IV thrombolysis (OR=1.64; CI=1.09-2.48; P=0.02).
However, in models that also included age, sex, and initial NIH Stroke Scale,
patients' language preference was no longer significant (OR 1.38; CI=0.88-2.15;
P=0.16), but NIH Stroke Scale was strongly associated with receiving IV
thrombolysis (OR=1.15 per point; CI=1.13-1.16; P<0.0001). CONCLUSIONS: Contrary
to our hypothesis, non-English-preferring was not associated with lower rates of
IV thrombolysis among AIS patients once initial stroke severity was accounted
for.
PMID- 27881426
TI - Contemporary Trends and Age-Specific Sex Differences in Management and Outcome
for Patients With ST-Segment Elevation Myocardial Infarction.
AB - BACKGROUND: Age- and sex-specific differences exist in the treatment and outcome
of ST-elevation myocardial infarction (STEMI). We sought to describe age- and sex
matched contemporary trends of in-hospital management and outcome of patients
with STEMI. METHODS AND RESULTS: We analyzed data from 5 Italian nationwide
prospective registries, conducted between 2001 and 2014, including consecutive
patients with STEMI. All the analyses were age- and sex-matched, considering 4
age classes: <55, 55 to 64, 65 to 74, and >=75 years. A total of 13 235 patients
were classified as having STEMI (72.1% men and 27.9% women). A progressive shift
from thrombolysis to primary percutaneous coronary intervention occurred over
time, with a concomitant increase in overall reperfusion rates (P for trend
<0.0001), which was consistent across sex and age classes. The crude rates of in
hospital death were 3.2% in men and 8.4% in women (P<0.0001), with a significant
increase over age classes for both sexes and a significant decrease over time for
both sexes (all P for trend <0.01). On multivariable analysis, age (odds ratio
1.09, 95% CI 1.07-1.10, P<0.0001) and female sex (odds ratio 1.44, 95% CI 1.07
1.93, P=0.009) were found to be significantly associated with in-hospital
mortality after adjustment for other risk factors, but no significant interaction
between these 2 variables was observed (P for interaction=0.61). CONCLUSIONS:
Despite a nationwide shift from thrombolytic therapy to primary percutaneous
coronary intervention for STEMI affecting both sexes and all ages, women continue
to experience higher in-hospital mortality than men, irrespective of age.
PMID- 27881427
TI - Ischemic Stroke Rate Increases in Young Adults: Evidence for a Generational
Effect?
AB - BACKGROUND: The incidence rates of ischemic stroke and ST-segment elevation
myocardial infarction (STEMI) have decreased significantly in the United States
since 1950. However, there is evidence of flattening of this trend or increasing
rates for stroke in patients younger than 50 years. The objective of this study
was to examine the changes in incidence rates of stroke and STEMI using an age
period-cohort model with statewide data from New Jersey. METHODS AND RESULTS: We
obtained stroke and STEMI data for the years 1995-2014 from the Myocardial
Infarction Data Acquisition System, a database of hospital discharges in New
Jersey. Rates by age for the time periods 1994-1999, 2000-2004, 2005-2009, and
2010-2014 were obtained using census estimates as denominators for each age group
and period. The rate of stroke more than doubled in patients aged 35 to 39 years
from 1995-1999 to 2010-2014 (rate ratio [RR], 2.47; 95% CI, 2.07-2.96
[P<0.0001]). We also found increased rates of stroke in those aged 40 to 44, 45
to 49, and 50 to 54 years. Strokes rates in those older than 55 years decreased
during these time periods. Those born from 1945-1954 had lower age-adjusted rates
of stroke than those born both in the prior 20 years and in the following 20
years. STEMI rates, in contrast, decreased in all age groups and in each
successive birth cohort. CONCLUSIONS: There appears to be a significant birth
cohort effect in the risk of stroke, where patients born from 1945-1954 have
lower age-adjusted rates of stroke compared with those born in earlier and later
years.
PMID- 27881429
TI - A review of validation strategies for computational drug repositioning.
AB - Repositioning of previously approved drugs is a promising methodology because it
reduces the cost and duration of the drug development pipeline and reduces the
likelihood of unforeseen adverse events. Computational repositioning is
especially appealing because of the ability to rapidly screen candidates in
silico and to reduce the number of possible repositioning candidates. What is
unclear, however, is how useful such methods are in producing clinically
efficacious repositioning hypotheses. Furthermore, there is no agreement in the
field over the proper way to perform validation of in silico predictions, and in
fact no systematic review of repositioning validation methodologies. To address
this unmet need, we review the computational repositioning literature and capture
studies in which authors claimed to have validated their work. Our analysis
reveals widespread variation in the types of strategies, predictions made and
databases used as 'gold standards'. We highlight a key weakness of the most
commonly used strategy and propose a path forward for the consistent analytic
validation of repositioning techniques.
PMID- 27881430
TI - A comprehensive review and comparison of different computational methods for
protein remote homology detection.
AB - Protein remote homology detection is one of the most fundamental and central
problems for the studies of protein structures and functions, aiming to detect
the distantly evolutionary relationships among proteins via computational
methods. During the past decades, many computational approaches have been
proposed to solve this important task. These methods have made a substantial
contribution to protein remote homology detection. Therefore, it is necessary to
give a comprehensive review and comparison on these computational methods. In
this article, we divide these computational approaches into three categories,
including alignment methods, discriminative methods and ranking methods. Their
advantages and disadvantages are discussed in a comprehensive perspective, and
their performance is compared on widely used benchmark data sets. Finally, some
open questions in this field are further explored and discussed.
PMID- 27881432
TI - What (not) to expect when classifying rare events.
AB - When building classifiers, it is natural to require that the classifier correctly
estimates the event probability (Constraint 1), that it has equal sensitivity and
specificity (Constraint 2) or that it has equal positive and negative predictive
values (Constraint 3). We prove that in the balanced case, where there is equal
proportion of events and non-events, any classifier that satisfies one of these
constraints will always satisfy all. Such unbiasedness of events and non-events
is much more difficult to achieve in the case of rare events, i.e. the situation
in which the proportion of events is (much) smaller than 0.5. Here, we prove that
it is impossible to meet all three constraints unless the classifier achieves
perfect predictions. Any non-perfect classifier can only satisfy at most one
constraint, and satisfying one constraint implies violating the other two
constraints in a specific direction. Our results have implications for
classifiers optimized using g-means or F1-measure, which tend to satisfy
Constraints 2 and 1, respectively. Our results are derived from basic probability
theory and illustrated with simulations based on some frequently used
classifiers.
PMID- 27881428
TI - Genome, transcriptome and proteome: the rise of omics data and their integration
in biomedical sciences.
AB - Advances in the technologies and informatics used to generate and process large
biological data sets (omics data) are promoting a critical shift in the study of
biomedical sciences. While genomics, transcriptomics and proteinomics, coupled
with bioinformatics and biostatistics, are gaining momentum, they are still, for
the most part, assessed individually with distinct approaches generating
monothematic rather than integrated knowledge. As other areas of biomedical
sciences, including metabolomics, epigenomics and pharmacogenomics, are moving
towards the omics scale, we are witnessing the rise of inter-disciplinary data
integration strategies to support a better understanding of biological systems
and eventually the development of successful precision medicine. This review cuts
across the boundaries between genomics, transcriptomics and proteomics,
summarizing how omics data are generated, analysed and shared, and provides an
overview of the current strengths and weaknesses of this global approach. This
work intends to target students and researchers seeking knowledge outside of
their field of expertise and fosters a leap from the reductionist to the global
integrative analytical approach in research.
PMID- 27881431
TI - Predictive approaches for drug combination discovery in cancer.
AB - Drug combinations have been proposed as a promising therapeutic strategy to
overcome drug resistance and improve efficacy of monotherapy regimens in cancer.
This strategy aims at targeting multiple components of this complex disease.
Despite the increasing number of drug combinations in use, many of them were
empirically found in the clinic, and the molecular mechanisms underlying these
drug combinations are often unclear. These challenges call for rational,
systematic approaches for drug combination discovery. Although high-throughput
screening of single-agent therapeutics has been successfully implemented, it is
not feasible to test all possible drug combinations, even for a reduced subset of
anticancer drugs. Hence, in vitro and in vivo screening of a large number of drug
combinations are not practical. Therefore, devising computational methods to
efficiently explore the space of drug combinations and to discover efficacious
combinations has attracted a lot of attention from the scientific community in
the past few years. Nevertheless, in the absence of consensus regarding the
computational approaches used to predict efficacious drug combinations, a
plethora of methods, techniques and hypotheses have been developed to date, while
the research field lacks an elaborate categorization of the existing
computational methods and the available data sources. In this manuscript, we
review and categorize the state-of-the-art computational approaches for drug
combination prediction, and elaborate on the limitations of these methods and the
existing challenges. We also discuss about the recent pan-cancer drug combination
data sets and their importance in revising the available methods or developing
more performant approaches.
PMID- 27881433
TI - Multifaceted DMRT1 Reveals Additional Roles in Spermatogenesis.
PMID- 27881434
TI - Zika related microcephaly may appear after birth, study finds.
PMID- 27881435
TI - Healthcare comes to standstill in east Aleppo as last hospitals are destroyed.
PMID- 27881436
TI - Knockdown of histidine-rich calcium-binding protein (HRC) suppresses liver
fibrosis by inhibiting the activation of hepatic stellate cells.
AB - The histidine-rich calcium-binding protein (HRC) is a regulator of Ca2+
homeostasis and it plays a significant role in hepatocellular carcinoma (HCC)
progression. However, the relationship between HRC and liver fibrogenesis is
still unknown. Our data demonstrates that HRC was upregulated in fibrotic liver
and activated hepatic stellate cells (HSCs). TGF-beta treatment increased alpha
SMA and HRC expression dose-dependently in HSCs. Repression of HRC reduced alpha
SMA, CTGF and collagen expression, and inhibited HSC proliferation and migration.
In addition, we found that the anti-fibrosis effect of HRC knockdown was
associated with endoplasmic reticulum (ER) stress. Silencing of HRC decreased the
expression of ER stress and autophagy markers. Moreover, ER stress agonist
thapsigargin (TG) enhanced, whereas ER stress antagonist 4-phenylbutyric acid (4
PBA) alleviated HSCs activation and autophagy. In conclusion, these data indicate
that depletion of HRC inhibited HSC activation through the ER stress pathway, and
HRC may be a potential regulator of liver fibrosis.
PMID- 27881437
TI - Casein kinase II is required for proper cell division and acts as a negative
regulator of centrosome duplication in Caenorhabditis elegans embryos.
AB - Centrosomes are the primary microtubule-organizing centers that orchestrate
microtubule dynamics during the cell cycle. The correct number of centrosomes is
pivotal for establishing bipolar mitotic spindles that ensure accurate
segregation of chromosomes. Thus, centrioles must duplicate once per cell cycle,
one daughter per mother centriole, the process of which requires highly
coordinated actions among core factors and modulators. Protein phosphorylation is
shown to regulate the stability, localization and activity of centrosome
proteins. Here, we report the function of Casein kinase II (CK2) in early
Caenorhabditis elegans embryos. The catalytic subunit (KIN-3/CK2alpha) of CK2
localizes to nuclei, centrosomes and midbodies. Inactivating CK2 leads to cell
division defects, including chromosome missegregation, cytokinesis failure and
aberrant centrosome behavior. Furthermore, depletion or inhibiting kinase
activity of CK2 results in elevated ZYG-1 levels at centrosomes, restoring
centrosome duplication and embryonic viability to zyg-1 mutants. Our data suggest
that CK2 functions in cell division and negatively regulates centrosome
duplication in a kinase-dependent manner.
PMID- 27881438
TI - Ole1, fatty acid desaturase, is required for Atg9 delivery and isolation membrane
expansion during autophagy in Saccharomyces cerevisiae.
AB - Macroautophagy, a major degradation pathway of cytoplasmic components, is carried
out through formation of a double-membrane structure, the autophagosome. Although
the involvement of specific lipid species in the formation process remains
largely obscure, we recently showed that mono-unsaturated fatty acids (MUFA)
generated by stearoyl-CoA desaturase 1 (SCD1) are required for autophagosome
formation in mammalian cells. To obtain further insight into the role of MUFA in
autophagy, in this study we analyzed the autophagic phenotypes of the yeast
mutant of OLE1, an orthologue of SCD1. Deltaole1 cells were defective in nitrogen
starvation-induced autophagy, and the Cvt pathway, when oleic acid was not
supplied. Defects in elongation of the isolation membrane led to a defect in
autophagosome formation. In the absence of Ole1, the transmembrane protein Atg9
was not able to reach the pre-autophagosomal structure (PAS), the site of
autophagosome formation. Thus, autophagosome formation requires Ole1 during the
delivery of Atg9 to the PAS/autophagosome from its cellular reservoir.
PMID- 27881439
TI - Optimisation of high-quality total ribonucleic acid isolation from cartilaginous
tissues for real-time polymerase chain reaction analysis.
AB - OBJECTIVES: Studies which consider the molecular mechanisms of degeneration and
regeneration of cartilaginous tissues are seriously hampered by problematic
ribonucleic acid (RNA) isolations due to low cell density and the dense,
proteoglycan-rich extracellular matrix of cartilage. Proteoglycans tend to co
purify with RNA, they can absorb the full spectrum of UV light and they are
potent inhibitors of polymerase chain reaction (PCR). Therefore, the objective of
the present study is to compare and optimise different homogenisation methods and
RNA isolation kits for an array of cartilaginous tissues. MATERIALS AND METHODS:
Tissue samples such as the nucleus pulposus (NP), annulus fibrosus (AF),
articular cartilage (AC) and meniscus, were collected from goats and homogenised
by either the MagNA Lyser or Freezer Mill. RNA of duplicate samples was
subsequently isolated by either TRIzol (benchmark), or the RNeasy Lipid Tissue,
RNeasy Fibrous Tissue, or Aurum Total RNA Fatty and Fibrous Tissue kits. RNA
yield, purity, and integrity were determined and gene expression levels of type
II collagen and aggrecan were measured by real-time PCR. RESULTS: No differences
between the two homogenisation methods were found. RNA isolation using the RNeasy
Fibrous and Lipid kits resulted in the purest RNA (A260/A280 ratio), whereas
TRIzol isolations resulted in RNA that is not as pure, and show a larger
difference in gene expression of duplicate samples compared with both RNeasy
kits. The Aurum kit showed low reproducibility. CONCLUSION: For the extraction of
high-quality RNA from cartilaginous structures, we suggest homogenisation of the
samples by the MagNA Lyser. For AC, NP and AF we recommend the RNeasy Fibrous
kit, whereas for the meniscus the RNeasy Lipid kit is advised.Cite this article:
M. Peeters, C. L. Huang, L. A. Vonk, Z. F. Lu, R. A. Bank, M. N. Helder, B.
Zandieh Doulabi. Optimisation of high-quality total ribonucleic acid isolation
from cartilaginous tissues for real-time polymerase chain reaction analysis. Bone
Joint Res 2016;5:560-568. DOI: 10.1302/2046-3758.511.BJR-2016-0033.R3.
PMID- 27881440
TI - Culturing bone marrow cells with dexamethasone and ascorbic acid improves
osteogenic cell sheet structure.
AB - OBJECTIVES: To assess the structure and extracellular matrix molecule expression
of osteogenic cell sheets created via culture in medium with both dexamethasone
(Dex) and ascorbic acid phosphate (AscP) compared either Dex or AscP alone.
METHODS: Osteogenic cell sheets were prepared by culturing rat bone marrow
stromal cells in a minimal essential medium (MEM), MEM with AscP, MEM with Dex,
and MEM with Dex and AscP (Dex/AscP). The cell number and messenger (m)RNA
expression were assessed in vitro, and the appearance of the cell sheets was
observed after mechanical retrieval using a scraper. beta-tricalcium phosphate
(beta-TCP) was then wrapped with the cell sheets from the four different groups
and subcutaneously implanted into rats. RESULTS: After mechanical retrieval, the
osteogenic cell sheets from the MEM, MEM with AscP, and MEM with Dex groups
appeared to be fragmented or incomplete structures. The cell sheets cultured with
Dex/AscP remained intact after mechanical retrieval, without any identifiable
tears. Culture with Dex/AscP increased the mRNA and protein expression of
extracellular matrix proteins and cell number compared with those of the other
three groups. More bridging bone formation was observed after transplantation of
the beta-TCP scaffold wrapped with cell sheets cultured with Dex/AscP, than in
the other groups. CONCLUSIONS: These results suggest that culture with Dex/AscP
improves the mechanical integrity of the osteogenic cell sheets, allowing
retrieval of the confluent cells in a single cell sheet structure. This method
may be beneficial when applied in cases of difficult tissue reconstruction, such
as nonunion, bone defects, and osteonecrosis.Cite this article: M. Akahane, T.
Shimizu, T. Kira, T. Onishi, Y. Uchihara, T. Imamura, Y. Tanaka. Culturing bone
marrow cells with dexamethasone and ascorbic acid improves osteogenic cell sheet
structure. Bone Joint Res 2016;5:569-576. DOI: 10.1302/2046-3758.511.BJR-2016
0013.R1.
PMID- 27881441
TI - Evaluation of the histological and mechanical features of tendon healing in a
rabbit model with the use of second-harmonic-generation imaging and tensile
testing.
AB - OBJECTIVES: This study aimed to evaluate the histological and mechanical features
of tendon healing in a rabbit model with second-harmonic-generation (SHG) imaging
and tensile testing. MATERIALS AND METHODS: A total of eight male Japanese white
rabbits were used for this study. The flexor digitorum tendons in their right leg
were sharply transected, and then were repaired by intratendinous stitching. At
four weeks post-operatively, the rabbits were killed and the flexor digitorum
tendons in both right and left legs were excised and used as specimens for tendon
healing (n = 8) and control (n = 8), respectively. Each specimen was examined by
SHG imaging, followed by tensile testing, and the results of the two testing
modalities were assessed for correlation. RESULTS: While the SHG light intensity
of the healing tendon samples was significantly lower than that of the uninjured
tendon samples, 2D Fourier transform SHG images showed a clear difference in
collagen fibre structure between the uninjured and the healing samples, and among
the healing samples. The mean intensity of the SHG image showed a moderate
correlation (R2 = 0.37) with Young's modulus obtained from the tensile testing.
CONCLUSION: Our results indicate that SHG microscopy may be a potential indicator
of tendon healing.Cite this article: E. Hase, K. Sato, D. Yonekura, T.
Minamikawa, M. Takahashi, T. Yasui. Evaluation of the histological and mechanical
features of tendon healing in a rabbit model with the use of second-harmonic
generation imaging and tensile testing. Bone Joint Res 2016;5:577-585. DOI:
10.1302/2046-3758.511.BJR-2016-0162.R1.
PMID- 27881442
TI - Saturated fat and heart disease.
PMID- 27881443
TI - Exploitation of EP300 and CREBBP Lysine Acetyltransferases by Cancer.
AB - p300 and CREB-binding protein (CBP), two homologous lysine acetyltransferases in
metazoans, have a myriad of cellular functions. They exert their influence mainly
through their roles as transcriptional regulators but also via nontranscriptional
effects inside and outside of the nucleus on processes such as DNA replication
and metabolism. The versatility of p300/CBP as molecular tools has led to their
exploitation by viral oncogenes for cellular transformation and by cancer cells
to achieve and maintain an oncogenic phenotype. How cancer cells use p300/CBP in
their favor varies depending on the cellular context and is evident by the
growing list of loss- and gain-of-function genetic alterations in p300 and CBP in
solid tumors and hematological malignancies. Here, we discuss the biological
functions of p300/CBP and how disruption of these functions by mutations and
alterations in expression or subcellular localization contributes to the cancer
phenotype.
PMID- 27881444
TI - The Regulation of Cellular Functions by the p53 Protein: Cellular Senescence.
AB - Transformed cells have properties that allow them to survive and proliferate
inappropriately. These characteristics often arise as a result of mutations
caused by DNA damage. p53 suppresses transformation by removing the proliferative
or survival capacity of cells with DNA damage or inappropriate cell-cycle
progression. Cellular senescence, marked by morphological and gene expression
changes, is a critical component of p53-mediated tumor suppression. In response
to stress, p53 can facilitate an arrest and senescence program in cells exposed
to stresses such as DNA damage and oncogene activation, preventing
transformation. Senescent cells are evident in precancerous adenoma-type lesions,
whereas proliferating, malignant tumors have bypassed senescence, either by p53
mutation or inactivation of the p53 pathway by other means. Tumors that have
retained wild-type p53 often show a p53-mediated senescence response to
chemotherapy. This response is actually detrimental in some tumor types, as
senescent cells can drive relapse by persisting and producing cytokines and
chemokines through an acquired secretory phenotype.
PMID- 27881445
TI - Fostering 21st-Century Evolutionary Reasoning: Teaching Tree Thinking to
Introductory Biology Students.
AB - The ability to interpret and reason from Tree of Life (ToL) diagrams has become a
vital component of science literacy in the 21st century. This article reports on
the effectiveness of a research-based curriculum, including an instructional
booklet, laboratory, and lectures, to teach the fundamentals of such tree
thinking in an introductory biology class for science majors. We present the
results of a study involving 117 undergraduates who received either our new
research-based tree-thinking curriculum or business-as-usual instruction. We
found greater gains in tree-thinking abilities for the experimental instruction
group than for the business-as-usual group, as measured by performance on our
novel assessment instrument. This was a medium size effect. These gains were
observed on an unannounced test that was administered ~5-6 weeks after the
primary instruction in tree thinking. The nature of students' postinstruction
difficulties with tree thinking suggests that the critical underlying concept for
acquiring expert-level competence in this area is understanding that any specific
phylogenetic tree is a subset of the complete, unimaginably large ToL.
PMID- 27881446
TI - Practical Strategies for Collaboration across Discipline-Based Education Research
and the Learning Sciences.
AB - Rather than pursue questions related to learning in biology from separate camps,
recent calls highlight the necessity of interdisciplinary research agendas.
Interdisciplinary collaborations allow for a complicated and expanded approach to
questions about learning within specific science domains, such as biology.
Despite its benefits, interdisciplinary work inevitably involves challenges. Some
such challenges originate from differences in theoretical and methodological
approaches across lines of work. Thus, aims at developing successful
interdisciplinary research programs raise important considerations regarding
methodologies for studying biology learning, strategies for approaching
collaborations, and training of early-career scientists. Our goal here is to
describe two fields important to understanding learning in biology, discipline
based education research and the learning sciences. We discuss differences
between each discipline's approach to biology education research and the benefits
and challenges associated with incorporating these perspectives in a single
research program. We then propose strategies for building productive
interdisciplinary collaboration.
PMID- 27881447
TI - CMKLR1 activation ex vivo does not increase proportionally to serum total
chemerin in obese humans.
AB - Prochemerin is the inactive precursor of the adipokine chemerin. Proteolytic
processing is obligatory for the conversion of prochemerin into active chemerin
and subsequent regulation of cellular processes via the chemokine-like receptor 1
(CMKLR1). Elevated plasma or serum chemerin concentrations and differential
processing of prochemerin have been reported in obese humans. The impact of these
changes on CMKLR1 signalling in humans is unknown. The objective of this pilot
study was to develop a cellular bioassay to measure CMKLR1 activation by chemerin
present in human serum and to characterise how obesity modifies serum activation
of CMKLR1 under fasted and fed conditions. Blood samples were collected from
control (N = 4, BMI 20-25) and obese (N = 4, BMI >30) female subjects after an
overnight fast (n = 2) and at regular intervals (n = 7) following consumption of
breakfast over a period of 6 h. A cellular CMKLR1-luminescent reporter assay and
a pan-chemerin ELISA were used to determine CMKLR1 activation and total chemerin
concentrations, respectively. Serum total chemerin concentration (averaged across
all samples) was higher in obese vs control subjects (17.9 +/- 1.8 vs 10.9 +/-
0.5 nM, P < 0.05), but serum activation of CMKLR1 was similar in both groups. The
CMKLR1 activation/total chemerin ratio was lower in obese vs control subjects
(0.33 +/- 0.04 vs 0.58 +/- 0.05, P < 0.05). After breakfast, serum total chemerin
or CMKLR1 activation did not differ from baseline values. In conclusion, the
unexpected observation that obese serum activation of CMKLR1 did not match
increased total chemerin concentrations suggests impaired processing to and/or
enhanced degradation of active chemerin in serum of obese humans.
PMID- 27881448
TI - Prion-Like Polymerization in Immunity and Inflammation.
AB - The innate immune system relies on receptors that sense common signs of infection
to trigger a robust host-defense response. Receptors such as RIG-I and NLRP3
activate downstream adaptors mitochondrial antiviral signaling (MAVS) and
apoptosis-associated speck-like protein (ASC), respectively, to propagate immune
and inflammatory signaling. Recent studies have indicated that both MAVS and ASC
form functional prion-like polymers to propagate immune signaling. Here, we
summarize the biochemical, genetic, and structural studies that characterize the
prion-like behavior of MAVS and ASC in their respective signaling pathways. We
then discuss prion-like polymerization as an evolutionarily conserved mechanism
of signal transduction in innate immunity in light of the similarity between the
NLRP3-ASC, the NLRP3-ASC pathway in mammals, and the NWD2-HET-s pathway in fungi.
We conclude by outlining the unique advantages to signaling through functional
prions and potential future directions in the field.
PMID- 27881450
TI - Glial Fibrillary Acidic Protein Serum Levels Distinguish between Intracerebral
Hemorrhage and Cerebral Ischemia in the Early Phase of Stroke.
AB - BACKGROUND: Recent studies have suggested that glial fibrillary acidic protein
(GFAP) serum concentrations distinguish between intracerebral hemorrhage (ICH)
and ischemic stroke (IS) shortly after symptom onset. In this prospective
multicenter trial we validated GFAP in an independent patient cohort and assessed
the quantitative relationship between GFAP release, bleeding size, and
localization. METHODS: We included patients with a persistent neurological
deficit (NIH Stroke Scale >=4) suggestive of stroke within 6 h of symptom onset.
Blood samples were drawn at hospital admission. GFAP serum concentrations were
measured using an electrochemiluminometric immunoassay. Primary endpoint was the
final diagnosis established at hospital discharge (ICH, IS, or stroke mimic).
RESULTS: 202 patients were included (45 with ICH, 146 with IS, 11 stroke mimics).
GFAP concentrations were significantly higher in ICH than in IS patients [median
(interquartile range) 0.16 MUg/L (0.04-3.27) vs 0.01 MUg/L (0.01-0.01), P
<0.001]. A GFAP cutoff of 0.03 MUg/L provided a sensitivity of 77.8% and a
specificity of 94.2% in distinguishing ICH from IS and stroke mimics [ROC
analysis area under the curve 0.872 (95% CI, 0.802-0.942), P <0.001]. GFAP serum
concentrations were positively correlated with ICH volume. Lobar ICH volumes were
larger and thus associated with higher GFAP concentrations as compared to deep
ICH. CONCLUSIONS: Serum GFAP was confirmed to be a biomarker indicating ICH in
patients presenting with acute stroke symptoms. Very small ICH may be missed
owing to less tissue destruction.
PMID- 27881451
TI - Demystifying HDL Cholesterol-A "Human Knockout" to the Rescue?
PMID- 27881456
TI - An older man with thoracic back pain.
PMID- 27881457
TI - Voluntary euthanasia deaths in Quebec outstrip predictions by three to one.
PMID- 27881449
TI - Primary Cilia and Mammalian Hedgehog Signaling.
AB - It has been a decade since it was discovered that primary cilia have an essential
role in Hedgehog (Hh) signaling in mammals. This discovery came from screens in
the mouse that identified a set of genes that are required for both normal Hh
signaling and for the formation of primary cilia. Since then, dozens of mouse
mutations have been identified that disrupt cilia in a variety of ways and have
complex effects on Hedgehog signaling. Here, we summarize the genetic and
developmental studies used to deduce how Hedgehog signal transduction is linked
to cilia and the complex effects that perturbation of cilia structure can have on
Hh signaling. We conclude by describing the current status of our understanding
of the cell-type-specific regulation of ciliogenesis and how that determines the
ability of cells to respond to Hedgehog ligands.
PMID- 27881458
TI - Dosage of estradiol, bone and body composition in Turner syndrome: a 5-year
randomized controlled clinical trial.
AB - OBJECTIVE: Reduced bone mineral density (BMD) is seen in Turner syndrome (TS)
with an increased risk of fractures, and body composition is characterized by
increased body fat and decreased lean body mass. To evaluate the effect of two
different doses of oral 17B-estradiol in young TS women on bone mineral density
(BMD), biochemical markers of bone turnover and body composition with the
hypothesis of a positive effect of the higher dose. DESIGN: A double-blind 5-year
randomized controlled clinical trial. 20 young TS women participated. Inclusion
criteria were diagnosis of TS, age 15-25 years and current treatment with 2 mg
oral estradiol daily. METHODS: The low-dose (LD) group was administered 2 mg 17B
estradiol/day orally and placebo, the high-dose (HD) group was administered 2 + 2
mg 17B-estradiol/day orally. Main outcome measures were whole body and regional
bone mineral density (BMD), lean body mass (LBM), fat mass (FM) measured yearly
by DXA scan and resorptive and formative bone markers in serum. RESULTS: BMD,
whole body and regional, increased over time with an attenuation toward the end
of the study, and bone turnover markers decreased over time, both with no
differences between the treatment groups (P = 0.2-0.9). LBM increased
significantly more in the HD group (P = 0.02). FM remained stable in both groups.
CONCLUSIONS: A steady increase in BMD over time in TS was found similar to
healthy young women. The higher estrogen dose did not differentially affect BMD
or bone markers. The positive effect on body composition may have long-ranging
health benefits in TS.
PMID- 27881459
TI - A typical sign on a plain knee radiograph.
PMID- 27881460
TI - Plans for improving care are being derailed by hospital deficits, GP leader
warns.
PMID- 27881462
TI - Seven day service policy lacked transparency, review concludes.
PMID- 27881461
TI - Lysosomal dysfunction disrupts presynaptic maintenance and restoration of
presynaptic function prevents neurodegeneration in lysosomal storage diseases.
AB - Lysosomal storage disorders (LSDs) are inherited diseases characterized by
lysosomal dysfunction and often showing a neurodegenerative course. There is no
cure to treat the central nervous system in LSDs. Moreover, the mechanisms
driving neuronal degeneration in these pathological conditions remain largely
unknown. By studying mouse models of LSDs, we found that neurodegeneration
develops progressively with profound alterations in presynaptic structure and
function. In these models, impaired lysosomal activity causes massive perikaryal
accumulation of insoluble alpha-synuclein and increased proteasomal degradation
of cysteine string protein alpha (CSPalpha). As a result, the availability of
both alpha-synuclein and CSPalpha at nerve terminals strongly decreases, thus
inhibiting soluble NSF attachment receptor (SNARE) complex assembly and synaptic
vesicle recycling. Aberrant presynaptic SNARE phenotype is recapitulated in mice
with genetic ablation of one allele of both CSPalpha and alpha-synuclein. The
overexpression of CSPalpha in the brain of a mouse model of mucopolysaccharidosis
type IIIA, a severe form of LSD, efficiently re-established SNARE complex
assembly, thereby ameliorating presynaptic function, attenuating
neurodegenerative signs, and prolonging survival. Our data show that
neurodegenerative processes associated with lysosomal dysfunction may be
presynaptically initiated by a concomitant reduction in alpha-synuclein and
CSPalpha levels at nerve terminals. They also demonstrate that neurodegeneration
in LSDs can be slowed down by re-establishing presynaptic functions, thus
identifying synapse maintenance as a novel potentially druggable target for brain
treatment in LSDs.
PMID- 27881466
TI - Caloric restriction improves health-related quality of life in healthy normal
weight and overweight individuals.
PMID- 27881467
TI - EU to launch new antimicrobial resistance action plan.
PMID- 27881463
TI - Sestrin-3 modulation is essential for therapeutic efficacy of cucurbitacin B in
lung cancer cells.
AB - Many purified compounds from dietary sources have been investigated for their
anticancer activities. The main issue with most agents is their effectiveness at
high doses which generally could not be delivered to humans through dietary
consumption. Here, we observed that cucurbitacin B, a tetracyclic triterpenoid
present in pumpkins, gourds and squashes, exhibits antiproliferative effects on
human non-small cell lung cancer (NSCLC) cells at nanomolar concentrations.
Treatment with cucurbitacin B (0.2-0.6 MUM; 24 h) was found to result in decrease
in the viability of EGFR-wild type (A549 and H1792) and EGFR-mutant lung cancer
cells (H1650 and H1975) and reduction in cell-colonies but had only minimal
effect on normal human bronchial epithelial cells. Treatment with cucurbitacin B
also caused inhibition of PI3K/mTOR and signal transducer and activator of
transcription (STAT)-3 signaling along with simultaneous activation of AMPKalpha
levels in both EGFR-wild type and EGFR-mutant lung cancer cells. Cucurbitacin B
caused specific increase in the protein and mRNA expression of sestrin-3 in EGFR
mutant lung cancer cells, but not in EGFR-wild type cells. Treatment with
cucurbitacin B to sestrin-3 siRNA treated EGFR-mutant cells further amplified the
decrease in cell-viability and caused more sustained G2-phase cell cycle arrest,
suggesting that these effects are mediated partly through sestrin-3. We also
found that sestrin-3 has a role in the induction of apoptosis by cucurbitacin B
in both EGFR-wild type and EGFR-mutant lung cancer cells. These findings suggest
novel mechanism by the modulation of sestrin-3 for the action of cucurbitacin B
and suggest that it could be developed as an agent for therapy of NSCLC.
PMID- 27881468
TI - Elevated ambient temperatures and risk of neural tube defects.
AB - OBJECTIVES: The association between ambient heat and neural tube defects has
received limited attention, despite imminent climate warming this century. We
sought to determine the relationship between elevated outdoor temperatures during
neurogenesis and risk of neural tube defects. METHODS: We carried out a
retrospective cohort study of 887 710 fetuses between 3 and 4 weeks
postconception from the months of April through September for 1988-2012 in
Quebec, Canada. The exposure was maximum daily temperature and the outcome
presence of neural tube defects at delivery. We estimated prevalence ratios (PR)
and 95% CIs for the association between maximum temperature and neural tube
defects in log-binomial regression models adjusted for maternal characteristics.
RESULTS: Relative to 20 degrees C, exposure to temperatures of 30 degrees C was
associated with risk of neural tube defects on day 5 (PR 1.56, 95% CI 1.04 to
2.35) and day 6 (PR 1.49, 95% CI 1.00 to 2.21) of the 4th week postconception,
during the time of neural tube closure. The trend was apparent for spina bifida
and anencephalus/encephalocoele, the main subtypes of neural tube defects.
Temperature during the 3rd week postconception was not associated with neural
tube defects. CONCLUSIONS: Elevated ambient temperatures may be weakly associated
with risk of neural tube defects during tube closure.
PMID- 27881469
TI - The role of intersection and street design on severity of bicycle-motor vehicle
crashes.
AB - BACKGROUND: Safety concerns are a major barrier to cycling. Intersection and
street design variables such as intersection angles and street width might
contribute to the severity of crashes and the safety concerns. In this study we
examined whether these design variables were associated with bicycle-motor
vehicle crashes (BMVC) severity. METHODS: Using the geographical information
system and latitudes/longitudes recorded by the police using a global positioning
device, we extracted intersection angles, street width, bicycle facilities,
posted speed limits and annual average daily traffic from 3266 BMVC data from New
York City police records. Additional variables about BMVC, including age and sex
of the bicyclist, time of the day, road surface conditions, road character,
vehicle type and injury severity, were obtained from police reports. Injury
severity was classified as severe (incapacitating or killed) or non-severe (non
incapacitating, possible injury). The associations between injury severity and
environment design variables were examined using multivariate log-binomial
regression model. FINDINGS: Compared with crashes at orthogonal intersections,
crashes at non-orthogonal intersections had 1.37 times (95% CI 1.05 to 1.80) and
non-intersection street segments had 1.31 times (95% CI 1.01 to 1.70) higher risk
of a severe injury. Crashes that involved a truck or a bus were twice as likely
to result in a severe injury outcome; street width was not significantly
associated with injury severity. CONCLUSION: Crashes at non-orthogonal
intersections and non-intersection segments are more likely to result in higher
injury severity. The findings can be used to improve road design and develop
effective safety interventions.
PMID- 27881470
TI - Modelling primary blast lung injury: current capability and future direction.
AB - Primary blast lung injury frequently complicates military conflict and terrorist
attacks on civilian populations. The fact that it occurs in areas of conflict or
unpredictable mass casualty events makes clinical study in human casualties
implausible. Research in this field is therefore reliant on the use of some form
of biological or non-biological surrogate model. This article briefly reviews the
modelling work undertaken in this field until now and describes the rationale
behind the generation of an in silico physiological model.
PMID- 27881471
TI - Selection Limits to Adaptive Walks on Correlated Landscapes.
AB - Adaptation depends critically on the effects of new mutations and their
dependency on the genetic background in which they occur. These two factors can
be summarized by the fitness landscape. However, it would require testing all
mutations in all backgrounds, making the definition and analysis of fitness
landscapes mostly inaccessible. Instead of postulating a particular fitness
landscape, we address this problem by considering general classes of landscapes
and calculating an upper limit for the time it takes for a population to reach a
fitness peak, circumventing the need to have full knowledge about the fitness
landscape. We analyze populations in the weak-mutation regime and characterize
the conditions that enable them to quickly reach the fitness peak as a function
of the number of sites under selection. We show that for additive landscapes
there is a critical selection strength enabling populations to reach high-fitness
genotypes, regardless of the distribution of effects. This threshold scales with
the number of sites under selection, effectively setting a limit to adaptation,
and results from the inevitable increase in deleterious mutational pressure as
the population adapts in a space of discrete genotypes. Furthermore, we show that
for the class of all unimodal landscapes this condition is sufficient but not
necessary for rapid adaptation, as in some highly epistatic landscapes the
critical strength does not depend on the number of sites under selection;
effectively removing this barrier to adaptation.
PMID- 27881472
TI - An Unexpected Regulatory Cascade Governs a Core Function of the Drosophila PRC1
Chromatin Protein Su(z)2.
AB - Polycomb group (PcG) proteins are major chromatin-bound factors that can read and
modify chromatin states to maintain gene silencing throughout development. Here
we focus on a close homolog of the PcG protein Posterior sex combs to better
understand how these proteins affect regulation. This homolog, called Suppressor
2 of zeste [Su(z)2] is composed of two regions: the N-terminal homology region
(HR), which serves as a hub for protein interactions, and the C-terminal region
(CTR), which is believed to harbor the core activity of compacting chromatin.
Here, we describe our classical genetic studies to dissect the structure of
Su(z)2 Surprisingly, we found that the CTR is dispensable for viability.
Furthermore, the core activity of Su(z)2 seems to reside in the HR instead of the
CTR. Remarkably, our data also suggest a regulatory cascade between CTR and HR of
Su(z)2, which, in turn, may help prioritize the myriad of PcG interactions that
occur with the HR.
PMID- 27881473
TI - Clinicopathological features of neoplasms with neuroendocrine differentiation
occurring in the liver.
AB - BACKGROUND/AIMS: We investigated the clinicopathological features of hepatic
neuroendocrine tumours (NET) and neuroendocrine carcinoma (NEC), which remain
largely unknown. MATERIAL AND METHODS: We examined 1235 tumours from 1048
patients who had undergone curative hepatectomy for liver neoplasms at Kurume
University Hospital. Pathological diagnoses were based on the 2010 WHO
Classification of Tumours of the Digestive System. We performed immunostaining
for hepatocyte markers (eg, hepatocyte paraffin (HepPar)-1), neuroendocrine
markers (eg, chromogranin A (CGA)) and the proliferation marker (Ki-67). RESULTS:
There were four cases of NET G2 (0.38%) and five of hepatic malignant tumours
with an NEC component (HNEC) (0.48%). HNEC cases were classified into three
types, that is, transitional, intermediate and separate types, according to their
histological and immunohistochemical features. In the former two types, the NEC
component intermingled with the moderately to poorly differentiated
hepatocellular carcinoma (HCC) component or intermediate component consisting of
tumour cells showing the colocalisation of CGA and HepPar-1. In the separate
type, the NEC and poorly differentiated HCC components were present separately,
whereas the sarcomatous HCC component was detected in the vicinity of the NEC
component. Ki-67 labelling indices of the NET G2, HCC and NEC components of HNEC
were 6.8%, 14.9% and 58.9%, respectively. CONCLUSIONS: Primary hepatic NET and
NEC are very rare tumours. The NEC component in HNEC showed high proliferative
activity and influenced patient prognoses.
PMID- 27881475
TI - United we stand: big roles for small RNA gene clusters.
AB - Prokaryotes and eukaryotes evolved relatively similar RNA-based molecular
mechanisms to fight potentially deleterious nucleic acids coming from phages,
transposons, or viruses. Short RNAs guide effector complexes toward their targets
to be silenced or eliminated. These short immunity RNAs are transcribed from
clustered loci. Unexpectedly and strikingly, bacterial and eukaryotic immunity
RNA clusters share substantial functional and mechanistic resemblances in
fighting nucleic acid intruders.
PMID- 27881474
TI - Cancer Secretome May Influence BSP and DSP Expression in Human Salivary Gland
Cells.
AB - One of the biggest challenges in managing head and neck cancers, especially
salivary gland cancers, is the identification of secreted biomarkers of the
disease that can be evaluated noninvasively. A relevant source of enriched tumor
markers could potentially be found in the tumor secretome. Although numerous
studies have evaluated secretomes from various cancers, the influence of the
cancer secretome derived from salivary gland cancers on the behavior of normal
cells has not yet been elucidated. Our data indicate that secretome derived from
salivary gland cancer cells can influence the expression of two potential
biomarkers of oral cancer-namely, bone sialoprotein (BSP) and dentin sialoprotein
(DSP)-in normal salivary gland cells. Using routine immunohistochemistry,
immunofluorescence, and immunoblotting techniques, we demonstrate an enrichment
of BSP and DSP in human salivary gland (HSG) cancer tissue, unique localizations
of BSP and DSP in HSG cancer cells, and enriched expression of BSP and DSP in
normal salivary gland cells exposed to a cancer secretome. The secretome domain
of the cancer microenvironment could alter signaling cascades responsible for
normal cell proliferation, migration, and invasion, thus enhancing cancer cell
survival and the potential for cancer progression. The cancer secretome may be
critical in maintaining and stimulating "cancer-ness," thus potentially promoting
specific hallmarks of metastasis.
PMID- 27881477
TI - Reconsidering the "Asphalt Identikit".
AB - Background/Aim The "asphalt identikit" theory suggests that driving cessation
inevitably leads to feelings of incompetence and dependency. This article
challenges this proposition by investigating the driving-related possible selves
of British older adults living in West London. Methods Thematic analysis of data
from 19 of 39 interviews in which older adults participating in a larger study
talked about driving in the context of their grocery shopping. Results Three
themes were evident: "I can drive - that makes a huge difference," "Expecting to
lose my license," and "I gave up my license." Discussion Driving cessation is not
a dreaded possible self for all older adults. Older adults may use a variety of
identity maintenance processes to retain their positive sense of self.
Conclusions Mental well-being can be maintained during driving cessation by
finding ways to compensate for the loss of a license and finding alternative ways
of achieving hoped-for possible selves.
PMID- 27881476
TI - Lin28a uses distinct mechanisms of binding to RNA and affects miRNA levels
positively and negatively.
AB - Lin28a inhibits the biogenesis of let-7 miRNAs by triggering the polyuridylation
and degradation of their precursors by terminal uridylyltransferases TUT4/7 and
3'-5' exoribonuclease Dis3l2, respectively. Previously, we showed that Lin28a
also controls the production of neuro-specific miRNA-9 via a polyuridylation
independent mechanism. Here we reveal that the sequences and structural
characteristics of pre-let-7 and pre-miRNA-9 are eliciting two distinct modes of
binding to Lin28a. We present evidence that Dis3l2 controls miRNA-9 production.
Finally, we show that the constitutive expression of untagged Lin28a during
neuronal differentiation in vitro positively and negatively affects numerous
other miRNAs. Our findings shed light on the role of Lin28a in differentiating
cells and on the ways in which one RNA-binding protein can perform multiple roles
in the regulation of RNA processing.
PMID- 27881480
TI - Generation of Signs Within Semantic and Phonological Categories: Data from Deaf
Adults and Children Who Use American Sign Language.
AB - Two key areas of language development include semantic and phonological
knowledge. Semantic knowledge relates to word and concept knowledge. Phonological
knowledge relates to how language parameters combine to create meaning. We
investigated signing deaf adults' and children's semantic and phonological sign
generation via one-minute tasks, including animals, foods, and specific
handshapes. We investigated the effects of chronological age, age of sign
language acquisition/years at school site, gender, presence of a disability, and
geographical location (i.e., USA and Puerto Rico) on participants' performance
and relations among tasks. In general, the phonological task appeared more
difficult than the semantic tasks, students generated more animals than foods,
age, and semantic performance correlated for the larger sample of U.S. students,
and geographical variation included use of fingerspelling and specific signs.
Compared to their peers, deaf students with disabilities generated fewer semantic
items. These results provide an initial snapshot of students' semantic and
phonological sign generation.
PMID- 27881479
TI - Barriers and Facilitators to Deaf Trauma Survivors' Help-Seeking Behavior:
Lessons for Behavioral Clinical Trials Research.
AB - Deaf individuals experience significant obstacles to participating in behavioral
health research when careful consideration is not given to accessibility during
the design of study methodology. To inform such considerations, we conducted an
exploratory secondary analysis of a mixed-methods study that originally explored
16 Deaf trauma survivors' help-seeking experiences. Our objective was to identify
key findings and qualitative themes from consumers' own words that could be
applied to the design of behavioral clinical trials methodology. In many ways,
the themes that emerged were not wholly dissimilar from the general preferences
of members of other sociolinguistic minority groups-a need for communication
access, empathy, respect, strict confidentiality procedures, trust, and
transparency of the research process. Yet, how these themes are applied to the
inclusion of Deaf research participants is distinct from any other
sociolinguistic minority population, given Deaf people's unique sensory and
linguistic characteristics. We summarize our findings in a preliminary "Checklist
for Designing Deaf Behavioral Clinical Trials" to operationalize the steps
researchers can take to apply Deaf-friendly approaches in their empirical work.
PMID- 27881481
TI - Emotion Understanding in Preschool Children with Mild-to-Severe Hearing Loss.
AB - Deaf and hard of hearing school-aged children are at risk for delayed development
of emotion understanding; however, little is known about this during the
preschool years. We compared the level of emotion understanding in a group of 35
4-5-year-old children who use hearing aids to that of 130 children with typical
hearing. Moreover, we investigated the parents' perception of their child's level
of emotion understanding. Children were assessed with the Test of Emotion
Comprehension. Parents were presented with the same test and asked to guess what
their child answered on each item. The results showed that children with hearing
loss performed at the same level as typically hearing children, despite having
lower vocabulary scores. Parents of children with hearing loss were more accurate
in their estimations of their child's competence, and higher accuracy was
associated with better emotion understanding. These findings may have
implications for early intervention planning.
PMID- 27881482
TI - The Significance of Deaf Identity for Psychological Well-Being.
AB - Research has paid attention to how deaf identity affects life outcomes such as
psychological well-being. However, studies are often carried out with small
samples and without controlling for other variables. This study examined how
different forms of identity-deaf, hearing, bicultural (deaf and hearing), and
marginal (neither deaf nor hearing)-were associated with levels of psychological
well-being and a number of other variables. The sample was 742 adults with
hearing loss in Denmark. The study found that those with a deaf, hearing or
bicultural identity had significantly higher levels of psychological well-being
than those with a marginal identity. Further, it found that additional
disability, educational level, and feeling discriminated against significantly
and independently explained the degree of psychological well-being. Results are
discussed here with respect to social identity theory and current deaf identity
themes.
PMID- 27881483
TI - Preliminary Evidence Assessing Social-Emotional Competences in Deaf and Hard of
Hearing Infants and Toddlers Using a New Parent Questionnaire.
AB - Social-emotional competences are an important developmental domain for deaf and
hard of hearing (DHH) children and early diagnosis of problems is needed to
ensure that DHH children receive appropriate support in this domain. In order to
explore the usefulness of an instrument, which was recently developed for very
young children, two studies in DHH infants and toddlers were conducted from
Germany using the Social-Emotional Assessment/Evaluation Measure (Squires et al.
(2013). Social-Emotional Assessment/Evaluation Measure (SEAM). Baltimore, ML:
Brooks). Preliminary analysis of data obtained from a sample of 182 DHH children
aged between 2 and 36 months (Study 1) suggests that it provides valid, reliable
data and is suitable for use in practice. The data also corroborate well-known
findings from other research in deaf education, in particular the role of
parental responsivity for the development of social-emotional competences. Study
2 documents the consistency of evaluations of 44 DHH children by their mothers
and by early intervention providers using the scales. Overall, the results
suggest that this new evaluation instrument has potential applications in deaf
educational practice but further research is needed to demonstrate its full
value.
PMID- 27881484
TI - Cell-penetrating peptides recruit type A scavenger receptors to the plasma
membrane for cellular delivery of nucleic acids.
AB - Scavenger receptors (SRs) are a large family of multifunctional receptors that
are involved in a range of physiologic and pathologic processes. The ability of
class A scavenger receptors (SR-As) to bind anionic ligands facilitates the
internalization of negatively charged cell-penetrating peptide (CPP)-nucleic acid
nanocomplexes and thus makes them attractive targets for delivery of various
nucleic acids. Recently, we demonstrated that SR-A3 and SR-A5 are recruited from
intracellular membranes to the plasma membrane after incubation with PepFect 14
splice-switching oligonucleotide complexes. Here, we examined the mechanisms
responsible for translocation of SR-As to the cell surface. We demonstrate that,
in addition to nanocomplexes, some amphipathic CPPs are able to induce
externalization of SR-A3 and SR-A5, and this process requires the presence of
calcium ions. Furthermore, translocation of SR-A3 and SR-A5 requires activity of
phosphatidylinositol-3-kinase, intact actin cytoskeleton, and the presence of
serum proteins in culture medium.-Juks, C., Lorents, A., Arukuusk, P., Langel,
U., Pooga, M. Cell-penetrating peptides recruit type A scavenger receptors to the
plasma membrane for cellular delivery of nucleic acids.
PMID- 27881485
TI - Visualization of ligand-induced Gi-protein activation in chemotaxing cells.
AB - Cell migration to chemoattractants is critically important in both normal
physiology and the pathogenesis of many diseases. In GPCR-mediated chemotaxis,
GPCRs transduce the gradient of an extracellular chemotactic ligand into
intracellular responses via the activation of heterotrimeric G proteins. However,
ligand-induced G-protein activation has not been directly imaged as yet in
mammalian chemotaxing cells. We developed a Forster resonance energy transfer
(FRET) probe, R10-Gi, by linking the Gi-protein alpha subunit to the regulator of
G-protein signaling domain. The R10-Gi probe was coupled with a chemoattractant
leukotriene B4 (LTB4) receptor 1 (BLT1) that induced the receptor to display a
high-affinity ligand binding activity (Kd = 0.91 nM) in HEK293 cells. The R10-Gi
probe exhibited an increased FRET signal in accord with the LTB4-dependent
activation of Gi Furthermore, neutrophil-like differentiated human leukemia cell
line 60 that expressed the intrinsic BLT1 displayed temporal Gi-protein
activation in an area localized to the leading edge during chemotaxis in a
shallow gradient of LTB4 These findings afford an opportunity to clarify the
mechanisms underlying the subcellular regulation of Gi-protein activity, as well
as GPCR-mediated ligand sensing, during chemotaxis in mammalian cells.-Masuda,
K., Kitakami, J., Kozasa, T., Kodama, T., Ihara, S., Hamakubo, T. Visualization
of ligand-induced Gi-protein activation in chemotaxing cells.
PMID- 27881486
TI - Lysine 63 ubiquitination is involved in the progression of tubular damage in
diabetic nephropathy.
AB - The purpose of our study was to evaluate how hyperglycemia (HG) influences Lys63
protein ubiquitination and its involvement in tubular damage and fibrosis in
diabetic nephropathy (DN). Gene and protein expression of UBE2v1, a ubiquitin
conjugating E2-enzyme variant that mediates Lys63-linked ubiquitination, and
Lys63-ubiquitinated proteins increased in HK2 tubular cells under HG. Matrix
assisted laser desorption/ionization-time of flight/tandem mass spectrometry
identified 30 Lys63-ubiquitinated proteins, mainly involved in cellular
organization, such as beta-actin, whose Lys63 ubiquitination increased under HG,
leading to cytoskeleton disorganization. This effect was reversed by the
inhibitor of the Ubc13/UBE2v1 complex NSC697923. Western blot analysis confirmed
that UBE2v1 silencing in HK2 under HG, restored Lys63-beta-actin ubiquitination
levels to the basal condition. Immunohistochemistry on patients with type 2
diabetic (T2D) revealed an increase in UBE2v1- and Lys63-ubiquitinated proteins,
particularly in kidneys of patients with DN compared with control kidneys and
other nondiabetic renal diseases, such as membranous nephropathy. Increased Lys63
ubiquitination both in vivo in patients with DN and in vitro, correlated with
alpha-SMA expression, whereas UBE2v1 silencing reduced HG-induced alpha-SMA
protein levels, returning them to basal expression. In conclusion, UBE2v1- and
Lys63-ubiquitinated proteins increase in vitro under HG, as well as in vivo in
T2D, is augmented in patients with DN, and may affect cytoskeleton organization
and influence epithelial-to-mesenchymal transition. This process may drive the
progression of tubular damage and interstitial fibrosis in patients with DN.
Pontrelli, P., Conserva, F., Papale, M., Oranger, A., Barozzino, M., Vocino, G.,
Rochetti, M. T., Gigante, M., Castellano, G., Rossini, M., Simone, S., Laviola,
L., Giorgino, F., Grandaliano, G., Di Paolo, S., Gesualdo, L. Lysine 63
ubiquitination is involved in the progression of tubular damage in diabetic
nephropathy.
PMID- 27881487
TI - Osteogenesis requires FAK-dependent collagen synthesis by fibroblasts and
osteoblasts.
AB - Focal adhesion kinase (FAK) is critical in adhesion-dependent signaling, but its
role in osteogenesis in vivo is ill defined. We deleted Fak in fibroblasts and
osteoblasts in Floxed-Fak mice bred with those expressing Cre-recombinase driven
by 3.6-kb alpha1(I)-collagen promoter. Compared with wild-type (WT), conditional
FAK-knockout (CFKO) mice were shorter (2-fold; P < 0.0001) and had crooked,
shorter tails (50%; P < 0.0001). Microcomputed tomography analysis showed reduced
bone volume (4-fold in tails; P < 0.0001; 2-fold in mandibles; P < 0.0001),
whereas bone surface area/bone volume increased (3-fold in tails; P < 0.0001; 2.5
fold in mandibles; P < 0.001). Collagen density and fiber alignment in
periodontal ligament were reduced by 4-fold (P < 0.0001) and 30% (P < 0.05),
respectively, in CFKO mice. In cultured CFKO osteoblasts, mineralization at d 7
and mineralizing colony-forming units at d 21 were 30% (P < 0.0001) and >3-fold
less than WT, respectively. Disruptions of FAK function in osteoblasts by
conditional knockout, siRNA-knockdown, or FAK inhibitor reduced mRNA and protein
expression of Runx2 (>30%), Osterix (>25%), and collagen-1 (2-fold). Collagen
synthesis was abrogated in WT osteoblasts with Runx2 knockdown and in Fak-null
fibroblasts transfected with an FAK kinase domain mutant or a kinase-impaired
mutant (Y397F). These data indicate that FAK regulates osteogenesis through
transcription factors that regulate collagen synthesis.-Rajshankar, D., Wang, Y.,
McCulloch, C. A. Osteogenesis requires FAK-dependent collagen synthesis by
fibroblasts and osteoblasts.
PMID- 27881488
TI - An Opsonic Phagocytosis Assay for Plasmodium falciparum Sporozoites.
AB - Plasmodium falciparum malaria remains the deadliest parasitic disease worldwide.
Vaccines targeting the preerythrocytic sporozoite and liver stages have the
potential to entirely prevent blood-stage infection and disease, as well as
onward transmission. Sporozoite surface and secreted proteins are leading
candidates for inclusion in a preerythrocytic stage-specific, antibody-based
vaccine. Preclinical functional assays to identify humoral correlates of
protection in vitro and to validate novel sporozoite protein targets for
inclusion in multisubunit vaccines currently do not consider the interaction of
sporozoite-targeting antibodies with other components of the immune system. Here,
we describe the development of a simple flow cytometric assay to quantitatively
assess the ability of antibodies directed against P. falciparum sporozoites to
facilitate their phagocytosis. We demonstrate that this sporozoite opsonic
phagocytosis assay (SOPA) is compatible with both monoclonal antibodies and human
immune serum and can be performed using cryopreserved P. falciparum sporozoites.
This simple, accessible assay will aid with the assessment of antibody responses
to vaccination with Plasmodium antigens and their interaction with phagocytic
cells of the immune system.
PMID- 27881490
TI - New Micellar Electrokinetic Chromatographic Method for Analyzing Idebenone in
Pediatric Formulations.
AB - A novel, simple and reliable method based on micellar electrokinetic
chromatography with ultraviolet detection was developed to analyze idebenone in a
pediatric formulation. Idebenone is a synthetic short chain benzoquinone that
acts as an electron carrier in the mitochondrial electron transport chain
facilitating the production of adenosine triphosphate. It can be found in two
different redox states that differ in their physiological properties. Idebenone
has been investigated as a treatment in several neurological disorders like
Friedreich's ataxia, Leber's hereditary optic neuropathy, mitochondrial
encephalomyopathies and senile dementia. Accordingly, a micellar electrokinetic
chromatography was employed to discriminate both redox forms. The final optimized
system was validated in terms of selectivity, linearity (r2 0.992), limit of
detection (0.5 ug/mL), limit of quantification (1.8 ug/mL), intra- and inter-day
precision (RSD <= 2) and accuracy in terms of recovery studies (99.3-100.5%).
Robustness was studied following a Plackett-Burman design. Finally, the validated
system was applied to the analysis of idebenone in a pediatric formulation.
PMID- 27881489
TI - Kinetics of Meningococcal Serogroup C-Specific Functional Antibody Levels Up to
15 Years after a Single Immunization with a Meningococcal Serogroup C Conjugate
Vaccine during Adolescence.
AB - Adolescent vaccination is now considered the key factor for offering direct
protection against meningococcal disease but also for reducing carriage and
transmission and, in this way, establishing herd protection. This study estimated
age-dependent patterns in functional meningococcal serogroup C (MenC) antibody
kinetics after primary MenC conjugate (MenCC) vaccination in adolescents. Serum
samples (n = 1,676) were drawn from 2006 to 2011 from individuals aged 9 to 18
years at the time of primary MenCC vaccination in 2002. Functional antibody
levels were measured with a serum bactericidal antibody assay (SBA) using rabbit
complement. SBA titers gradually declined with time. Up to 9 years after primary
vaccination, SBA titers were estimated to be higher in individuals who were aged
13 to 18 years at priming than in those who were aged 9 to 10 years at priming.
Based on a linear mixed model, the higher functional antibody levels with age
seem to be due to the achievement of higher peak levels upon vaccination rather
than to lower rates of decline. It is estimated that 35 to 50% of individuals who
received a single primary MenCC vaccination at an age of 9 to 18 years in 2002
will still have sufficient protective antibody levels 15 years later. Using a
linear mixed model based on cohort data for a single dated serum sample per
person, we were able to estimate the level of protection against MenC up to 15
years after a single vaccination. The current study shows that analysis of
antibody kinetics can be done using cross-sectional serology data and is
therefore relevant for future serosurveillance studies.
PMID- 27881492
TI - Determination of Common Adulterants in Herbal Medicine and Food Samples using
Core-shell Column Coupled to Tandem Mass Spectrometry.
AB - High-performance liquid chromatography coupled to tandem mass spectrometry was
used to develop and validate a rapid method to qualitatively and quantitatively
analyse 18 common adulterants in herbal medicine and food samples. Initially, the
mobile phase composition was optimized in three different columns: core-shell,
monolithic and standard 3.5-um-particle-size columns. The results show that the
core-shell column provides the best separation. Moreover, the tandem mass
spectrometry was optimized. The linear range for all adulterants was 0.5-500 MUg
mL-1. Finally, the samples that were supplied by the Public Authority of Customer
Protection, Ministry of Health, and those collected from the local market were
analysed. The results indicate that 7 of 33 analysed samples contained
adulterants. The adulterated samples mainly contain sildenafil, tadalafil or
vardenafil. The concentrations of these three adulterants in the samples were
0.18-39 wt%. This study is the first report in the Sultanate of Oman about
adulteration in herbal medicine and food samples. The results clearly raise some
concern and require proper plan of action to increase public awareness about this
serious issue.
PMID- 27881491
TI - Quantification of Paclitaxel and Polyaspartate Paclitaxel Conjugate in Beagle
Plasma: Application to a Pharmacokinetic Study.
AB - An LC-MS/MS method for the determination of polyaspartate paclitaxel conjugate
(PASP-PTX) and paclitaxel (PTX) in dog plasma with cephalomannine (Internal
Standard for PASP-PTX, IS-I) and clopidogrel bisulfate (Internal Standard for
PTX, IS-II) as the internal standards was developed and validated. Plasma samples
of PASP-PTX were extracted by ethyl acetate following the hydrolysis reaction,
while protein precipitation was used for the extraction of PTX using
acetonitrile. Analytes were separated by a CAPCELL PAK C18 MG II column using a
gradient elution with the mobile phase (A) 5 mM ammonium containing 0.1% formic
acid, and (B) acetonitrile. Quantification was performed by monitoring the m/z
transitions of 286.2/105.0 for PASP-PTX, 264.2/83.0 for IS-I, 854.4/286.0 for
PTX, and 322.1/184.1 for IS-II in the ESI positive mode. This method was
validated in terms of specificity, linearity, precision, accuracy, and stability.
The lower limit of quantification was 0.15 ug/mL for PASP-PTX and 0.01 ug/mL for
PTX, and the calibration curves were linear over 0.15-300 ug/mL for PASP-PTX and
over 0.01-10 ug/mL for PTX. The samples were stable under all the tested
conditions. The method was successfully applied to study the pharmacokinetic
profiles of PASP-PTX and PTX in beagles following intravenous administration of
PASP-PTX.
PMID- 27881493
TI - The Metabolic Profiling of Isorhamnetin-3-O-Neohesperidoside Produced by Human
Intestinal Flora Employing UPLC-Q-TOF/MS.
AB - Isorhamnetin-3-O-neohesperidoside is the major active substance of Puhuang, a
traditional herb medicine widely used in clinical practice to tackle many chronic
diseases. However, little is known about the interactions between this ingredient
and intestinal flora. In this study, ultra-performance liquid
chromatography/quadrupole time-of-flight mass spectrometry together with
automated data analysis software (MetabolynxTM) was used for analysis of the
metabolic profile of isorhamnetin-3-O-neohesperidoside by the isolated human
intestinal bacteria. The parent and three metabolites isorhamnetin-3-O-glucoside,
isorhamnetin and quercetin were detected and identified based on the
characteristics of their deprotonated molecules. These metabolites indicated that
isorhamnetin-3-O-neohesperidoside was firstly deglycosylated to isorhamnetin-3-O
glucoside and subsequently to the aglycone isorhamnetin, and the latter was
demethylated to quercetin. The majority of bacteria such as Escherichia sp. 23
were capable of converting isorhamnetin-3-O-neohesperidoside to considerable
amounts of aglycone isorhamnetin and further to minor amounts of quercetin, while
minor amounts of isorhamnetin-3-O-glucoside were detected in minority of
bacterial samples such as Enterococcus sp. 30. The metabolic pathway and
metabolites of isorhamnetin-3-O-neohesperidoside by the different human
intestinal bacteria were firstly investigated. Furthermore, the metabolites of
isorhamnetin-3-O-neohesperidoside might influence the effects of traditional herb
medicines. Thus, our study is helpful to further unravel how isorhamnetin-3-O
neohesperidoside and Puhuang work in vivo.
PMID- 27881494
TI - A New UPLC Method with Chemometric Design-Optimization Approach for the
Simultaneous Quantitation of Brimonidine Tartrate and Timolol Maleate in an Eye
Drop Preparation.
AB - A new ultra-performance liquid chromatography (UPLC) with photodiode array was
proposed for the quantitation of Brimonidine Tartrate (BRI) and Timolol Maleate
(TIM) in eye drop using experimental design and optimization methodology. A 33
full factorial design was applied to uncover the effects of the selected factors
and their interactions on the chromatographic response function for the
optimization of experimental conditions in the development of a new UPLC method.
As a result, the optimal chromatographic conditions giving a better separation
and short analysis time were found to be 49.2 degrees C for column temperature;
0.38 mL/min for flow rate and 56.7 % (v/v) for 0.1 M CH3COOH used in mobile
phase. The elution of BRI and TIM was reported as 0.508 and 0.652 min within a
short runtime of 1.5 min, respectively. Calibration graphs for BRI and TIM were
obtained by the regression of the concentration on the peak area, which was
detected at 246 and 298 nm, respectively. The method validation was performed by
the analysis of the synthetic mixtures, intra-day and inter-day samples and
standard addition samples. This study shows that the optimized and validated UPLC
method is very promising and available for the quantification of BRI and TIM in
an eye drop formulation.
PMID- 27881495
TI - Extraction of Volatile Flavor Compounds From Tobacco Leaf Through a Low-Density
Polyethylene Membrane.
AB - A low-density polyethylene (LDPE) membrane pouch method was developed to extract
volatile flavor compounds from tobacco leaf. Tobacco leaf suspended in water was
enclosed in a pouch prepared from a LDPE membrane of specific gravity 0.92 g/cm3
and 0.03 mm thickness and then extracted with diethyl ether. In comparison with
direct solvent extraction, LDPE membrane excluded larger and higher boiling point
compounds which could contaminate a gas chromatograph inlet and damage a column.
Whilst being more convenient than a reduced-pressure steam distillation, it could
extract volatile flavor compounds of wide range of molecular weight and polarity.
Repeatabilities in the extracted amounts were ranged from 0.38% of 2.3-bipyridyl
to 26% of beta-ionone, and average value of 39 compounds was 5.9%.
PMID- 27881496
TI - Penetrating intracranial injury caused by an unusual home-made iron pyrotechnic
device.
AB - Herein, a potentially dangerous explosive home-made device used for fun and
entertainment is described. It consists of two iron parts connected with a U
shaped thick wire: a wedge about 8 cm in length, which fits into a hollow
cylinder, filled with a small amount of nitre (the mineral form of potassium
nitrate). Striking the device onto a hard surface creates a spark inside it,
which burns the nitre, producing a very loud bang. We are in possession of such
device in our forensic museum collection. We present a case from 1937 related to
the use of this explosive home-made device. A boy was injured using it, as the
wedge hit him in the left temporal region and entered his skull. The major
initial consequence was a localised brain injury, without any bleeding. Delayed
presentation resulted in intracranial infection - purulent meningitis due to open
craniocerebral injury, which was the cause of death three months later. The
potentially dangerous home-made explosive iron device presented here was in use
mostly by children from the end of the 19th century until the early 1970s, when
it was replaced with less dangerous and cheaper Chinese firecrackers and
fireworks.
PMID- 27881497
TI - Discovering and Developing Successful Cardiovascular Therapeutics: A Conversation
With James N. Topper, MD, PhD.
AB - Dr James (also known as Jamie) N. Topper, MD, PhD, serves as Managing General
Partner at Frazier Healthcare Partners, where he leads the Life Science Venture
practice. In 2011, and 2016, he was named to the Midas List of leading venture
capitalists, and, in 2013, he was recognized by Forbes as one of the top 10
healthcare investors. He has >25 years of experience working with entrepreneurs
to found and build successful therapeutics-focused companies. Dr Topper holds a
BS from the University of Michigan. He received an MD and PhD (in biophysics)
from Stanford University School of Medicine. He completed postgraduate training
in internal medicine and cardiovascular disease at the Brigham and Women's
Hospital in Boston and is board certified in both disciplines.
PMID- 27881498
TI - Association Between Emergency Department Closure and Treatment, Access, and
Health Outcomes Among Patients With Acute Myocardial Infarction.
PMID- 27881499
TI - Letter by Kothari Regarding Article, "Tropical Endomyocardial Fibrosis: Natural
History, Challenges, and Perspectives".
PMID- 27881500
TI - Response by Grimaldi et al to Letter Regarding Article, "Tropical Endomyocardial
Fibrosis: Natural History, Challenges, and Perspectives".
PMID- 27881501
TI - Letter by Gallucci and Storto Regarding Article, "Vascular Toxicities of Cancer
Therapies: The Old and the New-An Evolving Avenue".
PMID- 27881502
TI - Response by Herrmann et al to Letter Regarding Article, "Vascular Toxicities of
Cancer Therapies: The Old and the New-An Evolving Avenue".
PMID- 27881503
TI - The Preventive-Pill Paradox: How Shared Decision Making Could Increase
Cardiovascular Morbidity and Mortality.
PMID- 27881504
TI - Now That I Am a Hospital Executive.
PMID- 27881505
TI - Prasugrel Versus Ticagrelor: Uncertainty Remains.
PMID- 27881506
TI - Development and Evolution of a Hierarchical Clinical Composite End Point for the
Evaluation of Drugs and Devices for Acute and Chronic Heart Failure: A 20-Year
Perspective.
AB - Traditional approaches to the assessment of new treatments for heart failure have
generally evaluated individual components of the syndrome at fixed points in time
or have relied on surrogate physiological measures that are poorly correlated
with the clinical status of patients. Conventional time-to-event trials that
focus on morbidity and mortality represent an important methodological advance,
but they generally assign undue weight to clinical events of less importance and
are insensitive to difference in functional capacity among individuals who do not
experience a clinical event during follow-up. Twenty years ago, a hierarchical
clinical composite was developed to address these limitations; it aims to assess
the clinical course of patients as a physician would in practice by combining a
symptomatic assessment of the patient at each visit with an evaluation of the
clinical stability of the patient between visits. The composite does not generate
a numeric score by summing arbitrarily assigned weights to certain symptoms or
events; instead, the composite ranks relevant measures and outcomes according to
clinical priority. In doing so, the clinical composite minimizes the biases
created by noncompleting patients in the assessment of symptoms or exercise
tolerance while expanding the range of patients who contribute to the treatment
difference in a typical morbidity and mortality trial. When applied
appropriately, the hierarchical clinical composite end point has reliably
distinguished effective from ineffective treatments. The composite may have
particular advantages in the evaluation of new devices and transcatheter
interventions in chronic heart failure and of new drugs for acute heart failure.
Recent modifications enhance its discriminant characteristics and its ability to
accurately assess the efficacy of novel interventions for heart failure.
PMID- 27881507
TI - Highlights of the 27th Great Wall International Congress of Cardiology.
PMID- 27881509
TI - Ongoing Research Points to Key Role of Gut Microbes in Cardiovascular Health.
PMID- 27881510
TI - Congenital Heart Defects and Risk of Epilepsy: A Population-Based Cohort Study.
PMID- 27881511
TI - Sex-Discordant Blood Transfusions and Survival After Cardiac Surgery: A
Nationwide Cohort Study.
PMID- 27881512
TI - Letter by Sueta et al Regarding Article, "Urgent Need to Measure Effects of
Direct Oral Anticoagulants".
PMID- 27881513
TI - Response by Weitz and Eikelboom to Letter Regarding Article, "Urgent Need to
Measure Effects of Direct Oral Anticoagulants".
PMID- 27881514
TI - Letter by Dixon et al Regarding Article, "Watching Television and Risk of
Mortality From Pulmonary Embolism Among Japanese Men and Women: The JACC Study
(Japan Collaborative Cohort)".
PMID- 27881515
TI - Response by Shirakawa and Iso to Letter Regarding Article, "Watching Television
and Risk of Mortality From Pulmonary Embolism Among Japanese Men and Women: The
JACC Study (Japan Collaborative Cohort)".
PMID- 27881516
TI - Letter by Mascitelli and Goldstein Regarding Article, "Is Statin Monotherapy the
Perfect Polypill?"
PMID- 27881517
TI - Overview of phase IV clinical trials for postmarket drug safety surveillance: a
status report from the ClinicalTrials.gov registry.
AB - OBJECTIVE: Phase IV trials are often used to investigate drug safety after
approval. However, little is known about the characteristics of contemporary
phase IV clinical trials and whether these studies are of sufficient quality to
advance medical knowledge in pharmacovigilance. We aimed to determine the
fundamental characteristics of phase IV clinical trials that evaluated drug
safety using the ClinicalTrials.gov registry data. METHODS: A data set of 19 359
phase IV clinical studies registered in ClinicalTrials.gov was downloaded. The
characteristics of the phase IV trials focusing on safety only were compared with
those evaluating both safety and efficacy. We also compared the characteristics
of the phase IV trials in three major therapeutic areas (cardiovascular diseases,
mental health and oncology). Multivariable logistic regression was used to
evaluate factors associated with the use of blinding and randomisation. RESULTS:
A total of 4772 phase IV trials were identified, including 330 focusing on drug
safety alone and 4392 evaluating both safety and efficacy. Most of the phase IV
trials evaluating drug safety (75.9%) had enrolment <300 with 96.5% <3000. Among
these trials, 8.2% were terminated or withdrawn. Factors associated with the use
of blinding and randomisation included the intervention model, clinical specialty
and lead sponsor. CONCLUSIONS: Phase IV trials evaluating drug safety in the
ClinicalTrials.gov registry were dominated by small trials that might not have
sufficient power to detect less common adverse events. An adequate sample size
should be emphasised for phase IV trials with safety surveillance as main task.
PMID- 27881519
TI - Comparison of therapy persistence for fixed versus free combination
antihypertensives: a retrospective cohort study.
AB - OBJECTIVES: The aim of the study was to compare therapy persistence among
patients who started with one of three drug regimens: a monotherapy, or
combination therapy either as a fixed combination (ie, 'single pill') or as a
free combination (ie, two separate antihypertensive agents). DESIGN: In a
secondary data analysis, we used descriptive statistics and multivariate logistic
regression to measure the effect of the three therapy regimens on therapy
persistence over 4 years. SETTING: Prescription data from a large German
statutory health insurance provider. PARTICIPANTS: All patients who started with
a new antihypertensive therapy in 2007 or 2008 (n=8032) were included and
followed for 4 years. PRIMARY OUTCOME MEASURE: Therapy persistence, defined as
receiving a refill prescription no later than within 180 days. RESULTS: The
persistence rates after 4 years were nearly identical among patients who started
with a monotherapy (40.3%) or a fixed combination of two drugs (39.8%). However,
significantly more patients who started with free-drug combinations remained
therapy persistent (56.4%), resulting in an OR of 2.00 (95% CI 1.6 to 2.5;
p<0.0001) for free combinations versus fixed combinations. This trend was
observed in all age groups and for men and women. At the end of the study period,
the number of different antihypertensive agents was still similar between
patients who started with a fixed combination (2.41) and patients who started
with a free combination (2.28). CONCLUSIONS: While single-pill combinations make
it easier to take different drugs at once, the risk is high that these several
substances are stopped at once. Therapy persistence was significantly better for
patients who started with a free-drug combination without taking much fewer
different antihypertensive drugs as those with a fixed combination.
PMID- 27881520
TI - Is Olympic inspiration associated with fitness and physical activity in English
schoolchildren? A repeated cross-sectional comparison before and 18 months after
London 2012.
AB - OBJECTIVES: To compare cardiovascular fitness and physical activity of
schoolchildren 18 months after London 2012 according to Olympic 'inspiration'.
DESIGN: A cross-sectional comparison between groups of schoolchildren categorised
according to self-reported Olympic inspiration and a repeated cross-sectional
comparison using data collected pre-2012. SETTING: Schools within a 50 km radius
of the Olympic Park, Stratford, London. PARTICIPANTS: 931 students (10.0-15.9
year-olds) attending 6 schools assessed in 2013 and 2014 (18 (range: 14-20)
months after London 2012) and 733 students from the same schools assessed in 2008
2009 (42 (range: 38-46) months before London 2012). PRIMARY OUTCOME MEASURES:
Self-reported Olympic inspiration; cardiorespiratory fitness ([Formula: see text]
mL/kg/min) assessed using the 20 m shuttle-run and self-reported physical
activity. SECONDARY OUTCOMES MEASURES: Differences in [Formula: see text] before
and after London 2012. RESULTS: 53% of children reported being inspired to try
new sports or activities. Compared with those not inspired by the Games,
[Formula: see text] was higher in boys (d=0.43) and girls (d=0.27), who continued
to participate in activities at 18(14-20) months. This 45% of sample was also
more physically active (boys, d=0.23; girls, d=0.38) than those not or only
briefly inspired to participate in activities (boys, d=0.24; girls, d=0.21).
Compared with pre-2012 values, [Formula: see text] was lower post-2012 in boys
(d=0.37) and in girls (d=0.38). CONCLUSIONS: High levels of inspiration to
participate in new activities reported following London 2012 and positive
associations with fitness are encouraging. We cannot discount the possibility
that inspired participants may have already been fitter and more active pre-2012.
These associations must be interpreted in the context of the significant declines
in fitness shown by our repeated cross-sectional comparison. Olympic host
countries should employ longitudinal monitoring using objectively measured
fitness and physical activity to provide evidence of health-related legacy.
PMID- 27881521
TI - Is famine exposure during developmental life in rural Bangladesh associated with
a metabolic and epigenetic signature in young adulthood? A historical cohort
study.
AB - OBJECTIVES: Famine exposure in utero can 'programme' an individual towards type 2
diabetes and obesity in later life. We sought to identify, (1) whether
Bangladeshis exposed to famine during developmental life are programmed towards
diabetes and obesity, (2) whether this programming was specific to gestational or
postnatal exposure windows and (3) whether epigenetic differences were associated
with famine exposure. DESIGN: A historical cohort study was performed as part of
a wider cross-sectional survey. Exposure to famine was defined through birth date
and historical records and participants were selected according to: (A) exposure
to famine in postnatal life, (B) exposure to famine during gestation and (C)
unexposed. SETTING: Matlab, a rural area in the Chittagong division of
Bangladesh. PARTICIPANTS: Young adult men and women (n=190) recruited to a
historical cohort study with a randomised subsample included in an epigenetic
study (n=143). OUTCOME MEASURES: Primary outcome measures of weight, body mass
index and oral glucose tolerance tests (0 and 120 min glucose). Secondary outcome
measures included DNA methylation using genome-wide and targeted analysis of
metastable epialleles sensitive to maternal nutrition. RESULTS: More young adults
exposed to famine in gestation were underweight than those postnatally exposed or
unexposed. In contrast, more young adults exposed to famine postnatally were
overweight compared to those gestationally exposed or unexposed. Underweight
adults exposed to famine in gestation in utero were hyperglycaemic following a
glucose tolerance test, and those exposed postnatally had elevated fasting
glucose, compared to those unexposed. Significant differences in DNA methylation
at seven metastable epialleles (VTRNA2-1, PAX8, PRDM-9, near ZFP57, near BOLA,
EXD3) known to vary with gestational famine exposure were identified.
CONCLUSIONS: Famine exposure in developmental life programmed Bangladeshi
offspring towards diabetes and obesity in adulthood but gestational and postnatal
windows of exposure had variable effects on phenotype. DNA methylation
differences were replicated at previously identified metastable epialleles
sensitive to periconceptual famine exposure.
PMID- 27881518
TI - Study protocol for a randomised controlled trial of brief, habit-based, lifestyle
advice for cancer survivors: exploring behavioural outcomes for the Advancing
Survivorship Cancer Outcomes Trial (ASCOT).
AB - INTRODUCTION: Positive health behaviours such as regular physical activity and a
healthy diet have significant effects on cancer outcomes. There is a need for
simple but effective behaviour change interventions with the potential to be
implemented within the cancer care pathway. Habit-based advice encourages
repetition of a behaviour in a consistent context so that the behaviour becomes
increasingly automatic in response to a specific contextual cue. This approach
therefore encourages long-term behaviour change and can be delivered through
printed materials. 'Healthy Habits for Life' is a brief intervention based on
habit theory, and incorporating printed materials plus a personally tailored
discussion, that has been designed specifically for patients with a diagnosis of
cancer. The aim of this trial was to test the effect of 'Healthy Habits for Life'
on a composite health behaviour risk index (CHBRI) over 3 months in patients with
a diagnosis of breast, colorectal or prostate cancer. METHOD AND ANALYSIS: A 2
arm, individually randomised controlled trial in patients with breast, colorectal
and prostate cancer. Patients will be recruited over 18 months from 7 National
Health Service Trusts in London and Essex. Following baseline assessments and
allocation to intervention or usual care, patients are followed up at 3 and 6
months. The primary outcome will be change in CHBRI at 3 months. Maintenance of
any changes over 6 months, and changes in individual health behaviours (including
dietary intake, physical activity, alcohol consumption and smoking status) will
also be explored. ETHICS AND DISSEMINATION: Ethical approval was obtained through
the National Research Ethics Service Committee South Central-Oxford B via the
Integrated Research Application System (reference number 14/SC/1369). Results of
this study will be disseminated through peer-reviewed publications and scientific
presentations. TRIAL REGISTRATION NUMBER: 17421871.
PMID- 27881522
TI - Prevalence of papillomavirus in Brazil: a systematic review protocol.
AB - INTRODUCTION: Human papillomavirus (HPV) infection is a cause of premalignant and
malignant cancer in the lower genital and digestive tracts. In Brazil, there have
been no prevalence studies that included a nationwide sample, and the prevalence
of HPV has not been determined in many regions. METHODS: We will search the
EMBASE, LILACS, MEDLINE, Web of Science and SciELO databases and previously
published review articles to identify original research articles assessing HPV
prevalence of the perineal (cervical, penile and anal) and oral areas. No
exclusion criteria related to language or publication date will apply. 2
reviewers will independently screen for eligibility and perform data extraction.
Discrepancies will be resolved through consensus; the opinion of a third reviewer
will be sought as necessary. Relevant measures and data about study and
population characteristics will be extracted from the included studies. Where
possible, study prevalence will be pooled using a random-effects meta-analysis.
The methodological quality of the studies will be assessed using an adapted
version of the NIH 'Quality Assessment Tool for Observational Cohort and Cross
Sectional Studies'. The overall quality of evidence will be assessed using
Grading of Recommendations Assessment, Development and Evaluation (GRADE). ETHICS
AND DISSEMINATION: We expect to estimate the prevalence of perineal and oral HPV
infection in the general population as well as the prevalence of HPV infection in
individuals with premalignant and malignant lesions in Brazil and its 5
geographic regions. This systematic review does not require ethical approval.
TRIAL REGISTRATION NUMBER: CRD42016032751.
PMID- 27881524
TI - Why articles are retracted: a retrospective cross-sectional study of retraction
notices at BioMed Central.
AB - OBJECTIVES: To assess why articles are retracted from BioMed Central journals,
whether retraction notices adhered to the Committee on Publication Ethics (COPE)
guidelines, and are becoming more frequent as a proportion of published articles.
DESIGN/SETTING: Retrospective cross-sectional analysis of 134 retractions from
January 2000 to December 2015. RESULTS: 134 retraction notices were published
during this timeframe. Although they account for 0.07% of all articles published
(190 514 excluding supplements, corrections, retractions and commissioned
content), the rate of retraction is rising. COPE guidelines on retraction were
adhered to in that an explicit reason for each retraction was given. However,
some notices did not document who retracted the article (eight articles, 6%) and
others were unclear whether the underlying cause was honest error or misconduct
(15 articles, 11%). The largest proportion of notices was issued by the authors
(47 articles, 35%). The majority of retractions were due to some form of
misconduct (102 articles, 76%), that is, compromised peer review (44 articles,
33%), plagiarism (22 articles, 16%) and data falsification/fabrication (10
articles, 7%). Honest error accounted for 17 retractions (13%) of which 10
articles (7%) were published in error. The median number of days from publication
to retraction was 337.5 days. CONCLUSIONS: The most common reason to retract was
compromised peer review. However, the majority of these cases date to March 2015
and appear to be the result of a systematic attempt to manipulate peer review
across several publishers. Retractions due to plagiarism account for the second
largest category and may be reduced by screening manuscripts before publication
although this is not guaranteed. Retractions due to problems with the data may be
reduced by appropriate data sharing and deposition before publication. Adopting a
checklist (linked to COPE guidelines) and templates for various classes of
retraction notices would increase transparency of retraction notices in future.
PMID- 27881523
TI - Effects of culture-sensitive adaptation of patient information material on
usefulness in migrants: a multicentre, blinded randomised controlled trial.
AB - OBJECTIVES: To evaluate the usefulness of culture-sensitive patient information
material compared with standard translated material. DESIGN: Multicentre, double
blind randomised controlled trial. SETTING: 37 primary care practices.
PARTICIPANTS: 435 adult primary care patients with a migration background with
unipolar depressive disorder or non-specific chronic low back pain were
randomised. Patients who were unable to read in the language of their respective
migration background were excluded. Sufficient data were obtained from 203 women
and 106 men. The largest group was of Russian origin (202 patients), followed by
those of Turkish (52), Polish (30) and Italian (25) origin. INTERVENTIONS:
Intervention group: provision of culture-sensitive adapted material. CONTROL
GROUP: provision of standard translated material. MAIN OUTCOME MEASURES: Primary
outcome: patient-rated usefulness (USE) assessed immediately after patients
received the material. SECONDARY OUTCOMES: patient-rated usefulness after 8 weeks
and 6 months, symptoms of depression (PHQ-9), back pain (Back Pain Core Set) and
quality of life (WHO-5) assessed at all time points. RESULTS: Usefulness was
found to be significantly higher (t=1.708, one-sided p=0.04) in the intervention
group (USE-score=65.08, SE=1.43), compared with the control group (61.43,
SE=1.63), immediately after patients received the material, in the intention-to
treat analysis, with a mean difference of 3.65 (one-sided 95% lower confidence
limit=0.13). No significant differences were found for usefulness at follow-up
(p=0.16, p=0.71). No significant effect was found for symptom severity in
depression (p=0.95, p=0.66, p=0.58), back pain (p=0.40, p=0.45, p=0.32) or
quality of life (p=0.76, p=0.86, p=0.21), either immediately after receiving the
material, or at follow-up (8 weeks; 6 months). Patients with a lower level of
dominant society immersion benefited substantially and significantly more from
the intervention than patients with a high level of immersion (p=0.005).
CONCLUSION: Cultural adaptation of patient information material provides benefits
over high quality translations. Clinicians are encouraged to use culture
sensitive material in their consultations, particularly with low-acculturated
patients. TRIAL REGISTRATION NUMBER: German Register for Clinical Trials:
DRKS00004241, Universal Trial Number: U1111-1135-8043, Results.
PMID- 27881525
TI - Rationale and protocol for using a smartphone application to study autism
spectrum disorders: SMARTAUTISM.
AB - INTRODUCTION: Longitudinal studies on the evolution of autism spectrum disorder
(ASD) symptoms are limited and have primarily used repeated measurements
performed several months apart. However, measurements of changes in everyday life
should more closely reflect the 'real life' of the patient and his or her family.
We propose to study the child's ASD symptoms and their effect on the quality of
life, psychological status and anxiety of the child's parents over a 6-month
period using SMARTAUTISM, a smartphone application. METHOD AND ANALYSIS: This is
a prospective, longitudinal, exploratory, open study with a 6-month follow-up
period. Data will be recorded longitudinally over multiple weeks under natural
conditions. The factors affecting the quality of life and anxiety of parents of
children with ASD and the children's functional symptoms will be examined, and
the feasibility of using a smartphone application designed for parents of ASD
patients will be assessed. PRIMARY OBJECTIVE: Explore the evolution of a child's
behaviour over 6 months and the (psychological and social) effects of these
changes on the family. SECONDARY OBJECTIVE: Assess the feasibility of our
application by examining the filling rate and application usage by parents for 6
months. 100 families containing 1 child diagnosed with ASD will be included. At
baseline, sociodemographic, psychiatric and medical data will be recorded. The
correlations of the general epidemiological variables (primary outcome measure)
will be evaluated via multivariate analysis. The application filling rate
(relative to the ideal filling rate) will be used to assess the feasibility of
the application (secondary outcome measure). ETHICS AND DISSEMINATION: The
SMARTAUTISM study has the approval of the local ethics committee, and data
security will be ensured via the use of encryption and a secure medical server.
The use of this application will be proposed at autism resource centres across
France.
PMID- 27881526
TI - Towards the genetic basis of cerebral venous thrombosis-the BEAST Consortium: a
study protocol.
AB - INTRODUCTION: Cerebral venous thrombosis (CVT) is a rare cerebrovascular
condition accounting for <1% of all stroke cases and mainly affects young adults.
Its genetic aetiology is not clearly elucidated. METHODS AND ANALYSIS: To better
understand the genetic basis of CVT, we have established an international biobank
of CVT cases, Biorepository to Establish the Aetiology of Sinovenous Thrombosis
(BEAST) which aims to recruit highly phenotyped cases initially of European
descent and later from other populations. To date we have recruited 745 CVT cases
from 12 research centres. As an initial step, the consortium plans to undertake a
genome-wide association analysis of CVT using the Illumina Infinium
HumanCoreExome BeadChip to assess the association and impact of common and low
frequency genetic variants on CVT risk by using a case-control study design.
Replication will be performed to confirm putative findings. Furthermore, we aim
to identify interactions of genetic variants with several environmental and
comorbidity factors which will likely contribute to improve the understanding of
the biological mechanisms underlying this complex disease. ETHICS AND
DISSEMINATION: BEAST meets all ethical standards set by local institutional
review boards for each of the participating sites. The research outcomes will be
published in international peer-reviewed open-access journals with high impact
and visibility. The results will be presented at national and international
meetings to highlight the contributions into improving the understanding of the
mechanisms underlying this uncommon but important disease. This international DNA
repository will become an important resource for investigators in the field of
haematological and vascular disorders.
PMID- 27881527
TI - Clinical factors associated with initiation of and persistence with ADP receptor
inhibiting oral antiplatelet treatment after acute coronary syndrome: a
nationwide cohort study from Finland.
AB - OBJECTIVES: To study patient selection for and persistence with ADP receptor
inhibiting oral antiplatelet (OAP) treatment after acute coronary syndrome (ACS).
DESIGN: Observational, retrospective, cohort study linking real-life patient
level register data. SETTING: Nationwide drug usage study using data of patients
with ACS discharged from hospitals in Finland. PARTICIPANTS: The study population
consisted of 54 416 patients (aged >=18 years) following hospital admission for
unstable angina pectoris or myocardial infarction during 2009-2013. Patients were
classified as either OAP or non-OAP users based on drug purchases within 7 days
of discharge. OUTCOME MEASURES: Initiation of and a 12-month persistence with OAP
medication. RESULTS: In total, 49% of patients with ACS received OAP treatment
after hospital discharge. Women represented 40% of the population, but only 32%
of them became OAP users (adjusted OR for initiation compared with men 0.8;
p<0.001). Patients not treated with percutaneous coronary intervention (PCI),
elderly and patients with dementia/Alzheimer's disease, atrial fibrillation or
warfarin treatment were less likely to be treated with OAP. If initiated, they
were less likely to complete the recommended 12 months' medication (adjusted risk
increment >38% and p<0.001 for all). The OAP users showed good compliance with
immediate initiation (92% within 1 day of discharge) and high mean medication
possession rate (99%). Among OAP users, the usage of other secondary prevention
drugs after ACS was more common than in non-OAP-treated patients (difference >20
percentage points for each). CONCLUSIONS: Only half of the patients with ACS
received guideline-recommended ADP receptor-inhibiting OAP treatment after
hospital discharge, suggesting suboptimal treatment practices. Non-PCI-treated
patients and patients with increased age, unstable angina, dementia or atrial
fibrillation appear to have the highest risk of deficient treatment with OAPs.
OAP users, however, showed good compliance during drug usage.
PMID- 27881528
TI - Life-course socioeconomic status and breast and cervical cancer screening:
analysis of the WHO's Study on Global Ageing and Adult Health (SAGE).
AB - OBJECTIVES: Socioeconomic differences in screening have been well documented in
upper-income countries; however, few studies have examined socioeconomic status
(SES) over the life-course in relation to cancer screening in lower-income and
middle-income countries. Here, we examine individual, parental and life-course
SES differences in breast and cervical cancer screening among women in India,
China, Mexico, Russia and South Africa. SETTING: Data from the WHO's Study on
Global Ageing and Adult Health (SAGE) 2007-2008 data were used for survey
weighted multivariable regression analysis. We examined the association between
individual, parental and life-course SES in relation to breast and cervical
cancer screening using education-based and employment-based measures of SES.
PARTICIPANTS: 22 283 women aged 18-65 years, recruited from China, India, Mexico,
Russia and South Africa. RESULTS: Having a college degree (OR 4.18; 95% CI 2.36
to 7.40) increased the odds of breast cancer screening compared with no formal
education. Women with higher parental SES were almost 10 times more likely to
receive breast cancer screening (OR 9.84; 95% CI 1.75 to 55.5) compared with
women with low parental SES. Stable higher life-course (OR 3.07; 95% CI 1.96 to
4.79) increased breast cancer screening by threefold and increased cervical
cancer screening by more than fourfold (OR 4.35; 95% CI 2.94 to 6.45); however,
declining life-course SES was associated with reduced breast cancer screening (OR
0.26; 95% CI 0.08 to 0.79) compared to low life-course SES. CONCLUSIONS: Higher
individual, parental and life-course SES was positively associated with breast
and cervical cancer screening, although education-based SES measures were
stronger predictors of screening compared with employment-based measures.
Improving knowledge of the benefits of cancer screening and integrating cancer
screening into routine healthcare practice for low SES women are actionable
strategies that may significantly improve screening rates in low-income and
middle-income countries.
PMID- 27881529
TI - Co-occurrence and clustering of health conditions at age 11: cross-sectional
findings from the Millennium Cohort Study.
AB - OBJECTIVES: To identify patterns of co-occurrence and clustering of 6 common
adverse health conditions in 11-year-old children and explore differences by
sociodemographic factors. DESIGN: Nationally representative prospective cohort
study. SETTING: Children born in the UK between 2000 and 2002. PARTICIPANTS: 11
399 11-year-old singleton children for whom data on all 6 health conditions and
sociodemographic information were available (complete cases). MAIN OUTCOME
MEASURES: Prevalence, co-occurrence and clustering of 6 common health conditions:
wheeze; eczema; long-standing illness (excluding wheeze and eczema); injury;
socioemotional difficulties (measured using Strengths and Difficulties
Questionnaire) and unfavourable weight (thin/overweight/obese vs normal).
RESULTS: 42.4% of children had 2 or more adverse health conditions (co
occurrence). Co-occurrence was more common in boys and children from lower income
households. Latent class analysis identified 6 classes: 'normative' (57.4%):
'atopic burdened' (14.0%); 'socioemotional burdened' (11.0%); 'unfavourable
weight/injury' (7.7%); 'eczema/injury' (6.0%) and 'eczema/unfavourable weight'
(3.9%). As with co-occurrence, class membership differed by sociodemographic
factors: boys, children of mothers with lower educational attainment and children
from lower income households were more likely to be in the 'socioemotional
burdened' class. Children of mothers with higher educational attainment were more
likely to be in the 'normative' and 'eczema/unfavourable weight' classes.
CONCLUSIONS: Co-occurrence of adverse health conditions at age 11 is common and
is associated with adverse socioeconomic circumstances. Holistic, child focused
care, particularly in boys and those in lower income groups, may help to prevent
and reduce co-occurrence in later childhood and adolescence.
PMID- 27881531
TI - Burnout prevalence in New Zealand's public hospital senior medical workforce: a
cross-sectional mixed methods study.
AB - OBJECTIVES: To explore the prevalence of, and associated factors contributing to
burnout among senior doctors and dentists working in the New Zealand's public
health system. DESIGN: Cross-sectional, mixed methods study. SETTING: New
Zealand's 20 district health boards (DHBs). PARTICIPANTS: A total of 1487 of 3740
senior doctors and dentists who are members of the Association of Salaried
Medical Specialists working in DHBs were recruited (response rate 40%). PRIMARY
AND SECONDARY OUTCOME MEASURES: Gender, age, self-rated health status, vocation
and hours of work per week were obtained from an electronic questionnaire.
Burnout was measured using the Copenhagen Burnout Inventory. Qualitative data
taken from an open-ended comments section was coded using grounded theory and
used for contextual data. RESULTS: The overall prevalence of high personal
burnout was 50%. Women aged <40 years had 71% prevalence of high personal
burnout. Prevalence of high work-related burnout and patient-related burnout was
42% and 16%, respectively. Those working in emergency medicine and psychiatry had
significantly higher mean work-related burnout than other specialties (p<0.001).
On multivariate analysis, having fair or poor health status (OR 10.8, 95% CI 6.8
to 17.1), working more than 14 consecutive hours (OR 1.43, 95% CI 1.12 to 1.82)
and being a woman (OR 2.14, 95% CI 1.68 to 2.73) were independently associated
with high personal and work-related burnout. Personal burnout rates decreased
with age (age 30-39 OR 2.86, 95% CI 1.78 to 4.59, age 40-49 OR 2.45, 95% CI 1.70
to 3.55, age 50-59 OR 1.70, 95% CI 1.17 to 2.46, compared with age>60).
Qualitative data emphasised intense and unrelenting workloads, under-staffing,
onerous on-call duties and frustrations with management as factors contributing
to burnout. CONCLUSIONS: High burnout appears prevalent in New Zealand's senior
doctors and dentists. Many attribute their feelings of burnout to work
conditions. These findings may assist with understanding contributors to burnout
and with developing strategies to ameliorate the high burnout found across this
cohort.
PMID- 27881533
TI - Seven Bacteriophages Isolated from the Female Urinary Microbiota.
AB - Recent research has debunked the myth that urine is sterile, having uncovered
bacteria within the bladders of healthy individuals. However, the identity,
diversity, and putative roles of bacteriophages in the bladder are unknown. We
report the draft genome sequences of seven bacteriophages isolated from microbial
communities from adult female bladders.
PMID- 27881530
TI - Menstrual cups and sanitary pads to reduce school attrition, and sexually
transmitted and reproductive tract infections: a cluster randomised controlled
feasibility study in rural Western Kenya.
AB - OBJECTIVES: Conduct a feasibility study on the effect of menstrual hygiene on
schoolgirls' school and health (reproductive/sexual) outcomes. DESIGN: 3-arm
single-site open cluster randomised controlled pilot study. SETTING: 30 primary
schools in rural western Kenya, within a Health and Demographic Surveillance
System. PARTICIPANTS: Primary schoolgirls 14-16 years, experienced 3 menses, no
precluding disability, and resident in the study area. INTERVENTIONS: 1
insertable menstrual cup, or monthly sanitary pads, against 'usual practice'
control. All participants received puberty education preintervention, and hand
wash soap during intervention. Schools received hand wash soap. PRIMARY AND
SECONDARY OUTCOME MEASURES: Primary: school attrition (drop-out, absence);
secondary: sexually transmitted infection (STI) (Trichomonas vaginalis, Chlamydia
trachomatis, Neisseria gonorrhoea), reproductive tract infection (RTI) (bacterial
vaginosis, Candida albicans); safety: toxic shock syndrome, vaginal
Staphylococcus aureus. RESULTS: Of 751 girls enrolled 644 were followed-up for a
median of 10.9 months. Cups or pads did not reduce school dropout risk
(control=8.0%, cups=11.2%, pads=10.2%). Self-reported absence was rarely reported
and not assessable. Prevalence of STIs in the end-of-study survey among controls
was 7.7% versus 4.2% in the cups arm (adjusted prevalence ratio (aPR) 0.48, 0.24
to 0.96, p=0.039), 4.5% with pads (aPR=0.62; 0.37 to 1.03, p=0.063), and 4.3%
with cups and pads pooled (aPR=0.54, 0.34 to 0.87, p=0.012). RTI prevalence was
21.5%, 28.5% and 26.9% among cup, pad and control arms, 71% of which were
bacterial vaginosis, with a prevalence of 14.6%, 19.8% and 20.5%, per arm,
respectively. Bacterial vaginosis was less prevalent in the cups (12.9%) compared
with pads (20.3%, aPR=0.65, 0.44 to 0.97, p=0.034) and control (19.2%, aPR=0.67,
0.43 to 1.04, p=0.075) arm girls enrolled for 9 months or longer. No adverse
events were identified. CONCLUSIONS: Provision of menstrual cups and sanitary
pads for ~1 school-year was associated with a lower STI risk, and cups with a
lower bacterial vaginosis risk, but there was no association with school dropout.
A large-scale trial on menstrual cups is warranted. TRIAL REGISTRATION:
ISRCTN17486946; Results.
PMID- 27881532
TI - Genome Sequence of Mycobacteriophage ErnieJ.
AB - ErnieJ, a cluster C mycobacteriophage that infects Mycobacterium smegmatis
mc2155, was recovered from soil in Washington, DC. Its genome is 153,243 bp in
size and encodes 227 predicted proteins, 30 tRNAs, and one transfer-messenger RNA
(tmRNA). Ten percent of the predicted proteins have homologs in phages that
infect nonmycobacterial Actinobacteria.
PMID- 27881535
TI - Draft Genome Sequence of the Fungus Penicillium solitum NJ1.
AB - Penicillium solitum is one of the most prevalent species causing postharvest
decay of pomaceous fruits during storage. Here, we report the draft genome of P.
solitum strain NJ1, received as a transfer of a strain originally identified as
P. griseofulvum by classical means.
PMID- 27881534
TI - Genome Sequencing and Analysis of the Filamentous Fungus Penicillium sclerotiorum
113, Isolated after Hurricane Sandy.
AB - Penicillium sclerotiorum is a distinctive species within the genus Penicillium
that usually produces vivid orange to red colonies, sometimes with colorful
sclerotia. Here, we report the first draft genome sequence of P. sclerotiorum
strain 113, isolated in 2013 in the aftermath of Hurricane Sandy from a flooded
home in New Jersey.
PMID- 27881536
TI - Complete Genome Sequence of Edwardsiella piscicida Isolate S11-285 Recovered from
Channel Catfish (Ictalurus punctatus) in Mississippi, USA.
AB - Edwardsiella piscicida is a recently described Gram-negative facultative anaerobe
and an important pathogen to many wild and cultured fish species worldwide. Here,
we report the complete and annotated genome of E. piscicida isolate S11-285
recovered from channel catfish (Ictalurus punctatus), consisting of a chromosome
of 3,923,603 bp and 1 plasmid.
PMID- 27881537
TI - Complete Genome Sequence of Mycobacterium chimaera Strain AH16.
AB - Mycobacterium chimaera is a nontuberculous mycobacterial species that causes
cardiovascular, pulmonary, and postsurgical infections. Here, we report the first
complete genome sequence of M. chimaera This genome is 6.33 Mbp, with a G+C
content of 67.56%, and encodes 4,926 protein-coding genes, as well as 74 tRNAs,
one ncRNA, and three rRNA genes.
PMID- 27881538
TI - Near-Complete Genome Sequence of Thalassospira sp. Strain KO164 Isolated from a
Lignin-Enriched Marine Sediment Microcosm.
AB - Thalassospira sp. strain KO164 was isolated from eastern Mediterranean seawater
and sediment laboratory microcosms enriched on insoluble organosolv lignin under
oxic conditions. The near-complete genome sequence presented here will facilitate
analyses into this deep-ocean bacterium's ability to degrade recalcitrant
organics such as lignin.
PMID- 27881539
TI - Coexistence of Fosfomycin and Colistin Resistance in Klebsiella pneumoniae: Whole
Genome Shotgun Sequencing.
AB - Resistance to colistin is a major threat that limits therapeutic choices for
treating carbapenem-resistant Klebsiella pneumoniae infections. Herein, we report
the draft genome sequences of two colistin-resistant K. pneumoniae isolates
(BA41763 and B6753). The sequence data indicate that BA41763 and B6753 contain
genomes of ~5.9 and 5.7 Mb in size with several plasmids.
PMID- 27881541
TI - Whole-Genome Sequences of Two Manganese(II)-Oxidizing Bacteria, Bosea sp. Strain
BIWAKO-01 and Alphaproteobacterium Strain U9-1i.
AB - This report describes the whole-genome sequences of two Mn(II)-oxidizing
bacteria, filamentous Mn oxide microparticle-forming Bosea sp. strain BIWAKO-01
and alphaproteobacterium strain U9-1i.
PMID- 27881540
TI - Genome Sequence of Salegentibacter salarius KCTC 12974, Isolated from a Marine
Solar Saltern of the Yellow Sea in South Korea.
AB - Salegentibacter salarius KCTC 12974 is isolated from a marine solar saltern of
the Yellow Sea in South Korea. Here, we report the draft genome sequence of
Salegentibacter salarius KCTC 12974. Various glycoside hydrolase genes in even
numbers in the genome reflect the ecological adaption of KCTC 12974 to its
habitat.
PMID- 27881543
TI - First Report on the Draft Genome Sequences of Corynebacterium diphtheriae
Isolates from India.
AB - We report here the draft genome sequences of five Corynebacterium diphtheriae
isolates of Indian origin. The C. diphtheriae isolates TH1141, TH510, TH1526,
TH1337, and TH2031 belong to sequence type ST-50, ST-295, ST-377, ST-405, and ST
405, with an average genome size of 2.5 Mbp.
PMID- 27881542
TI - Draft Genome Sequences of Four Alteromonas macleodii Strains Isolated from Copper
Coupons and Grown Long-Term at Elevated Copper Levels.
AB - Alteromonas macleodii is a marine bacterium involved in the early stages of
biofouling on ship hulls treated with copper as an antifouling agent. We report
here the draft genome sequences of an A. macleodii strain isolated from copper
coupons and three laboratory mutants grown long-term at elevated copper levels.
PMID- 27881544
TI - Draft Genome Sequence of Lysinibacillus xylanilyticus SR-86.
AB - Lysinibacillus xylanilyticus belongs to the family Bacillaceae and was first
described in 2010 with the type strain L. xylanilyticus XDB9. It is able to both
degrade xylan and use it as the sole carbon source. Here, we describe the 4.8-Mb
genome of the strain L. xylanilyticus SR-86, which was isolated from organic
waste.
PMID- 27881545
TI - Complete Genome Sequence of the Probiotic Strain Lactobacillus salivarius LPM01.
AB - Lactobacillus salivarius LPM01 (DSM 22150) is a probiotic strain able to improve
health status in immunocompromised people. Here, we report its complete genome
sequence deciphered by PacBio single-molecule real-time (SMRT) technology.
Analysis of the sequence may provide insights into its functional activity and
safety assessment.
PMID- 27881546
TI - Draft Genome Sequences of Two "Haemophilus quentini" Isolates Recovered from Two
Different Patients' Blood Cultures.
AB - Here, we present the draft genome sequences of two strains (K068 and C860) of the
genospecies "Haemophilus quentini" The isolates were recovered from blood
cultures of a newborn neonate and an elderly patient with septicemia in Ontario,
Canada.
PMID- 27881548
TI - Draft Genome Sequence of Lactobacillus plantarum TL2766, a Strain with the
Ability To Ferment Wakame.
AB - The genome sequence of Lactobacillus plantarum TL2766, a strain with the ability
to ferment wakame (Undaria pinnatifida), is described here. The reads were
assembled into contigs, with a total size of 3,310,195 bp. The genome information
will be useful for further specific genetic studies of this strain and for its
biotechnological applications.
PMID- 27881547
TI - Draft Genome Sequence of Salmonella enterica subsp. diarizonae Serovar
61:k:1,5,(7) Strain CRJJGF_00165 (Phylum Gammaproteobacteria).
AB - Here, we report a 4.78-Mb draft genome sequence of the Salmonella enterica subsp.
diarizonae serovar 61:k:1,5,(7) strain CRJJGF_00165 [also called S. enterica
subsp. IIIb serovar 61:k:1,5,(7) strain CRJJGF_00165], isolated from ground beef
in 2007.
PMID- 27881549
TI - Genome Sequence of Corynebacterium pseudotuberculosis Strain XH02 Isolated from a
Boer Goat in Xuanhan, China.
AB - We report here the genome sequence of Corynebacterium pseudotuberculosis strain
XH02, isolated from a Boer goat in China. The genome consists of 2,357,671 bp,
with a 52.18% G+C content, 2,263 coding sequences, 21 rRNAs, 49 tRNAs, and 44
predicted pseudogenes.
PMID- 27881550
TI - Draft Genome Sequence of Magnetovibrio blakemorei Strain MV-1, a Marine Vibrioid
Magnetotactic Bacterium.
AB - We report here the genome sequence of Magnetovibrio blakemorei MV-1, a marine
vibrioid magnetotactic bacterium with a single polar flagellum. The current
assembly consists of 91 contigs with a combined size of 3,638,804 bp (54.3% G+C
content). This genome allows for further investigations of the molecular
biomineralization mechanisms of magnetosome formation.
PMID- 27881551
TI - Comparison of the Otto Bock solid ankle cushion heel foot with wooden keel to the
low-cost CR-EquipementsTM solid ankle cushion heel foot with polypropylene keel:
A randomized prospective double-blind crossover study assessing patient
satisfaction and energy expenditure.
AB - BACKGROUND: The International Committee of the Red Cross supports a worldwide
program of prosthetic fitting and rehabilitation. In this context, a prosthetic
foot was developed and widely distributed in least developed countries. STUDY
DESIGN: Prospective, randomized, double-blind, controlled study. OBJECTIVE: To
compare patient satisfaction and energy expenditure during ambulation between a
low-cost prosthetic foot designed with a polypropylene keel (CR-EquipementsTM
solid ankle cushion heel, International Committee of the Red Cross) to a well
recognized solid ankle cushion heel foot with a wooden keel (solid ankle cushion
heel foot, Otto Bock). METHODS: A total of 15 participants with unilateral
transtibial amputation were evaluated using the two prosthetic feet in a
randomized prospective double-blind crossover study. Main outcomes were patient
satisfaction questionnaires (Satisfaction with Prosthesis Questionnaire and
prosthetic foot satisfaction) and energy expenditure (oxygen consumption
mL/kg/min, oxygen cost-mL/kg/m, and heart rate-bpm). RESULTS: There were no
significant differences between the two prosthetic feet for satisfaction and
energy expenditure. CONCLUSION: The low-cost solid ankle cushion heel foot with
polypropylene keel provides comparable satisfaction and similar energy
expenditure as the solid ankle cushion heel foot with wooden keel. Clinical
relevance The results of this study support the application and widespread use of
the CR-EquipementsTM solid ankle cushion heel foot. From a cost-effectiveness
standpoint, patients are well satisfied and exhibit similar outcomes at a
substantially lower cost.
PMID- 27881554
TI - O PIONEERs! The Beginning of the End of Full-Dose Triple Therapy with Warfarin?
PMID- 27881553
TI - Stage-Specific Transcriptome and Proteome Analyses of the Filarial Parasite
Onchocerca volvulus and Its Wolbachia Endosymbiont.
AB - : Onchocerciasis (river blindness) is a neglected tropical disease that has been
successfully targeted by mass drug treatment programs in the Americas and small
parts of Africa. Achieving the long-term goal of elimination of onchocerciasis,
however, requires additional tools, including drugs, vaccines, and biomarkers of
infection. Here, we describe the transcriptome and proteome profiles of the major
vector and the human host stages (L1, L2, L3, molting L3, L4, adult male, and
adult female) of Onchocerca volvulus along with the proteome of each parasitic
stage and of its Wolbachia endosymbiont (wOv). In so doing, we have identified
stage-specific pathways important to the parasite's adaptation to its human host
during its early development. Further, we generated a protein array that, when
screened with well-characterized human samples, identified novel diagnostic
biomarkers of O. volvulus infection and new potential vaccine candidates. This
immunomic approach not only demonstrates the power of this postgenomic discovery
platform but also provides additional tools for onchocerciasis control programs.
IMPORTANCE: The global onchocerciasis (river blindness) elimination program will
have to rely on the development of new tools (drugs, vaccines, biomarkers) to
achieve its goals by 2025. As an adjunct to the completed genomic sequencing of
O. volvulus, we used a comprehensive proteomic and transcriptomic profiling
strategy to gain a comprehensive understanding of both the vector-derived and
human host-derived parasite stages. In so doing, we have identified proteins and
pathways that enable novel drug targeting studies and the discovery of novel
vaccine candidates, as well as useful biomarkers of active infection.
PMID- 27881552
TI - Cardiac T-Tubule Microanatomy and Function.
AB - Unique to striated muscle cells, transverse tubules (t-tubules) are membrane
organelles that consist of sarcolemma penetrating into the myocyte interior,
forming a highly branched and interconnected network. Mature t-tubule networks
are found in mammalian ventricular cardiomyocytes, with the transverse components
of t-tubules occurring near sarcomeric z-discs. Cardiac t-tubules contain
membrane microdomains enriched with ion channels and signaling molecules. The
microdomains serve as key signaling hubs in regulation of cardiomyocyte function.
Dyad microdomains formed at the junctional contact between t-tubule membrane and
neighboring sarcoplasmic reticulum are critical in calcium signaling and
excitation-contraction coupling necessary for beat-to-beat heart contraction. In
this review, we provide an overview of the current knowledge in gross morphology
and structure, membrane and protein composition, and function of the cardiac t
tubule network. We also review in detail current knowledge on the formation of
functional membrane subdomains within t-tubules, with a particular focus on the
cardiac dyad microdomain. Lastly, we discuss the dynamic nature of t-tubules
including membrane turnover, trafficking of transmembrane proteins, and the life
cycles of membrane subdomains such as the cardiac BIN1-microdomain, as well as t
tubule remodeling and alteration in diseased hearts. Understanding cardiac t
tubule biology in normal and failing hearts is providing novel diagnostic and
therapeutic opportunities to better treat patients with failing hearts.
PMID- 27881555
TI - Recurrent Hospitalization Among Patients With Atrial Fibrillation Undergoing
Intracoronary Stenting Treated With 2 Treatment Strategies of Rivaroxaban or a
Dose-Adjusted Oral Vitamin K Antagonist Treatment Strategy.
AB - BACKGROUND: Patients with atrial fibrillation who undergo intracoronary stenting
traditionally are treated with a vitamin K antagonist (VKA) plus dual
antiplatelet therapy (DAPT), yet this treatment leads to high risks of bleeding.
We hypothesized that a regimen of rivaroxaban plus a P2Y12 inhibitor monotherapy
or rivaroxaban plus DAPT could reduce bleeding and thereby have a favorable
impact on all-cause mortality and the need for rehospitalization. METHODS:
Stented subjects with nonvalvular atrial fibrillation (n=2124) were randomized
1:1:1 to administration of reduced-dose rivaroxaban 15 mg daily plus a P2Y12
inhibitor for 12 months (group 1); rivaroxaban 2.5 mg twice daily with
stratification to a prespecified duration of DAPT of 1, 6, or 12 months (group
2); or the reference arm of dose-adjusted VKA daily with a similar DAPT
stratification (group 3). The present post hoc analysis assessed the end point of
all-cause mortality or recurrent hospitalization for an adverse event, which was
further classified as the result of bleeding, a cardiovascular cause, or another
cause blinded to treatment assignment. RESULTS: The risk of all-cause mortality
or recurrent hospitalization was 34.9% in group 1 (hazard ratio=0.79; 95%
confidence interval, 0.66-0.94; P=0.008 versus group 3; number needed to
treat=15), 31.9% in group 2 (hazard ratio=0.75; 95% confidence interval, 0.62
0.90; P=0.002 versus group 3; number needed to treat=10), and 41.9% in group 3
(VKA+DAPT). Both all-cause death plus hospitalization potentially resulting from
bleeding (group 1=8.6% [P=0.032 versus group 3], group 2=8.0% [P=0.012 versus
group 3], and group 3=12.4%) and all-cause death plus rehospitalization
potentially resulting from a cardiovascular cause (group 1=21.4% [P=0.001 versus
group 3], group 2=21.7% [P=0.011 versus group 3], and group 3=29.3%) were reduced
in the rivaroxaban arms compared with the VKA arm, but other forms of
rehospitalization were not. CONCLUSIONS: Among patients with atrial fibrillation
undergoing intracoronary stenting, administration of either rivaroxaban 15 mg
daily plus P2Y12 inhibitor monotherapy or 2.5 mg rivaroxaban twice daily plus
DAPT was associated with a reduced risk of all-cause mortality or recurrent
hospitalization for adverse events compared with standard-of-care VKA plus DAPT.
CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique
identifier: NCT01830543.
PMID- 27881556
TI - Nitrite and S-Nitrosohemoglobin Exchange Across the Human Cerebral and Femoral
Circulation: Relationship to Basal and Exercise Blood Flow Responses to Hypoxia.
AB - BACKGROUND: The mechanisms underlying red blood cell (RBC)-mediated hypoxic
vasodilation remain controversial, with separate roles for nitrite () and S
nitrosohemoglobin (SNO-Hb) widely contested given their ability to transduce
nitric oxide bioactivity within the microcirculation. To establish their relative
contribution in vivo, we quantified arterial-venous concentration gradients
across the human cerebral and femoral circulation at rest and during exercise, an
ideal model system characterized by physiological extremes of O2 tension and
blood flow. METHODS: Ten healthy participants (5 men, 5 women) aged 24+/-4
(mean+/-SD) years old were randomly assigned to a normoxic (21% O2) and hypoxic
(10% O2) trial with measurements performed at rest and after 30 minutes of
cycling at 70% of maximal power output in hypoxia and equivalent relative and
absolute intensities in normoxia. Blood was sampled simultaneously from the
brachial artery and internal jugular and femoral veins with plasma and RBC nitric
oxide metabolites measured by tri-iodide reductive chemiluminescence. Blood flow
was determined by transcranial Doppler ultrasound (cerebral blood flow) and
constant infusion thermodilution (femoral blood flow) with net exchange
calculated via the Fick principle. RESULTS: Hypoxia was associated with a mild
increase in both cerebral blood flow and femoral blood flow (P<0.05 versus
normoxia) with further, more pronounced increases observed in femoral blood flow
during exercise (P<0.05 versus rest) in proportion to the reduction in RBC
oxygenation (r=0.680-0.769, P<0.001). Plasma gradients reflecting consumption
(arterial>venous; P<0.05) were accompanied by RBC iron nitrosylhemoglobin
formation (venous>arterial; P<0.05) at rest in normoxia, during hypoxia (P<0.05
versus normoxia), and especially during exercise (P<0.05 versus rest), with the
most pronounced gradients observed across the bioenergetically more active,
hypoxemic, and acidotic femoral circulation (P<0.05 versus cerebral). In
contrast, we failed to observe any gradients consistent with RBC SNO-Hb
consumption and corresponding delivery of plasma S-nitrosothiols (P>0.05).
CONCLUSIONS: These findings suggest that hypoxia and, to a far greater extent,
exercise independently promote arterial-venous delivery gradients of
intravascular nitric oxide, with deoxyhemoglobin-mediated reduction identified as
the dominant mechanism underlying hypoxic vasodilation.
PMID- 27881558
TI - The American Heart Association's New Institute for Precision Cardiovascular
Medicine.
PMID- 27881557
TI - Plasma Metabolomics Implicates Modified Transfer RNAs and Altered Bioenergetics
in the Outcomes of Pulmonary Arterial Hypertension.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) is a heterogeneous disorder
with high mortality. METHODS: We conducted a comprehensive study of plasma
metabolites using ultraperformance liquid chromatography mass spectrometry to
identify patients at high risk of early death, to identify patients who respond
well to treatment, and to provide novel molecular insights into disease
pathogenesis. RESULTS: Fifty-three circulating metabolites distinguished well
phenotyped patients with idiopathic or heritable PAH (n=365) from healthy control
subjects (n=121) after correction for multiple testing (P<7.3e-5) and confounding
factors, including drug therapy, and renal and hepatic impairment. A subset of 20
of 53 metabolites also discriminated patients with PAH from disease control
subjects (symptomatic patients without pulmonary hypertension, n=139). Sixty-two
metabolites were prognostic in PAH, with 36 of 62 independent of established
prognostic markers. Increased levels of tRNA-specific modified nucleosides (N2,N2
dimethylguanosine, N1-methylinosine), tricarboxylic acid cycle intermediates
(malate, fumarate), glutamate, fatty acid acylcarnitines, tryptophan, and
polyamine metabolites and decreased levels of steroids, sphingomyelins, and
phosphatidylcholines distinguished patients from control subjects. The largest
differences correlated with increased risk of death, and correction of several
metabolites over time was associated with a better outcome. Patients who
responded to calcium channel blocker therapy had metabolic profiles similar to
those of healthy control subjects. CONCLUSIONS: Metabolic profiles in PAH are
strongly related to survival and should be considered part of the deep phenotypic
characterization of this disease. Our results support the investigation of
targeted therapeutic strategies that seek to address the alterations in
translational regulation and energy metabolism that characterize these patients.
PMID- 27881559
TI - Safety and Tolerability of CSL112, a Reconstituted, Infusible, Plasma-Derived
Apolipoprotein A-I, After Acute Myocardial Infarction: The AEGIS-I Trial (ApoA-I
Event Reducing in Ischemic Syndromes I).
AB - BACKGROUND: Human or recombinant apolipoprotein A-I (apoA-I) has been shown to
increase high-density lipoprotein-mediated cholesterol efflux capacity and to
regress atherosclerotic disease in animal and clinical studies. CSL112 is an
infusible, plasma-derived apoA-I that has been studied in normal subjects or
those with stable coronary artery disease. This study aimed to characterize the
safety, tolerability, pharmacokinetics, and pharmacodynamics of CSL112 in
patients with a recent acute myocardial infarction. METHODS: The AEGIS-I trial
(Apo-I Event Reducing in Ischemic Syndromes I) was a multicenter, randomized,
double-blind, placebo-controlled, dose-ranging phase 2b trial. Patients with
myocardial infarction were stratified by renal function and randomized 1:1:1 to
CSL112 (2 g apoA-I per dose) and high-dose CSL112 (6 g apoA-I per dose), or
placebo for 4 consecutive weekly infusions. Coprimary safety end points were
occurrence of either a hepatic safety event (an increase in alanine transaminase
>3 times the upper limit of normal or an increase in total bilirubin >2 times the
upper limit of normal) or a renal safety event (an increase in serum creatinine
>1.5 times the baseline value or a new requirement for renal replacement
therapy). RESULTS: A total of 1258 patients were randomized, and 91.2% received
all 4 infusions. The difference in incidence rates for an increase in alanine
transaminase or total bilirubin between both CSL112 arms and placebo was within
the protocol-defined noninferiority margin of 4%. Similarly, the difference in
incidence rates for an increase in serum creatinine or a new requirement for
renal replacement therapy was within the protocol-defined noninferiority margin
of 5%. CSL112 was associated with increases in apoA-I and ex vivo cholesterol
efflux similar to that achieved in patients with stable coronary artery disease.
In regard to the secondary efficacy end point, the risk for the composite of
major adverse cardiovascular events among the groups was similar. CONCLUSIONS:
Among patients with acute myocardial infarction, 4 weekly infusions of CSL112 are
feasible, well tolerated, and not associated with any significant alterations in
liver or kidney function or other safety concern. The ability of CSL112 to
acutely enhance cholesterol efflux was confirmed. The potential benefit of CSL112
to reduce major adverse cardiovascular events needs to be assessed in an
adequately powered phase 3 trial. CLINICAL TRIAL REGISTRATION: URL:
https://clinicaltrials.gov. Unique identifier: NCT02108262.
PMID- 27881561
TI - Reassessment of Cardiac Function and Implantable Cardioverter-Defibrillator Use
Among Medicare Patients With Low Ejection Fraction After Myocardial Infarction.
AB - BACKGROUND: Guidelines recommend that patients with low ejection fraction (EF)
after myocardial infarction (MI) have their EF reassessed 40 days after MI for
implantable cardioverter-defibrillator (ICD) candidacy. This study examines rates
of EF reassessment and their association with 1-year ICD implantation in post-MI
patients with low EF. METHODS: We examined rates of postdischarge EF reassessment
and ICD implantation among 10 289 Medicare-insured patients >=65 years of age
with an EF<=35% during the index MI admission from January 2007 through September
2010 in ACTION Registry-GWTG (Acute Coronary Treatment and Intervention Outcomes
Network Registry-Get With The Guidelines). Multivariable Cox models tested the
association between time-dependent EF reassessment and 1-year ICD implantation,
stratified by revascularization status during the index MI admission. RESULTS:
Among patients with EF <=35% during the index MI admission, 66.8% (95% confidence
interval [CI], 65.9-67.8) had EF reassessment within the next year.
Revascularized patients were more likely to have EF reassessment (76.9% [95% CI,
75.8-78.0)] versus 53.7% [95% CI, 52.2-55.2]; P<0.001) and had shorter times to
EF reassessment (median, 67 versus 84 days; P<0.001) than nonrevascularized
patients. Among patients with EF reassessment, only 11% received an ICD within 1
year. Reassessment of EF was associated with a higher likelihood of ICD
implantation for both revascularized (unadjusted, 12.1% versus 2.4%, P<0.001;
adjusted hazard ratio, 10.6, 95% CI, 7.7-14.8) and nonrevascularized (unadjusted,
10.0% versus 1.7%, P<0.001; adjusted hazard ratio, 6.1, 95% CI, 4.1-9.2)
patients. CONCLUSIONS: In US practice, EF reassessments are commonly performed
among patients with MI with an initially reduced EF. Although 1-year EF
reassessment is associated with increased likelihood of ICD implantation, 1-year
ICD implantation rates remain very low even among patients with EF reassessment,
regardless of revascularization status.
PMID- 27881560
TI - Coronary Artery Calcium to Guide a Personalized Risk-Based Approach to Initiation
and Intensification of Antihypertensive Therapy.
AB - BACKGROUND: The use of atherosclerotic cardiovascular disease (ASCVD) risk to
personalize systolic blood pressure (SBP) treatment goals is a topic of
increasing interest. Therefore, we studied whether coronary artery calcium (CAC)
can further guide the allocation of anti-hypertensive treatment intensity.
METHODS: We included 3733 participants from the Multi-Ethnic Study of
Atherosclerosis (MESA) with SBP between 120 and 179 mm Hg. Within subgroups
categorized by both SBP (120-139 mm Hg, 140-159 mm Hg, and 160-179 mm Hg) and
estimated 10-year ASCVD risk (using the American College of Cardiology/American
Heart Assocation pooled-cohort equations), we compared multivariable-adjusted
hazard ratios for the composite outcome of incident ASCVD or heart failure after
further stratifying by CAC (0, 1-100, or >100). We estimated 10-year number
needed-to-treat for an intensive SBP goal of 120 mm Hg by applying the treatment
benefit recorded in meta-analyses to event rates within CAC strata. RESULTS: The
mean age was 65 years, and 642 composite events took place over a median of 10.2
years. In persons with SBP <160 mm Hg, CAC stratified risk for events. For
example, among those with an ASCVD risk of <15% and who had an SBP of either 120
to 139 mm Hg or 140 to 159 mm Hg, respectively, we found increasing hazard ratios
for events with CAC 1 to 100 (1.7 [95% confidence interval, 1.0-2.6] or 2.0 [1.1
3.8]) and CAC >100 (3.0 [1.8-5.0] or 5.7 [2.9-11.0]), all relative to CAC=0.
There appeared to be no statistical association between CAC and events when SBP
was 160 to 179 mm Hg, irrespective of ASCVD risk level. Estimated 10-year number
needed-to-treat for a SBP goal of 120mmHg varied substantially according to CAC
levels when predicted ASCVD risk <15% and SBP <160mmHg (eg, 10-year number-needed
to-treat of 99 for CAC=0 and 24 for CAC>100, when SBP 120-139mm Hg). However, few
participants with ASCVD risk <5% had elevated CAC. Furthermore, 10-year number
needed-to-treat estimates were consistently low and varied less among CAC strata
when SBP was 160 to 179 mm Hg or when ASCVD risk was >=15% at any SBP level.
CONCLUSIONS: Combined CAC imaging and assessment of global ASCVD risk has the
potential to guide personalized SBP goals (eg, choosing a traditional goal of 140
or a more intensive goal of 120 mm Hg), particularly among adults with an
estimated ASCVD risk of 5% to 15% and prehypertension or mild hypertension.
PMID- 27881562
TI - Total Anomalous Pulmonary Venous Connection: The Current Management Strategies in
a Pediatric Cohort of 768 Patients.
AB - BACKGROUND: Total anomalous pulmonary venous connection (TAPVC) is a rare form of
congenital heart disease. This study describes current surgical treatment
strategies and experiences in a cohort of patients from 2 congenital cardiac
centers in Shanghai and Guangdong in China. METHODS: This retrospective study
included 768 patients operated on between 2005 and 2014. Although most patients
(n=690) underwent conventional repair, a sutureless technique was used in 10%
(n=78) of cases. A multilevel mixed-effects parametric survival model and a
competing-risk analysis were used to analyze associated risk factors for death
and recurrent pulmonary venous obstruction (PVO), respectively. Kaplan-Meier
analysis was used to analyze the overall survival. The Nelson-Aalen cumulative
risk curve was used to compare distributions of time with recurrent PVO. RESULTS:
The mean surgical age and weight were 214.9+/-39.2 days and 5.4+/-3.6 kg,
respectively. Obstructed TAPVC (PVO) was documented in 192 (25%) of the 768
patients. There were 38 intraoperative deaths and 13 late deaths. A younger age
at the time of repair (P=0.001), mixed (P=0.004) and infracardiac (P=0.035)
TAPVC, preoperative PVO (P=0.027), prolonged cardiopulmonary bypass time
(P<0.001), and longer duration of ventilation (P=0.028) were associated with
mortality. The median follow-up was 23.2 months (range; 1-112 months). Among the
717 survivors, recurrent PVO was observed in 111 patients (15%). Associated risk
factors for recurrent PVO included preoperative PVO (P<0.001), infracardiac TAPVC
(P<0.001), mixed TAPVC (P=0.013), and prolonged cardiopulmonary bypass time
(P<0.001). Sutureless technique was associated with a lower restenosis rate
compared with conventional repair in patients with preoperative PVO (P=0.038),
except in newborn patients (P=0.443). Reintervention for restenosis was performed
in 24 patients. The function of most survivors (91%) was classified according to
the New York Heart Association as functional class I or II. CONCLUSIONS: Surgical
correction in patients with TAPVC with a biventricular anatomy can achieve an
acceptable outcome. Risk factors such as a younger age at the time of repair,
infracardiac and mixed TAPVC, and preoperative PVO were associated with a poorer
prognosis.
PMID- 27881563
TI - Conventional Versus Compression-Only Versus No-Bystander Cardiopulmonary
Resuscitation for Pediatric Out-of-Hospital Cardiac Arrest.
AB - BACKGROUND: Conventional cardiopulmonary resuscitation (CPR) (chest compression
and rescue breathing) has been recommended for pediatric out-of-hospital cardiac
arrest (OHCA) because of the asphyxial nature of the majority of pediatric
cardiac arrest events. However, the clinical effectiveness of additional rescue
breathing (conventional CPR) compared with compression-only CPR in children is
uncertain. METHODS: This nationwide population-based study of pediatric OHCA
patients was based on data from the All-Japan Utstein Registry. We included all
pediatric patients who experienced OHCA in Japan from January 1, 2011, to
December 31, 2012. The primary outcome was a favorable neurological state 1 month
after OHCA defined as a Glasgow-Pittsburgh Cerebral Performance Category score of
1 to 2 (corresponding to a Pediatric Cerebral Performance Category score of 1-3).
Outcomes were compared with logistic regression with uni- and multivariable
modeling in the overall cohort and for a propensity-matched subset of patients.
RESULTS: A total of 2157 patients were included; 417 received conventional CPR,
733 received compression-only CPR, and 1007 did not receive any bystander CPR.
Among these patients, 213 (9.9%) survived with a favorable neurological status 1
month after OHCA, including 108/417 (25.9%) for conventional, 68/733 (9.3%) for
compression-only, and 37/1007 (3.7%) for no-bystander CPR. In unadjusted
analyses, conventional CPR was superior to compression-only CPR in neurologically
favorable survival (odds ratio [OR] 3.42, 95% confidence interval [CI] 2.45-4.76;
P<0.0001), with a trend favoring conventional CPR that was no longer
statistically significant after multivariable adjustment (ORadjusted 1.52, 95% CI
0.93-2.49), and with further attenuation of the difference in a propensity
matched subset (OR 1.20, 95% CI 0.81-1.77). Both conventional and compression
only CPR were associated with higher odds for neurologically favorable survival
compared with no-bystander CPR (ORadjusted 5.01, 95% CI 2.98-8.57, and ORadjusted
3.29, 95% CI 1.93-5.71), respectively. CONCLUSIONS: In this population-based
study of pediatric OHCA in Japan, both conventional and compression-only CPR were
associated with superior outcomes compared with no-bystander CPR. Unadjusted
outcomes with conventional CPR were superior to compression-only CPR, with the
magnitude of difference attenuated and no longer statistically significant after
statistical adjustments. These findings support randomized clinical trials
comparing conventional versus compression-only CPR in children, with conventional
CPR preferred until such controlled comparative data are available, and either
method preferred over no-bystander CPR.
PMID- 27881564
TI - Heart Failure Stages Among Older Adults in the Community: The Atherosclerosis
Risk in Communities Study.
AB - BACKGROUND: Although heart failure (HF) disproportionately affects older adults,
little data exist regarding the prevalence of American College of
Cardiology/American Heart Association HF stages among older individuals in the
community. Additionally, the role of contemporary measures of longitudinal strain
and diastolic dysfunction in defining HF stages is unclear. METHODS: HF stages
were classified in 6118 participants in the Atherosclerosis Risk in Communities
study (67-91 years of age) at the fifth study visit as follows: A (asymptomatic
with HF risk factors but no cardiac structural or functional abnormalities), B
(asymptomatic with structural abnormalities, defined as left ventricular
hypertrophy, dilation or dysfunction, or significant valvular disease), C1
(clinical HF without prior hospitalization), and C2 (clinical HF with earlier
hospitalization). RESULTS: Using the traditional definitions of HF stages, only
5% of examined participants were free of HF risk factors or structural heart
disease (Stage 0), 52% were categorized as Stage A, 30% Stage B, 7% Stage C1, and
6% Stage C2. Worse HF stage was associated with a greater risk of incident HF
hospitalization or death at a median follow-up of 608 days. Left ventricular (LV)
ejection fraction was preserved in 77% and 65% in Stages C1 and C2, respectively.
Incorporation of longitudinal strain and diastolic dysfunction into the Stage B
definition reclassified 14% of the sample from Stage A to B and improved the net
reclassification index (P=0.028) and integrated discrimination index (P=0.016).
Abnormal LV structure, systolic function (based on LV ejection fraction and
longitudinal strain), and diastolic function (based on e', E/e', and left atrial
volume index) were each independently and additively associated with risk of
incident HF hospitalization or death in Stage A and B participants. CONCLUSIONS:
The majority of older adults in the community are at risk for HF (Stages A or B),
appreciably more compared with previous reports in younger community-based
samples. LV ejection fraction is robustly preserved in at least two-thirds of
older adults with prevalent HF (Stage C), highlighting the burden of HF with
preserved LV ejection fraction in the elderly. LV diastolic function and
longitudinal strain provide incremental prognostic value beyond conventional
measures of LV structure and LV ejection fraction in identifying persons at risk
for HF hospitalization or death.
PMID- 27881565
TI - Low-Dose Aspirin for Primary Prevention of Cardiovascular Events in Patients With
Type 2 Diabetes Mellitus: 10-Year Follow-Up of a Randomized Controlled Trial.
AB - BACKGROUND: The long-term efficacy and safety of low-dose aspirin for primary
prevention of cardiovascular events in patients with type 2 diabetes mellitus are
still inconclusive. METHODS: The JPAD trial (Japanese Primary Prevention of
Atherosclerosis With Aspirin for Diabetes) was a randomized, open-label, standard
care-controlled trial examining whether low-dose aspirin affected cardiovascular
events in 2539 Japanese patients with type 2 diabetes mellitus and without
preexisting cardiovascular disease. Patients were randomly allocated to receive
aspirin (81 or 100 mg daily; aspirin group) or no aspirin (no-aspirin group) in
the JPAD trial. After that trial ended in 2008, we followed up with the patients
until 2015, with no attempt to change the previously assigned therapy. Primary
end points were cardiovascular events, including sudden death, fatal or nonfatal
coronary artery disease, fatal or nonfatal stroke, and peripheral vascular
disease. For the safety analysis, hemorrhagic events, consisting of
gastrointestinal bleeding, hemorrhagic stroke, and bleeding from any other sites,
were also analyzed. The primary analysis was conducted for cardiovascular events
among patients who retained their original allocation (a per-protocol cohort).
Analyses on an intention-to-treat cohort were conducted for hemorrhagic events
and statistical sensitivity. RESULTS: The median follow-up period was 10.3 years;
1621 patients (64%) were followed up throughout the study; and 2160 patients
(85%) retained their original allocation. Low-dose aspirin did not reduce
cardiovascular events in the per-protocol cohort (hazard ratio, 1.14; 95%
confidence interval, 0.91-1.42). Multivariable Cox proportional hazard model
adjusted for age, sex, glycemic control, kidney function, smoking status,
hypertension, and dyslipidemia showed similar results (hazard ratio, 1.04; 95%
confidence interval, 0.83-1.30), with no heterogeneity of efficacy in subgroup
analyses stratified by each of these factors (all interaction P>0.05).
Sensitivity analyses on the intention-to-treat cohort yielded consistent results
(hazard ratio, 1.01; 95% confidence interval, 0.82-1.25). Gastrointestinal
bleeding occurred in 25 patients (2%) in the aspirin group and 12 (0.9%) in the
no-aspirin group (P=0.03), and the incidence of hemorrhagic stroke was not
different between groups. CONCLUSIONS: Low-dose aspirin did not affect the risk
for cardiovascular events but increased risk for gastrointestinal bleeding in
patients with type 2 diabetes mellitus in a primary prevention setting. CLINICAL
TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier:
NCT00110448.
PMID- 27881566
TI - Association of Bystander Cardiopulmonary Resuscitation and Survival According to
Ambulance Response Times After Out-of-Hospital Cardiac Arrest.
AB - BACKGROUND: Bystander-initiated cardiopulmonary resuscitation (CPR) increases
patient survival after out-of-hospital cardiac arrest, but it is unknown to what
degree bystander CPR remains positively associated with survival with increasing
time to potential defibrillation. The main objective was to examine the
association of bystander CPR with survival as time to advanced treatment
increases. METHODS: We studied 7623 out-of-hospital cardiac arrest patients
between 2005 and 2011, identified through the nationwide Danish Cardiac Arrest
Registry. Multiple logistic regression analysis was used to examine the
association between time from 911 call to emergency medical service arrival
(response time) and survival according to whether bystander CPR was provided (yes
or no). Reported are 30-day survival chances with 95% bootstrap confidence
intervals. RESULTS: With increasing response times, adjusted 30-day survival
chances decreased for both patients with bystander CPR and those without.
However, the contrast between the survival chances of patients with versus
without bystander CPR increased over time: within 5 minutes, 30-day survival was
14.5% (95% confidence interval [CI]: 12.8-16.4) versus 6.3% (95% CI: 5.1-7.6),
corresponding to 2.3 times higher chances of survival associated with bystander
CPR; within 10 minutes, 30-day survival chances were 6.7% (95% CI: 5.4-8.1)
versus 2.2% (95% CI: 1.5-3.1), corresponding to 3.0 times higher chances of 30
day survival associated with bystander CPR. The contrast in 30-day survival
became statistically insignificant when response time was >13 minutes (bystander
CPR vs no bystander CPR: 3.7% [95% CI: 2.2-5.4] vs 1.5% [95% CI: 0.6-2.7]), but
30-day survival was still 2.5 times higher associated with bystander CPR. Based
on the model and Danish out-of-hospital cardiac arrest statistics, an additional
233 patients could potentially be saved annually if response time was reduced
from 10 to 5 minutes and 119 patients if response time was reduced from 7 (the
median response time in this study) to 5 minutes. CONCLUSIONS: The absolute
survival associated with bystander CPR declined rapidly with time. Yet bystander
CPR while waiting for an ambulance was associated with a more than doubling of 30
day survival even in case of long ambulance response time. Decreasing ambulance
response time by even a few minutes could potentially lead to many additional
lives saved every year.
PMID- 27881567
TI - Importance of Assessing Cardiorespiratory Fitness in Clinical Practice: A Case
for Fitness as a Clinical Vital Sign: A Scientific Statement From the American
Heart Association.
AB - Mounting evidence has firmly established that low levels of cardiorespiratory
fitness (CRF) are associated with a high risk of cardiovascular disease, all
cause mortality, and mortality rates attributable to various cancers. A growing
body of epidemiological and clinical evidence demonstrates not only that CRF is a
potentially stronger predictor of mortality than established risk factors such as
smoking, hypertension, high cholesterol, and type 2 diabetes mellitus, but that
the addition of CRF to traditional risk factors significantly improves the
reclassification of risk for adverse outcomes. The purpose of this statement is
to review current knowledge related to the association between CRF and health
outcomes, increase awareness of the added value of CRF to improve risk
prediction, and suggest future directions in research. Although the statement is
not intended to be a comprehensive review, critical references that address
important advances in the field are highlighted. The underlying premise of this
statement is that the addition of CRF for risk classification presents health
professionals with unique opportunities to improve patient management and to
encourage lifestyle-based strategies designed to reduce cardiovascular risk.
These opportunities must be realized to optimize the prevention and treatment of
cardiovascular disease and hence meet the American Heart Association's 2020
goals.
PMID- 27881568
TI - Incident Type 2 Myocardial Infarction in a Cohort of Patients Undergoing Coronary
or Peripheral Arterial Angiography.
AB - BACKGROUND: Despite growing recognition of type 2 myocardial infarction (T2MI;
related to supply/demand mismatch), little is known about its risk factors or its
association with outcome. METHODS: A single-center cohort of patients undergoing
coronary or peripheral angiography with or without intervention was prospectively
enrolled and followed for incident type 1 and T2MI, and major adverse
cardiovascular events (MACE, a composite of all-cause death, nonfatal myocardial
infarction [MI], heart failure, stroke, transient ischemic attack, peripheral
arterial complication, and cardiac arrhythmia), as well. T2MI was adjudicated
using criteria from the Third Universal Definition of MI. Baseline
characteristics, blood samples, and angiography information were obtained. Major
end points subsequent to first MI were assessed using landmark analyses to
compare the rates of first events only where everyone with a prior history of any
MACE before MI were censored and adjusted for follow-up times. Cox proportional
hazard models were used for time-to-event analyses with age and sex forced into
all models and additional covariates evaluated by using the stepwise option for
the selection. RESULTS: One thousand two hundred fifty-one patients were enrolled
and followed for a median of 3.4 years. Of these patients, 152 (12.2%) had T2MI
during follow-up; T2MI was frequently recurrent. Multivariable predictors of T2MI
were older age, lower systolic blood pressure, history of coronary artery
disease, heart failure, chronic obstructive pulmonary disease, diabetes mellitus,
nitrate use, and elevated concentrations of glucose, N-terminal pro-B type
natriuretic peptide, and cystatin C. Patients with T2MI had higher rates of
subsequent adverse events than those without T2MI (per 100 person-years: MACE,
53.7 versus 21.1, P<0.001; all-cause death, 23.3 versus 3.3, P<0.001;
cardiovascular death, 17.5 versus 2.6, P<0.001; heart failure events, 22.4 versus
7.4, P<0.001); these rates are similar to those seen in patients with type 1 MI.
Incident diagnosis of T2MI strongly predicted risk for subsequent MACE (adjusted
hazard ratio, 1.90; 95% confidence interval, 1.46-2.48; P<0.001), all-cause death
(adjusted hazard ratio, 2.96; 95% confidence interval, 2.01-4.36; P<0.001), and
cardiovascular death (adjusted hazard ratio, 2.16; 95% confidence interval, 1.36
3.43; P=0.001). CONCLUSIONS: T2MI is common and associated with poor prognosis.
Studies evaluating treatment strategies for management of T2MI are needed.
CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique
identifier: NCT00842868.
PMID- 27881569
TI - Extended-Duration Betrixaban Reduces the Risk of Stroke Versus Standard-Dose
Enoxaparin Among Hospitalized Medically Ill Patients: An APEX Trial Substudy
(Acute Medically Ill Venous Thromboembolism Prevention With Extended Duration
Betrixaban).
AB - BACKGROUND: Stroke is a morbid and potentially mortal complication among patients
hospitalized with acute medical illness. The potential of extended-duration
thromboprophylaxis with the factor Xa inhibitor betrixaban to reduce the risk of
stroke compared with standard-dose enoxaparin in this population was assessed in
this retrospective APEX trial substudy (Acute Medically Ill Venous
Thromboembolism Prevention With Extended Duration Betrixaban). METHODS:
Hospitalized acutely medically ill subjects (n=7513) were randomized in a double
dummy double-blind fashion to either extended-duration oral betrixaban (80 mg
once daily for 35-42 days) or standard-dose subcutaneous enoxaparin (40 mg once
daily for 10+/-4 days) for venous thromboprophylaxis. Stroke events were
adjudicated by an independent, blinded event adjudication committee. RESULTS: The
mean age of study participants was 76 years; 45% were male; 13% had had a stroke;
and 45% had congestive heart failure. There were fewer all-cause strokes (0.54%
versus 0.97%; relative risk [RR]=0.56; 95% confidence interval, 0.32-0.96;
P=0.032; adjusted RR=0.43%; number needed to treat=233) and ischemic strokes
(0.48% versus 0.91%; RR=0.53; 95% confidence interval, 0.30-0.94; P=0.026;
adjusted RR=0.43%; number needed to treat=233) among patients treated with
betrixaban versus enoxaparin through 77 days of follow-up. Among high-risk
subjects, those with congestive heart failure or ischemic stroke as their index
event, betrixaban reduced the risk of all-cause stroke (0.72% versus 1.48%;
RR=0.49; 95% confidence interval, 0.26-0.90; P=0.019; adjusted RR=0.76%; number
needed to treat=132) and ischemic stroke (0.63% versus 1.38%; RR=0.45; 95%
confidence interval, 0.24-0.87; P=0.014; adjusted RR=0.75%; number needed to
treat=134) compared with enoxaparin. CONCLUSIONS: Among hospitalized medically
ill patients, extended-duration betrixaban significantly reduced all-cause stroke
and ischemic stroke through 77 days of follow-up CLINICAL TRIAL REGISTRATION:
URL: http://www.clinicaltrials.gov. Unique identifier: NCT01583218.
PMID- 27881570
TI - Excess Cardiovascular Risk in Women Relative to Men Referred for Coronary
Angiography Is Associated With Severely Impaired Coronary Flow Reserve, Not
Obstructive Disease.
AB - BACKGROUND: Cardiovascular disease (CVD) fatality rates are higher for women than
for men, yet obstructive coronary artery disease (CAD) is less prevalent in
women. Coronary flow reserve (CFR), an integrated measure of large- and small
vessel CAD and myocardial ischemia, identifies patients at risk for CVD death,
but is not routinely measured in clinical practice. We sought to investigate the
impact of sex, CFR, and angiographic CAD severity on adverse cardiovascular
events. METHODS: Consecutive patients (n=329, 43% women) referred for invasive
coronary angiography after stress testing with myocardial perfusion positron
emission tomography and with left ventricular ejection fraction >40% were
followed (median, 3.0 years) for a composite end point of major adverse
cardiovascular events, including cardiovascular death and hospitalization for
nonfatal myocardial infarction or heart failure. The extent and severity of
angiographic CAD were estimated by using the CAD prognostic index, and CFR was
quantified by using positron emission tomography. RESULTS: Although women in
comparison with men had lower pretest clinical scores, rates of prior myocardial
infarction, and burden of angiographic CAD (P<0.001), they demonstrated greater
risk of CVD events, even after adjustment for traditional risk factors, imaging
findings, and early revascularization (adjusted hazard ratio, 2.05; 95%
confidence interval, 1.05-4.02; P=0.03). Impaired CFR was similarly present among
women and men, but in patients with low CFR (<1.6, n=163), women showed a higher
frequency of nonobstructive CAD, whereas men showed a higher frequency of
severely obstructive CAD (P=0.002). After also adjusting for CFR, the effect of
sex on outcomes was no longer significant. When stratified by sex and CFR, only
women with severely impaired CFR demonstrated significantly increased adjusted
risk of CVD events (P<0.0001, P for interaction=0.04). CONCLUSIONS: Women
referred for coronary angiography had a significantly lower burden of obstructive
CAD in comparison with men but were not protected from CVD events. Excess
cardiovascular risk in women was independently associated with impaired CFR,
representing a hidden biological risk, and a phenotype less amenable to
revascularization. Impaired CFR, particularly absent severely obstructive CAD,
may represent a novel target for CVD risk reduction.
PMID- 27881574
TI - Global health, human rights and the challenge of neoliberal policies.
PMID- 27881575
TI - INTEGRATE-HTA: adopting and implementing an integrated perspective on complex
interventions.
PMID- 27881573
TI - Intestinal Na+, K+, 2Cl- cotransporter 2 plays a crucial role in hyperosmotic
transitions of a euryhaline teleost.
AB - Euryhaline fishes, such as the red drum (Sciaenops ocellatus), must quickly
transition between hyperosmotic and hypoosmotic physiological strategies. When
freshwater individuals transition to seawater they are exposed to increased
diffusive water loss and ion gain. To maintain osmoregulatory balance these
animals must drink and absorb seawater through the intestine, followed by ion
excretion at the gills. The ability of fishes to transition between strategies
can limit the magnitude of osmotic shock that can be tolerated. Here, we
demonstrate that red drum can tolerate direct transfer from freshwater to full
strength seawater with marginal impacts on osmotic balance, as indicated by
plasma and muscle ion concentration, as well as muscle water. Seawater transition
is concurrent with a significant increase in intestinal fluid volume. Typical
patterns of osmoregulatory plasticity were observed in the gill with increased
expression of nkcc1 and cftr Expression changes in the anterior intestine were
observed after 24 h for nkcc2 with smaller and later responses observed for
slc26a3, slc26a6, and nbc Immunofluorescence staining demonstrated similar
patterns of NKCC localization in freshwater and seawater intestines; however,
reduced basolateral staining of V-type ATPase was observed in seawater.
Electrophysiological preparations demonstrated that seawater fish had increased
absorptive current in the anterior intestine, which was significantly reduced in
the presence of 10 MUmol/L bumetanide. Overall, these results suggest that nkcc2
plays a crucial role during hyperosmotic transitions, and may be a more important
complement to the well-known bicarbonate secretion pathway than generally
considered.
PMID- 27881576
TI - Exploring men's perceptions of a community-based men's shed programme in England.
AB - Background: Sheds have been used in Australia for decades as an intervention to
try and promote 'health by stealth' among men by providing a social space for
those who may be particularly vulnerable to poor mental health. Little is known
about the impact of men's sheds in England. Methods: Members of 19 sheds from one
local authority area were invited to participate in focus groups to explore their
perceptions of their shed. In total, 32 men participated in five focus groups
which were analysed using applied thematic analysis. Results: While some sheds
run activities, the main driving factor of sheds was the social aspect, with many
coming along for nothing more than a chat and a cup of tea, allowing men to
recapture lost social networks from their working days. However, it was felt that
there could be more formal links forged between individual groups, which may
result in a better range of activities on offer. Conclusions: This study
indicates that the shed can be an effective way of reducing social isolation in
older men. However, further work is needed to understand what impact the shed has
on physical and mental well-being.
PMID- 27881572
TI - The roles of the Na+/K+-ATPase, NKCC, and K+ channels in regulating local
sweating and cutaneous blood flow during exercise in humans in vivo.
AB - Na+/K+-ATPase has been shown to regulate the sweating and cutaneous vascular
responses during exercise; however, similar studies have not been conducted to
assess the roles of the Na-K-2Cl co-transporter (NKCC) and K+ channels.
Additionally, it remains to be determined if these mechanisms underpinning the
heat loss responses differ with exercise intensity. Eleven young (24 +/- 4 years)
males performed three 30-min semirecumbent cycling bouts at low (30% VO2peak),
moderate (50% VO2peak), and high (70% VO2peak) intensity, respectively, each
separated by 20-min recovery periods. Using intradermal microdialysis, four
forearm skin sites were continuously perfused with either: (1) lactated Ringer
solution (Control); (2) 6 mmol.L-1 ouabain (Na+/K+-ATPase inhibitor); (3) 10
mmol.L-1 bumetanide (NKCC inhibitor); or (4) 50 mmol.L-1 BaCl2 (nonspecific K+
channel inhibitor); sites at which we assessed local sweat rate (LSR) and
cutaneous vascular conductance (CVC). Inhibition of Na+/K+-ATPase attenuated LSR
compared to Control during the moderate and high-intensity exercise bouts (both P
? 0.01), whereas attenuations with NKCC and K+ channel inhibition were only
apparent during the high-intensity exercise bout (both P <= 0.05). Na+/K+-ATPase
inhibition augmented CVC during all exercise intensities (all P ? 0.01), whereas
CVC was greater with NKCC inhibition during the low-intensity exercise only (P ?
0.01) and attenuated with K+ channel inhibition during the moderate and high
intensity exercise conditions (both P ? 0.01). We show that Na+/K+-ATPase, NKCC
and K+ channels all contribute to the regulation of sweating and cutaneous blood
flow but their influence is dependent on the intensity of dynamic exercise.
PMID- 27881577
TI - The design and psychometric assessment of a child-friendly TPB-based
questionnaire.
AB - Background: Despite the popularity of the theory of planned behaviour (TPB), a
lack of research assessing the efficacy of the model in understanding the health
behaviour of children exists. The aim of this study was to develop and test a TPB
based measure suitable for use with schoolchildren aged 9-10 years. Methods: A
mixed-method sequential design was employed. In Phase 1, semi-structured focus
group discussions were conducted to elicit the underlying beliefs specific to
tooth brushing. Using content thematic analysis, the beliefs were identified and
a TPB measure was developed. A repeated measures design was employed in Phase 2
using test-retest reliability analysis in order to assess its psychometric
properties. In all, 184 children completed the questionnaire. Results: The
questionnaire proved to be reliable for assessing the tooth brushing beliefs of
children. Pearson's product moment correlations were calculated for all of the
TPB constructs, achieving substantial to almost perfect agreement levels,
providing strong predictive power of the TPB. Conclusions: The design and
development of the measure are described, which could serve as a guide to fellow
researchers and health professionals interested in using theoretical models to
investigate the health and well-being of children.
PMID- 27881579
TI - An miRNA-DNMT1 Axis Is Involved in Azacitidine Resistance and Predicts Survival
in Higher-Risk Myelodysplastic Syndrome and Low Blast Count Acute Myeloid
Leukemia.
AB - Purpose: Azacitidine inhibits DNA methyltransferases, including DNMT1, and is
currently the standard of care for patients with higher-risk myelodysplastic
syndrome (HRMDS) or low blast count acute myeloid leukemia (AML).Experimental
Design: The expression of 754 miRNAs was compared in azacitidine-resistant and
azacitidine-sensitive myelodysplastic syndrome cells. We investigated the role of
differentially expressed miRNAs on DNMT1 expression and azacitidine resistance in
vitro We next evaluated anti-DNMT1 miRNA expression in pretreatment bone marrow
samples derived from 75 patients treated with azacitidine for HRMDS or
AML.Results: Seven miRNAs, including 5 that in silico targeted the DNMT1 3' UTR,
were repressed in azacitidine-resistant cells in which DNMT1 protein levels were
significantly higher. Ectopic anti-DNMT1 miRNA expression decreased DNMT1
expression and increased azacitidine sensitivity, whereas specific inhibition of
endogenous anti-DNMT1 miRNAs increased DNMT1 expression and triggered azacitidine
resistance. In patients treated with azacitidine, decreased expression of anti
DNMT1 miRNAs was associated with poor outcome. miR-126* had the strongest
prognostic impact. Patients with miR-126*low myelodysplastic syndrome had
significantly lower response rates (P = 0.04) and higher relapse rates (P =
0.03), as well as shorter progression-free (PFS; P = 0.004) and overall survival
(OS; P = 0.004). Multivariate analysis showed that age, miR-126* expression, and
revised International Prognostic Scoring System risk independently predicted PFS
and OS. In 15 patient samples collected over time, decreased miRNA expression
levels were associated with secondary resistance.Conclusions: A decreased
expression of anti-DNMT1 miRNAs might account for azacitidine resistance in HRMDS
and AML, and measuring miRNA expression before and during treatment might help
predict primary or secondary azacitidine resistance. Clin Cancer Res; 23(12);
3025-34. (c)2016 AACR.
PMID- 27881578
TI - Cytoplasmic Cyclin E Predicts Recurrence in Patients with Breast Cancer.
AB - Purpose: Low molecular weight cyclin E (LMW-E) detected by Western blot analysis
predicts for reduced breast cancer survival; however, it is impractical for
clinical use. LMW-E lacks a nuclear localization signal that leads to
accumulation in the cytoplasm that can be detected by IHC. We tested the
hypothesis that cytoplasmic staining of cyclin E can be used as a predictor of
poor outcome in different subtypes of breast cancer using patient cohorts with
distinct clinical and pathologic features.Experimental Design: We evaluated the
subcellular localization of cyclin E in breast cancer specimens from 2,494
patients from 4 different cohorts: 303 from a prospective study and 2,191 from
retrospective cohorts [NCI, MD Anderson Cancer Center (MDA), and the United
Kingdom (UK)]. Median follow-up times were 8.0, 10.1, 13.5, and 5.7 years,
respectively.Results: Subcellular localization of cyclin E on IHC was associated
with full-length (nuclear) and low molecular weight isoforms (cytoplasmic) of
cyclin E on Western blot analysis. In multivariable analysis, cytoplasmic cyclin
E staining was associated with the greatest risk of recurrence compared with
other prognostic factors across all subtypes in three (NCI, MDA, and UK) of the
cohorts. In the MDA cohort, cytoplasmic cyclin E staining outperformed Ki67 and
all other variables as prognostic factors.Conclusions: Cytoplasmic cyclin E
identifies patients with the highest likelihood of recurrence consistently across
different patient cohorts and subtypes. These patients may benefit from
alternative therapies targeting the oncogenic isoforms of cyclin E. Clin Cancer
Res; 23(12); 2991-3002. (c)2016 AACR.
PMID- 27881581
TI - Nivolumab in the Treatment of Hodgkin Lymphoma.
AB - Despite an extensive immune infiltrate that is recruited to the tumor by
malignant Reed-Sternberg cells in Hodgkin lymphoma, the antitumor immune response
is ineffective and unable to eradicate the malignant cells. The ineffective
immune response is in part due to PD-1 signaling that renders intratumoral immune
cells anergic. Reed-Sternberg cells have been shown to upregulate expression of
the PD-1 ligands, PD-L1 and PD-L2, due to either genetic alterations at
chromosome 9p24.1 or Epstein-Barr virus infection, and these ligands suppress the
function of PD-1+ intratumoral T cells. Blockade of PD-1 signaling has proven to
be a highly successful therapeutic approach, and the use of the anti-PD-1 mAb
nivolumab recently received accelerated approval by the FDA for patients with
classical Hodgkin lymphoma that has relapsed or progressed after autologous stem
cell transplant and posttransplantation brentuximab vedotin. Initial clinical
trials using nivolumab in this patient population resulted in high response rates
that were durable. Adverse events associated with nivolumab included immune
mediated adverse reactions and infusion reactions, but these were well tolerated,
allowing for continued nivolumab administration. Clinical trials are now in
progress to test the use of nivolumab in combination with standard chemotherapy
or with novel agents with a goal of improving the outcome of patients with
Hodgkin lymphoma. Clin Cancer Res; 23(7); 1623-6. (c)2016 AACR.
PMID- 27881580
TI - Disruption of Autophagic Degradation with ROC-325 Antagonizes Renal Cell
Carcinoma Pathogenesis.
AB - Purpose: Although autophagy plays important roles in malignant pathogenesis and
drug resistance, there are few clinical agents that disrupt this pathway, and the
potential therapeutic benefit of autophagy inhibition remains undetermined. We
used medicinal chemistry approaches to generate a series of novel agents that
inhibit autophagic degradation.Experimental Design: ROC-325 was selected as a
lead compound for further evaluation. Comprehensive in vitro and in vivo studies
were conducted to evaluate the selectivity, tolerability, and efficacy of ROC-325
in preclinical models of renal cell carcinoma (RCC) with HCQ serving as a
comparator. Markers of autophagy inhibition and cell death were evaluated in
tumor specimens.Results: ROC-325 exhibited superior in vitro anticancer effects
compared with the existing autophagy inhibitor hydroxychloroquine (HCQ) in 12
different cancer cell lines with diverse genetic backgrounds. Focused studies of
the mechanism of action and efficacy of ROC-325 in RCC cells showed that drug
treatment induced hallmark characteristics of autophagy inhibition, including
accumulation of autophagosomes with undegraded cargo, lysosomal deacidification,
p62 stabilization, and disruption of autophagic flux. Subsequent experiments
showed that ROC-325 antagonized RCC growth and survival in an ATG5/7-dependent
manner, induced apoptosis, and exhibited favorable selectivity. Oral
administration of ROC-325 to mice bearing 786-0 RCC xenografts was well
tolerated, was significantly more effective at inhibiting tumor progression than
HCQ, and inhibited autophagy in vivoConclusions: Our findings demonstrate that
ROC-325 has superior preclinical anticancer activity compared with HCQ and
support the clinical investigation of its safety and preliminary efficacy in
patients with RCC and other autophagy-dependent malignancies. Clin Cancer Res;
23(11); 2869-79. (c)2016 AACR.
PMID- 27881583
TI - Acute oesophageal necrosis in a young man with cocaine and alcohol abuse.
AB - We report a case of acute oesophageal necrosis (AEN) and non-occlusive mesenteric
ischaemia in an otherwise healthy 30-year-old man with cocaine and alcohol abuse.
Although cocaine might be expected more frequently to cause oesophageal necrosis
through sympathomimetic vasoconstriction, this is only the second known case
report of AEN in a patient with cocaine abuse. His symptoms at presentation
included epigastric abdominal pain, haematemesis and generalised weakness. He
developed moderate neutropenia and severe lactic acidosis. Treatment consisted of
intravenous proton-pump inhibitors, granulocyte colony stimulating factor, broad
spectrum antibiotics and ultimately exploratory laparotomy after his condition
worsened. He died within 24 hours of presentation from a combination of systemic
inflammatory response syndrome, acute respiratory distress syndrome and
disseminated intravascular coagulation. AEN was discovered postmortem. We
conclude that AEN should be suspected in any patient with haematemesis and
substance abuse, and discovery of AEN should prompt a thorough evaluation for
potentially lethal comorbid conditions.
PMID- 27881584
TI - Tuberculosis presenting as a 'swollen calf'.
AB - We report the case of an 83-year-old man who presented with swelling of his left
lower leg. Subsequent investigations revealed that the swelling was due to a
Mycobacterium tuberculosis abscess in the tibialis anterior muscle.
PMID- 27881585
TI - Partial interhemispheric disconnection syndrome (P-IHDS) secondary to Marchiafava
Bignami disease type B (MBD-B).
AB - A 53-year-old man with a 35-year history of excessive alcohol intake presents to
our neurology department with 4-year history of progressive neurocognitive
deterioration and disconnection syndrome. MRI head demonstrates extensive
demyelination of the corpus callosum (and of extracallosal sites as well),
leading to a diagnosis of Marchiafava-Bignami disease. He was given treatment
with vitamin B complex (including folate) and was assessed and managed by
psychology, occupational therapy and physiotherapy with initial signs of
improvement.
PMID- 27881582
TI - The Expanding Role of the BCL6 Oncoprotein as a Cancer Therapeutic Target.
AB - BCL6 was initially discovered as an oncogene in B-cell lymphomas, where it drives
the malignant phenotype by repressing proliferation and DNA damage checkpoints
and blocking B-cell terminal differentiation. BCL6 mediates its effects by
binding to hundreds of target genes and then repressing these genes by recruiting
several different chromatin-modifying corepressor complexes. Structural
characterization of BCL6-corepressor complexes suggested that BCL6 might be a
druggable target. Accordingly, a number of compounds have been designed to bind
to BCL6 and block corepressor recruitment. These compounds, based on peptide or
small-molecule scaffolds, can potently block BCL6 repression of target genes and
kill lymphoma cells. In the case of diffuse large B-cell lymphomas (DLBCL), BCL6
inhibitors are equally effective in suppressing both the germinal center B-cell
(GCB)- and the more aggressive activated B-cell (ABC)-DLBCL subtypes, both of
which require BCL6 to maintain their survival. In addition, BCL6 is implicated in
an expanding scope of hematologic and solid tumors. These include, but are not
limited to, B-acute lymphoblastic leukemia, chronic myeloid leukemia, breast
cancer, and non-small cell lung cancer. BCL6 inhibitors have been shown to exert
potent effects against these tumor types. Moreover, mechanism-based combinations
of BCL6 inhibitors with other agents have yielded synergistic and often quite
dramatic activity. Hence, there is a compelling case to accelerate the
development of BCL6-targeted therapies for translation to the clinical setting.
Clin Cancer Res; 23(4); 885-93. (c)2016 AACR.
PMID- 27881586
TI - Iatrogenic parafoveal macular hole following Nd-YAG posterior hyaloidotomy for
premacular haemorrhage.
AB - Premacular sub-internal limiting membrane (sub-ILM) haemorrhage is a known cause
of sudden profound loss of vision. Neodymium-doped yttrium aluminium garnet (ND
YAG) posterior hyaloidotomy is an inexpensive, effective and safe treatment
modality for rapid drainage of haemorrhage covering the macula. An 18-year-old
male patient presented to us with a history of Nd-YAG posterior hyaloidotomy for
Valsalva-related premacular bleed. At the posterior pole, a cavity formed by the
detached ILM with a central defect in ILM-posterior hyaloid complex was evident.
High-definition optical coherence tomography (HD-OCT) showed normal foveal
contour with a parafoveal macular hole. Hence, good clinical judgement,
appropriate positioning of hyaloidotomy and use of lowest possible energy level
is the key to a successful and safe laser drainage of a premacular haemorrhage.
PMID- 27881587
TI - PAI-1 polymorphism as a cause of severe high altitude associated arteriovenous
thrombosis.
AB - We present a 34-year-old man who developed disseminated intra-arterial and venous
thrombosis following exposure to extreme high altitude. On evaluation, the
patient was found to have thrombosis involving the aorta, bilateral iliac
arteries and middle cerebral artery. On detailed evaluation for the cause of
recurrent seizures, he was also found to have cerebral venous thrombosis of the
superior sagittal sinus. The patient underwent amputation of 3 limbs due to
gangrene. Procoagulant work up revealed increased plasminogen activator inhibitor
1 activity with 4G/4G polymorphism. This case highlights the life-threatening and
limb-threatening thrombosis secondary to a rare inherited thrombophilia on
exposure to extreme high altitude.
PMID- 27881588
TI - Glucocorticoids did not reverse type 1 diabetes mellitus secondary to
pembrolizumab in a patient with metastatic melanoma.
AB - Immune checkpoint inhibitors offer patients with advanced melanoma substantial
improvements in survival. Unlike chemotherapy, immune checkpoint inhibitors such
as ipilimumab and pembrolizumab cause unique immune-related adverse events
(irAEs), including the development of endocrinopathies. We report a case of a man
aged 60 years who developed diabetic ketoacidosis (DKA) following the use of
pembrolizumab for the treatment of metastatic melanoma. He received four cycles
of ipilimumab, before proceeding to pembrolizumab. Five weeks after initiating
pembrolizumab, he presented in DKA with a pH of 7.0, bicarbonate of 7 mmol/L,
blood glucose of 27 mmol/L and serum ketones of 5.9 mmol/L. Antibodies to
glutamic acid decarboxylase (anti-GAD) and Islet antigen 2 (IA-2) were negative
and C-peptide was low at 57 pmol/L (300-2350 pmol/L). There was no personal or
family history of autoimmune conditions. Standard immunosuppression for irAEs was
started using prednisolone in an attempt to salvage beta cell function but was
unsuccessful. To the best of our knowledge, this is the first reported attempt at
reversing pembrolizumab-induced type 1 diabetes using glucocorticoids.
PMID- 27881590
TI - Pyopneumothorax secondary to Streptococcus milleri infection.
AB - We report a case of a 46-year-old Malay woman with underlying hypothyroidism post
thyroidectomy who presented with worsening breathlessness, orthopnoea, productive
cough and left-sided pleuritic chest pain of 3 days duration. Chest X-ray on
admission showed left-sided massive hydropneumothorax. Pleural tapping revealed
empyema. A chest tube was inserted immediately. The culture of pleural fluid grew
Streptococcus constellatus The patient was treated with antibiotics for a total
of 6 weeks and underwent open thoracotomy and decortication during admission.
Subsequently, her lung condition improved and there was no evidence of recurrence
during follow-up.
PMID- 27881589
TI - Metastatic melanoma after 23 years of primary ocular melanoma.
AB - We describe a case of 52-year-old man who presented with an episode of tonic
clonic seizures. He had right ocular melanoma 23 years ago with subsequent
enucleation which was the standard treatment at that time. CT scans of the brain
and of the thorax-abdomen-pelvis revealed widespread metastatic lesions in the
brain, lung and liver. Further investigations including bronchoscopy with
cytopathology uncovered that the metastatic disease was a recurrence of ocular
melanoma. He received palliative radiotherapy and died 6 months later. Ocular
melanoma is often associated with fulminant metastatic disease after a period of
dormancy. Thus, despite successful treatment of the localised disease at initial
presentation, an effort is needed for optimal long-term follow-up plan in order
to improve survival in case of recurrence.
PMID- 27881591
TI - Vernal keratoconjunctivitis: culmination of management using immunosuppression,
surgical and prosthetic therapy over quarter century.
AB - A 22-year-old male patient presented in 1988 with active vernal
keratoconjunctivitis. He was treated with topical mast cell stabilisers and
corticosteroids. Chronic inflammation despite topical treatment necessitated oral
immunosuppressants. Active disease came under control with this; however, the
patient gradually developed limbal stem cell deficiency. He underwent bilateral
pannus resection with amniotic membrane transplantation that resulted in improved
ocular surface. In 2007, patient was found to have significant bilateral
posterior subcapsular cataracts and underwent bilateral cataract surgery with
intraocular lens implantation with good visual outcome. In 2016, he was provided
with scleral lens prosthetic device, which further improved vision. At last
follow-up, more than 25 years after his initial visit, his visual acuity was
20/25 in both eyes with a stable surface. With a comprehensive approach using
immunosuppression, surgical therapy and scleral lens prosthetic device, chronic
vernal keratoconjunctivitis can be well managed as illustrated in this case.
PMID- 27881592
TI - The C-3alpha Epimer of 25-Hydroxycholecalciferol from Endogenous and Exogenous
Sources Supports Normal Growth and Bone Mineral Density in Weanling Rats.
AB - BACKGROUND: The C-3alpha epimer of 25-hydroxycholecalciferol [3-epi-25(OH)D3] is
elevated in infants. OBJECTIVES: We tested whether increasing cholecalciferol
intake results in a dose-response in plasma 3-epi-25(OH)D3 We also examined bone
and mineral metabolism in response to 3-epi-25(OH)D3 treatment. METHODS: Sprague
Dawley rats (4 wk old) were randomly assigned (n = 6/group of each sex) to AIN
93G diets with cholecalciferol at 1 (control), 2, or 4 IU/g diet for objective 1
and to diets with 3-epi-25(OH)D3 at 0.5 or 1 IU/g diet or 25
hydroxycholecalciferol [25(OH)D3] at 0.5 IU/g diet for objective 2 for 8 wk.
Measurements at weeks 0, 4, and 8 included body weight and length, plasma vitamin
D metabolites, bone biomarkers, and bone mineral density determined by using dual
energy X-ray absorptiometry. Lumbar vertebra 3 (L3) geometry and volumetric bone
mineral density (vBMD) were measured using microcomputed tomography. Differences
between groups were identified for males and females separately. RESULTS: Weight
and food intake were not different between groups. Elevated plasma 3-epi-25(OH)D3
was observed only in females in the 4 IU cholecalciferol/g diet group (mean +/-
SD: 24.7 +/- 17.1 ng/mL), compared with the control group (5.3 +/- 1.4 ng/mL; P =
0.001). By week 8, both male and female rats in the 3-epi-25(OH)D3 groups had
>87% greater plasma 3-epi-25(OH)D3 concentrations relative to the 25(OH)D3
reference group (P < 0.0001). At week 8 in males only, parathyroid hormone was
significantly lower (P = 0.019) in both 3-epi-25(OH)D3 groups than in the
25(OH)D3 group, and L3 total vBMD was higher (P = 0.004) in the 0.5 IU 3-epi
25(OH)D3 group than in the 25(OH)D3 group. CONCLUSIONS: Endogenously generated 3
epi-25(OH)D3 is more prominent in female than in male rats. Exogenous 3-epi
25(OH)D3 was as effective as 25(OH)D3 in supporting bone mineral accretion in
both sexes. It thus appears that 3-epi-25(OH)D3 has biological activity and
should be further explored.
PMID- 27881595
TI - Decisive Decisions on Production Compared with Market Strategies to Improve Diets
in Rural Africa.
PMID- 27881593
TI - Dairy Food Intake Is Associated with Reproductive Hormones and Sporadic
Anovulation among Healthy Premenopausal Women.
AB - BACKGROUND: Dairy food intake has been associated with infertility; however,
little is known with regard to associations with reproductive hormones or
anovulation. OBJECTIVE: We investigated whether intakes of dairy foods and
specific nutrients were associated with reproductive hormone concentrations
across the cycle and the risk of sporadic anovulation among healthy women.
METHODS: We prospectively measured serum reproductive hormones <=8
times/menstrual cycle for 2 cycles from 259 regularly menstruating women (mean
age: 27.3 y). Dairy food intake was assessed via 24-h dietary recalls 4
times/cycle. Dairy food intakes were assessed by 1) total and low- and high-fat
dairy products; 2) dairy nutrients, including fat, lactose, calcium, and
phosphorus; and 3) dairy foods, including milk, cheese, butter, cream, yogurt,
and ice cream categories. Weighted linear mixed models were used to evaluate
associations between dairy nutrients or food intakes and hormone concentrations.
Modified Poisson regression models with robust error variance were used to
evaluate anovulation. Models were adjusted for age, body mass index, race,
physical activity, Mediterranean diet score, total energy, protein, fiber,
caffeine, and other hormones. RESULTS: Each serving increase in total and low-
and high-fat dairy foods and all increases in amounts of all dairy nutrients
tested were associated with an ~5% reduction in serum estradiol concentrations
but were not associated with anovulation. Total and high-fat dairy food intakes
were positively associated with serum luteinizing hormone concentrations. We
observed associations between intakes of >0 servings of yogurt (RR: 2.1; 95% CI:
1.2, 3.7) and cream (RR: 1.8; 95% CI: 1.0, 3.2) and a higher risk of sporadic
anovulation compared with no intake. CONCLUSIONS: Our study showed associations
between increasing dairy food and nutrient intakes and decreasing estradiol
concentrations as well as between cream and yogurt intakes and the risk of
sporadic anovulation. These results highlight the potential role of dairy in
reproductive function in healthy women.
PMID- 27881594
TI - Hepatic Activity and Transcription of Betaine-Homocysteine Methyltransferase,
Methionine Synthase, and Cystathionine Synthase in Periparturient Dairy Cows Are
Altered to Different Extents by Supply of Methionine and Choline.
AB - BACKGROUND: Compared with choline, Met enhances milk yield and feed intake, and
elicits a better immuno-metabolic status in periparturient cows. It is unknown
whether hepatic activity and transcription of betaine-homocysteine
methyltransferase (BHMT), 5-methyltetrahydrofolate-homocysteine methyltransferase
(MTR), and cystathionine beta-synthase (CBS) are responsive to Met and choline
supply. OBJECTIVE: This study sought to characterize hepatic BHMT, MTR, and CBS
transcription and activity in response to Met and choline supplementation.
METHODS: Forty multiparous cows were used in a 2 * 2 factorial design from -21 d
through 30 d around parturition to assess effects of dietary rumen-protected Met
(0% or 0.08% dry matter basis) or rumen-protected choline (0 or 60 g . cow-1 . d
1). Liver tissue obtained on days -10, 7, 20, and 30 was used for analyses.
RESULTS: Met-supplemented cows had greater methionine adenosyltransferase 1A
(MAT1A) (0.38 compared with 0.27; SEM = 0.05; P = 0.02) and
phosphatidylethanolamine methyltransferase (PEMT) (0.74 compared with 0.58; SEM =
0.08; P = 0.05) expression. Greater S-adenosylhomocysteine hydrolase (SAHH) (0.93
compared with 0.74; SEM = 0.05; P = 0.01) and CBS (1.16 compared with 1.02; SEM =
0.07; P = 0.04), as well as lower MTR activity (23.4 compared with 29.7 nmol
product . h-1 . mg protein-1; SEM = 2.9; P = 0.04), also were detected in Met-
but not choline-supplemented cows. Although BHMT and MTR expression and BHMT
enzyme activity did not change (P > 0.05), MTR enzyme activity was lower in
choline-supplemented cows (23.5 compared with 29.6 nmol product . h-1 . mg
protein-1; SEM = 2.9; P = 0.05). CONCLUSIONS: These findings indicate that
greater synthesis of phosphatidylcholine and antioxidants contribute to the
better performance and immuno-metabolic status in Met-supplemented cows. Failure
to generate a comparable amount of endogenous Met from choline could be one
reason that choline-fed cows fail to achieve comparable performance and health
benefits during the periparturient period.
PMID- 27881596
TI - Combined Iron Deficiency and Low Aerobic Fitness Doubly Burden Academic
Performance among Women Attending University.
AB - BACKGROUND: Academic success is a key determinant of future prospects for
students. Cognitive functioning has been related to nutritional and physical
factors. Here, we focus on iron status and aerobic fitness in young-adult female
students given the high rate of iron deficiency and declines in fitness reported
in this population. OBJECTIVES: We sought to explore the combined effects of iron
status and fitness on academic success and to determine whether these
associations are mediated by cognitive performance. METHODS: Women (n = 105) aged
18-35 y were recruited for this cross-sectional study. Data were obtained for
iron biomarkers, peak oxygen uptake (VO2peak), grade point average (GPA),
performance on computerized attention and memory tasks, and motivation and
parental occupation. We compared the GPA of groups 1) with low compared with
normal iron status, 2) among different fitness levels, and 3) by using a combined
iron status and fitness designation. Mediation analysis was applied to determine
whether iron status and VO2peak influence GPA through attentional and mnemonic
function. RESULTS: After controlling for age, parental occupation, and
motivation, GPA was higher in women with normal compared with low ferritin (3.66
+/- 0.06 compared with 3.39 +/- 0.06; P = 0.01). In analyses of combined effects
of iron status and fitness, GPA was higher in women with normal ferritin and
higher fitness (3.70 +/- 0.08) than in those with 1) low ferritin and lower
fitness (3.36 +/- 0.08; P = 0.02) and 2) low ferritin and higher fitness (3.44 +/
0.09; P = 0.04). Path analysis revealed that working memory mediated the
association between VO2peak and GPA. CONCLUSIONS: Low iron stores and low aerobic
fitness may prevent female college students from achieving their full academic
potential. Investigators should explore whether integrated lifestyle
interventions targeting nutritional status and fitness can benefit cognitive
function, academic success, and postgraduate prospects.
PMID- 27881597
TI - Assessment of Regression Models for Adjustment of Iron Status Biomarkers for
Inflammation in Children with Moderate Acute Malnutrition in Burkina Faso.
AB - BACKGROUND: Biomarkers of iron status are affected by inflammation. In order to
interpret them in individuals with inflammation, the use of correction factors
(CFs) has been proposed. OBJECTIVE: The objective of this study was to
investigate the use of regression models as an alternative to the CF approach.
METHODS: Morbidity data were collected during clinical examinations with
morbidity recalls in a cross-sectional study in children aged 6-23 mo with
moderate acute malnutrition. C-reactive protein (CRP), alpha1-acid glycoprotein
(AGP), serum ferritin (SF), and soluble transferrin receptor (sTfR) were measured
in serum. Generalized additive, quadratic, and linear models were used to model
the relation between SF and sTfR as outcomes and CRP and AGP as categorical
variables (model 1; equivalent to the CF approach), CRP and AGP as continuous
variables (model 2), or CRP and AGP as continuous variables and morbidity
covariates (model 3) as predictors. The predictive performance of the models was
compared with the use of 10-fold crossvalidation and quantified with the use of
root mean square errors (RMSEs). SF and sTfR were adjusted with the use of
regression coefficients from linear models. RESULTS: Crossvalidation revealed no
advantage to using generalized additive or quadratic models over linear models in
terms of the RMSE. Linear model 3 performed better than models 2 and 1.
Furthermore, we found no difference in CFs for adjusting SF and those from a
previous meta-analysis. Adjustment of SF and sTfR with the use of the best
performing model led to a 17% point increase and <1% point decrease,
respectively, in estimated prevalence of iron deficiency. CONCLUSION: Regression
analysis is an alternative to adjust SF and may be preferable in research
settings, because it can take morbidity and severity of inflammation into
account. In clinical settings, the CF approach may be more practical. There is no
benefit from adjusting sTfR. This trial was registered at www.controlled
trials.com as ISRCTN42569496.
PMID- 27881598
TI - The right pick: structural basis of snRNA selection by Gemin5.
AB - Macromolecular complexes, rather than individual biopolymers, perform many
cellular activities. Faithful assembly of these complexes in vivo is therefore a
vital challenge of all cells, and its failure can have fatal consequences. To
form functional complexes, cells use elaborate measures to select the "right"
components and combine them into working entities. How assembly is achieved at
the molecular level is unclear in many cases. Three groups (Jin and colleagues,
pp. 2391-2403; Xu and colleagues, pp. 2376-2390; and Tang and colleagues in Cell
Research) have now provided insights into how an assembly factor specifically
recognizes substrate RNA molecules and enables their usage for assembly of Sm
class uridine-rich small nuclear RNA-protein complexes.
PMID- 27881600
TI - Structural insights into Gemin5-guided selection of pre-snRNAs for snRNP
assembly.
AB - In cytoplasm, the survival of motor neuron (SMN) complex delivers pre-small
nuclear RNAs (pre-snRNAs) to the heptameric Sm ring for the assembly of the ring
complex on pre-snRNAs at the conserved Sm site [A(U)4-6G]. Gemin5, a WD40 protein
component of the SMN complex, is responsible for recognizing pre-snRNAs. In
addition, Gemin5 has been reported to specifically bind to the m7G cap. In this
study, we show that the WD40 domain of Gemin5 is both necessary and sufficient
for binding the Sm site of pre-snRNAs by isothermal titration calorimetry (ITC)
and mutagenesis assays. We further determined the crystal structures of the WD40
domain of Gemin5 in complex with the Sm site or m7G cap of pre-snRNA, which
reveal that the WD40 domain of Gemin5 recognizes the Sm site and m7G cap of pre
snRNAs via two distinct binding sites by respective base-specific interactions.
In addition, we also uncovered a novel role of Gemin5 in escorting the truncated
forms of U1 pre-snRNAs for proper disposal. Overall, the elucidated Gemin5
structures will contribute to a better understanding of Gemin5 in small nuclear
ribonucleic protein (snRNP) biogenesis as well as, potentially, other cellular
activities.
PMID- 27881601
TI - Structural basis for snRNA recognition by the double-WD40 repeat domain of
Gemin5.
AB - Assembly of the spliceosomal small nuclear ribonucleoparticle (snRNP) core
requires the participation of the multisubunit SMN (survival of motor neuron)
complex, which contains SMN and several Gemin proteins. The SMN and Gemin2
subunits directly bind Sm proteins, and Gemin5 is required for snRNP biogenesis
and has been implicated in snRNA recognition. The RNA sequence required for snRNP
assembly includes the Sm site and an adjacent 3' stem-loop, but a precise
understanding of Gemin5's RNA-binding specificity is lacking. Here we show that
the N-terminal half of Gemin5, which is composed of two juxtaposed seven-bladed
WD40 repeat domains, recognizes the Sm site. The tandem WD40 repeat domains are
rigidly held together to form a contiguous RNA-binding surface. RNA-contacting
residues are located mostly on loops between beta strands on the apical surface
of the WD40 domains. Structural and biochemical analyses show that base-stacking
interactions involving four aromatic residues and hydrogen bonding by a pair of
arginines are crucial for specific recognition of the Sm sequence. We also show
that an adenine immediately 5' to the Sm site is required for efficient binding
and that Gemin5 can bind short RNA oligos in an alternative mode. Our results
provide mechanistic understandings of Gemin5's snRNA-binding specificity as well
as valuable insights into the molecular mechanism of RNA binding by WD40 repeat
proteins in general.
PMID- 27881603
TI - A protective role of IL-37 in cancer: a new hope for cancer patients.
AB - IL-37 is a cytokine belonging to the IL-1 family. Although discovered in silico
in 2000, significant advances in the understanding of its biology were made only
in recent years. It is a member of the family with potent anti-inflammatory and
immunosuppressive properties. It is produced as a precursor without a classic
signal peptide. The precursor is cleaved into mature form in the cytoplasm by
caspase-1. A small fraction of the cleaved IL-37 binds SMAD-3, translocates to
the nucleus, and suppresses transcription of several proinflammatory genes. Both
precursor and cleaved forms of IL-37 are secreted. They bind IL-18Ralpha chain
(also used by IL-18 as a receptor subunit) and recruit Toll/IL-1R (TIR)-8 for
transducing intracellular signaling. TIR-8 is a member of the IL-1 receptor
family (IL-1RF) and was previously known as an orphan receptor. IL-37 suppresses
activation of NF-kappaB and MAPK and activates Mer-PTEN-DOK pathway. It
negatively regulates signaling mediated by TLR agonists, proinflammatory
cytokines, and IL-1RF ligands. It also affects cell metabolism by inhibiting
mTOR, GSK-3alpha/beta, and activating AMPK. Despite having the ability to dampen
host's immune responses, the cytokine has been shown to exert antitumor effects,
and it has been suggested that it may act as a prognostic marker in a variety of
human cancers. Recent studies have suggested that IL-37 may represent a novel
therapeutic tool in patients with cancer. In this review, we provide an overview
of the cytokine biology, discuss recent advances made in unraveling its anti
cancer effects, and suggest guidelines for future research.
PMID- 27881599
TI - Undercover: gene control by metabolites and metabolic enzymes.
AB - To make the appropriate developmental decisions or maintain homeostasis, cells
and organisms must coordinate the expression of their genome and metabolic state.
However, the molecular mechanisms that relay environmental cues such as nutrient
availability to the appropriate gene expression response remain poorly
understood. There is a growing awareness that central components of intermediary
metabolism are cofactors or cosubstrates of chromatin-modifying enzymes. As such,
their concentrations constitute a potential regulatory interface between the
metabolic and chromatin states. In addition, there is increasing evidence for a
direct involvement of classic metabolic enzymes in gene expression control. These
dual-function proteins may provide a direct link between metabolic programing and
the control of gene expression. Here, we discuss our current understanding of the
molecular mechanisms connecting metabolism to gene expression and their
implications for development and disease.
PMID- 27881602
TI - N-terminal acetylation promotes synaptonemal complex assembly in C. elegans.
AB - N-terminal acetylation of the first two amino acids on proteins is a prevalent
cotranslational modification. Despite its abundance, the biological processes
associated with this modification are not well understood. Here, we mapped the
pattern of protein N-terminal acetylation in Caenorhabditis elegans, uncovering a
conserved set of rules for this protein modification and identifying substrates
for the N-terminal acetyltransferase B (NatB) complex. We observed an enrichment
for global protein N-terminal acetylation and also specifically for NatB
substrates in the nucleus, supporting the importance of this modification for
regulating biological functions within this cellular compartment. Peptide
profiling analysis provides evidence of cross-talk between N-terminal acetylation
and internal modifications in a NAT substrate-specific manner. In vivo studies
indicate that N-terminal acetylation is critical for meiosis, as it regulates the
assembly of the synaptonemal complex (SC), a proteinaceous structure ubiquitously
present during meiosis from yeast to humans. Specifically, N-terminal acetylation
of NatB substrate SYP-1, an SC structural component, is critical for SC assembly.
These findings provide novel insights into the biological functions of N-terminal
acetylation and its essential role during meiosis.
PMID- 27881604
TI - CD11d beta2 integrin expression on human NK, B, and gammadelta T cells.
AB - The CD11d integrin is expressed on the cell surface of leukocytes that belong to
the myeloid lineage, but its expression on lymphocytes remains unexplored. To
test the hypothesis that CD11d is expressed on lymphocyte subsets, we employed a
multicolor flow cytometry panel to identify CD11d expression on B, NK, CD4+ and
CD8+ alphabeta T cells (alphabetaTc), and gammadelta T cells (gammadeltaTc) in
human PBMC samples. CD11d was highly expressed on NK cells, B cells, and
gammadeltaTc, but not alphabetaTc. CD11d expression was higher on freshly
isolated gammadeltaTc compared with alphabetaTc from healthy donors, yet both
inter- and intradonor variability was evident. Over time in primary culture, we
consistently observed higher CD11d levels on gammadeltaTc compared with
alphabetaTc from the same donor. Furthermore, CD11d expression on gammadeltaTc
increased over time and correlated with levels of IL-2 supplementation. Of
interest, a greater percentage of Vdelta1 gammadeltaTc expressed CD11d than did
Vdelta2 gammadeltaTc, which suggested differential roles for this integrin that
may segregate with gammadeltaTc subsets. These results expand the potential for
CD11d to regulate lymphocyte migration and tissue retention, and illuminate the
possibility of a previously unconsidered role for CD11d in leukocyte biology and
disease.
PMID- 27881605
TI - Monocytes and dendritic cells are the primary sources of interleukin 37 in human
immune cells.
AB - The interleukin (IL)-1 family member IL-37 is one of few anti-inflammatory
cytokines, and it is capable of countering a broad spectrum of proinflammatory
assaults. Although it is known that leukocytes are a major source of IL-37,
knowledge on IL-37 production and secretion in specific immune cell types remains
limited. Thus, we investigated IL-37 mRNA expression as well as protein
production and secretion in human PBMCs. In PBMCs stimulated with agonists of
Toll-like receptors (TLRs) 1-6 and 9, IL1F7 (the IL-37-encoding gene) was induced
up to 9-fold, peaked at 6-8 h and returned to steady-state at 72 h. LPS-induced
IL1F7 expression comprised isoforms b and c but not a and e Flow cytometry
revealed that among IL-37+ PBMCs, monocytes predominated (81-91%), but T cells (6
8%) and myeloid dendritic cells (mDCs, 1-2%) also contributed to the IL-37+
leukocyte pool. Monocytes and mDCs, but not T cells, were capable of secreting IL
37. Whereas monocytes and mDCs secreted IL-37 upon LPS stimulation, only mDCs
also released IL-37 at steady-state. Among monocyte subsets, IL-37 was LPS
inducible and secreted only in classical and, although less pronounced, in
intermediate monocytes; secretion was observed as early as 3 h after stimulation.
Overall, our data suggest that constitutive IL-37 secretion by mDCs may serve to
maintain an anti-inflammatory milieu at steady state, whereas IL-37 is stored in
monocytes to be available for rapid release upon inflammatory encounters, thus
acting as a novel anti-inflammatory alarmin. These insights may prove important
to advancing towards clinical use the protective functions of one of the most
powerful anti-inflammatory mediators so far discovered.
PMID- 27881606
TI - Mitochondria Protection after Acute Ischemia Prevents Prolonged Upregulation of
IL-1beta and IL-18 and Arrests CKD.
AB - The innate immune system has been implicated in both AKI and CKD. Damaged
mitochondria release danger molecules, such as reactive oxygen species, DNA, and
cardiolipin, which can cause NLRP3 inflammasome activation and upregulation of IL
18 and IL-1beta It is not known if mitochondrial damage persists long after
ischemia to sustain chronic inflammasome activation. We conducted a 9-month study
in Sprague-Dawley rats after 45 minutes of bilateral renal ischemia. We detected
glomerular and peritubular capillary rarefaction, macrophage infiltration, and
fibrosis at 1 month. Transmission electron microscopy revealed mitochondrial
degeneration, mitophagy, and deformed foot processes in podocytes. These changes
progressed over the study period, with a persistent increase in renal cortical
expression of IL-18, IL-1beta, and TGF-beta, despite a gradual decline in TNF
alpha expression and macrophage infiltration. Treatment with a mitoprotective
agent (SS-31; elamipretide) for 6 weeks, starting 1 month after ischemia,
preserved mitochondrial integrity, ameliorated expression levels of all
inflammatory markers, restored glomerular capillaries and podocyte structure, and
arrested glomerulosclerosis and interstitial fibrosis. Further, helium ion
microscopy vividly demonstrated the restoration of podocyte structure by SS-31.
The protection by SS-31 was sustained for >=6 months after treatment ended, with
normalization of IL-18 and IL-1beta expression. These results support a role for
mitochondrial damage in inflammasome activation and CKD and suggest mitochondrial
protection as a novel therapeutic approach that can arrest the progression of
CKD. Notably, SS-31 is effective when given long after AKI and provides
persistent protection after termination of drug treatment.
PMID- 27881608
TI - Genetics of Diabetic Kidney Disease-From the Worst of Nightmares to the Light of
Dawn?
PMID- 27881609
TI - Epstein-Barr Virus in Nasopharyngeal Carcinoma of Guatemalan and Brazilian
Patients.
AB - BACKGROUND: Nasopharyngeal carcinoma (NPC) is highly associated with Epstein-Barr
virus (EBV), particularly the undifferentiated nonkeratinizing subtype.
Prevalence of EBV in NPC in countries such as Guatemala and Brazil has not been
studied. METHODS: We analyzed 19 cases of NPC, 11 from Guatemala and 8 from
Brazil, for the presence of EBV by in situ hybridization and
immunohistochemistry. Additionally, 19 hyperplastic adenoids from children were
analyzed for EBV by in situ hybridization, 12 from Guatemala and 7 from Brazil.
RESULTS: All the NPC cases from Guatemala and 5 from Brazil were of the
undifferentiated nonkeratinizing type. EBV-negative cases comprised 2
keratinizing NPC and 1 differentiated nonkeratinizing NPC. All undifferentiated
nonkeratinizing NPC from both samples showed intense positivity for EBER, while
LMP-1 only focally and scarcely expressed. EBER was positive in 75% and 43% of
the adenoids from Guatemala and Brazil, respectively. CONCLUSIONS: All
undifferentiated nonkeratinizing NPC irrespective of origin from Guatemala or
Brazil were highly associated with EBV.
PMID- 27881610
TI - Primary Leiomyosarcoma of the Ovarian Vein: Case Report and Literature Review.
AB - Primary leiomyosarcoma arising from the ovarian vein is extremely rare, with only
10 cases reported in the literature. We report on a case of leiomyosarcoma of the
left ovarian vein in a 67-year-old woman who presented with abdominal discomfort.
Pelvic ultrasound revealed a large, solid, irregular mass in close relation to
the left ovary. The patient subsequently underwent a total hysterectomy with
bilateral salpingo-oophorectomy. Histologically, the tumor was composed of
interlacing fascicles of spindle cells with abundant eosinophilic cytoplasm,
hyperchromatic nuclei, and prominent nucleoli. Mitotic activity was high, with 24
mitoses in 10 high-power fields. Areas of necrosis and hemorrhage were present
within the tumor. Immunohistochemically, the tumor cells showed diffuse
immunoreactivity for vimentin, muscle-specific actin, desmin, and caldesmon. The
patient received chemotherapy postoperatively but subsequently developed
disseminated metastatic disease (lung, liver, iliac lymph nodes, and peritoneum).
Primary leiomyosarcomas arising from the ovarian vein are aggressive neoplasms,
and the prognosis correlates with stage.
PMID- 27881607
TI - Bicarbonate Balance and Prescription in ESRD.
AB - The optimal approach to managing acid-base balance is less well defined for
patients receiving hemodialysis than for those receiving peritoneal dialysis.
Interventional studies in hemodialysis have been limited and inconsistent in
their findings, whereas more compelling data are available from interventional
studies in peritoneal dialysis. Both high and low serum bicarbonate levels
associate with an increased risk of mortality in patients receiving hemodialysis,
but high values are a marker for poor nutrition and comorbidity and are often
highly variable from month to month. Measurement of pH would likely provide
useful additional data. Concern has arisen regarding high-bicarbonate dialysate
and dialysis-induced alkalemia, but whether these truly cause harm remains to be
determined. The available evidence is insufficient for determining the optimal
target for therapy at this time.
PMID- 27881611
TI - Unusual Case of Amniotic Band Sequence.
PMID- 27881612
TI - "What's Past Is Prologue": An Uncommon Thyroid Mass.
PMID- 27881613
TI - Human Energy Field: A Concept Analysis.
AB - The human energy field (HEF) as a phenomenon of interest across disciplines has
gained increased attention over the 20th and 21st centuries. However, a concern
has arisen that there is a lack of evidence to support the concept of the HEF as
a phenomenon of interest to professional nurses and nursing practice. Using Chinn
and Kramer's method of creating conceptual meaning, a concept analysis was
conducted for the purpose of developing a conceptual definition of HEF. A
systematic review of the literature using the CINAHL database yielded a total of
81 articles and text sources that were determined to be relevant to the concept
analysis. The HEF is defined as a luminous field of energy that comprises a
person, extends beyond the physical body, and is in a continuous mutual process
with the environmental energy field. It is a vital energy that is a continuous
whole and is recognized by its unique pattern; it is dynamic, creative,
nonlinear, unpredictable, and flows in lower and higher frequencies. The balanced
HEF is characterized by flow, rhythm, symmetry, and gentle vibration.
PMID- 27881614
TI - Performance Characteristics of a New Consensus Commercial Kit for Hepatitis D
Virus RNA Viral Load Quantification.
AB - Hepatitis D virus (HDV) is responsible for fulminant hepatitis and liver failure
and accelerates evolution toward cirrhosis and hepatocellular carcinoma in
hepatitis B virus (HBV)-infected patients. To date, treatment relies upon long
term administration of pegylated alpha-interferon with a sustained virological
response in 30% of the patients. Very recently, new, promising anti-HDV therapies
have been developed and are already being used in clinical trials. HDV RNA viral
load (HDVL) monitoring must be an integral part of the management of the infected
patients. However, HDV genus is characterized by a high genetic variability into
eight genotypes (HDV-1 to -8), and most available in-house or commercial assays
are useful for only a limited subset of genotypes. Results of a comparison of the
performance of a new kit for HDVL quantification with the consensus in-house
assay of the French National Reference Laboratory for HDV developed in 2005 are
reported here. A total of 611 clinical samples of all HDV genotypes with various
HDVL values, including several consecutive samples over several years from 36
patients, were studied. A specificity, sensitivity, and reproducibility
evaluation was conducted using HDV-positive clinical samples, hepatitis A, B, C
and E (HAV, HBV, HCV, and HEV, respectively) and HIV mono-infected samples, and
the WHO HDV RNA international standard. Overall results were strictly comparable
between the two assays (median difference, 0.07 log IU/ml), with high diagnosis
precision and capacity. In summary, this new kit showed high performance in
detection/quantification of HDVL, regardless of the genotype of the infecting
strain used, and seems to be a suitable tool for patient management.
PMID- 27881615
TI - Superiority of Digital Reverse Transcription-PCR (RT-PCR) over Real-Time RT-PCR
for Quantitation of Highly Divergent Human Rhinoviruses.
AB - Human rhinoviruses (HRV) comprise 3 species representing more than 150 genotypes.
As an important human respiratory pathogen, molecular detection is an
indispensable tool for diagnosis and surveillance. However, the sequence
diversity of HRV genotypes poses challenges for developing robust molecular
methods that detect all genotypes with equal efficiencies. This study compares
the accuracies of reverse transcription-quantitative PCR (RT-qPCR) and reverse
transcription-digital PCR (RT-dPCR) for quantifying HRV RNA using genotype
specific primers and probes and a consensus primer/probe set targeting the 5'
noncoding region of HRV. When using consensus primers and probes for the
quantification of HRV, RT-dPCR outperformed RT-qPCR by consistently and
accurately quantifying HRV RNAs across more genotype groups, despite the presence
of up to 2 target-sequence mismatches within the primer or probe binding region.
Because it does not rely on amplification efficiency, which can be affected by
sequence mismatches in primer/probe binding regions, RT-dPCR may be the optimal
molecular method for future HRV quantification studies and for quantitating other
viruses with high sequence diversity.
PMID- 27881616
TI - Performance of Vitek 2 for Antimicrobial Susceptibility Testing of Acinetobacter
baumannii, Pseudomonas aeruginosa, and Stenotrophomonas maltophilia with Vitek 2
(2009 FDA) and CLSI M100S 26th Edition Breakpoints.
AB - The performances of Vitek 2 AST-GN69 and AST-XN06 cards were compared to Clinical
and Laboratory Standards Institute (CLSI) reference broth microdilution (BMD) for
99 isolates of Pseudomonas aeruginosa, 26 Acinetobacter baumannii isolates, and
11 Stenotrophomonas maltophilia isolates. In total, 15 antimicrobials were
evaluated, with 11 for P. aeruginosa, 14 for A. baumannii, and 2 for S.
maltophilia Categorical agreement (CA) was assessed using both Vitek 2
breakpoints and 2016 CLSI M100S 26th edition breakpoints. The essential agreement
values for P. aeruginosa, A. baumannii, and S. maltophilia were 99.5%, 99.2%, and
100%, respectively. The CA values for P. aeruginosa, A. baumannii, and S.
maltophilia were 94.1%, 92.7%, and 95.5%, respectively, by the Vitek 2
breakpoints, and 93.4%, 92.3%, and 95.5%, respectively, by the CLSI breakpoints.
Overall, the Vitek 2 performance was comparable to that of BMD using both Vitek 2
breakpoints and 2016 CLSI M100S 26th edition breakpoints. Improved performance
was noted for the reformulated piperacillin-tazobactam and imipenem found on the
AST-GN69 card, with no very major or major errors noted when using the CLSI
breakpoints.
PMID- 27881617
TI - Can Multidrug-Resistant Candida auris Be Reliably Identified in Clinical
Microbiology Laboratories?
PMID- 27881618
TI - Simultaneous Screening and Quantification of Basic, Neutral and Acidic Drugs in
Blood Using UPLC-QTOF-MS.
AB - An analytical method using ultra performance liquid chromatography (UPLC)
quadrupole time-of-flight mass spectrometry (QTOF-MS) was developed and validated
for the targeted toxicological screening and quantification of commonly used
pharmaceuticals and drugs of abuse in postmortem blood using 100 uL sample. It
screens for more than 185 drugs and metabolites and quantifies more than 90
drugs. The selected compounds include classes of pharmaceuticals and drugs of
abuse such as: antidepressants, antipsychotics, analgesics (including narcotic
analgesics), anti-inflammatory drugs, benzodiazepines, beta-blockers,
amphetamines, new psychoactive substances (NPS), cocaine and metabolites.
Compounds were extracted into acetonitrile using a salting-out assisted liquid
liquid extraction (SALLE) procedure. The extracts were analyzed using a Waters
ACQUITY UPLC coupled with a XEVO QTOF mass spectrometer. Separation of the
analytes was achieved by gradient elution using Waters ACQUITY HSS C18 column
(2.1 mm x 150 mm, 1.8 MUm). The mass spectrometer was operated in both positive
and negative electrospray ionization modes. The high-resolution mass spectrometry
(HRMS) data was acquired using a patented Waters MSE acquisition mode which
collected low and high energy spectra alternatively during the same acquisition.
Positive identification of target analytes was based on accurate mass
measurements of the molecular ion, product ion, peak area ratio and retention
times. Calibration curves were linear over the concentration range 0.05-2 mg/L
for basic and neutral analytes and 0.1-6 mg/L for acidic analytes with the
correlation coefficients (r2) > 0.96 for most analytes. The limits of detection
(LOD) were between 0.001-0.05 mg/L for all analytes. Good recoveries were
achieved ranging from 80% to 100% for most analytes using the SALLE method. The
method was validated for sensitivity, selectivity, accuracy, precision,
stability, carryover and matrix effects. The developed method was tested on a
number of authentic forensic samples producing consistent results that correlated
with results obtained from other validated methods.
PMID- 27881619
TI - Accumulation and Determination of Phenylethanolamine A Residue in Hair of Swine
and Sheep.
AB - The present study proposed the use of liquid chromatography-tandem mass
spectrometry to detect the novel beta-agonist phenylethanolamine A (PEA) in
incurred hair samples of swine and sheep and to assess its accumulation for
residue monitoring. The method showed good percent recoveries ranging from 93.2%
to 102% and good coefficient of variation at <15%. The experiment was conducted
in swine (24 treated and 4 controls) and sheep (3 treated and 1 control). PEA
concentration was determined in hair during the treatment. High residue
concentrations were present in hair as early as Day 24 (14.8 +/- 3.6 and 25.8 +/-
7.6 ng/g) and Day 21 (23.4 +/- 6.6 ng/g) for swine and sheep; these residues
persisted until withdrawal on Days 14 and 21. Results showed high PEA
accumulation in hair, thereby indicating the use of hair as a matrix in the
control of PEA abuse in farm animals.
PMID- 27881620
TI - Catching Fakes: New Markers of Urine Sample Validity and Invalidity.
AB - Urine drug testing is common for workplace drug testing, prescription management,
emergency medicine and the criminal justice system. Unsurprisingly, with the
significant consequences based upon the results of urine drug testing, a donor in
need of concealing the contents of their sample is highly motivated to cheat the
process. Procedures and safeguards ensuring sample validity are well known, and
include measuring sample temperature at the time of collection, and laboratory
measurements of creatinine, specific gravity and pH. Synthetic urine samples are
available and are designed to deceive all aspects of urine drug testing,
including validity testing. These samples are sophisticated enough to contain
biological levels of creatinine, and are at a physiological pH and specific
gravity. The goal of our research was to develop new procedures designed to
distinguish authentic samples from masquerading synthetic samples. We aimed to
identify substances in commercial synthetic urines not expected to be present in
a biological sample distinguishing fake specimens. Additionally, we aimed to
identify and employ endogenous compounds in addition to creatinine for
identifying biological samples. We successfully identified two compounds present
in synthetic urines that are not present in biological samples and use them as
markers of invalidity. Four new endogenous markers for validity were successfully
evaluated. Validity assessment was further aided by monitoring metabolites of
nicotine and caffeine. When the method was applied to patient samples, 2% of
samples were identified as inconsistent with natural urine samples, even though
they met the current acceptance criteria for creatinine, pH and specific gravity.
PMID- 27881621
TI - Unusual Case of Drug-Facilitated Sexual Assault using Chloroform.
AB - Despite its well-known sedative properties, chloroform is rarely used for drug
facilitated sexual assault (DFSA) as its administration cannot be achieved
without the victim's knowledge: we report an unusual case of DFSA using this
solvent. A 26-year-old woman declared that her partner get her to sleep using
chloroform the previous night. When she waked up at 3 am, her hands were tied.
She immediately suspected violence and sexual penetration. Toxicological blood
screening using a liquid chromatography-electrospray coupled tandem mass
spectrometry method highlighted the presence of bamifylline and theophylline, two
therapeutics of asthma. A screening method for volatile substances using a
headspace-gas chromatography coupled with mass spectrometry method showed (i) the
presence of chloroform in blood at a concentration subsequently estimated at 580
ug/L using an external calibration, and (ii) chloroform traces on a piece of a
scarf brought by the patient and suspected to have been used to put her to sleep.
These results were consistent with an exposure to chloroform by inhalation and
demonstrate that there is no limit in the use of chemical weapons in DFSA.
PMID- 27881622
TI - Stability of 21 Cocaine, Opioid and Benzodiazepine Drug Analytes in Spiked
Meconium at Three Temperatures.
AB - In this study, the stability of 21 cocaine, opioid and benzodiazepine analytes in
spiked meconium was investigated at three storage temperatures: 4 degrees C, room
temperature (RT), and 37 degrees C (body temperature). The drugs/metabolites
included were hydrocodone, hydromorphone, codeine, morphine, 6-acetylmorphine (6
AM), oxycodone, oxymorphone, cocaine, cocaethylene, benzoylecgonine, m
hydroxybenzoylecgonine, diazepam, oxazepam, temazepam, nordiazepam,
chlordiazepoxide, lorazepam, alprazolam, alpha-hydroxyalprazolam, clonazepam, 7
aminoclonazepam, midazolam, alpha-hydroxymidazolam and zolpidem. Drug testing was
performed using mass spectrometry methods that were validated for clinical use.
After 2 weeks of storage, a substantial loss was observed in the concentrations
of 7-aminoclonazepam (48.4% at 4 degrees C and 71.5% at RT), and chlordiazepoxide
(59.5% at RT). A slight decrease was observed in the concentrations of alprazolam
(20.9% at 4 degrees C), clonazepam (24.5% at 4 degrees C), chlordiazepoxide
(23.5% at 4 degrees C), midazolam (20.8% at 4 degrees C), nordiazepam (22.8% at
RT), and alpha-hydroxyalprazolam (20.7% at 4 degrees C). At 37 degrees C, the
concentrations of chlordiazepoxide, 7-aminoclonazepam, lorazepam, oxazepam,
nordiazepam and temazepam decreased by 81.4%, 86.8%, 56.5%, 59.9%, 45.4% and
31.7%, respectively, after 2 weeks. 6-AM was observed to be unstable regardless
of storage temperatures. For morphine, a 33.3% increase at 4 degrees C and a
23.4% increase at RT were observed after 2 weeks, respectively, possibly due to 6
AM degradation, while no changes >=20% were observed at 37 degrees C. All other
analytes were stable up to 2 weeks at all three storage temperatures
(concentration changes <20%). The stability of select drug analytes in authentic
clinical meconium specimens was consistent with that observed in spiked meconium.
In conclusion, some drugs in meconium may not be stable for long periods of time.
Sample storage conditions are an important consideration in the context of
detection windows and interpreting drug-testing results in meconium. To the best
of our knowledge, this is the first stability study of cocaine, opioids and
benzodiazepines in meconium concerning the effects of storage temperatures.
PMID- 27881623
TI - Comparison of three infertility-specific measures in men and women going through
assisted reproductive technology treatment.
AB - We compared the psychometric properties of COMPI Fertility Problem Stress Scales,
Fertility Problem Inventory, and Fertility Quality of Life Tool in 293 patients
enrolled for assisted reproductive technology. COMPI Fertility Problem Stress
Scales and Fertility Problem Inventory subscales presented higher internal
consistency. COMPI Fertility Problem Stress Scales differentiated best between
its domains. Fertility Problem Inventory revealed better concurrent validity.
Fertility Quality of Life Tool presented better fit. While discrimination for
depression was similar between measures, Fertility Quality of Life Tool was
better at discriminating anxiety. Results suggest that while all compared
measures are reliable and valid in assessing the psychosocial adjustment to
infertility, the choice of measure should be based according to the assessment
goals.
PMID- 27881624
TI - An acceptance and commitment therapy self-help intervention for carers of
patients in palliative care: Protocol of a feasibility randomised controlled
trial.
AB - Undertaking a caregiving role at end of life can have enduring psychological
consequences for carers, including poor adjustment in bereavement. Acceptance and
commitment therapy-based interventions have demonstrated effectiveness in helping
people cope with a range of life challenges. This article presents the protocol
of a feasibility randomised controlled trial of an acceptance and commitment
therapy self-help intervention for psychological distress and grief in carers of
patients in palliative care. We will assess feasibility and acceptability of the
trial procedures and intervention as well as preliminary effectiveness of the
intervention on carer well-being outcomes.
PMID- 27881625
TI - Age-related changes in skeletal muscle function: the sum of the parts could be
greater than the whole.
PMID- 27881626
TI - Reply to Venturelli and colleagues.
PMID- 27881627
TI - Sleep-related hypermotor epilepsy: Long-term outcome in a large cohort.
AB - OBJECTIVE: To assess the long-term outcome of sleep-related hypermotor epilepsy
(SHE). METHODS: We retrospectively reconstructed a representative cohort of
patients diagnosed with SHE according to international diagnostic criteria, sleep
related seizures >=75% and follow-up >=5 years. Terminal remission (TR) was
defined as a period of >=5 consecutive years of seizure freedom at the last
follow-up. We used Kaplan-Meier estimates to calculate the cumulative time
dependent probability of TR and to generate survival curves. Univariate and
multivariate Cox regression analyses were performed. RESULTS: We included 139
patients with a 16-year median follow-up (2,414 person-years). The mean age at
onset was 13 +/- 10 years. SHE was sporadic in 86% of cases and familial in 14%;
16% of patients had underlying brain abnormalities. Forty-five percent of
patients had at least 1 seizure in wakefulness lifetime and 55% had seizures only
in sleep (typical SHE). At the last assessment, 31 patients achieved TR (TR
group, 22.3%), while 108 (NTR group, 77.7%) still had seizures or had been in
remission for <5 years. The cumulative TR rate was 20.4%, 23.5%, and 28.4% by 10,
20, and 30 years from inclusion. At univariate analysis, any underlying brain
disorder (any combination of intellectual disability, perinatal insult,
pathologic neurologic examination, and brain structural abnormalities) and
seizures in wakefulness were more frequent among the NTR group (p = 0.028; p =
0.043). Absence of any underlying brain disorder (hazard ratio 4.21, 95%
confidence interval 1.26-14.05, p = 0.020) and typical SHE (hazard ratio 2.76,
95% confidence interval 1.31-5.85, p = 0.008) were associated with TR.
CONCLUSIONS: Our data show a poor prognosis of SHE after a long-term follow-up.
Its outcome is primarily a function of the underlying etiology.
PMID- 27881628
TI - Normative reference values for strength and flexibility of 1,000 children and
adults.
AB - OBJECTIVE: To establish reference values for isometric strength of 12 muscle
groups and flexibility of 13 joint movements in 1,000 children and adults and
investigate the influence of demographic and anthropometric factors. METHODS: A
standardized reliable protocol of hand-held and fixed dynamometry for isometric
strength of ankle, knee, hip, elbow, and shoulder musculature as well as
goniometry for flexibility of the ankle, knee, hip, elbow, shoulder, and cervical
spine was performed in an observational study investigating 1,000 healthy male
and female participants aged 3-101 years. Correlation and multiple regression
analyses were performed to identify factors independently associated with
strength and flexibility of children, adolescents, adults, and older adults.
RESULTS: Normative reference values of 25 strength and flexibility measures were
generated. Strong linear correlations between age and strength were identified in
the first 2 decades of life. Muscle strength significantly decreased with age in
older adults. Regression modeling identified increasing height as the most
significant predictor of strength in children, higher body mass in adolescents,
and male sex in adults and older adults. Joint flexibility gradually decreased
with age, with little sex difference. Waist circumference was a significant
predictor of variability in joint flexibility in adolescents, adults, and older
adults. CONCLUSIONS: Reference values and associated age- and sex-stratified z
scores generated from this study can be used to determine the presence and extent
of impairments associated with neuromuscular and other neurologic disorders,
monitor disease progression over time in natural history studies, and evaluate
the effect of new treatments in clinical trials.
PMID- 27881630
TI - Corrigendum.
AB - Chen, Jen-Hao, Linda J. Waite, and Diane S. Lauderdale. 2015. "Marriage,
Relationship Quality, and Sleep among U.S. Older Adults." Journal of Health and
Social Behavior 56(3): 356-77. (Original DOI: 10.1177/0022146515594631).
PMID- 27881632
TI - Editorial Acknowledgment of Ad Hoc Reviewers.
PMID- 27881629
TI - Risk of fractures after stroke: Results from the Ontario Stroke Registry.
AB - OBJECTIVE: To determine the risk of fractures after stroke. METHODS: Using the
Ontario Stroke Registry, we identified a population-based sample of consecutive
patients seen in the emergency department or hospitalized with stroke (n =
23,751) or TIA (n = 11,240) at any of 11 stroke centers in Ontario, Canada, and
discharged alive between July 1, 2003, and March 31, 2012. We compared the risk
of low-trauma fractures in patients with stroke vs those with TIA using
propensity score methods to adjust for differences in baseline factors. Secondary
analyses compared fracture risk poststroke with that in age-/sex-matched controls
without stroke or TIA (n = 23,751) identified from the Ontario Registered Persons
Database. RESULTS: The 2-year rate of fracture was 5.7% in those with stroke
compared to 4.8% in those with TIA (adjusted cause-specific hazard ratio [aHR]
for those with stroke vs TIA 1.32; 95% confidence interval [CI] 1.19-1.46) and
4.1% in age-/sex-matched controls (aHR for those with stroke vs controls 1.47;
95% CI 1.35-1.60). In the cohort with stroke, factors associated with fractures
were older age, female sex, moderate stroke severity, prior fractures or falls,
and preexisting osteoporosis, rheumatoid arthritis, hyperparathyroidism, and
atrial fibrillation. CONCLUSIONS: Stroke is associated with an increased risk of
low-trauma fractures. Individuals with stroke and additional risk factors for
fractures may benefit from targeted screening for low bone mineral density and
initiation of treatment for fracture prevention.
PMID- 27881633
TI - Photo Quiz: A 4-Year-Old Boy Vomits on His Sister.
PMID- 27881634
TI - The Brief Case: Neonatal Meningitis Caused by Listeria monocytogenes Diagnosed by
Multiplex Molecular Panel.
PMID- 27881635
TI - Commutability of the World Health Organization International Standard for Human
Cytomegalovirus: Standard or Assay.
PMID- 27881636
TI - Reply to "Commutability of the World Health Organization International Standard
for Human Cytomegalovirus: Standard or Assay".
PMID- 27881637
TI - Closing the Brief Case: Neonatal Meningitis Caused by Listeria monocytogenes
Diagnosed by Multiplex Molecular Panel.
PMID- 27881638
TI - Answer to December 2016 Photo Quiz.
PMID- 27881640
TI - Polymer-based material containing calcium phosphate particles functionalized with
a dimethacrylate monomer for use in restorative dentistry.
AB - Dicalcium phosphate dihydrate particles functionalized with triethyleneglycol
dimethacrylate were synthesized and added to a photocurable mixture of bisphenol
A glycidyl dimethacrylate and triethyleneglycol dimethacrylate with the purpose
of developing a resin composite capable of releasing calcium and phosphate ions
to foster dental remineralization. Particle functionalization would minimize the
deleterious effect of adding low cohesive strength nano-structured particles with
no chemical interaction with the organic matrix on the material's mechanical
properties. The results showed that calcium release over 28 days was not impaired
by particle functionalization. A statistically significant 32% increase in
strength was recorded with the use of functionalized dicalcium phosphate
dihydrate in comparison to the material containing non-functionalized particles.
However, the strength of the unfilled resin was not matched by the composite with
functionalized particles. Elastic modulus increased with particle incorporation,
regardless of functionalization. Degree of conversion and optical properties
(total transmittance and color change/DeltaE) of the resin-based materials were
not affected by the addition of dicalcium phosphate dihydrate particles
(functionalized or not).
PMID- 27881639
TI - Enhancement of osseointegration by direct coating of rhBMP-2 on target-ion
induced plasma sputtering treated SLA surface for dental application.
AB - Owing to the excellent bioactive properties of recombinant human bone
morphogenetic proteins (rhBMPs), dentistry considers them as a fascinating
adjuvant alternative for enhancing bone regeneration and bone-to-implant junction
in the early implantation stages. However, stable loading and delivery efficiency
of rhBMPs on the implant surfaces involve major concerns because of the harsh
wearing condition under load during implantation. In this study, to achieve
successful rhBMP-2 delivery, a nanoporous surface structure is introduced on the
sandblasting with large grit and acid-etching (SLA)-treated titanium (Ti) surface
via the tantalum (Ta) target-ion induced plasma sputtering (TIPS) technique.
Unlike oxidation-induced surface nanoporous fabrications on a Ti surface, TIPS
treated surfaces provide excellent structural unity of the nanoporous structure
with the substrate due to their etching-based fabrication mechanism. SLA/TIPS
treated Ti exhibits distinct nanoporous structures on the microscale surface
geometry and better hydrophilicity compared with SLA-treated Ti. A sufficiently
empty nanoporous surface structure combined with the hydrophilic property of
SLA/TIPS-treated Ti facilitates the formation of a thick and uniform coating
layer of rhBMP-2 on the surface without any macro- and microcoagulation. Compared
with the SLA-treated Ti surface, the amount of coated rhBMP-2 increases up to 63%
on the SLA/TIPS-treated Ti surface. As a result, the in vitro pre-osteoblast cell
response of the SLA/TIPS-treated Ti surface, especially cell adhesion and
differentiation behaviors, improves remarkably. A bone-regenerating direct
comparison between the rhBMP-2-coated SLA-treated and SLA/TIPS-treated Ti is
conducted on a defective dog mandible model. After 8 weeks of implantation
surgery, SLA/TIPS-treated Ti with rhBMP-2 exhibits a better degree of contact
area for the implanted bone, which mineralizes new bones around the implant.
Quantitative results of bone-in-contact ratio and new bone volume also show
significantly higher values for the SLA/TIPS-treated Ti with the rhBMP-2
specimen. These results confirm that an SLA/TIPS-treated surface is a suitable
rhBMP-2 carrier for a dental implant to achieve early and strong osseointegration
of Ti dental implants.
PMID- 27881641
TI - Folic acid-conjugated soybean protein-based nanoparticles mediate efficient
antitumor ability in vitro.
AB - In this study, soy protein isolate was hydrolyzed by compound enzymes to give
aqueous soy protein with low molecular weights. Folic acid modified and free soy
protein nanoparticles were successfully prepared by a desolvation method as
target-specific drug delivery, respectively. Ultraviolet spectrophotometry
demonstrated that folic acid was successfully grafted onto soy protein. The shape
and size of folic acid modified soy protein nanoparticles were detected by
transmission electron microscopy, scanning electron microscope, and dynamic light
scattering. In addition, a series of characteristics including kinetic stability,
pH stability, and time stability were also performed. Doxorubicin was
successfully loaded into folic acid modified soy protein nanoparticles, and the
encapsulation and loading efficiencies were 96.7% and 23%, respectively.
Doxorubicin-loaded folic acid modified soy protein nanoparticles exhibited faster
drug release rate than soy protein nanoparticles in PBS solution (pH = 5). The
tumor penetration and antitumor experiments were done using three-dimensional
multicellular tumor spheroids as the in vitro model. The results proved that
folic acid modified soy protein nanoparticles display higher penetration and
accumulation than soy protein nanoparticles, therefore possessing efficient
growth inhibitory ability against multicellular tumor spheroids.
PMID- 27881642
TI - Clinoptilolite/PCL-PEG-PCL composite scaffolds for bone tissue engineering
applications.
AB - The aim of this study was to prepare and characterize highly porous
clinoptilolite/poly(epsilon-caprolactone)-poly(ethylene glycol)-poly(epsilon
caprolactone) composite scaffolds. Scaffolds with different clinoptilolite
contents (10% and 20%) were fabricated with reproducible solvent-free powder
compression/particulate leaching technique. The scaffolds had interconnective
porosity in the range of 55-76%. Clinoptilolite/poly(epsilon-caprolactone)
poly(ethylene glycol)-poly(epsilon-caprolactone) scaffolds showed negligible
degradation within eight weeks and displayed less water uptake and higher
bioactivity than poly(epsilon-caprolactone)-poly(ethylene glycol)-poly(epsilon
caprolactone) scaffolds. The presence of clinoptilolite improved the mechanical
properties. Highest compressive strength (5.6 MPa) and modulus (114.84 MPa) were
reached with scaffold group containing 20% clinoptilolite. In vitro protein
adsorption capacity of the scaffolds was also higher for
clinoptilolite/poly(epsilon-caprolactone)-poly(ethylene glycol)-poly(epsilon
caprolactone) scaffolds. These scaffolds had 0.95 mg protein/g scaffold
adsorption capacity and also higher osteoinductivity in terms of enhanced ALP,
OSP activities and intracellular calcium deposition. Stoichiometric apatite
deposition (Ca/P=1.686) was observed during cellular proliferation analysis with
human fetal osteoblasts cells. Thus, it can be suggested that
clinoptilolite/poly(epsilon-caprolactone)-poly(ethylene glycol)-poly(epsilon
caprolactone) composite scaffolds could be promising carriers for enhancement of
bone regeneration in bone tissue engineering applications.
PMID- 27881643
TI - Inclusion Body Fusion of Human Parainfluenza Virus Type 3 Regulated by Acetylated
alpha-Tubulin Enhances Viral Replication.
AB - : Viral inclusion bodies (IBs), or replication factories, are unique structures
generated by viral proteins together with some cellular proteins as a platform
for efficient viral replication, but little is known about the mechanism
underlying IB formation and fusion. Our previous study demonstrated that the
interaction between the nucleoprotein (N) and phosphoprotein (P) of human
parainfluenza virus type 3 (HPIV3), an enveloped virus with great medical impact,
can form IBs. In this study, we found that small IBs can fuse with each other to
form large IBs that enhance viral replication. Furthermore, we found that
acetylated alpha-tubulin interacts with the N-P complex and colocalizes with IBs
of HPIV3 but does not interact with the N-P complex of human respiratory
syncytial virus or vesicular stomatitis virus and does not colocalize with IBs of
human respiratory syncytial virus. Most importantly, enhancement of alpha-tubulin
acetylation using the pharmacological inhibitor trichostatin A (TSA), RNA
interference (RNAi) knockdown of the deacetylase enzymes histone deacetylase 6
(HDAC6) and sirtuin 2 (SIRT2), or expression of alpha-tubulin acetyltransferase 1
(alpha-TAT1) resulted in the fusion of small IBs into large IBs and effective
viral replication. In contrast, suppression of acetylation of alpha-tubulin by
overexpressing HDAC6 and SIRT2 profoundly inhibited the fusion of small IBs and
viral replication. Our findings offer previously unidentified mechanistic
insights into the regulation of viral IB fusion by acetylated alpha-tubulin,
which is critical for viral replication. IMPORTANCE: Inclusion bodies (IBs) are
unique structures generated by viral proteins and some cellular proteins as a
platform for efficient viral replication. Human parainfluenza virus type 3
(HPIV3) is a nonsegmented single-stranded RNA virus that mainly causes lower
respiratory tract disease in infants and young children. However, no vaccines or
antiviral drugs for HPIV3 are available. Therefore, understanding virus-host
interactions and developing new antiviral strategies are increasingly important.
Acetylation on lysine (K) 40 of alpha-tubulin is an evolutionarily conserved
modification and plays an important role in many cellular processes, but its role
in viral IB dynamics has not been fully explored. To our knowledge, our findings
are the first to show that acetylated alpha-tubulin enhances viral replication by
regulating HPIV3 IB fusion.
PMID- 27881644
TI - Suppression of Adenovirus Replication by Cardiotonic Steroids.
AB - : The dependence of adenovirus on the host pre-RNA splicing machinery for
expression of its complete genome potentially makes it vulnerable to modulators
of RNA splicing, such as digoxin and digitoxin. Both drugs reduced the yields of
four human adenoviruses (HAdV-A31, -B35, and -C5 and a species D conjunctivitis
isolate) by at least 2 to 3 logs by affecting one or more steps needed for genome
replication. Immediate early E1A protein levels are unaffected by the drugs, but
synthesis of the delayed protein E4orf6 and the major late capsid protein hexon
is compromised. Quantitative reverse transcription-PCR (qRT-PCR) analyses
revealed that both drugs altered E1A RNA splicing (favoring the production of 13S
over 12S RNA) early in infection and partially blocked the transition from 12S
and 13S to 9S RNA at late stages of virus replication. Expression of multiple
late viral protein mRNAs was lost in the presence of either drug, consistent with
the observed block in viral DNA replication. The antiviral effect was dependent
on the continued presence of the drug and was rapidly reversible. RIDK34, a
derivative of convallotoxin, although having more potent antiviral activity, did
not show an improved selectivity index. All three drugs reduced metabolic
activity to some degree without evidence of cell death. By blocking adenovirus
replication at one or more steps beyond the onset of E1A expression and prior to
genome replication, digoxin and digitoxin show potential as antiviral agents for
treatment of serious adenovirus infections. Furthermore, understanding the
mechanism(s) by which digoxin and digitoxin inhibit adenovirus replication will
guide the development of novel antiviral therapies. IMPORTANCE: Despite human
adenoviruses being a common and, in some instances, life-threating pathogen in
humans, there are few well-tolerated therapies. In this report, we demonstrate
that two cardiotonic steroids already in use in humans, digoxin and digitoxin,
are potent inhibitors of multiple adenovirus species. A synthetic derivative of
the cardiotonic steroid convallotoxin was even more potent than digoxin and
digitoxin when tested with HAdV-C5. These drugs alter the cascade of adenovirus
gene expression, acting after initiation of early gene expression to block viral
DNA replication and synthesis of viral structural proteins. These findings
validate a novel approach to treating adenovirus infections through the
modulation of host cell processes.
PMID- 27881645
TI - Phylogenetic Diversity of Koala Retrovirus within a Wild Koala Population.
AB - : Koala populations are in serious decline across many areas of mainland
Australia, with infectious disease a contributing factor. Koala retrovirus (KoRV)
is a gammaretrovirus present in most wild koala populations and captive colonies.
Five subtypes of KoRV (A to E) have been identified based on amino acid sequence
divergence in a hypervariable region of the receptor binding domain of the
envelope protein. However, analysis of viral genetic diversity has been conducted
primarily on KoRV in captive koalas housed in zoos in Japan, the United States,
and Germany. Wild koalas within Australia have not been comparably assessed. Here
we report a detailed analysis of KoRV genetic diversity in samples collected from
18 wild koalas from southeast Queensland. By employing deep sequencing we
identified 108 novel KoRV envelope sequences and determined their phylogenetic
diversity. Genetic diversity in KoRV was abundant and fell into three major
groups; two comprised the previously identified subtypes A and B, while the third
contained the remaining hypervariable region subtypes (C, D, and E) as well as
four hypervariable region subtypes that we newly define here (F, G, H, and I). In
addition to the ubiquitous presence of KoRV-A, which may represent an exclusively
endogenous variant, subtypes B, D, and F were found to be at high prevalence,
while subtypes G, H, and I were present in a smaller number of animals.
IMPORTANCE: Koala retrovirus (KoRV) is thought to be a significant contributor to
koala disease and population decline across mainland Australia. This study is the
first to determine KoRV subtype prevalence among a wild koala population, and it
significantly expands the total number of KoRV sequences available, providing a
more precise picture of genetic diversity. This understanding of KoRV subtype
prevalence and genetic diversity will be important for conservation efforts
attempting to limit the spread of KoRV. Furthermore, KoRV is one of the only
retroviruses shown to exist in both endogenous (transmitted vertically to
offspring in the germ line DNA) and exogenous (horizontally transmitted between
infected individuals) forms, a division of fundamental evolutionary importance.
PMID- 27881646
TI - Activation and Inactivation of Primary Human Immunodeficiency Virus Envelope
Glycoprotein Trimers by CD4-Mimetic Compounds.
AB - : Human immunodeficiency virus type 1 (HIV-1) entry into cells is mediated by the
viral envelope glycoproteins (Env), a trimer of three gp120 exterior
glycoproteins, and three gp41 transmembrane glycoproteins. The metastable Env is
triggered to undergo entry-related conformational changes when gp120 binds
sequentially to the receptors, CD4 and CCR5, on the target cell. Small-molecule
CD4-mimetic compounds (CD4mc) bind gp120 and act as competitive inhibitors of
gp120-CD4 engagement. Some CD4mc have been shown to trigger Env prematurely,
initially activating Env function, followed by rapid and irreversible
inactivation. Here, we study CD4mc with a wide range of anti-HIV-1 potencies and
demonstrate that all tested CD4mc are capable of activating as well as
inactivating Env function. Biphasic dose-response curves indicated that the
occupancy of the protomers in the Env trimer governs viral activation versus
inactivation. One CD4mc bound per Env trimer activated HIV-1 infection. Envs with
two CD4mc bound were activated for infection of CD4-negative, CCR5-positive
cells, but the infection of CD4-positive, CCR5-positive cells was inhibited.
Virus was inactivated when all three Env protomers were occupied by the CD4mc,
and gp120 shedding from the Env trimer was increased in the presence of some
CD4mc. Env reactivity and the on rates of CD4mc binding to the Env trimer were
found to be important determinants of the potency of activation and entry
inhibition. Cross-sensitization of Env protomers that do not bind the CD4mc to
neutralization by an anti-V3 antibody was not evident. These insights into the
mechanism of antiviral activity of CD4mc should assist efforts to optimize their
potency and utility. IMPORTANCE: The trimeric envelope glycoproteins of human
immunodeficiency virus type 1 (HIV-1) mediate virus entry into host cells.
Binding to the host cell receptors, CD4 and CCR5, triggers changes in the
conformation of the HIV-1 envelope glycoprotein trimer important for virus entry.
Small-molecule CD4-mimetic compounds inhibit HIV-1 infection by multiple
mechanisms: (i) direct blockade of the interaction between the gp120 exterior
envelope glycoprotein and CD4; (ii) premature triggering of conformational
changes in the envelope glycoproteins, leading to irreversible inactivation; and
(iii) exposure of cryptic epitopes to antibodies, allowing virus neutralization.
The consequences of the binding of the CD4-mimetic compound to the HIV-1 envelope
glycoproteins depends upon how many of the three subunits of the trimer are bound
and upon the propensity of the envelope glycoproteins to undergo conformational
changes. Understanding the mechanistic factors that influence the activity of CD4
mimetic compounds can help to improve their potency and coverage of diverse HIV-1
strains.
PMID- 27881647
TI - Activation of COX-2/PGE2 Promotes Sapovirus Replication via the Inhibition of
Nitric Oxide Production.
AB - : Enteric caliciviruses in the genera Norovirus and Sapovirus are important
pathogens that cause severe acute gastroenteritis in both humans and animals.
Cyclooxygenases (COXs) and their final product, prostaglandin E2 (PGE2), are
known to play important roles in the modulation of both the host response to
infection and the replicative cycles of several viruses. However, the precise
mechanism(s) by which the COX/PGE2 pathway regulates sapovirus replication
remains largely unknown. In this study, infection with porcine sapovirus (PSaV)
strain Cowden, the only cultivable virus within the genus Sapovirus, markedly
increased COX-2 mRNA and protein levels at 24 and 36 h postinfection (hpi), with
only a transient increase in COX-1 levels seen at 24 hpi. The treatment of cells
with pharmacological inhibitors, such as nonsteroidal anti-inflammatory drugs or
small interfering RNAs (siRNAs) against COX-1 and COX-2, significantly reduced
PGE2 production, as well as PSaV replication. Expression of the viral proteins
VPg and ProPol was associated with activation of the COX/PGE2 pathway. We
observed that pharmacological inhibition of COX-2 dramatically increased NO
production, causing a reduction in PSaV replication that could be restored by
inhibition of nitric oxide synthase via the inhibitor N-nitro-l-methyl-arginine
ester. This study identified a pivotal role for the COX/PGE2 pathway in the
regulation of NO production during the sapovirus life cycle, providing new
insights into the life cycle of this poorly characterized family of viruses. Our
findings also reveal potential new targets for treatment of sapovirus infection.
IMPORTANCE: Sapoviruses are among the major etiological agents of acute
gastroenteritis in both humans and animals, but little is known about sapovirus
host factor requirements. Here, using only cultivable porcine sapovirus (PSaV)
strain Cowden, we demonstrate that PSaV induced the vitalization of the
cyclooxygenase (COX) and prostaglandin E2 (PGE2) pathway. Targeting of COX-1/2
using nonsteroidal anti-inflammatory drugs (NSAIDs) such as the COX-1/2 inhibitor
indomethacin and the COX-2-specific inhibitors NS-398 and celecoxib or siRNAs
targeting COXs, inhibited PSaV replication. Expression of the viral proteins VPg
and ProPol was associated with activation of the COX/PGE2 pathway. We further
demonstrate that the production of PGE2 provides a protective effect against the
antiviral effector mechanism of nitric oxide. Our findings uncover a new
mechanism by which PSaV manipulates the host cell to provide an environment
suitable for efficient viral growth, which in turn can be a new target for
treatment of sapovirus infection.
PMID- 27881648
TI - Modeling Severe Fever with Thrombocytopenia Syndrome Virus Infection in Golden
Syrian Hamsters: Importance of STAT2 in Preventing Disease and Effective
Treatment with Favipiravir.
AB - : Severe fever with thrombocytopenia syndrome (SFTS) is an emerging tick-borne
disease endemic in parts of Asia. The etiologic agent, SFTS virus (SFTSV; family
Bunyaviridae, genus Phlebovirus) has caused significant morbidity and mortality
in China, South Korea, and Japan, with key features of disease being intense
fever, thrombocytopenia, and leukopenia. Case fatality rates are estimated to be
in the 30% range, and no antivirals or vaccines are approved for use for
treatment and prevention of SFTS. There is evidence that in human cells, SFTSV
sequesters STAT proteins in replication complexes, thereby inhibiting type I
interferon signaling. Here, we demonstrate that hamsters devoid of functional
STAT2 are highly susceptible to as few as 10 PFU of SFTSV, with animals generally
succumbing within 5 to 6 days after subcutaneous challenge. The disease included
marked thrombocytopenia and inflammatory disease characteristic of the condition
in humans. Infectious virus titers were present in the blood and most tissues 3
days after virus challenge, and severe inflammatory lesions were found in the
spleen and liver samples of SFTSV-infected hamsters. We also show that SFTSV
infection in STAT2 knockout (KO) hamsters is responsive to favipiravir treatment,
which protected all animals from lethal disease and reduced serum and tissue
viral loads by 3 to 6 orders of magnitude. Taken together, our results provide
additional insights into the pathogenesis of SFTSV infection and support the use
of the newly described STAT2 KO hamster model for evaluation of promising
antiviral therapies. IMPORTANCE: Severe fever with thrombocytopenia syndrome
(SFTS) is an emerging viral disease for which there are currently no therapeutic
options or available vaccines. The causative agent, SFTS virus (SFTSV), is
present in China, South Korea, and Japan, and infections requiring medical
attention result in death in as many as 30% of the cases. Here, we describe a
novel model of SFTS in hamsters genetically engineered to be deficient in a
protein that helps protect humans and animals against viral infections. These
hamsters were found to be susceptible to SFTSV and share disease features
associated with the disease in humans. Importantly, we also show that SFTSV
infection in hamsters can be effectively treated with a broad-spectrum antiviral
drug approved for use in Japan. Our findings suggest that the new SFTS model will
be an excellent resource to better understand SFTSV infection and disease as well
as a valuable tool for evaluating promising antiviral drugs.
PMID- 27881649
TI - The Many Faces of the Flavivirus NS5 Protein in Antagonism of Type I Interferon
Signaling.
AB - The vector-borne flaviviruses cause severe disease in humans on every inhabited
continent on earth. Their transmission by arthropods, particularly mosquitoes,
facilitates large emergence events such as witnessed with Zika virus (ZIKV) or
West Nile virus in the Americas. Every vector-borne flavivirus examined thus far
that causes disease in humans, from dengue virus to ZIKV, antagonizes the host
type I interferon (IFN-I) response by preventing JAK-STAT signaling, suggesting
that suppression of this pathway is an important determinant of infection. The
most direct and potent viral inhibitor of this pathway is the nonstructural
protein NS5. However, the mechanisms utilized by NS5 from different flaviviruses
are often quite different, sometimes despite close evolutionary relationships
between viruses. The varied mechanisms of NS5 as an IFN-I antagonist are also
surprising given that the evolution of NS5 is restrained by the requirement to
maintain function of two enzymatic activities critical for virus replication, the
methyltransferase and RNA-dependent RNA polymerase. This review discusses the
different strategies used by flavivirus NS5 to evade the antiviral effects of IFN
I and how this information can be used to better model disease and develop
antiviral countermeasures.
PMID- 27881650
TI - Mechanism of Enhanced HIV Restriction by Virion Coencapsidated Cytidine
Deaminases APOBEC3F and APOBEC3G.
AB - : The APOBEC3 (A3) enzymes, A3G and A3F, are coordinately expressed in CD4+ T
cells and can become coencapsidated into HIV-1 virions, primarily in the absence
of the viral infectivity factor (Vif). A3F and A3G are deoxycytidine deaminases
that inhibit HIV-1 replication by inducing guanine-to-adenine hypermutation
through deamination of cytosine to form uracil in minus-strand DNA. The effect of
the simultaneous presence of both A3G and A3F on HIV-1 restriction ability is not
clear. Here, we used a single-cycle infectivity assay and biochemical analyses to
determine if coencapsidated A3G and A3F differ in their restriction capacity from
A3G or A3F alone. Proviral DNA sequencing demonstrated that compared to each A3
enzyme alone, A3G and A3F, when combined, had a coordinate effect on
hypermutation. Using size exclusion chromatography, rotational anisotropy, and in
vitro deamination assays, we demonstrate that A3F promotes A3G deamination
activity by forming an A3F/G hetero-oligomer in the absence of RNA which is more
efficient at deaminating cytosines. Further, A3F caused the accumulation of
shorter reverse transcripts due to decreasing reverse transcriptase efficiency,
which would leave single-stranded minus-strand DNA exposed for longer periods of
time, enabling more deamination events to occur. Although A3G and A3F are known
to function alongside each other, these data provide evidence for an A3F/G hetero
oligomeric A3 with unique properties compared to each individual counterpart.
IMPORTANCE: The APOBEC3 enzymes APOBEC3F and APOBEC3G act as a barrier to HIV-1
replication in the absence of the HIV-1 Vif protein. After APOBEC3 enzymes are
encapsidated into virions, they deaminate cytosines in minus-strand DNA, which
forms promutagenic uracils that induce transition mutations or proviral DNA
degradation. Even in the presence of Vif, footprints of APOBEC3-catalyzed
deaminations are found, demonstrating that APOBEC3s still have discernible
activity against HIV-1 in infected individuals. We undertook a study to better
understand the activity of coexpressed APOBEC3F and APOBEC3G. The data
demonstrate that an APOBEC3F/APOBEC3G hetero-oligomer can form that has unique
properties compared to each APOBEC3 alone. This hetero-oligomer has increased
efficiency of virus hypermutation, raising the idea that we still may not fully
realize the antiviral mechanisms of endogenous APOBEC3 enzymes. Hetero
oligomerization may be a mechanism to increase their antiviral activity in the
presence of Vif.
PMID- 27881651
TI - Alternative Polyadenylation of Human Bocavirus at Its 3' End Is Regulated by
Multiple Elements and Affects Capsid Expression.
AB - : Alternative processing of human bocavirus (HBoV) P5 promoter-transcribed RNA is
critical for generating the structural and nonstructural protein-encoding mRNA
transcripts. The regulatory mechanism by which HBoV RNA transcripts are
polyadenylated at proximal [(pA)p] or distal [(pA)d] polyadenylation sites is
still unclear. We constructed a recombinant HBoV infectious clone to study the
alternative polyadenylation regulation of HBoV. Surprisingly, in addition to the
reported distal polyadenylation site, (pA)d, a novel distal polyadenylation site,
(pA)d2, which is located in the right-end hairpin (REH), was identified during
infectious clone transfection or recombinant virus infection. (pA)d2 does not
contain typical hexanucleotide polyadenylation signal, upstream elements (USE),
or downstream elements (DSE) according to sequence analysis. Further study showed
that HBoV nonstructural protein NS1, REH, and cis elements of (pA)d were
necessary and sufficient for efficient polyadenylation at (pA)d2. The distance
and sequences between (pA)d and (pA)d2 also played a key role in the regulation
of polyadenylation at (pA)d2. Finally, we demonstrated that efficient
polyadenylation at (pA)d2 resulted in increased HBoV capsid mRNA transcripts and
protein translation. Thus, our study revealed that all the bocaviruses have
distal poly(A) signals on the right-end palindromic terminus, and alternative
polyadenylation at the HBoV 3' end regulates its capsid expression. IMPORTANCE:
The distal polyadenylation site, (pA)d, of HBoV is located about 400 nucleotides
(nt) from the right-end palindromic terminus, which is different from those of
bovine parvovirus (BPV) and canine minute virus (MVC) in the same genus whose
distal polyadenylation is located in the right-end stem-loop structure. A novel
polyadenylation site, (pA)d2, was identified in the right-end hairpin of HBoV
during infectious clone transfection or recombinant virus infection. Sequence
analysis showed that (pA)d2 does not contain typical polyadenylation signals, and
the last 42 nt form a stem-loop structure which is almost identical to that of
MVC. Further study showed that NS1, REH, and cis elements of (pA)d are required
for efficient polyadenylation at (pA)d2. Polyadenylation at (pA)d2 enhances
capsid expression. Our study demonstrates alternative polyadenylation at the 3'
end of HBoV and suggests an additional mechanism by which capsid expression is
regulated.
PMID- 27881652
TI - Inhibition of Human Cytomegalovirus pUL89 Terminase Subunit Blocks Virus
Replication and Genome Cleavage.
AB - : The human cytomegalovirus terminase complex cleaves concatemeric genomic DNA
into unit lengths during genome packaging and particle assembly. This process is
an attractive drug target because cleavage of concatemeric DNA is not required in
mammalian cell DNA replication, indicating that drugs targeting the terminase
complex could be safe and selective. One component of the human cytomegalovirus
terminase complex, pUL89, provides the endonucleolytic activity for genome
cleavage, and the domain responsible is reported to have an RNase H-like fold. We
hypothesize that the pUL89 endonuclease activity is inhibited by known RNase H
inhibitors. Using a novel enzyme-linked immunosorbent assay (ELISA) format as a
screening assay, we found that a hydroxypyridonecarboxylic acid compound,
previously reported to be an inhibitor of human immunodeficiency virus RNase H,
inhibited pUL89 endonuclease activity at low-micromolar concentrations. Further
characterization revealed that this pUL89 endonuclease inhibitor blocked human
cytomegalovirus replication at a relatively late time point, similarly to other
reported terminase complex inhibitors. Importantly, this inhibitor also prevented
the cleavage of viral genomic DNA in infected cells. Taken together, these
results substantiate our pharmacophore hypothesis and validate our ligand-based
approach toward identifying novel inhibitors of pUL89 endonuclease. IMPORTANCE:
Human cytomegalovirus infection in individuals lacking a fully functioning immune
system, such as newborns and transplant patients, can have severe and
debilitating consequences. The U.S. Food and Drug Administration-approved anti
human cytomegalovirus drugs mainly target the viral polymerase, and resistance to
these drugs has appeared. Therefore, anti-human cytomegalovirus drugs from novel
targets are needed for use instead of, or in combination with, current polymerase
inhibitors. pUL89 is a viral ATPase and endonuclease and is an attractive target
for anti-human cytomegalovirus drug development. We identified and characterized
an inhibitor of pUL89 endonuclease activity that also inhibits human
cytomegalovirus replication in cell culture. pUL89 endonuclease, therefore,
should be explored as a potential target for antiviral development against human
cytomegalovirus.
PMID- 27881653
TI - MicroRNA 373 Facilitates the Replication of Porcine Reproductive and Respiratory
Syndrome Virus by Its Negative Regulation of Type I Interferon Induction.
AB - : MicroRNAs (miRNAs) play an important role in the regulation of immune
responses. Previous studies have indicated that dysregulating the miRNAs leads to
the immunosuppression of porcine reproductive and respiratory syndrome virus
(PRRSV). However, it is not clear how PRRSV regulates the expression of host
miRNA, which may lead to immune escape or promote the replication of the virus.
The present work suggests that PRRSV upregulated the expression of miR-373
through elevating the expression of specificity protein 1 (Sp1) in MARC-145
cells. Furthermore, this work demonstrated that miR-373 promoted the replication
of PRRSV, since miR-373 was a novel negative miRNA for the production of beta
interferon (IFN-beta) by targeting nuclear factor IA (NFIA), NFIB, interleukin-1
receptor-associated kinase 1 (IRAK1), IRAK4, and interferon regulatory factor 1
(IRF1). We also found that both NFIA and NFIB were novel proteins for inducing
the production of IFN-beta, and both of them could inhibit the replication of
PRRSV. In conclusion, PRRSV upregulated the expression of miR-373 by elevating
the expression of Sp1 and hijacked the host miR-373 to promote the replication of
PRRSV by negatively regulating the production of IFN-beta. IMPORTANCE: PRRSV
causes one of the most economically devastating diseases of swine, and there is
no effective method for controlling PRRSV. It is not clear how PRRSV inhibits the
host's immune response and induces persistent infection. Previous studies have
shown that PRRSV inhibited the production of type I IFN, and the treatment of
type I IFN could efficiently inhibit the replication of PRRSV, so it will be
helpful to design new methods of controlling PRRSV by understanding the molecular
mechanism by which PRRSV modulated the production of IFN. The current work shows
that miR-373, upregulated by PRRSV, promotes PRRSV replication, since miR-373
impaired the production of IFN-beta by targeting NFIA, NFIB, IRAK1, IRAK4, and
IRF1, and both NFIA and NFIB were antiviral proteins to PRRSV. In conclusion,
this paper revealed a novel mechanism of PRRSV that impaired the production of
type I IFN by upregulating miR-373 expression in MARC-145 cells.
PMID- 27881654
TI - Identification of New World Quails Susceptible to Infection with Avian Leukosis
Virus Subgroup J.
AB - : The J subgroup of avian leukosis virus (ALV-J) infects domestic chickens,
jungle fowl, and turkeys. This virus enters the host cell through a receptor
encoded by the tvj locus and identified as Na+/H+ exchanger 1. The resistance to
avian leukosis virus subgroup J in a great majority of galliform species has been
explained by deletions or substitutions of the critical tryptophan 38 in the
first extracellular loop of Na+/H+ exchanger 1. Because there are concerns of
transspecies virus transmission, we studied natural polymorphisms and
susceptibility/resistance in wild galliforms and found the presence of tryptophan
38 in four species of New World quails. The embryo fibroblasts of New World
quails are susceptible to infection with avian leukosis virus subgroup J, and the
cloned Na+/H+ exchanger 1 confers susceptibility on the otherwise resistant host.
New World quails are also susceptible to new avian leukosis virus subgroup J
variants but resistant to subgroups A and B and weakly susceptible to subgroups C
and D of avian sarcoma/leukosis virus due to obvious defects of the respective
receptors. Our results suggest that the avian leukosis virus subgroup J could be
transmitted to New World quails and establish a natural reservoir of circulating
virus with a potential for further evolution. IMPORTANCE: Since its spread in
broiler chickens in China and Southeast Asia in 2000, ALV-J remains a major
enzootic challenge for the poultry industry. Although the virus diversifies
rapidly in the poultry, its spillover and circulation in wild bird species has
been prevented by the resistance of most species to ALV-J. It is, nevertheless,
important to understand the evolution of the virus and its potential host range
in wild birds. Because resistance to avian retroviruses is due particularly to
receptor incompatibility, we studied Na+/H+ exchanger 1, the receptor for ALV-J.
In New World quails, we found a receptor compatible with virus entry, and we
confirmed the susceptibilities of four New World quail species in vitro We
propose that a prospective molecular epidemiology study be conducted to identify
species with the potential to become reservoirs for ALV-J.
PMID- 27881655
TI - Porcine Reproductive and Respiratory Syndrome Virus nsp1alpha Inhibits NF-kappaB
Activation by Targeting the Linear Ubiquitin Chain Assembly Complex.
AB - : Linear ubiquitination, a newly discovered posttranslational modification, is
catalyzed by the linear ubiquitin chain assembly complex (LUBAC), which is
composed of three subunits: one catalytic subunit HOIP and two accessory
molecules, HOIL-1L and SHARPIN. Accumulating evidence suggests that linear
ubiquitination plays a crucial role in innate immune signaling and especially in
the activation of the NF-kappaB pathway by conjugating linear polyubiquitin
chains to NF-kappaB essential modulator (NEMO, also called IKKgamma), the
regulatory subunit of the IKK complex. Porcine reproductive and respiratory
syndrome virus (PRRSV), an Arterivirus that has devastated the swine industry
worldwide, is an ideal model to study the host's disordered inflammatory
responses after viral infection. Here, we found that LUBAC-induced NF-kappaB and
proinflammatory cytokine expression can be inhibited in the early phase of PRRSV
infection. Screening the PRRSV-encoded proteins showed that nonstructural protein
1alpha (nsp1alpha) suppresses LUBAC-mediated NF-kappaB activation and its CTE
domain is required for the inhibition. Mechanistically, nsp1alpha binds to
HOIP/HOIL-1L and impairs the interaction between HOIP and SHARPIN, thus reducing
the LUBAC-dependent linear ubiquitination of NEMO. Moreover, PRRSV infection also
blocks LUBAC complex formation and NEMO linear-ubiquitination, the important step
for transducing NF-kappaB signaling. This unexpected finding demonstrates a
previously unrecognized role of PRRSV nsp1alpha in modulating LUBAC signaling and
explains an additional mechanism of immune modulation by PRRSV. IMPORTANCE:
Porcine reproductive and respiratory syndrome (PRRS) is one of the most important
veterinary infectious diseases in countries with intensive swine industries. PRRS
virus (PRRSV) infection usually suppresses proinflammatory cytokine expression in
the early stage of infection, whereas it induces an inflammatory storm in the
late stage. However, precisely how the virus is capable of doing so remains
obscure. In this study, we found that by blocking the interaction of its
catalytic subunit HOIP and accessory molecule SHARPIN, PRRSV can suppress NF
kappaB signal transduction in the early stage of infection. Our findings not only
reveal a novel mechanism evolved by PRRSV to regulate inflammatory responses but
also highlight the important role of linear ubiquitination modification during
virus infection.
PMID- 27881656
TI - Infectious Bursal Disease Virus Activates c-Src To Promote alpha4beta1 Integrin
Dependent Viral Entry by Modulating the Downstream Akt-RhoA GTPase-Actin
Rearrangement Cascade.
AB - : While the entry of infectious bursal disease virus (IBDV) is initiated by the
binding of the virus to the two major receptors integrin and HSP90, the signaling
events after receptor binding and how they contribute to virus entry remain
elusive. We show here that IBDV activates c-Src by inducing the phosphorylation
of the Y416 residue in c-Src both in DF-1 chicken fibroblasts and in vivo in the
bursa of Fabricius from specific-pathogen-free (SPF) chickens. Importantly,
inactivated IBDV fails to stimulate c-Src Y416 phosphorylation, and a very
virulent IBDV strain induces a much higher level of c-Src Y416 phosphorylation
than does an attenuated strain. Inhibition of c-Src activation by an Src kinase
inhibitor or expression of a c-Src dominant negative mutant results in a
significant decrease in the internalization of IBDV but has little effect on
virus adhesion. Furthermore, short hairpin RNA (shRNA) downregulation of
integrin, either the alpha4 or beta1 subunit, but not HSP90 remarkably attenuates
IBDV-induced c-Src Y416 phosphorylation, resulting in a decrease in IBDV
internalization but not virus adhesion. Moreover, interestingly, inhibition of
either c-Src downstream of the phosphatidylinositol 3-kinase (PI3K)/Akt-RhoA
signaling cascade or actin rearrangement leads to a significant decrease in IBDV
internalization irrespective of the IBDV-induced high levels of c-Src
phosphorylation. Cumulatively, our results suggest a novel feed-forward model
whereby IBDV activates c-Src for benefiting its cell entry via an integrin
mediated pathway by the activation of downstream PI3K/Akt-RhoA signaling and
cytoskeleton actin rearrangement. IMPORTANCE: While IBDV-caused immunosuppression
is highly related to viral invasion, the molecular basis of the cellular entry of
IBDV remains elusive. In this study, we demonstrate that IBDV activates c-Src by
inducing the phosphorylation of the Y416 residue in c-Src to promote virus
internalization but not virus adhesion. The ability to induce the level of c-Src
Y416 phosphorylation correlates with the pathogenicity of an IBDV strain. IBDV
induced c-Src Y416 activation is alpha4beta1 integrin but not HSP90 dependent and
involves the activation of the downstream PI3K/Akt-RhoA GTPase-actin
rearrangement cascade. Thus, our findings provide new insights into the IBDV
infection process and the potential for c-Src as a candidate target for the
development of IBDV therapeutic drugs.
PMID- 27881657
TI - The Crystal Structure of the Fifth Scavenger Receptor Cysteine-Rich Domain of
Porcine CD163 Reveals an Important Residue Involved in Porcine Reproductive and
Respiratory Syndrome Virus Infection.
AB - : Porcine reproductive and respiratory syndrome (PRRS) has become an economically
critical factor in swine industry since its worldwide spread in the 1990s.
Infection by its causative agent, PRRS virus (PRRSV), was proven to be mediated
by an indispensable receptor, porcine CD163 (pCD163), and the fifth scavenger
receptor cysteine-rich domain (SRCR5) is essential for virus infection. However,
the structural details and specific residues of pCD163 SRCR5 involved in
infection have not been defined yet. In this study, we prepared recombinant
pCD163 SRCR5 in Drosophila melanogaster Schneider 2 (S2) cells and determined its
crystal structure at a high resolution of 2.0 A. This structure includes a
markedly long loop region and shows a special electrostatic potential, and these
are significantly different from those of other members of the scavenger receptor
cysteine-rich superfamily (SRCR-SF). Subsequently, we carried out structure-based
mutational studies to identify that the arginine residue at position 561 (Arg561)
in the long loop region is important for PRRSV infection. Further, we showed
Arg561 probably takes effect on the binding of pCD163 to PRRSV during virus
invasion. Altogether the current work provides the first view of the CD163 SRCR
domain, expands our knowledge of the invasion mechanism of PRRSV, and supports a
molecular basis for prevention and control of the virus. IMPORTANCE: PRRS has
caused huge economic losses to pig farming. The syndrome is caused by PRRSV, and
PRRSV infection has been shown to be mediated by host cell surface receptors. One
of them, pCD163, is especially indispensable, and its SRCR5 domain has been
further demonstrated to play a significant role in virus infection. However, its
structural details and the residues involved in infection are unknown. In this
study, we determined the crystal structure of pCD163 SRCR5 and then carried out
site-directed mutational studies based on the crystal structure to elucidate
which residue is important. Our work not only provides structural information on
the CD163 SRCR domain for the first time but also indicates the molecular
mechanism of PRRSV infection and lays a foundation for future applications in
prevention and control of PRRS.
PMID- 27881658
TI - Porcine Reproductive and Respiratory Syndrome Virus Antagonizes JAK/STAT3
Signaling via nsp5, Which Induces STAT3 Degradation.
AB - : Signal transducer and activator of transcription 3 (STAT3) is a pleiotropic
signaling mediator of many cytokines, including interleukin-6 (IL-6) and IL-10.
STAT3 is known to play critical roles in cell growth, proliferation,
differentiation, immunity and inflammatory responses. The objective of this study
was to determine the effect of porcine reproductive and respiratory syndrome
virus (PRRSV) infection on the STAT3 signaling since PRRSV induces a weak
protective immune response in host animals. We report here that PRRSV infection
of MARC-145 cells and primary porcine pulmonary alveolar macrophages led to
significant reduction of STAT3 protein level. Several strains of both PRRSV type
1 and type 2 led to a similar reduction of STAT3 protein level but had a minimal
effect on its transcripts. The PRRSV-mediated STAT3 reduction was in a dose
dependent manner as the STAT3 level decreased, along with incremental amounts of
PRRSV inocula. Further study showed that nonstructural protein 5 (nsp5) of PRRSV
induced the STAT3 degradation by increasing its polyubiquitination level and
shortening its half-life from 24 h to ~3.5 h. The C-terminal domain of nsp5 was
shown to be required for the STAT3 degradation. Moreover, the STAT3 signaling in
the cells transfected with nsp5 plasmid was significantly inhibited. These
results indicate that PRRSV antagonizes the STAT3 signaling by accelerating STAT3
degradation via the ubiquitin-proteasomal pathway. This study provides insight
into the PRRSV interference with the JAK/STAT3 signaling, leading to perturbation
of the host innate and adaptive immune responses. IMPORTANCE: The typical
features of immune responses in PRRSV-infected pigs are delayed onset and low
levels of virus neutralizing antibodies, as well as weak cell-mediated immunity.
Lymphocyte development and differentiation rely on cytokines, many of which
signal through the JAK/STAT signaling pathway to exert their biological effects.
Here, we discovered that PRRSV antagonizes the JAK/STAT3 signaling by inducing
degradation of STAT3, a master transcription activator involved in multiple
cellular processes and the host immune responses. The nsp5 protein of PRRSV is
responsible for the accelerated STAT3 degradation. The PRRSV-mediated
antagonizing STAT3 could lead to suppression of a broad spectrum of cytokines and
growth factors to allow virus replication and spread in host animals. This may be
one of the reasons for the PRRSV interference with the innate immunity and its
poor elicitation of protective immunity. This finding provides insight into PRRSV
pathogenesis and its interference with the host immune responses.
PMID- 27881660
TI - The Norovirus NS3 Protein Is a Dynamic Lipid- and Microtubule-Associated Protein
Involved in Viral RNA Replication.
AB - : Norovirus (NoV) infections are a significant health burden to society, yet the
lack of reliable tissue culture systems has hampered the development of
appropriate antiviral therapies. Here we show that the NoV NS3 protein, derived
from murine NoV (MNV), is intimately associated with the MNV replication complex
and the viral replication intermediate double-stranded RNA (dsRNA). We observed
that when expressed individually, MNV NS3 and NS3 encoded by human Norwalk virus
(NV) induced the formation of distinct vesicle-like structures that did not
colocalize with any particular protein markers to cellular organelles but
localized to cellular membranes, in particular those with a high cholesterol
content. Both proteins also showed some degree of colocalization with the
cytoskeleton marker beta-tubulin. Although the distribution of MNV and NV NS3s
were similar, NV NS3 displayed a higher level of colocalization with the Golgi
apparatus and the endoplasmic reticulum (ER). However, we observed that although
both proteins colocalized in membranes counterstained with filipin, an indicator
of cholesterol content, MNV NS3 displayed a greater association with flotillin
and stomatin, proteins known to associate with sphingolipid- and cholesterol-rich
microdomains. Utilizing time-lapse epifluorescence microscopy, we observed that
the membrane-derived vesicular structures induced by MNV NS3 were highly motile
and dynamic in nature, and their movement was dependent on intact microtubules.
These results begin to interrogate the functions of NoV proteins during virus
replication and highlight the conserved properties of the NoV NS3 proteins among
the seven Norovirus genogroups. IMPORTANCE: Many mechanisms involved in the
replication of norovirus still remain unclear, including the role for the NS3
protein, one of seven nonstructural viral proteins, which remains to be
elucidated. This study reveals that murine norovirus (MNV) NS3 is intimately
associated with the viral replication complex and dsRNA. We observed that the NS3
proteins of both MNV and Norwalk virus (NV) induce prominent vesicular structures
and that this formation is dependent on microtubules and cellular cholesterol.
Thus, this study contributes to our understanding of protein function within
different Norovirus genogroups and expands a growing knowledge base on the
interaction between positive-strand RNA [(+)RNA] viruses and cellular membranes
that contribute to the biogenesis of virus-induced membrane organelles. This
study contributes to our understanding of viral protein function and the ability
of a viral protein to recruit specific cellular organelles and lipids that enable
replication.
PMID- 27881659
TI - Glycosylphosphatidylinositol-Anchored Anti-HIV scFv Efficiently Protects CD4 T
Cells from HIV-1 Infection and Deletion in hu-PBL Mice.
AB - : Despite success in viral inhibition and CD4 T cell recovery by highly active
antiretroviral treatment (HAART), HIV-1 is still not curable due to the
persistence of the HIV-1 reservoir during treatment. One patient with acute
myeloid leukemia who received allogeneic hematopoietic stem cell transplantation
from a homozygous CCR5 Delta32 donor has had no detectable viremia for 9 years
after HAART cessation. This case has inspired a field of HIV-1 cure research
focusing on engineering HIV-1 resistance in permissive cells. Here, we employed a
glycosylphosphatidylinositol (GPI)-scFv X5 approach to confer resistance of human
primary CD4 T cells to HIV-1. We showed that primary CD4 T cells expressing GPI
scFv X5 were resistant to CCR5 (R5)-, CXCR4 (X4)-, and dual-tropic HIV-1 and had
a survival advantage compared to control cells ex vivo In a hu-PBL mouse study,
GPI-scFv X5-transduced CD4 T cells were selected in peripheral blood and lymphoid
tissues upon HIV-1 infection. Finally, GPI-scFv X5-transduced CD4 T cells, after
being cotransfused with HIV-infected cells, showed significantly reduced viral
loads and viral RNA copy numbers relative to CD4 cells in hu-PBL mice compared to
mice with GPI-scFv AB65-transduced CD4 T cells. We conclude that GPI-scFv X5
modified CD4 T cells could potentially be used as a genetic intervention against
both R5- and X4-tropic HIV-1 infections. IMPORTANCE: Blocking of HIV-1 entry is
one of most promising approaches for therapy. Genetic disruption of the HIV-1
coreceptor CCR5 by nucleases in T cells is under 2 clinical trials and leads to
reduced viremia in patients. However, the emergence of viruses using the CXCR4
coreceptor is a concern for therapies applying single-coreceptor disruption.
Here, we report that HIV-1-permissive CD4 T cells engineered with GPI-scFv X5 are
resistant to R5-, X4-, or dual-tropic virus infection ex vivo In a preclinical
study using hu-PBL mice, we show that CD4 T cells were protected and that GPI
scFv X5-transduced cells were selected in HIV-1-infected animals. Moreover, we
show that GPI-scFv X5-transduced CD4 T cells exerted a negative effect on virus
replication in vivo We conclude that GPI-scFv X5-modified CD4 T cells could
potentially be used as a genetic intervention against both R5- and X4-tropic HIV
1 infections.
PMID- 27881661
TI - Par3 integrates Tiam1 and phosphatidylinositol 3-kinase signaling to change
apical membrane identity.
AB - Pathogens can alter epithelial polarity by recruiting polarity proteins to the
apical membrane, but how a change in protein localization is linked to polarity
disruption is not clear. In this study, we used chemically induced dimerization
to rapidly relocalize proteins from the cytosol to the apical surface. We
demonstrate that forced apical localization of Par3, which is normally restricted
to tight junctions, is sufficient to alter apical membrane identity through its
interactions with phosphatidylinositol 3-kinase (PI3K) and the Rac1 guanine
nucleotide exchange factor Tiam1. We further show that PI3K activity is required
upstream of Rac1, and that simultaneously targeting PI3K and Tiam1 to the apical
membrane has a synergistic effect on membrane remodeling. Thus, Par3 coordinates
the action of PI3K and Tiam1 to define membrane identity, revealing a signaling
mechanism that can be exploited by human mucosal pathogens.
PMID- 27881662
TI - The polycystins are modulated by cellular oxygen-sensing pathways and regulate
mitochondrial function.
AB - Autosomal dominant polycystic kidney disease is caused by mutations in the genes
encoding polycystin-1 (PC1) and polycystin-2 (PC2), which form an ion channel
complex that may mediate ciliary sensory processes and regulate endoplasmic
reticulum (ER) Ca2+ release. Loss of PC1 expression profoundly alters cellular
energy metabolism. The mechanisms that control the trafficking of PC1 and PC2, as
well as their broader physiological roles, are poorly understood. We found that
O2 levels regulate the subcellular localization and channel activity of the
polycystin complex through its interaction with the O2-sensing prolyl hydroxylase
domain containing protein EGLN3 (or PHD3), which hydroxylates PC1. Moreover,
cells lacking PC1 expression use less O2 and show less mitochondrial Ca2+ uptake
in response to bradykinin-induced ER Ca2+ release, indicating that PC1 can
modulate mitochondrial function. These data suggest a novel role for the
polycystins in sensing and responding to cellular O2 levels.
PMID- 27881663
TI - Periodic actin structures in neuronal axons are required to maintain
microtubules.
AB - Axons are cable-like neuronal processes wiring the nervous system. They contain
parallel bundles of microtubules as structural backbones, surrounded by regularly
spaced actin rings termed the periodic membrane skeleton (PMS). Despite being an
evolutionarily conserved, ubiquitous, highly ordered feature of axons, the
function of PMS is unknown. Here we studied PMS abundance, organization, and
function, combining versatile Drosophila genetics with superresolution microscopy
and various functional readouts. Analyses with 11 actin regulators and three
actin-targeting drugs suggest that PMS contains short actin filaments that are
depolymerization resistant and sensitive to spectrin, adducin, and nucleator
deficiency, consistent with microscopy-derived models proposing PMS as
specialized cortical actin. Upon actin removal, we observed gaps in microtubule
bundles, reduced microtubule polymerization, and reduced axon numbers, suggesting
a role of PMS in microtubule organization. These effects become strongly enhanced
when carried out in neurons lacking the microtubule-stabilizing protein Short
stop (Shot). Combining the aforementioned actin manipulations with Shot
deficiency revealed a close correlation between PMS abundance and microtubule
regulation, consistent with a model in which PMS-dependent microtubule
polymerization contributes to their maintenance in axons. We discuss potential
implications of this novel PMS function along axon shafts for axon maintenance
and regeneration.
PMID- 27881665
TI - Local pulsatile contractions are an intrinsic property of the myosin 2A motor in
the cortical cytoskeleton of adherent cells.
AB - The role of nonmuscle myosin 2 (NM2) pulsatile dynamics in generating contractile
forces required for developmental morphogenesis has been characterized, but
whether these pulsatile contractions are an intrinsic property of all actomyosin
networks is not known. Here we used live-cell fluorescence imaging to show that
transient, local assembly of NM2A "pulses" occurs in the cortical cytoskeleton of
single adherent cells of mesenchymal, epithelial, and sarcoma origin, independent
of developmental signaling cues and cell-cell or cell-ECM interactions. We show
that pulses in the cortical cytoskeleton require Rho-associated kinase- or myosin
light chain kinase (MLCK) activity, increases in cytosolic calcium, and NM2
ATPase activity. Surprisingly, we find that cortical cytoskeleton pulses
specifically require the head domain of NM2A, as they do not occur with either
NM2B or a 2B-head-2A-tail chimera. Our results thus suggest that pulsatile
contractions in the cortical cytoskeleton are an intrinsic property of the NM2A
motor that may mediate its role in homeostatic maintenance of tension in the
cortical cytoskeleton of adherent cells.
PMID- 27881664
TI - Lipid disequilibrium disrupts ER proteostasis by impairing ERAD substrate glycan
trimming and dislocation.
AB - The endoplasmic reticulum (ER) mediates the folding, maturation, and deployment
of the secretory proteome. Proteins that fail to achieve their native
conformation are retained in the ER and targeted for clearance by ER-associated
degradation (ERAD), a sophisticated process that mediates the ubiquitin-dependent
delivery of substrates to the 26S proteasome for proteolysis. Recent findings
indicate that inhibition of long-chain acyl-CoA synthetases with triacsin C, a
fatty acid analogue, impairs lipid droplet (LD) biogenesis and ERAD, suggesting a
role for LDs in ERAD. However, whether LDs are involved in the ERAD process
remains an outstanding question. Using chemical and genetic approaches to disrupt
diacylglycerol acyltransferase (DGAT)-dependent LD biogenesis, we provide
evidence that LDs are dispensable for ERAD in mammalian cells. Instead, our
results suggest that triacsin C causes global alterations in the cellular lipid
landscape that disrupt ER proteostasis by interfering with the glycan trimming
and dislocation steps of ERAD. Prolonged triacsin C treatment activates both the
IRE1 and PERK branches of the unfolded protein response and ultimately leads to
IRE1-dependent cell death. These findings identify an intimate relationship
between fatty acid metabolism and ER proteostasis that influences cell viability.
PMID- 27881668
TI - Restoration of thermoregulation after exercise.
AB - Performing exercise, especially in hot conditions, can heat the body, causing
significant increases in internal body temperature. To offset this increase,
powerful and highly developed autonomic thermoregulatory responses (i.e., skin
blood flow and sweating) are activated to enhance whole body heat loss; a
response mediated by temperature-sensitive receptors in both the skin and the
internal core regions of the body. Independent of thermal control of heat loss,
nonthermal factors can have profound consequences on the body's ability to
dissipate heat during exercise. These include the activation of the body's
sensory receptors (i.e., baroreceptors, metaboreceptors, mechanoreceptors, etc.)
as well as phenotypic factors such as age, sex, acclimation, fitness, and chronic
diseases (e.g., diabetes). The influence of these factors extends into recovery
such that marked impairments in thermoregulatory function occur, leading to
prolonged and sustained elevations in body core temperature. Irrespective of the
level of hyperthermia, there is a time-dependent suppression of the body's
physiological ability to dissipate heat. This delay in the restoration of
postexercise thermoregulation has been associated with disturbances in
cardiovascular function which manifest most commonly as postexercise hypotension.
This review examines the current knowledge regarding the restoration of
thermoregulation postexercise. In addition, the factors that are thought to
accelerate or delay the return of body core temperature to resting levels are
highlighted with a particular emphasis on strategies to manage heat stress in
athletic and/or occupational settings.
PMID- 27881666
TI - How and why intralumenal membrane fragments form during vacuolar lysosome fusion.
AB - Lysosomal membrane fusion mediates the last step of the autophagy and endocytosis
pathways and supports organelle remodeling and biogenesis. Because fusogenic
proteins and lipids concentrate in a ring at the vertex between apposing
organelle membranes, the encircled area of membrane can be severed and
internalized within the lumen as a fragment upon lipid bilayer fusion. How or why
this intralumenal fragment forms during fusion, however, is not entirely clear.
To better understand this process, we studied fragment formation during homotypic
vacuolar lysosome membrane fusion in Saccharomyces cerevisiae Using cell-free
fusion assays and light microscopy, we find that GTPase activation and trans
SNARE complex zippering have opposing effects on fragment formation and verify
that this affects the morphology of the fusion product and regulates transporter
protein degradation. We show that fragment formwation is limited by stalk
expansion, a key intermediate of the lipid bilayer fusion reaction. Using
electron microscopy, we present images of hemifusion diaphragms that form as
stalks expand and propose a model describing how the fusion machinery regulates
fragment formation during lysosome fusion to control morphology and protein
lifetimes.
PMID- 27881667
TI - A mechanistic physicochemical model of carbon dioxide transport in blood.
AB - : A number of mathematical models have been produced that, given the Pco2 and Po2
of blood, will calculate the total concentrations for CO2 and O2 in blood.
However, all these models contain at least some empirical features, and thus do
not represent all of the underlying physicochemical processes in an entirely
mechanistic manner. The aim of this study was to develop a physicochemical model
of CO2 carriage by the blood to determine whether our understanding of the
physical chemistry of the major chemical components of blood together with their
interactions is sufficiently strong to predict the physiological properties of
CO2 carriage by whole blood. Standard values are used for the ionic composition
of the blood, the plasma albumin concentration, and the hemoglobin concentration.
All Km values required for the model are taken from the literature. The
distribution of bicarbonate, chloride, and H+ ions across the red blood cell
membrane follows that of a Gibbs-Donnan equilibrium. The system of equations that
results is solved numerically using constraints for mass balance and
electroneutrality. The model reproduces the phenomena associated with CO2
carriage, including the magnitude of the Haldane effect, very well. The
structural nature of the model allows various hypothetical scenarios to be
explored. Here we examine the effects of 1) removing the ability of hemoglobin to
form carbamino compounds; 2) allowing a degree of Cl- binding to deoxygenated
hemoglobin; and 3) removing the chloride (Hamburger) shift. The insights gained
could not have been obtained from empirical models. NEW & NOTEWORTHY: This study
is the first to incorporate a mechanistic model of chloride-bicarbonate exchange
between the erythrocyte and plasma into a full physicochemical model of the
carriage of carbon dioxide in blood. The mechanistic nature of the model allowed
a theoretical study of the quantitative significance for carbon dioxide transport
of carbamino compound formation; the putative binding of chloride to deoxygenated
hemoglobin, and the chloride (Hamburger) shift.
PMID- 27881669
TI - Aerobic exercise in humans mobilizes HSCs in an intensity-dependent manner.
AB - : Hematopoietic stem and progenitor cells are necessary to maintain, repair, and
reconstitute the hematopoietic blood cell system. Mobilization of these cells
from bone marrow to blood can be greatly increased under certain conditions, one
such being exercise. The purpose of this study was to identify the importance of
exercise intensity in hematopoietic mobilization, to better understand the
mobilization kinetics postexercise, and to determine if exercise is capable of
mobilizing several specific populations of hematopoietic cells that have clinical
relevance in a transplant setting. Healthy individuals were exercised on a cycle
ergometer at 70% of their peak work rate (WRpeak) until volitional fatigue and at
30% of their WRpeak work matched to the 70% WRpeak bout. Blood was collected
before, immediately post, and 10, 30, and 60 min postexercise. Total blood cells,
hematocrit, and mononuclear cells isolated by density gradient centrifugation
were counted. Specific populations of hematopoietic stem cells were analyzed by
flow cytometry. Mononuclear cells, CD34+, CD34+/CD38-, CD34+/CD110+, CD3
/CD16+/CD56+, CD11c+/CD123-, and CD11c-/CD123+ cells per millilter of blood
increased postexercise. Overall, the 70% WRpeak exercise group showed greater
mobilization immediately postexercise, while there was no observable increase in
mobilization in the work matched 30% WRpeak exercise group. Mobilization of
specific populations of hematopoietic cells mirrored changes in the general
mobilization of mononuclear cells, suggesting that exercise serves as a
nonspecific mobilization stimulus. Evidently, higher intensity exercise is
capable of mobilizing hematopoietic cells to a large extent and immediately
postexercise is an ideal time point for their collection. NEW & NOTEWORTHY: Here
we demonstrate for the first time that mobilization of hematopoietic stem cells
(HSCs) through exercise is intensity dependent, with the greatest mobilization
occurring immediately after high-intensity exercise. As well, we show that
exercise is a general stimulus for mobilization: increases in specific HSC
populations are reliant on general mononuclear cell mobilization. Finally, we
demonstrate no differences in mobilization between groups with different aerobic
fitness.
PMID- 27881670
TI - The effect of alternate-day caloric restriction on the metabolic consequences of
8 days of bed rest in healthy lean men: a randomized trial.
AB - : Physical activity and alternate-day fasting/caloric restriction may both
ameliorate aspects of the metabolic syndrome, such as insulin resistance,
visceral fat mass accumulation, and cognitive impairment by overlapping
mechanisms. The purpose of this study was to test the hypothesis that alternate
day caloric restriction (ADCR) with overall energy balance would reduce insulin
resistance and accumulation of visceral fat, in addition to improving cognitive
functions, after 8 consecutive days in bed. Healthy, lean men (n = 20) were
randomized to 1) 8 days of bed rest with three daily isoenergetic meals (control
group, n = 10); and 2) 8 days of bed rest with 25% of total energy requirements
every other day and 175% of total energy requirements every other day (ADCR
group). Oral glucose tolerance testing, dual-energy X-ray absorptiometry (DXA)
scans, magnetic resonance imaging of the abdomen and brain, Vo2max, and tests for
cognitive function were performed before and after bed rest. In addition, daily
fasting blood samples and 24-h glucose profiles by continuous glucose monitoring
system were assessed during the 8 days of bed rest period. Bed rest induced
insulin resistance, visceral fat accumulation, and worsening of mood. No positive
effects emerged from ADCR on these negative health outcomes. Compared with the
control group, ADCR was associated with improved and steadier glycemic control on
fasting days and higher glycemic fluctuation and indexes of insulin resistance on
overeating days. In contrast to our hypothesis, the metabolic impairment induced
by 8 days of bed rest was not counteracted by ADCR with overall energy balance.
NEW & NOTEWORTHY: Alternate-day caloric restriction without overall energy
reduction does not ameliorate the metabolic impairment induced in lean men by 8
days of bed rest.
PMID- 27881671
TI - Sex-based difference in Achilles peritendinous levels of matrix
metalloproteinases and growth factors after acute resistance exercise.
AB - Several recent investigations have demonstrated that the ability of various
tendons to alter structural and functional properties in response to exercise are
muted in women compared with men. We hypothesize that this disparity between men
and women may be due to a reduced tendon production of key mediators of tendon
extracellular matrix (ECM) remodeling in response to mechanical loading, e.g.,
exercise. Using microdialysis before and after an acute bout of resistance
exercise, we evaluated Achilles peritendinous levels of insulin-like growth
factor-1 (IGF-1) and interleukin-6 (IL-6), which have both been shown to increase
tendon collagen synthesis. Additionally, the matrix remodeling enzymes matrix
metalloproteinase-2 (MMP-2), MMP-9, and tissue inhibitor of metalloproteinase-1
(TIMP-1) were also evaluated. IGF-1 levels were elevated (P < 0.05) to a similar
extent in men and women after 3 h of exercise but remained elevated at 4 h in
only women. IL-6 levels were ~4-fold greater after exercise in both men and women
(P < 0.05). MMP-2 levels increased to a similar extent (~2-3-fold) in men and
women (P < 0.05). In contrast, MMP-9 increased with exercise but only in men (P <
0.05). Last, TIMP-1 levels also increased (P < 0.05) with exercise in men and
women but the increase was more prolonged in women. In conclusion, we observed
modest sex differences in tendon release of MMP-9, TIMP-1, and IGF-1 after acute
resistance exercise. If such differences persist throughout a chronic exercise
training, they may contribute to the reduced ability of women to adapt to
exercise compared with men.NEW & NOTEWORTHY In this investigation we utilized
microdialysis of the peritendinous Achilles to evaluate potential differences
between men and women in tendon production of key regulators of extracellular
matrix remodeling. We demonstrate that a modest sex-specific difference exists in
peritendinous levels of several key extracellular matrix modulators after an
acute bout of resistance exercise.
PMID- 27881672
TI - Mineralocorticoid Receptor Deficiency in Macrophages Inhibits Atherosclerosis by
Affecting Foam Cell Formation and Efferocytosis.
AB - Mineralocorticoid receptor (MR) has been considered as a potential target for
treating atherosclerosis. However, the cellular and molecular mechanisms are not
completely understood. We aim to explore the functions and mechanisms of
macrophage MR in atherosclerosis. Atherosclerosis-susceptible LDLRKO chimeric
mice with bone marrow cells from floxed control mice or from myeloid MR knock-out
(MRKO) mice were generated and fed with high cholesterol diet. Oil red O staining
showed that MRKO decreased atherosclerotic lesion area in LDLRKO mice. In another
mouse model of atherosclerosis, MRKO/APOEKO mice and floxed control/APOEKO mice
were generated and treated with angiotensin II. Similarly, MRKO inhibited the
atherosclerotic lesion area in APOEKO mice. Histological analysis showed that
MRKO increased collagen coverage and decreased necrosis and macrophage
accumulation in the lesions. In vitro results demonstrated that MRKO suppressed
macrophage foam cell formation and up-regulated the expression of genes involved
in cholesterol efflux. Furthermore, MRKO decreased accumulation of apoptotic
cells and increased effective efferocytosis in atherosclerotic lesions. In vitro
study further revealed that MRKO increased the phagocytic index of macrophages
without affecting their apoptosis. In conclusion, MRKO reduces high cholesterol-
or angiotensin II-induced atherosclerosis and favorably changes plaque
composition, likely improving plaque stability. Mechanistically, MR deficiency
suppresses macrophage foam cell formation and up-regulates expression of genes
related to cholesterol efflux, as well as increases effective efferocytosis and
phagocytic capacity of macrophages.
PMID- 27881673
TI - Sortilin 1 Modulates Hepatic Cholesterol Lipotoxicity in Mice via Functional
Interaction with Liver Carboxylesterase 1.
AB - The liver plays a key role in cholesterol metabolism. Impaired hepatic
cholesterol homeostasis causes intracellular free cholesterol accumulation and
hepatocyte injury. Sortilin 1 (SORT1) is a lysosomal trafficking receptor that
was identified by genome-wide association studies (GWAS) as a novel regulator of
cholesterol metabolism in humans. Here we report that SORT1 deficiency protected
against cholesterol accumulation-induced liver injury and inflammation in mice.
Using an LC-MS/MS-based proteomics approach, we identified liver carboxylesterase
1 (CES1) as a novel SORT1-interacting protein. Mechanistic studies further showed
that SORT1 may regulate CES1 lysosomal targeting and degradation and that SORT1
deficiency resulted in higher liver CES1 protein abundance. Previous studies have
established an important role of hepatic CES1 in promoting intracellular
cholesterol mobilization, cholesterol efflux, and bile acid synthesis.
Consistently, high cholesterol atherogenic diet-challenged Sort1 knock-out mice
showed less hepatic free cholesterol accumulation, increased bile acid synthesis,
decreased biliary cholesterol secretion, and the absence of gallstone formation.
SORT1 deficiency did not alter hepatic ceramide and fatty acid metabolism in high
cholesterol atherogenic diet-fed mice. Finally, knockdown of liver CES1 in mice
markedly increased the susceptibility to high cholesterol diet-induced liver
injury and abolished the protective effect against cholesterol lipotoxicity in
Sort1 knock-out mice. In summary, this study identified a novel SORT1-CES1 axis
that regulates cholesterol-induced liver injury, which provides novel insights
that improve our current understanding of the molecular links between SORT1 and
cholesterol metabolism. This study further suggests that therapeutic inhibition
of SORT1 may be beneficial in improving hepatic cholesterol homeostasis in
metabolic and inflammatory liver diseases.
PMID- 27881674
TI - A Gastrointestinal Calpain Complex, G-calpain, Is a Heterodimer of CAPN8 and
CAPN9 Calpain Isoforms, Which Play Catalytic and Regulatory Roles, Respectively.
AB - Calpains (CAPN) are a family of Ca2+-dependent cysteine proteases that regulate
various cellular functions by cleaving diverse substrates. Of the 15 mammalian
calpains, CAPN8 and CAPN9 are two that are expressed predominantly in the
gastrointestinal tract, where they interact to form a protease complex, termed G
calpain. However, because native G-calpain exhibits a highly restricted
expression pattern, it has never been purified, and the interactions between
CAPN8 and CAPN9 have not been characterized. Here, we clarified the molecular
nature of G-calpain by using recombinant proteins and transgenic mice expressing
FLAG-tagged CAPN8 (CAPN8-FLAG). Recombinant mouse CAPN8 and CAPN9 co-expressed in
eukaryotic expression systems exhibited the same mobility as native mouse G
calpain in Blue Native-PAGE gels, and CAPN8-FLAG immunoprecipitation from stomach
homogenates of the transgenic mice showed that CAPN9 was the only protein that
associated with CAPN8-FLAG. These results indicated that G-calpain is a
heterodimer of CAPN8 and CAPN9. In addition, active recombinant G-calpain was
expressed and purified using an in vitro translation system, and the purified
protease exhibited enzymatic properties that were comparable with that of calpain
2. We found that an active-site mutant of CAPN8, but not CAPN9, compromised G
calpain's substrate cleavage activity, and that the N-terminal helix region of
CAPN8 and the C-terminal EF-hands of CAPN8 and CAPN9 were involved in CAPN8/9
dimerization. Furthermore, CAPN8 protein in Capn9-/- mice was almost completely
lost, whereas CAPN9 was only partially lost in Capn8-/- mice. Collectively, these
results demonstrated that CAPN8 and CAPN9 function as catalytic and chaperone
like subunits, respectively, in G-calpain.
PMID- 27881675
TI - The Intestinal Copper Exporter CUA-1 Is Required for Systemic Copper Homeostasis
in Caenorhabditis elegans.
AB - Copper plays key catalytic and regulatory roles in biochemical processes
essential for normal growth, development, and health. Defects in copper
metabolism cause Menkes and Wilson's disease, myeloneuropathy, and cardiovascular
disease and are associated with other pathophysiological states. Consequently, it
is critical to understand the mechanisms by which organisms control the
acquisition, distribution, and utilization of copper. The intestinal enterocyte
is a key regulatory point for copper absorption into the body; however, the
mechanisms by which intestinal cells transport copper to maintain organismal
copper homeostasis are poorly understood. Here, we identify a mechanism by which
organismal copper homeostasis is maintained by intestinal copper exporter
trafficking that is coordinated with extraintestinal copper levels in
Caenorhabditis elegans Specifically, we show that CUA-1, the C. elegans homolog
of ATP7A/B, localizes to lysosome-like organelles (gut granules) in the intestine
under copper overload conditions for copper detoxification, whereas copper
deficiency results in a redistribution of CUA-1 to basolateral membranes for
copper efflux to peripheral tissues. Worms defective in gut granule biogenesis
exhibit defects in copper sequestration and increased susceptibility to toxic
copper levels. Interestingly, however, a splice isoform CUA-1.2 that lacks a
portion of the N-terminal domain is targeted constitutively to the basolateral
membrane irrespective of dietary copper concentration. Our studies establish that
CUA-1 is a key intestinal copper exporter and that its trafficking is regulated
to maintain systemic copper homeostasis. C. elegans could therefore be exploited
as a whole-animal model system to study regulation of intra- and intercellular
copper trafficking pathways.
PMID- 27881677
TI - Basigin Interacts with Plasmodium vivax Tryptophan-rich Antigen PvTRAg38 as a
Second Erythrocyte Receptor to Promote Parasite Growth.
AB - Elucidating the molecular mechanisms of the host-parasite interaction during red
cell invasion by Plasmodium is important for developing newer antimalarial
therapeutics. Recently, we have characterized a Plasmodium vivax tryptophan-rich
antigen PvTRAg38, which is expressed by its merozoites, binds to host
erythrocytes, and interferes with parasite growth. Interaction of this parasite
ligand with the host erythrocyte occurs through its two regions present at amino
acid positions 167-178 (P2) and 197-208 (P4). Each region recognizes its own
erythrocyte receptor. Previously, we identified band 3 as the chymotrypsin
sensitive erythrocyte receptor for the P4 region, but the other receptor, binding
to P2 region, remained unknown. Here, we have identified basigin as the second
erythrocyte receptor for PvTRAg38, which is resistant to chymotrypsin. The
specificity of interaction between PvTRAg38 and basigin was confirmed by direct
interaction where basigin was specifically recognized by P2 and not by the P4
region of this parasite ligand. Interaction between P2 and basigin is stabilized
through multiple amino acid residues, but Gly-171 and Leu-175 of P2 were more
critical. These two amino acids were also critical for parasite growth. Synthetic
peptides P2 and P4 of PvTRAg38 interfered with the parasite growth independently
but had an additive effect if combined together indicating involvement of both
the receptors during red cell invasion. In conclusion, PvTRAg38 binds to two
erythrocyte receptors basigin and band 3 through P2 and P4 regions, respectively,
to facilitate parasite growth. This advancement in our knowledge on molecular
mechanisms of host-parasite interaction can be exploited to develop therapeutics
against P. vivax malaria.
PMID- 27881676
TI - Cytoplasmic Localization of Proline, Glutamic Acid, Leucine-rich Protein 1
(PELP1) Induces Breast Epithelial Cell Migration through Up-regulation of
Inhibitor of kappaB Kinase epsilon and Inflammatory Cross-talk with Macrophages.
AB - Cytoplasmic localization of proline, glutamic acid, leucine-rich protein 1
(PELP1) is observed in ~40% of women with invasive breast cancer. In mouse
models, PELP1 overexpression in the mammary gland leads to premalignant lesions
and eventually mammary tumors. In preliminary clinical studies, cytoplasmic
localization of PELP1 was seen in 36% of women at high risk of developing breast
cancer. Here, we investigated whether cytoplasmic PELP1 signaling promotes breast
cancer initiation in models of immortalized human mammary epithelial cells
(HMECs). Global gene expression analysis was performed on HMEC lines expressing
vector control, PELP1-wt, or mutant PELP1 in which the nuclear localization
sequence was altered, resulting in cytoplasmic localization of PELP1 (PELP1
cyto). Global gene expression analysis identified that PELP1-cyto expression in
HMECs induced NF-kappaB signaling pathways. Western blotting analysis of PELP1
cyto HMECs showed up-regulation of inhibitor of kappaB kinase epsilon
(IKKepsilon) and increased phosphorylation of the NF-kappaB subunit RelB. To
determine whether secreted factors produced by PELP1-cyto HMECs promote
macrophage activation, THP-1 macrophages were treated with HMEC-conditioned
medium (CM). PELP1-cyto CM induced changes in THP-1 gene expression as compared
with control cell CM. Double conditioned medium (DCM) from the activated THP-1
cells was then applied to HMECs to determine whether paracrine signaling from
PELP1-cyto-activated macrophages could in turn promote migration of HMECs. PELP1
cyto DCM induced robust HMEC migration, which was reduced in DCM from PELP1-cyto
HMECs expressing IKKepsilon shRNA. Our findings suggest that cytoplasmic
localization of PELP1 up-regulates pro-tumorigenic IKKepsilon and secreted
inflammatory signals, which through paracrine macrophage activation regulates the
migratory phenotype associated with breast cancer initiation.
PMID- 27881678
TI - Evolutionary Adaptation of the Essential tRNA Methyltransferase TrmD to the
Signaling Molecule 3',5'-cAMP in Bacteria.
AB - The nucleotide signaling molecule 3',5'-cyclic adenosine monophosphate (3',5'
cAMP) plays important physiological roles, ranging from carbon catabolite
repression in bacteria to mediating the action of hormones in higher eukaryotes,
including human. However, it remains unclear whether 3',5'-cAMP is universally
present in the Firmicutes group of bacteria. We hypothesized that searching for
proteins that bind 3',5'-cAMP might provide new insight into this question.
Accordingly, we performed a genome-wide screen and identified the essential
Staphylococcus aureus tRNA m1G37 methyltransferase enzyme TrmD, which is
conserved in all three domains of life as a tight 3',5'-cAMP-binding protein.
TrmD enzymes are known to use S-adenosyl-l-methionine (AdoMet) as substrate; we
have shown that 3',5'-cAMP binds competitively with AdoMet to the S. aureus TrmD
protein, indicating an overlapping binding site. However, the physiological
relevance of this discovery remained unclear, as we were unable to identify a
functional adenylate cyclase in S. aureus and only detected 2',3'-cAMP but not
3',5'-cAMP in cellular extracts. Interestingly, TrmD proteins from Escherichia
coli and Mycobacterium tuberculosis, organisms known to synthesize 3',5'-cAMP,
did not bind this signaling nucleotide. Comparative bioinformatics, mutagenesis,
and biochemical analyses revealed that the highly conserved Tyr-86 residue in E.
coli TrmD is essential to discriminate between 3',5'-cAMP and the native
substrate AdoMet. Combined with a phylogenetic analysis, these results suggest
that amino acids in the substrate binding pocket of TrmD underwent an adaptive
evolution to accommodate the emergence of adenylate cyclases and thus the
signaling molecule 3',5'-cAMP. Altogether this further indicates that S. aureus
does not produce 3',5'-cAMP, which would otherwise competitively inhibit an
essential enzyme.
PMID- 27881679
TI - The Shigella Virulence Factor IcsA Relieves N-WASP Autoinhibition by Displacing
the Verprolin Homology/Cofilin/Acidic (VCA) Domain.
AB - Shigella flexneri is a bacterial pathogen that invades cells of the
gastrointestinal tract, causing severe dysentery. Shigella mediates intracellular
motility and spreading via actin comet tail formation. This process is dependent
on the surface-exposed, membrane-embedded virulence factor IcsA, which recruits
the host actin regulator N-WASP. Although it is clear that Shigella requires N
WASP for this process, the molecular details of this interaction and the
mechanism of N-WASP activation remain poorly understood. Here, we show that co
expression of full-length IcsA and the Shigella membrane protease IcsP yields
highly pure IcsA passenger domain (residues 53-758). We show that IcsA is
monomeric and describe the solution structure of the passenger domain obtained by
small-angle X-ray scattering (SAXS) analysis. The SAXS-derived models suggest
that IcsA has an elongated shape but, unlike most other autotransporter proteins,
possesses a central kink revealing a distinctly curved structure. Pull-down
experiments show direct binding of the IcsA passenger domain to both the WASP
homology 1 (WH1) domain and the GTPase binding domain (GBD) of N-WASP and no
binding to the verprolin homology/cofilin/acidic (VCA) region. Using fluorescence
polarization experiments, we demonstrate that IcsA binding to the GBD region
displaces the VCA peptide and that this effect is synergistically enhanced upon
IcsA binding to the WH1 region. Additionally, domain mapping of the IcsA
interaction interface reveals that different regions of IcsA bind to the WH1 and
GBD domains of N-WASP. Taken together, our data support a model where IcsA and N
WASP form a tight complex releasing the N-WASP VCA domain to recruit the host
cell machinery for actin tail formation.
PMID- 27881680
TI - Structures and Short Linear Motif of Disordered Transcription Factor Regions
Provide Clues to the Interactome of the Cellular Hub Protein Radical-induced Cell
Death1.
AB - Intrinsically disordered protein regions (IDRs) lack a well defined three
dimensional structure but often facilitate key protein functions. Some
interactions between IDRs and folded protein domains rely on short linear motifs
(SLiMs). These motifs are challenging to identify, but once found they can point
to larger networks of interactions, such as with proteins that serve as hubs for
essential cellular functions. The stress-associated plant protein radical-induced
cell death1 (RCD1) is one such hub, interacting with many transcription factors
via their flexible IDRs. To identify the SLiM bound by RCD1, we analyzed the IDRs
in three protein partners, DREB2A (dehydration-responsive element-binding protein
2A), ANAC013, and ANAC046, considering parameters such as disorder, context,
charges, and pI. Using a combined bioinformatics and experimental approach, we
have identified the bipartite RCD1-binding SLiM as (DE)X(1,2)(YF)X(1,4)(DE)L,
with essential contributions from conserved aromatic, acidic, and leucine
residues. Detailed thermodynamic analysis revealed both favorable and unfavorable
contributions from the IDRs surrounding the SLiM to the interactions with RCD1,
and the SLiM affinities ranged from low nanomolar to 50 times higher Kd values.
Specifically, although the SLiM was surrounded by IDRs, individual intrinsic
alpha-helix propensities varied as shown by CD spectroscopy. NMR spectroscopy
further demonstrated that DREB2A underwent coupled folding and binding with alpha
helix formation upon interaction with RCD1, whereas peptides from ANAC013 and
ANAC046 formed different structures or were fuzzy in the complexes. These
findings allow us to present a model of the stress-associated RCD1-transcription
factor interactome and to contribute to the emerging understanding of the
interactions between folded hubs and their intrinsically disordered partners.
PMID- 27881682
TI - Stabilization of luciferase from Renilla reniformis using random mutations.
AB - We expressed luciferase (RLuc) from Renilla reniformis in Escherichia coli RLuc
was purified using a Ni-NTA column and subsequently characterized. It was
unstable in acidic solutions and at 30 degrees C. To increase the stability of
RLuc, the Rluc gene was randomly mutated using error-prone polymerase chain
reaction. E. coli harboring the mutated gene was screened by detecting
luminescence on a plate containing the substrate coelenterazine at 34 degrees C.
Three mutants, i.e. N264SS287P, N178D and F116LI137V, were obtained. The
solubilities and specific activities of these mutants were higher than those of
the wild type. Furthermore, the N264SS287P mutant maintained stability at a
temperature approximately 5 degrees C higher than that of the wild type, while
denaturation of the F116LI137V mutant started at a temperature that was 5 degrees
C lower than the wild type, and ended at a temperature that was 7 degrees C
higher. We examined the obtained mutations using thermal shift assays and a
computer program Coot in this study.
PMID- 27881681
TI - A Novel Regulatory Mechanism of Type II Collagen Expression via a SOX9-dependent
Enhancer in Intron 6.
AB - Type II collagen alpha1 is specific for cartilaginous tissues, and mutations in
its gene are associated with skeletal diseases. Its expression has been shown to
be dependent on SOX9, a master transcription factor required for chondrogenesis
that binds to an enhancer region in intron 1. However, ChIP sequencing revealed
that SOX9 does not strongly bind to intron 1, but rather it binds to intron 6 and
a site 30 kb upstream of the transcription start site. Here, we aimed to
determine the role of the novel SOX9-binding site in intron 6. We prepared
reporter constructs that contain a Col2a1 promoter, intron 1 with or without
intron 6, and the luciferase gene. Although the reporter constructs were not
activated by SOX9 alone, the construct that contained both introns 1 and 6 was
activated 5-10-fold by the SOX9/SOX5 or the SOX9/SOX6 combination in transient
transfection assays in 293T cells. This enhancement was also observed in rat
chondrosarcoma cells that stably expressed the construct. CRISPR/Cas9-induced
deletion of intron 6 in RCS cells revealed that a 10-bp region of intron 6 is
necessary both for Col2a1 expression and SOX9 binding. Furthermore, SOX9, but not
SOX5, binds to this region as demonstrated in an electrophoretic mobility shift
assay, although both SOX9 and SOX5 bind to a larger 325-bp fragment of intron 6
containing this small sequence. These findings suggest a novel mechanism of
action of SOX5/6; namely, the SOX9/5/6 combination enhances Col2a1 transcription
through a novel enhancer in intron 6 together with the enhancer in intron 1.
PMID- 27881683
TI - Rational design of glycoengineered interferon-beta analogs with improved
aggregation state: experimental validation.
AB - Recombinant human interferon-beta (rhIFN-beta) used clinically has lower efficacy
than expected due to protein instabilities such as aggregation. Increasing
molecular stability, glycoengineering has been used to improve clinical efficacy
for a number of therapeutics; however, often labor-intensive trail-and-error
approaches are used to identify additional glycosylation sites. In this study two
rhIFN-beta analogs with one additional glycosylation site, L6T and S75N,
identified by a rational in silico approach, were characterized. These rhIFN-beta
analogs were synthesized in parallel with a Chinese hamster ovary (CHO) codon
optimized natural human IFN-beta (Opt-IFN-beta) and expressed in CHO cells using
the same expression system. The molecular weights for both analogs were observed
to be higher than Opt-IFN-beta, consistent with hyper-glycosylation. The in vitro
biological assay showed the hyper-glycosylated analogs and the Opt-IFN-beta had
similar activity. The aggregation studies demonstrated that both analogs had
lower tendencies to aggregate compared to the Opt-IFN-beta. These experimental
studies validate the in silico strategy to predict suitable glycosylation sites
that would be glycosylated, while maintaining biological function. Moreover, this
work describes hyper-glycosylated rhIFN-beta analogs with improved solubility
(i.e. lower aggregation). These findings, together with the rational in silico
design, will allow us to increase protein glycosylation with the goal to enhance
therapeutic efficacy.
PMID- 27881684
TI - Conformational flexibility of an anti-IL-13 DARPin?.
AB - Designed ankyrin repeat proteins (DARPin(r)) are artificial non-immunoglobulin
binding proteins with potential applications as therapeutic molecules. DARPin 6G9
binds interleukin-13 with high affinity and blocks the signaling pathway and as
such is promising for the treatment of asthma and other atopic diseases. The
crystal structures of DARPin 6G9 in the unbound form and in complex with IL-13
were determined at high resolution. The DARPin competes for the same epitope as
the IL-13 receptor chain 13Ralpha1 but does not interfere with the binding of the
other receptor chain, IL-4Ralpha. Analysis of multiple copies of the DARPin
molecule in the crystal indicates the conformational instability in the N
terminal cap that was predicted from molecular dynamics simulations. Comparison
of the DARPin structures in the free state and in complex with IL-13 reveals a
concerted movement of the ankyrin repeats upon binding resulted in the opening of
the binding site. The induced-fit mode of binding employed by DARPin 6G9 is very
unusual for DARPins since they were designed as particularly stable and rigid
molecules. This finding shows that DARPins can operate by various binding
mechanisms and suggests that some flexibility in the scaffold may be an
advantage.
PMID- 27881685
TI - Generation of camelid VHH bispecific constructs via in-cell intein-mediated
protein trans-splicing.
AB - Production of various combinations of bispecific variable domain of heavy chain
of heavy chain-only antibody (VHH) constructs to evaluate their therapeutic
potential usually requires several gene-engineering steps. Here, we present an
alternative method of creating bispecific VHH constructs in vivo through protein
trans-splicing (PTS) reaction; this method may reduce the number of gene
manipulation steps required. As a proof-of-concept, we constructed a bispecific
antibody (bsAb) containing an anti-epidermal growth factor receptor VHH and anti
green fluorescent protein VHH, and we evaluated and confirmed its bispecificity.
We also tested antibody labeling by fluorescent protein tagging using the PTS
reaction. Compared with the conventional gene construction method, bsAb
construction via PTS is a promising alternative approach for generating multiple
bsAb combinations.
PMID- 27881686
TI - In Their Own Words: Exploring Family Pathways to Housing Instability.
AB - Housing instability threatens the health and well-being of millions of families
across the United States, yet little is known about the characteristics or
housing trajectories of at-risk families. To address this gap in our
understanding of family risk for housing instability and homelessness, we
undertook a qualitative descriptive study utilizing a convenience sample of 16
mothers recruited from a housing service agency living in Detroit and receiving
emergency services to avoid homelessness. Participants completed the Brief
Patient Health Questionnaire (PHQ), then narrated their life events and reasons
for housing instability and disclosed desired interventions for homelessness
prevention. Data analysis reveals that women experienced high rates of previously
undisclosed trauma, broken family relationships, early parenting
responsibilities, social isolation, and system failures that contributed to
recurrent episodes of housing instability. We argue that housing instability is a
symptom of multiple chronic underlying issues that need more than a temporary
financial patch.
PMID- 27881687
TI - Bilateral cisternal abducens nerve involvement with ophthalmoplegic migraine.
PMID- 27881688
TI - Is it time to rethink treatment of early psychosis?
PMID- 27881689
TI - Acute Type A Aortic Dissection Treated Using a Tubular Stent-Graft in the
Ascending Aorta and a Multibranched Stent-Graft in the Aortic Arch.
AB - PURPOSE: To describe the combined use of a tubular stent-graft for the ascending
aorta and an inner-branched arch stent-graft for patients with acute type A
aortic dissection. TECHNIQUE: The technique to deploy these modular, custom-made
stent-grafts is demonstrated in 2 patients with acute DeBakey type I aortic
dissections and significant comorbidities precluding open surgery. Both emergent
procedures were made possible by the availability of suitable devices
manufactured for elective repair in other patients. After preliminary carotid
subclavian bypass, a long Lunderquist guidewire was introduced from the right
femoral artery to the left ventricle for delivery of the Zenith Ascend and Zenith
Branched Arch Endovascular Grafts under inflow occlusion. Bridging stent-grafts
were delivered to the innominate and left common carotid arteries to connect to
the 2 inner branches; the left subclavian artery was occluded. Both cases were
technically successful and resulted in exclusion of the false lumen in the
ascending aorta. The operating and fluoroscopy times did not exceed those of
comparable elective procedures. The patients were rapidly extubated shortly after
the procedure and without serious immediate complications. One patient survived
11 months with a satisfactory repair; the other succumbed to complications of
recurrent pneumonia after 23 days. CONCLUSION: Endovascular treatment of patients
with acute type A aortic dissection using a combination of tubular and branched
stent-grafts in the ascending aorta is feasible and offers an alternative
strategy to open surgery.
PMID- 27881690
TI - Acceptability of Outpatient Ready-To-Use Food-Based Protocols in HIV-Infected
Senegalese Children and Adolescents Within the MAGGSEN Cohort Study.
AB - OBJECTIVES: To assess the acceptability of ready-to-use food (RUF)-based
outpatient protocols in HIV-infected children and adolescents with severe acute
malnutrition (SAM) and moderate acute malnutrition (MAM). METHODS: Plumpy Nut and
Plumpy Sup were supplied every 2 weeks and prescribed by weight to SAM and MAM
children, respectively. Forty-three children, 24 MAM and 19 SAM, were enrolled.
Organoleptic appreciation, feeding modalities, and perceptions surrounding RUF
were recorded at week 2. Sachets were counted to measure adherence throughout the
study. RESULTS: Median age was 12.2 years (interquartile range: 9.3-14.8), and
91% were on antiretroviral treatment. Overall, 80%, 76%, 68%, and 68% of children
initially rated RUF color, taste, smell, and mouth feeling as good. However,
feelings of disgust, refusal to eat, fragmentation of intake, self-stigma, and
sharing within the household were commonly reported. Eighteen MAM and 7 SAM
experienced weight recovery. Recovery duration was 54 days (31-90) in MAM versus
114 days (69-151) in SAM children ( P = .02). Their rate of RUF consumption
compared to amount prescribed was approximately 50% from week 2 to week 10. Nine
failed to gain weight or consume RUF and were discontinued for clinical
management, and 9 dropped out due to distance to the clinic. CONCLUSION: Initial
RUF acceptability was satisfactory. More than half the children had successful
weight recovery, although adherence to RUF prescription was suboptimal. However,
further research is needed to propose therapeutic foods with improved
palatability, alternative and simpler intervention design, and procedures for
continuous and tailored psychosocial support in this vulnerable population. TRIAL
REGISTRATION: NCT01771562 (Current Controlled Trials).
PMID- 27881691
TI - A Mobile Application for Wound Assessment and Treatment: Findings of a User
Trial.
AB - The D+Wound Solution is a mobile phone application (app) that assists users in
the assessment and treatment of the wound. The app has 6 components for
assessment: need for debridement, infection control, revascularization, and
exudate control; whether it is chronic; and finally, the top surface of the skin.
These components are named D.I.R.E.C.T. The app makes you review these components
as an algorithm to provide a reasonable solution for dressing. It is designed to
understand the status of the wound and provide a practical treatment idea for
wound care providers. A total of 118 nurses were divided into 2 groups,
designated as experienced and less-experienced groups, and surveyed. Both groups
found the app to be helpful in making a treatment plan. However, the less
experienced group found it to be significantly more useful in assessing the wound
( P = .026) but difficult to understand the logic. The experienced group found
the logic to be significantly easier to understand ( P = .018) and had
significantly higher similarities ( P = .015) in treatment protocols compared
with the less-experienced group. We may conclude that this app has a logical
algorithm resembling experienced wound caregivers and is more useful in the less
experienced group.
PMID- 27881693
TI - Outcomes of Rivaroxaban Use in Patients With Sickle Cell Disease.
PMID- 27881694
TI - Transdiaphragmatic pericardiectomy in dogs.
AB - In patients with recurrent pericardial effusions, pericardiectomy is indicated.
The purpose of this study was to describe a transdiaphragmatic approach for
subtotal pericardiectomy in dogs and to evaluate its feasibility. In total, 20
canine cadavers weighing less than 10 kg (group S) and 20 weighing more than 20
kg (group L) were used. Within each group, half underwent a subphrenic
pericardiectomy via an intercostal approach and half via a transdiaphragmatic
approach. For each approach and within each weight group, the percentage of
resected pericardium was calculated and compared. Additionally, a case series of
nine consecutive client-owned dogs that underwent a transdiaphragmatic
pericardiectomy for pericardial effusion was reported. Exposure of pericardium
and associated phrenic nerves was excellent in cadavers and clinical patients. In
group S, the percentage of resected pericardium was not significantly different
between the two approaches. In group L, on the other hand, the percentage of
resected pericardium was lower with the transdiaphragmatic approach compared with
the intercostal approach (P=0.001). In the clinical patients, no intraoperative
complications were encountered and no recurrence of pericardial effusion was
seen. Subtotal pericardiectomy via a transdiaphragmatic approach is
straightforward and a safe surgical procedure to obtain permanent pericardial
drainage in small and large breed dogs.
PMID- 27881692
TI - Monitoring of Urate-Lowering Therapy Among US Veterans Following the 2012
American College of Rheumatology Guidelines for Management of Gout.
AB - BACKGROUND: With the prevalence of and hospitalizations for gout increasing,
optimizing care for patients with gout is imperative. The 2012 American College
of Rheumatology gout guidelines emphasize that timely monitoring is key to
achieving serum urate (SUA) goals. Few studies have examined this metric
following the 2012 update, and to our knowledge, none have examined a veteran
population. OBJECTIVE: To evaluate adherence to urate-lowering therapy (ULT)
monitoring guidelines in a veteran population. METHODS: This is a single-center,
multisite, retrospective chart review of US veterans receiving ULT for gout
within the VA (Veterans Affairs) Tennessee Valley Healthcare System from January
1, 2013, to June 30, 2015. The primary end point was percentage of patients with
a SUA within 6 months of initial xanthine oxidase inhibitor prescription.
Secondary end points included percentage of patients with SUA <6 mg/dL and
percentage of patients with uptitration following SUA above goal. RESULTS: A
total of 601 patients met inclusion criteria for the study; after application of
exclusion criteria, 505 were analyzed. Of these, 295 patients (58%) did not have
a SUA drawn within 6 months, and 162 patients (32%) reached the end of the study
period without SUA measured. Of 226 patients with SUA above goal on initial
check, 64 (28%) had timely dose adjustment, whereas 143 patients (63%) had no
adjustment. A total of 161 patients (32%) had a SUA at goal within the study
period. CONCLUSIONS: Rates of ULT monitoring at a major VA medical center were
suboptimal, and improved adherence to guideline recommendations is needed.
PMID- 27881695
TI - Application of sonoelastography for evaluating the stiffness of equine
superficial digital flexor tendon during healing.
AB - Sonoelastography can assess the inner stiffness of tissues. Sonoelastographic
evaluation of injured equine superficial digital flexor tendons (SDFTs) is
considered to be useful for assessing the stiffness of a lesion even during late
stage rehabilitation. The purpose of this study was to investigate and compare
the sonoelastographic appearance of injured SDFTs over time from the onset of the
injury. Eighteen horses were classified into three groups according to the length
of time from injury onset: group A, within two weeks after injury; group B,
approximately five months after injury; and group C, approximately nine months
after injury. Longitudinal and transverse images of all injured SDFTs were
obtained using grey-scale ultrasonography and sonoelastography. Grey-scale and
sonoelastographic images were evaluated by two observers using echogenicity
grading and colour-grading systems, respectively. The authors evaluated the
interobserver agreement and compared the grades among the three groups. The
results indicated almost perfect interobserver agreement. Significant differences
were found in the sonoelastography among the three groups, whereas no significant
difference was found in the grey-scale ultrasonography between groups B and C.
Sonoelastography is a feasible and useful modality to evaluate the equine injured
SDFTs in vivo and to distinguish between them among the different phases even
during the chronic phase.
PMID- 27881696
TI - Preliminary survey of lamb losses (black loss) in Highland sheep flocks.
PMID- 27881697
TI - Adult Day Services Outcomes: Delphi Review of an Integrated Participant
Assessment System
AB - Adult day services (ADS) aim to help elders age in place, but evidence of impact
on participants lags behind caregiver research. To play a larger role in the
continuum of care, ADS participant outcomes should regularly be documented with
standard measures. We conducted a Delphi review of one integrated participant
assessment system. Capturing physical, mental, and cognitive health indicators
and activity engagement of typical ADS participants (i.e., frail elders eligible
for nursing home placement), experts evaluated the system on instrument validity,
relevance, and application. Practitioners and researchers completed multiple
assessments of the system's instruments and alternatives. Finally, panelists
addressed the potential benefits and challenges of system adoption by ADS
providers. Panelists concurred that such a system would benefit individuals,
families, and providers; concerns related to cost and staffing requirements and
appropriateness for distinct client populations. A refined system can reveal best
practices to enhance ADS service delivery and participant outcomes.
PMID- 27881698
TI - Effects of Electrolyte Replacement Protocol Implementation in a Medical Intensive
Care Unit.
AB - PURPOSE: To evaluate the effects of electrolyte replacement protocol (ERP)
implementation in the medical intensive care unit (MICU) setting. We hypothesized
that a protocol would reduce the time of replacement dose administration and
increase provider satisfaction with the process of electrolyte replacement.
METHODS: This was a retrospective review of electronic medical record data before
and after implementation of a standardized ERP in an 18-bed military tertiary
care MICU. RESULTS: Median time from abnormal laboratory result to time of
documented dose administration for potassium decreased from 180 to 98 minutes ( P
< .01), phosphorus decreased from 190 to 135 minutes ( P < .01), calcium
decreased from 95 to 61 minutes ( P < .01), and magnesium decreased from 155 to
149 minutes ( P < .01). Overall, there was a significant reduction in time to
electrolyte repletion from 146 to 98 minutes ( P < .01) for all electrolytes.
Nursing satisfaction for autonomy, timeliness, effectiveness, and the need to
seek orders was all improved ( P < .01), and physicians saved 4.4
minutes/patient/day ( P = .04). CONCLUSIONS: Electrolyte replacement protocols
can be safely implemented in the MICU and reduce the time from abnormal
laboratory result to electrolyte replacement dose administration. They can
improve provider satisfaction and reduce physician time with the process of
electrolyte replacement.
PMID- 27881699
TI - Multicenter Experience of Surgical Explantation of Carotid Stents for Recurrent
Stenosis.
AB - OBJECTIVE: A significant cohort of patients who have undergone carotid artery
stenting (CAS) will have in-stent restenosis (ISR). The optimal management of
symptomatic or severe ISR remains poorly defined. The purpose of this study was
to describe the indications, treatment, and mid- to long-term outcomes of
patients undergoing CAS explantation for ISR. METHODS: All patients undergoing
internal carotid artery stent explantation with carotid artery reconstruction at
Mayo Clinic Rochester, Dartmouth-Hitchcock Medical Center, and Beth-Israel
Deaconess Medical Center from 2003 to 2013 were retrospectively reviewed.
Isolated common carotid artery stents were excluded. Demographics, comorbidities,
indications for explantation, operative details, and perioperative and
postoperative outcomes were reviewed. RESULTS: Over the study interval, a total
of 971 patients underwent carotid stenting across the 3 centers. Of these, 8
patients ultimately underwent CAS explantation with carotid artery
reconstruction. Mean age was 69 years and 5 patients were male. Index stent
placement was for symptomatic stenosis in 4 patients, asymptomatic restenosis
after endarterectomy in 2 patients, asymptomatic high lesion in 1 patient, and
asymptomatic critical stenosis in 1 patient. Indications for explantation were
symptomatic ISR in 4 patients and asymptomatic severe ISR in 4 patients. Method
of repair was stent explantation and patch angioplasty in 5 and en bloc carotid
resection with bypass in 3 patients. There were no perioperative neurologic
events or cranial nerve injuries. At a mean follow-up of 38.7 months, there were
2 late disabling ipsilateral strokes (14.4 months and 19.1 months). CONCLUSION: A
significant cohort of patients who have undergone CAS will have ISR. Although
excellent perioperative results after surgical explantation can be obtained, this
patient subgroup remains at risk for late neurologic events. Appropriate patient
selection and diligent long-term follow-up are mandated to obtain optimal
outcomes.
PMID- 27881700
TI - Veno-venous ECMO as a safe bridge to recovery in a patient with severe peripartum
cardiomyopathy - learning from errors.
AB - Peripartum cardiomyopathy (PPCM) is a rare disorder of unknown etiology and
pathogenesis. The most important tool for diagnostic confirmation is
transthoracic echocardiography. The recommended management of PPCM in pregnancy
is summarized by the European Society of Cardiology Heart Failure Guidelines. Few
data exist on the treatment of patients with fulminant PPCM and the need for
extracorporeal membrane oxygenation (ECMO) in this context. We report on a young
multiparous woman with cardiogenic shock caused by severe PPCM who was
successfully, but atypically, supported with veno-venous ECMO as a bridge to
recovery immediately after the birth of her third child.
PMID- 27881701
TI - Externally visible thrombus partially predicts internal thrombus deposition in
extracorporeal membrane oxygenators.
AB - AIM: We sought to quantify the location and volume of thrombus in used hollow
fiber membrane oxygenators and correlate the volume of thrombus with patient
demographics, flow characteristics and anticoagulation parameters. METHODS:
Hollow-fiber membrane oxygenators (Quadrox D, Maquet, Rastatt, Germany) were
collected after clinical use in ECMO circuits and divided into sections. Each
section was digitally imaged and analyzed using ImageJ software. The location and
total volume (cm3) of thrombus was calculated for different sections. In an
effort to predict thrombus formation, we correlated thrombus volume with possible
aggravating and mitigating variables. RESULTS: We collected 41 oxygenators from
27 patients. Twenty-seven (66%) were configured in the veno-venous mode and 14
(34%) in the veno-arterial mode. The median duration of use was 131 hours
(interquartile range 61-214 hours). Eighteen (44%) were removed when the patient
recovered, six (15%) were removed after withdrawal of care and seventeen (41%)
were exchanged. The median volume of thrombus was 11.4 cm3 (interquartile range
2.2-44.5 cm3). CONCLUSIONS: A multivariable linear regression model suggested
that the combination of median flow, configuration of ECMO and visible thrombus
partially predicted internal thrombus volume (adjusted R2=0.39).
PMID- 27881703
TI - Semaphorin 4C Protects against Allergic Inflammation: Requirement of Regulatory
CD138+ Plasma Cells.
AB - The regulatory properties of B cells have been studied in autoimmune diseases;
however, their role in allergic diseases is poorly understood. We demonstrate
that Semaphorin 4C (Sema4C), an axonal guidance molecule, plays a crucial role in
B cell regulatory function. Mice deficient in Sema4C exhibited increased airway
inflammation after allergen exposure, with massive eosinophilic lung infiltrates
and increased Th2 cytokines. This phenotype was reproduced by mixed bone marrow
chimeric mice with Sema4C deficient only in B cells, indicating that B
lymphocytes were the key cells affected by the absence of Sema4C expression in
allergic inflammation. We determined that Sema4C-deficient CD19+CD138+ cells
exhibited decreased IL-10 and increased IL-4 expression in vivo and in vitro.
Adoptive transfer of Sema4c-/- CD19+CD138+ cells induced marked pulmonary
inflammation, eosinophilia, and increased bronchoalveolar lavage fluid IL-4 and
IL-5, whereas adoptive transfer of wild-type CD19+CD138+IL-10+ cells dramatically
decreased allergic airway inflammation in wild-type and Sema4c-/- mice. This
study identifies a novel pathway by which Th2-mediated immune responses are
regulated. It highlights the importance of plasma cells as regulatory cells in
allergic inflammation and suggests that CD138+ B cells contribute to cytokine
balance and are important for maintenance of immune homeostasis in allergic
airways disease. Furthermore, we demonstrate that Sema4C is critical for optimal
regulatory cytokine production in CD138+ B cells.
PMID- 27881702
TI - Roles of Aluminum Hydroxide and Monophosphoryl Lipid A Adjuvants in Overcoming
CD4+ T Cell Deficiency To Induce Isotype-Switched IgG Antibody Responses and
Protection by T-Dependent Influenza Vaccine.
AB - Vaccine adjuvant effects in the CD4-deficient condition largely remain unknown.
We investigated the roles of combined monophosphoryl lipid A (MPL) and aluminum
hydroxide (Alum) adjuvant (MPL+Alum) in inducing immunity after immunization of
CD4 knockout (CD4KO) and wild-type (WT) mice with T-dependent influenza vaccine.
MPL+Alum adjuvant mediated IgG isotype-switched Abs, IgG-secreting cell
responses, and protection in CD4KO mice, which were comparable to those in WT
mice. In contrast, Alum adjuvant effects were dependent on CD4+ T cells. MPL+Alum
adjuvant was effective in recruiting monocytes and neutrophils as well as in
protecting macrophages from Alum-mediated cell loss at the injection site in
CD4KO mice. MPL+Alum appeared to attenuate MPL-induced inflammatory responses in
WT mice, likely improving the safety. Additional studies in CD4-depleted WT mice
and MHC class II KO mice suggest that MHC class II+ APCs contribute to providing
alternative B cell help in the CD4-deficient condition in the context of MPL+Alum
adjuvanted vaccination.
PMID- 27881704
TI - Generation of a Novel HLA Class I Transgenic Mouse Model Carrying a Knock-in
Mutation at the beta2-Microglobulin Locus.
AB - We generated a series of monochain HLA class I knock-in (KI) mouse strains, in
which a chimeric HLA class I molecule (alpha1/alpha2 domain of HLA-A*0201, HLA
A*0301, HLA-A*2402, or HLA-A*3101 and alpha3 domain of H-2Db) was covalently
linked with 15 aa to human beta2-microglobulin (beta2m) and introduced into the
endogenous mouse beta2m locus. In homozygous KI mice, mouse beta2m gene
disruption resulted in loss of the endogenous H-2 class I molecules and reduction
in the peripheral CD8+ T cell population that was partially restored by monochain
HLA class I expression. A gene dosage-dependent expression of HLA, similar to
that in human PBMCs, was detected in heterozygous and homozygous HLA KI mice.
Upon vaccination with various virus epitopes, HLA-restricted, epitope-specific
CTLs were induced in HLA KI mice, similar to the response in the commonly used
HLA transgenic mice. Importantly, the CTL responses induced in heterozygous KI
mice were similar to those in homozygous KI mice. These results suggest that
coexpression of H-2 class I does not affect HLA-restricted CTL responses in HLA
KI mice, which differs from the situation reported for monochain HLA Tg * beta2m
/- mice. Furthermore, we generated double KI mice harboring two different HLA
(HLA-A*2402 and HLA-A*0301) KI alleles, which showed a CTL response against both
HLA-A24 and HLA-A3 epitopes when immunized with a mixture of both peptides. These
results indicated that this HLA class I KI mouse model provides powerful research
tools not only for the study of HLA class I-restricted CTL responses, but also
for preclinical vaccine evaluation.
PMID- 27881706
TI - IL1R9 Is Evolutionarily Related to IL18BP and May Function as an IL-18 Receptor.
AB - The IL-1 families of ligands and receptors exhibit similarity of coding
sequences, protein structures, and chromosomal positions, suggesting that they
have arisen via duplication of ancestral genes. Within these families there is
selectivity in ligand-receptor interactions as well as promiscuity. IL-18 and its
receptor are members of these families. IL-18 is recognized as binding to the
protein products of the IL18R1 and IL18RAP genes, and with high affinity to a
separate IL-18 binding protein (IL-18BP). However, IL-18BP is anomalous, as it
exhibits little resemblance to IL-18R proteins. Additionally, IL-18 is produced
in the brain in medial habenula neurons, which project IL-18-containing axons to
the interpeduncular nucleus. However, there is a lack of focal IL-18R expression
in their terminal field. Given these anomalies, we hypothesized that another
receptor for IL-18 may exist, and that IL18BP is evolutionarily related to this
receptor. We examined Ensembl and National Center for Biotechnology Information
databases to identify available IL18BP records (n = 86 species) and show through
bioinformatics approaches that across mammalian species with IL18BP genes, IL
18BP is consistently most similar to IL-1R9 (IL-1R accessory protein-like 2),
another member of the IL-1R family. IL-1R9 and the related IL-1R8, but not other
IL-1R family members, exhibit an amino acid sequence similar to binding site A of
human and viral IL-18BPs. Conserved intron/exon boundaries, protein structure,
and key binding site amino acids suggest that IL18BP and IL1R9 are evolutionarily
related, and that IL-1R9 and IL-1R8 may bind IL-18.
PMID- 27881705
TI - Essential Role of mTORC1 in Self-Renewal of Murine Alveolar Macrophages.
AB - Alveolar macrophages (AMphi) have the capacity of local self-renewal through
adult life; however, mechanisms that regulate AMphi self-renewal remain poorly
understood. We found that myeloid-specific deletion of Raptor, an essential
component of the mammalian/mechanistic target of rapamycin complex (mTORC)1,
resulted in a marked decrease of this population of cells accompanying altered
phenotypic features and impaired phagocytosis activity. We demonstrated further
that Raptor/mTORC1 deficiency did not affect AMphi development, but compromised
its proliferative activity at cell cycle entry in the steady-state as well as in
the context of repopulation in irradiation chimeras. Mechanically, mTORC1 confers
AMphi optimal responsiveness to GM-CSF-induced proliferation. Thus, our results
demonstrate an essential role of mTORC1 for AMphi homeostasis by regulating
proliferative renewal.
PMID- 27881707
TI - A CD80-Biased CTLA4-Ig Fusion Protein with Superior In Vivo Efficacy by
Simultaneous Engineering of Affinity, Selectivity, Stability, and FcRn Binding.
AB - Affinity- and stability-engineered variants of CTLA4-Ig fusion molecules with
enhanced pharmacokinetic profiles could yield improved therapies with the
potential of higher efficacy and greater convenience to patients. In this study,
to our knowledge, we have, for the first time, used in vitro evolution to
simultaneously optimize CTLA4 affinity and stability. We selected for improved
binding to both ligands, CD80 and CD86, and screened as dimeric Fc fusions
directly in functional assays to identify variants with stronger suppression of
in vitro T cell activation. The majority of CTLA4 molecules showing the largest
potency gains in primary in vitro and ex vivo human cell assays, using PBMCs from
type 1 diabetes patients, had significant improvements in CD80, but only modest
gains in CD86 binding. We furthermore observed different potency rankings between
our lead molecule MEDI5265, abatacept, and belatacept, depending on which type of
APC was used, with MEDI5265 consistently being the most potent. We then created
fusions of both stability- and potency-optimized CTLA4 moieties with human Fc
variants conferring extended plasma t1/2 In a cynomolgus model of T cell
dependent Ab response, the CTLA4-Ig variant MEDI5265 could be formulated at >100
mg/ml for s.c. administration and showed superior efficacy and significantly
prolonged serum t1/2 The combination of higher stability and potency with
prolonged pharmacokinetics could be compatible with very infrequent, s.c. dosing
while maintaining a similar level of immune suppression to more frequently and
i.v. administered licensed therapies.
PMID- 27881708
TI - IL-35 Suppresses Lipopolysaccharide-Induced Airway Eosinophilia in EBI3-Deficient
Mice.
AB - EBI3 functions as the subunit of immune-regulatory cytokines, such as IL-27 and
IL-35, by pairing with p28 and p35, respectively. We treated wild-type and EBI3
deficient mice with intratracheal administration of LPS and obtained
bronchoalveolar lavage fluid (BALF) 24 h later. Although neutrophils were the
predominant cells in BALF from both groups of mice, eosinophils were highly
enriched and there was increased production of eosinophil-attracting chemokines
CCL11 and CCL24 in BALF of EBI3-deficient mice. The bronchial epithelial cells
and alveolar macrophages were the major producers of CCL11 and CCL24. Because no
such increases in eosinophils were seen in BALF of p28/IL-27-deficient mice or
WSX-1/IL-27Ralpha subunit-deficient mice upon intratracheal stimulation with LPS,
we considered that the lack of IL-35 was responsible for the enhanced airway
eosinophilia in EBI3-deficient mice. In vitro, IL-35 potently suppressed
production of CCL11 and CCL24 by human lung epithelial cell lines treated with
TNF-alpha and IL-1beta. IL-35 also suppressed phosphorylation of STAT1 and STAT3
and induced suppressor of cytokine signaling 3. In vivo, rIL-35 dramatically
reduced LPS-induced airway eosinophilia in EBI3-deficient mice, with concomitant
reduction of CCL11 and CCL24, whereas neutralization of IL-35 significantly
increased airway eosinophils in LPS-treated wild-type mice. Collectively, our
results suggest that IL-35 negatively regulates airway eosinophilia, at least in
part by reducing the production of CCL11 and CCL24.
PMID- 27881710
TI - The Role of Hedonic Behavior in Reducing Perceived Risk.
AB - Understanding how human populations naturally respond to and cope with risk is
important for fields ranging from psychology to public health. We used
geophysical and individual-level mobile-phone data (mobile-apps,
telecommunications, and Web usage) of 157,358 victims of the 2013 Ya'an
earthquake to diagnose the effects of the disaster and investigate how
experiencing real risk (at different levels of intensity) changes behavior.
Rather than limiting human activity, higher earthquake intensity resulted in
graded increases in usage of communications apps (e.g., social networking,
messaging), functional apps (e.g., informational tools), and hedonic apps (e.g.,
music, videos, games). Combining mobile data with a field survey ( N = 2,000)
completed 1 week after the earthquake, we use an instrumental-variable approach
to show that only increases in hedonic behavior reduced perceived risk. Thus,
hedonic behavior could potentially serve as a population-scale coping and
recovery strategy that is often missing in risk management and policy
considerations.
PMID- 27881711
TI - Mitochondrial fusion: Reaching the end of mitofusin's tether.
AB - In this issue, Qi et al. (2016. J. Cell Biol
https://doi.org/10.1083/jcb.201609019) provide structural insights into the
mechanisms of mitochondrial outer membrane fusion by investigating the structure
of mitofusin 1 (MFN1). This work proposes a new model to explain the important
and elusive process of MFN-mediated mitochondrial fusion.
PMID- 27881712
TI - Astrocytic TLR4 at the crossroads of inflammation and seizure susceptibility.
AB - Astrocytes have been implicated in epilepsy development, but their contribution
is under debate. In this issue, Shen et al. (2016. J. Cell Biol.
https://doi.org/10.1083/jcb.201605046) demonstrate that early postnatal
inflammatory stimuli activate toll-like receptor 4 signaling in astrocytes and
promote excitatory synaptogenesis, thereby increasing seizure susceptibility in
young and adult mice.
PMID- 27881709
TI - Relationship Between Metformin Use and Recurrence and Survival in Patients With
Resected Stage III Colon Cancer Receiving Adjuvant Chemotherapy: Results From
North Central Cancer Treatment Group N0147 (Alliance).
AB - BACKGROUND: Preclinical and epidemiological data suggest that metformin might
have antineoplastic properties against colon cancer (CC). However, the effect of
metformin use on patient survival in stage III CC after curative resection is
unknown. The survival outcomes were comparable regardless of the duration of
metformin use. PATIENTS AND METHODS: Before randomization to FOLFOX (folinic
acid, 5-fluorouracil, oxaliplatin) with or without cetuximab, 1,958 patients with
stage III CC enrolled in the N0147 study completed a questionnaire with
information on diabetes mellitus (DM) and metformin use. Cox models were used to
assess the association between metformin use and disease-free survival (DFS),
overall survival (OS), and the time to recurrence (TTR), adjusting for clinical
and/or pathological factors. RESULTS: Of the 1,958 patients, 1,691 (86%) reported
no history of DM, 115 reported DM with metformin use (6%), and 152 reported DM
without metformin use (8%). The adjuvant treatment arms were pooled, because
metformin use showed homogeneous effects on outcomes across the two arms. Among
the patients with DM (n = 267), DFS (adjusted hazard ratio [aHR], 0.90; 95%
confidence interval [CI], 0.59-1.35; p = .60), OS (aHR, 0.99; 95% CI, 0.65-1.49;
p = .95), and TTR (aHR, 0.87; 95% CI, 0.56-1.35; p = .53) were not different for
the metformin users compared with the nonusers after adjusting for tumor and
patient factors. The survival outcomes were comparable regardless of the duration
of metformin use (<1, 1-5, 6-10, >=11 years) before randomization (ptrend = .64
for DFS, ptrend = .84 for OS, and ptrend = .87 for TTR). No interaction effects
were observed between metformin use and KRAS, BRAF mutation status, tumor site,
T/N stage, gender, or age. CONCLUSIONS: Patients with stage III CC undergoing
adjuvant chemotherapy who used metformin before the diagnosis of CC experienced
DFS, OS, and TTR similar to those for non-DM patients and DM patients without
metformin use. IMPLICATIONS FOR PRACTICE: The present study did not find any
relationship between metformin use or its duration and disease-free survival,
time to recurrence, and overall survival in a large cohort of patients with
resected stage III colon cancer receiving adjuvant FOLFOX (folinic acid,
fluorouracil, oxaliplatin)-based chemotherapy. This relationship was not modified
by KRAS or BRAF mutation or DNA mismatch repair status. Metformin use did not
increase or decrease the likelihood of chemotherapy-related grade 3 or higher
adverse events.
PMID- 27881713
TI - GTSE1 tunes microtubule stability for chromosome alignment and segregation by
inhibiting the microtubule depolymerase MCAK.
AB - The dynamic regulation of microtubules (MTs) during mitosis is critical for
accurate chromosome segregation and genome stability. Cancer cell lines with
hyperstabilized kinetochore MTs have increased segregation errors and elevated
chromosomal instability (CIN), but the genetic defects responsible remain largely
unknown. The MT depolymerase MCAK (mitotic centromere-associated kinesin) can
influence CIN through its impact on MT stability, but how its potent activity is
controlled in cells remains unclear. In this study, we show that GTSE1, a protein
found overexpressed in aneuploid cancer cell lines and tumors, regulates MT
stability during mitosis by inhibiting MCAK MT depolymerase activity. Cells
lacking GTSE1 have defects in chromosome alignment and spindle positioning as a
result of MT instability caused by excess MCAK activity. Reducing GTSE1 levels in
CIN cancer cell lines reduces chromosome missegregation defects, whereas
artificially inducing GTSE1 levels in chromosomally stable cells elevates
chromosome missegregation and CIN. Thus, GTSE1 inhibition of MCAK activity
regulates the balance of MT stability that determines the fidelity of chromosome
alignment, segregation, and chromosomal stability.
PMID- 27881714
TI - A GPI processing phospholipase A2, PGAP6, modulates Nodal signaling in embryos by
shedding CRIPTO.
AB - Glycosylphosphatidylinositol-anchored proteins (GPI-APs) can be shed from the
cell membrane by GPI cleavage. In this study, we report a novel GPI-processing
enzyme, termed post-glycosylphosphatidylinositol attachment to proteins 6
(PGAP6), which is a GPI-specific phospholipase A2 mainly localized at the cell
surface. CRIPTO, a GPI-AP, which plays critical roles in early embryonic
development by acting as a Nodal coreceptor, is a highly sensitive substrate of
PGAP6, whereas CRYPTIC, a close homologue of CRIPTO, is not sensitive. CRIPTO
processed by PGAP6 was released as a lysophosphatidylinositol-bearing form, which
is further cleaved by phospholipase D. CRIPTO shed by PGAP6 was active as a
coreceptor in Nodal signaling, whereas cell-associated CRIPTO activity was
reduced when PGAP6 was expressed. Homozygous Pgap6 knockout mice showed defects
in early embryonic development, particularly in the formation of the anterior
posterior axis, which are common features with Cripto knockout embryos. These
results suggest PGAP6 plays a critical role in Nodal signaling modulation through
CRIPTO shedding.
PMID- 27881715
TI - S1P in HDL promotes interaction between SR-BI and S1PR1 and activates S1PR1
mediated biological functions: calcium flux and S1PR1 internalization.
AB - HDL normally transports about 50-70% of plasma sphingosine 1-phosphate (S1P), and
the S1P in HDL reportedly mediates several HDL-associated biological effects and
signaling pathways. The HDL receptor, SR-BI, as well as the cell surface
receptors for S1P (S1PRs) may be involved partially and/or completely in these
HDL-induced processes. Here we investigate the nature of the HDL-stimulated
interaction between the HDL receptor, SR-BI, and S1PR1 using a protein-fragment
complementation assay and confocal microscopy. In both primary rat aortic
vascular smooth muscle cells and HEK293 cells, the S1P content in HDL particles
increased intracellular calcium concentration, which was mediated by S1PR1.
Mechanistic studies performed in HEK293 cells showed that incubation of cells
with HDL led to an increase in the physical interaction between the SR-BI and
S1PR1 receptors that mainly occurred on the plasma membrane. Model recombinant
HDL (rHDL) particles formed in vitro with S1P incorporated into the particle
initiated the internalization of S1PR1, whereas rHDL without supplemented S1P did
not, suggesting that S1P transported in HDL can selectively activate S1PR1. In
conclusion, these data suggest that S1P in HDL stimulates the transient
interaction between SR-BI and S1PRs that can activate S1PRs and induce an
elevation in intracellular calcium concentration.
PMID- 27881716
TI - Influence of route of administration and lipidation of apolipoprotein A-I peptide
on pharmacokinetics and cholesterol mobilization.
AB - apoA-I, apoA-I mimetic peptides, and their lipid complexes or reconstituted high
density lipoprotein (HDL) have been studied as treatments for various
pathologies. However, consensus is lacking about the best method for
administration, by intravenous (IV) or intraperitoneal (IP) routes, and
formulation, as an HDL particle or in a lipid-free form. The objective of this
study was to systematically examine peptide plasma levels, cholesterol
mobilization, and lipoprotein remodeling in vivo following administration of
lipid-free apoA-I peptide (22A) or phospholipid reconstituted 22A-sHDL by IV and
IP routes. The mean circulation half-life was longer for 22A-sHDL (T1/2 = 6.27 h)
than for free 22A (T1/2 = 3.81 h). The percentage of 22A absorbed by the vascular
compartment after the IP dosing was ~50% for both 22A and 22A-sHDL. The strongest
pharmacologic response came from IV injection of 22A-sHDL, specifically a 5.3
fold transient increase in plasma-free cholesterol (FC) level compared with 1.3-
and 1.8-fold FC increases for 22A-IV and 22A-sHDL-IP groups. Addition of either
22A or 22A-sHDL to rat plasma caused lipoprotein remodeling and appearance of a
lipid-poor apoA-I. Hence, both the route of administration and the formulation of
apoA-I peptide significantly affect its pharmacokinetics and pharmacodynamics.
PMID- 27881717
TI - Localization of 1-deoxysphingolipids to mitochondria induces mitochondrial
dysfunction.
AB - 1-Deoxysphingolipids (deoxySLs) are atypical sphingolipids that are elevated in
the plasma of patients with type 2 diabetes and hereditary sensory and autonomic
neuropathy type 1 (HSAN1). Clinically, diabetic neuropathy and HSAN1 are very
similar, suggesting the involvement of deoxySLs in the pathology of both
diseases. However, very little is known about the biology of these lipids and the
underlying pathomechanism. We synthesized an alkyne analog of 1-deoxysphinganine
(doxSA), the metabolic precursor of all deoxySLs, to trace the metabolism and
localization of deoxySLs. Our results indicate that the metabolism of these
lipids is restricted to only some lipid species and that they are not converted
to canonical sphingolipids or fatty acids. Furthermore, exogenously added alkyne
doxSA [(2S,3R)-2-aminooctadec-17-yn-3-ol] localized to mitochondria, causing
mitochondrial fragmentation and dysfunction. The induced mitochondrial toxicity
was also shown for natural doxSA, but not for sphinganine, and was rescued by
inhibition of ceramide synthase activity. Our findings therefore indicate that
mitochondrial enrichment of an N-acylated doxSA metabolite may contribute to the
neurotoxicity seen in diabetic neuropathy and HSAN1. Hence, we provide a
potential explanation for the characteristic vulnerability of peripheral nerves
to elevated levels of deoxySLs.
PMID- 27881718
TI - Variations in vibrissal geometry across the rat mystacial pad: base diameter,
medulla, and taper.
AB - Many rodents tactually sense the world through active motions of their vibrissae
(whiskers), which are regularly arranged in rows and columns (arcs) on the face.
The present study quantifies several geometric parameters of rat whiskers that
determine the tactile information acquired. Findings include the following. 1) A
meta-analysis of seven studies shows that whisker base diameter varies with arc
length with a surprisingly strong dependence on the whisker's row position within
the array. 2) The length of the whisker medulla varies linearly with whisker
length, and the medulla's base diameter varies linearly with whisker base
diameter. 3) Two parameters are required to characterize whisker "taper": radius
ratio (base radius divided by tip radius) and radius slope (the difference
between base and tip radius, divided by arc length). A meta-analysis of five
studies shows that radius ratio exhibits large variability due to variations in
tip radius, while radius slope varies systematically across the array. 4) Within
the resolution of the present study, radius slope does not differ between the
proximal and distal segments of the whisker, where "proximal" is defined by the
presence of the medulla. 5) Radius slope of the medulla is offset by a constant
value from radius slope of the proximal portion of the whisker. We conclude with
equations for all geometric parameters as functions of row and column
position.NEW & NOTEWORTHY Rats tactually explore their world by brushing and
tapping their whiskers against objects. Each whisker's geometry will have a large
influence on its mechanics and thus on the tactile signals the rat obtains. We
performed a meta-analysis of seven studies to generate equations that describe
systematic variations in whisker geometry across the rat's face. We also
quantified the geometry of the whisker medulla. A database provides access to
geometric parameters of over 500 rat whiskers.
PMID- 27881720
TI - Contextual effects of noise on vocalization encoding in primary auditory cortex.
AB - : Robust auditory perception plays a pivotal function for processing behaviorally
relevant sounds, particularly with distractions from the environment. The
neuronal coding enabling this ability, however, is still not well understood. In
this study, we recorded single-unit activity from the primary auditory cortex
(A1) of awake marmoset monkeys (Callithrix jacchus) while delivering conspecific
vocalizations degraded by two different background noises: broadband white noise
and vocalization babble. Noise effects on neural representation of target
vocalizations were quantified by measuring the responses' similarity to those
elicited by natural vocalizations as a function of signal-to-noise ratio. A
clustering approach was used to describe the range of response profiles by
reducing the population responses to a summary of four response classes (robust,
balanced, insensitive, and brittle) under both noise conditions. This clustering
approach revealed that, on average, approximately two-thirds of the neurons
change their response class when encountering different noises. Therefore, the
distortion induced by one particular masking background in single-unit responses
is not necessarily predictable from that induced by another, suggesting the low
likelihood of a unique group of noise-invariant neurons across different
background conditions in A1. Regarding noise influence on neural activities, the
brittle response group showed addition of spiking activity both within and
between phrases of vocalizations relative to clean vocalizations, whereas the
other groups generally showed spiking activity suppression within phrases, and
the alteration between phrases was noise dependent. Overall, the variable single
unit responses, yet consistent response types, imply that primate A1 performs
scene analysis through the collective activity of multiple neurons. NEW &
NOTEWORTHY: The understanding of where and how auditory scene analysis is
accomplished is of broad interest to neuroscientists. In this paper, we
systematically investigated neuronal coding of multiple vocalizations degraded by
two distinct noises at various signal-to-noise ratios in nonhuman primates. In
the process, we uncovered heterogeneity of single-unit representations for
different auditory scenes yet homogeneity of responses across the population.
PMID- 27881719
TI - Neuronal pattern separation of motion-relevant input in LIP activity.
AB - In various regions of the brain, neurons discriminate sensory stimuli by
decreasing the similarity between ambiguous input patterns. Here, we examine
whether this process of pattern separation may drive the rapid discrimination of
visual motion stimuli in the lateral intraparietal area (LIP). Starting with a
simple mean-rate population model that captures neuronal activity in LIP, we show
that overlapping input patterns can be reformatted dynamically to give rise to
separated patterns of neuronal activity. The population model predicts that a key
ingredient of pattern separation is the presence of heterogeneity in the response
of individual units. Furthermore, the model proposes that pattern separation
relies on heterogeneity in the temporal dynamics of neural activity and not
merely in the mean firing rates of individual neurons over time. We confirm these
predictions in recordings of macaque LIP neurons and show that the accuracy of
pattern separation is a strong predictor of behavioral performance. Overall,
results propose that LIP relies on neuronal pattern separation to facilitate
decision-relevant discrimination of sensory stimuli.NEW & NOTEWORTHY A new
hypothesis is proposed on the role of the lateral intraparietal (LIP) region of
cortex during rapid decision making. This hypothesis suggests that LIP alters the
representation of ambiguous inputs to reduce their overlap, thus improving
sensory discrimination. A combination of computational modeling, theoretical
analysis, and electrophysiological data shows that the pattern separation
hypothesis links neural activity to behavior and offers novel predictions on the
role of LIP during sensory discrimination.
PMID- 27881721
TI - Using noise to shape motor learning.
AB - : Each of our movements is selected from any number of alternative movements.
Some studies have shown evidence that the central nervous system (CNS) chooses to
make the specific movements that are least affected by motor noise. Previous
results showing that the CNS has a natural tendency to minimize the effects of
noise make the direct prediction that if the relationship between movements and
noise were to change, the specific movements people learn to make would also
change in a predictable manner. Indeed, this has been shown for well-practiced
movements such as reaching. Here, we artificially manipulated the relationship
between movements and visuomotor noise by adding noise to a motor task in a novel
redundant geometry such that there arose a single control policy that minimized
the noise. This allowed us to see whether, for a novel motor task, people could
learn the specific control policy that minimized noise or would need to employ
other compensation strategies to overcome the added noise. As predicted, subjects
were able to learn movements that were biased toward the specific ones that
minimized the noise, suggesting not only that the CNS can learn to minimize the
effects of noise in a novel motor task but also that artificial visuomotor noise
can be a useful tool for teaching people to make specific movements. Using noise
as a teaching signal promises to be useful for rehabilitative therapies and
movement training with human-machine interfaces. NEW & NOTEWORTHY: Many theories
argue that we choose to make the specific movements that minimize motor noise.
Here, by changing the relationship between movements and noise, we show that
people actively learn to make movements that minimize noise. This not only
provides direct evidence for the theories of noise minimization but presents a
way to use noise to teach specific movements to improve rehabilitative therapies
and human-machine interface control.
PMID- 27881724
TI - Spectrum Reconstruction of a Spatially Modulated Fourier Transform Spectrometer
Based on Stepped Mirrors.
AB - Based on the basic configuration and interference principle of a static step
mirror-based Fourier transform spectrometer, an image segmentation method is
proposed to obtain a one-dimensional interferogram. The direct current component
of the interferogram is fit using the least squares (LS) method and is
subsequently removed. An empirical-mode decomposition-method-based high-pass
filter is constructed to denoise the spectrum and enhance the spectral resolution
simultaneously. Several experiments were performed and the spectrum is
reconstructed based on these methods. The spectrum resolution is 81 cm-1 at 2254
cm-1.
PMID- 27881723
TI - Intraspinal microstimulation and diaphragm activation after cervical spinal cord
injury.
AB - Intraspinal microstimulation (ISMS) using implanted electrodes can evoke
locomotor movements after spinal cord injury (SCI) but has not been explored in
the context of respiratory motor output. An advantage over epidural and direct
muscle stimulation is the potential of ISMS to selectively stimulate components
of the spinal respiratory network. The present study tested the hypothesis that
medullary respiratory activity could be used to trigger midcervical ISMS and
diaphragm motor unit activation in rats with cervical SCI. Studies were conducted
after acute (hours) and subacute (5-21 days) C2 hemisection (C2Hx) injury in
adult rats. Inspiratory bursting in the genioglossus (tongue) muscle was used to
trigger a 250-ms train stimulus (100 Hz, 100-200 MUA) to the ventral C4 spinal
cord, targeting the phrenic motor nucleus. After both acute and subacute injury,
genioglossus EMG activity effectively triggered ISMS and activated diaphragm
motor units during the inspiratory phase. The ISMS paradigm also evoked short
term potentiation of spontaneous inspiratory activity in the previously paralyzed
hemidiaphragm (i.e., bursting persisting beyond the stimulus period) in ~70% of
the C2Hx animals. We conclude that medullary inspiratory output can be used to
trigger cervical ISMS and diaphragm activity after SCI. Further refinement of
this method may enable "closed-loop-like" ISMS approaches to sustain ventilation
after severe SCI.NEW & NOTEWORTHY We examined the feasibility of using
intraspinal microstimulation (ISMS) of the cervical spinal cord to evoke
diaphragm activity ipsilateral to acute and subacute hemisection of the upper
cervical spinal cord of the rat. This proof-of-concept study demonstrated the
efficacy of diaphragm activation, using an upper airway respiratory EMG signal to
trigger ISMS at the level of the ipsilesional phrenic nucleus during acute and
advanced postinjury intervals.
PMID- 27881725
TI - TATA Box Insertion Provides a Selection Mechanism Underpinning Adaptations to Fe
Deficiency.
AB - Intraspecific genetic variation is essential for the responses and adaption of
plants to evolutionary challenges, such as changing environmental conditions. The
development of the Earth's aerobic atmosphere has increased the demand for iron
(Fe) in organisms, and Fe deficiency has become a limiting environmental factor
for plant growth. Here, we demonstrate that genus Malus adapt to Fe deficiency
through modification of the Iron-Regulated Transporter1 (IRT1) promoter.
Specifically, an IRT1 mutant allele with a TATA-box insertion in the promoter
region upstream of the coding region exhibited increased IRT1 expression. The
altered IRT1 promoter is responsible for enhancing Fe uptake. Increasing the
number of synthetic repeat TATA-boxes correlates with increased promoter
activity. Furthermore, we demonstrate that the insertion of the TATA-box
correlates with an increase in transcriptional activation via specific binding of
the transcription factor IID (MDP0000939369). Taken together, these results
indicate that an allelic insertion of a TATA-box in a gene promoter has allowed
apple to adapt to the selective pressure posed by Fe deficiency. More broadly,
this study reveals a new mechanism for enhancing gene expression to help plants
adapt to different environments, providing new insights into molecular genetic
divergence in plants.
PMID- 27881722
TI - Maintenance of neuronal size gradient in MNTB requires sound-evoked activity.
AB - The medial nucleus of the trapezoid body (MNTB) is an important source of
inhibition during the computation of sound location. It transmits fast and
precisely timed action potentials at high frequencies; this requires an efficient
calcium clearance mechanism, in which plasma membrane calcium ATPase 2 (PMCA2) is
a key component. Deafwaddler (dfw2J ) mutant mice have a null mutation in PMCA2
causing deafness in homozygotes (dfw2J /dfw2J ) and high-frequency hearing loss
in heterozygotes (+/dfw2J ). Despite the deafness phenotype, no significant
differences in MNTB volume or cell number were observed in dfw2J homozygous
mutants, suggesting that PMCA2 is not required for MNTB neuron survival. The MNTB
tonotopic axis encodes high to low sound frequencies across the medial to lateral
dimension. We discovered a cell size gradient along this axis: lateral neuronal
somata are significantly larger than medially located somata. This size gradient
is decreased in +/dfw2J and absent in dfw2J /dfw2J The lack of acoustically
driven input suggests that sound-evoked activity is required for maintenance of
the cell size gradient. This hypothesis was corroborated by selective elimination
of auditory hair cell activity with either hair cell elimination in Pou4f3 DTR
mice or inner ear tetrodotoxin (TTX) treatment. The change in soma size was
reversible and recovered within 7 days of TTX treatment, suggesting that
regulation of the gradient is dependent on synaptic activity and that these
changes are plastic rather than permanent.NEW & NOTEWORTHY Neurons of the medial
nucleus of the trapezoid body (MNTB) act as fast-spiking inhibitory interneurons
within the auditory brain stem. The MNTB is topographically organized, with low
sound frequencies encoded laterally and high frequencies medially. We discovered
a cell size gradient along this axis: lateral neurons are larger than medial
neurons. The absence of this gradient in deaf mice lacking plasma membrane
calcium ATPase 2 suggests an activity-dependent, calcium-mediated mechanism that
controls neuronal soma size.
PMID- 27881726
TI - Systems Genetics Identifies a Novel Regulatory Domain of Amylose Synthesis.
AB - A deeper understanding of the regulation of starch biosynthesis in rice (Oryza
sativa) endosperm is crucial in tailoring digestibility without sacrificing grain
quality. In this study, significant association peaks on chromosomes 6 and 7 were
identified through a genomewide association study (GWAS) of debranched starch
structure from grains of a 320 indica rice diversity panel using genotyping data
from the high-density rice array. A systems genetics approach that interrelates
starch structure data from GWAS to functional pathways from a gene regulatory
network identified known genes with high correlation to the proportion of amylose
and amylopectin. An SNP in the promoter region of Granule Bound Starch Synthase I
was identified along with seven other SNPs to form haplotypes that discriminate
samples into different phenotypic ranges of amylose. A GWAS peak on chromosome 7
between LOC_Os07g11020 and LOC_Os07g11520 indexed by a nonsynonymous SNP mutation
on exon 5 of a bHLH transcription factor was found to elevate the proportion of
amylose at the expense of reduced short-chain amylopectin. Linking starch
structure with starch digestibility by determining the kinetics of cooked grain
amylolysis of selected haplotypes revealed strong association of starch structure
with estimated digestibility kinetics. Combining all results from grain quality
genomics, systems genetics, and digestibility phenotyping, we propose target
haplotypes for fine-tuning starch structure in rice through marker-assisted
breeding that can be used to alter the digestibility of rice grain, thus offering
rice consumers a new diet-based intervention to mitigate the impact of nutrition
related noncommunicable diseases.
PMID- 27881727
TI - A Tightly Regulated Genetic Selection System with Signaling-Active Alleles of
Phytochrome B.
AB - Selectable markers derived from plant genes circumvent the potential risk of
antibiotic/herbicide-resistance gene transfer into neighboring plant species,
endophytic bacteria, and mycorrhizal fungi. Toward this goal, we have engineered
and validated signaling-active alleles of phytochrome B (eYHB) as plant-derived
selection marker genes in the model plant Arabidopsis (Arabidopsis thaliana). By
probing the relationship of construct size and induction conditions to optimal
phenotypic selection, we show that eYHB-based alleles are robust substitutes for
antibiotic/herbicide-dependent marker genes as well as surprisingly sensitive
reporters of off-target transgene expression.
PMID- 27881730
TI - Breast Cancer, Breastfeeding, and Mastectomy: A Call for More Research.
PMID- 27881729
TI - Brazilian Immigrant Mothers' Beliefs and Practices Related to Infant Feeding: A
Qualitative Study.
AB - BACKGROUND: Exclusive breastfeeding for the first 6 months of life and timely
introduction of appropriate solid foods are important determinants of weight
status in infancy and later life stages. Disparities in obesity rates among young
children suggest that maternal feeding practices during the first 2 years of life
may contribute to these disparities. Brazilians are a growing immigrant group in
the United States, yet little research has focused on parental beliefs and
behaviors affecting the health of Brazilian immigrant children in the United
States. Research aim: This study aimed to explore beliefs and infant-feeding
practices of Brazilian immigrant mothers in the United States. METHODS: Focus
group discussions were conducted with Brazilian immigrant mothers. Transcripts
were analyzed using thematic analysis and themes categorized using the
socioecological model. RESULTS: Twenty-nine immigrant Brazilian mothers
participated in the study. Analyses revealed that all participants breastfed
their infants. The majority initiated breastfeeding soon after childbirth.
However, most mothers did not exclusively breastfeed. They used formula and human
milk concomitantly. Family and culture influenced mothers' infant-feeding beliefs
and practices in early introduction of solid foods. CONCLUSION: As the number of
children in the United States growing up in families of immigrant parents
increases, understanding influences on Brazilian immigrant mothers' infant
feeding practices will be important to the development of effective interventions
to promote healthy infant feeding and weight status among Brazilian children.
Interventions designed for Brazilian immigrant families should incorporate an
understanding of social context, family, and cultural factors to develop health
promotion messages tailored to the needs of this ethnic group.
PMID- 27881728
TI - A DII Domain-Based Auxin Reporter Uncovers Low Auxin Signaling during Telophase
and Early G1.
AB - A sensitive and dynamically responsive auxin signaling reporter based on the DII
domain of the INDOLE-3-ACETIC ACID28 (IAA28, DII) protein from Arabidopsis
(Arabidopsis thaliana) was modified for use in maize (Zea mays). The DII domain
was fused to a yellow fluorescent protein and a nuclear localization sequence to
simplify quantitative nuclear fluorescence signal. DII degradation dynamics
provide an estimate of input signal into the auxin signaling pathway that is
influenced by both auxin accumulation and F-box coreceptor concentration. In
maize, the DII-based marker responded rapidly and in a dose-dependent manner to
exogenous auxin via proteasome-mediated degradation. Low levels of DII-specific
fluorescence corresponding to high endogenous auxin signaling occurred near
vasculature tissue and the outer layer and glume primordia of spikelet pair
meristems and floral meristems, respectively. In addition, high DII levels were
observed in cells during telophase and early G1, suggesting that low auxin
signaling at these stages may be important for cell cycle progression.
PMID- 27881731
TI - Factors Distinguishing Positive Deviance Among Low-Income African American Women:
A Qualitative Study on Infant Feeding.
AB - BACKGROUND: Positive deviant individuals practice beneficial behaviors in spite
of having qualities characterizing them as high risk for unhealthy behaviors.
OBJECTIVE: This study aimed to identify and understand factors distinguishing low
income African American women who breastfeed the longest (positive deviants) from
those who breastfeed for a shorter duration or do not breastfeed. METHODS: Seven
mini-focus groups on infant-feeding attitudes and experiences were conducted with
25 low-income African American women, grouped by infant-feeding practice.
Positive deviants, who had breastfed for 4 months or more, were compared with
formula-feeding participants who had only formula fed their babies and short-term
breastfeeding participants who had breastfed for 3 months or less. RESULTS:
Positive deviant women had more schooling, higher income, breastfeeding
intention, positive breastfeeding and unfavorable formula-feeding attitudes,
higher self-efficacy, positive hospital and Special Supplemental Nutrition
Program for Women, Infants, and Children experiences, more exclusive
breastfeeding, and greater comfort breastfeeding in public. Short-term
breastfeeding women varied in breastfeeding intention and self-efficacy, seemed
to receive insufficient professional breastfeeding support, and supplemented
breastfeeding with formula. Some showed ambivalence, concern with unhealthy
behaviors, and discomfort with breastfeeding in public. Formula-feeding women
intended to formula feed, feared breastfeeding, thought their behaviors were
incompatible with breastfeeding, were comfortable with and found formula
convenient, and received strong support to formula feed. CONCLUSION: Tapping into
the strengths of positive deviants; tailoring interventions to levels of general
and breastfeeding self-efficacy; increasing social, institutional, and community
supports; and removing inappropriate formula promotion may offer promising
strategies to increase breastfeeding among low-income African American women.
PMID- 27881732
TI - Metronomic chemotherapy prevents therapy-induced stromal activation and induction
of tumor-initiating cells.
AB - Although traditional chemotherapy kills a fraction of tumor cells, it also
activates the stroma and can promote the growth and survival of residual cancer
cells to foster tumor recurrence and metastasis. Accordingly, overcoming the host
response induced by chemotherapy could substantially improve therapeutic outcome
and patient survival. In this study, resistance to treatment and metastasis has
been attributed to expansion of stem-like tumor-initiating cells (TICs).
Molecular analysis of the tumor stroma in neoadjuvant chemotherapy-treated human
desmoplastic cancers and orthotopic tumor xenografts revealed that traditional
maximum-tolerated dose chemotherapy, regardless of the agents used, induces
persistent STAT-1 and NF-kappaB activity in carcinoma-associated fibroblasts.
This induction results in the expression and secretion of ELR motif-positive
(ELR+) chemokines, which signal through CXCR-2 on carcinoma cells to trigger
their phenotypic conversion into TICs and promote their invasive behaviors,
leading to paradoxical tumor aggression after therapy. In contrast, the same
overall dose administered as a low-dose metronomic chemotherapy regimen largely
prevented therapy-induced stromal ELR+ chemokine paracrine signaling, thus
enhancing treatment response and extending survival of mice carrying desmoplastic
cancers. These experiments illustrate the importance of stroma in cancer therapy
and how its impact on treatment resistance could be tempered by altering the
dosing schedule of systemic chemotherapy.
PMID- 27881733
TI - Lysosomal trafficking regulator Lyst links membrane trafficking to toll-like
receptor-mediated inflammatory responses.
AB - Subcellular compartmentalization of receptor signaling is an emerging principle
in innate immunity. However, the functional integration of receptor signaling
pathways into membrane trafficking routes and its physiological relevance for
immune responses is still largely unclear. In this study, using Lyst-mutant beige
mice, we show that lysosomal trafficking regulator Lyst links endolysosomal
organization to the selective control of toll-like receptor 3 (TLR3)- and TLR4
mediated proinflammatory responses. Consequently, Lyst-mutant mice showed
increased susceptibility to bacterial infection and were largely resistant to
endotoxin-induced septic shock. Mechanistic analysis revealed that Lyst
specifically controls TLR3- and TLR4-induced endosomal TRIF (TIR domain
containing adapter-inducing interferon beta) signaling pathways. Loss of
functional Lyst leads to dysregulated phagosomal maturation, resulting in a
failure to form an activation-induced Rab7+ endosomal/phagosomal compartment.
This specific Rab7+ compartment was further demonstrated to serve as a major site
for active TRIF signaling events, thus linking phagosomal maturation to specific
TLR signaling pathways. The immunoregulatory role of Lyst on TLR signaling
pathways was confirmed in human cells by CRISPR/Cas9-mediated gene inactivation.
As mutations in LYST cause human Chediak-Higashi syndrome, a severe
immunodeficiency, our findings also contribute to a better understanding of human
disease mechanisms.
PMID- 27881735
TI - Therapy-activated stromal cells can dictate tumor fate.
AB - In this issue of JEM, Chan et al. describe a novel way by which an
investigational form of chemotherapy known as low-dose metronomic chemotherapy
can inhibit tumor growth, which also has therapeutic implications for targeting
tumor-initiating cells (TICs), the tumor stroma, and chemokine receptors, as well
as invasion and metastasis.
PMID- 27881734
TI - NLRP2 controls age-associated maternal fertility.
AB - Nucleotide-binding domain and leucine-rich repeat (NLR) proteins are well-known
for their key roles in the immune system. Ectopically expressed NLRP2 in
immortalized cell lines assembles an inflammasome and inhibits activation of the
proinflammatory transcription factor NF-kappaB, but the physiological roles of
NLRP2 are unknown. Here, we show that Nlrp2-deficient mice were born with
expected Mendelian ratios and that Nlrp2 was dispensable for innate and adaptive
immunity. The observation that Nlrp2 was exclusively expressed in oocytes led us
to explore the role of Nlrp2 in parthenogenetic activation of oocytes.
Remarkably, unlike oocytes of young adult Nlrp2-deficient mice, activated oocytes
of mature adult mice developed slower and largely failed to reach the blastocyst
stage. In agreement, we noted strikingly declining reproductive rates in vivo
with progressing age of female Nlrp2-deficient mice. This work identifies Nlrp2
as a critical regulator of oocyte quality and suggests that NLRP2 variants with
reduced activity may contribute to maternal age-associated fertility loss in
humans.
PMID- 27881736
TI - Reactive oxygen species drive evolution of pro-biofilm variants in pathogens by
modulating cyclic-di-GMP levels.
AB - The host immune system offers a hostile environment with antimicrobials and
reactive oxygen species (ROS) that are detrimental to bacterial pathogens,
forcing them to adapt and evolve for survival. However, the contribution of
oxidative stress to pathogen evolution remains elusive. Using an experimental
evolution strategy, we show that exposure of the opportunistic pathogen
Pseudomonas aeruginosa to sub-lethal hydrogen peroxide (H2O2) levels over 120
generations led to the emergence of pro-biofilm rough small colony variants
(RSCVs), which could be abrogated by l-glutathione antioxidants. Comparative
genomic analysis of the RSCVs revealed that mutations in the wspF gene, which
encodes for a repressor of WspR diguanylate cyclase (DGC), were responsible for
increased intracellular cyclic-di-GMP content and production of Psl
exopolysaccharide. Psl provides the first line of defence against ROS and
macrophages, ensuring the survival fitness of RSCVs over wild-type P. aeruginosa
Our study demonstrated that ROS is an essential driving force for the selection
of pro-biofilm forming pathogenic variants. Understanding the fundamental
mechanism of these genotypic and phenotypic adaptations will improve treatment
strategies for combating chronic infections.
PMID- 27881737
TI - RANKL/RANK: from bone loss to the prevention of breast cancer.
AB - RANK and RANKL, a receptor ligand pair belonging to the tumour necrosis factor
family, are the critical regulators of osteoclast development and bone
metabolism. Besides their essential function in bone, RANK and RANKL have also
been identified as the key factors for the formation of a lactating mammary gland
in pregnancy. Mechanistically, RANK and RANKL link the sex hormone progesterone
with stem cell expansion and proliferation of mammary epithelial cells. Based on
their normal physiology, RANKL/RANK control the onset of hormone-induced breast
cancer through the expansion of mammary progenitor cells. Recently, we and others
were able to show that RANK and RANKL are also critical regulators of BRCA1
mutation-driven breast cancer. Currently, the preventive strategy for BRCA1
mutation carriers includes preventive mastectomy, associated with wide-ranging
risks and psychosocial effects. The search for an alternative non-invasive
prevention strategy is therefore of paramount importance. As our work strongly
implicates RANK and RANKL as key molecules involved in the initiation of BRCA1
associated breast cancer, we propose that anti-RANKL therapy could be a feasible
preventive strategy for women carrying BRCA1 mutations, and by extension to other
women with high risk of breast cancer.
PMID- 27881738
TI - Human selenoprotein P and S variant mRNAs with different numbers of SECIS
elements and inferences from mutant mice of the roles of multiple SECIS elements.
AB - Dynamic redefinition of the 10 UGAs in human and mouse selenoprotein P (Sepp1)
mRNAs to specify selenocysteine instead of termination involves two 3' UTR
structural elements (SECIS) and is regulated by selenium availability. In
addition to the previously known human Sepp1 mRNA poly(A) addition site just 3'
of SECIS 2, two further sites were identified with one resulting in 10-25% of the
mRNA lacking SECIS 2. To address function, mutant mice were generated with either
SECIS 1 or SECIS 2 deleted or with the first UGA substituted with a serine codon.
They were fed on either high or selenium-deficient diets. The mutants had very
different effects on the proportions of shorter and longer product Sepp1 protein
isoforms isolated from plasma, and on viability. Spatially and functionally
distinctive effects of the two SECIS elements on UGA decoding were inferred. We
also bioinformatically identify two selenoprotein S mRNAs with different 5'
sequences predicted to yield products with different N-termini. These results
provide insights into SECIS function and mRNA processing in selenoprotein isoform
diversity.
PMID- 27881741
TI - Correction to 'Identification of the critical residues responsible for
differential reactivation of the triosephosphate isomerases of two trypanosomes'.
PMID- 27881739
TI - The functional readthrough extension of malate dehydrogenase reveals a
modification of the genetic code.
AB - Translational readthrough gives rise to C-terminally extended proteins, thereby
providing the cell with new protein isoforms. These may have different properties
from the parental proteins if the extensions contain functional domains. While
for most genes amino acid incorporation at the stop codon is far lower than 0.1%,
about 4% of malate dehydrogenase (MDH1) is physiologically extended by
translational readthrough and the actual ratio of MDH1x (extended protein) to
'normal' MDH1 is dependent on the cell type. In human cells, arginine and
tryptophan are co-encoded by the MDH1x UGA stop codon. Readthrough is controlled
by the 7-nucleotide high-readthrough stop codon context without contribution of
the subsequent 50 nucleotides encoding the extension. All vertebrate MDH1x is
directed to peroxisomes via a hidden peroxisomal targeting signal (PTS) in the
readthrough extension, which is more highly conserved than the extension of
lactate dehydrogenase B. The hidden PTS of non-mammalian MDH1x evolved to be more
efficient than the PTS of mammalian MDH1x. These results provide insight into the
genetic and functional co-evolution of these dually localized dehydrogenases.
PMID- 27881742
TI - Association of Abnormal Metatarsal Parabola With Second Metatarsophalangeal Joint
Plantar Plate Pathology.
AB - BACKGROUND: Plantar plate pathology is common, yet it is unclear whether, and to
what extent, the length of the second metatarsal contributes to this problem.
METHODS: We conducted a retrospective case-control (1:2) study to examine
radiographic risk factors for plantar plate tears. One hundred patients (age 55.7
+/- 12.3 years) with plantar plate injuries and 200 healthy controls (age 56.3 +/
11.3 years) were included. Cases were defined as patients with nonacute,
isolated, plantar plate pathology of the second metatarsophalangeal joint
confirmed by intraoperative inspection at a single foot and ankle specialty
practice from June 1, 2007, to January 31, 2014. Patients presenting for pain
outside of the forefoot served as the control group. Controls were matched on age
(+/-2 years), gender, and year of presentation. Weight-bearing foot x-rays were
assessed for several predetermined angular relationships by a single rater.
Conditional logistic regression was used to identify risk factors for plantar
plate injury. RESULTS: A long second metatarsal, defined as a metatarsal
protrusion index less than -4 mm, was the only significant risk factor for
plantar plate pathology in both the univariate and multivariable analyses
(multivariate odds ratio 2.5 [95% confidence interval 1.8 to 3.3], P = .002).
CONCLUSION: We found that a long second metatarsal was a risk factor for
developing second metatarsophalangeal joint plantar plate tears. This knowledge
may aid foot and ankle surgeons when contemplating the need for second metatarsal
shortening osteotomies (eg, Weil osteotomy) during plantar plate surgery and when
deciding on the amount of shortening for second metatarsal osteotomies. LEVEL OF
EVIDENCE: Level III, retrospective comparative study.
PMID- 27881740
TI - Peripheral self-reactivity regulates antigen-specific CD8 T-cell responses and
cell division under physiological conditions.
AB - T-cell identity is established by the expression of a clonotypic T-cell receptor
(TCR), generated by somatic rearrangement of TCRalpha and beta genes. The
properties of the TCR determine both the degree of self-reactivity and the
repertoire of antigens that can be recognized. For CD8 T cells, the relationship
between TCR identity-hence reactivity to self-and effector function(s) remains to
be fully understood and has rarely been explored outside of the H-2b haplotype.
We measured the affinity of three structurally distinct CD8 T-cell-derived TCRs
that recognize the identical H-2 Ld-restricted epitope, derived from the Rop7
protein of Toxoplasma gondii We used CD8 T cells obtained from mice generated by
somatic cell nuclear transfer as the closest approximation of primary T cells
with physiological TCR rearrangements and TCR expression levels. First, we
demonstrate the common occurrence of secondary rearrangements in endogenously
rearranged loci. Furthermore, we characterized and compared the response of Rop7
specific CD8 T-cell clones upon Toxoplasma gondii infection as well as effector
function and TCR signalling upon antigenic stimulation in vitro Antigen
independent TCR cross-linking in vitro uncovered profound intrinsic differences
in the effector functions between T-cell clones. Finally, by assessing the degree
of self-reactivity and comparing the transcriptomes of naive Rop7 CD8 T cells, we
show that lower self-reactivity correlates with lower effector capacity, whereas
higher self-reactivity is associated with enhanced effector function as well as
cell cycle entry under physiological conditions. Altogether, our data show that
potential effector functions and basal proliferation of CD8 T cells are set by
self-reactivity thresholds.
PMID- 27881743
TI - Intralocus sexual conflict and insecticide resistance.
AB - The BA allele of the Drosophila cytochrome P450 gene Cyp6g1 confers resistance to
a range of insecticides. It is also subject to intralocus sexual conflict when
introgressed into the Canton-S background, whose collection predates the
widespread use of insecticides. In this genetic background, the allele confers a
pleiotropic fitness benefit to females but a cost to males, and exhibits little
sexual dimorphism in conferred insecticide resistance. It is unclear whether
these sexually antagonistic effects also exist in current populations that have
naturally evolved with insecticides, where genetic modifiers that offset male
costs might be expected to evolve. Here, we explore these issues using Drosophila
melanogaster caught recently from an Australian population in which the BA allele
naturally segregates. While we find increased fecundity in insecticide-resistant
BA females and no consistent evidence of fitness costs in males, experimental
evolution indicates balancing selection at the locus. We suggest that this
apparent discrepancy may be due to reduced investment in reproduction in
resistant males. Our results at the population level are consistent with previous
work, and suggest that individual-level fitness assays do not always capture
sexually antagonistic fitness effects that emerge in a population context.
PMID- 27881744
TI - Directional selection effects on patterns of phenotypic (co)variation in wild
populations.
AB - Phenotypic (co)variation is a prerequisite for evolutionary change, and
understanding how (co)variation evolves is of crucial importance to the
biological sciences. Theoretical models predict that under directional selection,
phenotypic (co)variation should evolve in step with the underlying adaptive
landscape, increasing the degree of correlation among co-selected traits as well
as the amount of genetic variance in the direction of selection. Whether either
of these outcomes occurs in natural populations is an open question and thus an
important gap in evolutionary theory. Here, we documented changes in the
phenotypic (co)variation structure in two separate natural populations in each of
two chipmunk species (Tamias alpinus and T. speciosus) undergoing directional
selection. In populations where selection was strongest (those of T. alpinus), we
observed changes, at least for one population, in phenotypic (co)variation that
matched theoretical expectations, namely an increase of both phenotypic
integration and (co)variance in the direction of selection and a re-alignment of
the major axis of variation with the selection gradient.
PMID- 27881745
TI - Natural bounds on herbivorous coral reef fishes.
AB - Humans are an increasingly dominant driver of Earth's biological communities, but
differentiating human impacts from natural drivers of ecosystem state is crucial.
Herbivorous fish play a key role in maintaining coral dominance on coral reefs,
and are widely affected by human activities, principally fishing. We assess the
relative importance of human and biophysical (habitat and oceanographic) drivers
on the biomass of five herbivorous functional groups among 33 islands in the
central and western Pacific Ocean. Human impacts were clear for some, but not
all, herbivore groups. Biomass of browsers, large excavators, and of all
herbivores combined declined rapidly with increasing human population density,
whereas grazers, scrapers, and detritivores displayed no relationship. Sea
surface temperature had significant but opposing effects on the biomass of
detritivores (positive) and browsers (negative). Similarly, the biomass of
scrapers, grazers, and detritivores correlated with habitat structural
complexity; however, relationships were group specific. Finally, the biomass of
browsers and large excavators was related to island geomorphology, both peaking
on low-lying islands and atolls. The substantial variability in herbivore
populations explained by natural biophysical drivers highlights the need for
locally appropriate management targets on coral reefs.
PMID- 27881746
TI - Neotropical wrens learn new duet rules as adults.
AB - Although song development in songbirds has been much studied as an analogue of
language development in humans, the development of vocal interaction rules has
been relatively neglected in both groups. Duetting avian species provide an ideal
model to address the acquisition of interaction rules as duet structure involves
time and pattern-specific relationships among the vocalizations from different
individuals. In this study, we address the development of the most striking
properties of duets: the specific answering rules that individuals use to link
their own phrase types to those of their partners (duet codes) and precise
temporal coordination. By performing two removal experiments in canebrake wrens
(Cantorchilus zeledoni), we show that individuals use a fixed phrase repertoire
to create new phrase pairings when they acquire a new partner. Furthermore,
immediately after pairing, individuals perform duets with poor coordination and
poor duet code adherence, but both aspects improve with time. These results
indicate that individuals need a learning period to be able to perform well
coordinated duets that follow a consistent duet code. We conclude that both duet
coordination and duet code adherence are honest indicators of pair-bond duration.
PMID- 27881748
TI - Rates of change in climatic niches in plant and animal populations are much
slower than projected climate change.
AB - Climate change may soon threaten much of global biodiversity. A critical question
is: can species undergo niche shifts of sufficient speed and magnitude to persist
within their current geographic ranges? Here, we analyse niche shifts among
populations within 56 plant and animal species using time-calibrated trees from
phylogeographic studies. Across 266 phylogeographic groups analysed, rates of
niche change were much slower than rates of projected climate change (mean
difference > 200 000-fold for temperature variables). Furthermore, the absolute
niche divergence among populations was typically lower than the magnitude of
projected climate change over the next approximately 55 years for relevant
variables, suggesting the amount of change needed to persist may often be too
great, even if these niche shifts were instantaneous. Rates were broadly similar
between plants and animals, but especially rapid in some arthropods, birds and
mammals. Rates for temperature variables were lower at lower latitudes, further
suggesting that tropical species may be especially vulnerable to climate change.
PMID- 27881747
TI - Inbreeding parents should invest more resources in fewer offspring.
AB - Inbreeding increases parent-offspring relatedness and commonly reduces offspring
viability, shaping selection on reproductive interactions involving relatives and
associated parental investment (PI). Nevertheless, theories predicting selection
for inbreeding versus inbreeding avoidance and selection for optimal PI have only
been considered separately, precluding prediction of optimal PI and associated
reproductive strategy given inbreeding. We unify inbreeding and PI theory,
demonstrating that optimal PI increases when a female's inbreeding decreases the
viability of her offspring. Inbreeding females should therefore produce fewer
offspring due to the fundamental trade-off between offspring number and PI.
Accordingly, selection for inbreeding versus inbreeding avoidance changes when
females can adjust PI with the degree that they inbreed. By contrast, optimal PI
does not depend on whether a focal female is herself inbred. However, inbreeding
causes optimal PI to increase given strict monogamy and associated biparental
investment compared with female-only investment. Our model implies that
understanding evolutionary dynamics of inbreeding strategy, inbreeding
depression, and PI requires joint consideration of the expression of each in
relation to the other. Overall, we demonstrate that existing PI and inbreeding
theories represent special cases of a more general theory, implying that
intrinsic links between inbreeding and PI affect evolution of behaviour and
intrafamilial conflict.
PMID- 27881749
TI - Vive la resistance: genome-wide selection against introduced alleles in invasive
hybrid zones.
AB - Evolutionary and ecological consequences of hybridization between native and
invasive species are notoriously complicated because patterns of selection acting
on non-native alleles can vary throughout the genome and across environments.
Rapid advances in genomics now make it feasible to assess locus-specific and
genome-wide patterns of natural selection acting on invasive introgression within
and among natural populations occupying diverse environments. We quantified
genome-wide patterns of admixture across multiple independent hybrid zones of
native westslope cutthroat trout and invasive rainbow trout, the world's most
widely introduced fish, by genotyping 339 individuals from 21 populations using
9380 species-diagnostic loci. A significantly greater proportion of the genome
appeared to be under selection favouring native cutthroat trout (rather than
rainbow trout), and this pattern was pervasive across the genome (detected on
most chromosomes). Furthermore, selection against invasive alleles was consistent
across populations and environments, even in those where rainbow trout were
predicted to have a selective advantage (warm environments). These data
corroborate field studies showing that hybrids between these species have lower
fitness than the native taxa, and show that these fitness differences are due to
selection favouring many native genes distributed widely throughout the genome.
PMID- 27881750
TI - Experimental evidence that honeybees depress wild insect densities in a flowering
crop.
AB - While addition of managed honeybees (Apis mellifera) improves pollination of many
entomophilous crops, it is unknown if it simultaneously suppresses the densities
of wild insects through competition. To investigate this, we added 624 honeybee
hives to 23 fields of oilseed rape (Brassica napus L.) over 2 years and made sure
that the areas around 21 other fields were free from honeybee hives. We
demonstrate that honeybee addition depresses the densities of wild insects
(bumblebees, solitary bees, hoverflies, marchflies, other flies, and other flying
and flower-visiting insects) even in a massive flower resource such as oilseed
rape. The effect was independent of the complexity of the surrounding landscape,
but increased with the size of the crop field, which suggests that the effect was
caused by spatial displacement of wild insects. Our results have potential
implications both for the pollination of crops (if displacement of wild
pollinators offsets benefits achieved by adding honeybees) and for conservation
of wild insects (if displacement results in negative fitness consequences).
PMID- 27881751
TI - Artificial selection on male genitalia length alters female brain size.
AB - Male harassment is a classic example of how sexual conflict over mating leads to
sex-specific behavioural adaptations. Females often suffer significant costs from
males attempting forced copulations, and the sexes can be in an arms race over
male coercion. Yet, despite recent recognition that divergent sex-specific
interests in reproduction can affect brain evolution, sexual conflict has not
been addressed in this context. Here, we investigate whether artificial selection
on a correlate of male success at coercion, genital length, affects brain anatomy
in males and females. We analysed the brains of eastern mosquitofish (Gambusia
holbrooki), which had been artificially selected for long or short gonopodium,
thereby mimicking selection arising from differing levels of male harassment. By
analogy to how prey species often have relatively larger brains than their
predators, we found that female, but not male, brain size was greater following
selection for a longer gonopodium. Brain subregion volumes remained unchanged.
These results suggest that there is a positive genetic correlation between male
gonopodium length and female brain size, which is possibly linked to increased
female cognitive ability to avoid male coercion. We propose that sexual conflict
is an important factor in the evolution of brain anatomy and cognitive ability.
PMID- 27881752
TI - Female monkeys use both the carrot and the stick to promote male participation in
intergroup fights.
AB - Group-level cooperation often poses a social dilemma in which joint action may be
difficult to achieve. Theoretical models and experimental work on humans show
that social incentives, such as punishment of defectors and rewarding of
cooperators, can promote cooperation in groups of unrelated individuals. Here, we
demonstrate that these processes can operate in a non-human animal species, and
be used to effectively promote the production of a public good. We took advantage
of the fact that intergroup fights in vervet monkeys (Chlorocebus aethiops
pygerythrus) are characterized by episodes of intergroup aggression with pauses
in-between. During pauses, females selectively groomed males that had
participated in the previous aggressive episode, but aggressed male group members
that had not. In subsequent (i.e. future) episodes, males who had received either
aggression or grooming participated above their personal base-line level.
Therefore, female-male aggression and grooming both appear to function as social
incentives that effectively promote male participation in intergroup fights.
Importantly, females stood to gain much from recruiting males as the probability
of winning intergroup fights was dependent on the number of active participants,
relative to the number of fighters in the opposing group. Furthermore, females
appear to maximize the benefits gained from recruiting males as they primarily
used social incentives where and when high-quality food resources, which are the
resources primarily limiting to female fitness, were at stake.
PMID- 27881753
TI - How can we estimate natural selection on endocrine traits? Lessons from
evolutionary biology.
AB - An evolutionary perspective can enrich almost any endeavour in biology, providing
a deeper understanding of the variation we see in nature. To this end,
evolutionary endocrinologists seek to describe the fitness consequences of
variation in endocrine traits. Much of the recent work in our field, however,
follows a flawed approach to the study of how selection shapes endocrine traits.
Briefly, this approach relies on among-individual correlations between endocrine
phenotypes (often circulating hormone levels) and fitness metrics to estimate
selection on those endocrine traits. Adaptive plasticity in both endocrine and
fitness-related traits can drive these correlations, generating patterns that do
not accurately reflect natural selection. We illustrate why this approach to
studying selection on endocrine traits is problematic, referring to work from
evolutionary biologists who, decades ago, described this problem as it relates to
a variety of other plastic traits. We extend these arguments to evolutionary
endocrinology, where the likelihood that this flaw generates bias in estimates of
selection is unusually high due to the exceptional responsiveness of hormones to
environmental conditions, and their function to induce adaptive life-history
responses to environmental variation. We end with a review of productive
approaches for investigating the fitness consequences of variation in endocrine
traits that we expect will generate exciting advances in our understanding of
endocrine system evolution.
PMID- 27881754
TI - Neuroendocrine correlates of sex-role reversal in barred buttonquails.
AB - Sex differences in brain structure and behaviour are well documented among
vertebrates. An excellent model exploring the neural mechanisms of sex
differences in behaviour is represented by sex-role-reversed species. In the
majority of bird species, males compete over access to mates and resources more
strongly than do females. It is thought that the responsible brain regions are
therefore more developed in males than in females. Because these behaviours and
brain regions are activated by androgens, males usually have increased
testosterone levels during breeding. Therefore, in species with sex-role
reversal, certain areas of the female brain should be more developed or steroid
hormone profiles should be sexually reversed. Here, I studied circulating hormone
levels and gene expression of steroid hormone receptors and aromatase in a
captive population of barred buttonquails (Turnix suscitator). While females
performed courtship and agonistic behaviours, there was no evidence for sexually
reversed hormone profiles. However, I found female-biased sex differences in gene
expression of androgen receptors in several hypothalamic and limbic brain regions
that were already in place at hatching. Such sex differences are not known from
non-sex-role-reversed species. These data suggest that increased neural
sensitivity to androgens could be involved in the mechanisms mediating sex-role
reversed behaviours.
PMID- 27881756
TI - A combinatorial approach to angiosperm pollen morphology.
AB - Angiosperms (flowering plants) are strikingly diverse. This is clearly expressed
in the morphology of their pollen grains, which are characterized by enormous
variety in their shape and patterning. In this paper, I approach angiosperm
pollen morphology from the perspective of enumerative combinatorics. This
involves generating angiosperm pollen morphotypes by algorithmically combining
character states and enumerating the results of these combinations. I use this
approach to generate 3 643 200 pollen morphotypes, which I visualize using a
parallel-coordinates plot. This represents a raw morphospace. To compare real
world and theoretical morphologies, I map the pollen of 1008 species of
Neotropical angiosperms growing on Barro Colorado Island (BCI), Panama, onto this
raw morphospace. This highlights that, in addition to their well-documented
taxonomic diversity, Neotropical rainforests also represent an enormous reservoir
of morphological diversity. Angiosperm pollen morphospace at BCI has been filled
mostly by pollen morphotypes that are unique to single plant species. Repetition
of pollen morphotypes among higher taxa at BCI reflects both constraint and
convergence. This combinatorial approach to morphology addresses the complexity
that results from large numbers of discrete character combinations and could be
employed in any situation where organismal form can be captured by discrete
morphological characters.
PMID- 27881757
TI - Correction to 'High evolutionary constraints limited adaptive responses to past
climate changes in toad skulls'.
PMID- 27881755
TI - Unravelling Darwin's entangled bank: architecture and robustness of mutualistic
networks with multiple interaction types.
AB - Trying to unravel Darwin's entangled bank further, we describe the architecture
of a network involving multiple forms of mutualism (pollination by animals, seed
dispersal by birds and plant protection by ants) and evaluate whether this multi
network shows evidence of a structure that promotes robustness. We found that
species differed strongly in their contributions to the organization of the multi
interaction network, and that only a few species contributed to the structuring
of these patterns. Moreover, we observed that the multi-interaction networks did
not enhance community robustness compared with each of the three independent
mutualistic networks when analysed across a range of simulated scenarios of
species extinction. By simulating the removal of highly interacting species, we
observed that, overall, these species enhance network nestedness and robustness,
but decrease modularity. We discuss how the organization of interlinked
mutualistic networks may be essential for the maintenance of ecological
communities, and therefore the long-term ecological and evolutionary dynamics of
interactive, species-rich communities. We suggest that conserving these keystone
mutualists and their interactions is crucial to the persistence of species-rich
mutualistic assemblages, mainly because they support other species and shape the
network organization.
PMID- 27881758
TI - Cardiac rehabilitation following an acute coronary syndrome: Trends in referral,
predictors and mortality outcome in a multicenter national registry between years
2006-2013: Report from the Working Group on Cardiac Rehabilitation, the Israeli
Heart Society.
AB - Background Utilization of cardiac rehabilitation is suboptimal. The aim of the
study was to assess referral trends over the past decade, to identify predictors
for referral to a cardiac rehabilitation program, and to evaluate the association
with one-year mortality in a large national registry of acute coronary syndrome
patients. Design and methods Data were extracted from the Acute Coronary Syndrome
Israeli Survey national surveys between 2006-2013. A total of 6551 patients
discharged with a diagnosis of acute coronary syndrome were included. Results
Referral to cardiac rehabilitation following an acute coronary syndrome increased
from 38% in 2006 to 57% in 2013 ( p for trend < 0.001). Multivariate modeling
identified the following independent predictors for non-referral: 2006 survey,
older age, female sex, past stroke, heart or renal failure, prior myocardial
infarction, minority group, and lack of in-hospital cardiac rehabilitation center
(all p < 0.01). Kaplan-Meier survival analyses showed one-year survival rates of
97% vs 92% in patients referred for cardiac rehabilitation as compared to those
not referred (log-rank p < 0.01). Multivariate analysis showed that referral for
cardiac rehabilitation was associated with a 27% mortality risk reduction at one
year follow-up ( p = 0.03). Consistently, a 32% lower one-year mortality risk was
evident in a propensity score matched group of 3340 patients (95% confidence
interval 0.48-0.95, p = 0.02). Conclusions Over the past decade there was a
significant increase in cardiac rehabilitation referral following an acute
coronary syndrome. However, cardiac rehabilitation is still under-utilized in
important high-risk subsets of this population. Patients referred to cardiac
rehabilitation have a lower adjusted mortality risk.
PMID- 27881759
TI - Effects of sea ice cover on satellite-detected primary production in the Arctic
Ocean.
AB - The influence of decreasing Arctic sea ice on net primary production (NPP) in the
Arctic Ocean has been considered in multiple publications but is not well
constrained owing to the potentially large errors in satellite algorithms. In
particular, the Arctic Ocean is rich in coloured dissolved organic matter (CDOM)
that interferes in the detection of chlorophyll a concentration of the standard
algorithm, which is the primary input to NPP models. We used the quasi-analytic
algorithm (Lee et al 2002 Appl. Opti. 41, 5755-5772. (doi:10.1364/AO.41.005755))
that separates absorption by phytoplankton from absorption by CDOM and detrital
matter. We merged satellite data from multiple satellite sensors and created a 19
year time series (1997-2015) of NPP. During this period, both the estimated
annual total and the summer monthly maximum pan-Arctic NPP increased by about
47%. Positive monthly anomalies in NPP are highly correlated with positive
anomalies in open water area during the summer months. Following the earlier ice
retreat, the start of the high-productivity season has become earlier, e.g. at a
mean rate of -3.0 d yr-1 in the northern Barents Sea, and the length of the high
productivity period has increased from 15 days in 1998 to 62 days in 2015. While
in some areas, the termination of the productive season has been extended, owing
to delayed ice formation, the termination has also become earlier in other areas,
likely owing to limited nutrients.
PMID- 27881760
TI - Changes in composition and abundance of functional groups of arctic fungi in
response to long-term summer warming.
AB - We characterized fungal communities in dry and moist tundra and investigated the
effect of long-term experimental summer warming on three aspects of functional
groups of arctic fungi: richness, community composition and species abundance.
Warming had profound effects on community composition, abundance, and, to a
lesser extent, on richness of fungal functional groups. In addition, our data
show that even within functional groups, the direction and extent of response to
warming tend to be species-specific and we recommend that studies on fungal
communities and their roles in nutrient cycling take into account species-level
responses.
PMID- 27881762
TI - Bacteria facilitate prey retention by the pitcher plant Darlingtonia californica.
AB - Bacteria are hypothesized to provide a variety of beneficial functions to plants.
Many carnivorous pitcher plants, for example, rely on bacteria for digestion of
captured prey. This bacterial community may also be responsible for the low
surface tensions commonly observed in pitcher plant digestive fluids, which might
facilitate prey capture. I tested this hypothesis by comparing the physical
properties of natural pitcher fluid from the pitcher plant Darlingtonia
californica and cultured 'artificial' pitcher fluids and tested these fluids'
prey retention capabilities. I found that cultures of pitcher leaves' bacterial
communities had similar physical properties to raw pitcher fluids. These
properties facilitated the retention of insects by both fluids and hint at a
previously undescribed class of plant-microbe interaction.
PMID- 27881761
TI - Where in the air? Aerial habitat use of nocturnally migrating birds.
AB - The lower atmosphere (i.e. aerosphere) is critical habitat for migrant birds.
This habitat is vast and little is known about the spatio-temporal patterns of
distribution and abundance of migrants in it. Increased human encroachment into
the aerosphere makes understanding where and when migratory birds use this
airspace a key to reducing human-wildlife conflicts. We use weather surveillance
radar to describe large-scale height distributions of nocturnally migrating birds
and interpret these distributions as aggregate habitat selection behaviours of
individual birds. As such, we detail wind cues that influence selection of flight
heights. Using six radars in the eastern USA during the spring (2013-2015) and
autumn (2013 and 2014), we found migrants tended to adjust their heights
according to favourable wind profit. We found that migrants' flight altitudes
correlated most closely with the altitude of maximum wind profit; however,
absolute differences in flight heights and height of maximum wind profit were
large. Migrants tended to fly slightly higher at inland sites compared with
coastal sites during spring, but not during autumn. Migration activity was
greater at coastal sites during autumn, but not during spring. This
characterization of bird migration represents a critical advance in our
understanding of migrant distributions in flight and a new window into habitat
selection behaviours.
PMID- 27881763
TI - The effects of tradition on problem solving by two wild populations of bearded
capuchin monkeys in a probing task.
AB - The effects of culture on individual cognition have become a core issue among
cultural primatologists. Field studies with wild populations provide evidence on
the role of social cues in the ontogeny of tool use in non-human primates, and on
the transmission of such behaviours over generations through socially biased
learning. Recent experimental studies have shown that cultural knowledge may
influence problem solving in wild populations of chimpanzees. Here, we present
the results from a field experiment comparing the performance of bearded capuchin
monkeys (Sapajus libidinosus) from two wild savannah populations with distinct
toolkits in a probing task. Only the population that already exhibited the
customary use of probing tools succeeded in solving the new problem, suggesting
that their cultural repertoire shaped their approach to the new task. Moreover,
only this population, which uses stone tools in a broader range of contexts,
tried to use them to solve the problem. Social interactions can affect the
formation of learning sets and they affect the performance of the monkeys in
problem solving. We suggest that behavioural traditions affect the ways non-human
primates solve novel foraging problems using tools.
PMID- 27881764
TI - Zebrafish embryonic development is induced by carp sperm.
AB - Haploid gynogenetic screens increase the efficiency of forward genetic screens
and linkage analysis in fish. Typically, UV-irradiated zebrafish sperm is used to
activate zebrafish oocytes for haploid screens. We describe the use of UV
irradiated common carp sperm to activate haploid gynogenetic zebrafish
development. Carp * zebrafish hybrids are shown to have a characteristic set of
features during embryonic development and exhibit functional development of
several tissues (muscle, heart and nervous system). Hybrids become inviable past
the embryonic stages. This technique eliminates the possibility of incompletely
irradiated zebrafish spermatozoa contaminating haploid progenies. While
developing this protocol, one unique zebrafish female was identified which, upon
insemination with UV-irradiated carp spermatozoa, repeatedly displayed
spontaneous diploidization of the maternal chromosomes in her offspring.
PMID- 27881765
TI - Improved homeothermy and hypothermia in African lions during gestation.
AB - Mammals use endogenously produced heat to maintain a high and relatively constant
core body temperature (Tb). How they regulate their Tb during reproduction might
inform us as to what thermal conditions are necessary for optimal development of
offspring. However, few studies have measured Tb in free-ranging animals for
sufficient periods of time to encounter reproductive events. We measured Tb
continuously in six free-ranging adult female African lions (Panthera leo) for
approximately 1 year. Lions reduced the 24 h amplitude of Tb by about 25% during
gestation and decreased mean 24 h Tb by 1.3 +/- 0.1 degrees C over the course of
the gestation, reducing incidences of hyperthermia (Tb > 39.5 degrees C). The
observation of improved homeothermy during reproduction may support the parental
care model (PCM) for the evolution of endothermy, which postulates that
endothermy arose in birds and mammals as a consequence of more general selection
for parental care. According to the PCM, endothermy arose because it enabled
parents to better control incubation temperature, leading to rapid growth and
development of offspring and thus to fitness benefits for the parents. Whether
the precision of Tb regulation in pregnant lions, and consequently their
reproductive success, will be influenced by changing environmental conditions,
particularly hotter and drier periods associated with climate change, remains to
be determined.
PMID- 27881766
TI - Bridging the gap: parkour athletes provide new insights into locomotion
energetics of arboreal apes.
AB - The tree canopy is an energetically challenging environment to traverse. Along
with compliant vegetation, gaps in the canopy can prove energetically costly if
they force a route-extending detour. Arboreal apes exhibit diverse locomotion
strategies, including for gap crossing. Which one they employ in any given
scenario may be influenced by the energy costs to do so, which are affected by
the details of the immediate environment in combination with their body size.
Measuring energetics of arboreal apes is not tractable; thus our knowledge in
this area is limited. We devised a novel, custom-made experimental set-up to
record the energy expenditure of parkour athletes tree-swaying, jumping and
vertical climbing. The latter strategy was vastly more expensive, indicating that
when energy economy is the focus arboreal apes will prioritize routes that limit
height changes. Whether tree-swaying or jumping was most economical for the
athletes depended upon interactions between tree stiffness, the distance to
cross, number of tree-sways required and their own mass. Updated analysis of
previous interspecific correlations suggests that whether the relative costs to
vertical climb are size-invariant across primate species is complicated by
details of the climbing context.
PMID- 27881767
TI - Daylight saving time can decrease the frequency of wildlife-vehicle collisions.
AB - Daylight saving time (DST) could reduce collisions with wildlife by changing the
timing of commuter traffic relative to the behaviour of nocturnal animals. To
test this idea, we tracked wild koalas (Phascolarctos cinereus) in southeast
Queensland, where koalas have declined by 80% in the last 20 years, and compared
their movements with traffic patterns along roads where they are often killed.
Using a simple model, we found that DST could decrease collisions with koalas by
8% on weekdays and 11% at weekends, simply by shifting the timing of traffic
relative to darkness. Wildlife conservation and road safety should become part of
the debate on DST.
PMID- 27881769
TI - Sex in Context: Limitations of Animal Studies for Addressing Human Sex/Gender
Neurobehavioral Health Disparities.
AB - Many brain and behavioral disorders differentially affect men and women. The new
National Institutes of Health requirement to include both male and female animals
in preclinical studies aims to address such health disparities, but we argue that
the mandate is not the best solution to this problem. Sex differences are highly
species-specific, tied to the mating system and social ecology of a given species
or even strain of animal. In many cases, animals poorly replicate male-female
differences in brain-related human diseases. Sex/gender disparities in human
health have a strong sociocultural component that is intimately entangled with
biological sex and challenging to model in animals. We support research that
investigates sex-related variables in hypothesis-driven studies of animal brains
and behavior. However, institutional policies that require sex analysis and give
it special salience over other sources of biological variance can distort
research. We caution that the costly imposition of sex analysis on nearly all
animal research entrenches the presumption that human brain and behavioral
differences are largely biological in origin and overlooks the potentially more
powerful social, psychological, and cultural contributors to male-female
neurobehavioral health gaps.
PMID- 27881768
TI - Considering Sex as a Biological Variable Will Be Valuable for Neuroscience
Research.
AB - The recently implemented National Institutes of Health policy requiring that
grant applicants consider sex as a biological variable in the design of basic and
preclinical animal research studies has prompted considerable discussion within
the neuroscience community. Here, we present reasons to be optimistic that this
new policy will be valuable for neuroscience, and we suggest some ways for
neuroscientists to think about incorporating sex as a variable in their research.
PMID- 27881770
TI - Enhancing Remyelination through a Novel Opioid-Receptor Pathway.
PMID- 27881771
TI - Visualizing Local Protein Synthesis and Its Modulation by FMRP and Visual
Experience.
PMID- 27881772
TI - Store-Operated Calcium Channel Complex in Postsynaptic Spines: A New Therapeutic
Target for Alzheimer's Disease Treatment.
AB - : Mushroom dendritic spine structures are essential for memory storage and the
loss of mushroom spines may explain memory defects in aging and Alzheimer's
disease (AD). The stability of mushroom spines depends on stromal interaction
molecule 2 (STIM2)-mediated neuronal-store-operated Ca2+ influx (nSOC) pathway,
which is compromised in AD mouse models, in aging neurons, and in sporadic AD
patients. Here, we demonstrate that the Transient Receptor Potential Canonical 6
(TRPC6) and Orai2 channels form a STIM2-regulated nSOC Ca2+ channel complex in
hippocampal mushroom spines. We further demonstrate that a known TRPC6 activator,
hyperforin, and a novel nSOC positive modulator, NSN21778 (NSN), can stimulate
activity of nSOC pathway in the spines and rescue mushroom spine loss in both
presenilin and APP knock-in mouse models of AD. We further show that NSN rescues
hippocampal long-term potentiation impairment in APP knock-in mouse model. We
conclude that the STIM2-regulated TRPC6/Orai2 nSOC channel complex in dendritic
mushroom spines is a new therapeutic target for the treatment of memory loss in
aging and AD and that NSN is a potential candidate molecule for therapeutic
intervention in brain aging and AD. SIGNIFICANCE STATEMENT: Mushroom dendritic
spine structures are essential for memory storage and the loss of mushroom spines
may explain memory defects in Alzheimer's disease (AD). This study demonstrated
that Transient Receptor Potential Canonical 6 (TRPC6) and Orai2 form stromal
interaction molecule 2 (STIM2)-regulated neuronal-store-operated Ca2+ influx
(nSOC) channel complex in hippocampal synapse and the resulting Ca2+ influx is
critical for long-term maintenance of mushroom spines in hippocampal neurons. A
novel nSOC-positive modulator, NSN21778 (NSN), rescues mushroom spine loss and
synaptic plasticity impairment in AD mice models. The TRPC6/Orai2 nSOC channel
complex is a new therapeutic target and NSN is a potential candidate molecule for
therapeutic intervention in brain aging and AD.
PMID- 27881774
TI - Interactions Between SNAP-25 and Synaptotagmin-1 Are Involved in Vesicle Priming,
Clamping Spontaneous and Stimulating Evoked Neurotransmission.
AB - : Whether interactions between synaptotagmin-1 (syt-1) and the soluble NSF
attachment protein receptors (SNAREs) are required during neurotransmission is
debated. We examined five SNAP-25 mutations designed to interfere with syt-1
interactions. One mutation, D51/E52/E55A, targeted negative charges within region
II of the primary interface (Zhou et al., 2015); two mutations targeted region I
(D166A and D166/E170A) and one mutation targeted both (D51/E52/E55/D166A). The
final mutation (D186/D193A) targeted C-terminal residues not expected to interact
with syt-1. An in vitro assay showed that the region I, region II, and region
I+II (D51/E52/E55/D166A) mutants markedly reduced the attachment between syt-1
and t-SNARE-carrying vesicles in the absence of phosphatidylinositol 4,5
bisphosphate [PI(4,5)P2]. In the presence of PI(4,5)P2, vesicle attachment was
unaffected by mutation. When expressed in Snap-25-null mouse autaptic neurons,
region I mutations reduced the size of the readily releasable pool of vesicles,
whereas the region II mutation reduced vesicular release probability. Combining
both in the D51/E52/E55/D166A mutation abrogated evoked release. These data point
to a division of labor between region I (vesicle priming) and region II (evoked
release). Spontaneous release was disinhibited by region I mutations and found to
correlate with defective complexin (Cpx) clamping in an in vitro fusion assay,
pointing to an interdependent role of synaptotagmin and Cpx in release clamping.
Mutation in region II (D51/E52/E55A) also unclamped release, but this effect
could be overcome by synaptotagmin overexpression, arguing against an obligatory
role in clamping. We conclude that three synaptic release functions of syt-1,
vesicle priming, spontaneous release clamping, and evoked release triggering,
depend on direct SNARE complex interaction. SIGNIFICANCE STATEMENT: The function
of synaptotagmin-1 (syt-1):soluble NSF attachment protein receptor (SNARE)
interactions during neurotransmission remains unclear. We mutated SNAP-25 within
the recently identified region I and region II of the primary synaptotagmin:SNARE
interface. Using in vitro assays and rescue experiments in autaptic neurons, we
show that interactions within region II of the primary interface are necessary
for synchronized calcium-triggered release, whereas region I is involved in
vesicle priming. Spontaneous release was disinhibited by region I mutation and
found to correlate with defective complexin (Cpx) clamping in vitro, pointing to
an interdependent role of synaptotagmin and Cpx in release clamping. Therefore,
vesicle priming, clamping spontaneous release, and eliciting evoked release are
three different functions of syt-1 that involve different interaction modes with
the SNARE complex.
PMID- 27881775
TI - A Biophysical Model for Cytotoxic Cell Swelling.
AB - : We present a dynamic biophysical model to explain neuronal swelling underlying
cytotoxic edema in conditions of low energy supply, as observed in cerebral
ischemia. Our model contains Hodgkin-Huxley-type ion currents, a recently
discovered voltage-gated chloride flux through the ion exchanger SLC26A11, active
KCC2-mediated chloride extrusion, and ATP-dependent pumps. The model predicts
changes in ion gradients and cell swelling during ischemia of various severity or
channel blockage with realistic timescales. We theoretically substantiate
experimental observations of chloride influx generating cytotoxic edema, while
sodium entry alone does not. We show a tipping point of Na+/K+-ATPase
functioning, where below cell volume rapidly increases as a function of the
remaining pump activity, and a Gibbs-Donnan-like equilibrium state is reached.
This precludes a return to physiological conditions even when pump strength
returns to baseline. However, when voltage-gated sodium channels are temporarily
blocked, cell volume and membrane potential normalize, yielding a potential
therapeutic strategy. SIGNIFICANCE STATEMENT: Cytotoxic edema most commonly
results from energy shortage, such as in cerebral ischemia, and refers to the
swelling of brain cells due to the entry of water from the extracellular space.
We show that the principle of electroneutrality explains why chloride influx is
essential for the development of cytotoxic edema. With the help of a biophysical
model of a single neuron, we show that a tipping point of the energy supply
exists, below which the cell volume rapidly increases. We simulate realistic time
courses to and reveal critical components of neuronal swelling in conditions of
low energy supply. Furthermore, we show that, after transient blockade of the
energy supply, cytotoxic edema may be reversed by temporary blockade of Na+
channels.
PMID- 27881773
TI - Novel Roles for the Insulin-Regulated Glucose Transporter-4 in Hippocampally
Dependent Memory.
AB - : The insulin-regulated glucose transporter-4 (GluT4) is critical for insulin-
and contractile-mediated glucose uptake in skeletal muscle. GluT4 is also
expressed in some hippocampal neurons, but its functional role in the brain is
unclear. Several established molecular modulators of memory processing regulate
hippocampal GluT4 trafficking and hippocampal memory formation is limited by both
glucose metabolism and insulin signaling. Therefore, we hypothesized that
hippocampal GluT4 might be involved in memory processes. Here, we show that, in
male rats, hippocampal GluT4 translocates to the plasma membrane after memory
training and that acute, selective intrahippocampal inhibition of GluT4-mediated
glucose transport impaired memory acquisition, but not memory retrieval. Other
studies have shown that prolonged systemic GluT4 blockade causes insulin
resistance. Unexpectedly, we found that prolonged hippocampal blockade of glucose
transport through GluT4-upregulated markers of hippocampal insulin signaling
prevented task-associated depletion of hippocampal glucose and enhanced both
working and short-term memory while also impairing long-term memory. These
effects were accompanied by increased expression of hippocampal AMPA GluR1
subunits and the neuronal GluT3, but decreased expression of hippocampal brain
derived neurotrophic factor, consistent with impaired ability to form long-term
memories. Our findings are the first to show the cognitive impact of brain GluT4
modulation. They identify GluT4 as a key regulator of hippocampal memory
processing and also suggest differential regulation of GluT4 in the hippocampus
from that in peripheral tissues. SIGNIFICANCE STATEMENT: The role of insulin
regulated glucose transporter-4 (GluT4) in the brain is unclear. In the current
study, we demonstrate that GluT4 is a critical component of hippocampal memory
processes. Memory training increased hippocampal GluT4 translocation and memory
acquisition was impaired by GluT4 blockade. Unexpectedly, whereas long-term
inhibition of GluT4 impaired long-term memory, short-term memory was enhanced.
These data further our understanding of the molecular mechanisms of memory and
have particular significance for type 2 diabetes (in which GluT4 activity in the
periphery is impaired) and Alzheimer's disease (which is linked to impaired brain
insulin signaling and for which type 2 diabetes is a key risk factor). Both
diseases cause marked impairment of hippocampal memory linked to hippocampal
hypometabolism, suggesting the possibility that brain GluT4 dysregulation may be
one cause of cognitive impairment in these disease states.
PMID- 27881776
TI - Time Is Not Space: Core Computations and Domain-Specific Networks for Mental
Travels.
AB - : Humans can consciously project themselves in the future and imagine themselves
at different places. Do mental time travel and mental space navigation abilities
share common cognitive and neural mechanisms? To test this, we recorded fMRI
while participants mentally projected themselves in time or in space (e.g., 9
years ago, in Paris) and ordered historical events from their mental perspective.
Behavioral patterns were comparable for mental time and space and shaped by self
projection and by the distance of historical events to the mental position of the
self, suggesting the existence of egocentric mapping in both dimensions.
Nonetheless, self-projection in space engaged the medial and lateral parietal
cortices, whereas self-projection in time engaged a widespread parietofrontal
network. Moreover, while a large distributed network was found for spatial
distances, temporal distances specifically engaged the right inferior parietal
cortex and the anterior insula. Across these networks, a robust overlap was only
found in a small region of the inferior parietal lobe, adding evidence for its
role in domain-general egocentric mapping. Our findings suggest that mental
travel in time or space capitalizes on egocentric remapping and on distance
computation, which are implemented in distinct dimension-specific cortical
networks converging in inferior parietal lobe. SIGNIFICANCE STATEMENT: As humans,
we can consciously imagine ourselves at a different time (mental time travel) or
at a different place (mental space navigation). Are such abilities domain
general, or are the temporal and spatial dimensions of our conscious experience
separable? Here, we tested the hypothesis that mental time travel and mental
space navigation required the egocentric remapping of events, including the
estimation of their distances to the self. We report that, although both
remapping and distance computation are foundational for the processing of the
temporal and spatial dimensions of our conscious experience, their
neuroanatomical implementations were clearly dissociable and engaged distinct
parietal and parietofrontal networks for mental space navigation and mental time
travel, respectively.
PMID- 27881779
TI - Allergic Inflammation Leads to Neuropathic Pain via Glial Cell Activation.
AB - : Allergic and atopic disorders have increased over the past few decades and have
been associated with neuropsychiatric conditions, such as autism spectrum
disorder and asthmatic amyotrophy. Myelitis presenting with neuropathic pain can
occur in patients with atopic disorder; however, the relationship between
allergic inflammation and neuropathic pain, and the underlying mechanism, remains
to be established. We studied whether allergic inflammation affects the spinal
nociceptive system. We found that mice with asthma, atopic dermatitis, or atopic
diathesis had widespread and significantly more activated microglia and astroglia
in the spinal cord than those without atopy, and displayed tactile allodynia.
Microarray analysis of isolated microglia revealed a dysregulated phenotype
showing upregulation of M1 macrophage markers and downregulation of M2 markers in
atopic mice. Among the cell surface protein genes, endothelin receptor type B
(EDNRB) was most upregulated. Immunohistochemical analysis revealed that EDNRB
expression was enhanced in microglia and astroglia, whereas endothelin-1, an
EDNRB ligand, was increased in serum, lungs, and epidermis of atopic mice. No
EDNRA expression was found in the spinal cord. Expression of FBJ murine
osteosarcoma viral oncogene homolog B was significantly higher in the dorsal horn
neurons of asthma mice than nonatopic mice. The EDNRB antagonist BQ788 abolished
glial and neural activation and allodynia. We found increased serum endothelin-1
in atopic patients with myelitis and neuropathic pain, and activation of spinal
microglia and astroglia with EDNRB upregulation in an autopsied case. These
results suggest that allergic inflammation induces diffuse glial activation,
influencing the nociceptive system via the EDNRB pathway. SIGNIFICANCE STATEMENT:
The prevalence of allergic disorders has markedly increased over the past few
decades. Allergic disorders are associated with neuropsychiatric conditions;
however, the relationship between allergic inflammation and CNS complications is
unknown. A peculiar myelitis presenting with persistent neuropathic pain has been
reported in patients with allergic disorders. We studied how atopy exerts
substantial influence on the nociceptive system. We found that mice with allergic
disorders had severe allodynia with activated astroglia and microglia, and showed
marked upregulation of endothelin-1 (ET-1) receptor type B (EDNRB) in the spinal
cord. A selective EDNRB antagonist prevented allodynia and glial activation. Our
findings suggest a novel mechanism whereby atopy induces glial activation and
neuropathic pain via an ET-1/EDNRB pathway.
PMID- 27881778
TI - Attentive Motion Discrimination Recruits an Area in Inferotemporal Cortex.
AB - : Attentional selection requires the interplay of multiple brain areas.
Theoretical accounts of selective attention predict different areas with
different functional properties to support endogenous covert attention. To test
these predictions, we devised a demanding attention task requiring motion
discrimination and spatial selection and performed whole-brain imaging in macaque
monkeys. Attention modulated the early visual cortex, motion-selective dorsal
stream areas, the lateral intraparietal area, and the frontal eye fields. This
pattern of activation supports early selection, feature-based, and biased
competition attention accounts, as well as the frontoparietal theory of
attentional control. While high-level motion-selective dorsal stream areas did
not exhibit strong attentional modulation, ventral stream areas V4d and the
dorsal posterior inferotemporal cortex (PITd) did. The PITd in fact was,
consistently across task variations, the most significantly and most strongly
attention-modulated area, even though it did not exhibit signs of motion
selectivity. Thus the recruitment of the PITd in attention tasks involving
different kinds of motion analysis is not predicted by any theoretical account of
attention. These functional data, together with known anatomical connections,
suggest a general and possibly critical role of the PITd in attentional
selection. SIGNIFICANCE STATEMENT: Attention is the key cognitive function that
selects sensory information relevant to the current goals, relegating other
information to the shadows of consciousness. To better understand the neural
mechanisms of this interplay between sensory processing and internal cognitive
state, we must learn more about the brain areas supporting attentional selection.
Here, to test theoretical accounts of attentional selection, we used a novel task
requiring sustained attention to motion. We found that, surprisingly, among the
most strongly attention-modulated areas is one that is neither selective for the
sensory feature relevant for current goals nor one hitherto thought to be
involved in attentional control. This discovery suggests a need for an extension
of current theoretical accounts of the brain circuits for attentional selection.
PMID- 27881777
TI - Temporal Profiling of Astrocyte Precursors Reveals Parallel Roles for Asef during
Development and after Injury.
AB - : Lineage development is a stepwise process, governed by stage-specific
regulatory factors and associated markers. Astrocytes are one of the principle
cell types in the CNS and the stages associated with their development remain
very poorly defined. To identify these stages, we performed gene-expression
profiling on astrocyte precursor populations in the spinal cord, identifying
distinct patterns of gene induction during their development that are strongly
correlated with human astrocytes. Validation studies identified a new cohort of
astrocyte-associated genes during development and demonstrated their expression
in reactive astrocytes in human white matter injury (WMI). Functional studies on
one of these genes revealed that mice lacking Asef exhibited impaired astrocyte
differentiation during development and repair after WMI, coupled with compromised
blood-brain barrier integrity in the adult CNS. These studies have identified
distinct stages of astrocyte lineage development associated with human WMI and,
together with our functional analysis of Asef, highlight the parallels between
astrocyte development and their reactive counterparts associated with injury.
SIGNIFICANCE STATEMENT: Astrocytes play a central role in CNS function and
associated diseases. Yet the mechanisms that control their development remain
poorly defined. Using the developing mouse spinal cord as a model system, we
identify molecular changes that occur in developing astrocytes. These molecular
signatures are strongly correlated with human astrocyte expression profiles and
validation in mouse spinal cord identifies a host of new genes associated with
the astrocyte lineage. These genes are present in reactive astrocytes in human
white matter injury, and functional studies reveal that one of these genes, Asef,
contributes to reactive astrocyte responses after injury. These studies identify
distinct stages of astrocyte lineage development and highlight the parallels
between astrocyte development and their reactive counterparts associated with
injury.
PMID- 27881782
TI - Consolidation of Goal-Directed Action Depends on MAPK/ERK Signaling in Rodent
Prelimbic Cortex.
AB - : The prelimbic prefrontal cortex (PL) has consistently been found to be
necessary for the acquisition of goal-directed actions in rodents. Nevertheless,
the specific cellular processes underlying this learning remain unknown. We
assessed changes in learning-related expression of mitogen-activated protein
kinase/extracellular signal-related kinase (MAPK/ERK1/2) phosphorylation (pERK)
in layers 2-3 and 5-6 of the anterior and posterior PL and in the population of
neurons projecting to posterior dorsomedial striatum (pDMS), also implicated in
goal-directed learning. Rats were given either a single session of training to
press a lever for a pellet reward or yoked reward deliveries without instrumental
training and assessed 5 or 60 min after training for pERK expression. Relative to
yoked training, instrumental training produced an increase in pERK expression in
all regions of the PL both at 5 and 60 min, and this was accompanied by an
increase in nuclear pERK expression in the posterior PL in rats given
instrumental training. pDMS-projecting neurons showed a transient increase in
pERK expression in posterior layer 5-6 projection neurons after 5 min, and a
delayed increase in anterior layer 2-3 neurons after 60 min, suggesting that ERK
expression in the PL is necessary for the consolidation of goal-directed
learning. Consistent with this claim, we found that rats trained on two lever
press actions for distinct outcomes and then infused with the MEK inhibitor
PD98059 into the PL immediately after training failed to acquire specific action
outcome associations, suggesting that persistent pERK signaling in the PL is
necessary for goal-directed learning. SIGNIFICANCE STATEMENT: The prelimbic
cortex is implicated in goal-directed learning in rodents; however, it is unclear
whether it is involved in the consolidation of this learning, and what cellular
processes are involved. We used pERK as a marker of activity-related synaptic
plasticity to assess learning-induced changes in distinct layers and neuronal
populations of the prelimbic prefrontal cortex (PL). Training produced long
lasting upregulation of pERK throughout the PL and specifically within neurons
that project to the pDMS, another region critical for goal-directed learning.
Next, we demonstrated that pERK signaling in the PL was necessary for the
consolidation of goal-directed learning. Together, these results indicate that
instrumental training induces ERK signaling in distinct layers and populations in
the PL and this signaling underlies the consolidation of goal-directed learning.
PMID- 27881780
TI - Negative Allosteric Modulation of mGluR5 Partially Corrects Pathophysiology in a
Mouse Model of Rett Syndrome.
AB - : Rett syndrome (RTT) is caused by mutations in the gene encoding methyl-CpG
binding protein 2 (MECP2), an epigenetic regulator of mRNA transcription. Here,
we report a test of the hypothesis of shared pathophysiology of RTT and fragile
X, another monogenic cause of autism and intellectual disability. In fragile X,
the loss of the mRNA translational repressor FMRP leads to exaggerated protein
synthesis downstream of metabotropic glutamate receptor 5 (mGluR5). We found that
mGluR5- and protein-synthesis-dependent synaptic plasticity were similarly
altered in area CA1 of Mecp2 KO mice. CA1 pyramidal cell-type-specific, genome
wide profiling of ribosome-bound mRNAs was performed in wild-type and Mecp2 KO
hippocampal CA1 neurons to reveal the MeCP2-regulated "translatome." We found
significant overlap between ribosome-bound transcripts overexpressed in the Mecp2
KO and FMRP mRNA targets. These tended to encode long genes that were
functionally related to either cytoskeleton organization or the development of
neuronal connectivity. In the Fmr1 KO mouse, chronic treatment with mGluR5
negative allosteric modulators (NAMs) has been shown to ameliorate many mutant
phenotypes by correcting excessive protein synthesis. In Mecp2 KO mice, we found
that mGluR5 NAM treatment significantly reduced the level of overexpressed
ribosome-associated transcripts, particularly those that were also FMRP targets.
Some Rett phenotypes were also ameliorated by treatment, most notably hippocampal
cell size and lifespan. Together, these results suggest a potential mechanistic
link between MeCP2-mediated transcription regulation and mGluR5/FMRP-mediated
protein translation regulation through coregulation of a subset of genes relevant
to synaptic functions. SIGNIFICANCE STATEMENT: Altered regulation of synaptic
protein synthesis has been hypothesized to contribute to the pathophysiology that
underlies multiple forms of intellectual disability and autism spectrum disorder.
Here, we show in a mouse model of Rett syndrome (Mecp2 KO) that metabotropic
glutamate receptor 5 (mGluR5)- and protein-synthesis-dependent synaptic
plasticity are abnormal in the hippocampus. We found that a subset of ribosome
bound mRNAs was aberrantly upregulated in hippocampal CA1 neurons of Mecp2 KO
mice, that these significantly overlapped with FMRP direct targets and/or SFARI
human autism genes, and that chronic treatment of Mecp2 KO mice with an mGluR5
negative allosteric modulator tunes down upregulated ribosome-bound mRNAs and
partially improves mutant mice phenotypes.
PMID- 27881781
TI - Blocking the Interaction between EphB2 and ADDLs by a Small Peptide Rescues
Impaired Synaptic Plasticity and Memory Deficits in a Mouse Model of Alzheimer's
Disease.
AB - : Soluble amyloid-beta (Abeta) oligomers, also known as Abeta-derived diffusible
ligands (ADDLs), are thought to be the key pathogenic factor in Alzheimer's
disease (AD), but there is still no effective treatment for preventing or
reversing the progression of the disease. Targeting NMDA receptor trafficking and
regulation is a new strategy for early treatment of AD. Abeta oligomers have been
found to bind to the fibronectin (FN) type III repeat domain of EphB2 to trigger
EphB2 degradation, thereby impairing the normal functioning of NMDA receptors and
resulting in cognitive deficits. Here, we identified for the first time the
interaction sites of the EphB2 FN domain with ADDLs by applying the peptide array
method to design and synthesize four candidate peptides (Pep21, Pep25, Pep32, and
Pep63) that might be able to block the EphB2-ADDL interaction. Among them, Pep63
was found to be the most effective at inhibiting the binding between EphB2 and
ADDLs. We found that Pep63 not only rescued the ADDL-induced depletion of EphB2-
and GluN2B-containing NMDA receptors from the neuronal surface in cultured
hippocampal neurons, but also improved impaired memory deficits in APPswe/PS1dE9
(APP/PS1) transgenic mice and the phosphorylation and surface expression of
GluN2B-containing NMDA receptors in cultures. Together, these results suggest
that blocking the EphB2-ADDL interaction by small interfering peptides may be a
promising strategy for AD treatment. SIGNIFICANCE STATEMENT: Alzheimer's disease
(AD) is an age-dependent neurodegenerative disorder and amyloid beta-derived
diffusible ligands (ADDLs) play a key role in triggering the early cognitive
deficits that constitute AD. ADDLs may bind EphB2 and alter NMDA receptor
trafficking and synaptic plasticity. Here, we identified the interaction sites of
the EphB2 FN domain with ADDLs for the first time to develop a small (10 aa)
peptide (Pep63) capable of blocking the EphB2-ADDL interaction. We found that
Pep63 not only rescued the ADDL-induced depletion of EphB2 and GluN2B-containing
NMDA receptors from the neuronal surface in cultured hippocampal neurons, but
also improved impaired memory deficits in APPswe/PS1dE9 (APP/PS1) transgenic
mice. Our results suggest that blocking the EphB2-ADDL interaction with Pep63 may
be a promising strategy for AD treatment.
PMID- 27881783
TI - Multivariate Brain Prediction of Heart Rate and Skin Conductance Responses to
Social Threat.
AB - : Psychosocial stressors induce autonomic nervous system (ANS) responses in
multiple body systems that are linked to health risks. Much work has focused on
the common effects of stress, but ANS responses in different body systems are
dissociable and may result from distinct patterns of cortical-subcortical
interactions. Here, we used machine learning to develop multivariate patterns of
fMRI activity predictive of heart rate (HR) and skin conductance level (SCL)
responses during social threat in humans (N = 18). Overall, brain patterns
predicted both HR and SCL in cross-validated analyses successfully (rHR = 0.54,
rSCL = 0.58, both p < 0.0001). These patterns partly reflected central stress
mechanisms common to both responses because each pattern predicted the other
signal to some degree (rHR->SCL = 0.21 and rSCL->HR = 0.22, both p < 0.01), but
they were largely physiological response specific. Both patterns included
positive predictive weights in dorsal anterior cingulate and cerebellum and
negative weights in ventromedial PFC and local pattern similarity analyses within
these regions suggested that they encode common central stress mechanisms.
However, the predictive maps and searchlight analysis suggested that the patterns
predictive of HR and SCL were substantially different across most of the brain,
including significant differences in ventromedial PFC, insula, lateral PFC, pre
SMA, and dmPFC. Overall, the results indicate that specific patterns of cerebral
activity track threat-induced autonomic responses in specific body systems.
Physiological measures of threat are not interchangeable, but rather reflect
specific interactions among brain systems. SIGNIFICANCE STATEMENT: We show that
threat-induced increases in heart rate and skin conductance share some common
representations in the brain, located mainly in the vmPFC, temporal and
parahippocampal cortices, thalamus, and brainstem. However, despite these
similarities, the brain patterns that predict these two autonomic responses are
largely distinct. This evidence for largely output-measure-specific regulation of
autonomic responses argues against a common system hypothesis and provides
evidence that different autonomic measures reflect distinct, measurable patterns
of cortical-subcortical interactions.
PMID- 27881784
TI - Low Somatic Sodium Conductance Enhances Action Potential Precision in Time-Coding
Auditory Neurons.
AB - : Auditory nerve fibers encode sounds in the precise timing of action potentials
(APs), which is used for such computations as sound localization. Timing
information is relayed through several cell types in the auditory brainstem that
share an unusual property: their APs are not overshooting, suggesting that the
cells have very low somatic sodium conductance (gNa). However, it is not clear
how gNa influences temporal precision. We addressed this by comparing bushy cells
(BCs) in the mouse cochlear nucleus with T-stellate cells (SCs), which do have
normal overshooting APs. BCs play a central role in both relaying and refining
precise timing information from the auditory nerve, whereas SCs discard precise
timing information and encode the envelope of sound amplitude. Nucleated-patch
recording at near-physiological temperature indicated that the Na current density
was 62% lower in BCs, and the voltage dependence of gNa inactivation was 13 mV
hyperpolarized compared with SCs. We endowed BCs with SC-like gNa using two
electrode dynamic clamp and found that synaptic activity at physiologically
relevant rates elicited APs with significantly lower probability, through
increased activation of delayed rectifier channels. In addition, for two near
simultaneous synaptic inputs, the window of coincidence detection widened
significantly with increasing gNa, indicating that refinement of temporal
information by BCs is degraded by gNa Thus, reduced somatic gNa appears to be an
adaption for enhancing fidelity and precision in time-coding neurons.
SIGNIFICANCE STATEMENT: Proper hearing depends on analyzing temporal aspects of
sounds with high precision. Auditory neurons that specialize in precise temporal
information have a suite of unusual intrinsic properties, including
nonovershooting action potentials and few sodium channels in the soma. However,
it was not clear how low sodium channel availability in the soma influenced the
temporal precision of action potentials initiated in the axon initial segment. We
studied this using dynamic clamp to mimic sodium channels in the soma, which
yielded normal, overshooting action potentials. Increasing somatic sodium
conductance had major negative consequences: synaptic activity evoked action
potentials with lower fidelity, and the precision of coincidence detection was
degraded. Thus, low somatic sodium channel availability appears to enhance
fidelity and temporal precision.
PMID- 27881785
TI - Attenuation of Responses to Self-Generated Sounds in Auditory Cortical Neurons.
AB - : Many of the sounds that we perceive are caused by our own actions, for example
when speaking or moving, and must be distinguished from sounds caused by external
events. Studies using macroscopic measurements of brain activity in human
subjects have consistently shown that responses to self-generated sounds are
attenuated in amplitude. However, the underlying manifestation of this phenomenon
at the cellular level is not well understood. To address this, we recorded the
activity of neurons in the auditory cortex of mice in response to sounds
generated by their own behavior. We found that the responses of auditory cortical
neurons to these self-generated sounds were consistently attenuated, compared
with the same sounds generated independently of the animals' behavior. This
effect was observed in both putative pyramidal neurons and in interneurons and
was stronger in lower layers of auditory cortex. Downstream of the auditory
cortex, we found that responses of hippocampal neurons to self-generated sounds
were almost entirely suppressed. Responses to self-generated optogenetic
stimulation of auditory thalamocortical terminals were also attenuated,
suggesting a cortical contribution to this effect. Further analyses revealed that
the attenuation of self-generated sounds was not simply due to the nonspecific
effects of movement or behavioral state on auditory responsiveness. However, the
strength of attenuation depended on the degree to which self-generated sounds
were expected to occur, in a cell-type-specific manner. Together, these results
reveal the cellular basis underlying attenuated responses to self-generated
sounds and suggest that predictive processes contribute to this effect.
SIGNIFICANCE STATEMENT: Distinguishing self-generated from externally generated
sensory input poses a fundamental problem for behaving organisms. Our study in
mice shows for the first time that responses of auditory cortical neurons are
attenuated to sounds generated manually by the animals' own behavior. This effect
is distinct from the nonspecific effect of behavioral activity on auditory
responsiveness that has previously been reported and its magnitude is modulated
by the probability with which self-generated sounds occur, suggesting an
underlying predictive process. We also reveal how this effect varies across cell
types and cortical layers. These findings lay a foundation for studying
impairments in the processing of self-generated sounds, which are observed in
psychiatric illness, in animal disease models.
PMID- 27881787
TI - Sensory and Working Memory Representations of Small and Large Numerosities in the
Crow Endbrain.
AB - : Neurons in the avian nidopallium caudolaterale (NCL), an endbrain structure
that originated independently from the mammalian neocortex, process visual
numerosities. To clarify the code for number in this anatomically distinct
endbrain area in birds, neuronal responses to a broad range of numerosities were
analyzed. We recorded single-neuron activity from the NCL of crows performing a
delayed match-to-sample task with visual numerosities as discriminanda. The
responses of >20% of randomly selected neurons were modulated significantly by
numerosities ranging from one to 30 items. Numerosity-selective neurons showed
bell-shaped tuning curves with one of the presented numerosities as preferred
numerosity regardless of the physical appearance of the items. The resulting
labeled-line code exhibited logarithmic compression obeying the Weber-Fechner law
for magnitudes. Comparable proportions of selective neurons were found, not only
during stimulus presentation, but also in the delay phase, indicating a dominant
role of the NCL in numerical working memory. Both during sensory encoding and
memorization of numerosities in working memory, NCL activity predicted the crows'
number discrimination performance. These neuronal data reveal striking
similarities across vertebrate taxa in their code for number despite convergently
evolved and anatomically distinct endbrain structures. SIGNIFICANCE STATEMENT:
Birds are known for their capabilities to process numerical quantity. However,
birds lack a six-layered neocortex that enables primates with numerical
competence. We aimed to decipher the neuronal code for numerical quantity in the
independently and distinctly evolved endbrain of birds. We recorded the activity
of neurons in an endbrain association area termed nidopallium caudolaterale (NCL)
from crows that assessed and briefly memorized numerosities from one to 30 dots.
We report a neuronal code for sensory representation and working memory of
numerosities in the crow NCL exhibiting several characteristics that are
surprisingly similar to the ones found in primates. Our data suggest a common
code for number in two different vertebrate taxa that has evolved based on
convergent evolution.
PMID- 27881789
TI - Correction: Utevsky et al., "Precuneus Is a Functional Core of the Default-Mode
Network".
PMID- 27881788
TI - Brain Network Mechanisms Underlying Motor Enhancement by Transcranial Entrainment
of Gamma Oscillations.
AB - : Gamma and beta oscillations are routinely observed in motor-related brain
circuits during movement preparation and execution. Entrainment of gamma or beta
oscillations via transcranial alternating current stimulation (tACS) over primary
motor cortex (M1) has opposite effects on motor performance, suggesting a causal
role of these brain rhythms for motor control. However, it is largely unknown
which brain mechanisms characterize these changes in motor performance brought
about by tACS. In particular, it is unclear whether these effects result from
brain activity changes only in the targeted areas or within functionally
connected brain circuits. Here we investigated this issue by applying gamma-band
and beta-band tACS over M1 in healthy humans during a visuomotor task and
concurrent functional magnetic resonance imaging (fMRI). Gamma tACS indeed
improved both the velocity and acceleration of visually triggered movements,
compared with both beta tACS and sham stimulation. Beta tACS induced a numerical
decrease in velocity compared with sham stimulation, but this was not
statistically significant. Crucially, gamma tACS induced motor performance
enhancements correlated with changed BOLD activity in the stimulated M1.
Moreover, we found frequency- and task-specific neural compensatory activity
modulations in the dorsomedial prefrontal cortex (dmPFC), suggesting a key
regulatory role of this region in motor performance. Connectivity analyses
revealed that the dmPFC interacted functionally with M1 and with regions within
the executive motor system. These results suggest a role of the dmPFC for motor
control and show that tACS-induced behavioral changes not only result from
activity modulations underneath the stimulation electrode but also reflect
compensatory modulation within connected and functionally related brain networks.
More generally, our results illustrate how combined tACS-fMRI can be used to
resolve the causal link between cortical rhythms, brain systems, and behavior.
SIGNIFICANCE STATEMENT: Recent research has suggested a causal role for gamma
oscillations during movement preparation and execution. Here we combine
transcranial alternating current stimulation (tACS) with functional magnetic
resonance imaging (fMRI) to identify the neural mechanisms that accompany motor
performance enhancements triggered by gamma tACS over the primary motor cortex.
We show that the tACS-induced motor performance enhancements correlate with
changed neural activity in the stimulated area and modulate, in a frequency- and
task-specific manner, the neural activity in the dorsomedial prefrontal cortex.
This suggests a regulatory role of this region for motor control. More generally,
we show that combined tACS-fMRI can elucidate the causal link between brain
oscillations, neural systems, and behavior.
PMID- 27881786
TI - Neuronal Dysfunction in iPSC-Derived Medium Spiny Neurons from Chorea
Acanthocytosis Patients Is Reversed by Src Kinase Inhibition and F-Actin
Stabilization.
AB - : Chorea-acanthocytosis (ChAc) is a fatal neurological disorder characterized by
red blood cell acanthocytes and striatal neurodegeneration. Recently, severe cell
membrane disturbances based on depolymerized cortical actin and an elevated Lyn
kinase activity in erythrocytes from ChAc patients were identified. How this
contributes to the mechanism of neurodegeneration is still unknown. To gain
insight into the pathophysiology, we established a ChAc patient-derived induced
pluripotent stem cell model and an efficient differentiation protocol providing a
large population of human striatal medium spiny neurons (MSNs), the main target
of neurodegeneration in ChAc. Patient-derived MSNs displayed enhanced neurite
outgrowth and ramification, whereas synaptic density was similar to controls.
Electrophysiological analysis revealed a pathologically elevated synaptic
activity in ChAc MSNs. Treatment with the F-actin stabilizer phallacidin or the
Src kinase inhibitor PP2 resulted in the significant reduction of disinhibited
synaptic currents to healthy control levels, suggesting a Src kinase- and actin
dependent mechanism. This was underlined by increased G/F-actin ratios and
elevated Lyn kinase activity in patient-derived MSNs. These data indicate that F
actin stabilization and Src kinase inhibition represent potential therapeutic
targets in ChAc that may restore neuronal function. SIGNIFICANCE STATEMENT:
Chorea-acanthocytosis (ChAc) is a fatal neurodegenerative disease without a known
cure. To gain pathophysiological insight, we newly established a human in vitro
model using skin biopsies from ChAc patients to generate disease-specific induced
pluripotent stem cells (iPSCs) and developed an efficient iPSC differentiation
protocol providing striatal medium spiny neurons. Using patch-clamp
electrophysiology, we detected a pathologically enhanced synaptic activity in
ChAc neurons. Healthy control levels of synaptic activity could be restored by
treatment of ChAc neurons with the F-actin stabilizer phallacidin and the Src
kinase inhibitor PP2. Because Src kinases are involved in bridging the membrane
to the actin cytoskeleton by membrane protein phosphorylation, our data suggest
an actin-dependent mechanism of this dysfunctional phenotype and potential
treatment targets in ChAc.
PMID- 27881791
TI - Measuring Substance Use Among System-Involved IPV Survivors: A Research Note.
AB - This research note presents findings from a qualitative study exploring female,
system-involved intimate partner violence (IPV) survivors' perspectives on
substance use disclosure in the context of research studies. The study sample
includes 22 women who completed a court- and/or child protective services (CPS)
mandated IPV parenting program. Analyses revealed three key areas of
participants' perspectives on substance use assessment and disclosure: (a)
administration setting/format and measurement clarity, (b) administrator
characteristics, and (c) repercussions due to breach of confidentiality. Findings
from the current study offer insights into barriers for survivors reporting their
substance use and suggestions for researchers seeking to assess substance use
among this population.
PMID- 27881792
TI - ESTIMATES OF RADIATION DOSES TO THE SKIN FOR PEOPLE CAMPED AT WALLATINNA DURING
THE UK TOTEM 1 ATOMIC WEAPONS TEST.
AB - A group of Aboriginal people was camped at Wallatinna in South Australia, ~170 km
downwind from Emu Field, where an atomic test (the Totem 1 test) was carried out
at 07.00 on 15 October 1953 local time (21.30 on 14 October 1953 GMT (Greenwich
Mean Time)). They left the camp ~24 hours later. These people stated that a
phenomenon that has become known as a 'black mist' rolled through their camp site
~5 hours after detonation and that some of them subsequently became sick,
displaying skin reddening and nausea. They feared that the sickness was a result
of exposure to high levels of radiation. The purpose of this paper is to
determine if these people could have received ionising radiation doses high
enough to cause the symptoms displayed. The methodology used for the dose
estimates is described in the paper. The exposure modes considered were external
exposure due to the passage of a contaminated plume over the camp site,
inhalation of material from this plume, external exposure from material deposited
on the ground as the plume passed, and consumption of contaminated food and
water. The contaminants considered in the airborne cloud and the ground plume
were fission products and unburnt plutonium from the nuclear detonation, and
neutron activation products caused by vaporisation of the tower used to position
the weapon. The source was approximated by a line source. An upper estimate of
the effective doses received is ~4 mSv, which is well below the level at which
acute radiation effects are observed. This estimate is consistent with earlier
assessments, which did not consider inhalation of the contribution from neutron
activation products.
PMID- 27881793
TI - A cytogenetic biomonitoring of industrial radiographers occupationally exposed to
low levels of ionizing radiation by using cbmn assay.
AB - Industrial radiography is the process of using either gamma-emitting radionuclide
sources or X-ray machines to examine the safety of industrial materials. The
average annual effective dose in industrial radiography is one of the highest
among radiation workers. The aim of this study was to investigate the cytogenetic
effects of ionizing radiation in the peripheral blood lymphocytes of 60
industrial radiographers and 40 non-exposed individuals as the control group by
using cytokinesis-block micronucleus (CBMN) assay. Totally, the frequencies of
micronuclei (MN), nucleoplasmic bridges (NPBs) and nuclear buds (NBUDs) were
significantly higher in the industrial radiographers than in the controls (p =
0.000). The mean MN frequency per 1000 binucleated cells in the industrial
radiographers with last 5-y radiation dose of >100 mSv was significantly higher
than those with <=100 mSv (34.81 +/- 12.70/00 vs. 26.33 +/- 7.940/00, p = 0.024).
The effect of age was observed in the control group and subjects with the age of
>30 y showed significantly higher MN frequency compared with the subjects with
the age of <=30 y (9.45 +/- 3.710/00 vs. 6.81 +/- 3.050/00, p = 0.02). No obvious
trend of increased MN as a function of either duration of employment or age or
smoking status was observed in the industrial radiographers. The results show the
increased levels of cytogenetic damages in the industrial radiographers. Even the
workers exposed to the permissible doses are subjected to elevated frequencies of
DNA damages. These findings confirm the importance of cytogenetic biomonitoring
program beside physical dosimetry, surveying radiation safety of equipment and
periodic training of workers for improvement of safety and radiation protection.
PMID- 27881794
TI - First Map of Residential Indoor Radon Measurements in Azerbaijan.
AB - This article describes results of the first measurements of indoor radon
concentrations in Azerbaijan, including description of the methodology and the
mathematical and statistical processing of the results obtained. Measured radon
concentrations varied considerably: from almost radon-free houses to around 1100
Bq m-3. However, only ~7% of the total number of measurements exceeded the
maximum permissible concentrations. Based on these data, maps of the distribution
of volumetric activity and elevated indoor radon concentrations in Azerbaijan
were created. These maps reflect a mosaic character of distribution of radon and
enhanced values that are confined to seismically active areas at the intersection
of an active West Caspian fault with sub-latitudinal faults along the Great and
Lesser Caucasus and the Talysh mountains. Spatial correlation of radon and
temperature behavior is also described. The data gathered on residential indoor
radon have been integrated into the European Indoor Radon Map.
PMID- 27881796
TI - Isolated digital necrotizing fasciitis: an unusual presentation.
PMID- 27881795
TI - Microdosimetric Measurements in Gamma and neutron Fields with a Tissue Equivalent
Proportional Counter Based on a Gas Electron Multiplier.
AB - A multi-element tissue-equivalent proportional counter (TEPC), based on a single
gas electron multiplier (GEM) foil of standard geometry, has been constructed
with 16 cylindrical sensitives volumes. In this article, the design of this novel
counter is described and first microdosimetric measurements are presented. To
study the response of the GEM-TEPC to both low and high linear energy transfer
radiation fields, the microdosimetric spectra due to a 137Cs gamma-ray source and
to fast neutrons from 7Li(d,n)8Be reaction have been measured using pure propane
gas at low pressure, in order to simulate a tissue site of about 1 um equivalent
size. The comparison with spectra measured with a spherical TEPC and with a mini
TEPC demonstrates promising properties for application of the GEM-TEPC for
microdosimetric applications.
PMID- 27881797
TI - Deterioration of Vestibular Cells in Labyrinthitis.
AB - OBJECTIVE: To quantitatively assess the effect of serous labyrinthitis,
suppurative labyrinthitis, and labyrinthitis ossificans on vestibular hair cells,
dark cells, and transitional cells. METHODS: We examined human temporal bone
specimens with serous labyrinthitis, suppurative labyrinthitis, and labyrinthitis
ossificans, then compared them with age-matched control groups without
labyrinthitis. We evaluated the density of type I and II vestibular hair cells,
dark cells, and transitional cells in the peripheral sensorial organs. RESULTS:
The mean density of type I vestibular hair cells in the maculae of the saccule
significantly differed between the serous labyrinthitis group and its control
group. The loss of type I and II vestibular hair cells in all of the peripheral
sensorial organs was significantly higher in the suppurative labyrinthitis group
than in its control group. The mean density of dark cells in the lateral and
posterior semicircular canals was significantly lower in the suppurative
labyrinthitis group than in its control group. The mean density of type I and II
vestibular hair cells, dark cells, and transitional cells was significantly lower
in the labyrinthitis ossificans group than in its control group. CONCLUSION: The
loss of vestibular hair cells and degenerative changes in dark cells and
transitional cells could affect vestibular function in patients with
labyrinthitis.
PMID- 27881798
TI - Acclimation of leaf water status and stem hydraulics to drought and tree
neighbourhood: alternative strategies among the saplings of five temperate
deciduous tree species.
AB - Adjustment in leaf water status parameters and modification in xylem structure
and functioning can be important elements of a tree's response to continued water
limitation. In a growth trial with saplings of five co-occurring temperate broad
leaved tree species (genera Fraxinus, Acer, Carpinus, Tilia and Fagus) conducted
in moist or dry soil, we compared the drought acclimation in several leaf water
status and stem hydraulic parameters. Considering the extremes in the species
responses, Fraxinus excelsior L. improved its leaf tissue hydration in the dry
treatment through osmotic, elastic and apoplastic adjustment while Fagus
sylvatica L. solely modified its xylem anatomy, which resulted in increased
embolism resistance at the cost of hydraulic efficiency. Our results demonstrate
the contrasting response strategies of coexisting tree species and how variable
trait plasticity among species can be. The comparison of plants grown either in
monoculture or in five-species mixture showed that the neighbouring species
diversity can significantly influence a tree's hydraulic architecture and leaf
water status regulation. Droughted Carpinus betulus L. (and to a lesser extent,
Acer pseudoplatanus L.) plants developed a more efficient stem hydraulic system
in heterospecific neighbourhoods, while that of F. sylvatica was generally more
efficient in conspecific than heterospecific neighbourhoods. We conclude that co
occurring tree species may develop a high diversity of drought-response
strategies, and exploring the full diversity of trait characteristics requires
synchronous study of acclimation at the leaf and stem (and possibly also the
root) levels, and consideration of physiological as well as morphological and
anatomical modifications.
PMID- 27881799
TI - Water-deficit and fungal infection can differentially affect the production of
different classes of defense compounds in two host pines of mountain pine beetle.
AB - Bark beetles are important agents of tree mortality in conifer forests and their
interaction with trees is influenced by host defense chemicals, such as
monoterpenes and phenolics. Since mountain pine beetle (Dendroctonus ponderosae
Hopkins) has expanded its host range from lodgepole pine (Pinus contorta Doug. ex
Loud. (var. latifolia Engelm.))-dominated forests to the novel jack pine (Pinus
banksiana Lamb.) forests in western Canada, studies investigating the jack pine
suitability as a host for this beetle have exclusively focused on monoterpenes,
and whether phenolics affect jack pine suitability to mountain pine beetle and
its symbiotic fungus Grosmannia clavigera is unknown. We investigated the
phenolic and monoterpene composition in phloem and foliage of jack and lodgepole
pines, and their subsequent change in response to water deficit and G. clavigera
inoculation treatments. In lodgepole pine phloem, water deficit treatment
inhibited the accumulation of both the total and richness of phenolics, but had
no effect on total monoterpene production or richness. Fungal infection also
inhibited the total phenolic production and had no effect on phenolic or
monoterpene richness, but increased total monoterpene synthesis by 71%. In jack
pine phloem, water deficit treatment reduced phenolic production, but had no
effect on phenolic or monoterpene richness or total monoterpenes. Fungal
infection did not affect phenolic or monoterpene production. Lesions of both
species contained lower phenolics but higher monoterpenes than non-infected
phloem in the same tree. In both species, richness of monoterpenes and phenolics
was greater in non-infected phloem than in lesions. We conclude that monoterpenes
seem to be a critical component of induced defenses against G. clavigera in both
jack and lodgepole pines; however, a lack of increased monoterpene response to
fungal infection is an important evolutionary factor defining jack pine
suitability to the mountain pine beetle invasion in western Canada.
PMID- 27881800
TI - Genetic stability of physiological responses to defoliation in a eucalypt and
altered chemical defence in regrowth foliage.
AB - Defoliation may initiate physiological recovery and chemical defence mechanisms
that allow a plant to improve fitness after damage. Such responses may result in
changes in plant resource allocation that influence growth and foliar chemistry.
In this study, we investigated the nature and stability of the defoliation
response of juvenile plants from three divergent populations of Eucalyptus
globulus Labill. A partial defoliation treatment that removed all upper crown
leaves and the apical buds was applied to plants sourced from eight families from
each of three populations representing contrasting chemical resistance to
mammalian herbivory. Growth, photosynthetic rate and chlorophyll content were
assessed pre-defoliation and periodically up to 12 weeks post-defoliation. The
content of key plant primary and secondary metabolites was assessed pre
defoliation, at 12 weeks post-defoliation in the old foliage (positioned below
the point of defoliation) and in the new foliage of the control plants and
regrowth (from axillary buds) on the defoliated plants. There were clear
treatment impacts on physiological responses, growth and foliar chemical traits,
but despite significant constitutive differences in physiology, growth and
chemistry the three E. globulus populations did not vary in their response to
foliage loss. Distinct physiological responses to defoliation were observed with
treatment plants showing significant up-regulation of photosynthetic rate and
increased chlorophyll content in the old foliage remaining in the lower crown.
There was a significant increase in the concentrations of a number of foliar
chemical compounds in the regrowth arising from previously dormant axillary buds
compared with new growth derived from apical meristems. There were changes in
biomass allocation; defoliated plants had increased branching and leaf biomass,
with changes in regrowth morphology to increase light capture. This study argues
for multiple responses of E. globulus juveniles to defoliation involving apical
bud loss, including elevated chemical defences matched with increased growth.
From a chemical defence perspective, these responses create an enhanced chemical
mosaic to the herbivore, with leaves remaining after partial browsing potentially
being more palatable than the regrowth. This study demonstrates the multiple
independent strategies plants may use to respond to partial defoliation and
emphasizes the dynamic interplay between growth and defence in the recovery
response.
PMID- 27881801
TI - (In)validating experimentally derived knowledge about influenza A defective
interfering particles.
AB - A defective interfering particle (DIP) in the context of influenza A virus is a
virion with a significantly shortened RNA segment substituting one of eight full
length parent RNA segments, such that it is preferentially amplified. Hence, a
cell co-infected with DIPs will produce mainly DIPs, suppressing infectious virus
yields and affecting infection kinetics. Unfortunately, the quantification of
DIPs contained in a sample is difficult because they are indistinguishable from
standard virus (STV). Using a mathematical model, we investigated the standard
experimental method for counting DIPs based on the reduction in STV yield
(Bellett & Cooper, 1959, Journal of General Microbiology 21, 498-509
(doi:10.1099/00221287-21-3-498)). We found the method is valid for counting DIPs
provided that: (i) an STV-infected cell's co-infection window is approximately
half its eclipse phase (it blocks infection by other virions before it begins
producing progeny virions), (ii) a cell co-infected by STV and DIP produces less
than 1 STV per 1000 DIPs and (iii) a high MOI of STV stock (more than 4 PFU per
cell) is added to perform the assay. Prior work makes no mention of these
criteria such that the method has been applied incorrectly in several
publications discussed herein. We determined influenza A virus meets these
criteria, making the method suitable for counting influenza A DIPs.
PMID- 27881802
TI - Elongated magnetite nanoparticle formation from a solid ferrous precursor in a
magnetotactic bacterium.
AB - Magnetotactic bacteria are aquatic microorganisms that intracellularly mineralize
ferrimagnetic nanoparticles enabling the cells to align with the geomagnetic
field. The bacteria produce a magnetic mineral of species-specific phase
(magnetite Fe(II)Fe(III)2O4 or greigite Fe(II)Fe(III)2S4), size, morphology and
particle assembly. Several species produce crystals of unusual elongated particle
shapes, which break the symmetry of the thermodynamically favoured isometric
morphology. Such morphologies are thought to affect domain size and orientation
of the internal magnetization. Therefore, they are interesting study objects to
develop new synthetic strategies for the morphological control of nanoparticles.
We investigate the formation of such irregularly shaped nanomagnets in the
species Desulfovibrio magneticus RS-1. In contrast to previously described
organisms, this bacterium accumulates iron predominantly as Fe(II) rather than
Fe(III) consistent with an alternative oxidative biomineralization route.
Further, using high-resolution electron microscopy, we observe an epitaxial
relationship between precursor and the final mineral phase supporting the notion
of a solid-state transformation pathway. The precursor is likely a green rust
previously thought to convert to magnetite only by dissolution and re
precipitation. Our findings represent a novel observation in the interconversion
of iron (oxyhydr)oxide materials and suggest that solid-state growth processes
could be required to produce irregularly shaped, elongated magnetite
nanocrystals.
PMID- 27881803
TI - Inferring animal social networks and leadership: applications for passive
monitoring arrays.
AB - Analyses of animal social networks have frequently benefited from techniques
derived from other disciplines. Recently, machine learning algorithms have been
adopted to infer social associations from time-series data gathered using remote,
telemetry systems situated at provisioning sites. We adapt and modify existing
inference methods to reveal the underlying social structure of wide-ranging
marine predators moving through spatial arrays of passive acoustic receivers.
From six months of tracking data for grey reef sharks (Carcharhinus
amblyrhynchos) at Palmyra atoll in the Pacific Ocean, we demonstrate that some
individuals emerge as leaders within the population and that this behavioural
coordination is predicted by both sex and the duration of co-occurrences between
conspecifics. In doing so, we provide the first evidence of long-term, spatially
extensive social processes in wild sharks. To achieve these results, we
interrogate simulated and real tracking data with the explicit purpose of drawing
attention to the key considerations in the use and interpretation of inference
methods and their impact on resultant social structure. We provide a modified
translation of the GMMEvents method for R, including new analyses quantifying the
directionality and duration of social events with the aim of encouraging the
careful use of these methods more widely in less tractable social animal systems
but where passive telemetry is already widespread.
PMID- 27881804
TI - Upgrading of automobile shredder residue via innovative granulation process
'ReGran'.
AB - Stricter regulatory requirements concerning end-of-life vehicles and rising
disposal costs necessitate new ways for automobile shredder residue utilisation.
The shredder granulate and fibres, produced by the VW-SICON-Process, have a high
energy content of more than 20 MJ kg-1, which makes energy recovery an
interesting possibility. Shredder fibres have a low bulk density of 60 kg m-3,
which prevents efficient storing and utilisation as a refuse-derived fuel. By
mixing fibres with plastic-rich shredder granulate and heating the mixture,
defined granules can be produced. With this 'ReGran' process, the bulk density
can be enhanced by a factor of seven by embedding shredder fibres in the
partially melted plastic mass. A minimum of 26-33 wt% granulate is necessary to
create enough melted plastic. The process temperature should be between 240
degrees C and 250 degrees C to assure fast melting while preventing extensive
outgassing. A rotational frequency of the mixing tool of 1000 r min-1 during
heating and mixing ensures a homogenous composition of the granules. During
cooling, lower rotational frequencies generate bigger granules with particles
sizes of up to 60 mm at 300 r min-1. To keep outgassing to a minimum, it is
suggested to melt shredder granulate first and then add shredder fibres. Adding
coal, wood or tyre fluff as a third component reduces chlorine levels to less
than 1 wt%. The best results can be achieved with tyre fluff. In combination with
the VW-SICON-Process, ReGran produces a solid recovered fuel or 'design fuel'
tailored to the requirements of specific thermal processes.
PMID- 27881805
TI - Corrosion resistance and biological activity of TiO2 implant coatings produced in
oxygen-rich environments.
AB - The physical and chemical properties of bio-titanium alloy implant surfaces play
an important role in their corrosion resistance and biological activity. New
turning and turning-rolling processes are presented, employing an oxygen-rich
environment in order to obtain titanium dioxide layers that can both protect
implants from corrosion and also promote cell adhesion. The surface topographies,
surface roughnesses and chemical compositions of the sample surfaces were
obtained using scanning electron microscopy, a white light interferometer, and
the Auger electron spectroscopy, respectively. The corrosion resistance of the
samples in a simulated body fluid was determined using electrochemical testing.
Biological activity on the samples was also analyzed, using a vitro cell culture
system. The results show that compared with titanium oxide layers formed using a
turning process in air, the thickness of the titanium oxide layers formed using
turning and turning-rolling processes in an oxygen-rich environment increased by
4.6 and 7.3 times, respectively. Using an oxygen-rich atmosphere in the rolling
process greatly improves the corrosion resistance of the resulting samples in a
simulated body fluid. On samples produced using the turning-rolling process,
cells spread quickly and exhibited the best adhesion characteristics.
PMID- 27881806
TI - How do gloves affect cutaneous sensibility in medical practice? Two new applied
tests.
AB - In order to quantify the effect of medical gloves on tactile performance, two new
Simulated Medical Examination Tactile Tests (SMETT) have been developed to
replicate the tactile and haptic ability required in medical examinations: the
'Bumps' test and the 'Princess and the Pea' (P&P) test. A pilot study was carried
out using 30-40 subjects for each test in order to investigate the suitability of
the tests for medical glove evaluation. Tests were performed with latex and
nitrile examination gloves and without gloves. Following the tests, small-scale
studies were carried out to investigate the effect of various design parameters,
such as material stiffness and tactile exploration method. In the 'Bumps' test,
subjects performed significantly better in the ungloved condition, and there were
'almost significant' differences between the gloves, with the thinner latex
gloves performing better than the thicker nitrile gloves. Both finger orientation
and surface lubrication were found to have a significant effect on results,
indicating that these need to be clearly defined in the test procedure. In the
'P&P' test, no significant effect of hand condition was found, suggesting that
haptic sensing is less affected by medical gloves than cutaneous sensibility.
Other factors such as material stiffness, technique and test orientation had a
more significant effect. The SMETT 'Bumps' test has potential as a clinical
manual performance evaluation tool and may be used to evaluate the relative
effects of different gloves. The SMETT 'P&P' test is a valid measure of haptic or
tactile performance, but should not be used in glove evaluation. Both tests could
have further applications, such as in the assessment of neurological impairment
or aptitude testing for potential surgeons.
PMID- 27881807
TI - Sarcopenia Is Highly Prevalent in Older Medical Patients With Mobility
Limitation.
AB - BACKGROUND: The association of sarcopenia with disability with ambulatory status
is uncertain because most studies have targeted people who could walk
independently. This study explored the prevalence of sarcopenia regardless of
ambulatory status and the impact of ambulatory status on sarcopenia. MATERIALS
AND METHODS: In total, 778 consecutive patients, aged >=65 years and admitted to
a hospital, were enrolled. Ambulatory status was divided into 4 grades according
to mobility as described in the Barthel index. Sarcopenia was defined as a loss
of appendicular muscle mass index (AMI) with bioelectrical impedance and
decreased muscle strength with handgrip strength (HGS); cutoff values were
adopted from the Asian Working Group for Sarcopenia. RESULTS: The mean patient
age was 83.2 +/- 8.3 years; 37.8% were male patients. Mobility limitation was
associated with higher age, underweight body mass index, malnourishment, and
comorbidities (all P < .001). AMI and HGS gradually decreased with declining
ambulatory status ( P < .001). The prevalence of sarcopenia in the independent
walk, walk with help, wheelchair, and immobile groups was 57.9%, 76.1%, 89.4%,
and 91.7%, respectively. AMI prevalence declined and sarcopenia drastically
increased in patients who were unable to walk independently compared with those
who could walk independently ( P < .001). Multivariate regression analyses showed
that mobility limitation was an independent indicator of decreasing AMI and
sarcopenia after adjustment for confounders. CONCLUSION: Patients with dependent
ambulatory status experienced a higher prevalence of sarcopenia compared with
those with ambulation; in addition, decline in ambulatory status was an
independent indicator for the presence of sarcopenia after adjustment for
potential confounders.
PMID- 27881808
TI - Jellyfish-Like Accessory Mitral Valve Tissue Causing Near-Collapse in a Young
Child.
AB - Accessory mitral valve tissue (AMVT) causing left ventricular outflow tract
obstruction (LVOTO) is rare. We report a case of AMVT causing severe LVOTO
resulting in acutely progressive symptoms of near-collapse. Urgent surgical
resection eliminated the patient's life-threatening symptoms. AMVT should be
considered among potential LVOTO diagnoses, and early surgical intervention may
be required.
PMID- 27881809
TI - Situs Inversus Totalis: Single-Stage Anatomic Repair of Complex Congenital Heart
Disease.
AB - Transposition of the great arteries occurs rarely in patients with mirror image
dextrocardia and situs inversus, while the combination with severe coarctation of
the descending aorta (CoA) makes the anatomy even more unusual. Therefore, it is
not surprising that a case with such unusual and complicated anatomy presents
unique problems when a primary definitive correction is attempted. We report a
patient with situs inversus totalis and complex congenital heart disease
including transposition of the great arteries and severe CoA who underwent
successful complete, single-stage, anatomic correction.
PMID- 27881810
TI - An Unusual Case of Raghib Defect and Double-Orifice Tricuspid Valve.
AB - Raghib defect and double-orifice tricuspid valve are two rare congenital heart
defects. We report a case of a 42-year-old man with both Raghib defect and DOTV.
The patient underwent reroofing of the coronary sinus with an intra-atrial baffle
and annuloplasty of the tricuspid and mitral valves via median sternotomy.
PMID- 27881811
TI - Perceived Social Support and Physical Activity Among Patients With Coronary
Artery Disease.
AB - Adherence to recommended physical activity after a cardiac event is important to
prevent cardiac recurrence. Social support from peers and family is known to
improve self-care in chronic illnesses, including cardiovascular disease.
However, the relationship between social support from health care providers and
physical activity among patients with coronary artery disease remains unclear.
This cross-sectional study aimed to identify the relationship between perceived
social support from health care providers and physical activity among patients
with stable coronary artery disease. The study included 237 patients with stable
coronary artery disease attending an outpatient clinic. Hierarchical linear
regression found that perceived social support explained 12% of variance in
physical activity, F(14, 222) = 7.37, p < .001. In addition, self-efficacy
partially mediated the relationships between perceived social support and
physical activity. Abundant support from health care providers plays a key role
in promoting physical activity among patients with stable coronary artery
disease.
PMID- 27881812
TI - Pruritic nodular secondary syphilis in a 61-year-old man with HIV infection.
AB - The typical finding in secondary syphilis stage is a generalized non-pruritic
maculopapular eruption. We report a case of secondary syphilis in an HIV-infected
patient presenting with pruritic crusted nodules showing numerous eosinophils on
the histopathological examination.
PMID- 27881813
TI - Perspectives of US and Australian Hand Therapists About Pediatric Hand
Transplantation.
AB - INTRODUCTION: Pediatric hand transplantation (PHT), an investigational therapy,
was recently performed in the United States. RESEARCH QUESTIONS: Perspectives of
hand therapists about PHT patient selection (inclusion and exclusion criteria),
team configuration, patient assent, and patient compliance were explored. DESIGN:
Quantitative survey. We used a research ethics committee-approved 18-question e
link anonymous questionnaire to survey members of the American Society of Hand
Therapists and the Australian (AU) Hand Therapy Association for their
perspectives on PHT. RESULTS: All surveyed hand therapists work with children (n
= 18 Australia [AU], n = 85 United States) and some had been involved in adult
hand transplant rehabilitation (28% AU, 21% United States; P = .543, not
significant (NS)). The US and AU therapists differ regarding their opinions on
multidisciplinary team membership, smoking as an exclusion criterion, and risk of
posttransplant rehabilitation noncompliance. DISCUSSION: This research opens a
dialogue on the clinical and ethical complexity of PHT, including team
configuration, inclusion/exclusion criteria, the assent process, and
rehabilitation access/compliance. Furthermore, international perspectives are
informative as they highlight funding and access issues and can potentially guide
global professional society policy.
PMID- 27881814
TI - Chronic Norovirus Infections in Cardiac Transplant Patients.
AB - Norovirus is a common self-limiting gastrointestinal infection, but in transplant
recipients, symptoms can last for months and result in serious health
complications. As there is currently no established treatment for chronic
norovirus infection in transplant patients, management has been directed at
symptom control, trial of various antivirals, and ultimately reductions in
immunosuppression. We present 3 cases of chronic norovirus infection in cardiac
transplant patients to illustrate various approaches to diagnosis, the prolonged
nature of disease symptoms, and treatment options. When managing a transplant
recipient with chronic diarrhea, considering a broad differential as well as
maintaining a high suspicion for infectious etiologies is key. A stepwise
approach to management includes termination of diarrhea-causing medications,
trials of nitazoxanide and immunoglobulin, and reductions in immunosuppressive
therapies. Although brief discontinuation of immunosuppression is often required
to achieve symptom, graft rejection is often a complication.
PMID- 27881815
TI - Investigation of Eligible Picture Categories for Use as Environmental Cues in
Dementia-Sensitive Environments.
AB - OBJECTIVES: Environmental cues, such as pictures, could be helpful in improving
room-finding and wayfinding abilities among older patients. The aim of this study
was to identify picture categories that are preferred and easily remembered by
older patients and cognitively impaired patients and which therefore might be
suitable for use as environmental cues in acute care settings. METHODS: Twelve
pictures were presented to a sample of older patients ( n = 37). The pictures
represented different categories: familiarity (familiar vs. unfamiliar), type of
shot (close-up vs. wide shot), and picture content (nature vs. animal vs. urban).
We tested the patients' votes of preference and abilities to identify and
immediately recall pictures. Cognitively impaired patients ( n = 14) were
assessed by the abbreviated mental test and the mini mental state examination and
were compared with patients without cognitive impairments ( n = 23) using a
repeated measures analysis of variance. RESULTS: The results showed a main effect
of familiarity on positive vote and recall of pictures. The absence of
interaction effects of familiarity and group indicated an overall impact of
familiarity on the sample. Within cognitively impaired patients, a significant
difference in recall of picture content between urban (20%) and animal (9%) was
found. CONCLUSIONS: Pictures, which patients were able to relate to in terms of
familiarity and the characteristics urban and nature, seem to be suitable for use
as environmental cues. Besides functioning as such, we assume, based on
literature, that pictures could further enhance the ambiance or serve as prompts
for communication and interaction.
PMID- 27881817
TI - Photoacoustic imaging sounds the alarm on liver fibrosis.
PMID- 27881816
TI - Hypothermia-treated neonates with hypoxic-ischemic encephalopathy: Optimal timing
of quantitative ADC measurement to predict disease severity.
AB - To determine the optimal time window for MR imaging with quantitative ADC
measurement in neonatal HIE after hypothermia treatment, a retrospective review
was performed on consecutive hypothermia-treated term neonates with HIE, with an
initial and follow-up MR imaging within the first two weeks of life. Three
neuroradiologists categorized each set of MR imaging as normal, mild, moderate or
severe HIE based on a consensus review of the serial imaging. The lowest ADC
values from the white matter, corpus callosum, and basal ganglia/thalamus were
measured. The ADC values between mild-moderate and severe HIE were compared using
a Student's t-test over a range of different time windows. A total of 33 MR
imaging examinations were performed on 16 neonates that included three normal,
four mild, five moderate, and four severe HIE. The time window of 3-10 days
showed a statistically significant decrease in ADC value in severe HIE compared
to mild-moderate HIE in all three locations, respectively: white matter 0.5 +/-
0.22 versus 0.83 +/- 0.27 ( p value 0.01), corpus callosum 0.69 +/- 0.19 versus
0.91 +/- 0.17 ( p value 0.01), and basal ganglia/thalamus 0.63 +/- 0.16 versus
0.98 +/- 0.06 ( p value <0.01). The range of 3-10 days is the optimal time window
for MR imaging with quantitative ADC after hypothermia treatment.
PMID- 27881818
TI - Bugs clogging your arteries? Take an anti-B2 shot.
PMID- 27881819
TI - Sweet signals and diabetes: Carbohydrate-binding proteins contribute to insulin
resistance.
PMID- 27881820
TI - Biomaterials-based biologic burst release builds better bone.
PMID- 27881821
TI - NIH's transformative opportunities for the behavioral and social sciences.
PMID- 27881823
TI - Nanobodies that block gating of the P2X7 ion channel ameliorate inflammation.
AB - Ion channels are desirable therapeutic targets, yet ion channel-directed drugs
with high selectivity and few side effects are still needed. Unlike small
molecule inhibitors, antibodies are highly selective for target antigens but
mostly fail to antagonize ion channel functions. Nanobodies-small, single-domain
antibody fragments-may overcome these problems. P2X7 is a ligand-gated ion
channel that, upon sensing adenosine 5'-triphosphate released by damaged cells,
initiates a proinflammatory signaling cascade, including release of cytokines,
such as interleukin-1beta (IL-1beta). To further explore its function, we
generated and characterized nanobodies against mouse P2X7 that effectively
blocked (13A7) or potentiated (14D5) gating of the channel. Systemic injection of
nanobody 13A7 in mice blocked P2X7 on T cells and macrophages in vivo and
ameliorated experimental glomerulonephritis and allergic contact dermatitis. We
also generated nanobody Dano1, which specifically inhibited human P2X7. In
endotoxin-treated human blood, Dano1 was 1000 times more potent in preventing IL
1beta release than small-molecule P2X7 antagonists currently in clinical
development. Our results show that nanobody technology can generate potent,
specific therapeutics against ion channels, confirm P2X7 as a therapeutic target
for inflammatory disorders, and characterize a potent new drug candidate that
targets P2X7.
PMID- 27881822
TI - Lowered H3K27me3 and DNA hypomethylation define poorly prognostic pediatric
posterior fossa ependymomas.
AB - Childhood posterior fossa (PF) ependymomas cause substantial morbidity and
mortality. These tumors lack recurrent genetic mutations, but a subset of these
ependymomas exhibits CpG island (CpGi) hypermethylation [PF group A (PFA)],
implicating epigenetic alterations in their pathogenesis. Further, histological
grade does not reliably predict prognosis, highlighting the importance of
developing more robust prognostic markers. We discovered global H3K27me3
reduction in a subset of these tumors (PF-ve ependymomas) analogous to H3K27M
mutant gliomas. PF-ve tumors exhibited many clinical and biological similarities
with PFA ependymomas. Genomic H3K27me3 distribution showed an inverse
relationship with CpGi methylation, suggesting that CpGi hypermethylation drives
low H3K27me3 in PF-ve ependymomas. Despite CpGi hypermethylation and global
H3K27me3 reduction, these tumors showed DNA hypomethylation in the rest of the
genome and exhibited increased H3K27me3 genomic enrichment at limited genomic
loci similar to H3K27M mutant gliomas. Combined integrative analysis of PF-ve
ependymomas with H3K27M gliomas uncovered common epigenetic deregulation of
select factors that control radial glial biology, and PF radial glia in early
human development exhibited reduced H3K27me3. Finally, H3K27me3 immunostaining
served as a biomarker of poor prognosis and delineated radiologically invasive
tumors, suggesting that reduced H3K27me3 may be a prognostic indicator in PF
ependymomas.
PMID- 27881824
TI - Two tissue-resident progenitor lineages drive distinct phenotypes of heterotopic
ossification.
AB - Fibrodysplasia ossificans progressiva (FOP), a congenital heterotopic
ossification (HO) syndrome caused by gain-of-function mutations of bone
morphogenetic protein (BMP) type I receptor ACVR1, manifests with progressive
ossification of skeletal muscles, tendons, ligaments, and joints. In this
disease, HO can occur in discrete flares, often triggered by injury or
inflammation, or may progress incrementally without identified triggers. Mice
harboring an Acvr1R206H knock-in allele recapitulate the phenotypic spectrum of
FOP, including injury-responsive intramuscular HO and spontaneous articular,
tendon, and ligament ossification. The cells that drive HO in these diverse
tissues can be compartmentalized into two lineages: an Scx+ tendon-derived
progenitor that mediates endochondral HO of ligaments and joints without
exogenous injury, and a muscle-resident interstitial Mx1+ population that
mediates intramuscular, injury-dependent endochondral HO. Expression of
Acvr1R206H in either lineage confers aberrant gain of BMP signaling and
chondrogenic differentiation in response to activin A and gives rise to mutation
expressing hypertrophic chondrocytes in HO lesions. Compared to Acvr1R206H,
expression of the man-made, ligand-independent ACVR1Q207D mutation accelerates
and increases the penetrance of all observed phenotypes, but does not abrogate
the need for antecedent injury in muscle HO, demonstrating the need for an injury
factor in addition to enhanced BMP signaling. Both injury-dependent intramuscular
and spontaneous ligament HO in Acvr1R206H knock-in mice were effectively
controlled by the selective ACVR1 inhibitor LDN-212854. Thus, diverse phenotypes
of HO found in FOP are rooted in cell-autonomous effects of dysregulated ACVR1
signaling in nonoverlapping tissue-resident progenitor pools that may be
addressed by systemic therapy or by modulating injury-mediated factors involved
in their local recruitment.
PMID- 27881827
TI - Limitations in interpreting assessment of depression in patients with advanced
cancer.
PMID- 27881825
TI - Effects of a gut pathobiont in a gnotobiotic mouse model of childhood
undernutrition.
AB - To model how interactions among enteropathogens and gut microbial community
members contribute to undernutrition, we colonized gnotobiotic mice fed
representative Bangladeshi diets with sequenced bacterial strains cultured from
the fecal microbiota of two 24-month-old Bangladeshi children: one healthy and
the other underweight. The undernourished donor's bacterial collection contained
an enterotoxigenic Bacteroides fragilis strain (ETBF), whereas the healthy
donor's bacterial collection contained two nontoxigenic strains of B. fragilis
(NTBF). Analyses of mice harboring either the unmanipulated culture collections
or systematically manipulated versions revealed that ETBF was causally related to
weight loss in the context of its native community but not when introduced into
the healthy donor's community. This phenotype was transmissible from the dams to
their offspring and was associated with derangements in host energy metabolism
manifested by impaired tricarboxylic acid cycle activity and decreased acyl
coenzyme A utilization. NTBF reduced ETBF's expression of its enterotoxin and
mitigated the effects of ETBF on the transcriptomes of other healthy donor
community members. These results illustrate how intraspecific (ETBF-NTBF) and
interspecific interactions influence the effects of harboring B. fragilis.
PMID- 27881826
TI - A soft, wearable microfluidic device for the capture, storage, and colorimetric
sensing of sweat.
AB - Capabilities in health monitoring enabled by capture and quantitative chemical
analysis of sweat could complement, or potentially obviate the need for,
approaches based on sporadic assessment of blood samples. Established sweat
monitoring technologies use simple fabric swatches and are limited to basic
analysis in controlled laboratory or hospital settings. We present a collection
of materials and device designs for soft, flexible, and stretchable microfluidic
systems, including embodiments that integrate wireless communication electronics,
which can intimately and robustly bond to the surface of the skin without
chemical and mechanical irritation. This integration defines access points for a
small set of sweat glands such that perspiration spontaneously initiates routing
of sweat through a microfluidic network and set of reservoirs. Embedded chemical
analyses respond in colorimetric fashion to markers such as chloride and
hydronium ions, glucose, and lactate. Wireless interfaces to digital image
capture hardware serve as a means for quantitation. Human studies demonstrated
the functionality of this microfluidic device during fitness cycling in a
controlled environment and during long-distance bicycle racing in arid, outdoor
conditions. The results include quantitative values for sweat rate, total sweat
loss, pH, and concentration of chloride and lactate.
PMID- 27881828
TI - "Hope for the best, prepare for the worst": A qualitative interview study on
parents' needs and fears in pediatric advance care planning.
AB - BACKGROUND: Pediatric advance care planning is advocated by healthcare providers
because it may increase the chance that patient and/or parent wishes are
respected and thus improve end-of-life care. However, since end-of-life decisions
for children are particularly difficult and charged with emotions, physicians are
often afraid of addressing pediatric advance care planning. AIM: We aimed to
investigate parents' views and needs regarding pediatric advance care planning.
DESIGN: We performed a qualitative interview study with parents of children who
had died from a severe illness. The interviews were analyzed by descriptive and
evaluation coding according to Saldana. SETTING/PARTICIPANTS: We conducted semi
structured interviews with 11 parents of 9 children. Maximum variation was sought
regarding the child's illness, age at death, care setting, and parent gender.
RESULTS: Parents find it difficult to engage in pediatric advance care planning
but consider it important. They argue for a sensitive, individualized, and
gradual approach. Hope and quality of life issues are primary. Parents have many
non-medical concerns that they want to discuss. Written advance directives are
considered less important, but medical emergency plans are viewed as necessary in
particular cases. Continuity of care and information should be improved through
regular pediatric advance care planning meetings with the various care providers.
Parents emphasize the importance of a continuous contact person to facilitate
pediatric advance care planning. CONCLUSION: Despite a need for pediatric advance
care planning, it is perceived as challenging. Needs-adjusted content and process
and continuity of communication should be a main focus in pediatric advance care
planning. Future research should focus on strategies that facilitate parent
engagement in pediatric advance care planning to increase the benefit for the
families.
PMID- 27881829
TI - Biomechanical Comparison of Fixation Devices for First Metatarsocuneiform Joint
Arthrodesis.
AB - : Common surgical treatment of first tarsal-metatarsal arthritis is by first
metatarsocuneiform joint arthrodesis. While crossed-screw and locking plate
fixation are the most widely used methods, a novel construct was designed to
alleviate soft tissue irritation while still providing stable fixation. Using
anatomic first metatarsal and medial cuneiform composites, we compared 3
arthrodesis implants (crossed-screw, dorsal locking plate, and IO Fix) under 2
cyclic bending loading scenarios (cantilever and 4-point bending). Additionally,
the optimal orientation (plantar-dorsal or dorsal-plantar) of the IO Fix
construct was determined. Failure load, diastasis, joint space angle, and axial
and angular stiffness were determined. Both crossed-screw fixation and the IO Fix
constructs experienced significantly higher failure loads than the dorsal locking
plate during both loading scenarios. Additionally, they had lower plantar
diastasis and joint space angle at failure than the plate. Moreover, the plantar
dorsal IO Fix construct was significantly stiffer than the crossed-screw during
cantilever bending. Finally, the plantar-dorsal orientation of the IO Fix device
had higher failure load and lower diastasis and angle at failure than in the
dorsal-plantar orientation. The results suggest that the IO Fix system can reduce
motion at the interfragmentary site and ensure compression for healing comparable
to that of the crossed-screw fixation. LEVELS OF EVIDENCE: Level V: Bench
testing.
PMID- 27881830
TI - Repair of Chronic Achilles Ruptures Has a High Incidence of Venous
Thromboembolism.
AB - BACKGROUND: Despite the low incidence of deep vein thrombosis (DVT) in foot and
ankle surgery, some authors report a high incidence of symptomatic DVT following
Achilles tendon rupture. The purpose of this study was to identify DVT risk
factors inherent to Achilles tendon repair to determine which patients may
benefit from prophylaxis. METHODS: One hundred and thirteen patient charts were
reviewed following elective and nonelective Achilles tendon repair. For elective
repair of insertional or noninsertional Achilles tendinopathy, parameters
examined included lateral versus prone positioning and the presence versus
absence of a flexor hallucis longus transfer. For nonelective repair, acute
Achilles tendon ruptures were compared to chronic Achilles tendon ruptures.
RESULTS: Of 113 Achilles tendon repairs, 3 venous thromboembolism (VTE) events
(2.65%) occurred including 2 pulmonary emboli (1.77%). Seventeen of these repairs
were chronic Achilles tendon ruptures, and all 3 VTE events (17.6%) occurred
within this subgroup. Elevated body mass index was associated with VTE in
patients with chronic Achilles ruptures although this did not reach significance
( P = .064). No VTE events were reported after repair of 28 acute tendon ruptures
or after 68 elective repairs of tendinopathy. Two patients with misdiagnosed
partial Achilles tendon tears were excluded because they experienced a VTE event
3 weeks and 5 weeks after injury, prior to surgery. CONCLUSION: In our
retrospective review, chronic Achilles ruptures had a statistically significant
higher incidence of VTE compared with acute Achilles ruptures ( P = .048) or
elective repair ( P = .0069). Pharmaceutical anticoagulation may be considered
for repair of chronic ruptures. Repair of acute ruptures and elective repair may
not warrant routine prophylaxis due to a lower incidence of VTE. LEVELS OF
EVIDENCE: Prognostic, Level III: Case Control Study.
PMID- 27881831
TI - The Effect of Suture Anchor Insertion Angle on Calcaneus Pullout Strength:
Challenging the Deadman's Angle.
AB - BACKGROUND: Refractory cases of Achilles tendinopathy amenable to surgery may
include reattachment of the tendon using suture anchors. However, there is
paucity of information describing the optimal insertion angle to maximize the
tendon footprint and anchor stability in the calcaneus. The purpose of this
investigation is to compare the fixation strength of suture anchors inserted at
90 degrees and 45 degrees (the Deadman's angle) relative to the primary
compressive trabeculae of the calcaneus. METHODS: A total of 12 matched pairs of
adult cadaveric calcanei were excised and potted to approximate their alignment
in vivo. Each pair was implanted with 5.5-mm bioabsorbable suture anchors placed
either perpendicular (90 degrees ) or oblique (45 degrees ) to the primary
compressive trabeculae. A tensile load was applied until failure of anchor
fixation. Differences in failure load and stiffness between anchor fixation
angles were determined by paired t-tests. RESULTS: No significant differences
were detected between perpendicular and oblique suture anchor insertion relative
to primary compressive trabeculae in terms of load to failure or stiffness.
CONCLUSION: This investigation suggests that the fixation strength of suture
anchors inserted perpendicular to the primary compression trabeculae and at the
Deadman's angle are possibly comparable. LEVELS OF EVIDENCE: Biomechanical
comparison study.
PMID- 27881832
TI - Hematogenous Calcaneal Osteomyelitis in Children.
AB - : Osteomyelitis in children commonly affects long bones such as the femur, tibia,
and humerus. There have been relatively few documented studies of osteomyelitis
at unusual locations, such as the calcaneus. The objective of this study is to
systematically review information on the diagnostic and treatment methods of
calcaneal osteomyelitis as well as associated complications. Methods included
research database searches using primarily PubMed and EMBASE databases. Results
of the review show no clear approach to diagnosis and treatment of calcaneal
osteomyelitis in children. Clinical presentation of refusal to bear weight was
the most common clinical symptom. Magnetic resonance imaging was 100% diagnostic
in studies that used this modality, compared with X-rays, which were 14%-71.4%
diagnostic. Blood cultures were diagnostic in 27% to 55% of cases, and
erythrocyte sedimentation rate was elevated in 79% to 97% of cases. Methicillin
sensitive Staphylococcus aureus was the most common cultured organism. Treatment
involved either antibiotics alone or in combination with surgical
debridement/evacuation. Penicillin, penicillin derivatives, cephalosporins,
clindamycin, and chloramphenicol were the most commonly used antibiotics, with
duration varying from 5 days to 10 weeks. The most common complication was
recurrent osteomyelitis. LEVELS OF EVIDENCE: III.
PMID- 27881834
TI - An unusual case of repeated splenectomy: traumatic rupture of an accessory spleen
in a previously splenectomized patient.
AB - : The traumatic rupture of an accessory spleen is a very rare condition and only
few cases have been reported in the literature. We describe the case of a 51-year
old man undergone splenectomy for trauma several years before, who developed
hemoperitoneum due to a laceration of a voluminous accessory spleen, following an
accidental two-meter fall. As a conservative management of the injury was not
possible, an accessory splenectomy was then required. Thus, a briefly review of
the literature about this uncommon topic was perfomed. KEY WORDS: Accessory
spleen, Laparotomy, Trauma.
PMID- 27881833
TI - Apixaban for treatment of embolic stroke of undetermined source (ATTICUS
randomized trial): Rationale and study design.
AB - Rationale Optimal secondary prevention of embolic stroke of undetermined source
is not established. The current standard in these patients is acetylsalicylic
acid, despite high prevalence of yet undetected paroxysmal atrial fibrillation.
Aim The ATTICUS randomized trial is designed to determine whether the factor Xa
inhibitor apixaban administered within 7 days after embolic stroke of
undetermined source, is superior to acetylsalicylic acid for prevention of new
ischemic lesions documented by brain magnetic resonance imaging within 12 months
after index stroke. Design Prospective, randomized, blinded, parallel-group, open
label, German multicenter phase III trial in approximately 500 patients with
embolic stroke of undetermined source. A key inclusion criterion is the presence
or the planned implantation of an insertable cardiac monitor. Patients are 1:1
randomized to apixaban or acetylsalicylic acid and treated for a 12-month period.
It is an event-driven trial aiming for core-lab adjudicated primary outcome
events. Study outcomes The primary outcome is the occurrence of at least one new
ischemic lesion identified by axial T2-weighted FLAIR magnetic resonance imaging
and/or axial DWI magnetic resonance imaging at 12 months when compared with the
baseline magnetic resonance imaging. Key secondary outcomes are the combination
of recurrent ischemic strokes, hemorrhagic strokes, systemic embolism;
combination of MACE including recurrent stroke, myocardial infarction, and
cardiovascular death and combination of major and clinically relevant non-major
bleeding defined according to ISTH, and change of cognitive function and quality
of life (EQ-5D, Stroke Impact Scale). Discussion Embolic stroke of undetermined
source is caused by embolic disease and associated with a high risk of recurrent
ischemic strokes and clinically silent cerebral ischemic lesions. ATTICUS will
investigate the impact of atrial fibrillation detected by insertable cardiac
monitor and the effects of early anticoagulation with apixaban compared with
antiplatelet therapy with acetylsalicylic acid on the incidence of new ischemic
lesion after embolic stroke of undetermined source.
PMID- 27881835
TI - Leptospirosis-Associated Severe Pulmonary Hemorrhagic Syndrome with Lower Back
Pain as an Initial Symptom.
AB - BACKGROUND Leptospirosis is a zoonosis transmitted through urine of infected
animals. Symptoms range from mild influenza-like symptoms to severe pulmonary
hemorrhagic syndrome (SPHS); the latter are often fatal. The serogroup
distribution in Denmark has changed from 1988 to 2012, with Icterohaemorrhagiae
and Sejroe now being predominant. CASE REPORT A 45-year-old Danish woman living
in an area endemic for Hanta virus, without prior medical history, was admitted
because of lower back pain radiating to the left hip, fever, headache, nausea,
and malaise. Two weeks before admission she had been bitten by a mouse or a rat.
Blood tests revealed raised white cells and CRP, electrolyte imbalances, raised
creatinine, low thrombocytes, and a slightly decreased clotting factor (II, VII,
and X). Treatment with broad-spectrum intravenous antibiotics and supporting
therapy was initiated very quickly. Eight hours after admission she died from
respiratory failure where severe hemoptysis was observed. Leptospiral DNA was
later detected in a urine sample. CONCLUSIONS This case represents leptospirosis
with severe pulmonary hemorrhagic syndrome. In spite of immediate treatment with
broad-spectrum antibiotics, the patient died a few hours after hospital
admission.
PMID- 27881836
TI - Relationship Between Lesions in Adenomatous Polyp-Dysplasia-Colorectal Cancer
Sequence and Neutrophil-to-Lymphocyte Ratio.
AB - BACKGROUND The aim of our study was to evaluate all lesions in the adenoma
dysplasia-cancer sequence of the colon and to examine whether the neutrophil-to
lymphocyte ratio (NLR) can distinguish polyps indicating dysplasia and cancer.
MATERIAL AND METHODS A total of 397 patients who had colonoscopic polypectomy
between January 2010 and December 2014 were included in our retrospective study.
The patients were divided into four groups: patients with hyperplastic polyps,
patients with adenomatous polyps, patients with dysplasia, and patients with
cancer. The NLR was calculated as a simple ratio indicating the relationship
between counts of absolute neutrophil and absolute lymphocyte. RESULTS The NLR
increased in line with the adenomatous polyp-dysplasia-cancer sequence, with the
highest ratio established among cancer patients (2.05 (0.27-10), 2.34 (0.83
14.70) and 3.25 (0.81-10.0), respectively). The NLR was significantly higher
among cancer patients than among patients with adenomatous polyps and
hyperplastic polyps (p values were 0.001 and 0.004, respectively). The lymphocyte
count of cancer patients was prominently lower when compared to those in groups
with adenomatous polyps and hyperplastic polyps (p values were 0.001 and 0.003,
respectively). The NLR was found to be significantly higher in patients with
polyps larger than 10 mm [2.71 (0.90-14.70)] when compared to those with polyps
smaller than 10 mm [2.28 (0.27-11.67)] (p<0.001). With the NLR threshold set at
2.20, it was possible to predict cancerous polyps with a sensitivity of 71.4% and
a specificity of 52.5% (AUC: 0.665, 95% CI: 0.559-0.772, p=0.001). CONCLUSIONS
NLR is a cheap, universally available, simple and reliable test that can help
predict cancerous polyps. It can be used as a non-invasive test for monitoring
polyps.
PMID- 27881837
TI - Systemic and mucosal immune responses following oral adenoviral delivery of
influenza vaccine to the human intestine by radio controlled capsule.
AB - There are several benefits of oral immunization including the ability to elicit
mucosal immune responses that may protect against pathogens that invade through a
mucosal surface. Our understanding of human immune biology is hampered by the
difficulty in isolating mucosal cells from humans, and the fact that animal
models may or may not completely mirror human intestinal immunobiology. In this
human pharmacodynamic study, a novel adenovirus vector-based platform expressing
influenza hemagglutinin was explored. We used radio-controlled capsules to
deliver the vaccine to either the jejunum or the ileum. The resulting immune
responses induced by immunization at each of the intestinal sites were
investigated. Both intestinal sites were capable of inducing mucosal and systemic
immune responses to influenza hemagglutinin, but ileum delivery induced higher
numbers of antibody secreting cells of IgG and IgA isotypes, increased mucosal
homing B cells, and higher number of vaccine responders. Overall, these data
provided substantial insights into human mucosal inductive sites, and aided in
the design and selection of indications that could be used with this oral vaccine
platform.
PMID- 27881838
TI - Fabrication of Ion-Shaped Anisotropic Nanoparticles and their Orientational
Imaging by Second-Harmonic Generation Microscopy.
AB - Ion beam shaping is a novel and powerful tool to engineer nanocomposites with
effective three-dimensional (3D) architectures. In particular, this technique
offers the possibility to precisely control the size, shape and 3D orientation of
metallic nanoparticles at the nanometer scale while keeping the particle volume
constant. Here, we use swift heavy ions of xenon for irradiation in order to
successfully fabricate nanocomposites consisting of anisotropic gold nanoparticle
that are oriented in 3D and embedded in silica matrix. Furthermore, we
investigate individual nanorods using a nonlinear optical microscope based on
second-harmonic generation (SHG). A tightly focused linearly or radially
polarized laser beam is used to excite nanorods with different orientations. We
demonstrate high sensitivity of the SHG response for these polarizations to the
orientation of the nanorods. The SHG measurements are in excellent agreement with
the results of numerical modeling based on the boundary element method.
PMID- 27881840
TI - A combined linkage and association strategy identifies a variant near the GSTP1
gene associated with BMI in the Mexican population.
AB - Obesity is a major public health concern in Mexico and worldwide. Although the
estimated heritability is high, common variants identified by genome-wide
association studies explain only a small proportion of this heritability. A
combination of linkage and association strategies could be a more robust and
powerful approach to identify other obesity-susceptibility variants. We thus
sought to identify novel genetic variants associated with obesity-related traits
in the Mexican population by combining these methods. We performed a genome-wide
linkage scan for body mass index (BMI) and other obesity-related phenotypes in 16
Mexican families using the Sequential Oligogenic Linkage Analysis Routines
Program. Associated single-nucleotide polymorphisms (SNPs) were tested for
associations in an independent cohort. Two suggestive BMI-linkage peaks
(logarithm of odds ?1.5) were observed at chromosomal regions 11q13 and 13q22.
Only rs614080 in the 11q13 region was significantly associated with BMI and
related traits in these families. This association was also significant in an
independent cohort of Mexican adults. Moreover, this variant was significantly
associated with GSTP1 gene expression levels in adipose tissue. In conclusion,
the rs614080 SNP near the GSTP1 gene was significantly associated with BMI and
GSTP1 expression levels in the Mexican population.
PMID- 27881839
TI - A polymorphism in human MR1 is associated with mRNA expression and susceptibility
to tuberculosis.
AB - The MR1 antigen-presenting system is conserved among mammals and enables T cells
to recognize small molecules produced by bacterial pathogens, including
Mycobacterium tuberculosis (M.tb). However, it is not known whether MR1-mediated
antigen presentation is important for protective immunity against mycobacterial
disease. We hypothesized that genetic control of MR1 expression correlates with
clinical outcomes of tuberculosis infection. We performed an MR1 candidate gene
association study and identified an intronic single-nucleotide polymorphism
(rs1052632) that was significantly associated with susceptibility to tuberculosis
in a discovery and validation cohort of Vietnamese adults with tuberculosis.
Stratification by site of disease revealed that rs1052632 genotype GG was
strongly associated with the development of meningeal tuberculosis (odds
ratio=2.99; 95% confidence interval (CI) 1.64-5.43; P=0.00006). Among patients
with meningeal disease, absence of the G allele was associated with an increased
risk of death (hazard ratio=3.86; 95% CI 1.49-9.98; P=0.005). Variant annotation
tools using public databases indicate that rs1052632 is strongly associated with
MR1 gene expression in lymphoblastoid cells (P=0.004) and is located within a
transcriptional enhancer in epithelial keratinocytes. These data support a role
for MR1 in the pathogenesis of human tuberculosis by revealing that rs1052632 is
associated with MR1 gene expression and susceptibility to tuberculosis in
Vietnam.
PMID- 27881841
TI - Novel and recurrent XYLT1 mutations in two Turkish families with Desbuquois
dysplasia, type 2.
AB - Desbuquois dysplasia (DBQD) is an autosomal recessive skeletal disorder
characterized by growth retardation, joint laxity, short extremities, and
progressive scoliosis. DBQD is classified into two types based on the presence
(DBQD1) or absence (DBQD2) of characteristic hand abnormalities. CANT1 mutations
have been reported in both DBQD1 and DBQD2. Recently, mutations in the gene
encoding xylosyltransferase 1 (XYLT1) were identified in several families with
DBQD2. In this study, we performed whole-exome sequencing in two Turkish families
with DBQD2. We found a novel and a recurrent XYLT1 mutation in each family. The
patients were homozygous for the mutations. Our results further support that
XYLT1 is responsible for a major subset of DBQD2.
PMID- 27881842
TI - The investigation of the origin of Southern Tunisians using HLA genes.
AB - The south of Tunisia is characterized by marked ethnic diversity, highlighted by
the coexistence of native Berbers with Blacks, Jews and Arab-speaking
populations. Despite this heterogeneity, genetic anthropology studies
investigating the origin of current Southern Tunisians were rarely reported. We
examined human leukocyte antigen (HLA) class I (A, B) and class II (DRB1, DQB1)
gene profiles of 250 unrelated Southern Tunisians, and compared them with those
of Arab-speaking communities, along with Mediterranean and sub-Sahara African
populations using genetic distances, neighbor-joining dendrograms, correspondence
and haplotype analysis. In total, 137 HLA alleles were detected, which comprised
32 HLA-A, 52 HLA-B, 32 DRB1 and 21 DQB1 alleles. The most frequent alleles were
HLA-A*02:01(18.02%), HLA-B*50:01 (9.11%), HLA-DRB1*07:01 (22.06%) and HLA
DQB1*02:01 (17.21%). All pairs of HLA loci show significant linkage
disequilibrium. The four loci depict negative Fnd (the normalized deviate of the
homozygosity) values indicating an overall trend to balancing selection. Southern
Tunisians appear to be closely related to others Tunisian populations including
Berbers, North Africans and Iberians. On the contrary, Southern Tunisians were
distinct from Palestinian, Lebanese and Jordanian Middle Eastern Arab-speaking
population, despite the deep Arab incursions and Arabization that affected
Southern Tunisia. In addition, Southern Tunisians were distant from many sub
Saharan communities, evidenced by genetic distance analysis. Collectively, this
indicates a limited genetic contribution of Arab invasion and Black caravans on
the makeup of Southern Tunisian gene pool.
PMID- 27881843
TI - Comparison of exome-based HLA class I genotyping tools: identification of
platform-specific genotyping errors.
AB - Accurate human leukocyte antigen (HLA) genotyping is critical in studies
involving the immune system. Several algorithms to estimate HLA genotypes from
whole-exome data were developed. We compared the accuracy of seven algorithms,
including Optitype, Polysolver and PHLAT, as well as investigated patterns and
possible causes of miscalls using 12 clinical samples and 961 individuals from
the 1000 Genomes Project. Optitype showed the highest accuracy of 97.2% for HLA
class I alleles at the second field resolution, followed by 94.0% in Polysolver
and 85.6% in PHLAT. In Optitype, 34 (21.1%) of 161 miscalls were across different
serological types, and common miscalls were HLA-A*26:01 to HLA-A*25:01, HLA
B*45:01 to HLA-B*44:15 and HLA-C*08:02 to HLA-C*05:01 with error rates of 4.1%,
10.0% and 4.1%, respectively. In Polysolver, 193 (55.9%) of 345 miscalls occurred
across different serological alleles, and a specific pattern of genotyping error
from HLA-A*25:01 to HLA-A*26:01 was observed in 93.3% of HLA-A*25:01 carriers,
due to dropping of HLA-A*25:01 sequence reads during the extraction process of
HLA reads. In PHLAT, 147 (59.8%) of 246 miscalls in HLA-A were due to erroneous
assignment of multiple alleles to either HLA-A*01:22 or HLA-A*01:81. These
results suggest that careful considerations needed to be taken when using exome
based HLA class I genotyping data and applying these results in clinical
settings.
PMID- 27881844
TI - Wide-Field Detected Fourier Transform CARS Microscopy.
AB - We present a wide-field imaging implementation of Fourier transform coherent anti
Stokes Raman scattering (wide-field detected FT-CARS) microscopy capable of
acquiring high-contrast label-free but chemically specific images over the full
vibrational 'fingerprint' region, suitable for a large field of view. Rapid
resonant mechanical scanning of the illumination beam coupled with highly
sensitive, camera-based detection of the CARS signal allows for fast and direct
hyperspectral wide-field image acquisition, while minimizing sample damage.
Intrinsic to FT-CARS microscopy, the ability to control the range of time-delays
between pump and probe pulses allows for fine tuning of spectral resolution,
bandwidth and imaging speed while maintaining full duty cycle. We outline the
basic principles of wide-field detected FT-CARS microscopy and demonstrate how it
can be used as a sensitive optical probe for chemically specific Raman imaging.
PMID- 27881845
TI - Prevalence of overweight and malnutrition among ethnic minority children and
adolescents in China, 1991-2010.
AB - This study aimed to determine the trends in prevalence of childhood overweight
and malnutrition in a large Chinese ethnic minority population from 1991 to 2010.
In the Chinese National Survey on Students' Constitution and Health from 1991 to
2010, multistage stratified sampling was conducted in the series of cross
sectional studies. Participants were 7-18-year-old students randomly selected by
sex and region, and included Han and 26 ethnic minorities. During the survey
period, the overall prevalence of overweight increased from 5.8% to 13.5%, and
malnutrition trend increased from 3.6% to 4.1% in ethnic minority children and
adolescents. Moreover, Korean and Mongol children were more likely than Han
children to be obese (Korean: RR = 1.52; 95% CI: 1.48-1.56; Mongol: RR = 1.24;
95% CI: 1.20-1.28). Among these minorities, the Dongxiang and Li children were
more likely to be malnourished (Li: RR = 1.47; 95% CI: 1.37-1.57; Dongxiang: RR =
1.45; 95% CI: 1.34-1.58). Shui, Khalkhas, Lisu, and Monguor children were less
likely to be overweight and malnourished compared with the Hans. The prevalence
of overweight among ethnicities increased yearly while that for malnutrition has
fluctuated over the past few decades.
PMID- 27881846
TI - Naturally Occurring Fc-Dependent Antibody From HIV-Seronegative Individuals
Promotes HIV-Induced IFN-alpha Production.
AB - A majority of adults without HIV infection and with a low risk of HIV-exposure
have plasma IgG antibodies that enhance the rate and magnitude of HIV-induced
interferon alpha (IFN-alpha) production. Fc-dependent IgG-HIV complexes induce
IFN-alpha rapidly and in high titers in response to HIV concentrations that are
too low to otherwise stimulate an effective IFN-alpha response. IFN-alpha
promoting antibody (IPA) counters HIV-specific inhibition of IFN-alpha
production, and compensates for the inherent delay in IFN-alpha production common
to HIV infection and other viruses. Naturally occurring IPA has the potential to
initiate a potent IFN-alpha response early in the course of HIV mucosal invasion
in time to terminate infection prior to the creation of a pool of persistently
infected cells. The current study adds IPA as a mediator of an Fc-dependent
antiviral state capable of preventing HIV infection.
PMID- 27881847
TI - Epigenetic regulation of L-type voltage-gated Ca2+ channels in mesenteric
arteries of aging hypertensive rats.
AB - Accumulating evidence has shown that epigenetic regulation is involved in
hypertension and aging. L-type voltage-gated Ca2+ channels (LTCCs), the dominant
channels in vascular myocytes, greatly contribute to arteriole contraction and
blood pressure (BP) control. We investigated the dynamic changes and epigenetic
regulation of LTCC in the mesenteric arteries of aging hypertensive rats. LTCC
function was evaluated by using microvascular rings and whole-cell patch-clamp in
the mesenteric arteries of male Wistar-Kyoto rats and spontaneously hypertensive
rats at established hypertension (3 month old) and an aging stage (16 month old),
respectively. The expression of the LTCC alpha1C subunit was determined in the
rat mesenteric microcirculation. The expression of miR-328, which targets alpha1C
mRNA, and the DNA methylation status at the promoter region of the alpha1C gene
(CACNA1C) were also determined. In vitro experiments were performed to assess
alpha1C expression after transfection of the miR-328 mimic into cultured vascular
smooth muscle cells (VSMCs). The results showed that hypertension superimposed
with aging aggravated BP and vascular remodeling. Both LTCC function and
expression were significantly increased in hypertensive arteries and
downregulated with aging. miR-328 expression was inhibited in hypertension, but
increased with aging. There was no significant difference in the mean DNA
methylation of CACNA1C among groups, whereas methylation was enhanced in the
hypertensive group at specific sites on a CpG island located upstream of the gene
promoter. Overexpression of miR-328 inhibited the alpha1C level of cultured VSMCs
within 48 h. The results of the present study indicate that the dysfunction of
LTCCs may exert an epigenetic influence at both pre- and post-transcriptional
levels during hypertension pathogenesis and aging progression. miR-328 negatively
regulated LTCC expression in both aging and hypertension.
PMID- 27881849
TI - Are diuretic additives fit for uncontrolled hypertensive patients receiving
telmisartan and amlodipine treatment?
PMID- 27881848
TI - Expression and methylation of circulating microRNA-510 in essential hypertension.
AB - Hypertension (HTN) is one of the most common emerging disease in developing
countries. It alters endothelial cell structure and function, resulting in
several diseases, such as cardiovascular disease, peripheral vasculopathy,
cerebrovascular disease and nephropathy. Although much progress has been made in
researching HTN in recent years, early diagnosis and treatment of HTN are not yet
satisfactory, and progression control/treatment is still poor. MicroRNAs are well
known regulators of the physiological and developmental processes of HTN. Our
results revealed that miR-510 was upregulated in blood samples from HTN patients,
whereas no significant differences were observed in the control samples.
Methylation analyses corroborated the miR-510 upregulation in patient samples.
These results suggested that miR-510 can be used as a novel biomarker for
diagnosis and as a new therapeutic target for HTN.
PMID- 27881850
TI - Relationship between asymptomatic intra-cranial lesions and brachial-ankle pulse
wave velocity in coronary artery disease patients without stroke.
AB - Little is known about the significance of asymptomatic intra-cranial lesions
(ICL) identified by brain MRI in coronary artery disease (CAD) patients. Silent
cerebral lesions are suggested to be associated with arterial stiffness in
healthy subjects. We investigated whether subclinical ICL are associated with
arterial stiffness and the prognosis in CAD patients without medical history of
cerebrovascular diseases. We recruited CAD patients who required percutaneous
coronary intervention (PCI), did not meet exclusion criteria, and agreed with MRI
before PCI. Subjects were divided into two groups according to the presence of
ICL of cerebral microbleeds or lacunar infarction. Arterial stiffness was
evaluated by brachial-ankle pulse wave velocity (baPWV). Clinical outcome was
defined as a composite of cardiovascular death, non-fatal myocardial infarction,
stroke, unstable angina and heart failure. In total, 149 patients underwent brain
MRI. Patients with ICL (n=55) had significantly higher baPWV than those without
ICL (1591-2204 vs. 1450-1956 cm per sec; P=0.009). A multivariate analysis showed
that male sex (odds ratio (OR), 3.15; 95% confidence interval (CI), 1.38-7.20;
P=0.006) and baPWV (OR, 1.001; 95% CI, 1.000-1.002; P=0.023) were predictors of
ICL. In total, 12 patients experienced a cardiovascular event. The Kaplan-Meier
analysis indicated a significantly higher incidence of cardiovascular events in
patients with ICL (log-rank test: P=0.018). Multivariate Cox proportional hazards
analyses indicated that ICL finding was a significant predictor of clinical
outcome (hazard ratio, 3.41; 95% CI, 1.02-11.5; P=0.047). Patients with
subclinical ICL had a higher baPWV and worse prognoses than those without ICL.
PMID- 27881852
TI - Macrophage-derived exosomes induce inflammatory factors in endothelial cells
under hypertensive conditions.
AB - Hypertension is one of the most important cardiovascular risk factors and results
in macrophage infiltration of blood vessels. However, how macrophages coordinate
inflammatory responses with endothelial cells (ECs) remains unclear. In this
study, we investigated whether exosomes upregulate the expression of inflammatory
factors in ECs under hypertensive conditions. Hypertension was induced in rats by
continuous infusion of angiotensin II (Ang II). Exosomes were purified from rat
serum by density gradient and ultracentrifugation and used to stimulate human
coronary artery ECs (HCAECs). Moreover, the interactions between HCAECs and
exosomes from human THP-1-derived macrophages were analyzed. Administration of
Ang II enhanced the expression of CD68, a macrophage marker, in rat hearts,
suggesting enhanced infiltration of macrophages. In addition, the expression of
intracellular adhesion molecule-1 (ICAM1) and plasminogen activator inhibitor-1
(PAI-1), a proinflammatory factor, was increased in hypertensive rat hearts
compared with control rats. CD68 protein expression and an increase in the
expression of some exosome markers were detected in exosomes from hypertensive
rat serum. Moreover, the exosomes upregulated the expression levels of ICAM1 and
PAI-1 in HCAECs. The level of miR-17, a negative regulator of ICAM1 expression,
was markedly decreased in exosomes from hypertensive rat serum compared with
exosomes from control rats. Interestingly, Ang II-stimulated THP-1-derived
exosomes also enhanced the expression of ICAM1 and PAI-1 and contained reduced
levels of miR-17 compared with exosomes from unstimulated cells. These results
suggest that inflammation of ECs under hypertensive conditions is caused, at
least in part, by macrophage-derived exosomes.
PMID- 27881851
TI - Relationships between 24-h blood pressure variability and 24-h central arterial
pressure, pulse wave velocity and augmentation index in hypertensive patients.
AB - Twenty-four-h blood pressure variability (BPV) predicts cardiovascular
complications in hypertension, but its association with pulse wave indices
(central arterial pressure, pulse wave velocity (PWV) and augmentation index
(AIx)) is poorly understood. In the present study, we assessed the degree of the
effect of 24-h BPV on 24-h pulse wave indices. Brachial blood pressure was
measured non-invasively over the 24 h with an electronic, oscillometric,
automated device (BPLab) in 661 uncomplicated treated or untreated hypertensive
patients. Digitalized oscillometric waveforms were analyzed with a validated
algorithm to obtain pulse wave indices. Twenty-four-h BPV was calculated as the
unweighted (SDu) or weighted s.d. (SDw) of the mean blood pressure or as the
average real variability (ARV). Twenty-four-h systolic BPV showed a direct and
significant relationship with the central arterial systolic pressure (r=0.28 SDu,
r=0.40 SDw, r=0.34 ARV), PWV (r=0.10 SDu, r=0.21 SDw, r=0.19 ARV) and AIx (r=0.17
SDu, r=0.27 SDw, r=0.23 ARV). After adjustment for age, sex, body mass index,
antihypertensive treatment and 24-h systolic blood pressure, the relationship
lost some power but was still significant for all measures, except for the AIx.
Pulse wave indices were higher in patients with high BPV than in those with low
BPV: after adjustment, these differences were abolished for the AIx. The
diastolic BPV showed a weak association with the pulse wave indices. In
conclusion, in hypertensive patients, 24-h systolic BPV is moderately and
independently associated with 24-h central arterial pressure and stiffness.
PMID- 27881853
TI - Relationship between 24-h urine sodium/potassium ratio and central aortic
systolic blood pressure in hypertensive patients.
AB - Studies evaluating the relationship between measured 24-h urine sodium (24HUNa),
potassium (24HUK) and aortic blood pressure (BP) are rare, and no such study has
been performed with an Asian population. We evaluated the relationship between
24HUNa, 24HUK, casual BP, 24-h ambulatory BP and aortic BP by analyzing data from
524 participants with valid 24-h urine collection, 24-h ambulatory BP and central
BP measurements (mean age 48.1+/-9.8 years, 193 men). Hypertension was defined as
a 24-h ambulatory BP ?130/80 mm Hg or current treatment for hypertension (n=219).
The participants with hypertension and high 24HUNa (mean 210.5+/-52.0 mmol per
day, range 151.0-432.0) showed higher 24-h systolic (P=0.037) and diastolic BP
(P=0.037) and aortic systolic BP (AoSBP, P=0.038) than the participants with
hypertension and low 24HUNa (mean 115.7+/-25.0 mmol per day, range 45.6-150.0),
adjusted for confounders. The participants with hypertension and a high ratio of
24HUNa and 24HUK (24HUNa/24HUK, mean 4.03+/-1.00, range 2.93-7.96) had higher
AoSBP than the participants with hypertension and a low 24HUNa/24HUK ratio (mean
2.13+/-0.54, range 0.53-2.91), adjusted for confounders (P=0.026). The
participants with hypertension demonstrated a significant linear relationship
between AoSBP and 24HUNa/24HUK ratio that was independent of 24HUNa, according to
the multiple regression analysis (P=0.047). In hypertensive patients,
24HUNa/24HUK was positively and more strongly related to AoSBP compared with
24HUNa alone. The result indicates that high sodium and low potassium intake may
increase the subsequent risk of cardiovascular disease by elevating AoSBP.
PMID- 27881855
TI - CRF and the nucleus incertus: a node for integration of stress signals.
PMID- 27881854
TI - The neural and computational bases of semantic cognition.
AB - Semantic cognition refers to our ability to use, manipulate and generalize
knowledge that is acquired over the lifespan to support innumerable verbal and
non-verbal behaviours. This Review summarizes key findings and issues arising
from a decade of research into the neurocognitive and neurocomputational
underpinnings of this ability, leading to a new framework that we term controlled
semantic cognition (CSC). CSC offers solutions to long-standing queries in
philosophy and cognitive science, and yields a convergent framework for
understanding the neural and computational bases of healthy semantic cognition
and its dysfunction in brain disorders.
PMID- 27881857
TI - Depressive symptoms, body composition and bone mass in young adults: a
prospective cohort study.
AB - BACKGROUND: An association between depression and obesity is well recognised, but
longitudinal studies of depressive symptoms in adolescents as a predictor of body
composition are lacking. OBJECTIVE: We examined depressive symptoms at age 14, 17
and 20 years as predictors of lean, fat and bone mass at age 20 years in a birth
cohort. SUBJECTS/METHODS: In 1161 participants (569 females) in the Western
Australia Pregnancy Cohort (Raine) Study, depressive symptoms were assessed using
the Beck Depression Inventory for Youth at age 14 and 17 years, and the
Depression, Anxiety and Stress Scale 21 at age 20 years. Participants were
further classified into two trajectories using latent class analysis:
no/transient and persistent/recurrent depression. At age 20 years, lean body mass
(LBM), fat body mass (FBM) and total body bone mass were measured by dual-energy
X-ray absorptiometry. RESULTS: In females, accounting for age and lifestyle
factors, depression scores at age 14 and 20 years were positively associated with
body weight, body mass index (BMI), FBM and % FBM (r=0.110-0.184, P<0.05) but
negatively correlated with % LBM (r=-0.120, P<0.05) at age 20 years. Females in
the persistent/recurrent depression trajectory (n=99) had significantly higher
body weight (+5.1 kg), BMI (+1.8 kg m-2), FBM (+3.9 kg) and % FBM (+2.2%) and
significantly lower % LBM (-2.2%) at age 20 years than those with no/transient
depression (n=470; all P<0.05). In males, depression scores at age 17 and 20
years were negatively associated with LBM but not weight or BMI, and depression
trajectory was not a predictor of body composition at age 20 years. Depression
scores and trajectories did not predict bone mass in either males or females.
CONCLUSIONS: Depressive symptoms and persistent/recurrent depression in
adolescence are predictors of greater adiposity at age 20 years in females, but
not males, but do not predict bone mass in either gender.
PMID- 27881858
TI - Safety and efficacy of bariatric surgery in patients with advanced fibrosis.
AB - BACKGROUND: Bariatric surgery is performed safely in non-alcoholic fatty liver
disease (NAFLD) patients with minimal fibrosis (stage 1-2). However, the safety
and potential benefits of bariatric surgery for NAFLD with advanced fibrosis
(stage 3-4) remain unclear. This study was designed to compare the safety and
efficacy of bariatric surgery in patients with biopsy proven advanced fibrosis to
those with minimal fibrosis. METHODS: All patients who underwent bariatric
surgery between 2005 and 2014 and had evidence of NAFLD with fibrosis score 3-4
(advanced fibrosis) based on the staging system defined by Kleiner et al. on
intraoperative liver biopsy were included and compared with patients who had
fibrosis score 1-2 (minimal fibrosis). The groups were compared for length of
hospital stay after bariatric surgery and incidence of postoperative
complications over a follow-up period of 1 year. An improvement in hepatic
function tests before and 1 year after surgery was used as a parameter to
evaluate for NAFLD improvement. RESULTS: Ninety-nine patients with F3-4 (group 1)
and 198 patients with F1-2 (group 2) were included. Mean age (51.9 vs 50.1 years)
and body mass index (46.4 vs 46.5 kg m-2) were similar in the two groups. Median
serum aspartate aminotransferase (43 vs 30 U l-1; normal 10-40 U l-1) and
alanine aminotransferase (40.5 vs 34 U l-1; normal 10-50 U l-1) were
significantly higher in group 1 and improved 1 year after surgery. Median length
of hospital stay after surgery was higher in group 1 than that in group 2 (4 days
vs 3 days; P-value=0.002). The proportion of patients developing postoperative
complications over 1 year was similar in both groups (36.4% vs 32.8%; P
value=0.54). CONCLUSIONS: Advanced fibrosis does not increase the risk of
developing postoperative complications in medically optimized patients undergoing
bariatric surgery. Improvement in serum transaminase levels suggests a reduction
in hepatic necroinflammatory activity following bariatric surgery.
PMID- 27881861
TI - Regenerative medicine: Engineered iPSCs for cartilage repair.
PMID- 27881856
TI - Stress, glucocorticoids and memory: implications for treating fear-related
disorders.
AB - Glucocorticoid stress hormones are crucially involved in modulating mnemonic
processing of emotionally arousing experiences. They enhance the consolidation of
new memories, including those that extinguish older memories, but impair the
retrieval of information stored in long-term memory. As strong aversive memories
lie at the core of several fear-related disorders, including post-traumatic
stress disorder and phobias, the memory-modulating properties of glucocorticoids
have recently become of considerable translational interest. Clinical trials have
provided the first evidence that glucocorticoid-based pharmacotherapies aimed at
attenuating aversive memories might be helpful in the treatment of fear-related
disorders. Here, we review important advances in the understanding of how
glucocorticoids mediate stress effects on memory processes, and discuss the
translational potential of these new conceptual insights.
PMID- 27881860
TI - In-situ restoration of one-stage partial nitritation-anammox process deteriorated
by nitrate build-up via elevated substrate levels.
AB - The one-stage partial nitritation and anammox process (PN/A) has been a promising
microbial process to remove ammonia from wastewater especially with low
carbon/nitrogen ratio. The main breakdown was the deterioration caused by
overgrowth of nitrite oxidizing bacteria (NOB) resulting effluent nitrate build
up in the PN/A process. This study presented an in-situ restoring strategy for
suppressing NOB activity in a one-stage granular PN/A system deteriorated over 2
months, using elevated concentrations of substrates (ammonia and nitrite) under
limited dissolved oxygen level. The results showed that the NOB activity was
successfully suppressed after 56 days of restoration, and finally the ratio of
produced nitrate/consumed ammonium was reduced from 36.8% to 7%. On day 66 the
nitrogen removal rate obtained as 1.2 kg N/(m3.d). The high FA level (5-40 mg/L)
and low dissolved oxygen (<0.13 mg/L) were responsible for NOB suppression. From
quantitative PCR (qPCR) analysis, after this restoration, anammox bacteria had a
widely growth, and AOB stay stable, but Nitrospira increase and Nitrobacter
declined. High amount of NOB was still persistent in the granules, which was not
easy to wash-out and threaten the deammonification performance.
PMID- 27881862
TI - Rheumatoid arthritis: IL-23 assists the transition from autoimmunity to
inflammatory disease.
PMID- 27881863
TI - Epidemiology: The effects of AIDS on the prevalence of rheumatic diseases.
PMID- 27881859
TI - Friends and social contexts as unshared environments: a discordant sibling
analysis of obesity- and health-related behaviors in young adolescents.
AB - OBJECTIVE: This study examines the contribution of best friends' weight and the
peer social context (time spent alone versus with friends) as sources of unshared
environment associated with variability in weight and health behaviors among
weight-discordant siblings. METHODS: Pairs of same-sex biologic siblings (N=40
pairs; ages 13-17) were originally recruited as part of a study evaluating
putative factors contributing to differences in adiposity among weight-discordant
siblings. Siblings were asked to bring their best friends to the laboratory and
siblings and friends' height and weight were objectively measured. Siblings also
completed multi-pass dietary recalls to assess energy intake and sugar sweetened
beverage (SSB) consumption. Siblings' physical activity was measured using
accelerometry. Experience sampling methodology was used to assess sedentary
behaviors/screen time and the number of occasions siblings spent alone and in the
presence of friends. Multilevel models were used to estimate the relationships
between predictors (best friends' zBMI, time spent alone or with friends) and
outcomes (siblings' zBMI and obesity-related health behaviors). RESULTS: Best
friends' zBMI was the best predictor of participants' zBMI, even when controlling
for child's birth weight. Best friends' weight (zBMI) further predicted
participants' SSB intake and time engaged in sedentary behaviors. Being active
with friends was positively associated with participants' overall physical
activity, whereas spending time alone was negatively associated with
accelerometer counts regardless of siblings' adiposity. CONCLUSIONS: A friends'
weight and the social context are unshared environmental factors associated with
variability in adiposity among biologically-related weight-discordant siblings.
PMID- 27881865
TI - Bandicoot fossils and DNA elucidate lineage antiquity amongst xeric-adapted
Australasian marsupials.
AB - Bandicoots (Peramelemorphia) are a unique order of Australasian marsupials whose
sparse fossil record has been used as prima facie evidence for climate change
coincident faunal turnover. In particular, the hypothesized replacement of
ancient rainforest-dwelling extinct lineages by antecedents of xeric-tolerant
extant taxa during the late Miocene (~10 Ma) has been advocated as a broader
pattern evident amongst other marsupial clades. Problematically, however, this is
in persistent conflict with DNA phylogenies. We therefore determine the pattern
and timing of bandicoot evolution using the first combined morphological + DNA
sequence dataset of Peramelemorphia. In addition, we document a remarkably
archaic new fossil peramelemorphian taxon that inhabited a latest Quaternary
mosaic savannah-riparian forest ecosystem on the Aru Islands of Eastern
Indonesia. Our phylogenetic analyses reveal that unsuspected dental homoplasy and
the detrimental effects of missing data collectively obscure stem bandicoot
relationships. Nevertheless, recalibrated molecular clocks and multiple ancestral
area optimizations unanimously infer an early diversification of modern xeric
adapted forms. These probably originated during the late Palaeogene (30-40 Ma)
alongside progenitors of other desert marsupials, and thus occupied seasonally
dry heterogenous habitats long before the onset of late Neogene aridity.
PMID- 27881864
TI - Mass spectrometry imaging: a novel technology in rheumatology.
AB - Mass spectrometry imaging (MSI) is used to determine the relative abundance and
spatial distribution of biomolecules such as peptides, proteins, lipids and other
organic compounds in tissue sections by their molecular masses. This technique
provides a sensitive and label-free approach for high-resolution imaging, and is
currently used in an increasing number of biomedical applications such as
biomarker discovery, tissue classification and drug monitoring. Owing to
technological advances in the past 5 years in diverse MSI strategies, this
technology is expected to become a standard tool in clinical practice and
provides information complementary to that obtained using existing methods. Given
that MSI is able to extract mass-spectral signatures from pathological tissue
samples, this technique provides a novel platform to study joint-related tissues
affected by rheumatic diseases. In rheumatology, MSI has been performed on
articular cartilage, synovium and bone to increase the understanding of articular
destruction and to characterize diagnostic and prognostic biomarkers for
osteoarthritis, rheumatoid arthritis and osteoporosis. In this Review, we provide
an overview of MSI technology and of the studies in which joint tissues have been
analysed by use of this methodology. This approach might increase knowledge of
rheumatic pathologies and ultimately prompt the development of targeted
strategies for their management.
PMID- 27881866
TI - "Hearing faces and seeing voices": Amodal coding of person identity in the human
brain.
AB - Recognizing familiar individuals is achieved by the brain by combining cues from
several sensory modalities, including the face of a person and her voice. Here we
used functional magnetic resonance (fMRI) and a whole-brain, searchlight multi
voxel pattern analysis (MVPA) to search for areas in which local fMRI patterns
could result in identity classification as a function of sensory modality. We
found several areas supporting face or voice stimulus classification based on
fMRI responses, consistent with previous reports; the classification maps
overlapped across modalities in a single area of right posterior superior
temporal sulcus (pSTS). Remarkably, we also found several cortical areas, mostly
located along the middle temporal gyrus, in which local fMRI patterns resulted in
identity "cross-classification": vocal identity could be classified based on fMRI
responses to the faces, or the reverse, or both. These findings are suggestive of
a series of cortical identity representations increasingly abstracted from the
input modality.
PMID- 27881868
TI - Seed sojourn and fast viability loss constrain seedling production of a prominent
riparian protection plant Salix variegata Franch.
AB - Salix variegata Franch, a prominent plant applied in riparian shelter vegetation
in Three Gorges reservoir region of China, produces many seeds every year but
generates only a few or no seedlings. Whether the low seedling production of S.
variegata is caused by seed sterility or by rapid loss of seed viability remains
unknown. We investigated the sojourn time of mature seeds in capsules produced in
early, mid, and late reproductive season and the germinability of mature seeds
fresh or stored after different period of time. The sojourn time of seeds in
capsules was 2.89, 3.95, and 4.72 days in early, mid, and late reproductive
season, respectively. The maximal germination percentage of non-stored fresh
seeds produced in early, mid, and late reproductive season was 93.33%, 78.67%,
and 40%, respectively, which indicates mature seeds were not sterile. The longest
viability-retaining time of seeds produced in early, mid, and late reproductive
season was only 8, 16, 16 days, respectively, indicating that mature seeds of S.
variegata lost viability very rapidly. Mature seeds possessed good viability, but
their rapid viability loss caused the low seedling production and hampered the
population growth of S. variegata in the riparian area of Three Gorges reservoir
region.
PMID- 27881867
TI - Endothelial cell colony forming units derived from malignant breast diseases are
resistant to tumor necrosis factor-alpha-induced apoptosis.
AB - Mobilisation of endothelial progenitor cells (EPCs) from the bone marrow is a
crucial step in the formation of de novo blood vessels, and levels of peripheral
blood EPCs have been shown to be elevated in certain malignant states. Using flow
cytometry and a Hill-based colony forming unit (CFU) assay, the present study
indicated that higher levels of CD34 and vascular endothelial growth factor
receptor 2 (VEGFR2) double-positive EPCs, as well as increased formation of
endothelial cell colony-forming units (EC-CFUs) are associated with benign and
malignant breast diseases, providing possible indicators for breast disease
detection. Gene expression profiles revealed a genetic difference between CD34+
VEGFR2+ EPCs and EC-CFUs. Decreased expression of tumour necrosis factor receptor
2 (TNFR2) signalling-related genes and inhibition of tumour necrosis factor (TNF)
induced signalling were demonstrated in EC-CFUs derived from patients with
malignant breast disease in comparison with those from healthy controls.
Interestingly, our data provided the first evidence that EC-CFUs derived from
patients with malignant breast disease were resistant to TNF-alpha-induced
apoptosis, indicating a plausible target for future therapeutic interventions.
PMID- 27881869
TI - Netrin-1-Induced Stem Cell Bioactivity Contributes to the Regeneration of Injured
Tissues via the Lipid Raft-Dependent Integrin alpha6beta4 Signaling Pathway.
AB - Netrin-1 (Ntn-1) is a multifunctional neuronal signaling molecule; however, its
physiological significance, which improves the tissue-regeneration capacity of
stem cells, has not been characterized. In the present study, we investigate the
mechanism by which Ntn-1 promotes the proliferation of hUCB-MSCs with regard to
the regeneration of injured tissues. We found that Ntn-1 induces the
proliferation of hUCB-MSCs mainly via Inalpha6beta4 coupled with c-Src. Ntn-1
induced the recruitment of NADPH oxidases and Rac1 into membrane lipid rafts to
facilitate ROS production. The Inalpha6beta4 signaling of Ntn-1 through ROS
production is uniquely mediated by the activation of SP1 for cell cycle
progression and the transcriptional occupancy of SP1 on the VEGF promoter.
Moreover, Ntn-1 has the ability to induce the F-actin reorganization of hUCB-MSCs
via the Inalpha6beta4 signaling pathway. In an in vivo model, transplantation of
hUCB-MSCs pre-treated with Ntn-1 enhanced the skin wound healing process, where
relatively more angiogenesis was detected. The potential effect of Ntn-1 on
angiogenesis is further verified by the mouse hindlimb ischemia model, where the
pre-activation of hUCB-MSCs with Ntn-1 significantly improved vascular
regeneration. These results demonstrate that Ntn-1 plays an important role in the
tissue regeneration process of hUCB-MSC via the lipid raft-mediated Inalpha6beta4
signaling pathway.
PMID- 27881870
TI - Antibody-antigen kinetics constrain intracellular humoral immunity.
AB - During infection with non-enveloped viruses, antibodies stimulate immunity from
inside cells by activating the cytosolic Fc receptor TRIM21. This intracellular
humoral response relies on opsonized viral particles reaching the cytosol intact
but the antigenic and kinetic constraints involved are unknown. We have solved
the structure of a potent TRIM21-dependent neutralizing antibody in complex with
human adenovirus 5 hexon and show how these properties influence immune activity.
Structure-guided mutagenesis was used to generate antibodies with 20,000-fold
variation in affinity, on-rates that differ by ~50-fold and off-rates by >175
fold. Characterization of these variants during infection revealed that TRIM21
dependent neutralization and NFkappaB activation was largely unaffected by on
rate kinetics. In contrast, TRIM21 antiviral activity was exquisitely dependent
upon off-rate, with sub-MUM affinity antibodies nevertheless unable to stimulate
signaling because of fast dissociation kinetics. These results define the
antibody properties required to elicit an efficient intracellular immune response
during viral infection.
PMID- 27881871
TI - Diagnosis and relapse: cytogenetically normal acute myelogenous leukemia without
FLT3-ITD or MLL-PTD.
PMID- 27881873
TI - Induction of iron excess restricts malignant plasma cells expansion and
potentiates bortezomib effect in models of multiple myeloma.
PMID- 27881874
TI - Acute myeloid leukemia derived from lympho-myeloid clonal hematopoiesis.
AB - We studied acute myeloid leukemia (AML) patients with lympho-myeloid clonal
hematopoiesis (LM-CH), defined by the presence of DNA methyltransferase 3A
(DNMT3A) mutations in both the myeloid and lymphoid T-cell compartment.
Diagnostic, complete remission (CR) and relapse samples were sequenced for 34
leukemia-related genes in 171 DNMT3A mutated adult AML patients. AML with LM-CH
was found in 40 patients (23%) and was associated with clonal hematopoiesis of
indeterminate potential years before AML, older age, secondary AML and more
frequent MDS-type co-mutations (TET2, RUNX1 and EZH2). In 82% of AML patients
with LM-CH, the preleukemic clone was refractory to chemotherapy and was the
founding clone for relapse. Both LM-CH and non-LM-CH MRD-positive AML patients
who achieved CR had a high risk of relapse after 10 years (75% and 75%,
respectively) compared with patients without clonal hematopoiesis in CR with
negative MRD (27% relapse rate). Long-term survival of patients with LM-CH was
only seen after allogeneic hematopoietic stem cell transplantation (HSCT). We
define AML patients with LM-CH as a distinct high-risk group of AML patients that
can be identified at diagnosis through mutation analysis in T cells and should be
considered for HSCT.
PMID- 27881872
TI - In vitro and in vivo assessment of direct effects of simulated solar and galactic
cosmic radiation on human hematopoietic stem/progenitor cells.
AB - Future deep space missions to Mars and near-Earth asteroids will expose
astronauts to chronic solar energetic particles (SEP) and galactic cosmic ray
(GCR) radiation, and likely one or more solar particle events (SPEs). Given the
inherent radiosensitivity of hematopoietic cells and short latency period of
leukemias, space radiation-induced hematopoietic damage poses a particular threat
to astronauts on extended missions. We show that exposing human hematopoietic
stem/progenitor cells (HSC) to extended mission-relevant doses of accelerated
high-energy protons and iron ions leads to the following: (1) introduces
mutations that are frequently located within genes involved in hematopoiesis and
are distinct from those induced by gamma-radiation; (2) markedly reduces in vitro
colony formation; (3) markedly alters engraftment and lineage commitment in vivo;
and (4) leads to the development, in vivo, of what appears to be T-ALL.
Sequential exposure to protons and iron ions (as typically occurs in deep space)
proved far more deleterious to HSC genome integrity and function than either
particle species alone. Our results represent a critical step for more accurately
estimating risks to the human hematopoietic system from space radiation,
identifying and better defining molecular mechanisms by which space radiation
impairs hematopoiesis and induces leukemogenesis, as well as for developing
appropriately targeted countermeasures.
PMID- 27881875
TI - Loss of p300 accelerates MDS-associated leukemogenesis.
AB - The role that changes in DNA methylation and histone modifications have in human
malignancies is poorly understood. p300 and CREB-binding protein (CBP), two
distinct but highly homologous lysine acetyltransferases, are mutated in several
cancers, suggesting their role as tumor suppressors. In the current study, we
found that deletion of p300, but not CBP, markedly accelerated the leukemogenesis
ofNup98-HoxD13 (NHD13) transgenic mice, an animal model that phenotypically
copies human myelodysplastic syndrome (MDS). p300 deletion restored the ability
of NHD13 expressing hematopoietic stem and progenitor cells (HSPCs) to self-renew
in vitro, and to expand in vivo, with an increase in stem cell symmetric self
renewal divisions and a decrease in apoptosis. Furthermore, loss of p300, but not
CBP, promoted cytokine signaling, including enhanced activation of the MAPK and
JAK/STAT pathways in the HSPC compartment. Altogether, our data indicate that
p300 has a pivotal role in blocking the transformation of MDS to acute myeloid
leukemia, a role distinct from that of CBP.
PMID- 27881876
TI - The efficacy of high-throughput sequencing and target enrichment on charred
archaeobotanical remains.
AB - The majority of archaeological plant material is preserved in a charred state.
Obtaining reliable ancient DNA data from these remains has presented challenges
due to high rates of nucleotide damage, short DNA fragment lengths, low
endogenous DNA content and the potential for modern contamination. It has been
suggested that high-throughput sequencing (HTS) technologies coupled with DNA
enrichment techniques may overcome some of these limitations. Here we report the
findings of HTS and target enrichment on four important archaeological crops
(barley, grape, maize and rice) performed in three different laboratories,
presenting the largest HTS assessment of charred archaeobotanical specimens to
date. Rigorous analysis of our data - excluding false-positives due to background
contamination or incorrect index assignments - indicated a lack of endogenous DNA
in nearly all samples, except for one lightly-charred maize cob. Even with target
enrichment, this sample failed to yield adequate data required to address
fundamental questions in archaeology and biology. We further reanalysed part of
an existing dataset on charred plant material, and found all purported endogenous
DNA sequences were likely to be spurious. We suggest these technologies are not
suitable for use with charred archaeobotanicals and urge great caution when
interpreting data obtained by HTS of these remains.
PMID- 27881877
TI - Exercise training attenuates renovascular hypertension partly via RAS- ROS-
glutamate pathway in the hypothalamic paraventricular nucleus.
AB - Exercise training (ExT) has been reported to benefit hypertension; however, the
exact mechanisms involved are unclear. We hypothesized that ExT attenuates
hypertension, in part, through the renin-angiotensin system (RAS), reactive
oxygen species (ROS), and glutamate in the paraventricular nucleus (PVN). Two
kidney, one-clip (2K1C) renovascular hypertensive rats were assigned to sedentary
(Sed) or treadmill running groups for eight weeks. Dizocilpine (MK801), a
glutamate receptor blocker, or losartan (Los), an angiotensin II type1 receptor
(AT1-R) blocker, were microinjected into the PVN at the end of the experiment. We
found that 2K1C rats had higher mean arterial pressure (MAP) and renal
sympathetic nerve activity (RSNA). These rats also had excessive oxidative stress
and overactivated RAS in PVN. Eight weeks of ExT significantly decreased MAP and
RSNA in 2K1C hypertensive rats. ExT inhibited angiotensin-converting enzyme
(ACE), AT1-R, and glutamate in the PVN, and angiotensin II (ANG II) in the
plasma. Moreover, ExT attenuated ROS by augmenting copper/zinc superoxide
dismutase (Cu/Zn-SOD) and decreasing p47phox and gp91phox in the PVN. MK801or Los
significantly decreased blood pressure in rats. Together, these findings suggest
that the beneficial effects of ExT on renovascular hypertension may be, in part,
through the RAS-ROS-glutamate pathway in the PVN.
PMID- 27881880
TI - Spintronics.
PMID- 27881879
TI - SCOUT: simultaneous time segmentation and community detection in dynamic
networks.
AB - Many evolving complex real-world systems can be modeled via dynamic networks. An
important problem in dynamic network research is community detection, which finds
groups of topologically related nodes. Typically, this problem is approached by
assuming either that each time point has a distinct community organization or
that all time points share a single community organization. The reality likely
lies between these two extremes. To find the compromise, we consider community
detection in the context of the problem of segment detection, which identifies
contiguous time periods with consistent network structure. Consequently, we
formulate a combined problem of segment community detection (SCD), which
simultaneously partitions the network into contiguous time segments with
consistent community organization and finds this community organization for each
segment. To solve SCD, we introduce SCOUT, an optimization framework that
explicitly considers both segmentation quality and partition quality. SCOUT
addresses limitations of existing methods that can be adapted to solve SCD, which
consider only one of segmentation quality or partition quality. In a thorough
evaluation, SCOUT outperforms the existing methods in terms of both accuracy and
computational complexity. We apply SCOUT to biological network data to study
human aging.
PMID- 27881878
TI - Gene regulatory networks in neural cell fate acquisition from genome-wide
chromatin association of Geminin and Zic1.
AB - Neural cell fate acquisition is mediated by transcription factors expressed in
nascent neuroectoderm, including Geminin and members of the Zic transcription
factor family. However, regulatory networks through which this occurs are not
well defined. Here, we identified Geminin-associated chromatin locations in
embryonic stem cells and Geminin- and Zic1-associated locations during neural
fate acquisition at a genome-wide level. We determined how Geminin deficiency
affected histone acetylation at gene promoters during this process. We integrated
these data to demonstrate that Geminin associates with and promotes histone
acetylation at neurodevelopmental genes, while Geminin and Zic1 bind a shared
gene subset. Geminin- and Zic1-associated genes exhibit embryonic nervous system
enriched expression and encode other regulators of neural development. Both
Geminin and Zic1-associated peaks are enriched for Zic1 consensus binding motifs,
while Zic1-bound peaks are also enriched for Sox3 motifs, suggesting co
regulatory potential. Accordingly, we found that Geminin and Zic1 could
cooperatively activate the expression of several shared targets encoding
transcription factors that control neurogenesis, neural plate patterning, and
neuronal differentiation. We used these data to construct gene regulatory
networks underlying neural fate acquisition. Establishment of this molecular
program in nascent neuroectoderm directly links early neural cell fate
acquisition with regulatory control of later neurodevelopment.
PMID- 27881882
TI - Depletion region surface effects in electron beam induced current measurements.
AB - Electron beam induced current (EBIC) is a powerful characterization technique
which offers the high spatial resolution needed to study polycrystalline solar
cells. Current models of EBIC assume that excitations in the p-n junction
depletion region result in perfect charge collection efficiency. However we find
that in CdTe and Si samples prepared by focused ion beam (FIB) milling, there is
a reduced and nonuniform EBIC lineshape for excitations in the depletion region.
Motivated by this, we present a model of the EBIC response for excitations in the
depletion region which includes the effects of surface recombination from both
charge-neutral and charged surfaces. For neutral surfaces we present a simple
analytical formula which describes the numerical data well, while the charged
surface response depends qualitatively on the location of the surface Fermi level
relative to the bulk Fermi level. We find the experimental data on FIB-prepared
Si solar cells is most consistent with a charged surface, and discuss the
implications for EBIC experiments on polycrystalline materials.
PMID- 27881881
TI - Spintronic Nanodevices for Bioinspired Computing.
AB - Bioinspired hardware holds the promise of low-energy, intelligent, and highly
adaptable computing systems. Applications span from automatic classification for
big data management, through unmanned vehicle control, to control for biomedical
prosthesis. However, one of the major challenges of fabricating bioinspired
hardware is building ultra-high-density networks out of complex processing units
interlinked by tunable connections. Nanometer-scale devices exploiting spin
electronics (or spintronics) can be a key technology in this context. In
particular, magnetic tunnel junctions (MTJs) are well suited for this purpose
because of their multiple tunable functionalities. One such functionality, non
volatile memory, can provide massive embedded memory in unconventional circuits,
thus escaping the von-Neumann bottleneck arising when memory and processors are
located separately. Other features of spintronic devices that could be beneficial
for bioinspired computing include tunable fast nonlinear dynamics, controlled
stochasticity, and the ability of single devices to change functions in different
operating conditions. Large networks of interacting spintronic nanodevices can
have their interactions tuned to induce complex dynamics such as synchronization,
chaos, soliton diffusion, phase transitions, criticality, and convergence to
multiple metastable states. A number of groups have recently proposed bioinspired
architectures that include one or several types of spintronic nanodevices. In
this paper, we show how spintronics can be used for bioinspired computing. We
review the different approaches that have been proposed, the recent advances in
this direction, and the challenges toward fully integrated spintronics
complementary metal-oxide-semiconductor (CMOS) bioinspired hardware.
PMID- 27881883
TI - Aquatic methane dynamics in a human-impacted river-floodplain of the Danube.
AB - River-floodplain systems are characterized by changing hydrological connectivity
and variability of resources delivered to floodplain water bodies. Although the
importance of hydrological events has been recognized, the effect of flooding on
CH4 concentrations and emissions from European, human-impacted river-floodplains
is largely unknown. This study evaluates aquatic concentrations and emissions of
CH4 from a highly modified, yet partly restored river-floodplain system of the
Danube near Vienna (Austria). We covered a broad range of hydrological
conditions, including a 1-yr flood event in 2012 and a 100-yr flood in 2013. Our
findings demonstrate that river-floodplain waters were supersaturated with CH4,
hence always serving as a source of CH4 to the atmosphere. Hydrologically
isolated habitats in general have higher concentrations and produce higher fluxes
despite lower physically defined velocities. During surface connection, however,
CH4 is exported from the floodplain to the river, suggesting that the main
channel serves as an "exhaust pipe" for the floodplain. This mechanism was
especially important during the 100-yr flood, when a clear pulse of CH4 was
flushed from the floodplain with surface floodwaters. Our results emphasize the
importance of floods differing in magnitude for methane evasion from river
floodplains; 34% more CH4 was emitted from the entire system during the year with
the 100-yr flood compared to a hydrologically "normal" year. Compared to the main
river channel, semiisolated floodplain waters were particularly strong sources of
CH4. Our findings also imply that the predicted increased frequency of extreme
flooding events will have significant consequences for methane emission from
river-floodplain systems.
PMID- 27881884
TI - Towards a standard for the dynamic measurement of pressure based on laser
absorption spectroscopy.
AB - We describe an approach for creating a standard for the dynamic measurement of
pressure based on the measurement of fundamental quantum properties of molecular
systems. From the linewidth and intensities of ro-vibrational transitions we plan
on making an accurate determination of pressure and temperature. The goal is to
achieve an absolute uncertainty for time-varying pressure of 5 % with a
measurement rate of 100 kHz, which will in the future serve as a method for the
traceable calibration of pressure sensors used in transient processes. To
illustrate this concept we have used wavelength modulation spectroscopy (WMS),
due to inherent advantages over direct absorption spectroscopy, to perform rapid
measurements of carbon dioxide in order to determine the pressure. The system
records the full lineshape profile of a single ro-vibrational transition of CO2
at a repetition rate of 4 kHz and with a systematic measurement uncertainty of 12
% for the linewidth measurement. A series of pressures were measured at a rate of
400 Hz (10 averages) and from these measurements the linewidth was determined
with a relative uncertainty of about 0.5 % on average. The pressures measured
using WMS have an average difference of 0.6 % from the absolute pressure measured
with a capacitance diaphragm sensor.
PMID- 27881885
TI - Acyclic nucleoside phosphonates containing the amide bond.
AB - ABSTRACT: To study the influence of a linker rigidity and donor-acceptor
properties, the P-CH2-O-CHR- fragment in acyclic nucleoside phosphonates (e.g.,
acyclovir, tenofovir) was replaced by the P-CH2-HN-C(O)- residue. The respective
phosphonates were synthesized in good yields by coupling the straight chain of
omega-aminophosphonates and nucleobase-derived acetic acids with EDC. Based on
the 1H and 13C NMR data, the unrestricted rotation within the methylene and 1,2
ethylidene linkers in phosphonates from series a and b was confirmed. For
phosphonates containing 1,3-propylidene (series c) fragments, antiperiplanar
disposition of the bulky O,O-diethylphosphonate and substituted amidomethyl
groups was established. The synthesized ANPs P-X-HNC(O)-CH2B (X = CH2, CH2CH2,
CH2CH2CH2, CH2OCH2CH2) appeared inactive in antiviral assays against a wide
variety of DNA and RNA viruses at concentrations up to 100 MUM while marginal
antiproliferative activity (L1210 cells, IC50 = 89 +/- 16 MUM and HeLa cells,
IC50 = 194 +/- 19 MUM) was noticed for the analog derived from (5-fluorouracyl-1
yl)acetic acid and O,O-diethyl (2-aminoethoxy)methylphosphonate. GRAPHICAL
ABSTRACT:
PMID- 27881887
TI - Changes in Sexuality, Body Image and Health Related Quality of Life in Patients
Treated for Hematologic Malignancies: A Longitudinal Study.
AB - Longitudinal studies exploring sexuality, body image and health-related quality
of life (HRQoL) are lacking in patients treated with chemo- or chemoimmuno
therapy for hematologic malignancies. The aim was to describe and explore changes
in sexuality, body image and HRQoL in patients treated for hematologic
malignancies, from baseline until 6 months after treatment. Twenty patients above
45 years (median age 62) treated for DLBCL, CLL or AML participated. Data were
collected at baseline, 1- and 6-months after treatment by means of three
instruments: SAQ-S, BIS and EORTC QLQ-C30. The results showed that patients'
sexuality was negatively affected 1 month after treatment, but after 6 months the
patient reported scores had returned almost entirely to baseline scores. Body
image was slight negatively affected after 1 month and after 6 months, 50 %
reported that body image was not affected at all. Regarding HRQoL, patients
reported gradually improved scores during the study period. Regression analysis
showed that changes in sexuality and body image seemed to influence changes in
HRQoL. This study has shown changes in sexuality, body image and HRQoL over time
in patients above age 45 treated for hematologic malignancies. One month after
treatment all three areas becomes negatively affected, and thereafter the
patients' scores recovered to a great extent regarding these issues within 6
months. Sexuality and body image seem to be important aspects of HRQoL for these
patients and need to be integrated in the cancer rehabilitation during and after
treatment.
PMID- 27881890
TI - Co-management as a Catalyst: Pathways to Post-colonial Forestry in the Klamath
Basin, California.
AB - Co-management frameworks are intended to facilitate sustainable resource
management and more equitable power sharing between state agencies and Indigenous
communities. However, there is significant debate about who benefits from co
management in practice. This article addresses two competing perspectives in the
literature, which alternately portrays co-management as an instrument for co
optation or for transformation. Through a case study of co-management
negotiations involving the Karuk Tribe and the U.S. Forest Service in the Klamath
Basin of Northern California, this study examines how Indigenous communities use
co-management to build greater equity in environmental decision-making, despite
its limitations. The concept of pivot points is developed to describe how
Indigenous communities like the Karuk Tribe are simultaneously following existing
state policies and subverting them to shift federal forest management. The pivot
point analytic demonstrates one mechanism by which communities are addressing
Indigenous self-determination goals and colonial legacies through environmental
policy and management.
PMID- 27881888
TI - Effects of Ramipril and Telmisartan on Plasma Concentrations of Low Molecular
Weight and Protein Thiols and Carotid Intima Media Thickness in Patients with
Chronic Kidney Disease.
AB - Hypertension, a common feature in chronic kidney disease (CKD), is an independent
risk factor for CKD progression and cardiovascular disease. Although inhibitors
of the renin-angiotensin system (RAS) exert salutary effects on blood pressure
control and proteinuria in CKD patients, their activity towards traditional and
novel oxidative markers is largely unknown. We studied the effects of 6-month
treatment with telmisartan versus a combination of telmisartan and ramipril on
plasma concentrations of low molecular mass (LMW, including homocysteine and
cysteine) and protein thiols (PSH) plasma concentration and their relationships
with carotid intima media thickness (IMT), in 24 hypertensive CKD patients (age
60 +/- 12 years, 8 females and 16 males). Pretreatment PSH concentrations were
independently associated with IMT (r = -0.42, p = 0.039). Neither treatment
affected plasma LMW thiols, in both reduced and total form. By contrast, both
treatments increased PSH plasma concentrations and reduced IMT, although
significant differences were only observed in the combined treatment group. Our
results suggest that the beneficial effects of combined RAS inhibitor treatment
on IMT in hypertensive CKD patients may be mediated by a reduction of oxidative
stress markers, particularly PSH.
PMID- 27881886
TI - Tank-Binding Kinase 1 (TBK1) Gene and Open-Angle Glaucomas (An American
Ophthalmological Society Thesis).
AB - PURPOSE: To investigate the role of TANK-binding kinase 1 (TBK1) gene copy-number
variations (ie, gene duplications and triplications) in the pathophysiology of
various open-angle glaucomas. METHODS: In previous studies, we discovered that
copy-number variations in the TBK1 gene are associated with normal-tension
glaucoma. Here, we investigated the prevalence of copy-number variations in
cohorts of patients with other open-angle glaucomas-juvenile-onset open-angle
glaucoma (n=30), pigmentary glaucoma (n=209), exfoliation glaucoma (n=225), and
steroid-induced glaucoma (n=79)-using a quantitative polymerase chain reaction
assay. RESULTS: No TBK1 gene copy-number variations were detected in patients
with juvenile-onset open-angle glaucoma, pigmentary glaucoma, or steroid-induced
glaucoma. A TBK1 gene duplication was detected in one (0.44%) of the 225
exfoliation glaucoma patients. CONCLUSIONS: TBK1 gene copy-number variations
(gene duplications and triplications) have been previously associated with normal
tension glaucoma. An exploration of other open-angle glaucomas detected a TBK1
copy-number variation in a patient with exfoliation glaucoma, which is the first
example of a TBK1 mutation in a glaucoma patient with a diagnosis other than
normal-tension glaucoma. A broader phenotypic range may be associated with TBK1
copy-number variations, although mutations in this gene are most often detected
in patients with normal-tension glaucoma.
PMID- 27881889
TI - Expression of CAF-Related Proteins Is Associated with Histologic Grade of Breast
Phyllodes Tumor.
AB - Purpose. The purpose of this study was to investigate the expression of cancer
associated fibroblast- (CAF-) related proteins and the implications in breast
phyllodes tumor (PT). Methods. Tissue microarrays of 194 PT cases (151 benign PT,
27 borderline PT, and 16 malignant PT) were constructed. We performed
immunohistochemical staining for CAF-related proteins (podoplanin, prolyl 4
hydroxylase, FAPalpha, S100A4, PDGFR alpha/beta, and NG2) and analyzed the
results according to clinicopathologic parameters. Results. Expression of
PDGFRalpha and PDGFRbeta in the stromal component increased with increasing
histologic grade of PT (p = 0.003 and p = 0.034, resp.). Among clinicopathologic
parameters, only expression of FAPalpha in stroma was associated with distant
metastasis (p = 0.002). In univariate analysis, stromal expression of PDGFRalpha
was associated with shorter overall survival (p = 0.002). In Cox multivariate
analysis, stromal overgrowth and PDGFRalpha stromal positivity were associated
with shorter overall survival (p = 0.006 and p = 0.050, resp.). Furthermore,
expression of PDGFRbeta in stroma was associated with shorter overall survival in
patients with malignant PT (p = 0.041). Conclusion. Stromal expression of
PDGFRalpha and PDGFRbeta increased with increasing histologic grade of PT. In
addition, PDGFR stromal positivity was associated with shorter overall survival.
These results suggest that CAFs are associated with breast PT progression.
PMID- 27881892
TI - Income Inequality, Income, and Internet Searches for Status Goods: A Cross
National Study of the Association Between Inequality and Well-Being.
AB - Is there a positive association between a nation's income inequality and concerns
with status competition within that nation? Here we use Google Correlate and
Google Trends to examine frequency of internet search terms and find that people
in countries in which income inequality is high search relatively more frequently
for positional brand names such as Prada, Louis Vuitton, or Chanel. This tendency
is stronger among well-developed countries. We find no evidence that income alone
is associated with searches for positional goods. We also present evidence that
the concern with positional goods does not reflect non-linear effects of income
on consumer spending, either across nations or (extending previous findings that
people who live in unequal US States search more for positional goods) within the
USA. It is concluded that income inequality is associated with greater concerns
with positional goods, and that this concern is reflected in internet searching
behaviour.
PMID- 27881891
TI - Smaller Saami Herding Groups Cooperate More in a Public Goods Experiment.
PMID- 27881893
TI - What Predicts Adolescent Delinquent Behavior in Hong Kong? A Longitudinal Study
of Personal and Family Factors.
AB - Using four waves of data from Secondary 1 to Secondary 4 (N = 3328 students at
Wave 1), this study examined the development of delinquent behavior and its
relationships with economic disadvantage, family non-intactness, family quality
of life (i.e., family functioning) and personal well-being (i.e., positive youth
development) among Hong Kong adolescents. Individual growth curve models revealed
that delinquent behavior increased during this period, and adolescents living in
non-intact families (vs. intact families) reported higher initial levels of
delinquent behavior while those living in poor families (vs. non-poor families)
showed a greater increase in delinquent behavior. In addition, with the
demographic factors controlled, the initial levels of family quality of life and
personal well-being were negatively associated with the initial level of
delinquent behavior, but positively associated with the growth rate of delinquent
behavior. Regression analyses showed that family quality of life and personal
well-being were related to the overall delinquent behavior concurrently at Wave
4. However, Wave 1 family quality of life and personal well-being did not predict
Wave 4 delinquent behavior with the initial level of delinquent behavior
controlled. Lastly, we discussed the role of economic disadvantage and family non
intactness as risk factors and family functioning and positive youth development
as protective well-being factors in the development of adolescent well-being
indexed by delinquent behavior.
PMID- 27881894
TI - Competition-related factors directly influence preferences for facial cues of
dominance in allies.
AB - ABSTRACT: Alliance formation is a critical dimension of social intelligence in
political, social and biological systems. As some allies may provide greater
"leverage" than others during social conflict, the cognitive architecture that
supports alliance formation in humans may be shaped by recent experience, for
example in light of the outcomes of violent or non-violent forms intrasexual
competition. Here we used experimental priming techniques to explore this issue.
Consistent with our predictions, while men's preferences for dominant allies
strengthened following losses (compared to victories) in violent intrasexual
contests, women's preferences for dominant allies weakened following losses
(compared to victories) in violent intrasexual contests. Our findings suggest
that while men may prefer dominant (i.e. masculine) allies following losses in
violent confrontation in order to facilitate successful resource competition,
women may "tend and befriend" following this scenario and seek support from
prosocial (i.e. feminine) allies and/or avoid the potential costs of dominant
allies as long-term social partners. Moreover, they demonstrate facultative
responses to signals related to dominance in allies, which may shape sex
differences in sociality in light of recent experience and suggest that
intrasexual selection has shaped social intelligence in humans. SIGNIFICANCE
STATEMENT: Although alliance formation is an important facet of social
intelligence in political and biological systems, we know relatively little about
the cognitive processes involved in social preferences for allies. As recent
experience may alter the leverage provided by different social partners, here we
tested whether preferences for facial cues to dominance-prosociality (masculinity
femininity) alter in light of recent experience of violent and economic contests
for status. Our findings demonstrate sex-specific responses to these facial cues.
While men's preferences for facial cues related to dominance in allies strengthen
following losses (compared to wins) in violent contests, women's preferences for
facial cues related to dominance in allies weaken following losses (compared to
wins) in violent contests. These findings suggest that intrasexual selection, in
part, has shaped the evolution of social intelligence in humans as revealed in
flexibility in social preferences for allies.
PMID- 27881896
TI - Dynamic habitat corridors for marine predators; intensive use of a coastal
channel by harbour seals is modulated by tidal currents.
AB - ABSTRACT: Previous studies have found that predators utilise habitat corridors to
ambush prey moving through them. In the marine environment, coastal channels
effectively act as habitat corridors for prey movements, and sightings of
predators in such areas suggest that they may target these for foraging. Unlike
terrestrial systems where the underlying habitat structure is generally static,
corridors in marine systems are in episodic flux due to water movements created
by tidal processes. Although these hydrographic features can be highly complex,
there is generally a predictable underlying cyclic tidal pattern to their
structure. For marine predators that must find prey that is often patchy and
widely distributed, the underlying temporal predictability in potential foraging
opportunities in marine corridors may be important drivers in their use. Here, we
used data from land-based sightings and 19 harbour seals (Phoca vitulina) tagged
with high-resolution GPS telemetry to investigate the spatial and temporal
distribution patterns of seals in a narrow tidal channel. These seals showed a
striking pattern in their distribution; all seals spent a high proportion of
their time around the narrowest point of the channel. There was also a
distinctive tidal pattern in the use of the channel; sightings of seals in the
water peaked during the flood tide and were at a minimum during the ebb tide.
This pattern is likely to be related to prey availability and/or foraging
efficiency driven by the underlying tidal pattern in the water movements through
the channel. SIGNIFICANCE STATEMENT: To maximise foraging efficiency, predators
often make use of narrow constrictions in habitat to intercept prey using these
corridors for movement. In the marine environment, narrow channels may act as
corridors, and sightings of predators suggest that they may target these for
foraging. Despite this, there is little information on how individual predators
use such areas. Here, we investigate how individual harbour seals use a narrow
coastal channel subject to strong tidal currents; results showed that seals spent
the majority of their time at the narrowest point of the channel foraging during
peak tidal currents. This highlights the importance of narrow channels for marine
predators and suggests that this usually wide-ranging predator may restrict its
geographic range to forage in the channel as a result of increased prey
availability and/or foraging efficiency driven by water movements through the
narrow corridor.
PMID- 27881895
TI - State-dependent behavior and alternative behavioral strategies in brown trout
(Salmo trutta L.) fry.
AB - ABSTRACT: Animals generally adjust their behavior in response to bodily state
(e.g., size and energy reserves) to optimize energy intake in relation to
mortality risk, weighing predation probability against the risk of starvation.
Here, we investigated whether brown trout Salmo trutta adjust their behavior in
relation to energetic status and body size during a major early-life selection
bottleneck, when fast growth is important. Over two consecutive time periods (P1
and P2; 12 and 23 days, respectively), food availability was manipulated, using
four different combinations of high (H) and low (L) rations (i.e., HH, HL, LH,
and LL; first and second letter denoting ration during P1 and P2, respectively).
Social effects were excluded through individual isolation. Following the
treatment periods, fish in the HL treatment were on average 15-21 % more active
than the other groups in a forced open-field test, but large within-treatment
variation provided only weak statistical support for this effect. Furthermore,
fish on L-ration during P2 tended to be more actively aggressive towards their
mirror image than fish on H-ration. Body size was related to behavioral
expression, with larger fish being more active and aggressive. Swimming activity
and active aggression were positively correlated, forming a behavioral syndrome
in the studied population. Based on these behavioral traits, we could also
distinguish two behavioral clusters: one consisting of more active and aggressive
individuals and the other consisting of less active and aggressive individuals.
This indicates that brown trout fry adopt distinct behavioral strategies early in
life. SIGNIFICANCE STATEMENT: This paper provides information on the state
dependence of behavior in animals, in particular young brown trout. On the one
hand, our data suggest a weak energetic state feedback where activity and
aggression is increased as a response to short term food restriction. This
suggests a limited scope for behavioral alterations in the face of starvation. On
the other hand, body size is linked to higher activity and aggression, likely as
a positive feedback between size and dominance. The experiment was carried out
during the main population survival bottleneck, and the results indicate that
growth is important during this stage, as 1) behavioral compensation to increase
growth is limited, and 2) growth likely increases the competitive ability.
However, our data also suggests that the population separates into two clusters,
based on combined scores of activity and aggression (which are positively linked
within individuals). Thus, apart from an active and aggressive strategy, there
seems to be another more passive behavioral strategy.
PMID- 27881897
TI - Elevated reproduction does not affect telomere dynamics and oxidative stress.
AB - ABSTRACT: Oxidative stress and telomere dynamics are considered to be powerful
biomarkers quantifying a potential trade-off between current reproduction and
self-maintenance. Recent studies confirmed the negative impact of elevated
reproduction on telomeres, but the evidence for the cost of reproduction in terms
of oxidative stress remains equivocal. In order to induce reproductive costs, we
experimentally manipulated reproductive effort by increasing brood size in
captive zebra finches (Taeniopygia guttata) and additionally challenged all birds
by a low ambient temperature to facilitate detection of these costs. We were not
able to show any negative effects of elevated reproductive effort on telomere
dynamics and oxidative stress among parents, although brood enlargement was
effective in terms of total mass and number of fledged young. Interestingly,
irrespective of brood size treatment, we found a significant increase in
antioxidant capacity at peak breeding while oxidative damage did not change with
time. Our results may suggest that reproduction, instead of generating costs, may
stimulate physiological functions promoting self-maintenance in terms of higher
protection against free radicals. Possibly, opportunistic breeders such as zebra
finches may not impede their future performance for the sake of current
reproduction. SIGNIFICANCE STATEMENT: This study interrogates a molecular
background behind one of the most intriguing trade-offs that potentially occurs
between self-maintenance and reproduction. We manipulated breeding effort in
zebra finches to understand if the cost of reproduction can be mediated by
telomere dynamics and oxidative stress. In our study system, we did not detect
the direct reproductive costs in terms of parental oxidative damage and telomere
loss; instead, these costs were paid by the offspring in terms of their inhibited
growth rate. Moreover, we found that entering into the reproductive state
strongly stimulated self-maintenance by increasing antioxidant capacity in
parents. Our results emphasize that current reproductive success is not always
prioritized over investment in body maintenance preventing the oxidative cost of
reproduction.
PMID- 27881898
TI - Chromosomal Localization and Contribution of Three Homoeologous Genes to
Biosynthesis of Cytosolic Aspartate Aminotransferase in Common Wheat.
AB - Chromosomal localization of the three homoeologous genes encoding cytosolic
aspartate aminotransferase in common wheat (Triticum aestivum cv. Chinese Spring,
2n = 6x = 42, AABBDD) was specified to: 3AL (0.42/0.61), 3BL (0.38/0.41) and 3DL
(0.23/0.81) by a comparative zymographic analysis of the enzymatic activities in
deletion lines. It was also attempted to precisely explain the nature of the
relationship between a number of genes encoding alpha and beta subunits and a
distribution of staining intensity of cytosolic aspartate aminotransferase
allozyme activity bands using aneuploid lines of common wheat with modified third
pair of homoeologous chromosomes from genomes A, B and D, on which the genes
encoding subunit alpha (genome A) and beta (genome B and D) are localized. The
highest consistency between the experimental results and the theoretical
distributions was achieved by substituting values of alpha = 0.57 and beta = 0.43
in a theoretical model. These results demonstrate that the individual
participation of the diploid genome A in the biosynthesis of the cytosolic
aspartate aminotransferase allozymes subunits is greater than the individual
participation of the diploid genomes B and D.
PMID- 27881900
TI - Organizational Conspiracy Beliefs: Implications for Leadership Styles and
Employee Outcomes.
AB - PURPOSE: Belief in conspiracy theories about societal events is widespread among
citizens. The extent to which conspiracy beliefs about managers and supervisors
matter in the micro-level setting of organizations has not yet been examined,
however. We investigated if leadership styles predict conspiracy beliefs among
employees in the context of organizations. Furthermore, we examined if such
organizational conspiracy beliefs have implications for organizational commitment
and turnover intentions. DESIGN/METHODOLOGY/APPROACH: We conducted a survey among
a random sample of the US working population (N = 193). FINDINGS: Despotic,
laissez-faire, and participative leadership styles predicted organizational
conspiracy beliefs, and the relations of despotic and laissez-faire leadership
with conspiracy beliefs were mediated by feelings of job insecurity. Furthermore,
organizational conspiracy beliefs predicted, via decreased organizational
commitment, increased turnover intentions. IMPLICATIONS: Organizational
conspiracy beliefs matter for how employees perceive their leaders, how they feel
about their organization, and whether or not they plan to quit their jobs. A
practical implication, therefore, is that it would be a mistake for managers to
dismiss organizational conspiracy beliefs as innocent rumors that are harmless to
the organization. ORIGINALITY/VALUE: Three novel conclusions emerge from this
study. First, organizational conspiracy beliefs occur frequently among employees.
Second, participative leadership predicts decreased organizational conspiracy
beliefs; despotic and laissez-faire leadership predict increased organizational
conspiracy beliefs due to the contribution of these destructive leadership styles
to an insecure work environment. Third, organizational conspiracy beliefs harm
organizations by influencing employee commitment and, indirectly, turnover
intentions.
PMID- 27881901
TI - Applicant Personality and Procedural Justice Perceptions of Group Selection
Interviews.
AB - PURPOSE: We investigated how job applicants' personalities influence perceptions
of the structural and social procedural justice of group selection interviews
(i.e., a group of several applicants being evaluated simultaneously). We
especially addressed trait interactions between neuroticism and extraversion (the
affective plane) and extraversion and agreeableness (the interpersonal plane).
DESIGN/METHODOLOGY/APPROACH: Data on personality (pre-interview) and justice
perceptions (post-interview) were collected in a field study among job applicants
(N = 97) attending group selection interviews for positions as teachers in a
Norwegian high school. FINDINGS: Interaction effects in hierarchical regression
analyses showed that perceptions of social and structural justice increased with
levels of extraversion among high scorers on neuroticism. Among emotionally
stable applicants, however, being introverted or extraverted did not matter to
justice perceptions. Extraversion did not impact on the perception of social
justice for applicants low in agreeableness. Agreeable applicants, however,
experienced the group interview as more socially fair when they were also
extraverted. IMPLICATIONS: The impact of applicant personality on justice
perceptions may be underestimated if traits interactions are not considered.
Procedural fairness ratings for the group selection interview were high, contrary
to the negative reactions predicted by other researchers. There was no indication
that applicants with desirable traits (i.e., traits predictive of job
performance) reacted negatively to this selection tool. ORIGINALITY/VALUE:
Despite the widespread use of interviews in selection, previous studies of
applicant personality and fairness reactions have not included interviews. The
study demonstrates the importance of previously ignored trait interactions in
understanding applicant reactions.
PMID- 27881899
TI - The Comparatively Proteomic Analysis in Response to Cold Stress in Cassava
Plantlets.
AB - Cassava (Manihot esculenta Crantz) is a tropical root crop and sensitive to low
temperature. However, it is poorly to know how cassava can modify its metabolism
and growth to adapt to cold stress. An investigation aimed at a better
understanding of cold-tolerant mechanism of cassava plantlets was carried out
with the approaches of physiology and proteomics in the present study. The
principal component analysis of seven physiological characteristics showed that
electrolyte leakage (EL), chlorophyll content, and malondialdehyde (MDA) may be
the most important physiological indexes for determining cold-resistant abilities
of cassava. The genome-wide proteomic analysis showed that 20 differential
proteins had the same patterns in the apical expanded leaves of cassava SC8 and
Col1046. They were mainly related to photosynthesis, carbon metabolism and energy
metabolism, defense, protein synthesis, amino acid metabolism, signal
transduction, structure, detoxifying and antioxidant, chaperones, and DNA-binding
proteins, in which 40 % were related with photosynthesis. The remarkable
variation in photosynthetic activity and expression level of peroxiredoxin is
closely linked with expression levels of proteomic profiles. Moreover, analysis
of differentially expressed proteins under cold stress is an important step
toward further elucidation of mechanisms of cold stress resistance.
PMID- 27881902
TI - Temperature-Dependent Oxygen Effect on NMR D-[Formula: see text] Relaxation
Diffusion Correlation of n-Alkanes.
AB - Nuclear magnetic resonance (NMR) diffusion-relaxation correlation experiments (D
[Formula: see text]) are widely used for the petrophysical characterisation of
rocks saturated with petroleum fluids both in situ and for laboratory analyses.
The encoding for both diffusion and relaxation offers increased fluid typing
contrast by discriminating fluids based on their self-diffusion coefficients,
while relaxation times provide information about the interaction of solid and
fluid phases and associated confinement geometry (if NMR responses of pure fluids
at particular temperature and pressure are known). Petrophysical interpretation
of D-[Formula: see text] correlation maps is typically assisted by the "standard
alkane line"-a relaxation-diffusion correlation valid for pure normal alkanes and
their mixtures in the absence of restrictions to diffusing molecules and effects
of internal gradients. This correlation assumes fluids are free from paramagnetic
impurities. In situations where fluid samples cannot be maintained at air-free
state the diffusion-relaxation response of fluids shift towards shorter
relaxation times due to oxygen paramagnetic relaxation enhancement.
Interpretation of such a response using the "standard alkane line" would be
erroneous and is further complicated by the temperature-dependence of oxygen
solubility for each component of the alkane mixture. We propose a diffusion
relaxation correlation suitable for interpretation of low-field NMR D-[Formula:
see text] responses of normal alkanes and their mixtures saturating rocks over a
broad temperature range, in equilibrium with atmospheric air. We review and where
necessary revise existing viscosity-relaxation correlations. Findings are applied
to diffusion-relaxation dependencies taking into account the temperature
dependence of oxygen solubility and solvent vapour pressure. The effect is
demonstrated on a partially saturated carbonate rock.
PMID- 27881903
TI - Forskolin Inhibits Lipopolysaccharide-Induced Modulation of MCP-1 and GPR120 in
3T3-L1 Adipocytes through an Inhibition of NFkappaB.
AB - In an obese state, Toll-like receptor-4 (TLR-4) upregulates proinflammatory
adipokines secretion including monocyte chemotactic protein-1 (MCP-1) in adipose
tissue. In contrast, G-protein coupled receptor 120 (GPR120) mediates antiobesity
effects. The aim of this study was to determine the signaling pathway by which
Forskolin (FK), a cyclic adenosine monophosphate- (cAMP-) promoting agent causing
positive changes in body composition in overweight and obese adult men, affects
MCP-1 and GPR120 expression during an inflammatory response induced by
lipopolysaccharide (LPS) in adipocytes, such as in an obese state. 3T3-L1 cells
differentiated into adipocytes (DC) were stimulated with LPS in the absence or
presence of FK and inhibitors of TLR-4 and inhibitor of kappa B (IkappaBalpha).
In DC, LPS increased MCP-1, TLR-4, and nuclear factor-kappaB1 (NFkappaB1) mRNA
levels, whereas it decreased GPR120 mRNA levels. In DC, FK inhibited the LPS
induced increase in MCP-1, TLR-4, and NFkappaB1 mRNA levels and the LPS-induced
decrease in GPR120 mRNA. BAY11-7082 and CLI-095 abolished these LPS-induced
effects. In conclusion, FK inhibits LPS-induced increase in MCP-1 mRNA levels and
decrease in GPR120 mRNA levels in adipocytes and may be a potential treatment for
inflammation in obesity. Furthermore, TLR-4-induced activation of NFkappaB may be
involved in the LPS-induced regulation of these genes.
PMID- 27881905
TI - Qualitative longitudinal analysis of symptoms in patients with primary and
metastatic brain tumours.
AB - Primary and metastatic brain tumour patients are treated with surgery, radiation
therapy and chemotherapy. Such treatments often result in short- and long-term
symptoms that impact cognitive, emotional and physical function. Therefore,
understanding the transition of symptom burden over time is important for guiding
treatment and follow-up of brain tumour patients with symptom-specific
interventions. We describe the use of a hidden Markov model with person-specific
random effects for the temporal pattern of symptom burden. Clinically relevant
covariates are also incorporated in the analysis through the use of generalized
linear models.
PMID- 27881904
TI - Long Noncoding RNAs in Metabolic Syndrome Related Disorders.
AB - Ribonucleic acids (RNAs) are very complex and their all functions have yet to be
fully clarified. Noncoding genes (noncoding RNA, sequences, and pseudogenes)
comprise 67% of all genes and they are represented by housekeeping noncoding RNAs
(transfer RNA (tRNA), ribosomal RNA (rRNA), small nuclear RNA (snRNA), and small
nucleolar RNA (snoRNA)) that are engaged in basic cellular processes and by
regulatory noncoding RNA (short and long noncoding RNA (ncRNA)) that are
important for gene expression/transcript stability. In this review, we summarize
data concerning the significance of long noncoding RNAs (lncRNAs) in metabolic
syndrome related disorders, focusing on adipose tissue and pancreatic islands.
PMID- 27881906
TI - Genetic and immunohistochemical analysis of HSPA5 in mouse and human retinas.
AB - PURPOSE: Photoreceptor degenerative diseases are among the leading causes of
vision loss. Although the causative genetic mutations are often known, mechanisms
leading to photoreceptor degeneration remain poorly defined. We have previously
demonstrated that the photoreceptor membrane-associated protein XAP-1 antigen is
a product of the HSPA5 gene. In this study, we used systems genetic methods,
statistical modeling, and immunostaining to identify and analyze candidate genes
that modulate Hspa5 expression in the retina. METHODS: Quantitative trait locus
(QTL) mapping was used to map the genomic region that regulates Hspa5 in the
cross between C57BL/6J X DBA/2J mice (BXD) genetic reference panel. The stepwise
refinement of candidate genes was based on expression QTL mapping, gene
expression correlation analyses (direct and partial), and analysis of regional
sequence variants. The subcellular localization of candidate proteins and HSPA5
in mouse and human retinas was evaluated by immunohistochemistry. Differences in
the localization of extracellular HSPA5 were assessed between healthy human donor
and atrophic age-related macular degeneration (AMD) donor eyes. RESULTS: In the
eyes of healthy mice, extracellular HSPA5 was confined to the area around the
cone photoreceptor outer segments. Mapping variation in Hspa5 mRNA expression
levels in the retina revealed a statistically significant trans-acting expression
QTL (eQTL) on Chromosome 2 (Chr 2) and a suggestive locus on Chr 15. Sulf2 on Chr
2 was the strongest candidate gene based on partial correlation analysis, Pearson
correlation with Hspa5, expression levels in the retina, a missense variant in
exon 14, and its reported function in the extracellular matrix and
interphotoreceptor matrix. SULF2 is localized to the rod and cone photoreceptors
in both human and mouse retinas. In human retinas with no pathology,
extracellular HSPA5 was localized around many cones within the macular area. In
contrast, fewer HSPA5-immunopositive cones were observed in the retinas from AMD
donors. CONCLUSIONS: We identified Sulf2 as a candidate gene modulating the Hspa5
expression in the retina. The preferential loss of HSPA5 in the
interphotoreceptor matrix around cone photoreceptors in atrophic AMD retinas
opens up new avenues for exploring the changes in interphotoreceptor matrix (IPM)
that are associated with macular disease.
PMID- 27881908
TI - Functional study of two biochemically unusual mutations in GUCY2D Leber
congenital amaurosis expressed via adenoassociated virus vector in mouse retinas.
AB - PURPOSE: To test, in living photoreceptors, two mutations, S248W and R1091x, in
the GUCY2D gene linked to Leber congenital amaurosis 1 (LCA1) that fail to
inactivate the catalytic activity of a heterologously expressed retinal membrane
guanylyl cyclase 1 (RetGC1). METHODS: GUC2YD cDNA constructs coding for wild-type
human (hWT), R1091x, and S248W GUCY2D under the control of the human rhodopsin
kinase promoter were expressed in Gucy2e-/-Gucy2f-/- knockout (GCdKO) mouse
retinas, which lack endogenous RetGC activity. The constructs were delivered via
subretinally injected adenoassociated virus (AAV) vector in one eye, leaving the
opposite eye as the non-injected negative control. After testing with
electroretinography (ERG), the retinas extracted from the AAV-treated and control
eyes were used in guanylyl cyclase activity assays, immunoblotting, and anti
RetGC1 immunofluorescence staining. RESULTS: Cyclase activity in retinas treated
with either hWT or R1091x GUCY2D transgenes was similar but was undetectable in
the S248W GUCY2D-treated retinas, which starkly contrasts their relative
activities when heterologously expressed in human embryonic kidney (HEK293)
cells. Rod and cone ERGs, absent in GCdKO, appeared in the hWT and R1091x GUCY2D
injected eyes, while the S248W mutant failed to restore scotopic ERG response and
enabled only rudimentary photopic ERG response. The hWT and R1091x GUCY2D
immunofluorescence was robust in the rod and cone outer segments, whereas the
S248W was detectable only in the sparse cone outer segments and sporadic
photoreceptor cell bodies. Robust RetGC1 expression was detected with
immunoblotting in the hWT and R1091x-treated retinas but was marginal at best in
the S248W GUCY2D retinas, despite the confirmed presence of the S248W GUCY2D
transcripts. CONCLUSIONS: The phenotype of S248W GUCY2D in living retinas did not
correlate with the previously described normal biochemical activity of this
mutant when heterologously expressed in non-photoreceptor cell culture. This
result suggests that the S248W mutation contributes to LCA1 by hampering the
expression, processing, and/or cellular transport of GUCY2D, rather than its
enzymatic properties. In contrast, the effective restoration of rod and cone
function by R1091x GUCY2D is paradoxical and does not explain the severe loss of
vision typical for LCA1 associated with that mutant allele.
PMID- 27881909
TI - Comparison of choroidal thickness measurements between spectral-domain OCT and
swept-source OCT in normal and diseased eyes.
AB - PURPOSE: Sub-foveal choroidal thickness (SFCT) is affected in many ocular
diseases. The aim of this study was to compare SFCT measurements between Topcon
3D 2000 spectral-domain optical coherence tomography (SD-OCT) and Topcon swept
source OCT (SS-OCT), with different laser wavelengths, in normal and diseased
populations. MATERIALS AND METHODS: This was a prospective, cross-sectional,
noninterventional study including 27 normal volunteers and 27 participants with
retinal disease. OCT scans were performed sequentially and under standardized
conditions using both SD-OCT and SS-OCT. The OCT scans were evaluated by two
independent graders. Paired t-tests and intraclass correlation coefficients
(ICCs) were used to assess the statistically significant difference between SFCT
measurements as measured by the two devices. RESULTS: Mean SFCT measurements for
all 54 participants were 264.9+/-103.1 MUm using SD-OCT (range: 47-470 MUm) and
278.5+/-110.5 MUm using SS-OCT (range: 56-502 MUm), with an inter-device ICC of
0.850. Greater variability was noted in the diseased eyes. Inter-device ICCs were
0.870 (95% CI; 0.760-0.924) and 0.840 (95% CI; 0.654-0.930) for normal and
diseased eyes, respectively. However, the difference was not statistically
significant (P=0.132). CONCLUSION: Both machines reliably measure SFCT. Larger
studies are needed to confirm these findings.
PMID- 27881907
TI - Retinal safety of intravitreal rtPA in healthy rats and under excitotoxic
conditions.
AB - PURPOSE: Intravitreal recombinant tissue plasminogen activator (rtPA) is used off
label for the surgical management of submacular hemorrhage, a severe complication
of neovascular age-related macular degeneration. rtPA is approved for coronary
and cerebral thrombolysis. However, in ischemic stroke rtPA is known to increase
excitotoxic neural cell death by interacting with the N-methyl-D-aspartate (NMDA)
receptor. We therefore investigated the retinal toxicity of rtPA in healthy rats
and in a model of NMDA-induced retinal excitotoxicity. METHODS: First, rtPA at
three different doses (2.16 ug/5 ul, 0.54 ug/5 ul, and 0.27 ug/5 ul) or vehicle
(NaCl 0.9%) was injected intravitreally in healthy rat eyes. Electroretinograms
(ERGs) were performed at 24 h or 7 days. Annexin V-fluorescein isothiocyanate
(FITC)-labeled apoptotic retinal ganglion cells (RGCs) were counted on
flatmounted retinas at 24 h or 7 days. Next, NMDA + vehicle or NMDA + rtPA (0.27
ug/5 ul) was injected intravitreally to generate excitotoxic conditions.
Apoptotic annexin V-FITC-labeled RGCs and surviving Brn3a-labeled RGCs were
quantified on flatmounted retinas and radial sections, 18 h after treatment.
RESULTS: In healthy rat eyes, the number of apoptotic RGCs was statistically
significantly increased 24 h after the administration of rtPA at the highest dose
(2.16 ug/5 ul; p = 0.0250) but not at the lower doses of 0.54 and 0.27 ug/5 ul (p
= 0.36 and p = 0.20), compared to vehicle. At day 7, there was no difference in
the apoptotic RGC count between the rtPA- and vehicle-injected eyes (p = 0.70, p
= 0.52, p = 0.11). ERG amplitudes and implicit times were not modified at 24 h or
7 days after injection of any tested rtPA doses, compared to the baseline.
Intravitreal administration of NMDA induced RGC death, but under these
excitotoxic conditions, coadministration of rtPA did not increase the number of
dead RGCs (p = 0.70). Similarly, the number of surviving RGCs on the flatmounted
retinas and retinal sections did not differ between the eyes injected with NMDA +
vehicle and NMDA + rtPA (p = 0.59 and p = 0.67). CONCLUSIONS: At low clinical
equivalent doses corresponding to 25 ug/0.1 ml in humans, intravitreal rtPA is
not toxic for healthy rat retinas and does not enhance NMDA-induced
excitotoxicity. Vitreal equivalent doses >=200 ug/0.1 ml should be avoided in
patients, due to potential RGC toxicity.
PMID- 27881910
TI - Low-dose modified-release prednisone in axial spondyloarthritis: 3-month efficacy
and tolerability.
AB - BACKGROUND: Oral glucocorticoids (GCs) have been shown to be effective in
reducing the inflammatory symptoms of rheumatoid arthritis, but their use is not
supported by evidence in spondyloarthritis (SpA). Modified-release (MR) oral
prednisone taken at bedtime has been shown to be more effective than immediate
release prednisone taken in the morning. The efficacy of low-dose MR prednisolone
in patients with SpA is unknown. PATIENTS AND METHODS: This single-center cohort
study retrospectively assessed the effectiveness and safety of 12-week low-dose
MR prednisone (5 mg daily, bedtime administration) in GC-naive adult patients
with symptomatic axial SpA. A 50% improvement of the Bath Ankylosing Spondylitis
Disease Activity Index (BASDAI) or a final BASDAI score of <4 according to
disease activity at baseline was chosen as the primary outcome parameter after MR
prednisone. RESULTS: Fifty-seven patients were evaluated; of them, 41 had an
active disease (BASDAI score of >=4) at baseline. MR prednisone significantly
reduced BASDAI (from 5.5+/-2.6 to 3.0+/-2.8, P<0.001) as well as inflammatory
symptoms, pain, fatigue and morning stiffness. The overall response rate after MR
prednisone was 52.6% (53.7% in patients with active SpA and 50.0% in patients
with low-active disease; nonsignificant). At multivariable analysis, none of the
considered clinical findings independently predicted the response to MR
prednisone in subjects with active SpA. Overall, seven patients (11.8%) had
nonserious adverse drug reactions after MR prednisone. CONCLUSION: In patients
with symptomatic SpA and naive to GCs, low-dose MR prednisone reduced the
symptoms and clinical indexes of disease activity and showed a positive safety
profile.
PMID- 27881911
TI - Systematic review and meta-analysis of randomized controlled trials on Wenxin
keli.
AB - OBJECTIVE: The aim of the study was to evaluate the effectiveness, safety, and
cost associated with Wenxin keli in the treatment of cardiovascular diseases
based on meta-analysis. METHODS: The terms "Wenxin keli" and "Wenxin" were used
as the search terms in the PubMed, ProQuest, Springer, the Cochrane Library, CNKI
(China National Knowledge Infrastructure), VIP (Chinese Scientific Journals
Database), and Wan fang electronic databases (from January 2000 to October 2015).
Relevant print journals and conference papers were also searched. Studies on
randomized controlled trials (RCTs) of Wenxin keli used in the treatment of
cardiovascular diseases were screened, and its indications were classified. Meta
analysis of these studies was conducted using the RevMan 5.2 software. RESULTS: A
total of 49 RCTs (n=4,610) were included, 29 of which focused on arrhythmia,
seven on angina, seven on heart failure, two on viral myocarditis, and four on
menopausal syndrome. Analysis of the therapeutic indications of Wenxin keli
showed that it was comparatively more curative and effective than other available
treatments for cardiovascular diseases. CONCLUSION: Wenxin keli showed better
clinical efficacy in the treatment of arrhythmia, angina, and heart failure;
however, more high-quality evidence is needed to support its use in the clinical
setting.
PMID- 27881913
TI - Effectiveness of the Vital Aging program to promote active aging in Mexican older
adults.
AB - INTRODUCTION: Aging is not only a population phenomenon but also an experience
and an individual reality. Vital Aging(r) is a program that considers active
aging as the lifelong adaptation process of maximizing health and independence,
physical and cognitive functioning, positive affect regulation and control, and
social engagement. Through its different versions and editions, it has
demonstrated being an effective program to promote active aging. The aim of this
study is to determine the effectiveness of the "face-to-face" and "combined"
versions of the program to promote active aging in Mexican older adults trial.
METHODS: Seventy-six older adults aged 60 years and over participated in a quasi
experimental study and were recruited in a senior center to participate in the
two experimental conditions: Vital Aging face-to-face (VA-FF) (n=35) and Vital
Aging combined (VA-C; multimedia/face-to-face) (n=15), and the remaining 26
adults were assigned to a control group. Pretest and posttest assessments were
performed after the theoretical-practical intervention. Mean differences and size
effects were calculated for estimating the effect of the program. RESULTS: At the
end of the study, participants showed improvements in the active aging outcome
measures. Positive effects were observed in the frequency of intellectual,
cultural - artistic, and social activities, perceptions of aging, satisfaction
with social relationships, and self-efficacy for aging. Additionally, those who
participated in VA-FF showed better memory performance, meta-memory, and a trend
to report less memory problems, while older persons in VA-C showed a trend to
have better life satisfaction. No effects were observed in physical activity,
frequency of social relationships, and subjective health. CONCLUSION: Findings
show that the Vital Aging program in face-to-face and combined versions
encourages active aging in Mexican older persons. These results are in general
similar to those found in editions performed in Spain, revealing its consistency
as a cross-cultural practical initiative for promoting active aging.
PMID- 27881912
TI - Assessing the feasibility and quality of shared decision making in China:
evaluating a clinical encounter intervention for Chinese patients.
AB - BACKGROUND: The aim of this study was to evaluate the feasibility of using the
Statin Choice decision aid to have discussions about starting a statin medication
for cardiovascular risk reduction in Chinese patients with stable coronary artery
diseases. METHODS: A prospective, pilot study of the Statin Choice decision aid
in two teaching hospitals in Northern China was conducted. A total of seven
clinicians were enrolled and underwent a 12-hour, group-based, in-person training
on shared decision making (SDM) and the Statin Choice decision aid. Then, these
clinicians used the Statin Choice decision aid in patients during a clinical
encounter. A total of 86 patients aged 40-80 years, who had stable angina, were
enrolled. All clinical encounters were video recorded. A team of three
researchers viewed and scored all the encounter recordings to evaluate the SDM
process and fidelity to the intervention using the OPTION scale and Fidelity
scale, respectively. All the patients were followed up for 12 months to record
adherence to statin and any major adverse cardiac events (MACEs). RESULTS: The
average scores on the OPTION normalized score and Fidelity scale were 21 (range,
3-32; out of a possible, 48) and 10 (range, 6-10; out of a possible, 10),
respectively. This suggested that Chinese clinicians who were using Statin Choice
in their patients were able to exhibit behaviors consistent with SDM at a level
that is similar to that reported in Western countries. After SDM, the statin
adherence was 94.5% (69/73), and the proportion of MACEs was 2.9% (2/69).
CONCLUSION: Using an encounter decision aid developed in the US, it was feasible
to implement SDM in a referral cardiology practice in Mainland China. Further
work to ensure that the encounter aid is pertinent to the Chinese population and
that SDM is tested in at-risk patients could contribute to the implementation of
SDM across Mainland China.
PMID- 27881914
TI - Habitual cocoa intake reduces arterial stiffness in postmenopausal women
regardless of intake frequency: a randomized parallel-group study.
AB - Arterial stiffness is substantially higher in postmenopausal than in
premenopausal women. Daily cocoa intake has been shown to reduce central arterial
stiffness in health adults, regardless of age; however, the effect of cocoa
intake frequency on arterial stiffness in postmenopausal women remains unclear.
Therefore, the purpose of this study was to investigate the effects of cocoa
intake frequency on arterial stiffness in postmenopausal women. A total of 26
postmenopausal women (mean age +/- standard deviation 64+/-12 years) were
randomly assigned to two groups with different cocoa-intake frequencies: one
group ingested 17 g of cocoa once daily except on Sundays (every-day group,
n=13), and the other ingested 17 g of cocoa twice daily every other day (every
other-day group, n=13). These intake regimens were maintained in both groups for
12 weeks. Carotid-femoral pulse-wave velocity and femoral-ankle pulse-wave
velocity were measured in both groups at baseline and again at the end of the 12
week study period. Compared to baseline, both pulse-wave velocities had
significantly decreased after the 12-week study period in both groups (P<0.05).
However, no significant difference in degree of change was observed between the
two groups. Although this study did not include a sedentary control group, these
results suggest that regardless of frequency, habitual cocoa intake reduces
central and peripheral arterial stiffness in postmenopausal women.
PMID- 27881915
TI - COPD care delivery pathways in five European Union countries: mapping and health
care professionals' perceptions.
AB - BACKGROUND: COPD is among the leading causes of chronic morbidity and mortality
in the European Union with an estimated annual economic burden of ?25.1 billion.
Various care pathways for COPD exist across Europe leading to different responses
to similar problems. Determining these differences and the similarities may
improve health and the functioning of health services. OBJECTIVE: The aim of this
study was to compare COPD patients' care pathway in five European Union countries
including England, Ireland, the Netherlands, Greece, and Germany and to explore
health care professionals' (HCPs) perceptions about the current pathways.
METHODS: HCPs were interviewed in two stages using a qualitative, semistructured
email interview and a face-to-face semistructured interview. RESULTS: Lack of
communication among different health care providers managing COPD and
comorbidities was a common feature of the studied care pathways. General
practitioners/family doctors are responsible for liaising between different
teams/services, except in Greece where this is done through pulmonologists.
Ireland and the UK are the only countries with services for patients at home to
shorten unnecessary hospital stay. HCPs emphasized lack of communication, limited
resources, and poor patient engagement as issues in the current pathways.
Furthermore, no specified role exists for pharmacists and informal carers.
CONCLUSION: Service and professional integration between care settings using a
unified system targeting COPD and comorbidities is a priority. Better
communication between health care providers, establishing a clear role for
informal carers, and enhancing patients' engagement could optimize current care
pathways resulting in a better integrated system.
PMID- 27881917
TI - Preparation and characterization of novel chitosan-protamine nanoparticles for
nucleus-targeted anticancer drug delivery.
AB - It is well known that most anticancer drugs commonly show high toxicity to the
DNA of tumor cells and exert effects by combining with the DNA or associated
enzymes in the nucleus. Most developed drugs are first delivered into the
cytoplasm and then transferred to the nucleus through the membrane pores.
Sometimes, the transportation of drugs from cytoplasm to nucleus is not efficient
and often results in poor therapeutic effects. In this study, we developed
special and novel nanoparticles (NPs) made of chitosan and protamine for targeted
nuclear capture of drugs to enhance anticancer effects. The anticancer effects of
nuclear targeted-delivery of drugs in NPs were also evaluated by investigating
cytotoxicity, cellular uptake mechanism, and cell apoptosis on cells. Chitosan
protamine NPs were characterized by good drug entrapment, sustained release,
small average particle size, low polydispersity index, and high encapsulation
efficiency; and accomplished the efficient nuclear delivery of fluorouracil (5
Fu). Compared with free 5-Fu and 5-Fu-loaded chitosan NPs, treatment of A549
cells and HeLa cells with 5-Fu-loaded chitosan-protamine NPs showed the highest
cytotoxicity and further induced the significant apoptosis of cells. In addition,
5-Fu-loaded chitosan-protamine NPs exhibited the best efficiency in inhibiting
tumor growth than the other three formulations. 5-Fu-loaded chitosan-protamine
NPs enhanced antitumor efficacy through the targeted nuclear capture of drugs and
showed promising potential as a nanodelivery system for quickly locating drugs in
the nucleus of cells.
PMID- 27881916
TI - Osseointegration of nanohydroxyapatite- or nano-calcium silicate-incorporated
polyetheretherketone bioactive composites in vivo.
AB - Polyetheretherketone (PEEK) exhibits appropriate biomechanical strength as well
as good biocompatibility and stable chemical properties but lacks bioactivity and
cannot achieve highly efficient osseointegration after implantation.
Incorporating bioceramics into the PEEK matrix is a feasible approach for
improving its bioactivity. In this study, nanohydroxyapatite (n-HA) and nano
calcium silicate (n-CS) were separately incorporated into PEEK to prepare n
HA/PEEK and n-CS/PEEK biocomposites, respectively, using a compounding and
injection-molding technique, and the in vitro degradation characteristics were
evaluated. Discs with a diameter of 8 mm were inserted in 8 mm full-thickness
cranial defects in rabbits for 4 and 8 weeks, and implantation of pure PEEK was
used as the control. Three-dimensional microcomputed tomography, histological
analysis, fluorescence microscopy of new bone formation, and scanning electron
microscopy were used to evaluate the osseointegration performance at the
bone/implant interface. The results of the in vitro degradation study
demonstrated that degradation of n-CS on the surface of n-CS/PEEK could release
Ca and Si ions and form a porous structure. In vivo tests revealed that both n
CS/PEEK and n-HA/PEEK promoted osseointegration at the bone/implant interface
compared to PEEK, and n-CS/PEEK exhibited higher bone contact ratio and more new
bone formation compared with those of n-HA/PEEK, implying that n-CS/PEEK
possessed a stronger ability to promote osseointegration. These two PEEK
biocomposites are promising materials for the preparation of orthopedic or
craniofacial implants.
PMID- 27881918
TI - Sex differences in the course of schizophrenia across diverse regions of the
world.
AB - This study explores sex differences in the outcomes of patients with
schizophrenia (clinical/functional remission and recovery) across diverse regions
of the world (Northern Europe, Southern Europe, Central and Eastern Europe, Latin
America, East Asia, and North Africa and the Middle East). Data (n=16,380 for
this post hoc analysis) were taken from the World-Schizophrenia Health Outcomes
Study. In most regions, females had a later age at first service contact for
schizophrenia, a lower level of overall/negative symptom severity, lower rates of
alcohol/substance abuse and paid employment, and higher percentages of having a
spouse/partner and independent living. Overall, females had slightly higher rates
of clinical remission (58.0% vs 51.8%), functional remission (22.8% vs 16.0%),
and recovery (16.5% vs 16.0%) at 36 months (P<0.001 for all). This pattern was
consistently observed in Southern Europe and Northern Europe even after
controlling for baseline sex differences, but not in other regions. In Central
and Eastern Europe, rates of clinical remission were higher in females at 36
months, but those of functional remission and recovery were similar between males
and females. The opposite was observed for Latin America. In East Asia, sex
differences were rarely observed for these outcomes. Finally, in North Africa and
the Middle East, sex differences in these outcomes were pronounced only in
regression analyses. These regional variations shed light on the importance of
psychosocial and cultural factors and their effects on sex in the prognosis of
schizophrenia.
PMID- 27881919
TI - Posttraumatic stress and depression in Yazidi refugees.
AB - AIM: The aim of this investigation was to determine the frequency of mental
pathologies in children and adolescents of the Yazidi minority group who
immigrated to Turkey from Iraq. The refugees were asked about preventive and risk
factors that occurred before and after their immigration. SUBJECTS AND METHODS:
The sample comprised 55 children and adolescents (30 males and 25 females) who
were Yazidi refugees and had settled in the Uckuyular, Oguz, Onbasi, and Ugurca
villages of Batman, Turkey. The study was conducted 9 months after the refugees
had immigrated. The participants were evaluated in their native language through
a semistructured interview titled "Reliability and Validity of Schedule for
Affective Disorders and Schizophrenia for School-Age Children - Present and
Lifetime Version - Turkish Version". A sociodemographic form was prepared so that
investigators could understand their traumatic experiences before and after the
migration and their current social conditions. All the interviews were conducted
in the participants' native language without the help of translators. The
investigators filled out the sociodemographic forms. RESULTS: Posttraumatic
stress disorder (PTSD) was detected in 20 children (36.4%), depression in 18
(32.7%), nocturnal enuresis in six (10.9%), and anxiety in four (7.3%). The
following factors were found to be associated with depression: witnessing
violence and/or death, being a girl, having older parents, being the elder child,
and having multiple siblings (P<0.05). Risk factors for PTSD, depression, and
comorbid conditions included witnessing violence and/or death (P<0.05). Four
participants were observed to have both PTSD and depression (7.3%). CONCLUSION:
Most of the refugee children had experienced serious traumatic events in their
home country. PTSD, depression, and comorbid mental problems are frequently seen
in refugee children.
PMID- 27881921
TI - The occurrence and predictive factors of sleep paralysis in university students.
AB - The aim of the present study was to assess the occurrence and predictive factors
of sleep paralysis (SP) in Czech university students. Our sample included 606
students who had experienced at least one episode of SP. The participants
completed an online battery of questionnaires involving questionnaires focused on
describing their sleep habits and SP episodes, the 18-item Boundary Questionnaire
(BQ-18), the Modified Tellegen Absorption Scale (MODTAS), the Dissociative
Experience Scale Taxon, the Beck Depression Inventory II and the State-Trait
Anxiety Inventory. The strongest predictive factor for the frequency of SP
episodes was nightmares. The strongest predictive factor for the intensity of
fear was dream occurrences. In our study sample, SP was more common in women than
in men. Those who scored higher in BQ-18 experienced more often pleasant episodes
of SP and those who scored higher in MODTAS were more likely to experience SP
accompanied with hallucinations. While 62% of respondents answered that their SP
was accompanied by intense fear, 16% reported that they experienced pleasant
feelings during SP episodes. We suggest that not only the known rapid eye
movement sleep dysregulation but also some personality variables may contribute
to the characteristics of SP.
PMID- 27881920
TI - Altered spontaneous brain activity pattern in patients with high myopia using
amplitude of low-frequency fluctuation: a resting-state fMRI study.
AB - OBJECTIVE: Many previous reports have demonstrated significant neural anatomy
changes in the brain of high myopic (HM) patients, whereas the spontaneous brain
activity changes in the HM patients at rest are not well studied. Our objective
was to use amplitude of low-frequency fluctuation (ALFF) method to investigate
the changes in spontaneous brain activity in HM patients and their relationships
with clinical features. METHODS: A total of 38 patients with HM (17 males and 21
females) and 38 healthy controls (HCs) (17 males and 21 females) closely matched
in age, sex, and education underwent resting-state functional magnetic resonance
imaging scans. The ALFF method was used to assess local features of spontaneous
brain activity. The relationship between the mean ALFF signal values in many
brain regions and the clinical features in HM patients was calculated by
correlation analysis. RESULTS: Compared with HCs, the HM patients had
significantly lower ALFF in the right inferior and middle temporal gyrus, left
middle temporal gyrus, left inferior frontal gyrus/putamen, right inferior
frontal gyrus/putamen/insula, right middle frontal gyrus, and right inferior
parietal lobule and higher ALFF values in the bilateral midcingulate cortex, left
postcentral gyrus, and left precuneus/inferior parietal lobule. However, no
relationship was found between the mean ALFF signal values of the different areas
and the clinical manifestations in HM. CONCLUSION: The HM patients were affected
with brain dysfunction in many regions, which may indicate the presence of
neurobiological changes involving deficits in language understanding and
attentional control in HM patients.
PMID- 27881922
TI - Effectiveness of etofenamate for treatment of knee osteoarthritis: a randomized
controlled trial.
AB - The intramuscular application of etofenamate in the treatment of knee
osteoarthritis was not observed in the existing English language literature. The
objectives of this study were to compare the efficacy of etofenamate versus
hyaluronic acid (HA) in reducing joint pain and functional improvement for mild
to moderate knee osteoarthritis. The patients were randomly divided into
etofenamate (n=29) and HA (n=30) groups. Intramuscular etofenamate injection was
administered as a series of seven intramuscular injections at intervals of 1 day.
Intra-articular HA injection was administered as a series of three intra
articular injections at intervals of 1 week. Clinical evaluation was made before
the first injection and again both 6 and 12 months after the last injection. The
evaluation consisted of patient-assessed pain on a visual analog scale (VAS) and
on the Western Ontario and McMaster Universities Osteoarthritis Index (WOMAC).
Statistical significance was found for the etofenamate group when comparing
preinjection with 12 months postinjection VAS scores (P<0.05). Statistical
significance was also found for the HA group when comparing preinjection with 12
months postinjection VAS and WOMAC scores (P<0.05). However, there was no
significant difference between the etofenamate and HA groups in terms of VAS or
WOMAC scores measured at 12 months after injection (P>0.05). Results from this
study indicated that, etofenamate treatment was not significantly more effective
than HA treatment. However, both methods were effective and successful in
treating knee osteoarthritis.
PMID- 27881923
TI - Predictive value of serum uric acid in hospitalized adolescents and adults with
acute asthma.
AB - BACKGROUND: High serum uric acid (sUA) is an indicator of oxidative stress and is
linked to tissue hypoxia in asthma. The objective of this case series was to
investigate the prognostic role of sUA in patients with acute asthma
exacerbations and the link between sUA and spirometric lung tests. PATIENTS AND
METHODS: This cross-sectional observational study included 120 patients with
acute asthma exacerbations and 120 controls, categorized according to peak
expiratory flow rate into moderate, and severe and life-threatening asthma. On
admission, a detailed history was obtained and investigations were carried out
regarding oxygen saturation (SaO2), arterial blood gas, spirometry, sUA, number
of asthma exacerbations, smoking status, history of previous hospitalization,
intensive care unit admission, and mechanical ventilation. RESULTS: The current
study revealed higher sUA in asthmatic patients compared with healthy subjects
and in severe asthma patients compared with moderate asthma patients (P<0.001). A
positive correlation of sUA with asthma severity, number of asthma exacerbations
and smoking index (r=0.6, 0.42 and 0.29, respectively, P<0.001) and a negative
correlation of sUA with SaO2, partial pressure of arterial oxygen (PaO2), percent
predicted forced vital capacity, percent predicted forced expiratory volume
(FEV%) and peak expiratory flow rate percent of predicted (PEFR%; r=-0.48, -0.29,
-0.44, -0.44 and -0.66, respectively, P<0.001) were observed. Degree of asthma
severity, number of asthma exacerbations, and smoking index were significant
predictors of high sUA (R2=0.43, P<0.001) in multiple linear regression model 1.
SaO2 and PEFR% were significant predictors of high uric acid (R2=0.50, P<0.001)
in model 2. The sensitivity and specificity of sUA in predicting severity of
asthma at the cutoff point of 6.3 mg/dL were 80% and 90%, respectively. The odds
ratios of sUA, number of asthma exacerbations, and asthma duration were 5.4, 1.95
and 1.3, respectively. CONCLUSION: sUA may be a useful marker of predictive value
of severity of asthma exacerbations.
PMID- 27881924
TI - Rodent models of diabetic nephropathy: their utility and limitations.
AB - Diabetic nephropathy is the most common cause of end-stage renal disease.
Therefore, novel therapies for the suppression of diabetic nephropathy must be
developed. Rodent models are useful for elucidating the pathogenesis of diseases
and testing novel therapies, and many type 1 and type 2 diabetic rodent models
have been established for the study of diabetes and diabetic complications.
Streptozotocin (STZ)-induced diabetic animals are widely used as a model of type
1 diabetes. Akita diabetic mice that have an Ins2+/C96Y mutation and OVE26 mice
that overexpress calmodulin in pancreatic beta-cells serve as a genetic model of
type 1 diabetes. In addition, db/db mice, KK-Ay mice, Zucker diabetic fatty rats,
Wistar fatty rats, Otsuka Long-Evans Tokushima Fatty rats and Goto-Kakizaki rats
serve as rodent models of type 2 diabetes. An animal model of diabetic
nephropathy should exhibit progressive albuminuria and a decrease in renal
function, as well as the characteristic histological changes in the glomeruli and
the tubulointerstitial lesions that are observed in cases of human diabetic
nephropathy. A rodent model that strongly exhibits all these features of human
diabetic nephropathy has not yet been developed. However, the currently available
rodent models of diabetes can be useful in the study of diabetic nephropathy by
increasing our understanding of the features of each diabetic rodent model.
Furthermore, the genetic background and strain of each mouse model result in
differences in susceptibility to diabetic nephropathy with albuminuria and the
development of glomerular and tubulointerstitial lesions. Therefore, the
validation of an animal model reproducing human diabetic nephropathy will
significantly facilitate our understanding of the underlying genetic mechanisms
that contribute to the development of diabetic nephropathy. In this review, we
focus on rodent models of diabetes and discuss the utility and limitations of
these models for the study of diabetic nephropathy.
PMID- 27881925
TI - Efficacy and tolerability balance of oxycodone/naloxone and tapentadol in chronic
low back pain with a neuropathic component: a blinded end point analysis of
randomly selected routine data from 12-week prospective open-label observations.
AB - OBJECTIVE: To evaluate the benefit-risk profile (BRP) of oxycodone/naloxone (OXN)
and tapentadol (TAP) in patients with chronic low back pain (cLBP) with a
neuropathic component (NC) in routine clinical practice. METHODS: This was a
blinded end point analysis of randomly selected 12-week routine/open-label data
of the German Pain Registry on adult patients with cLBP-NC who initiated an index
treatment in compliance with the current German prescribing information between
1st January and 31st October 2015 (OXN/TAP, n=128/133). Primary end point was
defined as a composite of three efficacy components (>=30% improvement of pain,
pain-related disability, and quality of life each at the end of observation vs
baseline) and three tolerability components (normal bowel function, absence of
either central nervous system side effects, and treatment-emergent adverse event
[TEAE]-related treatment discontinuation during the observation period) adopted
to reflect BRP assessments under real-life conditions. RESULTS: Demographic as
well as baseline and pretreatment characteristics were comparable for the
randomly selected data sets of both index groups without any indicators for
critical selection biases. Treatment with OXN resulted formally in a BRP
noninferior to that of TAP and showed a significantly higher primary end point
response vs TAP (39.8% vs 25.6%, odds ratio: 1.93; P=0.014), due to superior
analgesic effects. Between-group differences increased with stricter response
definitions for all three efficacy components in favor of OXN: >=30%/>=50%/>=70%
response rates for OXN vs TAP were seen for pain intensity in 85.2%/67.2%/39.1%
vs 83.5%/54.1%/15.8% (P= ns/0.031/<0.001), for pain-related disability in
78.1%/64.8%/43.8% vs 66.9%/50.4%/24.8% (P=0.043/0.018/0.001), and for quality of
life in 76.6%/68.0%/50.0% vs 63.9%/54.1%/34.6% (P=0.026/0.022/0.017). Overall,
OXN vs TAP treatments were well tolerated, and proportions of patients who either
maintained a normal bowel function (68.0% vs 72.2%), reported no central nervous
system side effects (91.4% vs 89.5%), or completed the 12-week evaluation period
without any TEAE-related treatment discontinuations (93.0% vs 92.5%) were similar
for both index medications (P= ns for each comparison). CONCLUSION: In daily
practice, the BRP of OXN proved to be noninferior to that of TAP in patients with
cLBP-NC, but showed a superior efficacy if stricter analgesic response
definitions were evaluated.
PMID- 27881926
TI - Improving pain care through implementation of the Stepped Care Model at a
multisite community health center.
AB - PURPOSE: Treating pain in primary care is challenging. Primary care providers
(PCPs) receive limited training in pain care and express low confidence in their
knowledge and ability to manage pain effectively. Models to improve pain outcomes
have been developed, but not formally implemented in safety net practices where
pain is particularly common. This study evaluated the impact of implementing the
Stepped Care Model for Pain Management (SCM-PM) at a large, multisite Federally
Qualified Health Center. METHODS: The Promoting Action on Research Implementation
in Health Services framework guided the implementation of the SCM-PM. The
multicomponent intervention included: education on pain care, new protocols for
pain assessment and management, implementation of an opioid management dashboard,
telehealth consultations, and enhanced onsite specialty resources. Participants
included 25 PCPs and their patients with chronic pain (3,357 preintervention and
4,385 postintervention) cared for at Community Health Center, Inc. Data were
collected from the electronic health record and supplemented by chart reviews.
Surveys were administered to PCPs to assess knowledge, attitudes, and confidence.
RESULTS: Providers' pain knowledge scores increased to an average of 11% from
baseline; self-rated confidence in ability to manage pain also increased. Use of
opioid treatment agreements and urine drug screens increased significantly by
27.3% and 22.6%, respectively. Significant improvements were also noted in
documentation of pain, pain treatment, and pain follow-up. Referrals to
behavioral health providers for patients with pain increased by 5.96% (P=0.009).
There was no significant change in opioid prescribing. CONCLUSION: Implementation
of the SCM-PM resulted in clinically significant improvements in several quality
of pain care outcomes. These findings, if sustained, may translate into improved
patient outcomes.
PMID- 27881927
TI - Appearance of fetal pain could be associated with maturation of the
mesodiencephalic structures.
AB - Fetal pain remains a controversial subject both in terms of recognizing its
existence and the time-frame within which it appears. This article investigates
the hypothesis that pain perception during development is not related to any
determined structures of the central nervous system (CNS), on the contrary, the
process of perception could be made with any structure satisfying conditions that
the perception of pain is the organization, identification, and interpretation of
sensory information in order to represent and understand the environment.
According to this definition, chronic decerebrate and decorticate experimental
animals, anencephalic, and hydranencephalic patients demonstrate that the basic,
most general, appropriate interaction with the environment can be achieved with a
functional mesodiencephalon (brain stem, and diencephalon) as the hierarchically
highest structure of the CNS during development. In intact fetuses, this
structure shows signs of sufficient maturation starting from the 15th week of
gestation. Bearing in mind the dominant role of the reticular formation of the
brain stem, which is marked by a wide divergence of afferent information, a sense
of pain transmitted through it is diffuse and can dominate the overall perception
of the fetus. The threshold for tactile stimuli is lower at earlier stages of
gestation. The pain inhibition mechanisms are not sufficiently developed during
intrauterine development, which is another factor that leads to increased
intensity of pain in the fetus. As a conclusion it could be proposed that the
fetus is exposed to rudimentary painful stimuli starting from the 15th gestation
week and that it is extremely sensitive to painful stimuli.
PMID- 27881929
TI - Rasch analysis of the Western Ontario Osteoarthritis of the Shoulder index - the
Danish version.
AB - PURPOSE: The Western Ontario Osteoarthritis of the Shoulder (WOOS) index is a
disease-specific, patient-reported, 19-question survey that measures the quality
of life among patients with osteoarthritis (OA). The purpose of this study was to
validate the Danish version of WOOS for OA and fractures (FRs) using modern test
theory. PATIENTS AND METHODS: The study included 1,987 arthroplasties in 1,943
patients that were reported to the Danish Shoulder Arthroplasty Register between
2006 and 2011. These included 847 OA and 1,140 FR cases. RESULTS: Principal
component analysis indicated the unidimensionality of WOOS. The person
reliabilities showed a floor-ceiling effect, indicating that a dichotomy was the
best fit for the WOOS scale. For OA, WOOS showed good reliability (item and
person reliability of 0.98 and 0.76) and good targeting, with a person mean of
0.56 logits. FR also showed good targeting (person mean of -0.08) and good
reliability (item and person reliabilities of 1.00 and 0.86, respectively). All
WOOS items fit well with the OA sample except items 5 and 6 (pertaining to
grinding and the influence of weather). In addition, item 6 showed signs of
degrading the scale with an outfit mean square of 2.46. Only item 6 showed a
misfit for FR with no sign of scale degradation. The residual principal component
analysis confirmed the unidimensionality of FR but not OA. Six items displayed
clinically significant differential item functioning between OA and FR.
CONCLUSION: Rasch analysis showed that WOOS had a good fit with the Rasch model
when used as a dichotomous scale for OA and FR. However, the results were valid
only when WOOS was divided into two categories with a threshold of 950 (50% of
the maximum score). For the use of WOOS in future clinical research, we recommend
that a dichotomous score be reported as a measure of clinical failure in OA and
FR.
PMID- 27881928
TI - Internet use and addiction among medical students of Universiti Sultan Zainal
Abidin, Malaysia.
AB - BACKGROUND: The use of Internet has now become indispensable, and the technology
has revolutionized the medical education and practice worldwide. Currently,
medical students and professionals have an enormous opportunity to keep them
always updated with the exponential growth of knowledge because of potential
progression of Internet throughout the world that enables them to become a
lifelong learner. Internet addiction is a widespread phenomenon among students
and academicians at universities in Malaysia. Students use the Internet for
recreational purpose and personal and professional development. The Internet has
become an integral part of day-to-day life of the university students, including
medical students. The aim of the present study was to examine the Internet use
and addiction among students of Universiti Sultan Zainal Abidin, Malaysia.
METHODS: This was a cross-sectional study in which a questionnaire, Internet
Addiction Diagnostic Questionnaire, developed by the Center for Internet
Addiction, USA, was used. One hundred forty-nine medical students of Universiti
Sultan Zainal Abidin participated in this study. Data were analyzed using
Statistical Package for the Social Sciences software. RESULTS: The mean scores
were 44.9+/-14.05 and 41.4+/-13.05 for male and female participants,
respectively, which indicated that both the genders were suffering from mild
Internet addiction. CONCLUSION: This study shows almost similar level of Internet
usage among medical students irrespective of their socioeconomic background, with
no statistically significant (p>0.05) differences, except among the years of
study (p=0.007). Overall, from the research data and having worked with this
cohort very closely, Universiti Sultan Zainal Abidin medical students can be
labeled as wonted and recurring users of the Internet. Nevertheless, it is very
difficult to define as Internet addicts or pathological users of the Internet
because of small sample size and cross-sectional study.
PMID- 27881931
TI - Proposed learning strategies of medical students in a clinical rotation in
obstetrics and gynecology: a descriptive study.
PMID- 27881930
TI - Psychological consequences of childhood obesity: psychiatric comorbidity and
prevention.
AB - Childhood obesity is one of the most serious public health challenges of the 21st
century with far-reaching and enduring adverse consequences for health outcomes.
Over 42 million children <5 years worldwide are estimated to be overweight (OW)
or obese (OB), and if current trends continue, then an estimated 70 million
children will be OW or OB by 2025. The purpose of this review was to focus on
psychiatric, psychological, and psychosocial consequences of childhood obesity
(OBy) to include a broad range of international studies. The aim was to establish
what has recently changed in relation to the common psychological consequences
associated with childhood OBy. A systematic search was conducted in MEDLINE, Web
of Science, and the Cochrane Library for articles presenting information on the
identification or prevention of psychiatric morbidity in childhood obesity.
Relevant data were extracted and narratively reviewed. Findings established
childhood OW/OBy was negatively associated with psychological comorbidities, such
as depression, poorer perceived lower scores on health-related quality of life,
emotional and behavioral disorders, and self-esteem during childhood. Evidence
related to the association between attention-deficit/hyperactivity disorder
(ADHD) and OBy remains unconvincing because of various findings from studies. OW
children were more likely to experience multiple associated psychosocial problems
than their healthy-weight peers, which may be adversely influenced by OBy stigma,
teasing, and bullying. OBy stigma, teasing, and bullying are pervasive and can
have serious consequences for emotional and physical health and performance. It
remains unclear as to whether psychiatric disorders and psychological problems
are a cause or a consequence of childhood obesity or whether common factors
promote both obesity and psychiatric disturbances in susceptible children and
adolescents. A cohesive and strategic approach to tackle this current obesity
epidemic is necessary to combat this increasing trend which is compromising the
health and well-being of the young generation and seriously impinging on
resources and economic costs.
PMID- 27881932
TI - Bayesian analysis of heterogeneous treatment effects for patient-centered
outcomes research.
AB - Evaluation of heterogeneity of treatment effect (HTE) is an essential aspect of
personalized medicine and patient-centered outcomes research. Our goal in this
article is to promote the use of Bayesian methods for subgroup analysis and to
lower the barriers to their implementation by describing the ways in which the
companion software beanz can facilitate these types of analyses. To advance this
goal, we describe several key Bayesian models for investigating HTE and outline
the ways in which they are well-suited to address many of the commonly cited
challenges in the study of HTE. Topics highlighted include shrinkage estimation,
model choice, sensitivity analysis, and posterior predictive checking. A case
study is presented in which we demonstrate the use of the methods discussed.
PMID- 27881933
TI - New bis(azobenzocrown)s with dodecylmethylmalonyl linkers as ionophores for
sodium selective potentiometric sensors.
AB - Novel biscrowns 1 and 2 were synthesized from 13-membered azobenzocrown ethers
containing bromoalkylenoxy chains in para position relative to the azo group. The
synthesized diester molecules are dodecylmethylmalonic acid derivatives differing
by the linker length. The synthesized compounds have the potential of being used
as sodium ionophores in ion-selective electrodes. They were characterized and
used as ionophores in classic and miniature, solid contact (screen-printed and
glassy carbon) membrane ion-selective electrodes. Compound 3, a similar monoester
derivative of 13-membered azobenzocrown, was synthesized and used in membrane
electrodes for comparison. Lipophilicity of new ionophores was determined by TLC.
Lipophilicity of bis(azobenzocrown)s was found to be within the range of logPTLC
= 12-13. It was observed that the particularly important selectivity coefficients
logKNa,K determined for new electrodes, being logKNa,K = -2.5 and -2.6 (SSM, 0.1
M), are better than those of the electrodes featuring seven out of the nine
commercially available sodium ionophores. It was concluded that the ionophore 1
creates, in acetone, with sodium iodide, complex of 1:1 stoichiometry (sandwich
complex) with stability constant (logK) ca. 3.0.
PMID- 27881934
TI - Modeling biological gradient formation: combining partial differential equations
and Petri nets.
AB - Both Petri nets and differential equations are important modeling tools for
biological processes. In this paper we demonstrate how these two modeling
techniques can be combined to describe biological gradient formation. Parameters
derived from partial differential equation describing the process of gradient
formation are incorporated in an abstract Petri net model. The quantitative
aspects of the resulting model are validated through a case study of gradient
formation in the fruit fly.
PMID- 27881935
TI - Hair diseases: a big problem on a small surface.
AB - Civilizational progress initially contributes to the problem of hair loss and
then to alopecia as regards both frequency and therapeutic dilemmas. The work
presents trichological problems which occur more rarely, i.e. drug-induced,
anagen and telogen alopecia, congenital and acquired structural hair disorders,
psychic disturbances concerning the hair as well as the hair during menopause.
Then, the article briefly describes contagious (infectious) diseases as well as
diseases with inflammatory etiology which are accompanied by exfoliation and
(frequently) pruritus. Finally, alopecia cicatricans is discussed. Alopecia
areata and androgenetic alopecia are omitted herein because they occur more often
and will be described in another work. Any disproportions and upset balance
concerning correct functioning of mechanisms within the scalp hair system are the
evidence of pathologies.
PMID- 27881936
TI - Autologous fat transfer to the subcutaneous tissue in the context of breast
reconstructive procedures.
AB - Autologous fat transfer (AFT) is an appropriate technique for aesthetic
rejuvenation of the face, aesthetic enhancement of hands, correction of the
facial appearance in various disorders and constitutes a surgical alternative of
treatment of numerous breast deformities ranging from distorting posttraumatic
scars, post-eczema lesions, post-burn deformities to partial or total breast
reconstruction. Our work is aimed to familiarize dermatologists with the
technique of harvesting and implanting the aspirate of adipose cells in patients
consulted for deformities of the breast. In addition, the review summarizes the
most common applications of AFT in the breast reconstructive procedures. In
summary, AFT is an oncologically safe, relatively complication-free, minimally
invasive surgical technique, which can be used to correct a wide range of
deformities, which are commonly seen by dermatologists, in the area of the face,
trunk and extremities. The procedure can correct a wide range of breast
deformities, from contour or single quadrant deformities up to the state after
mastectomy.
PMID- 27881937
TI - "Better do not touch" and other superstitions concerning melanoma: the cross
sectional web-based survey.
AB - INTRODUCTION: To the authors' best knowledge, there are no data regarding the
prevalence of superstitions concerning melanoma among internet users. AIM: To
evaluate the prevalence and identify reasons for superstitions associated with
excision of pigmented skin lesions as well as to assess the frequency of this
procedure. MATERIAL AND METHODS: Readers of the scientific portal were invited to
complete a fully anonymous e-questionnaire. After collection of questionnaires
(5,154) and eliminating incomplete ones, 4,919 surveys were analysed. RESULTS: A
total of 4,104 (83.4%) respondents have been aware that the total surgical
excision is the only efficient way of melanoma treatment. This familiarity was
related to increased skin cancer awareness but was not linked to regular skin
self-examination. Over half of the surveyed agreed that "it is better not to
touch naevi". Moreover, 3,510 (71.3%) individuals believed that naevi located in
"harmed places" may turn into melanoma. CONCLUSIONS: Superstitions associated
with surgical treatment of melanoma are widespread. Conducting educational
campaigns is necessary, particularly among young people, whose dangerous tanning
behaviours are important risk factors for melanoma occurrence in their later
life.
PMID- 27881938
TI - Are interleukin-15 and -22 a new pathogenic factor in pustular palmoplantar
psoriasis?
AB - INTRODUCTION: Pustular palmoplantar psoriasis (PPP) is a rare type of psoriasis
affecting mainly distal parts of the limbs. Despite numerous theories about
etiology of PPP, the pathogenesis still remains unclear. Recent data indicate
that interleukin (IL)-15, IL-17 and IL-22 enhance a proinflammatory response in
certain skin inflammatory diseases such as psoriasis and atopic dermatitis. There
is also evidence that anti-endomysial (anti-EMA) and anti-gliadin (AGA)
antibodies are engaged in PPP development. AIM: To assess IL-15, IL-17, IL-22
serum levels and evaluate the presence of anti-endomysial and anti-gliadin
antibodies in patients with PPP. MATERIAL AND METHODS: The study group consisted
of 20 females of the mean age of 51.8 suffering from PPP. Additionally 29 healthy
individuals, age and sex matched, served as controls. ELISA was performed to
evaluate serum IL-15, IL-17, IL-22 concentrations while an indirect
immunofluorescence test (IIF) was used to determine anti-EMA and AGA presence.
RESULTS: The mean value of IL-15 and IL-22 serum concentrations was significantly
higher in the study group than in the control group (IL-15: 6.48 vs. 4.88 pg/ml;
IL-22: 81.47 vs. 4.90 pg/ml, respectively; p < 0.05 for all comparisons). The IL
17 serum level in the study group was higher when compared to the control group
(2.0 vs. 0.75 pg/ml), however the results were not statistically significant (p =
0.26). There were no anti-EMA and AGA antibodies detected, both in the control
and study group. CONCLUSIONS: The results obtained may suggest involvement of IL
15 and IL-22 in the pathogenesis of PPP.
PMID- 27881940
TI - The ischemia modified albumin and mean platelet volume levels in patients with
Behcet's disease.
AB - INTRODUCTION: Behcet's disease (BD) is a chronic inflammatory disorder with
endothelial dysfunction. Ischemia-modified albumin (IMA) is a marker used in the
detection of diseases associated with oxidative stress, vascular endothelial cell
dysfunction and ischemia. Mean platelet volume (MPV) signifies the platelet
function and activity. AIM: To show whether MPV and IMA are useful in revealing
the oxidative stress and the risk of thrombosis in patients with BD. MATERIAL AND
METHODS: Twenty-six patients with BD and 28 healthy volunteers as a control group
over 18 years of age were included in the study. Serum IMA and MPV levels were
analyzed in both groups. RESULTS: The mean MPV values were identified as 0.86 +/
0.15 and 0.82 +/-0.08 (in the BD and control groups, respectively; p = 0.188) and
the mean IMA values were 9.39 +/-0.73 and 9.17 +/-1.09 (in the BD and control
groups, respectively; p = 0.275). There were no statistically significant
differences between the groups. The IMA values of BD patients who were in the
active phase were significant as compared to inactive BD patients and control
groups (p = 0.041). The IMA and MPV values of the thrombotic patients, non
thrombotic patients and control groups were not significant. CONCLUSIONS:
Ischemia-modified albumin may be a helpful marker of possible complications
during an active period of BD.
PMID- 27881939
TI - Can pregnancy-associated plasma protein-A be a marker for the assessment of
atherosclerosis risk in patients with chronic plaque psoriasis?
AB - INTRODUCTION: Psoriasis is an immune-mediated chronic inflammatory dermatosis.
Several studies have shown that patients with psoriasis have a much greater risk
of cardiovascular diseases than the normal population. The chronic inflammation
observed in psoriasis is thought to have a role in the development of
atherosclerosis and vascular endothelial injury. AIM: To examine serum pregnancy
associated plasma protein-A (PAPP-A) levels, which has been regarded as a marker
of early stage atherosclerosis in patients with psoriasis that do not have
concurrent conventional cardiovascular risk markers. MATERIAL AND METHODS: Forty
one patients diagnosed with a chronic plaque type of psoriasis and 42 equally
matched healthy volunteers were included in this study. The PAPP-A levels were
compared between patient and control groups and the association between PAPP-A
levels and disease duration and severity were evaluated in the patient group.
RESULTS: Statistically, serum PAPP-A levels were significantly higher in the
psoriasis group than in the control group (p = 0.015). Serum PAPP-A levels were
found to be positively correlated with severity (p = 0.036, r = 0.329) and
duration (p = 0.014, r = 0.269) of the disease. CONCLUSIONS: As a marker of early
stage atherosclerosis, PAPP-A levels were elevated in the psoriasis group and
were correlated with disease duration and severity. This elevation reveals the
presence of atherosclerosis in patients with psoriasis. Further studies are
needed to confirm the use of PAPP-A as an available and inexpensive screening
test and cardiovascular risk assessment for all centers.
PMID- 27881941
TI - Erythrocyte zinc level in patients with atopic dermatitis and its relation to
SCORAD index.
AB - INTRODUCTION: Atopic dermatitis (AD) is a chronic, pruritic inflammatory disease,
characterized by a relapsing-remitting course. The pathogenesis of atopic
dermatitis is not completely understood, although the disorder appears to result
from the complex interaction between immune abnormalities, genetic and
environmental factors. Trace elements are essential for normal functioning of the
immune system. AIM: To determine zinc levels in serum and erythrocytes of
patients with AD using an atomic absorption spectrometric technique and to
investigate the relationship between those levels and disease activity. MATERIAL
AND METHODS: Sixty-seven patients and 49 controls were enrolled into the study.
The disease severity of AD patients was determined according to the Scoring
Atopic Dermatitis (SCORAD) index. We measured zinc levels in serum and
erythrocytes by the atomic absorption spectrophotometric technique. RESULTS:
Erythrocyte zinc levels were significantly lower in AD patients than in the
control group (p < 0.001), whereas serum zinc levels did not differ between the
groups (p = 0.148). In the AD patient group there was a negative correlation
between the SCORAD score and erythrocyte zinc levels (r = -0.791; p < 0.001).
CONCLUSIONS: The negative relationship between disease severity and erythrocyte
zinc levels might suggest an immunopathological link between AD progression and
intracellular zinc metabolism.
PMID- 27881942
TI - Allergic rhinitis, bronchial asthma and other allergies in patients with
Alzheimer's disease: unnoticed issue.
AB - INTRODUCTION: Allergic diseases are becoming more prevalent in elderly patients.
Allergic diseases have been observed in patients with Alzheimer's disease (AD).
The prevalence of atopic bronchial asthma, allergic rhinitis and atopic
dermatitis was analyzed in such elderly Polish population. AIM: Analysis of the
presence of allergic diseases in the patients with AD in Poland, including
asthma, allergic rhinoconjunctivitis and atopic dermatitis. MATERIAL AND METHODS:
The recruitment of subjects with AD was conducted at 6 sites representative of
Polish rural and urban areas, and 1060 subjects with a mean age of 69.2 +/-5.1
years were screened. Medical examinations, an original questionnaire, skin prick
testing for common aeroallergens and appropriate serum-specific IgE assays were
performed. RESULTS: Probable atopy was diagnosed in 234 (22.1%) analyzed
patients, including 127 women (21.5% of women) and 234 men (22.8% of men). The
average prevalence associated with age and sex in this population for bronchial
asthma was 2.9%, atopic dermatitis/eczema was 0.6%, seasonal allergic rhinitis
was 6.6%, perennial allergic rhinitis was 11.1% and polymorphous atopic disease
was 4.4%. The most frequent positive results were recorded for the following
allergens: mixed grass, Dermatophagoides pteronyssinus, Dermatophagoides farinae
and Alternaria. CONCLUSIONS: One-fifth of diagnosed patients with AD have
allergic disease requiring treatment.
PMID- 27881943
TI - Number and size of acquired melanocytic nevi and affecting risk factors in cases
admitted to the dermatology clinic.
AB - INTRODUCTION: The size and number of acquired melanocytic nevi (AMN) and presence
of dysplastic nevi are the leading risk factors that should be recognized in the
development of malignant melanoma. AIM: To evaluate AMN and risk factors in the
development of AMN in all age groups admitted to a dermatology outpatient clinic.
MATERIAL AND METHODS: Four hundred and twelve patients who were admitted to the
dermatology outpatient clinic for any dermatological symptom and who accepted to
participate in the study were randomly included in the study. For each case,
background-family history and dermatological findings were recorded. All AMN
observed in the patients were dermatoscopically examined. RESULTS: The presence
of more than 50 nevi was significantly higher in males, in individuals who had a
history of sunburn and smokers. The number of nevi that were 5 mm and below was
found to be higher in individuals who regularly sunbathed their face/body, in
individuals using sunscreen, in individuals who had a history of sunburn, smokers
and alcohol users. The number of nevi that were above 5 mm was higher in smokers.
The total dermatoscopy score between 4.75 and 5.45 was found to be higher in
individuals who had more than 50 nevi, in individuals exposed to more than one
chemical substance and in alcohol users. CONCLUSIONS: When determining the
patient's risk factors, factors such as the patient's sunbathing habits and
chemical substance exposure features should be taken into consideration besides
the number and size of nevi.
PMID- 27881944
TI - -2518 A/G MCP-1 but not -403 G/A RANTES gene polymorphism is associated with
enhanced risk of basal cell carcinoma.
AB - INTRODUCTION: Polymorphic variants of MCP-1 and RANTES genes and their protein
serum levels have been implicated in the increased risk and severity of several
malignancies. However, the subject has not been explored in basal cell carcinoma
(BCC) patients so far. AIM: To investigate the association between monocyte
chemoattractant protein 1 (MCP-1) (-2518 A/G) and RANTES (-403 G/A) polymorphism
and risk and clinical course of BCC. MATERIAL AND METHODS: The study group
consisted of 150 unrelated patients with BCC and 140 healthy, unrelated, age- and
sex-matched volunteers. The polymorphisms were analysed using the amplification
refractory mutation system polymerase chain reaction method (ARMS-PCR) and single
specific primer-polymerase chain reaction (SSP-PCR). Serum cytokine levels were
measured with ELISA. RESULTS: The presence of the MCP-1 -2518 GG genotype was
statistically more frequent in BCC patients and it increased the risk of BCC (OR
= 2.63, p = 0.003). Genotype -330 GG was statistically more common in patients
with less advanced tumours (OR = 2.8, p = 0.017). Monocyte chemoattractant
protein 1 serum level was statistically higher with GG genotype. In the BCC group
MCP-1 serum levels were decreased. Neither polymorphic variants of RANTES nor the
chemokine serum concentration differed significantly between the study groups.
CONCLUSIONS: These findings suggest that -2518 A/G MCP-1 polymorphism may be
involved in BCC pathogenesis.
PMID- 27881945
TI - Difficult management of a patient with pr10 related anaphylaxis.
PMID- 27881946
TI - Atypical clinical presentation of lichen planus bullous in a systemic sclerosis
patient.
PMID- 27881947
TI - Elastosis perforans serpiginosa: a review of the literature and our own
experience.
PMID- 27881948
TI - Reproducibility and Bias in Healthy Brain Segmentation: Comparison of Two Popular
Neuroimaging Platforms.
AB - We evaluated and compared the performance of two popular neuroimaging processing
platforms: Statistical Parametric Mapping (SPM) and FMRIB Software Library (FSL).
We focused on comparing brain segmentations using Kirby21, a magnetic resonance
imaging (MRI) replication study with 21 subjects and two scans per subject
conducted only a few hours apart. We tested within- and between-platform
segmentation reliability both at the whole brain and in 10 regions of interest
(ROIs). For a range of fixed probability thresholds we found no differences
between-scans within-platform, but large differences between-platforms. We have
also found very large differences between- and within-platforms when probability
thresholds were changed. A randomized blinded reader study indicated that: (1)
SPM and FSL performed well in terms of gray matter segmentation; (2) SPM and FSL
performed poorly in terms of white matter segmentation; and (3) FSL slightly
outperformed SPM in terms of CSF segmentation. We also found that tissue class
probability thresholds can have profound effects on segmentation results. We
conclude that the reproducibility of neuroimaging studies depends on the
neuroimaging software-processing platform and tissue probability thresholds. Our
results suggest that probability thresholds may not be comparable across
platforms and consistency of results may be improved by estimating a probability
threshold correspondence function between SPM and FSL.
PMID- 27881949
TI - Neural Mechanisms of the Transformation from Objective Value to Subjective
Utility: Converting from Count to Worth.
AB - When deciding, we aim to choose the "best" possible outcome. This is not just
selection of the option that is the most numerous or physically largest, as
options are translated from objective value (count) to subjective value (worth or
utility). We localized the neural instantiation of the value-to-utility
transformation to the dorsal anterior midcingulate cortex (daMCC), with
independent replication. The daMCC encodes the context-specific information
necessary to convert from count to worth. This encoding is not simply a
representation of utility or preference, but the interaction of the two.
Specifically, the relationship of brain activation to value is dependent on
individual preference, with both positive and negative slopes across the
population depending on whether each individual's preference results in
enhancement or diminishment of the valuation. For a given value, across
participants, enhanced daMCC activation corresponds to diminished subjective
valuation, deactivation to enhanced subjective valuation, and non-modulated
activation with non-modulated subjective valuation. Further, functional
connectivity analyses identified brain regions (positive connectivity with the
inferior frontal gyrus and negative connectivity with the nucleus accumbens)
through which contextual information may be integrated into the daMCC and allow
for outputs to modulate valuation signals. All analyses were replicated through
an independent within-study replication, with initial testing in the gains domain
and replication in the intermixed and mirrored losses trials. We also present and
discuss an ancillary finding: we were unable to identify parametric value signals
for losses through whole-brain analyses, and ROI analyses of the vmPFC presented
non-modulation across loss value levels. These results identify the neural locus
of the value-to-utility transformation, and provide a specific computational
function for the daMCC in the production of subjective valuation through the
integration of value, context, and preferences.
PMID- 27881950
TI - A New Approach of Modified Submerged Patch Clamp Recording Reveals Interneuronal
Dynamics during Epileptiform Oscillations.
AB - Highlights Simultaneous epileptiform LFPs and single-cell activity can be
recorded in the membrane chamber.Interneuron firing can be linked to epileptiform
high frequency activity.Fast ripples, unique to chronic epilepsy, can be modeled
in ex vivo tissue from TeNT-treated rats. Traditionally, visually-guided patch
clamp in brain slices using submerged recording conditions has been required to
characterize the activity of individual neurons. However, due to limited oxygen
availability, submerged conditions truncate fast network oscillations including
epileptiform activity. Thus, it is technically challenging to study the
contribution of individual identified neurons to fast network activity. The
membrane chamber is a submerged-style recording chamber, modified to enhance
oxygen supply to the slice, which we use to demonstrate the ability to record
single-cell activity during in vitro epilepsy. We elicited epileptiform activity
using 9 mM potassium and simultaneously recorded from fluorescently labeled
interneurons. Epileptiform discharges were more reliable than in standard
submerged conditions. During these synchronous discharges interneuron firing
frequency increased and action potential amplitude progressively decreased. The
firing of 15 interneurons was significantly correlated with epileptiform high
frequency activity (HFA; ~100-500 Hz) cycles. We also recorded epileptiform
activity in tissue prepared from chronically epileptic rats, treated with
intrahippocampal tetanus neurotoxin. Four of these slices generated fast ripple
activity, unique to chronic epilepsy. We showed the membrane chamber is a
promising new in vitro environment facilitating patch clamp recordings in acute
epilepsy models. Further, we showed that chronic epilepsy can be better modeled
using ex vivo brain slices. These findings demonstrate that the membrane chamber
facilitates previously challenging investigations into the neuronal correlates of
epileptiform activity in vitro.
PMID- 27881952
TI - Tissue-type Plasminogen Activator (tPA) Modulates the Postsynaptic Response of
Cerebral Cortical Neurons to the Presynaptic Release of Glutamate.
AB - Tissue-type plasminogen activator (tPA) is a serine proteinase released by the
presynaptic terminal of cerebral cortical neurons following membrane
depolarization (Echeverry et al., 2010). Recent studies indicate that the release
of tPA triggers the synaptic vesicle cycle and promotes the exocytosis (Wu et
al., 2015) and endocytic retrieval (Yepes et al., 2016) of glutamate-containing
synaptic vesicles. Here we used electron microscopy, proteomics, quantitative
phosphoproteomics, biochemical analyses with extracts of the postsynaptic density
(PSD), and an animal model of cerebral ischemia with mice overexpressing neuronal
tPA to study whether the presynaptic release of tPA also has an effect on the
postsynaptic terminal. We found that tPA has a bidirectional effect on the
composition of the PSD of cerebral cortical neurons that is independent of the
generation of plasmin and the presynaptic release of glutamate, but depends on
the baseline level of neuronal activity and the extracellular concentrations of
calcium (Ca2+). Accordingly, in neurons that are either inactive or incubated
with low Ca2+ concentrations tPA induces phosphorylation and accumulation in the
PSD of the Ca2+/calmodulin-dependent protein kinase IIalpha (pCaMKIIalpha),
followed by pCaMKIIalpha-mediated phosphorylation and synaptic recruitment of
GluR1-containing alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid (AMPA)
receptors. In contrast, in neurons with previously increased baseline levels of
pCaMKIIalpha in the PSD due to neuronal depolarization in vivo or incubation with
high concentrations of either Ca2+ or glutamate in vitro, tPA induces
pCaMKIIalpha and pGluR1 dephosphorylation and their subsequent removal from the
PSD. We found that these effects of tPA are mediated by synaptic N-methyl-D
aspartate (NMDA) receptors and cyclin-dependent kinase 5 (Cdk5)-induced
phosphorylation of the protein phosphatase 1 (PP1) at T320. Our data indicate
that by regulating the pCaMKIIalpha/PP1 balance in the PSD tPA acts as a
homeostatic regulator of the postsynaptic response of cerebral cortical neurons
to the presynaptic release of glutamate.
PMID- 27881954
TI - Editorial: Structural and Functional Organisation of the Prefrontal Cortex.
PMID- 27881951
TI - Neuronal Gene Targets of NF-kappaB and Their Dysregulation in Alzheimer's
Disease.
AB - Although, better known for its role in inflammation, the transcription factor
nuclear factor kappa B (NF-kappaB) has more recently been implicated in synaptic
plasticity, learning, and memory. This has been, in part, to the discovery of its
localization not just in glia, cells that are integral to mediating the
inflammatory process in the brain, but also neurons. Several effectors of
neuronal NF-kappaB have been identified, including calcium, inflammatory
cytokines (i.e., tumor necrosis factor alpha), and the induction of experimental
paradigms thought to reflect learning and memory at the cellular level (i.e.,
long-term potentiation). NF-kappaB is also activated after learning and memory
formation in vivo. In turn, activation of NF-kappaB can elicit either suppression
or activation of other genes. Studies are only beginning to elucidate the
multitude of neuronal gene targets of NF-kappaB in the normal brain, but research
to date has confirmed targets involved in a wide array of cellular processes,
including cell signaling and growth, neurotransmission, redox signaling, and gene
regulation. Further, several lines of research confirm dysregulation of NF-kappaB
in Alzheimer's disease (AD), a disorder characterized clinically by a profound
deficit in the ability to form new memories. AD-related neuropathology includes
the characteristic amyloid beta plaque formation and neurofibrillary tangles.
Although, such neuropathological findings have been hypothesized to contribute to
memory deficits in AD, research has identified perturbations at the cellular and
synaptic level that occur even prior to more gross pathologies, including
transcriptional dysregulation. Indeed, synaptic disturbances appear to be a
significant correlate of cognitive deficits in AD. Given the more recently
identified role for NF-kappaB in memory and synaptic transmission in the normal
brain, the expansive network of gene targets of NF-kappaB, and its dysregulation
in AD, a thorough understanding of NF-kappaB-related signaling in AD is warranted
and may have important implications for uncovering treatments for the disease.
This review aims to provide a comprehensive view of our current understanding of
the gene targets of this transcription factor in neurons in the intact brain and
provide an overview of studies investigating NF-kappaB signaling, including its
downstream targets, in the AD brain as a means of uncovering the basic
physiological mechanisms by which memory becomes fragile in the disease.
PMID- 27881953
TI - Connectomic Analysis of Brain Networks: Novel Techniques and Future Directions.
AB - Brain networks, localized or brain-wide, exist only at the cellular level, i.e.,
between specific pre- and post-synaptic neurons, which are connected through
functionally diverse synapses located at specific points of their cell membranes.
"Connectomics" is the emerging subfield of neuroanatomy explicitly aimed at
elucidating the wiring of brain networks with cellular resolution and a
quantified accuracy. Such data are indispensable for realistic modeling of brain
circuitry and function. A connectomic analysis, therefore, needs to identify and
measure the soma, dendrites, axonal path, and branching patterns together with
the synapses and gap junctions of the neurons involved in any given brain circuit
or network. However, because of the submicron caliber, 3D complexity, and high
packing density of most such structures, as well as the fact that axons
frequently extend over long distances to make synapses in remote brain regions,
creating connectomic maps is technically challenging and requires multi-scale
approaches, Such approaches involve the combination of the most sensitive cell
labeling and analysis methods available, as well as the development of new ones
able to resolve individual cells and synapses with increasing high-throughput. In
this review, we provide an overview of recently introduced high-resolution
methods, which researchers wanting to enter the field of connectomics may
consider. It includes several molecular labeling tools, some of which
specifically label synapses, and covers a number of novel imaging tools such as
brain clearing protocols and microscopy approaches. Apart from describing the
tools, we also provide an assessment of their qualities. The criteria we use
assess the qualities that tools need in order to contribute to deciphering the
key levels of circuit organization. We conclude with a brief future outlook for
neuroanatomic research, computational methods, and network modeling, where we
also point out several outstanding issues like structure-function relations and
the complexity of neural models.
PMID- 27881955
TI - Response: Commentary: Perceptual learning in autism: over-specificity and
possible remedies.
PMID- 27881957
TI - The Effects of Excitatory and Inhibitory Social Cues on Cocaine-Seeking Behavior.
AB - Social partners influence the likelihood of using drugs, developing a substance
use disorder and relapse to drug use after a period of abstinence. Preclinical
studies report that social cues influence the acquisition of cocaine use, the
escalation of cocaine use over time, and the compulsive patterns of cocaine use
that emerge during an extended binge. The purpose of this study was to examine
the effects of social cues on the reinstatement of cocaine-seeking behavior after
a period of abstinence. Male rats were obtained at weaning, assigned to triads
(three rats/cage), reared to adulthood and implanted with intravenous catheters.
Rats from each triad were then assigned to one of three conditions: (1) test rats
were trained to self-administer cocaine and were tested for reinstatement; (2)
cocaine partners were trained to self-administer cocaine and were predictive of
response-contingent cocaine delivery; and (3) abstinent partners were not given
access to cocaine and were predictive of extinction. The test rats alternated
social partners every 5 days for 20 days such that responding was reinforced with
cocaine in the presence of the cocaine partner (S+) for 10 days and not
reinforced with cocaine in the presence of the abstinent partner (S-) for 10
days. Responding of the test rats was then extinguished over 7 days under
isolated conditions. Tests of reinstatement were then conducted in the presence
of the cocaine partner and abstinent partner under extinction conditions. Neither
social partner reinstated responding relative to that observed on the final day
of extinction; however, responding was greater in the presence of the cocaine
partner (S+) than the abstinent partner (S-) during the reinstatement test. These
data fail to demonstrate that a social partner reinstates cocaine-seeking
behavior after a period of abstinence, but they do indicate that social partners
can serve as either excitatory or inhibitory discriminative stimuli to influence
drug-seeking responses.
PMID- 27881956
TI - Ovarian Sex Hormones Modulate Compulsive, Affective and Cognitive Functions in A
Non-Induced Mouse Model of Obsessive-Compulsive Disorder.
AB - There is currently a lack of understanding of how surgical menopause can
influence obsessions, compulsions and associated affective and cognitive
functions in female obsessive-compulsive disorder (OCD) patients. Early menopause
in women due to surgical removal of ovaries not only causes dramatic hormonal
changes, but also may induce affective and cognitive disorders. Here, we tested
if surgical removal of ovaries (ovariectomy, OVX), which mimics surgical
menopause in humans, would result in exacerbation of compulsive, affective and
cognitive behaviors in mice strains that exhibit a spontaneous compulsive-like
phenotype. Female mice from compulsive-like BIG, non-compulsive SMALL and
randomly-bred Control strains were subjected to OVX or sham-surgery. After 7 days
animals were tested for nest building and marble burying to measure compulsive
like behavior. The elevated plus maze and open field tests measured anxiety-like
behaviors, while memory was assessed by the novel object recognition. Acute OVX
resulted in exacerbation of compulsive-like and anxiety-like behaviors in
compulsive-like BIG mice. No significant effects of OVX were observed for the non
compulsive SMALL and Control strains. Object recognition memory was impaired in
compulsive-like BIG female mice compared to the Control mice, without an effect
of OVX on the BIG mice. We also tested whether 17 beta-estradiol (E2) or
progesterone (P4) could reverse the effects of OVX. E2, but not P4, attenuated
the compulsive-like behaviors in compulsive-like BIG OVX female mice. The actions
of the sex steroids on anxiety-like behaviors in OVX females were strain and
behavioral test dependent. Altogether, our results indicate that already existing
compulsions can be worsened during acute ovarian deprivation concomitant with
exacerbation of affective behaviors and responses to hormonal intervention in OVX
female mice can be influenced by genetic background.
PMID- 27881959
TI - Effective Connectivity within the Mesocorticolimbic System during Resting-State
in Cocaine Users.
AB - Objective: Although effective connectivity between brain regions has been
examined in cocaine users during tasks, no effective connectivity study has been
conducted on cocaine users during resting-state. In the present functional
magnetic resonance imaging study, we examined effective connectivity in resting
brain, between the brain regions within the mesocorticolimbic dopamine system,
implicated in reward and motivated behavior, while the chronic cocaine users and
controls took part in a resting-state scan by using a spectral Dynamic causal
modeling (spDCM) approach. Method: As part of a study testing cocaine cue
reactivity in cocaine users (Ray et al., 2015b), 20 non-treatment seeking cocaine
smoking (abstinent for at least 3 days) and 17 control participants completed a
resting state scan and an anatomical scan. A mean voxel-based time series data
extracted from four key brain areas (ventral tegmental area, VTA; nucleus
accumbens, NAc; hippocampus, medial frontal cortex) within the mesocorticolimbic
dopamine system during resting-state from the cocaine and control participants
were used as input to the spDCM program to generate spDCM analysis outputs.
Results: Compared to the control group, the cocaine group had higher effective
connectivity from the VTA to NAc, hippocampus and medial frontal cortex. In
contrast, the control group showed a higher effective connectivity from the
medial frontal cortex to VTA, from the NAc to medial frontal cortex, and on the
hippocampus self-loop. Conclusions: The present study is the first to show that
during resting-state in abstaining cocaine users compared to controls, the VTA
initiates an enhanced effective connectivity to NAc, hippocampus and medial
frontal cortex areas within the mesocorticolimbic dopamine system, the brain's
reward system. Future studies of effective connectivity analysis during resting
state may eventually be used to monitor treatment outcome.
PMID- 27881958
TI - Motor Cortex Neurostimulation Technologies for Chronic Post-stroke Pain:
Implications of Tissue Damage on Stimulation Currents.
AB - Background: Central post stroke pain (CPSP) is a highly refractory syndrome that
can occur after stroke. Primary motor cortex (M1) brain stimulation using
epidural brain stimulation (EBS), transcranial magnetic stimulation (TMS), and
transcranial direct current stimulation (tDCS) have been explored as potential
therapies for CPSP. These techniques have demonstrated variable clinical
efficacy. It is hypothesized that changes in the stimulating currents that are
caused by stroke-induced changes in brain tissue conductivity limit the efficacy
of these techniques. Methods: We generated MRI-guided finite element models of
the current density distributions in the human head and brain with and without
chronic focal cortical infarctions during EBS, TMS, and tDCS. We studied the
change in the stimulating current density distributions' magnitude, orientation,
and maxima locations between the different models. Results: Changes in electrical
properties at stroke boundaries altered the distribution of stimulation currents
in magnitude, location, and orientation. Current density magnitude alterations
were larger for the non-invasive techniques (i.e., tDCS and TMS) than for EBS.
Nonetheless, the lesion also altered currents during EBS. The spatial shift of
peak current density, relative to the size of the stimulation source, was largest
for EBS. Conclusion: In order to maximize therapeutic efficiency,
neurostimulation trials need to account for the impact of anatomically disrupted
neural tissues on the location, orientation, and magnitude of exogenously applied
currents. The relative current-neuronal structure should be considered when
planning stimulation treatment, especially across techniques (e.g., using TMS to
predict EBS response). We postulate that the effects of altered tissue properties
in stroke regions may impact stimulation induced analgesic effects and/or lead to
highly variable outcomes during brain stimulation treatments in CPSP.
PMID- 27881960
TI - Testing the Limits of Skill Transfer for Scrabble Experts in Behavior and Brain.
AB - We investigated transfer of the skills developed by competitive Scrabble players.
Previous studies reported superior performance for Scrabble experts on the
lexical decision task (LDT), suggesting near transfer of Scrabble skills. Here we
investigated the potential for far transfer to a symbol decision task (SDT); in
particular, transfer of enhanced long-term working memory for vertically
presented stimuli. Our behavioral results showed no evidence for far transfer.
Despite years of intensive practice, Scrabble experts were no faster and no more
accurate than controls in the SDT. However, our fMRI and EEG data from the SDT
suggest that the neural repertoire that Scrabble experts develop supports task
performance even outside of the practiced domain, in a non-linguistic context.
The regions engaged during the SDT were different across groups: controls engaged
temporal-frontal regions, whereas Scrabble experts engaged posterior visual and
temporal-parietal regions. In Scrabble experts, activity related to Scrabble
skill (anagramming scores) included regions associated with visual-spatial
processing and long-term working memory, and overlapped with regions previously
shown to be associated with Scrabble expertise in the near transfer task (LDT).
Analysis of source waveforms within these regions showed that participants with
higher anagramming scores had larger P300 amplitudes, potentially reflecting
greater working memory capacity, or less variability in the participants who
performed the task more efficiently. Thus, the neuroimaging results provide
evidence of brain transfer in the absence of behavioral transfer, providing new
clues about the consequences of long-term training associated with competitive
Scrabble expertise.
PMID- 27881962
TI - Cigarette Smoking-Induced Cardiac Hypertrophy, Vascular Inflammation and Injury
Are Attenuated by Antioxidant Supplementation in an Animal Model.
AB - Background: Cardiovascular diseases are the leading causes of morbidity and
mortality worldwide. Cigarette smoking remains a global health epidemic with
associated detrimental effects on the cardiovascular system. In this work, we
investigated the effects of cigarette smoke exposure on cardiovascular system in
an animal model. The study then evaluated the effects of antioxidants (AO),
represented by pomegranate juice, on cigarette smoke induced cardiovascular
injury. This study aims at evaluating the effect of pomegranate juice
supplementation on the cardiovascular system of an experimental rat model of
smoke exposure. Methods: Adult rats were divided into four different groups:
Control, Cigarette smoking (CS), AO, and CS + AO. Cigarette smoke exposure was
for 4 weeks (5 days of exposure/week) and AO group received pomegranate juice
while other groups received placebo. Assessment of cardiovascular injury was
documented by assessing different parameters of cardiovascular injury mediators
including: (1) cardiac hypertrophy, (2) oxidative stress, (3) expression of
inflammatory markers, (4) expression of Bradykinin receptor 1 (Bdkrb1),
Bradykinin receptor 2 (Bdkrb2), and (5) altered expression of
fibrotic/atherogenic markers [(Fibronectin (Fn1) and leptin receptor (ObR))].
Results: Data from this work demonstrated that cigarette smoke exposure induced
cardiac hypertrophy, which was reduced upon administration of pomegranate in CS +
AO group. Cigarette smoke exposure was associated with elevation in oxidative
stress, significant increase in the expression of IL-1beta, TNFalpha, Fn1, and
ObR in rat's aorta. In addition, an increase in aortic calcification was observed
after 1 month of cigarette smoke exposure. Furthermore, cigarette smoke induced a
significant up regulation in Bdkrb1 expression level. Finally, pomegranate
supplementation exhibited cardiovascular protection assessed by the above
findings and partly contributed to ameliorating cardiac hypertrophy in cigarette
smoke exposed animals. Conclusion: Findings from this work showed that cigarette
smoking exposure is associated with significant cardiovascular pathology such as
cardiac hypertrophy, inflammation, pro-fibrotic, and atherogenic markers and
aortic calcification in an animal model as assessed 1 month post exposure.
Antioxidant supplementation prevented cardiac hypertrophy and attenuated
indicators of atherosclerosis markers associated with cigarette smoke exposure.
PMID- 27881961
TI - Functional Connectivity of Child and Adolescent Attention Deficit Hyperactivity
Disorder Patients: Correlation with IQ.
AB - Attention deficit hyperactivity disorder (ADHD) is a pervasive neuropsychological
disorder that affects both children and adolescents. Child and adolescent ADHD
patients exhibit different behavioral symptoms such as hyperactivity and
impulsivity, but not much connectivity research exists to help explain these
differences. We analyzed openly accessible resting-state functional magnetic
resonance imaging (rs-fMRI) data on 112 patients (28 child ADHD, 28 adolescent
ADHD, 28 child normal control (NC), and 28 adolescent NC). We used group
independent component analysis (ICA) and weighted degree values to identify
interaction effects of age (child and adolescent) and symptom (ADHD and NC) in
brain networks. The frontoparietal network showed significant interaction effects
(p = 0.0068). The frontoparietal network is known to be related to hyperactive
and impulsive behaviors. Intelligence quotient (IQ) is an important factor in
ADHD, and we predicted IQ scores using the results of our connectivity analysis.
IQ was predicted using degree centrality values of networks with significant
interaction effects of age and symptom. Actual and predicted IQ scores
demonstrated significant correlation values, with an error of about 10%. Our
study might provide imaging biomarkers for future ADHD and intelligence studies.
PMID- 27881964
TI - Corrigendum: Bioassay-Guided Isolation of Sesquiterpene Coumarins from Ferula
narthex Bioss: A New Anticancer Agent.
AB - [This corrects the article on p. 26 in vol. 7, PMID: 26909039.].
PMID- 27881963
TI - Angiogenesis Inhibitors for the Treatment of Hepatocellular Carcinoma.
AB - Background: Angiogenesis inhibitors have become an important therapeutic approach
in the treatment of hepatocellular carcinoma (HCC) patients. The therapeutic
inhibition of angiogenesis of Sorafenib in increasing overall survival of
patients with HCC is a fundamental element of the treatment of this disease.
Considering the heterogeneous aspects of HCC and to boost therapeutic efficacy,
prevail over drug resistance and lessen toxicity, adding antiangiogenic drugs to
antiblastic chemotherapy (AC), radiation therapy or other targeted drugs have
been evaluated. The matter is additionally complicated by the combination of
antiangiogenesis with further AC or biologic drugs. To date, no planned approach
to understand which patients are more responsive to a given type of
antiangiogenic treatment is available. Conclusion: Large investments in the
clinical research are essential to improve treatment response and minimize
toxicities for patients with HCC. Future investigations will need to focus on
utilizing patterns of genetic information to classify HCC into groups that
display similar prognosis and treatment sensitivity, and combining targeted
therapies with AC producing enhanced anti-tumor effect. In this review the
current panel of available antiangiogenic therapies for the treatment of HCC have
been analyzed. In addition current clinical trials are also reported herein.
PMID- 27881967
TI - The Composer's Program Note for Newly Written Classical Music: Content and
Intentions.
AB - In concerts of western classical music the provision of a program note is a
widespread practice dating back to the 18th century and still commonly in use.
Program notes tend to inform listeners and performers about historical context,
composer biographical details, and compositional thinking. However, the scant
program note research conducted to date reveals that program notes may not foster
understanding or enhance listener enjoyment as previously assumed. In the case of
canonic works, performers and listeners may already be familiar with much of the
program note information. This is not so in the case of newly composed works,
which formed the basis of the exploratory study reported here. This article
reports the views of 17 living contemporary composers on their writing of program
notes for their own works. In particular, the study sought to understand the
intended recipient, role and the content of composer-written program notes.
Participating composers identified three main roles for their program notes: to
shape a performer's interpretation of the work; to guide, engage or direct the
listener and/or performer; and as collaborative mode of communication between the
composer, performer, and listener. For some composers, this collaboration was
intended to result in "performative listening" in which listeners were actively
engaged in bringing each composition to life. This was also described as a form
of empathy that results in the co-construction of the musical experience.
Overall, composers avoided giving too much personal information and they provided
performers with more structural information. However, composers did not agree on
whether the same information should be provided to both performers and listeners.
Composers' responses problematize the view of a program note as a simple
statement from writer to recipient, indicating instead a more complex set of
relations at play between composer, performer, listener, and the work itself.
These relations are illustrated in a model. There are implications for program
note writers and readers, and for educators. Future research might seek to
enhance understanding of program notes, including whether the written program
note is the most effective format for communications about music.
PMID- 27881966
TI - The Effect of Implicit Preferences on Food Consumption: Moderating Role of Ego
Depletion and Impulsivity.
AB - Ego depletion has been found to moderate the effect of implicit preferences on
food consumption, such that implicit preferences predict consumption only under a
depleted state. The present study tested how trait impulsivity impacts the effect
of implicit preferences on food consumption in a depleted condition. Trait
impulsivity was measured by means of self-report and a stop signal task. Results
showed that both self-reported impulsivity and behavioral impulsivity moderated
the 'depletion and then eating according to implicit preferences' effect, albeit
in different ways. Participants high in self-reported impulsivity and low in
behavioral impulsivity were more vulnerable to the effect of depletion on eating.
The implications of these results for extant theories are discussed. Future
research is needed to verify whether or not trait impulsivity is associated with
vulnerability to depletion across different self-control domains.
PMID- 27881968
TI - Influences on and Measures of Unintentional Group Synchrony.
AB - Many instances of large-scale coordination occur in real-life social situations
without the explicit awareness of the individuals involved. While the majority of
research to date has examined dyadic interactions - those between two individuals
- during intentional or deliberate coordination, the present review surveys the
handful of recent studies investigating behavioral and physiological synchrony
across groups of more than two people when coordination was not an explicit goal.
Both minimal (e.g., visual information, shared location) and naturalistic (e.g.,
choir voice section, family relationship) group interactions appear to promote
unintentional group synchrony although they have so far only been studied
separately. State differences in unintentional group synchrony, or the relative
presence of coordination in various conditions, have tended to be assessed
differently, such as using correlation-type relationships, compared to its
temporal dynamics, or changes over time in the degree of coordination, which
appear to be best captured using phase differences. Simultaneously evaluating
behavioral, physiological, and social responses as well systematically comparing
different synchrony measures could further our understanding of the influences on
and measures of group synchrony, allowing us to move away from studying
individual persons responding to static laboratory stimuli and toward
investigating collective experiences in natural, dynamic social interactions.
PMID- 27881965
TI - Fundamental Role of Methylenetetrahydrofolate Reductase 677 C -> T Genotype and
Flavin Compounds in Biochemical Phenotypes for Schizophrenia and Schizoaffective
Psychosis.
AB - The Mental Health Biomarker Project (2010-2016) explored variables for psychosis
in schizophrenia and schizoaffective disorder. Blood samples from 67, highly
characterized symptomatic cases and 67 gender and age matched control
participants were analyzed for methyl tetrahydrofolate reductase (MTHFR) 677C ->
T gene variants and for vitamin B6, B12 and D, folate, unbound copper, zinc
cofactors for enzymes in the methylation cycle, and related catecholamine
pathways. Urine samples were analyzed for indole-catecholamines, their
metabolites, and oxidative-stress marker, hydroxylpyrolline-2-one (HPL). Rating
scales were Brief Psychiatric Rating Scale, Positive and Negative Syndrome Scale,
Global Assessment of Function scale, Clinical Global Impression (CGI) score, and
Social and Occupational Functioning Assessment Scale (SOFAS). Analysis used
Spearman's correlates, receiver operating characteristics and structural equation
modeling (SEM). The correlative pattern of variables in the overall participant
sample strongly implicated monoamine oxidase (MAO) enzyme inactivity so the
significant role of MAO's cofactor flavin adenine nucleotide and its precursor
flavin adenine mononucleotide (FMN) within the biochemical pathways was
investigated and confirmed as 71% on SEM of the total sample. Splitting the data
sets for MTHFR 677C -> T polymorphism variants coding for the MTHFR enzyme,
discovered that biochemistry variables relating to the wild-type enzyme differed
markedly in pattern from those coded by the homozygous variant and that the
hereozygous-variant pattern resembled the wild-type-coded pattern. The MTHFR 677C
-> T-wild and -heterozygous gene variants have a pattern of depleted vitamin
cofactors characteristic of flavin insufficiency with under-methylation and
severe oxidative stress. The second homozygous MTHFR 677TT pattern related to
elevated copper:zinc ratio and a vitamin pattern related to flavin sufficiency
and risk of over-methylation. The two gene variants and their different
biochemical phenotypes govern findings in relationship to case-identification,
illness severity, duration of illness, and functional disability in schizophrenia
and schizoaffective psychosis, and establish a basis for trials of gene-guided
precision treatment for the management of psychosis.
PMID- 27881969
TI - A Self-Reference Effect on Memory for People: We Are Particularly Good at
Retrieving People Named Like Us.
AB - In the present study, it was evaluated whether one's own name may produce a self
reference bias in memory for people. Results from Experiment 1 indicated that, in
a verbal fluency task, participants recalled a greater number of known (familiar
or famous) people with the same first name as their own than did paired
participants, and vice versa. In the first experiment, paired participants knew
each other but were not close. Experiment 2 examined whether this self-reference
effect would still occur when the comparison target was a close other. This
experiment showed that such a self-reference bias also occurred even when the
paired persons were close (partners or very good friends). Overall the present
paper describes a new naturalistic case of the self-reference effect.
PMID- 27881970
TI - The Potential of Virtual Reality for the Investigation of Awe.
AB - The emotion of awe is characterized by the perception of vastness and a need for
accommodation, which can include a positive and/or negative valence. While a
number of studies have successfully manipulated this emotion, the issue of how to
elicit particularly intense awe experiences in laboratory settings remains. We
suggest that virtual reality (VR) is a particularly effective mood induction tool
for eliciting awe. VR provides three key assets for improving awe. First, VR
provides users with immersive and ecological yet controlled environments that can
elicit a sense of "presence," the subjective experience of "being there" in a
simulated reality. Further, VR can be used to generate complex, vast stimuli,
which can target specific theoretical facets of awe. Finally, VR allows for
convenient tracking of participants' behavior and physiological responses,
allowing for more integrated assessment of emotional experience. We discussed the
potential and challenges of the proposed approach with an emphasis on VR's
capacity to raise the signal of reactions to emotions such as awe in laboratory
settings.
PMID- 27881971
TI - Familial Longevity Is Not Associated with Major Differences in the Hypothalamic
Pituitary-Gonadal Axis in Healthy Middle-Aged Men.
AB - CONTEXT: A trade-off between fertility and longevity possibly exists. The
association of the male hypothalamic-pituitary-gonadal (HPG) axis with familial
longevity has not yet been investigated. OBJECTIVE: To study 24-h hormone
concentration profiles of the HPG axis in men enriched for familial longevity and
controls. DESIGN: We frequently sampled blood over 24 h in 10 healthy middle-aged
male offspring of nonagenarian participants from the Leiden Longevity Study
together with 10 male age-matched controls. Individual 24-h luteinizing hormone
(LH) and testosterone concentration profiles were analyzed by deconvolution
analyses to estimate secretion parameters. Furthermore, the temporal relationship
between LH and testosterone was assessed by cross-correlation analysis. We used
(cross-)approximate entropy to quantify the strength of feedback and/or
feedforward control of LH and testosterone secretion. RESULTS: Mean [95%
confidence interval (CI)] total LH secretion of the offspring was 212 (156-268)
U/L/24 h, which did not differ significantly (p = 0.51) from the total LH
secretion of controls [186 (130-242) U/L/24 h]. Likewise, mean (95% CI) total
testosterone secretion of the offspring [806 (671-941) nmol/L/24 h] and controls
[811 (676-947) nmol/L/24 h] were similar (p = 0.95). Other parameters of LH and
testosterone secretion were also not significantly different between offspring
and controls. The temporal relationship between LH and testosterone and the
strength of feedforward/feedback regulation within the HPG axis were similar
between offspring of long-lived families and controls. CONCLUSION: This
relatively small study suggests that in healthy male middle-aged participants,
familial longevity is not associated with major differences in the HPG axis.
Selection on both fertility and health may in part explain the results.
PMID- 27881972
TI - Multilocus Sequence Typing Analysis of Carbapenem-Resistant Acinetobacter
baumannii in a Chinese Burns Institute.
AB - Acinetobacter baumannii is a leading pathogen responsible for nosocomial
infections. The emergence of carbapenem-resistant A. baumannii (CRAB) has left
few effective antibiotics for clinicians to use. To investigate the temporal
evolutionary relationships among CRAB strains, we collected 248 CRAB isolates
from a Chinese burns institute over 3 years. The prevalence of the OXA-23 gene
was detected by polymerase chain reaction. Multilocus sequence typing was used to
type the CRAB strains and eBURST was used to analyze their evolutionary
relationships. Wound surfaces (41%), sputa (24%), catheters (15%), and bloods
(14%) were the four dominant isolation sources. Except for minocycline (33.5%)
and sulbactam/cefoperazone (74.6%), these CRAB strains showed high resistance
rates (>90%) to 16 tested antibiotics. The 248 isolates fall into 26 sequence
types (STs), including nine known STs and 17 unknown STs. The majority (230/248)
of these isolates belong to clonal complex 92 (CC92), including eight isolates
belonging to seven unreported STs. A new CC containing 11 isolates grouped into
four new STs was identified. The OXA-23 gene was detected at high prevalence
among the CRAB isolates and the prevalence rate among the various STs differed.
The majority of the isolates displayed a close evolutionary relationship,
suggesting that serious nosocomial spreading and nosocomial infections of CRAB
have occurred in the burn unit. In conclusion, the main CC for CRAB in this
Chinese burn unit remained unchanged during the 3-year study period, and a new CC
was identified. CC92 was the dominant complex, and more attention should be
directed toward monitoring the new CC we have identified herein.
PMID- 27881973
TI - Livestock-Associated Methicillin Resistant Staphylococcus aureus (LA-MRSA) Clonal
Complex (CC) 398 Isolated from UK Animals belong to European Lineages.
AB - In recent years, there has been an increase in the number of livestock-associated
methicillin resistant Staphylococcus aureus (LA-MRSA) clonal complex (CC) 398
recovered from S. aureus isolated animals in the UK. To determine possible
origins of 12 LA-MRSA CC398 isolates collected after screening more than a
thousand S. aureus animal isolates from the UK between 2013 and 2015, whole
genome sequences (WGS) of CC398 European, including UK, and non-European isolates
from diverse animal hosts were compared. Phylogenetic reconstruction applied to
WGS data to assess genetic relatedness of all 89 isolates, clustered the 12 UK
CC398 LA-MRSA within the European sub-lineages, although on different nodes;
implicating multiple independent incursions into the UK, as opposed to a single
introduction followed by clonal expansion. Three UK isolates from healthy pigs
and one from turkey clustered within the cassette chromosome recombinases ccr C
S. aureus protein A (spa)-type t011 European sub-lineage and three UK isolates
from horses within the ccrA2B2 t011 European sub-lineage. The remaining UK
isolates, mostly from pigs, clustered within the t034 European lineage. Presence
of virulence, antimicrobial (AMR), heavy metal (HMR), and disinfectant (DR)
resistance genes were determined using an in-house pipeline. Most, including UK
isolates, harbored resistance genes to >=3 antimicrobial classes in addition to
beta-lactams. HMR genes were detected in most European ccrC positive isolates,
with >80% harboring czrC, encoding zinc and cadmium resistance; in contrast ~60%
ccrC isolates within non-European lineages and 6% ccrA2B2 isolates showed this
characteristic. The UK turkey MRSA isolate did not harbor phiAVbeta avian
prophage genes (SAAV_2008 and SAAV_2009) present in US MSSA isolates from turkey
and pigs. Absence of some of the major human-associated MRSA toxigenic and
virulence genes in the UK LA-MRSA animal isolates was not unexpected. Therefore,
we can conclude that the 12 UK LA-MRSA isolates collected in the past 2 years
most likely represent separate incursions into the UK from other European
countries. The presence of zinc and cadmium resistance in all nine food animal
isolates (pig and poultry), which was absent from the 3 horse isolates may
suggest heavy metal use/exposure has a possible role in selection of some MRSA.
PMID- 27881974
TI - The Microbiome and Occurrence of Methanotrophy in Carnivorous Sponges.
AB - As shown by recent studies, filter-feeding sponges are known to host a wide
variety of microorganisms. However, the microbial community of the non-filtering
carnivorous sponges (Porifera, Cladorhizidae) has been the subject of less
scrutiny. Here, we present the results from a comparative study of the
methanotrophic carnivorous sponge Cladorhiza methanophila from a mud volcano-rich
area at the Barbados Accretionary Prism, and five carnivorous species from the
Jan Mayen Vent Field (JMVF) at the Arctic Mid-Ocean Ridge. Results from 16S rRNA
microbiome data indicate the presence of a diverse assemblage of associated
microorganisms in carnivorous sponges mainly from the Gamma- and
Alphaproteobacteria, Flavobacteriaceae, and Thaumarchaeota. While the abundance
of particular groups varied throughout the dataset, we found interesting
similarities to previous microbiome results from non-carnivorous deep sea
sponges, suggesting that the carnivorous sponges share characteristics of a
previously hypothesized putative deep-sea sponge microbial community.
Chemolithoautotrophic symbiosis was confirmed for C. methanophila through a
microbial community with a high abundance of Methylococcales and very light
isotopic delta13C and delta15N ratios (-60 to -660/00/3.5 to 5.20/00) compared to
the other cladorhizid species (-22 to -240/00/8.5 to 10.50/00). We provide
evidence for the presence of putative sulfur-oxidizing Gammaproteobacteria in the
arctic cladorhizids; however, delta13C and delta15N signatures did not provide
evidence for significant chemoautotrophic symbiosis in this case, and the
slightly higher abundance of cladorhizids at the JMVF site compared to the nearby
deep sea likely stem from an increased abundance of prey rather than a more
direct vent association. The phylogenetic position of C. methanophila in relation
to other carnivorous sponges was established using a three-gene phylogenetic
analysis, and it was found to be closely related to other non-methanotrophic
Cladorhiza species with a similar morphology included in the dataset, suggesting
a recent origin for methanotrophy in this species. C. methanophila remains the
only known carnivorous sponge with a strong, chemolithoautotrophic symbiont
association, and methanotrophic symbiosis does not seem to be a widespread
property within the Cladorhizidae.
PMID- 27881975
TI - Membrane Complexes of Syntrophomonas wolfei Involved in Syntrophic Butyrate
Degradation and Hydrogen Formation.
AB - Syntrophic butyrate metabolism involves the thermodynamically unfavorable
production of hydrogen and/or formate from the high potential electron donor,
butyryl-CoA. Such redox reactions can occur only with energy input by a process
called reverse electron transfer. Previous studies have demonstrated that
hydrogen production from butyrate requires the presence of a proton gradient, but
the biochemical machinery involved has not been clearly elucidated. In this
study, the gene and enzyme systems involved in reverse electron transfer by
Syntrophomonas wolfei were investigated using proteomic and gene expression
approaches. S. wolfei was grown in co-culture with Methanospirillum hungatei or
Dehalococcoides mccartyi under conditions requiring reverse electron transfer and
compared to both axenic S. wolfei cultures and co-cultures grown in conditions
that do not require reverse electron transfer. Blue native gel analysis of
membranes solubilized from syntrophically grown cells revealed the presence of a
membrane-bound hydrogenase, Hyd2, which exhibited hydrogenase activity during in
gel assays. Bands containing a putative iron-sulfur (FeS) oxidoreductase were
detected in membranes of crotonate-grown and butyrate grown S. wolfei cells. The
genes for the corresponding hydrogenase subunits, hyd2ABC, were differentially
expressed at higher levels during syntrophic butyrate growth when compared to
growth on crotonate. The expression of the FeS oxidoreductase gene increased when
S. wolfei was grown with M. hungatei. Additional membrane-associated proteins
detected included FoF1 ATP synthase subunits and several membrane transporters
that may aid syntrophic growth. Furthermore, syntrophic butyrate metabolism can
proceed exclusively by interspecies hydrogen transfer, as demonstrated by growth
with D. mccartyi, which is unable to use formate. These results argue for the
importance of Hyd2 and FeS oxidoreductase in reverse electron transfer during
syntrophic butyrate degradation.
PMID- 27881976
TI - The Roles of Alpha-Momorcharin and Jasmonic Acid in Modulating the Response of
Momordica charantia to Cucumber Mosaic Virus.
AB - Alpha-momorcharin (alpha-MMC) is a type-I ribosome inactivating protein with a
molecular weight of 29 kDa that is found in Momordica charantia, and has been
shown to be effective against a broad range of human viruses as well as having
anti-tumor activities. However, the role of endogenous alpha-MMC under viral
infection and the mechanism of the anti-viral activities of alpha-MMC in plants
are still unknown. To study the effect of alpha-MMC on plant viral defense and
how alpha-MMC increases plant resistance to virus, the M. charantia-cucumber
mosaic virus (CMV) interaction system was investigated. The results showed that
the alpha-MMC level was positively correlated with the resistance of M. charantia
to CMV. alpha-MMC treatment could alleviate photosystem damage and enhance the
ratio of glutathione/glutathione disulfide in M. charantia under CMV infection.
The relationship of alpha-MMC and defense related phytohormones, and their roles
in plant defense were further investigated. alpha-MMC treatment led to a
significant increase of jasmonic acid (JA) and vice versa, while there was no
obvious relevance between salicylic acid and alpha-MMC. In addition, reactive
oxygen species (ROS) were induced in alpha-MMC-pretreated plants, in a similar
way to the ROS burst in JA-pretreated plants. The production of ROS in both
ibuprofen (JA inhibitor) and (alpha-MMC+ibuprofen)-pretreated plants was reduced
markedly, leading to a greater susceptibility of M. charantia to CMV. Our results
indicate that the anti-viral activities of alpha-MMC in M. charantia may be
accomplished through the JA related signaling pathway.
PMID- 27881977
TI - Different Virus-Derived siRNAs Profiles between Leaves and Fruits in Cucumber
Green Mottle Mosaic Virus-Infected Lagenaria siceraria Plants.
AB - RNA silencing is an evolutionarily conserved antiviral mechanism, through which
virus-derived small interfering RNAs (vsiRNAs) playing roles in host antiviral
defense are produced in virus-infected plant. Deep sequencing technology has
revolutionized the study on the interaction between virus and plant host through
the analysis of vsiRNAs profile. However, comparison of vsiRNA profiles in
different tissues from a same host plant has been rarely reported. In this study,
the profiles of vsiRNAs from leaves and fruits of Lagenaria siceraria plants
infected with Cucumber green mottle mosaic virus (CGMMV) were comprehensively
characterized and compared. Many more vsiRNAs were present in infected leaves
than in fruits. vsiRNAs from both leaves and fruits were mostly 21- and 22-nt in
size as previously described in other virus-infected plants. Interestingly,
vsiRNAs were predominantly produced from the viral positive strand RNAs in
infected leaves, whereas in infected fruits they were derived equally from the
positive and negative strands. Many leaf-specific positive vsiRNAs with lengths
of 21-nt (2058) or 22-nt (3996) were identified but only six (21-nt) and one (22
nt) positive vsiRNAs were found to be specific to fruits. vsiRNAs hotspots were
only present in the 5'-terminal and 3'-terminal of viral positive strand in
fruits, while multiple hotspots were identified in leaves. Differences in GC
content and 5'-terminal nucleotide of vsiRNAs were also observed in the two
organs. To our knowledge, this provides the first high-resolution comparison of
vsiRNA profiles between different tissues of the same host plant.
PMID- 27881979
TI - Cationic Nanostructures against Foodborne Pathogens.
PMID- 27881978
TI - The Oxidosqualene Cyclase from the Oomycete Saprolegnia parasitica Synthesizes
Lanosterol as a Single Product.
AB - The first committed step of sterol biosynthesis is the cyclisation of 2,3
oxidosqualene to form either lanosterol (LA) or cycloartenol (CA). This is
catalyzed by an oxidosqualene cyclase (OSC). LA and CA are subsequently converted
into various sterols by a series of enzyme reactions. The specificity of the OSC
therefore determines the final composition of the end sterols of an organism.
Despite the functional importance of OSCs, the determinants of their specificity
are not well understood. In sterol-synthesizing oomycetes, recent bioinformatics,
and metabolite analysis suggest that LA is produced. However, this catalytic
activity has never been experimentally demonstrated. Here, we show that the OSC
of the oomycete Saprolegnia parasitica, a severe pathogen of salmonid fish, has
an uncommon sequence in a conserved motif important for specificity. We present
phylogenetic analysis revealing that this sequence is common to sterol
synthesizing oomycetes, as well as some plants, and hypothesize as to the
evolutionary origin of some microbial sequences. We also demonstrate for the
first time that a recombinant form of the OSC from S. parasitica produces LA
exclusively. Our data pave the way for a detailed structural characterization of
the protein and the possible development of specific inhibitors of oomycete OSCs
for disease control in aquaculture.
PMID- 27881981
TI - TCR/pMHC Interaction: Phenotypic Model for an Unsolved Enigma.
AB - TCR-pMHC interaction is the keystone of the adaptive immune response. This
process exhibits an impressive capacity of speed, sensitivity, and discrimination
that allows detecting foreign pMHCs at very low concentration among much more
abundant self-pMHC ligands. However, and despite over three decades of intensive
research, the mechanisms by which this remarkable discrimination and sensitivity
is attained remain controversial. In kinetic proofreading mechanisms (KPR), an
increase of specificity occurs by reducing the sensitivity. To overcome this
difficulty, more elaborate models including feedback processes or induced
rebinding have been incorporated into the KPR scheme. Here a new approach based
on the assumption that the proofreading chain behaves differently for foreign-
and self-pMHC complexes has been integrated into a phenotypic model in which the
complexes responsible for T cell activation stabilize (for foreign peptides) or
weaken (for foreign peptides), resulting in a dramatic increase in sensitivity
and specificity. Stabilization and destabilization of complexes may be caused by
conformational changes, rebinding, or any other process leading to variations in
the dissociation rate constants of the complexes transmitting the activation. The
numerical solution and the analytical expression for the steady-state response as
a function of koff(i) (i = 0, 1, ..., N, where C0, C1, ..., CN are the complexes
in the proofreading chain) are provided. The activation chain speeds up, and
larger increases in sensitivity and discrimination are obtained if the rate of
activation along the proofreading chain increases for foreign pMHCs and decreases
for self-ligands. Experimental implications and comparison with current models
are discussed.
PMID- 27881980
TI - Comparative Genomics of DNA Recombination and Repair in Cyanobacteria:
Biotechnological Implications.
AB - Cyanobacteria are fascinating photosynthetic prokaryotes that are regarded as the
ancestors of the plant chloroplast; the purveyors of oxygen and biomass for the
food chain; and promising cell factories for an environmentally friendly
production of chemicals. In colonizing most waters and soils of our planet,
cyanobacteria are inevitably challenged by environmental stresses that generate
DNA damages. Furthermore, many strains engineered for biotechnological purposes
can use DNA recombination to stop synthesizing the biotechnological product.
Hence, it is important to study DNA recombination and repair in cyanobacteria for
both basic and applied research. This review reports what is known in a few
widely studied model cyanobacteria and what can be inferred by mining the
sequenced genomes of morphologically and physiologically diverse strains. We show
that cyanobacteria possess many E. coli-like DNA recombination and repair genes,
and possibly other genes not yet identified. E. coli-homolog genes are unevenly
distributed in cyanobacteria, in agreement with their wide genome diversity. Many
genes are extremely well conserved in cyanobacteria (mutMS, radA, recA, recFO,
recG, recN, ruvABC, ssb, and uvrABCD), even in small genomes, suggesting that
they encode the core DNA repair process. In addition to these core genes, the
marine Prochlorococcus and Synechococcus strains harbor recBCD (DNA
recombination), umuCD (mutational DNA replication), as well as the key SOS genes
lexA (regulation of the SOS system) and sulA (postponing of cell division until
completion of DNA reparation). Hence, these strains could possess an E. coli-type
SOS system. In contrast, several cyanobacteria endowed with larger genomes lack
typical SOS genes. For examples, the two studied Gloeobacter strains lack alkB,
lexA, and sulA; and Synechococcus PCC7942 has neither lexA nor recCD.
Furthermore, the Synechocystis PCC6803 lexA product does not regulate DNA repair
genes. Collectively, these findings indicate that not all cyanobacteria have an
E. coli-type SOS system. Also interestingly, several cyanobacteria possess
multiple copies of E. coli-like DNA repair genes, such as Acaryochloris marina
MBIC11017 (2 alkB, 3 ogt, 7 recA, 3 recD, 2 ssb, 3 umuC, 4 umuD, and 8 xerC),
Cyanothece ATCC51142 (2 lexA and 4 ruvC), and Nostoc PCC7120 (2 ssb and 3 xerC).
PMID- 27881983
TI - High Endothelial Venules and Lymphatic Vessels in Tertiary Lymphoid Organs:
Characteristics, Functions, and Regulation.
AB - High endothelial venules (HEVs) and lymphatic vessels (LVs) are essential for the
function of the immune system, by providing communication between the body and
lymph nodes (LNs), specialized sites of antigen presentation and recognition.
HEVs bring in naive and central memory cells and LVs transport antigen, antigen
presenting cells, and lymphocytes in and out of LNs. Tertiary lymphoid organs
(TLOs) are accumulations of lymphoid and stromal cells that arise and organize at
ectopic sites in response to chronic inflammation in autoimmunity, microbial
infection, graft rejection, and cancer. TLOs are distinguished from primary
lymphoid organs - the thymus and bone marrow, and secondary lymphoid organs
(SLOs) - the LNs, spleen, and Peyer's patches, in that they arise in response to
inflammatory signals, rather than in ontogeny. TLOs usually do not have a capsule
but are rather contained within the confines of another organ. Their structure,
cellular composition, chemokine expression, and vascular and stromal support
resemble SLOs and are the defining aspects of TLOs. T and B cells, antigen
presenting cells, fibroblast reticular cells, and other stromal cells and
vascular elements including HEVs and LVs are all typical components of TLOs. A
key question is whether the HEVs and LVs play comparable roles and are regulated
similarly to those in LNs. Data are presented that support this concept,
especially with regard to TLO HEVs. Emerging data suggest that the functions and
regulation of TLO LVs are also similar to those in LNs. These observations
support the concept that TLOs are not merely cellular accumulations but are
functional entities that provide sites to generate effector cells, and that their
HEVs and LVs are crucial elements in those activities.
PMID- 27881984
TI - Gene Discovery and Advances in Finger Millet [Eleusine coracana (L.) Gaertn.]
Genomics-An Important Nutri-Cereal of Future.
AB - The rapid strides in molecular marker technologies followed by genomics, and next
generation sequencing advancements in three major crops (rice, maize and wheat)
of the world have given opportunities for their use in the orphan, but highly
valuable future crops, including finger millet [Eleusine coracana (L.) Gaertn.].
Finger millet has many special agronomic and nutritional characteristics, which
make it an indispensable crop in arid, semi-arid, hilly and tribal areas of India
and Africa. The crop has proven its adaptability in harsh conditions and has
shown resilience to climate change. The adaptability traits of finger millet have
shown the advantage over major cereal grains under stress conditions, revealing
it as a storehouse of important genomic resources for crop improvement. Although
new technologies for genomic studies are now available, progress in identifying
and tapping these important alleles or genes is lacking. RAPDs were the default
choice for genetic diversity studies in the crop until the last decade, but the
subsequent development of SSRs and comparative genomics paved the way for the
marker assisted selection in finger millet. Resistance gene homologs from NBS-LRR
region of finger millet for blast and sequence variants for nutritional traits
from other cereals have been developed and used invariably. Population structure
analysis studies exhibit 2-4 sub-populations in the finger millet gene pool with
separate grouping of Indian and exotic genotypes. Recently, the omics
technologies have been efficiently applied to understand the nutritional
variation, drought tolerance and gene mining. Progress has also occurred with
respect to transgenics development. This review presents the current
biotechnological advancements along with research gaps and future perspective of
genomic research in finger millet.
PMID- 27881982
TI - Milestones of Hematopoietic Stem Cell Transplantation - From First Human Studies
to Current Developments.
AB - Since the early beginnings, in the 1950s, hematopoietic stem cell transplantation
(HSCT) has become an established curative treatment for an increasing number of
patients with life-threatening hematological, oncological, hereditary, and
immunological diseases. This has become possible due to worldwide efforts of
preclinical and clinical research focusing on issues of transplant immunology,
reduction of transplant-associated morbidity, and mortality and efficient
malignant disease eradication. The latter has been accomplished by potent graft
versus-leukemia (GvL) effector cells contained in the stem cell graft. Exciting
insights into the genetics of the human leukocyte antigen (HLA) system allowed
improved donor selection, including HLA-identical related and unrelated donors.
Besides bone marrow, other stem cell sources like granulocyte-colony stimulating
mobilized peripheral blood stem cells and cord blood stem cells have been
established in clinical routine. Use of reduced-intensity or non-myeloablative
conditioning regimens has been associated with a marked reduction of non
hematological toxicities and eventually, non-relapse mortality allowing older
patients and individuals with comorbidities to undergo allogeneic HSCT and to
benefit from GvL or antitumor effects. Whereas in the early years, malignant
disease eradication by high-dose chemotherapy or radiotherapy was the ultimate
goal; nowadays, allogeneic HSCT has been recognized as cellular immunotherapy
relying prominently on immune mechanisms and to a lesser extent on non-specific
direct cellular toxicity. This chapter will summarize the key milestones of HSCT
and introduce current developments.
PMID- 27881986
TI - The Parenchyma of Secondary Xylem and Its Critical Role in Tree Defense against
Fungal Decay in Relation to the CODIT Model.
AB - This review examines the roles that ray and axial parenchyma (RAP) plays against
fungal pathogens in the secondary xylem of wood within the context of the CODIT
model (Compartmentalization of Decay in Trees), a defense concept first conceived
in the early 1970s by Alex Shigo. This model, simplistic in its design, shows how
a large woody perennial is highly compartmented. Anatomical divisions in place at
the time of infection or damage, (physical defense) alongside the 'active'
response by the RAP during and after wounding work together in forming boundaries
that function to restrict air or decay spread. The living parenchyma cells play a
critical role in all of the four walls (differing anatomical constructs) that the
model comprises. To understand how living cells in each of the walls of CODIT
cooperate, we must have a clear vision of their complex interconnectivity from a
three-dimensional perspective, along with knowledge of the huge variation in ray
parenchyma (RP) and axial parenchyma (AP) abundance and patterns. Crucial
patterns for defense encompass the symplastic continuum between both RP and AP
and the dead tissues, with the latter including the vessel elements, libriform
fibers, and imperforate tracheary elements (i.e., vasicentric and vascular
tracheids). Also, the heartwood, a chemically altered antimicrobial non-living
substance that forms the core of many trees, provides an integral part of the
defense system. In the heartwood, dead RAP can play an important role in defense,
depending on the genetic constitution of the species. Considering the array of
functions that RAP are associated with, from capacitance, through to storage, and
long-distance water transport, deciding how their role in defense fits into this
suite of functions is a challenge for plant scientists, and likely depends on a
range of factors. Here, we explore the important role of RAP in defense against
fungal pathogens and the trade-offs involved from a viewpoint for structure
function relations, while also examining how fungi can breach the defense system
using an array of enzymes in conjunction with the physically intrusive hyphae.
PMID- 27881985
TI - Pollen Aquaporins: The Solute Factor.
AB - In the recent years, the biophysical properties and presumed physiological role
of aquaporins (AQPs) have been expanded to specialized cells where water and
solute exchange are crucial traits. Complex but unique processes such as stomatal
movement or pollen hydration and germination have been addressed not only by
identifying the specific AQP involved but also by studying how these proteins
integrate and coordinate cellular activities and functions. In this review, we
referred specifically to pollen-specific AQPs and analyzed what has been assumed
in terms of transport properties and what has been found in terms of their
physiological role. Unlike that in many other cells, the AQP machinery in mature
pollen lacks plasma membrane intrinsic proteins, which are extensively studied
for their high water capacity exchange. Instead, a variety of TIPs and NIPs are
expressed in pollen. These findings have altered the initial understanding of
AQPs and water exchange to consider specific and diverse solutes that might be
critical to sustaining pollen's success. The spatial and temporal distribution of
the pollen AQPs also reflects a regulatory mechanism that allowing a properly
adjusting water and solute exchange.
PMID- 27881988
TI - Identification of a Solanum pennellii Chromosome 4 Fruit Flavor and Nutritional
Quality-Associated Metabolite QTL.
AB - A major resource for tomato quality improvement and gene discovery is the
collection of introgression lines (ILs) of cultivated Solanum lycopersicum that
contain different, defined chromosomal segments derived from the wild tomato
relative, S. pennellii. Among these lines, IL4-4, in which the bottom of S.
lycopersicum (cv. M82) chromosome 4 is replaced by the corresponding S. pennellii
segment, is altered in many primary and secondary metabolites, including many
related to fruit flavor and nutritional quality. Here, we provide a comprehensive
profile of IL4-4 ripe fruit metabolites, the transcriptome and fine mapping of
sub-ILs. Remarkably, out of 327 quantified metabolites, 185 were significantly
changed in IL4-4 fruit, compared to the control. These altered metabolites
include volatile organic compounds, primary and secondary metabolites. Partial
least squares enhanced discriminant analysis of the metabolite levels among sub
ILs indicated that a genome region encompassing 20 putative open reading frames
is responsible for most of the metabolic changes in IL4-4 fruit. This work
provides comprehensive insights into IL4-4 fruit biochemistry, identifying a
small region of the genome that has major effects on a large and diverse set of
metabolites.
PMID- 27881987
TI - Microscopic and Molecular Characterization of the Prehaustorial Resistance
against Wheat Leaf Rust (Puccinia triticina) in Einkorn (Triticum monococcum).
AB - Puccinia triticina f. sp. tritici (Eriks.), the causal agent of leaf rust, causes
substantial yield losses in wheat production. In wheat many major leaf rust
resistance genes have been overcome by virulent races. In contrast, the
prehaustorial resistance (phr) against wheat leaf rust detected in the diploid
wheat Einkorn (Triticum monoccocum var. monococcum) accession PI272560 confers
race-independent resistance against isolates virulent on accessions harboring
resistance genes located on the A-genome of Triticum aestivum. Phr in PI272560
leads to abortion of fungal development during the formation of haustorial mother
cells and to increased hydrogen peroxide concentration in comparison to the
susceptible accession 36554 (Triticum boeoticum ssp. thaoudar var. reuteri).
Increased peroxidase and endochitinase activity was detected in PI272560 within 6
h after inoculation (hai). Comparative transcriptome profiling using Massive
Analysis of cDNA Ends (MACE) in infected and non-infected leaves detected 14220
differentially expressed tags in PI272560 and 15472 in accession 36554. Of these
2908 and 3004, respectively, could be assigned to Gene Ontology (GO) categories
of which 463 were detected in both accessions and 311 were differentially
expressed between the accessions. In accordance with the concept of non-host
resistance in PI272560, genes with similarity to peroxidases, chitinases, beta
1,3-glucanases and other pathogenesis-related genes were up-regulated within the
first 8 hai, whereas up-regulation of such genes was delayed in 36554. Moreover,
a Phosphoribulokinase gene contributing to non-host resistance in rice against
stripe rust was exclusively expressed in the resistant accession PI272560. Gene
expression underpinned physiological and phenotypic observations at the site of
infection and are in accordance with the concept of non-host resistance.
PMID- 27881990
TI - Allometric Trajectories and "Stress": A Quantitative Approach.
AB - The term "stress" is an important but vague term in plant biology. We show
situations in which thinking in terms of "stress" is profitably replaced by
quantifying distance from functionally optimal scaling relationships between
plant parts. These relationships include, for example, the often-cited one
between leaf area and sapwood area, which presumably reflects mutual dependence
between sources and sink tissues and which scales positively within individuals
and across species. These relationships seem to be so basic to plant functioning
that they are favored by selection across nearly all plant lineages. Within a
species or population, individuals that are far from the common scaling patterns
are thus expected to perform negatively. For instance, "too little" leaf area
(e.g., due to herbivory or disease) per unit of active stem mass would be
expected to incur to low carbon income per respiratory cost and thus lead to
lower growth. We present a framework that allows quantitative study of phenomena
traditionally assigned to "stress," without need for recourse to this term. Our
approach contrasts with traditional approaches for studying "stress," e.g.,
revealing that small "stressed" plants likely are in fact well suited to local
conditions. We thus offer a quantitative perspective to the study of phenomena
often referred to under such terms as "stress," plasticity, adaptation, and
acclimation.
PMID- 27881989
TI - The Contrasting Effects of Elevated CO2 on TYLCV Infection of Tomato Genotypes
with and without the Resistance Gene, Mi-1.2.
AB - Elevated atmospheric CO2 typically enhances photosynthesis of C3 plants and
alters primary and secondary metabolites in plant tissue. By modifying the
defensive signaling pathways in host plants, elevated CO2 could potentially
affect the interactions between plants, viruses, and insects that vector viruses.
R gene-mediated resistance in plants represents an efficient and highly specific
defense against pathogens and herbivorous insects. The current study determined
the effect of elevated CO2 on tomato plants with and without the nematode
resistance gene Mi-1.2, which also confers resistance to some sap-sucking insects
including whitefly, Bemisia tabaci. Furthermore, the subsequent effects of
elevated CO2 on the performance of the vector whiteflies and the severity of
Tomato yellow leaf curl virus (TYLCV) were also determined. The results showed
that elevated CO2 increased the biomass, plant height, and photosynthetic rate of
both the Moneymaker and the Mi-1.2 genotype. Elevated CO2 decreased TYLCV disease
incidence and severity for Moneymaker plants but had the opposite effect on Mi
1.2 plants whether the plants were agroinoculated or inoculated via B. tabaci
feeding. Elevated CO2 increased the salicylic acid (SA)-dependent signaling
pathway on Moneymaker plants but decreased the SA-signaling pathway on Mi-1.2
plants when infected by TYLCV. Elevated CO2 did not significantly affect B.
tabaci fitness or the ability of viruliferous B. tabaci to transmit virus
regardless of plant genotype. The results indicate that elevated CO2 increases
the resistance of Moneymaker plants but decreases the resistance of Mi-1.2 plants
against TYLCV, whether the plants are agroinoculated or inoculated by the vector.
Our results suggest that plant genotypes containing the R gene Mi-1.2 will be
more vulnerable to TYLCV and perhaps to other plant viruses under elevated CO2
conditions.
PMID- 27881991
TI - Dissecting Long-Term Adjustments of Photoprotective and Photo-Oxidative Stress
Acclimation Occurring in Dynamic Light Environments.
AB - Changes in light intensity directly affect the performance of the photosynthetic
apparatus. Light energy absorbed in excess of cells' needs leads to production of
reactive oxygen species and photo-oxidative damage. Excess light in both constant
and dynamic environments induces photoprotective acclimation in plants. Distinct
sets of signals and regulatory mechanisms are involved in acclimatory adjustment
of photoprotection and photosynthesis under constant and dynamic (fluctuating)
light conditions. We are still far away from drawing a comprehensive picture of
acclimatory signal transduction pathways, particularly in dynamic environments.
In this perspective article, we propose the use of Arabidopsis plants that
produce H2O2 in chloroplasts (GO plants) under atmospheric CO2 levels as a tool
to study the mechanisms of long-term acclimation to photo-oxidative stress. In
our opinion there are new avenues to future investigations on acclimatory
adjustments and signal transduction occurring in plants under dynamic light
environments.
PMID- 27881994
TI - Quality of pharmacy services and regulatory compliance.
PMID- 27881992
TI - Molecular Mapping and QTL for Expression Profiles of Flavonoid Genes in Brassica
napus.
AB - Flavonoids are secondary metabolites that are extensively distributed in the
plant kingdom and contribute to seed coat color formation in rapeseed. To
decipher the genetic networks underlying flavonoid biosynthesis in rapeseed, we
constructed a high-density genetic linkage map with 1089 polymorphic loci
(including 464 SSR loci, 97 RAPD loci, 451 SRAP loci, and 75 IBP loci) using
recombinant inbred lines (RILs). The map consists of 19 linkage groups and covers
2775 cM of the B. napus genome with an average distance of 2.54 cM between
adjacent markers. We then performed expression quantitative trait locus (eQTL)
analysis to detect transcript-level variation of 18 flavonoid biosynthesis
pathway genes in the seeds of the 94 RILs. In total, 72 eQTLs were detected and
found to be distributed among 15 different linkage groups that account for 4.11%
to 52.70% of the phenotypic variance atrributed to each eQTL. Using a genetical
genomics approach, four eQTL hotspots together harboring 28 eQTLs associated with
18 genes were found on chromosomes A03, A09, and C08 and had high levels of
synteny with genome sequences of A. thaliana and Brassica species. Associated
with the trans-eQTL hotspots on chromosomes A03, A09, and C08 were 5, 17, and 1
genes encoding transcription factors, suggesting that these genes have essential
roles in the flavonoid biosynthesis pathway. Importantly, bZIP25, which is
expressed specifically in seeds, MYC1, which controls flavonoid biosynthesis, and
the R2R3-type gene MYB51, which is involved in the synthesis of secondary
metabolites, were associated with the eQTL hotspots, and these genes might thus
be involved in different flavonoid biosynthesis pathways in rapeseed. Hence,
further studies of the functions of these genes will provide insight into the
regulatory mechanism underlying flavonoid biosynthesis, and lay the foundation
for elaborating the molecular mechanism of seed coat color formation in B. napus.
PMID- 27881995
TI - Pattern of initial clinical manifestations of systemic lupus erythematosus in a
tertiary care hospital.
AB - OBJECTIVE: To determine the pattern of initial clinical manifestations of
Systemic Lupus Erythematosus (SLE) and to compare these features with those
recorded elsewhere in Pakistan. METHODS: This cross-sectional, descriptive study
was performed in the Department of Rheumatology, Fatima Memorial Hospital,
Lahore, Pakistan, from November 2015 to January 2016. Sixty one patients of SLE
diagnosed as per ACR (American College of Rheumatology) 1982 revised criteria,
were enrolled. The patients were evaluated for the initial clinical
manifestations of SLE. The information was collected on a specially designed
proforma and analyzed by using SPSS version 17. RESULTS: Out of 61 patients, 49
(80.3%) were females and 12 (19.7%) males, showing a female to male ratio of 4:1.
The mean age of patients was 26.2 +/- 7.9 years. Fatigue was the most common
presenting feature in 56 (91.8%) patients, followed by joint pains in 55 (90.2%)
and fever in 54 (88.5%). Renal involvement was found in 46 (75.4%). Comparison of
these presenting features was made with other studies carried out in Northern
Pakistan (Islamabad) and in central Punjab (Pakistan). There were statistically
significant differences in fever, fatigue and arthritis between our patients and
the other two above mentioned study groups. However, comparison of renal
manifestations showed significant difference only with Islamabad study, and not
with previous study from central Punjab. CONCLUSION: In this study, majority of
patients presented with combination of fatigue, fever, rash and arthritis. Almost
three-fourth of patients had renal manifestations at initial presentation.
Therefore, it is important for clinicians to have high index of suspicion for
SLE, when patients present with above symptoms.
PMID- 27881993
TI - Transcriptome Analysis and Identification of Genes Associated with Floral
Transition and Flower Development in Sugar Apple (Annona squamosa L.).
AB - Sugar apple (Annona squamosa L.) is a semi-deciduous subtropical tree that
progressively sheds its leaves in the spring. However, little information is
available on the mechanism involved in flower developmental pattern. To gain a
global perspective on the floral transition and flower development of sugar
apple, cDNA libraries were prepared independently from inflorescent meristem and
three flowering stages. Illumina sequencing generated 107,197,488 high quality
reads that were assembled into 71,948 unigenes, with an average sequence length
of 825.40 bp. Among the unigenes, various transcription factor families involved
in floral transition and flower development were elucidated. Furthermore, a Kyoto
Encyclopedia of Genes and Genomes pathway enrichment analysis revealed that
unigenes exhibiting differential expressions were involved in various
phytohormone signal transduction events and circadian rhythms. In addition, 147
unigenes exhibiting sequence similarities to known flowering-related genes from
other plants were differentially expressed during flower development. The
expression patterns of 20 selected genes were validated using quantitative-PCR.
The expression data presented in our study is the most comprehensive dataset
available for sugar apple so far and will serve as a resource for investigating
the genetics of the flowering process in sugar apple and other Annona species.
PMID- 27881996
TI - Quality of drug stores: Storage practices & Regulatory compliance in Karachi,
Pakistan.
AB - OBJECTIVE: To assess and evaluate the drug storage quality and regulatory
compliance among privately operated drug stores of Karachi Pakistan. METHODS: A
cross-sectional survey of drug stores located in Karachi was conducted from May
to December 2013. A total of 1003 drug stores that were involved in the sales,
purchase and dispensing of pharmaceutical products were approached by non
probability purposive sampling technique, and the information was collected using
a close ended, structured questionnaire. RESULTS: Out of 1003 drug stores
inspected only 4.1%(n=41) were found compliant to regulatory requirements. Most
of the stores 74.9%(n=752) were selling general items along with the drugs. Only
12%(n=124) stores were having qualified person working on the store, out of which
33% were pharmacist. 47.4%(n=400) of the stores had drug sales license displayed
in the premises and 33.4%(n=282) of the stores had expired drug sales license.
11.4%(n=94) stores were found selling vaccines without proper refrigerator and
only 11.7% stores had the power backup for the refrigerator. Only 40.2%(n=403) of
stores were protected from direct sunlight and 5.4%(n=54) having air conditioning
in the premises. CONCLUSION: The regulatory compliance of majority of the drug
stores operated privately in different areas of Karachi is below standard. Only a
few drugs stores have adequate facilities to protect the drugs from extreme
temperature, sunlight and provision of refrigeration. Very few of the drug stores
carry out drug sales under the supervision of qualified pharmacist. There is a
dire need to improve the storage practices in the drug stores by complying with
the regulatory standards/laws as specified by the Drug Regulatory Authority of
Pakistan.
PMID- 27881997
TI - Relationship of anthropometric measures with Knee Osteoarthritis in diabetes
mellitus.
AB - OBJECTIVE: To determine the frequency of knee osteoarthritis in adult patients
with Diabetes mellitus and its association with body mass index (BMI) in kg/m2
and waist circumference (WC). METHODS: This is a cross-sectional comparative
study at a tertiary hospital based in an industrial area of Karachi. Patient
population comprised of all adult diabetic subjects. Clinical and demographic
data was obtained with detailed musculoskeletal examination on all patients. BMI
and WC were measured as kg/m2 and cm respectively. Data was analyzed on SPSS
version 15. RESULTS: A total of 413 subjects were recruited. Among them diabetic
and non-diabetic subjects were 210 and 203 respectively. Mean age of diabetics
was 50.7+-10.2 years as compared to non-diabetic subjects i.e. 49.5+-10.5 years.
Proportion of male subjects was 72(34.3%) and 71(35.0) respectively in both
groups. Mean duration of diabetes was 6.2 years. Frequency of knee osteoarthritis
(OA) was found to be 52(24.8%) and 54(26.6%) in diabetic and non-diabetic
respectively. Among the diabetic group 6 (18%) subjects with OA had normal BMI
(18.5-22.9) whereas 4 (16%) were overweight (BMI 23-24.9) and 41(27.5%) were
obese(BMI >=25). Near 98% (n=51) of the diabetic patients with OA had high waist
circumference. Females (n= 42, 31.1%) were more frequent than males (n=9, 16%) in
the diabetic subjects with OA and a higher WC. CONCLUSION: Both diabetic and non
diabetic group did not show any difference in the frequency of knee OA. However,
frequency of knee OA showed a significant difference between overweight and obese
category of BMI.WC appears as a strong predictor of knee osteoarthritis.
PMID- 27881998
TI - A comparative study on the effects of MRI- and CT-guided interventional therapies
on uterine fibroids.
AB - OBJECTIVE: To compare the effects of MRI- and CT-guided interventional therapies
on uterine fibroids. METHODS: A total of 280 patients with uterine fibroids who
were treated in our hospital from August 2008 to February 2014 were selected and
divided into a treatment group and a control group by random draw (n=140). The
control group and the treatment group were subjected to CT- and MRI-guided
interventional therapies for uterine artery embolization. RESULTS: After three
months of treatment, 94.3% and 92.9% of heavy menstrual bleeding and pelvic
pressure of the treatment group were relieved respectively, which were similar to
those of the control group (92.9% and 92.1% respectively) (P>0.05). The two
groups had similar uterine and fibroid sizes before treatment, which were all
significantly decreased after treatment (P<0.05) when the treatment group had
significantly smaller uteri and fibroids than the control group did (P<0.05). The
serum follicle-stimulating hormone, luteinizing hormone, estradiol levels,
arterial resistive indices and endometrial thicknesses of the two groups were
similar before treatment, which were significantly increased after treatment
(P<0.05). Meanwhile, the values of the two groups became significantly different
(P<0.05). The treatment group was also significantly less prone to complications
such as fever, vaginal bleeding and hematuria than the control group after
treatment (P<0.05). CONCLUSION: Interventional therapy, especially that guided by
MRI, can be performed accurately and safely by mildly affecting the ovary and by
promoting the recovery of uterine artery blood flow and endometrial thickness.
PMID- 27881999
TI - Contraceptive failure with Copper T380A intrauterine device (IUD): A single
tertiary center experience.
AB - OBJECTIVE: The objective of this study was to assess the risk factors of
pregnancy with Copper (Cu)T380A IUD and pregnancy outcomes. METHODS: A
retrospective study evaluating the risk factors and pregnancy outcomes of 81
patients who conceived with CuT380A IUD in situ. RESULTS: Four ectopic
pregnancies and 77 intrauterine pregnancies were detected. Twenty-six pregnancies
(33.76%, 26/77) were terminated according to maternal desire. Twenty-five
patients (32.46%, 25/77) whose IUDs were removed constituted the Removed IUD
Group, and the remaining 26 patients constituted IUD Left in situ Group. Term
pregnancy rates (76% vs. 20.8%, p=0.002) were significantly higher in the Removed
IUD Group compared with the IUD Left in situ Group. Abortion rates (16% vs.
53.84%, p=0.008) were detected significantly higher in the IUD Left in situ
Group. CONCLUSION: The main result of our study was that pregnancy with CuT380A
in situ is a significant risk factor for adverse perinatal outcome. Adjusting the
scheduled follow-ups for checking the IUD seems to be important in order to
prevent accidental pregnancy.
PMID- 27882000
TI - Study on risk factors (predisposing factors) for poor diabetes control during
Hajj (1436/2015) in people with diabetes.
AB - BACKGROUND AND OBJECTIVE: Each year millions of Muslims perform pilgrimage to
Makkah, Saudi Arabia. It is particularly stressful during the peak five days,
when all rituals have to be performed at specific periods of time at different
sites. Poor diabetes control in people with diabetes predisposes to morbidity and
increases risk of acute complications. We wanted to see how well their blood
glucose control was before coming to Hajj and whether they were aware, about self
management of Diabetes and what were reasons for hospital admissions. METHOD: We
performed an observational prospective study, based on questionnaire. Sixty one
patients were enrolled after taking informed consent. Patients included in the
study were known or newly diagnosed diabetics who were admitted to KAMC between
1st and 30th Zil'Hajj. RESULTS: Of the total 61 patients, 16 were newly
diagnosed, (not known diabetic, before), while 45 were known diabetics. Among
known diabetics, about 77% patients had poor diabetes control on admission, 72%
did not bring glucometer, about 55% received diabetic education before coming to
Makkah; 37% were doing SMBG occasionally and only 22% were aware that more
frequent SMBG required during illness. CONCLUSIONS: Most people in our study
population suffered from poor glycemic control before coming to Hajj. A
significant number were unaware of their diagnosis. The most significant risk
factor in our study was a lack of knowledge about self-management of diabetes and
Hajj specific management.
PMID- 27882001
TI - Psychiatric symptoms and pregnancy distress in subsequent pregnancy after
spontaneous abortion history.
AB - OBJECTIVES: Spontaneous abortion is one of the most important complications of
pregnancy with short and long adverse psychological effects on women. This study
assesses the implications of a spontaneous abortion history has on women's
psychiatric symptoms and pregnancy distress in subsequent pregnancy less than one
years after spontaneous abortion. METHODS: A case-control study was conducted on
pregnant women of Babol city from September 2014 to May 2015. In this study, 100
pregnant women with spontaneous abortion history during a year ago and 100
pregnant women without spontaneous abortion history were enrolled. All the
participants in two groups completed the Symptom Checklist-90-Revised (SCL-90-R),
and pregnancy Distress Questionnaire (PDQ). RESULTS: Women with spontaneous
abortion history had significantly higher mean of many subscales of SCL-90
(depression, anxiety, somatization, obsessive-compulsiveness, interpersonal
sensitivity, psychoticism, hostility, paranoid, and Global Severity Index) more
than women without spontaneous abortion history. Also, women with spontaneous
abortion history had significantly higher mean of two subscales of PDQ concerns
about birth and the baby, concerns about emotions and relationships) and total
PDQ more than women without spontaneous abortion history. CONCLUSION: Pregnant
women with less than a year after spontaneous abortion history are at risk of
psychiatric symptoms and pregnancy distress more than controls. This study
supports those implications for planning the post spontaneous abortion
psychological care for women, especially women who wanted to be pregnant during
12 month after spontaneous abortion.
PMID- 27882002
TI - A simple integrated primary health care based model for detection of diabetic
retinopathy in resource-limited settings in Pakistani population.
AB - OBJECTIVE: To find out prevalence of Diabetic Retinopathy in general population
of three districts in Pakistan. METHODS: A community based cross-sectional survey
was conducted in three large districts of Pakistan namely Rawalpindi in Punjab,
Peshawar in Khyber Pakhtoonkhwa and Hyderabad in Sindh between January 2013 and
August 2015. Lady Health Workers identified individuals at high risk for diabetes
based on pre-defined criteria. High risk population was tested for dysglycemia.
Fundoscopic evaluation for evidence of DR was performed in all individuals with a
random blood glucose >190mg/dl. Individuals with the evidence of DR were referred
to affiliated tertiary care ophthalmology departments. RESULTS: A total of 42,629
individuals reported at the project sites and 63% (n=26,859) were female. Fifty
one percent (n=21,989) individuals met high risk criteria. Out of these 21,989
individuals, dysglycemia was found in 3,869 (17.6%). Fundoscopy showed evidence
of DR in 1,042 (27%) individuals. Amongst high risk population, dysglycemia was
significantly more common in females as compared to males. The frequency of DR in
dysglycemic patients was comparable across both gender groups. CONCLUSION: The
prevalence of DR in Pakistani population is alarmingly high. This preventable
cause of blindness is largely undiagnosed in our population and a simple
integrated model based on primary health care facilities can help identify and
treat a large population of DR patients.
PMID- 27882003
TI - Risk factors for deep venous thrombosis of lower limbs in postoperative
neurosurgical patients.
AB - OBJECTIVES: To detect the risk factors for deep venous thrombosis (DVT) in
patients after neurosurgery. METHODS: Three hundred and seventy-six patients
treated in the department of neurosurgery of our hospital from February 2013 to
November 2015 were reviewed retrospectively. The clinical data including age,
gender, hospital stay, operation time, occupation type, hypertension, coronary
heart disease, diabetes, smoking status, drinking status, postoperative
exercises, malignant tumor, and postoperative hormone or dehydrating agent were
collected. RESULTS: In this study, 52 patients were included in the DVT group and
295 patients in the Non-DVT group. There was significant difference in age,
hypertension, occupation type, malignant tumors, operation time, smoking status,
and postoperative exercises between the two groups (p<0.05). However, there was
no significant difference in gender, drinking status, coronary heart disease,
diabetes, hospital stay, and postoperative hormone or dehydrating agent (p>0.05).
In multivariate analysis, age, malignant tumor, hypertension were independent
risk factors, while physical labour and postoperative exercises were protective
factor for DVT. CONCLUSION: The postoperative patients with older age, malignant
tumor or hypertension should be paid high attention to prevent DVT, and
postoperative exercises should be selected as precautionary measures.
PMID- 27882004
TI - Efficacy and safety of transepithelial collagen cross linking for progressive
keratoconus.
AB - OBJECTIVE: To evaluate the efficacy and safety of transepithelial (TE) collagen
cross-linking (CXL) in patients with progressive keratoconus (KC). METHODS: This
Quasi Experimental Study was conducted at PNS Shifa Naval Hospital, Karachi from
June 2015 to June 2016. Sixty eyes of 32 patients who underwent TE CXL for
progressive KC from June 2015 to June 2016 were analysed to ascertain efficacy
and safety of TE CXL procedure. Statistical analysis of the data was done using
SPSS version 17.0. RESULTS: Twenty eight (87.5%) patients underwent TE CXL
bilaterally, while 4 (12.5%) underwent unilateral CXL. Mean change in
astigmatism, Maximum simulated Keratometry value (Kmax), Spherical equivalent
(SE) and Central Corneal Thickness (CCT) were -0.67+/-0.35D, 1.28+/-0.64D,
0.58+/-0.17D and 0.40+/-7.58um respectively, from baseline. Mean gain in lines on
Snellen's visual acuity chart was 1.13+/-0.83 lines. Changes in astigmatism, Kmax
and SE were statistically significant (p<0.001), while change in CCT was not
statistically significant. The procedure had excellent safety profile, with no
major complication till 6 months follow up period. CONCLUSION: TE CXL is a safe
and effective procedure with statistically significant reduction in corneal
astigmatism, Kmax and SE with reasonable gain in Snellen's visual acuity.
PMID- 27882005
TI - Can blood and semen presepsin levels in males predict pregnancy in couples
undergoing intra-cytoplasmic sperm injection?
AB - OBJECTIVE: To determine whether semen and plasma presepsin values measured in men
with normozoospermia and oligoasthenospermia undergoing invitro-fertilization
would be helpful in predicting ongoing pregnancy and live birth. METHODS: Group-I
was defined as patients who had pregnancy after treatment and Group-II comprised
those with no pregnancy. Semen and blood presepsin values were subsequently
compared between the groups. Parametric comparisons were performed using
Student's t-test, and non-parametric comparisons were conducted using the Mann
Whitney U test. RESULTS: There were 42 patients in Group-I and 72 in Group-II. In
the context of successful pregnancy and live birth, semen presepsin values were
statistically significantly higher in Group-I than in Group-II (p= 0.004 and p=
0.037, respectively). The most appropriate semen presepsin cut-off value for
predicting both ongoing pregnancy and live birth was calculated as 199 pg/mL.
Accordingly, their sensitivity was 64.5% to 59.3%, their specificity was 57.0% to
54.2%, and their positive predictive value was 37.0% to 29.6%, respectively;
their negative predictive value was 80.4% in both instances. CONCLUSION: Semen
presepsin values could be a new marker that may enable the prediction of
successful pregnancy and/or live birth. Its negative predictive values are
especially high.
PMID- 27882006
TI - Framingham risk score in impaired glucose tolerant population: A sub analysis of
Diabetes Prevention and Awareness Program of Pakistan.
AB - OBJECTIVE: To assess the 10-year risk of coronary artery disease (CAD) in
subjects with impaired glucose tolerance (IGT) using Framingham risk score.
METHODS: Data for this study was collected from Diabetes Prevention and Awareness
Program. Primary prevention team visited different primary health care centers,
factories, service organizations and offices within Karachi, Pakistan. IGT was
diagnosed according to World Health Organization criteria after taking informed
consent. Information regarding social-demography, dietary habits and physical
activities were obtained by a designed questionnaire on one-to-one based
interview. Framingham risk score (FRS) was used to assess risk of developing CAD.
RESULTS: A total of 315 subjects with IGT were recruited for the study. Mean age
of subjects was 44.1 +/- 9.8 years and mean BMI was 27.3 +/- 5.0 kg/m2. Overall,
31.4% of the participants were at risk of having CAD. Males were 6.4 times and
hypertensive subjects were 2.44 times more likely to have CAD in next 10 years.
CONCLUSION: According to the findings of the study, male and hypertensive IGT
subjects were more likely to develop CAD in next 10 years. Community based
awareness programs are needed to educate people regarding healthy lifestyle in
order to reduce the risk of IGT and CAD.
PMID- 27882007
TI - Therapeutic effects of sequential chemoradiotherapy with pemetrexed and cisplatin
on locally advanced laryngeal cancer.
AB - OBJECTIVE: To explore the therapeutic effects of sequential chemoradiotherapy
with pemetrexed and cisplatin on locally advanced laryngeal cancer (LALC).
METHODS: Fifty LALC patients who were treated in our hospital between January
2010 and January 2012 were selected and randomly divided into an observation
group and a control group (n=25). The two groups were given conventional
radiotherapy in the same manner, before which two cycles of chemotherapy were
performed. The observation group intravenously infused with 500 mg/m2 pemetrexed
on d1 and 25 mg/m2 cisplatin on d1-3, with 28 days as a cycle. The control group
was intravenously infused with 25 mg/m2 cisplatin on d1-3 and 400 mg/m2
fluorouracil, with 28 days as a cycle. The short-term effects and adverse
reactions of both groups were observed after treatment, and their survival was
observed by follow-up for five years. RESULTS: The response rate was 84% (21/25)
in the observation group and 64% (16/25) in the control group, between which the
difference was statistically significant (P<0.05). The differences in the
incidence rates of short-term adverse reactions such as grade III-IV
gastrointestinal reactions and bone marrow suppression were not statistically
significant between PC regimen (pemetrexed combined with cisplatin) and PF
regimen (cisplatin combined with fluorouracil) (P>0.05). The incidence of long
term adverse reactions such as grade III-IV laryngeal edemas, laryngeal cartilage
inflammation and laryngeal cartilage necrosis showed no significant differences
between the two groups (P>0.05). The median survival was 3.3 years after PC
chemotherapy and 2.8 years after PF chemotherapy, between which the difference
was not statistically significant (P>0.05). The levels of serum tumor markers
significantly decreased after PC and PF treatments compared with those before
(P<0.05). CONCLUSION: Combining PC chemotherapy with radiotherapy has
satisfactory short-term therapeutic effects on LALC, and the resulting adverse
effects can be tolerated. Therefore, this strategy is worthy of promotion and
application in clinical practice.
PMID- 27882008
TI - Increase in activity of Na+, K+-ATPase by Porphyrin compounds as treatment for
Dysnatremias caused by Diabetes Mellitus.
AB - OBJECTIVE: The aim of this study was to test the action of Porphyrin compounds,
Tetraphenylporphine sulfonate (TPPS), 5,10,15,20-Tetrakis (4-sulfonatophenyl)
porphyrinato Iron(III) Chloride (FeTPPS) and 5,10,15,20-Tetrakis (4
sulfonatophenyl) porphyrinato Iron(III) nitrosyl Chloride (FeNOTPPS), on Na+, K+
ATPase of cell membrane of erythrocytes. METHODS: Enzymatic assays, measuring the
amount of inorganic phosphate produced, were used to estimate the activity of
Na+, K+-ATPase. RESULTS: The results show that Porphyrin compounds exert an
insulin-like effect on Na+, K+-ATPase. They act by increasing the activity of the
membrane-bound enzyme. CONCLUSION: All the three Porphyrin compounds increased
the activity of erythrocyte Na+, K+-ATPase. The exact mechanism of action of
these compounds is not clear.
PMID- 27882009
TI - Functional and structural abnormalities of the kidney and urinary tract in
severely malnourished children - A hospital based study.
AB - OBJECTIVES: The association of malnutrition and systemic diseases like chronic
kidney disease (CKD) is well known. Various urinary tract abnormalities may be
associated with malnutrition. So objective of current study was to determine the
frequency of functional and structural urinary tract abnormalities in severely
malnourished children admitted in Nutritional Rehabilitation Unit (NRU) of a
tertiary care facility, Karachi. METHODS: This descriptive cases series of 78
children was conducted in NRU from October 2014 - March 2015. All newly admitted
children aged 2-60 months, diagnosed as Severe Acute Malnutrition (SAM) were
studied and children with known kidney and urinary tract disorders were excluded.
Detailed history, examination and investigations like serum creatinine,
ultrasound kidney and urinary tract in addition to routine tests for SAM, were
done. A proforma was used to collect demographic data, clinical history, physical
findings, and radio-imaging and biochemical investigations. Glomerular filtration
rate (GFR) was calculated using Schwartz equation. Data was analyzed using
descriptive statistics. RESULTS: Among 78 children, male to female ratio was
equal. Mean age was 18+/-15.53 months and majority (79.48%) of children were
below 24 months. Majority (82%) of children with SAM had marasmus whereas 18% had
edematous malnutrition. Out of 78, 57 (73%) children had either functional
(80.7%) and or structural (19.3%) abnormalities whereas 21(36.84%) had normal
functional and structural status. Most common functional abnormality was
subnormal GFR (<90ml/min/1.73 m2) found in all 46 children. Functional
abnormities were more common in children below 24 months. Other functional
disorders were Bartter syndrome, renal tubular acidosis and urinary tract
infection (UTI) found in two cases each. Common structural abnormalities were
echogenic kidneys (n=4, 36%), hydronephrosis (n=3, 27%), hypoplastic kidneys
(n=3, 27%) and calculi (n=1, 9%). Subnormal GFR was also found in all cases with
structural abnormalities. UTI was observed exclusively in two children among 11
with structural abnormalities. CONCLUSION: A high frequency of functional
abnormalities and noticeable proportion of structural abnormalities of urinary
tract were detected in children with SAM. Current finding suggest that
multicenter study at national level may be undertaken to generate better data
about prevalence of renal diseases in SAM.
PMID- 27882010
TI - Short-term clinical effect of conformal radiotherapy combined with tegafur
gimeracil oteracil potassium in treating recurrent esophagus cancer.
AB - OBJECTIVE: To observe clinical effects of three-dimensional conformal
radiotherapy combined with Tegafur Gimeracil Oteracil Potassium chemotherapy in
the treatment of patients with recurrent esophagus cancer. METHODS: One hundred
and twelve senile patients who suffered from esophagus cancer were selected and
randomly divided into two groups, namely, observation group (56 cases) and
control group (56 cases). The observation group adopted three-dimensional
conformal radiotherapy combined with Tegafur Gimeracil Oteracil Potassium
chemotherapy and the control group adopted three-dimensional conformal
radiotherapy only. RESULTS: All patients completed the treatment, with good
compliance. Effective rate of the observation group was 82.1%, which was
significantly higher than the control group (67.9%), and the difference was
statistically significant (P<0.05). Main toxic and side effects of patients of
two groups were radiation esophagitis, gastrointestinal reaction, hematologic
toxicities and radiative skin reaction. Differences of incidence rates of all
types of toxic and side effects were not statistically significant (P>0.05). The
one-year and two-year survival rates of patients of the observation group were
80.4% and 53.6%, respectively, while the control group was 55.4% and 30.4%;
differences between two groups were statistically significant (P<0.05).
CONCLUSION: Three-dimensional conformal radiotherapy combined with Tegafur
Gimeracil Oteracil Potassium chemotherapy has definite curative effect in
treating patients with recurrent esophagus cancer and can improve survival rate
of patients, without increasing adverse reaction.
PMID- 27882011
TI - Better prognostic marker in ICU - APACHE II, SOFA or SAP II!
AB - OBJECTIVES: This study was designed to determine the comparative efficacy of
different scoring system in assessing the prognosis of critically ill patients.
METHODS: This was a retrospective study conducted in medical intensive care unit
(MICU) and high dependency unit (HDU) Medical Unit III, Civil Hospital, from
April 2012 to August 2012. All patients over age 16 years old who have fulfilled
the criteria for MICU admission were included. Predictive mortality of APACHE II,
SAP II and SOFA were calculated. Calibration and discrimination were used for
validity of each scoring model. RESULTS: A total of 96 patients with equal gender
distribution were enrolled. The average APACHE II score in non-survivors
(27.97+8.53) was higher than survivors (15.82+8.79) with statistically
significant p value (<0.001). The average SOFA score in non-survivors (9.68+4.88)
was higher than survivors (5.63+3.63) with statistically significant p value
(<0.001). SAP II average score in non-survivors (53.71+19.05) was higher than
survivors (30.18+16.24) with statistically significant p value (<0.001).
CONCLUSION: All three tested scoring models (APACHE II, SAP II and SOFA) would be
accurate enough for a general description of our ICU patients. APACHE II has
showed better calibration and discrimination power than SAP II and SOFA.
PMID- 27882012
TI - Individualized supervised resistance training during nebulization in adults with
cystic fibrosis.
AB - BACKGROUND & OBJECTIVE: Since dyspnea limits exercise adherence and intensity in
cystic fibrosis (CF) patients, engaging in resistance training (RT), which causes
less dyspnea than other exercise modalities, while using nebulizers could not
only overcome this barrier, but also enhance long-term adaptations to treatment.
The objective of this study was to examine the effects of RT during nebulization
on spirometry, anthropometry, chest wall excursion, respiratory muscle strength
and health-related quality of life (HRQOL). METHODS: Fourteen male and female CF
patients were assigned to a four-week, 20-minute, 5-day per week proof-of-concept
RT group (RTG) (n=7) or non-exercising control group (CON) (n=7), with 3 CON
patients later dropping out of the study. Patients performed whole body exercises
for 3 sets of 10 reps using resistance bands, since such bands have previously
demonstrated a greater effect on functional exercise capacity than conventional
RT in lung patients. RESULTS: The RTG displayed significant (p<=0.05) increases
in FEV1, FEV1/FVC, latissimusdorsi strength, pectoralis major clavicular portion
strength, pectoralis major sternocostal portion strength and emotional and
digestion HRQOL domains, while decreasing pectoralis minor strength on the left
and social, body image and respiration HRQOL domains. CONCLUSION: This small
scale proof-of-concept investigation demonstrates the multiple and simultaneous
benefits of RT during nebulization in CF patients. The improvements in pulmonary
measures are particularly promising especially since this study only made use of
a four-week experimental period. This study provides an important alternative,
time-saving treatment for the CF patient that does not add to the treatment
burden of CF patients.
PMID- 27882013
TI - Effect of half adult dose of oral Rifampicin (300mg) in patients with idiopathic
central serous chorioretinopathy.
AB - OBJECTIVES: To evaluate the effect of half adult dose of oral Rifampicin on mean
change in best corrected visual acuity and central macular thickness in patients
with central serous chorioretinopathy. METHODS: Thirty-eight eyes of 31 patients
with idiopathic central serous chorioretinopathy (CSCR) were registered. Unaided
Visual acuity, best corrected visual acuity was documented and detailed slit lamp
examination along with dilated ophthalmoscopy was performed. All subjects were
treated with oral Rifampicin 300 mg (half adult dose) daily for 03 months.
Patients underwent a complete ocular and systemic examination as well as central
macular thickness (CMT) measurement by optical coherence tomography (OCT) every
month after starting treatment until four months. Fundus fluorescein angiography
(FFA) was performed in recurrent cases. Liver function tests were carried out
prior to the treatment and during follow up period. RESULTS: A total of 38 eyes
of 31 patients (24 males, 07 females) were included in the study. Mean age of
patients was 36.16+/-3.19 years (range 30-44). Mean best corrected visual acuity
(BCVA) before treatment was 0.56+/-0.11 and improved to 0.47+/-0.14 at 04 weeks
(P<0.001) of treatment. The mean CMT at the time of presentation was 494.39+/
96.29 um and was decreased to 306.90+/-50.71 um after 04 weeks of treatment
(P<0.001). The mean induced reduction in CMT was 187.48+/-122 um (P<0.001) while
that in BCVA 0.41+/-0.16 at 04 weeks of treatment (P<0.001). Liver function tests
were within normal range before and after the treatment. CONCLUSION: Half adult
dose rifampicin (300mg) is effective and safe in treatment of central serous
chorioretinopathy without causing any systemic imbalance.
PMID- 27882014
TI - Expression of Treg/Th17 cells as well as related cytokines in patients with
inflammatory bowel disease.
AB - OBJECTIVE: To investigate the expressions of peripheral regulatory T cells (Treg)
and T helper cells (Th17) as well as related cytokines in peripheral blood of
patients with inflammatory bowel disease (IBD). METHODS: One hundred four cases
of IBD patients admitted in our hospital were selected for this study. One
hundred cases of people receiving healthy physical examination were included in
the control group in the corresponding period. The levels of CD4+CD25+Treg and
Th17 subsets were analyzed in peripheral blood of two groups using flow
cytometry. The expressions of IL-10, TGF-beta1, IL-17 and IL-23 mRNA and protein
were detected using real-time fluorescence quantitative PCR and ELISA. RESULTS:
Compared with the control group, the proportion of Treg in peripheral blood was
decreased significantly in observation group (P<0.05), the proportion of Th17
cells was increased significantly (P<0.05), and Treg/Th17 was decreased
significantly (P<0.05). Compared with the control group, the expressions of IL-10
and TGF-beta1 mRNA and protein in peripheral blood of patients were significantly
down-regulated in observation group, while the expressions of Th17 cytokines IL
17 and IL-23 mRNA and protein were significantly increased (P<0.05). CONCLUSION:
The proportion of Th17 and increased cytokine level suggested the inflammatory
level was higher in IBD patients. The down regulations of Treg and cytokine
suggested that the immunosuppression function was down-regulated in IBD patients,
and the disproportionality might be one of the mechanisms of IBD.
PMID- 27882015
TI - Are serum eosinophilic cationic protein levels of toll collectors affected by
diesel exhaust exposure?
AB - OBJECTIVE: There are few studies on the diesel exhaust particulates (DEP) /
eosinophilic cationic protein (ECP) level relationship. This study aimed to
detect ECP levels in a highly DE exposed group, named as toll collectors.
METHODS: In a cross-sectional study, levels of serum ECP, rates of respiratory
symptoms, mean levels of respiratory functions, smoking status, and variations in
peak expiratory flow (PEF) during weekends and working days were compared for 68
toll collectors (TC) (range of age, 24-48 years) and 28 controls (range of age,
25-61 years). All subjects in the study group were men. RESULTS: No significant
difference was observed in terms of symptoms and smoking rates between the toll
collectors and control group. The number of toll collectors [12/68 (17.7%) vs
1/28 (3.5%)] with diurnal PEF variability in the working period was higher than
that of controls (p=0.058). Mean ECP level of toll collectors was higher than
that of controls (32.8 vs 21.4 ng/L), but the difference was not significant.
Mean ECP levels were higher in subjects experiencing diurnal PEF variability
during work and off-work periods (34.9 vs 28.3 ng/L, p=0.410). CONCLUSIONS:
Serial PEF measurements combined with serum ECP measurements did not add a new
tool to detect the sensitivity of workers dealing with DE. Much more diesel
exhaust exposed workers should be included to search for cheap and available
methods when evaluating airway.
PMID- 27882016
TI - Sacrohysteropexy performed as uterus conserving surgery for pelvic organ
prolapse: Review of case files.
AB - OBJECTIVE: To assess the outcome and safety of sacrohysteropexy as uterus
conserving surgery for pelvic organ prolapse in young women and to assess
patients' satisfaction with the procedure. METHODS: This is a case series of
patients operated at Sind Government Lyari General Hospital and Civil Hospital
Karachi, between January, 2007 to October, 2015. Data of the patients who had
sacrohysteropexy were reviewed. Complications during surgery and post-operative
period including haemorrhage, visceral injury, paralytic ileus and peritonitis
were studied. Success of procedure, need of blood transfusion, hospital stay and
condition on discharge and six weeks follow-up were noted. Data were analyzed
using SPSS version 16. Mean +/- SD was calculated for numerical, while
frequencies were computed for categorical variables. RESULTS: Data of 60 patients
were reviewed. Early post-operative success was 100%. Duration of surgery was
less than two hours in 57 (95%) patients. Blood loss was negligible in majority
of cases. Out of all 60 cases, 52 (86.7%) did not suffer any complication. One
patient had ureteric injury, while one patient sustained bowel injury. Two
patients had paralytic ileus. Four patients suffered from abdominal wound
infection. All patients were managed satisfactorily. Mean duration of stay in
hospital was four days. Upon follow up 96.7% patients were satisfied with results
of operative procedure. Sixteen (26.7%) patients complained of backache on follow
up visit. CONCLUSION: This review concludes that sacrohysteropexy was successful
in all cases in early post-operative period. It is a safe procedure and should be
considered as an option for the treatment of pelvic organ prolapse in young
women, in whom uterine conservation is required.
PMID- 27882017
TI - Clinical effect of peripherally inserted central catheters based on modified
seldinger technique under guidance of vascular ultrasound.
AB - OBJECTIVE: To observe and analyze the application effect of ultrasound-guided
modified Seldinger technique (MST) in Peripherally Inserted Central Catheter
(PICC) catheterization. METHODS: Two hundred patients treated with PICC
catheterization from January 2013 to December 2015 were selected and randomly
divided into two groups, namely, observation group and control group. The
observation group adopted ultrasound-guided MST for catheterization while the
control group applied traditional puncture technique for catheterization. Then
efficacy of catheterization, success rate of catheterization and incidence rates
of complications were compared between two groups. RESULTS: Various indicators of
catheterization effects of the observation group were better than those of the
control group, and the differences were statistically significant (P<0.05); one
time success rate of puncture and catheterization of the observation group was
both higher than the control group (P<0.05);. Moreover, the incidence of puncture
points bleeding, phlebitis and thrombus were all lower than those of the control
group (P<0.05). CONCLUSION: Implementing PICC catheterization based on ultrasound
guided modified Seldinger puncture technique can increase success rate of
puncture, improve the effect of catheterization, lower incidence rate of adverse
effects of catheterization and improve satisfaction and comfort level of
patients.
PMID- 27882018
TI - Role of bevacizumab in the prevention of early postoperative haemorrhage after 25
gauge microincision vitrectomy surgery.
AB - OBJECTIVE: To evaluate the effect of preoperative intravitreal bevacizumab
injection on the incidence of postoperative haemorrhage and visual prognosis, in
patients undergoing 25-gauge micro incision vitrectomy surgery (MIVS) for
diabetic vitreous haemorrhage. METHODS: One hundred and twenty two eyes of 122
patients of diabetic retinopathy of both genders and aged over 18 years, who
presented with non-resolving vitreous haemorrhage were enrolled for this study.
All patients received an intravitreal injection of 1.25 mg/0.05 mL bevacizumab
(Avastin) which was followed one week later by 25-gauge sutureless micro incision
vitrectomy surgery. Main outcomes measured were best corrected visual acuity
(BCVA) assessed with logMAR and post-operative vitreous haemorrhage. Follow ups
were up to six months post-operatively. IBM SPSS 21 was used for data analysis.
RESULT: A total of 122 patients were included; 78 (63.9%) males and 44 (36.1%)
females. Mean age at the time of surgery was 51.4 +/- 13.66 years. The mean
preoperative BCVA was 1.64 +/- 0.427 logMAR which improved to 0.57 +/- 0.253
logMAR at 12 months post-operatively (p-value < 0.001). Recurrent vitreous
haemorrhage was seen in four patients (3.28%). one1 week before 25-gauge
vitrectomy helps to reduce the incidence of early post-vitrectomy haemorrhage in
diabetic patients.
PMID- 27882019
TI - Plasma BNP level combined with surgical Apgar score to predict operative major
cardiac adverse events in malignant obstructive jaundice patients.
AB - OBJECTIVE: To investigate the predictive effect of major adverse cardiac events
(MACE) in malignant obstructive jaundice (OJ) patients using plasma brain
natriuretic peptide (BNP) level and surgical Apgar scoring (SAS) system. METHODS:
Forty one malignant OJ patients undergoing surgical treatments were studied at a
single center. Pre-and postoperative plasma BNP level, total bilirubin (TBil) and
data of cardiac function (HR, CVP, CI, LVEF%) were detected, the SAS was
calculated during the surgery, the relationship of both plasma BNP level and SAS
with MACE after surgery was analyzed. RESULTS: Thirteen patients out of 41
(31.71%) experienced MACE without cardiac death. OJ patients had a higher plasma
BNP level than baseline before operation (191.61+/-105.76 pg/ml VS 175 pg/ml,
P<0.05), the cardiac function data was improved (CVP: t=4.761, p=0.000; CI:
t=3.539, p=0.001; LVEF%: t=3.632, p=0.001) after the operation. Patients with
lower SAS had increasing incidence of MACE after surgery. CONCLUSION: Malignant
OJ patients with higher preoperative BNP level and lower surgical Apgar score
were identified at high risk of MACE after surgery.
PMID- 27882020
TI - Vision screening in children: Is 7-9 years of age a threshold for visual
impairment?
AB - OBJECTIVE: The present study aimed to assess the prevalence of decreased visual
acuity, strabismus, and spectacle wear in children aged 5 to 13 years. METHODS: A
cross-sectional study was performed in primary education schools. A total of 1938
participants, including 940 females (48.5%) and 998 males (51.5%) with a mean age
8.96 +/- 2.31 (5-13 years old), were screened. The comparisons were performed
with gender, age, and age groups. The children attended to vision screening were
assigned to three age groups as 5-6 years, 7-9 years, and 10-13 years. RESULTS:
The prevalence of the parameters was detected as decreased visual acuity 12.4%,
strabismus 2.2%, and spectacle wear 6.9%. The prevalence of decreased visual
acuity was significantly higher in girls and in children aged 7-9 years old (p =
0.013, p < 0.001). The prevalence of spectacle wear was significantly higher in
girls and in children aged 7-9 years old (p = 0.019, p < 0.001). There was a
visual acuity decrease in 33 of 106 (31.1%) children despite wearing own
spectacle. There was no significant difference among three age groups for
strabismus. CONCLUSION: Increased prevalence of decreased visual acuity, as well
as the higher frequency of spectacle wear in children at ages of 7-9 years old
may point out a threshold for visual impairment.
PMID- 27882021
TI - Compressive strength and the effect of duration after photo-activation among dual
cure bulk fill composite core materials.
AB - OBJECTIVES: To assess compressive strength and effect of duration after
photoactivation on the compressive strength of different dual cure bulk fill
composites. METHODS: Seventy-two disc shaped (4x10mm) specimens were prepared
from three dual cure bulk fill materials, ZirconCore (ZC) (n=24), MulticCore Flow
(MC) (n=24) and Luxacore Dual (LC) (n=24). Half of the specimens in each material
were tested for failure loads after one hour [MC1 (n=12), LC1 (n=12) & ZC1
(n=12)] and the other half in 7 days [MC7 (n=12), LC7 (n=12), ZC7 (n=12)] from
photo-polymerization using the universal testing machine at a cross-head speed of
0.5 cm/minutes. Compressive strength was calculated using the formula
UCS=4f/pid2. Compressive strengths among different groups were compared using
analysis of variance (ANOVA) and Tukey's multiple comparisons test. RESULTS:
Maximum and minimum compressive strengths were observed in ZC7 (344.14+/-19.22)
and LC1 (202.80+/-15.52) groups. Specimens in LC1 [202.80 (15.52)] showed
significantly lower compressive strength as compared to MC1 [287.06 (15.03)]
(p<0.01) and ZC1 [276.82 (11.51)] (p<0.01). ZC7 [344.14 (19.22)] specimens showed
significantly higher (p<0.01) compressive strengths compared to LC7 [324.56
(19.47)] and MC7 [315.26 (12.36)]. Compressive strengths among all three
materials were significantly higher (p<0.01) at 7 days as compared to one hour.
CONCLUSIONS: Bulk fill material with Zr nano-hybrid filler (ZC) showed high
compressive strength compared to MC and LC. Increasing the post photo-activation
duration (from one hour to 7 days) significantly improves the compressive
strengths of dual cure bulk fill material.
PMID- 27882022
TI - Association of rs1800668 polymorphism in glutathione peroxidase- 1 gene and risk
of rheumatoid arthritis in Pakistani population.
AB - OBJECTIVE: To investigate the role of glutathione peroxidase 1 (GPX1) C/T
polymorphism (rs1800668) in modulating the chances of Rheumatoid arthritis (RA)
in Pakistani population. METHODS: A total of 400 individuals including 200
controls and 200 patients of RA, were genotyped. Detection of rs1800668
polymorphism was carried out using PCR based amplification strategy (allele
specific). RESULTS: The results for Hardy Weinberg Equilibrium (HWE) indicated
that the allele frequencies for GPX1 polymorphism were not deviant from HWE in
whole population under observation. The statistical analysis indicated that
significant association existed between rs1800668 polymorphism and RA (p<0.01).
CT genotype increased the risk of RA development by 1.8582 times (OR: 1.8582; 95%
CI 1.2154 to 2.8409). CC genotype was found to have protective effect against the
disease development (OR: 0.5133; 95% CI 0.3403 to 0.7742) while TT genotype was
found to have association with RA development but the risk level was marginal
(OR: 1.5319; 95% CI 0.6124 to 3.8322). CONCLUSION: The present finding suggests
the importance of GPX1 C/T polymorphism (rs1800668) in development of RA in
Pakistani population. The protective role of CC genotype against the development
of RA in local population was also observed.
PMID- 27882023
TI - Effects of bisoprolol in combination with trimetazidine on the treatment of heart
failure and concomitant chronic obstructive pulmonary disease.
AB - OBJECTIVE: To evaluate the effects of bisoprolol combined with trimetazidine on
the treatment of heart failure patients having concomitant chronic obstructive
pulmonary disease (COPD); in comparison with control group treated with standard
therapy only. METHODS: A total of 120 heart failure patients having concomitant
COPD were selected and randomly divided into a control group and a treatment
group according to different treatment methods (n=60). The control group was
given continuous low flow oxygen inhalation and inotropic agents, and their
cardiac stress was also reduced. The treatment group was treated with bisoprolol
fumarate and trimetazidine in addition to treatment for COPD. For all patients,
blood gas analysis and parameters reflecting cardiac function were measured
respectively before and after treatment. The respiratory symptoms (cough, sputum,
polypnea, gasp, dyspnea), limitation of motion (daily life, household duties,
entertainment, sports), disease impacts (social contact, emotion, anxiety) and
St. George's Respiratory Questionnaire (SGRQ) total scores were observed using
SGRQ. RESULTS: The oxygen partial pressure (PaO2) and partial pressure of carbon
dioxide (PaCO2) of the treatment group after treatment were significantly
different from those before treatment. After treatment, peak E, E/A and IVEF were
increased by 41%, 44% and 16% respectively, but peak A, LVPWT/mm and IVST/mm were
significantly reduced. The differences in the respiratory symptoms, limitation of
motion, disease impacts and SGRQ total scores were statistically significant
compared with those before treatment (P<0.05) and those of the control group
(P<0.05). CONCLUSION: Combining bisoprolol with trimetazidine in the treatment of
heart failure complicating COPD can effectively improve blood gas indices, left
ventricular systolic and diastolic functions and the quality of life, thereby
alleviating clinical symptoms.
PMID- 27882024
TI - Outcomes of first line chemotherapy in patients with chronic lymphocytic
leukemia.
AB - OBJECTIVE: Chronic lymphocytic leukemia (CLL) is a heterogeneous disease in terms
of survival with and without treatment. Many chemo and immunotherapeutic agents
are available to treat this indolent disease. Aim of this study was to determine
the outcomes of patients with chronic lymphocytic leukemia treated with different
available chemotherapeutic regimens. METHODS: All patients with diagnosis of CLL
from 2008 to 2013 were included. Data were collected from hospital information
system. Objective response rate (ORR) in terms of complete or partial response
(CR, PR), stable or progressive disease (SD, PD), overall survival (OS), and
progression free survival (PFS) were calculated. RESULTS: Fifty seven patients
were included; 42 (74%) male and 15 (26%) were female. Patients with Binet stage
A 10 (18%); B 20 (35%) and C were 27(47%). Median age was 50.9 years. Forty six
(80%) were treated and 11(20%) remained on watch and wait. Treatment indications
were B symptoms 14 (30%), symptomatic nodal disease 18(39%), thrombocytopenia
4(9%), anemia 7(15%) and doubling of lymphocyte count 3 (7%). Chemotherapy
regimens used were FC in 38 (83%), FCR 5(11%), chlorambucil 2(4%) and CVP in
1(2%) patient. Twenty two (56%) patients had CR, 13(33%) PR, 3(7.6 %) SD, and
1(2.5%) had PD. ORR was 89%. Median PFS was 23.1 months and median 3 years OS was
55%. CONCLUSION: Majority of patients was in a relatively younger age group and
presented with advanced stage disease requiring treatment. Small number of
patients received rituximab due to cost. PFS and OS are comparable with published
literature.
PMID- 27882025
TI - No inhalation in combination with high frequency ventilation treatment in the
treatment of neonatal severe respiratory failure.
AB - OBJECTIVE: To discuss over NO inhalation (iNO) in combination with high frequency
ventilation treatment in relieving clinical symptoms and respiratory state of
patients with neonatal severe respiratory failure. METHODS: Ninety newborns with
severe respiratory failure who received treatment in our hospital were selected
for this study. They were divided into research group and control group according
to visiting time. Patients in the control group were given conventional treatment
in combination with high-frequency oscillatory ventilation, while patients in the
research group were given iNO for treatment additionally besides the treatment
the same as the control group. Changes of respiratory function indexes and
arterial blood gas indexes of patients in the two groups were compared.
Mechanical ventilation time, time of oxygen therapy and the length of hospital
stay were recorded. Besides, postoperative outcome and the incidence of
complications were analyzed. RESULTS: After treatment, the level of PaO2 of both
groups significantly improved, and respiratory function indexes such as partial
pressure of carbon dioxide in artery (PaCO2), oxygenation index (OI), fraction of
inspiration O2 (FiO2) and mean arterial pressure (MAP) decreased (P<0.05); the
improvement of various indexes of the research group was more obvious than that
of the control group (P<0.05). Mechanical ventilation time, oxygen therapy time
and the length of hospital stay of the research group was much shorter than those
of the control group. The incidence of complications in the two groups had no
statistically significant difference (P>0.05), but the clinical outcome of the
research group was better than that of the control group. CONCLUSION: NO
inhalation in combination with high frequency ventilation for treating neonatal
severe respiratory failure is effective in improving blood gas index and
respiratory function, enhance cure rate, and reduce the incidence of
complications and mortality; hence it is safe and effective and worth clinical
promotion.
PMID- 27882026
TI - Reflection of medical error highlighted on media in Turkey: A retrospective
study.
AB - OBJECTIVE: This study was performed with the aim of identifying how news on
medical errors have be transmitted, and how the types, reasons, and conclusions
of medical errors have been reflected to by the media in Turkey. METHODS: A
content analysis method was used in the study, and in this context, the data for
the study was acquired by scanning five newspapers with the top editions on the
national basis between the years 2012 and 2015 for the news about medical errors.
Some specific selection criteria was used for the scanning of resulted news, and
116 news items acquired as a result of all the eliminations. RESULTS: According
to the results of the study; the vast majority of medical errors (40.5%)
transmitted by the news resulted from the negligence of the medical staff. The
medical errors were caused by physicians in the ratio of 74.1%, they most
commonly occurred in state hospitals (31.9%). Another important result of the
research was that medical errors resulted in either patient death to a large
extent (51.7%), or permanent damage and disability to patients (25.0%).
CONCLUSION: The news concerning medical errors provided information about the
types, causes, and the results of these medical errors. It also reflected the
media point of view on the issue. The examination of the content of the medical
errors reported by the media were important which calls for appropriate
interventions to avoid and minimize the occurrence of medical errors by improving
the healthcare delivery system.
PMID- 27882027
TI - Visual outcome of laser treatment in diabetic macular edema: Study from an Urban
Diabetes Care Center.
AB - OBJECTIVE: To determine the visual outcome of laser treatment in clinically
significant macular edema. METHODS: This interventional and qausi experimental
study was carried out at Diabetic Association of Pakistan (DAP) during January
2011 and December 2012. Approval was taken from Research Ethical Committee of
Isra Postgraduate Institute of Ophthalmology. Records of 925 eyes of 464 patients
with "Clinical Significant macular edema" (CSME), treated with laser
photocoagulation were analyzed. Best-corrected visual acuity (BCVA) at the time
of presentation and at the last follow up, minimum of one year and maximum of 45
months was recorded and compared. SPSS version 20.0 was used to analyze the data.
RESULTS: Diabetic retinopathy was found in 20.3% (1777) of 8742 diabetic
attending DAP Hospital" amongst whom 39.6% (705) had Sight threatening diabetic
retinopathy. Laser was advised in 96.4% (680) individuals, accepted by 70.5%
(480) individuals. Amongst 960 eyes of 480 patients who accepted laser, 925 eyes
had clinically significant macular edema and 35 eyes had PDR who are not included
in this study. Amongst 925 eyes with CSME, Grid laser was done in 913 eyes (99%)
and focal laser was done in 12 eyes (1%). After a follow up of 12 to 45 months,
it was found that best corrected visual acuity had declined in 2.4% (22) eyes,
stabilized in 67% (619) eyes and improved in 30.7% (284) eyes. One line
improvement on Snellen's chart was fond in 21.3% (197) eyes, 2 lines in 8% (74)
eyes, 3 lines in 1.2% (12) eyes and 4 lines in one (0.1%) eye with p-value of
0.000. CONCLUSION: Laser therapy is an effective treatment in
stabilizing/improving the vision in diabetic macular edema particularly at those
centers where only Argon Laser is available and OCF, FFA facilities do not exist.
PMID- 27882028
TI - Patient-specific depth of endotracheal intubation-from anthropometry to the Touch
and Read Method.
AB - OBJECTIVE: Knowledge of accurate airway length (AL) enables safer placement of
the endotracheal tube (ETT) in the trachea. Our objective was to check the safety
of a new formula (Touch and Read method) to determine ETT depth. METHODS: AL was
measured in 176 patients. Patients were divided into a normal group (AL >25 cm in
men, >23 cm in women) and a risk group (AL <=25 cm in men, <=23cm in women). A
control test (Conventional method) was performed in which the ETT was secured at
a depth of 23 cm from the central incisor in men and 21 cm in women. In the
experimental test (Touch and Read method), the ETT was secured at a depth equal
to the distance from the angle of the mouth to the epiglottis tip plus 12.5 cm in
men and 11.5 cm in women. The mean distance from the tube tip to the carina and
that from the vocal cords to tube cuff were compared between the control and
experimental tests in each group. RESULTS: The two distances were similar between
control and experimental tests in the normal group, but differed in the risk
group (Women: mean distance from tube tip to carina, 1.2 cm and from vocal cords
to cuff, 2.7 cm [control test]; 1.9 and 2.0 cm, respectively [experimental test].
Men: 0.7 and 3.5 cm, respectively [control test]; 2.0 and 2.3 cm, respectively
[experimental test]). CONCLUSION: Touch and Read method enables safer placement
of the ETT in the trachea than the conventional method in the risk group.
PMID- 27882029
TI - Effects of comprehensive rehabilitation training in combination with multi-mode
analgesia on body function recovery after tumor-type knee replacement.
AB - OBJECTIVE: To discuss the significance of comprehensive rehabilitation training
combined with multimodal analgesia (MMA) for the early knee function recovery of
patients with knee bone tumor who underwent prosthesis replacement operation.
METHODS: Sixty patients with knee bone tumor who underwent prosthesis replacement
operation were selected and randomly divided into two groups according to
rehabilitation training and postoperative analgesic methods, namely, observation
group and control group, 30 cases in each group. The control group was treated
with symptomatic treatment (drugs were given based on pain before and after
surgery) and continuous passive motion (CPM) functional training, while the
observation group was treated with comprehensive rehabilitation training combined
with MMA. The compliance of patients in the two groups was compared and the first
time off-bed activity time was recorded. Recovery conditions of wounds were
observed, and recovery conditions of limb functions after operations were
evaluated. RESULTS: The compliance of patients in the observation group was
significantly higher than that in the control group, and the difference was
statistically significant (P<0.05). The first-time off-bed activity time of
patients of the observation group was earlier than that of the control group. The
wound recovery condition of the observation group 7 days after operation was
better compared to that of the control group, and the difference between two
groups two weeks after operation was not statistically significant. The Hospital
for special surgery knee (HSS) score and evaluation result of range of motion
(ROM) of knees of the observation group were both better than those of the
control group in different periods after operation, and the differences were
statistically significant (P<0.05). CONCLUSION: Comprehensive rehabilitation
training combined with MMA can improve the compliance of patients and help
patients off bed earlier, and remarkably promote the early recovery of knee
functions; hence it deserves to be promoted clinically.
PMID- 27882030
TI - Association between 25-Hydroxy Vitamin D and volumetric breast density via a
fully automated software VolparaTM in the reproductive age group.
AB - OBJECTIVE: To determine the association between serum 25 hydroxyvitamin D levels
and percent breast density among asymptomatic premenopausal women. METHODS:
Hundred asymptomatic, pre-menopausal women who visited the General Surgery Breast
Clinic, Patel Hospital, Karachi, Pakistan between 3rd March and 10th November,
2015 were included in this study. The serum 25 (OH)D and calcium levels were
measured and mammographic density (MD) was assessed using automated volumetric
breast density software, Volpara Research (algorithm version 1.5.1, Volpara
solutions Ltd, Wellington, NZ) on the same day. The volumetric breast density
(VBD) was categorized as; VG1: 0% - 4.5 %; VG2: 4.6% - 7.5%; VG3: 7.6% - 15.5%
and VG4 >15.5%. Mean serum 25(OH)D and calcium levels were compared across the
four volumetric breast density categories. The percent volumetric density was
also correlated with anthropometric measurements and other related variables.
RESULTS: No significant difference was found in mean serum 25 (OH)D level across
the four groups (15.87 Vs. 12.40 Vs. 8.99 Vs. 9.68; p-value = 0.106). The percent
VBD were found significantly negatively correlated with age (r = - 0.365; p-value
= 0.001), weight (r = - 0.575; p-value = 0.001), height (r = - 0.197; p-value =
0.049), and BMI (r = - 0.519; p-value = 0.001). The serum Vitamin D, and calcium
levels were not found significantly correlated with percent VBD (p-value > 0.05).
CONCLUSION: No significant association exists between serum 25(OH)D level and
breast density.
PMID- 27882031
TI - Diagnostic value and disease evaluation significance of abdominal ultrasound
inspection for neonatal necrotizing enterocolitis.
AB - OBJECTIVE: To summarize abdominal plain X-rays and ultrasound characteristics of
144 cases of Neonatal Necrotizing Enterocolitis (NEC) and to analyze diagnostic
value and disease evaluation significance of abdominal ultrasound inspection for
NEC. METHODS: Clinical data of 144 NEC patients were retrospectively analyzed
from February 2014 to December 2015. The patients were divided into suspected NEC
group (N=74) and confirmed NEC group (N=70) according to amended Bell-NEC
classification and diagnostic criteria. Meanwhile, we divided them into internal
medicine treatment group (N=95) and surgery/death group (N=49) according to
clinical prognosis and took records of their clinical manifestations, laboratory
inspection results and abdominal plain X-rays and ultrasound characteristics.
RESULTS: For confirmed NEC group, the detection rate of portal venous gas (PVG)
and dilatation of intestine by abdominal ultrasound was obviously higher than by
plain X-rays (P<0.05). Abdominal ultrasound inspection revealed that the
incidence rate of dilatation of intestine, bowel wall thickening and ascites
(acoustic transmission difference) of the surgery/death group was higher than
that of the internal medicine treatment group by comparing risk ratio (RR) and
95% confidence interval (CI) of RR; the difference was statistically significant
(P<0.05). The abdominal plain X-rays inspection only showed the result that
dilatation of intestine and free intraperitoneal air was more often found in the
surgery/death group (P<0.05). CONCLUSION: Compared with abdominal plain X-rays,
abdominal ultrasound has certain clinical value and offers more advantages in
some aspects; therefore, it can be considered as the reference index in
prediction of clinical prognosis.
PMID- 27882032
TI - Effect of socio-cultural factors on spontaneous abortion in Burdur, Turkey: A
population based case-control study.
AB - OBJECTIVE: To determine the sociocultural factors that have effect on spontaneous
abortion in Burdur, Turkey. METHODS: Study was designed as case-control study.
The case group consist of 257 women whose pregnancies ended with spontaneous
abortion. The control group consisted of 514 women whose pregnancy continued
since 22 weeks and more during the study. Chi-square, and backward LR logistic
regression were utilized in analyses. RESULTS: In multifactorial-analyses it was
determined that four factors (educational status of women, employment status of
women, exposure to physical violence and non-receipt of ANC) created independent
risk on spontaneous abortions. CONCLUSIONS: Pregnant women with these risk
factors should be followed up more frequently and in a more qualified way in
primary and secondary and tertiary health institutions.
PMID- 27882033
TI - Comparison of parameters of bone profile and homocysteine in physically active
and non-active postmenopausal females.
AB - BACKGROUND AND OBJECTIVES: Optimal physical activity is important in attaining a
peak bone mass. Physically active women have better bone mineral density and
reduce fracture risk as compared to females living a sedentary life. The
objective of this study was to compare parameters of bone profile and serum
homocysteine levels in physically active and non-active postmenopausal females.
METHODS: In this cross sectional study postmenopausal females between 50-70 years
of age were recruited and divided into two groups: Physically inactive (n=133)
performing light physical activity and Physically active (n=34) performing
moderate physical activity. Physical activity (in metabolic equivalents), bone
mineral density and serum homocysteine levels were assessed. Spearman's rho
correlation was applied to observe correlations. Two independent sample t test
and Mann Whitney U test were applied to compare groups. P-value <= 0.05 was taken
statistically significant. RESULTS: Parameters of bone profile were significantly
higher and serum homocysteine levels were significantly lower in postmenopausal
females performing moderate physical activity as compared to females performing
light physical activity. Homocysteine was not significantly related to T-score
and Z-score in both groups. CONCLUSION: Improving physical activity could be
beneficial for improving the quality of bone, decreasing fracture risk and
decreasing serum homocysteine levels.
PMID- 27882034
TI - Therapeutic effects of mifepristone combined with Gestrinone on patients with
endometriosis.
AB - OBJECTIVE: To evaluate the clinical therapeutic effects of mifepristone combined
with gestrinone on patients with endometriosis. METHODS: A total of 150
endometriotic patients treated in our hospital between January 2014 and December
2015 were randomly divided into a control group and a treatment group (n=75). The
control group began to orally take gestrinone capsules on the second day after
menstruation started (2.5 mg/time, twice/week). The treatment group orally took
mifepristone tablets (12.5 mg/time, once/day), and the dosage and administration
of gestrinone capsules were the same as those of the control group. After 24
weeks of consecutive treatment, the clinical therapeutic effects of the two
groups were assessed, and the pelvic symptom score, clinical sign score, serum
sex hormone levels and pregnancy outcomes were compared. RESULTS: The total
effective rates of control and treatment groups were 77.3% and 90.7%
respectively, between which the difference was statistically significant
(P<0.05). After treatment, the scores of pelvic symptoms (dysmenorrhea,
dyspareunia, pelvic pain) and clinical signs (pelvic tenderness, induration)
significantly reduced (P<0.05). Each score of the treatment group decreased more
significantly than that of the control group did (P<0.05). The serum follicle
hormone, luteinizing hormone, estrogen and progesterone levels were significantly
lower than those before treatment (P<0.05). Each level of the treatment group
dropped more significantly than that of the control group did (P<0.05). The
pregnancy rates in the 6th and 12th months of follow-up were 28.0% and 13.3% in
the control group respectively, and 42.7% and 29.3% in the treatment group
respectively. Such rates of the two groups were significantly different at each
follow-up time point (P<0.05). CONCLUSION: Mifepristone combined with gestrinone
had satisfactory clinical therapeutic effects on endometriosis by reducing
hormone levels and improving pregnancy outcomes. Therefore, this regimen is
worthy of promotion and application in clinical practice.
PMID- 27882035
TI - Problem solving, loneliness, depression levels and associated factors in high
school adolescents.
AB - OBJECTIVES: To determine problem solving, loneliness, depression levels and
associated factors in high school adolescents. METHODS: This cross-sectional
study was conducted in a city west of Turkey (Bursa) in a public high school and
the population was 774 and the sampling was 394 students. Students to be included
in the study were selected using the multiple sampling method. A personal
Information Form with 23 questions, Problem Solving Inventory (PSI), Loneliness
Scale (UCLA), Beck Depression Inventory (BDI) were used as data collection tools
in the study. Basic statistical analyses, t-test, Kruskall Wallis-H, One Way
Anova and Pearson Correlation test were used to evaluate the data. Necessary
permissions were obtained from the relevant institution, students, parents and
the ethical committee. RESULTS: The study found significant differences between
"problem solving level" and family type, health assessment, life quality and
mothers', fathers' siblings' closeness level; between "loneliness level" and
gender, family income, health assessment, life quality and mothers', fathers',
siblings' closeness level; between "depression level" and life quality, family
income, fathers' closeness level. CONCLUSION: Unfavorable socio-economic and
cultural conditions can have an effect on the problem solving, loneliness and
depression levels of adolescents. Providing structured education to adolescents
at risk under school mental health nursing practices is recommended.
PMID- 27882036
TI - Molecular epidemiology and clinical features of Hepatitis C Virus (HCV) in
epidemic areas of Interior Sindh, Pakistan.
AB - OBJECTIVE: Highly variable genome of HCV and high prevalence in many geographical
areas made it necessary to conduct local population studies. This study has been
conducted to show HCV parameters along with clinical features in the local
population of interior Sindh, province of Pakistan. METHODS: Present study was
conducted in from August 2010 to November 2015 in the rural areas of Sindh,
Pakistan. All the 31560 screened samples selected for the study were tested by
second Generation Enzyme Linked Immunosorbent Assay (ELISA Biokit 480&96).
RESULTS: Total 31560 people were screened for HCV and out of these 13.67% (n=
4314) HCV infected patients. When 4314 samples of patients were examined; the
anti-HCV was significantly higher in males 2814 (14.98%) than in females 1500
(11.74%) with P value = 0.06. The age of the patients ranged from 18 to 65 years.
Out of 4314 HCV samples, 3020 (70%) were of Genotype 3a, 237(5.5%) of Genotype
2a, 108 (2.5%) of Genotype- 1a, 216 (5%) of Genotype 1b, 237 (5.5%) of Genotype
3b and 43 (1%) of Genotype 4. Additionally, 108 (2.5%) had co-infection and 345
(8%) samples showed no result -designated as untypable by the genotyping.
CONCLUSION: This study showed that HCV is most frequently reported disease with
genotype 3a being the most prevalent genotype.
PMID- 27882037
TI - Uniportal video-assisted thoracoscopic lobectomy: An alternative surgical method
for pulmonary carcinoma.
AB - OBJECTIVES: To explore the effects and feasibility of single-port video-assisted
thoracic surgery (VATS) on lobectomy for pulmonary carcinoma. METHODS: A total of
67 patients were enrolled in this study, in which 21 patients were treated by
single-port VATS (Sing-port Group) and 46 patients by double-port VATS (Double
port Group). Blood loss, duration of thoracic drainage, length of post-operative
hospital stay and post-operative pain ratings were compared between the two
groups. RESULTS: No significant difference existed in blood loss, duration of
thoracic drainage and length of postoperative hospital stay between the two
groups. However, Post-operative pain was significantly reduced in Single-port
Group compared to Double-port Group. CONCLUSION: Single-port VATS was totally
feasible with reduced post-operative pain and good looking appearance.
PMID- 27882038
TI - Alzheimer and vascular dementia in the elderly patients.
AB - OBJECTIVES: To find out the frequency of Alzheimer's and Vascular dementia in the
elderly patients. METHODS: This cross sectional descriptive study was conducted
in Department of Medicine, Ziauddin Hospital Karachi from 1st October 2013 to
31st March 2014. Patients with symptoms of dementia for more than 6 months
duration, and Mini Mental State Examination score <24 were included in this
study. Patients who fell in category of dementia were assessed for duration of
symptoms. Patients underwent CT scan of brain. Patients with generalized atrophy
of brain on CT scanning of brain were labeled as Alzheimer's dementia, while
patients with ischemic or hemorrhagic stroke on CT scan of brain were labeled as
vascular dementia. RESULTS: Four hundred twenty two patients were included in
this study. There were 232 (54.98 %) male and 190 (45.02 %) were female. The mean
age +/- SD of the patients was 72.58+/-5.34 years (95% CI: 72.07 to 73.09),
similarly average duration of symptoms was 10.14+/-2.85 months. About 18.96% of
patients were illiterate, 32.23% were matric, 28.44% were intermediate and 20.33%
were graduate and post graduate. Hypertension and diabetes were the commonest co
morbid i.e. 81.3% and 73.7%, hyperlipedimia and smoking were 38.2% and 45%
respectively. Frequency of Alzheimer's disease and vascular dementia in the
elderly was observed in 3.79% (16/422) and 2.61% (11/422) cases. CONCLUSION: A
good number of patients, 27 out of 422, in this hospital based study were
suffering from Alzheimer's disease and vascular dementia. Early detection and
prompt treatment can reduce the burden of the disease in our population.
PMID- 27882040
TI - A New Model of Master of Philosophy in Physiological Sciences.
AB - The objectives of Master of Philosophy (MPhil) in Physiological Sciences are: 1)
to describe the new ways in which anatomy, biochemistry and physiology on one
hand, and microbiology, pathology and pharmacology on other hand meet their
functional requirements through multidisciplinary integrated concepts; 2) to
elucidate relationships between cell biology, molecular biology and molecular
genetics by connecting dots of how cell functions are driven by molecules and
being controlled by genes. This forms the basis of cell, molecular and genetics
[CMG] module upon which 7 multidisciplinary modules of Physiological Sciences
follow; 3) these 24 credit hours provide the physiological basis for PhD studies
as well as faculty development to enhance learning abilities of medical student;
4) the modules constitute Cardio- Respiratory Physiological Sciences, GI and
Renal Physiological Sciences, Neurosciences, Endo-Reproductive Physiological
Sciences.; 5) it has integrated microbiology, pathology and pharmacology in a
unique way through CMG of microbes leading to associated pathology and mechanisms
of prescribed drugs; 6) it has additional synopsis and thesis friendly course
work leading to comprehensive examinations; 7) the year two deals with research
work of 6 credit hours leading to defense of thesis; 8) The MPhil in
Physiological Sciences is fundamentally different from what is being offered
elsewhere. It prepares and offers a good spring board to dovetail PhD studies as
well as faculty and institutional development. This is the first study that deals
with innovative programmes in research, learning and education in the field of
physiological sciences. This broad-based MPhil would make its recipients
competent, critical, confident and productive learner. This is a completely
unique design of a curriculum that has no comparable examples elsewhere. Our
mission is to educate graduate students in the field of Physiological Sciences
such that they have a complete grasp over the broad-based integrated concepts of
basic health sciences. Upon completion of their education, the students will be
able to use the duality of imagination and skepticism. Hence, the students will
contribute to their fields by unfolding their creative energy.
PMID- 27882039
TI - Pediatric tooth extractions under sedoanalgesia.
AB - OBJECTIVE: The present study aims to evaluate intravenous ketamine and inhalation
sedation in children, their unwanted side-effects and surgeon satisfaction.
METHODS: In this study, data of 922 children aged between 1-18 who underwent
tooth extraction under sedoanalgesia in our department between September 2015
January 2016 were gathered and anesthesia approaches, unwanted side effects and
surgical satisfaction was investigated. Postoperative recovery emergence
agitation or delirium was evaluated with Watcha Behavior Scale (WBS). RESULTS:
Patients were grouped and compared according to acceptance of intravenous line
placement (Group-1) or not (Group- 2). Group 1 received intravenous ketamine
anesthesia (n=822), Group 2 received inhalation anesthesia with sevoflurane
(n=100). Number of patients, age, weight and gender was significantly different
in two groups. When side effects were investigated nausea was observed in 30
patients (3.6%), skin rashes were observed in 26 patients (3.2%) in Group-1 while
skin rashes were observed in one patient (1%) in Group 2. 95% of surgeons
reported intravenous anesthesia, 18% of surgeons reported inhalation anesthesia
to be the anesthesia of choice. Emergence of postoperative recovery agitation
(WBS>=3) was observed more frequent in Group 2 (p<0.05) than Group 1. CONCLUSION:
Ketamine, which has analgesic, hypnotic and amnestic effects and which does not
alter pharyngeal and laryngeal reflexes thus minimizes aspiration possibility, is
a safe and effective anesthetic agent for tooth extractions of the pediatric
population under sedoanalgesia.
PMID- 27882041
TI - Resolution of pleura-peritoneal fistula via transient daytime ambulatory
peritoneal dialysis regime (DAPD) - 8 years follow up.
AB - Pleural effusion or hydrothorax is a relatively rare but well-recognized
complication associated with peritoneal dialysis (PD). We describe the successful
long term resolution of a patient who developed pleural effusions after starting
continuous ambulatory peritoneal dialysis (CAPD), by altering the PD prescription
to normal volume daytime ambulatory peritoneal dialysis (DAPD) transiently before
resuming the usual CAPD exchanges four months later. After 8 years of follow up,
there is no sign of recurrence of the effusion. Normal volume DAPD present as an
attractive alternative and cheap method for resolution of pleura-peritoneal
fistula.
PMID- 27882042
TI - Treatment of persistent congenital chylothorax with intrapleural injection of
sapylin in infants.
AB - Test the therapeutic efficacy of Sapylin in resolving persistent Congenital
Chylothorax (CC) in four infants who failed to respond to conservative medical
therapy including Erythromycin and/or Octreotide management. All cases were cured
and have no adverse reactions during follow-up. The result shows Sapylin is
effective in reducing chylous production.
PMID- 27882043
TI - Why cannot a beta-lactamase gene be detected using an efficient molecular
diagnostic method?
AB - OBJECTIVE: Fast detection of beta-lactamase (bla) genes can minimize the spread
of antibiotic resistance. Although several molecular diagnostic methods have been
developed to detect limited bla gene types, these methods have significant
limitations, such as their failure to detect almost all clinically available bla
genes. We have evaluated a further refinement of our fast and accurate molecular
method, developed to overcome these limitations, using clinical isolates.
METHODS: We have recently developed the efficient large-scale bla detection
method (large-scaleblaFinder) that can detect bla gene types including almost all
clinically available 1,352 bla genes with perfect specificity and sensitivity.
Using this method, we have evaluated a further refinement of this method using
clinical isolates provided by International Health Management Associates, Inc.
(Schaumburg, Illinois, USA). Results were interpreted in a blinded manner by
researchers who did not know any information on bla genes harbored by these
isolates. RESULTS: With only one exception, the large-scaleblaFinder detected all
bla genes identified by the provider using microarray and multiplex PCR. In one
of the Escherichia coli test isolates, a blaDHA-1 gene was detected using the
multiplex PCR assay but it was not detected using the large-scaleblaFinder.
CONCLUSION: The truncation of a blaDHA-1 gene is an important reason for an
efficient molecular diagnostic method (large-scaleblaFinder) not to detect the
bla gene.
PMID- 27882044
TI - The importance of rehabilitation concerning upper extremity amputees: A
Systematic review.
AB - OBJECTIVE: To evaluate and point out the importance of prosthetic rehabilitation
of upper extremity. METHODS: A systematic literature search was performed to
identify studies concerning prosthetic rehabilitation in upper extremity. The
PRISMA Statement 2009 was used to establish the study and the methodological
quality was assessed. RESULTS: The literature search identified 620 studies. Of
these 620, 9 studies fulfilled the inclusion criteria and were included for data
extraction. The studies pointed out the upper limb prosthetic rehabilitation
protocols consist of general exercise programme, motor tasks, phantom exercises,
Muscle Training System, edema control, functional activities, signal
strengthening, prosthetic education exercises, neuromuscular reeducation, virtual
image and virtual reality exercises. CONCLUSIONS: The current systematic
literature review has shown that the prosthetic rehabilitation seems promising
especially for upper extremity amputees.
PMID- 27882045
TI - Comparison of BISAP, Ranson, MCTSI, and APACHE II in Predicting Severity and
Prognoses of Hyperlipidemic Acute Pancreatitis in Chinese Patients.
AB - In recent years, with the developing of living standard, hyperlipidemia becomes
the second major reason of acute pancreatitis. It is important to predict the
severity and prognosis at early stage of hyperlipidemic acute pancreatitis
(HLAP). We compared the BISAP, Ranson, MCTSI, and APACHE II scoring system in
predicting MSAP and SAP, local complications, and mortality of HLAP. A total of
326 diagnosed hyperlipidemic acute pancreatitis patients from August 2006 to July
2015 were studied retrospectively. Our result showed that all four scoring
systems can be used to predict the severity, local complications, and mortality
of HLAP. Ranson did not have significant advantage in predicting severity and
prognosis of HLAP compared to other three scoring systems. APACHE II was the best
in predicting severity of HLAP, but it had shortcoming in predicting local
complications. MCTSI had outstanding performance in predicting local
complications, but it was poor in predicting severity and mortality. BISAP score
had high accuracy in assessment of severity, local complications, and mortality
of HLAP, but the accuracy still needs to be improved in the future.
PMID- 27882047
TI - Perioperative Elevation in Cell-Free DNA Levels in Patients Undergoing Cardiac
Surgery: Possible Contribution of Neutrophil Extracellular Traps to Perioperative
Renal Dysfunction.
AB - Background. This study aimed to determine the perioperative change in serum
double-strand DNA (dsDNA) as a marker potentially reflecting neutrophil
extracellular trap concentration in samples from patients undergoing cardiac
surgery and to analyze a relationship between serum dsDNA concentrations and
perioperative renal dysfunction. Methods. Serum dsDNA concentrations in samples
that were collected during a previously conducted, prospective, multicenter,
observational study were measured. Eighty patients undergoing elective cardiac
surgery were studied. Serum samples were collected at baseline, immediately after
surgery, and the day after surgery (POD-1). Results. Serum dsDNA concentration
was significantly increased from baseline (median, 398 ng/mL [interquartile
range, 372-475 ng/mL]) to immediately after surgery (median, 540 ng/mL [437-682
ng/mL], p < 0.001), and they were reduced by POD-1 (median, 323 ng/mL [256-436
ng/mL]). The difference in serum creatinine concentration between baseline and
POD-1 was correlated with dsDNA concentration on POD-1 (rs = 0.61, p < 0.001).
Conclusions. In patients undergoing cardiac surgery, serum dsDNA concentration is
elevated postoperatively. Prolonged elevation in dsDNA concentration is
correlated with perioperative renal dysfunction. Further large-scale studies are
needed to determine the relationship between serum concentration of circulating
dsDNA and perioperative renal dysfunction.
PMID- 27882046
TI - The Role of Genetic and Immune Factors for the Pathogenesis of Primary Sclerosing
Cholangitis in Childhood.
AB - Primary sclerosing cholangitis (PSC) is a rare cholestatic liver disease
characterized by chronic inflammation of the biliary tree resulting in liver
fibrosis. PSC is more common in male less than 40 years of age. The diagnosis of
PSC is based on clinical, laboratory, image, and histological findings. A
biochemical profile of mild to severe chronic cholestasis can be observed.
Endoscopic retrograde cholangiography is the golden standard method for
diagnosis, but magnetic resonance cholangiography is currently also considered a
first-line method of investigation. Differences in clinical and laboratory
findings were observed in young patients, including higher incidence of overlap
syndromes, mostly with autoimmune hepatitis, higher serum levels of
aminotransferases and gamma-glutamyl transferase, and lower incidence of serious
complications as cholangiocarcinoma. In spite of the detection of several HLA
variants as associated factors in large multicenter cohorts of adult patients,
the exact role and pathways of these susceptibility genes remain to be determined
in pediatric population. In addition, the literature supports a role for an
altered immune response to pathogens in the pathogenesis of PSC. This phenomenon
contributes to abnormal immune system activation and perpetuation of the
inflammatory process. In this article, we review the role of immune and genetic
factors in the pathogenesis of PSC in pediatric patients.
PMID- 27882049
TI - Different Effects of Myoinositol plus Folic Acid versus Combined Oral Treatment
on Androgen Levels in PCOS Women.
AB - Recently, myoinositol (myo-ins) and folic acid combination has gained an
important role for treating Polycystic Ovary Syndrome (PCOS), in addition to
combined oral contraceptives (COC). We aimed to examine myo-ins effects on anti
Mullerian hormone (AMH) levels and compare them with those ones obtained
administering COC. In this prospective study, 137 PCOS patients, diagnosed
according to Rotterdam criteria and admitted to the Reproductive Endocrinology
and Infertility Outpatient Clinic at Dokuz Eylul University (Izmir, Turkey), were
included. After randomization to COC (n = 60) and myo-ins (n = 77) arms,
anthropometric measurements, blood pressure, Modified Ferriman Gallwey scores
were calculated. Biochemical and hormonal analysis were performed, and LH/FSH and
Apo B/A1 ratios were calculated. Data analysis was carried out in demographically
and clinically matched 106 patients (COC = 54; myo-ins = 52). After 3-month
treatment, increase in HDL and decreases in LH and LH/FSH ratio were
statistically more significant only in COC group when compared with baseline (in
both cases p > 0.05). In myo-ins group, fasting glucose, LDL, DHEAS, total
cholesterol, and prolactin levels decreased significantly (for all p < 0.05).
Progesterone and AMH levels, ovarian volume, ovarian antral follicle, and total
antral follicle counts lessened significantly in both groups (for all p < 0.05).
In PCOS treatment, MYO is observed more effective in reductions of total ovarian
volume and AMH levels.
PMID- 27882048
TI - Pattern and Epidemiology of Poisoning in the East African Region: A Literature
Review.
AB - The establishment and strengthening of poisons centres was identified as a
regional priority at the first African regional meeting on the Strategic Approach
to International Chemicals Management (SAICM) in June 2006. At this meeting, the
possibility of a subregional poisons centre, that is, a centre in one country
serving multiple countries, was suggested. The WHO Headquarters following
consultation with counterparts at the WHO Regional Office for Africa (AFRO) and
the SAICM Africa Regional Focal Point successfully submitted a proposal to the
SAICM Quick Start Programme (QSP) Trust Fund Committee for a feasibility study
into a subregional poisons centre in the Eastern Africa subregion. However,
before such a study could be conducted it was deemed necessary to carry out a
literature review on the patterns and epidemiology of poisoning in this region so
as to inform the feasibility study. The current paper presents the results of
this literature review. The literature search was done in the months of June and
July 2012 by two independent reviewers with no language or publication date
restrictions using defined search terms on PUBMED. After screening, the eventual
selection of articles for review and inclusion in this study was done by a third
reviewer.
PMID- 27882050
TI - Thyroid Stimulating Hormone Is Increased in Hypertensive Patients with
Obstructive Sleep Apnea.
AB - Purpose. To evaluate alteration in serum TSH in hypertensives with OSA and its
relation with cardiometabolic risk factors. Methods. 517 hypertensives were cross
sectionally studied. OSA was determined by polysomnography and thyroid function
by standard methods. Results. OSA was diagnosed in 373 hypertensives (72.15%).
Prevalence of subclinical hypothyroidism was significantly higher in OSA
hypertensives than in non-OSA ones (15.0% versus 6.9%, P = 0.014). Serum LnTSH in
hypertensives with severe OSA was significantly higher (0.99 +/- 0.81 versus 0.74
+/- 0.77 MUIU/mL, P < 0.05) than in those without OSA. AHI, LSaO2, ODI3, and ODI4
were independently associated with serum TSH for those aged 30-65 years. Dividing
subjects into four groups as TSH < 1.0 MUIU/mL, 1.0 <= THS <= 1.9 MUIU/mL, 1.91
<= TSH < 4.5 MUIU/mL, and TSH >= 4.5 MUIU/mL, only 26.3% of OSA subjects
exhibited TSH between 1.0 and 1.9 MUIU/mL, significantly less than non-OSA
subjects (26.3% versus 38.2%, P = 0.01). DBP and serum LDL-c elevated with TSH
increasing and were only significantly higher in TSH >= 4.5 MUIU/mL group than in
1.0 <= TSH <= 1.9 MUIU/mL group (96.32 +/- 14.19 versus 92.31 +/- 12.86 mmHg; P =
0.040; 0.99 +/- 0.60 versus 0.87 +/- 0.34 mmol/L, P = 0.023). Conclusion. OSA
might be a risk factor for increased TSH even within reference range in
hypertensive population.
PMID- 27882051
TI - Glycated Hemoglobin Levels in Patients with Decompensated Cirrhosis.
AB - Introduction. Aim of this study is to determine if HbA1c levels are a reliable
predictor of glycemic control in patients with decompensated cirrhosis. Methods.
200 unique patients referred for liver transplantation at University of
Tennessee/Methodist University Transplant Institute with a HbA1c result were
included. Three glucose levels prior to the "measured" A1c (MA1c) were input into
an HbA1c calculator from the American Diabetes Association website to determine
the "calculated" A1c (CA1c). The differences between MA1c and CA1c levels were
computed. Patients were divided into three groups: group A, difference of <0.5;
group B, 0.51-1.5; and group C, >1.5. Results. 97 (49%) patients had hemoglobin
A1c of less than 5%. Discordance between calculated and measured HbA1c of >0.5%
was seen in 47% (n = 94). Higher level of discordance of greater than >1.5 was in
12% of patients (n = 24). Hemoglobin was an independent predictor for higher
discordance (odds ratio 0.77 95%, CI 0.60-0.99, and p value 0.04). HbA1c was an
independent predictor of occurrence of HCC (OR 2.69 955, CI 1.38-5.43, and p
value 0.008). Conclusion. HbA1c is not a reliable predictor of glycemic control
in patients with decompensated cirrhosis, especially in those with severe anemia.
PMID- 27882052
TI - Myoinositol and D-Chiro Inositol in Improving Insulin Resistance in Obese Male
Children: Preliminary Data.
AB - Myoinositol and D-chiro inositol, which are inositol isomers, have been shown to
possess insulin-mimetic properties and to improve insulin resistance, especially
in women with polycystic ovary syndrome. However, it has not been determined if
this relationship exists also in children. Based on these previous findings, we
hypothesized that inositol could be effective in improving insulin sensitivity in
children with insulin resistance. To evaluate this hypothesis, we administered
both inositol formulations before carrying out an oral glucose tolerance test
(OGTT) in a group of obese insulin-resistant male children with high basal
insulin levels and compared the values obtained with an OGTT previously conducted
without inositol, in the same group, with unchanged BMI. Our results confirm that
myoinositol and D-chiro inositol acutely reduce insulin increase after glucose
intake mainly in children with high basal insulin level.
PMID- 27882053
TI - Hypoxia-Inducible Factor-1alpha Expression in Indonesian Laryngeal Squamous Cell
Carcinoma Patients.
AB - Objectives. This research aimed to determine the association between hypoxia
inducible factor-1alpha (HIF-1alpha) expression and laryngeal squamous cell
carcinoma clinical stage. Methods. We retrospectively analyzed paraffin-embedded
tissue from 47 laryngeal squamous cell carcinoma (LSCC) patients from 2011 to
2014. HIF-1alpha expression was analyzed by immunohistochemistry using an anti
HIF-1alpha mouse monoclonal antibody. The association between HIF-1alpha
expression and clinical stage was analyzed using the chi square test. Results.
The glottis was the predominant site of laryngeal squamous cell carcinoma
occurrence, and 43/47 (91.5%) patients presented at an advanced stage. Of the
advanced stage patients, 27/43 stained positive for HIF-1alpha expression and
16/43 stained negative. Of the early stage patients, 2/4 stained positive for HIF
1alpha expression and 2/4 stained negative. Statistical analysis did not
demonstrate significant association of HIF-1alpha expression. Conclusion. There
was no statistically significant association between HIF-1alpha expression and
the clinical stage or histological differentiation of LSCC.
PMID- 27882054
TI - Risk of Cancer among Commercially Insured HIV-Infected Adults on Antiretroviral
Therapy.
AB - The objective of this study was to explore the cancer incidence rates among HIV
infected persons with commercial insurance who were on antiretroviral therapy and
compare them with those rates in the general population. Paid health insurance
claims for 63,221 individuals 18 years or older, with at least one claim with a
diagnostic code for HIV and at least one filled prescription for an
antiretroviral medication between January 1, 2006, and September 30, 2012, were
obtained from the LifeLink(r) Health Plan Claims Database. The expected number of
cancer cases in the general population for each gender-age group (<30, 30-39, 40
49, 50-59, and >60 years) was estimated using incidence rates from the
Surveillance Epidemiology and End Results (SEER) program. Standardized incidence
ratios (SIRs) were estimated using their 95% confidence intervals (CIs). Compared
to the general population, incidence rates for HIV-infected adults were elevated
(SIR, 95% CI) for Kaposi sarcoma (46.08; 38.74-48.94), non-Hodgkin lymphoma
(4.22; 3.63-4.45), Hodgkin lymphoma (9.83; 7.45-10.84), and anal cancer (30.54;
25.62-32.46) and lower for colorectal cancer (0.69; 0.52-0.76), lung cancer
(0.70; 0.54, 0.77), and prostate cancer (0.54; 0.45-0.58). Commercially insured,
treated HIV-infected adults had elevated rates for infection-related cancers, but
not for common non-AIDS defining cancers.
PMID- 27882056
TI - Pediatric Isolated Sinonasal Schwannoma: A New Case Report and Literature Review.
AB - Schwannomas of the paranasal sinus are uncommon. Less than 4% of schwannomas
involve the nasal cavity and paranasal sinuses, even less in the pediatric age
group. A case of schwannoma arising in maxillary sinus in a 2.5-year-old Chinese
boy is reported. The basis for discussion of this case is the exceptional rarity
of sinonasal schwannoma in pediatric patients.
PMID- 27882055
TI - Determinants of Patient Delay in Seeking Diagnosis and Treatment among Moroccan
Women with Cervical Cancer.
AB - Introduction. This study sought to investigate potential determinants of patient
delay among Moroccan women with cervical cancer. Methods. A cross-sectional study
was conducted from June 2014 to June 2015 at the National Institute of Oncology
in Rabat. Data were collected using questionnaire among patients with cervical
cancer locally advanced or metastatic (stages IIA-IVB). Medical records were
abstracted to complete clinical information. An interval longer than 90 days
between discovery of initial symptoms and presentation to a provider was defined
as a patient delay. Results. Four hundred and one patients with cervical cancer
enrolled in this study. The mean age was 52.4 years (SD = 11.5). 53.6% were
illiterate. Abnormal vaginal bleeding was identified for 65.8% of patients. 60.1%
were diagnosed at stages IIA-IIB. 55.4% were found having patient delay. The
regression analyses showed the association between literacy (p < 0.001), distance
of the place of the first consultation (p = 0.031), abnormal vaginal bleeding as
an earlier symptom (p < 0.001), stage at diagnosis (p < 0.03), knowledge of
symptoms (p < 0.001), knowledge of causes (p = 0.008), and practice of
gynecological exam during the last three years (p = 0.018) and the patient delay.
Conclusion. Educational messages should aim at increasing awareness of cervical
cancer, assisting women in symptom recognition, and encouraging earlier
presentation.
PMID- 27882057
TI - Robotic Assisted Laparoscopic Prostatectomy Performed after Previous Suprapubic
Prostatectomy.
AB - Operative management of prostate cancer in a patient who has undergone previous
open suprapubic simple prostatectomy poses a unique surgical challenge. Herein,
we describe a case of intermediate risk prostate cancer in a man who had
undergone simple prostatectomy ten years prior to presentation. The patient was
found to have Gleason 7 prostate cancer on MRI fusion biopsy of the prostate for
elevated PSA and underwent an uncomplicated robot assisted laparoscopic radical
prostatectomy.
PMID- 27882059
TI - Intestinal Microbiota as an Alternative Therapeutic Target for Epilepsy.
AB - Epilepsy is one of the most widespread serious neurological disorders, and an
aetiological explanation has not been fully identified. In recent decades, a
growing body of evidence has highlighted the influential role of autoimmune
mechanisms in the progression of epilepsy. The hygiene hypothesis draws people's
attention to the association between gut microbes and the onset of multiple
immune disorders. It is also believed that, in addition to influencing digestive
system function, symbiotic microbiota can bidirectionally and reversibly impact
the programming of extraintestinal pathogenic immune responses during
autoimmunity. Herein, we investigate the concept that the diversity of
parasitifer sensitivity to commensal microbes and the specific constitution of
the intestinal microbiota might impact host susceptibility to epilepsy through
promotion of Th17 cell populations in the central nervous system (CNS).
PMID- 27882061
TI - The Long-Term Outcomes for Patients with Budd-Chiari Syndrome Caused by Behcet's
Disease: A Case Series on the Results, from Cirrhosis to Death.
AB - BACKGROUND: Budd-Chiari syndrome, which is a rare complication of Behcet's
disease, carries a high mortality rate. OBJECTIVES: The aim of the study was to
present our long-term follow up experience with patients suffering from Budd
Chiari syndrome due to Behcet's disease. METHODS: The records of 402 patients
with Behcet's disease were evaluated retrospectively. To facilitate detection of
the long-term complications caused by Budd-Chiari syndrome, the patients were
evaluated via physical examinations, laboratory tests, imaging modalities, and
endoscopy results. RESULTS: The data for 402 patients diagnosed with Behcet's
disease, who were followed up at our hospital over 16 years, were analyzed
retrospectively. Five of these 402 patients (1.2%) were diagnosed as having Budd
Chiari syndrome. The patients with Budd-Chiari syndrome were aged between 23 and
54, and all five were male. The interval between the onset of Behcet's disease
and the development of Budd-Chiari syndrome ranged from 1 to 8 years. All the
patients had combined venous occlusion (affecting the hepatic vein and inferior
vena cava). Portal venous thrombosis was detected in only one patient (Case 1),
who died 1 month after the diagnosis of Budd-Chiari syndrome. The survival time
for the other four patients after the diagnosis of Budd-Chiari syndrome ranged
from 4 to 16 years. During the long-term follow-up, hepatic masses were detected
via radiological surveillance in Case 3 (in the form of large regenerative
nodules) and Case 4 (nodular regenerative hyperplasia and cirrhosis).
CONCLUSIONS: In our study, portal venous thrombosis was detected in the patient
who died during the acute period only. A study including large numbers of Budd
Chiari-syndrome patients with Behcet's disease and portal venous thrombosis would
be helpful to determine the prognostic significance of portal venous thrombosis
in Budd-Chiari-syndrome patients with Behcet's disease. In addition, patients
should be monitored regularly for the development of hepatic masses via a long
term surveillance program.
PMID- 27882060
TI - Simple and Reliable Method to Quantify the Hepatitis B Viral Load and Replicative
Capacity in Liver Tissue and Blood Leukocytes.
AB - BACKGROUND: A functional cure of chronic hepatitis B (CHB) is feasible, but a
clear view of the intrahepatic viral dynamics in each patient is needed.
Intrahepatic covalently closed circular DNA (cccDNA) is the stable form of the
viral genome in infected cells, and represents the ideal marker of parenchymal
colonization. Its relationships with easily accessible peripheral parameters need
to be elucidated in order to avoid invasive procedures in patients. OBJECTIVES:
The goal of this study was to design, set up, and validate a reliable and
straightforward method for the quantification of the cccDNA and total DNA of the
hepatitis B virus (HBV) in a variety of clinical samples. PATIENTS AND METHODS:
Clinical samples from a cohort of CHB patients, including liver biopsies in some,
were collected for the analysis of intracellular HBV molecular markers using
novel molecular assays. RESULTS: A plasmid construct, including sequences from
the HBV genome and from the human gene hTERT, was generated as an isomolar multi
standard for HBV quantitation and normalization to the cellular contents. The
specificity of the real-time assay for the cccDNA was assessed using Dane
particles isolated on a density gradient. A comparison of liver tissue from 6
untreated and 6 treated patients showed that the treatment deeply reduced the
replicative capacity (total DNA/cccDNA), but had limited impact on the
parenchymal colonization. The peripheral blood mononuclear cells (PBMCs) and
granulocytes from the treated and untreated patients were also analyzed.
CONCLUSIONS: A straightforward method for the quantification of intracellular HBV
molecular parameters in clinical samples was developed and validated. The
widespread use of such versatile assays could better define the prognosis of CHB,
and allow a more rational approach to time-limited tailored treatment strategies.
PMID- 27882058
TI - Cyclooxygenase-2: A Role in Cancer Stem Cell Survival and Repopulation of Cancer
Cells during Therapy.
AB - Cyclooxygenase-2 (COX-2) is an inducible form of the enzyme that catalyses the
synthesis of prostanoids, including prostaglandin E2 (PGE2), a major mediator of
inflammation and angiogenesis. COX-2 is overexpressed in cancer cells and is
associated with progressive tumour growth, as well as resistance of cancer cells
to conventional chemotherapy and radiotherapy. These therapies are often
delivered in multiple doses, which are spaced out to allow the recovery of normal
tissues between treatments. However, surviving cancer cells also proliferate
during treatment intervals, leading to repopulation of the tumour and limiting
the effectiveness of the treatment. Tumour cell repopulation is a major cause of
treatment failure. The central dogma is that conventional chemotherapy and
radiotherapy selects resistant cancer cells that are able to reinitiate tumour
growth. However, there is compelling evidence of an active proliferative
response, driven by increased COX-2 expression and downstream PGE2 release, which
contribute to the repopulation of tumours and poor patient outcome. In this
review, we will examine the evidence for a role of COX-2 in cancer stem cell
biology and as a mediator of tumour repopulation that can be molecularly targeted
to overcome resistance to therapy.
PMID- 27882063
TI - Design, Construction and Evaluation of 1a/JFH1 HCV Chimera by Replacing the
Intergenotypic Variable Region.
AB - BACKGROUND: The E2 glycoprotein is an important encoded hepatitis C virus (HCV)
protein that contains three different variable regions. OBJECTIVES: The aim of
the present study was to construct an HCV 1a/JFH1 chimeric virus by replacing the
intergenotypic variable region (igVR) fragment of the highly variable region of
the E2 gene of the Japanese Fulminant hepatitis genotype 2a JFH1 virus with a
similar region of HCV genotype 1a. This chimera was produced as a model virus
with the ability to be cultured. We analyzed the adapted virus and the variations
of nucleic acids within it. METHODS: Specific primers were designed for the igVR
of HCV genotype 1a followed by the overlap-PCR method for the synthesis of the
desired DNA fragment. The amplified igVR-1a chimera gene and pFL-J6/JFH were
digested by KpnI and BsiWI restriction enzymes, and the fragment was ligated into
pFL-J6/JFH. The recombinant vector was transformed into Escherichia coli JM109
strain competent cells. All clones were confirmed by colony PCR using specific
primers, and the confirmed recombinant vector was sequenced. The recombinant
vector was targeted for RNA synthesis by T7 RNA polymerase enzyme. RNA
transfection was performed in the Huh7.5 cell line. Virus production in several
passages and the evaluated viral load were studied using quantitative real-time
PCR and ELISA methods. After 30 passages, the RNA virus was extracted and cloned
in PCDNA3.1 vector, and was then sequenced. RESULTS: Quantitative real-time PCR
results showed 11,292,514 copies/mL of chimeric virus production in cell culture.
The virus production was confirmed using ELISA, which showed a virus core
production of 808.2 pg/mL. The results of cloning and sequencing showed that some
of the nucleic acids in the chimera virus were changed, affecting the viral
behavior in the cell culture. CONCLUSIONS: Real-time PCR and ELISA showed high
levels of production of 1a/JFH1 chimeric HCV in the Huh7.5 cell culture. The
constructed virus can be used for future studies, including the development of
new HCV drugs and vaccines.
PMID- 27882062
TI - Genotyping and Mutation Pattern in the Overlapping MHR Region of HBV Isolates in
Southern Khorasan, Eastern Iran.
AB - BACKGROUND: Hepatitis B virus, with 8 known distinct genotypes, is one of the
most serious health problems which results to liver injuries. The surface gene of
Hepatitis B virus completely overlaps with the polymerase gene. Mutations in the
RT gene result in changes in the overlapping hepatitis B surface antigen.
OBJECTIVES: The present study aimed to evaluate the genotypes and prevalence of
mutations in a segment of S and RT gene in HBV isolates in Southern Khorasan,
Iran. METHODS: This was a population-based study comprising 5,235 randomized
samples for HBV screening. A nested-polymerase chain reaction (PCR) test was
followed by direct sequencing, and the sequences blast with present sequences of
NCBI database for genotyping. Alignment and phylogenic analysis was performed
using MEGA-6 software, and mutation pattern of this segment was finally surveyed
in Bioedit software. RESULTS: The mean age was 39.07 +/- 14.04 years, with 52.2%
female and 47.8% male. All isolates belonged to HBV genotype D, sub-genotype D1.
The most amino acid substitutions of surface protein were Q129H (34.42%) and
A168V (8.2%), other escape mutants observed in this study were P127L/T, S117G,
T125M, S143L, D144E and E164D. In the RT gene, Q149K was the most frequently
identified amino acid substitution (9.83%), followed by L122F (8.19%), N118D/T
(6.55%), L157M (4.91%), and H124Y (3.27%). CONCLUSIONS: This finding represents
an ongoing dominancy of HBV genotype D in Eastern Iran, corresponding to other
parts of Iran. There were a lot of variations in the S gene leading to an escape
mutation, some of which affected the corresponding area of the RT region.
PMID- 27882064
TI - The Evaluation and Comparison of Transcriptionally Targeted Noxa and Puma Killer
Genes to Initiate Apoptosis Under Cancer-Specific Promoter CXCR1 in
Hepatocarcinoma Gene Therapy.
AB - BACKGROUND: Cancerous cells proliferate as fast as possible without a proper
surveillance system. This rapid cell division leads to enormous mutation rates,
which help a tumor establish. OBJECTIVES: This study evaluated the potential of
inducing apoptosis using Noxa and Puma in a hepatocarcinoma cell line. METHODS:
The current study generated two recombinant lentiviruses, pLEX-GCN and pLEX-GCP,
bearing Noxa and Puma, respectively. Transduction of both genes to
hepatocarcinoma (HepG2) was verified using fluorescent microscopic analysis,
western blotting, and quantitative real-time polymerase chain reaction (PCR). To
evaluate the potential of Noxa and Puma to initiate apoptosis, a caspase-9 real
time, MTT assay, and a 4', 6-diamidino-2-phenylindole (DAPI) reagent were
performed to stain apoptotic cells. RESULTS: The data verified successful
transduction to HepG2 and HEK293T. Higher relative expression of Noxa and Puma
rather than the untransduced cell line showed these genes are expressed more in
HepG2 in comparison to HEK293T. The results of the real-time PCR, MTT assay, and
DAPI reagent illustrated that higher cells initiated apoptosis following Puma
transduction rather than Noxa. CONCLUSIONS: In this approach, the suicide gene
was transferred to transformed cells and ignited apoptosis to exterminate them.
Puma is a more potent killer gene and has higher capabilities to start intrinsic
apoptosis pathway.
PMID- 27882065
TI - Hepatic Alveolar Hydatid Cyst: A Brief Review of Published Cases from Iran in the
Last 20 Years.
AB - CONTEXT: Echinococcus multilocularis is the cause of alveolar hydatid disease,
which most commonly involves the liver in more than 90% of cases. This disease is
endemic in northern Iran. However, there are very few published cases from Iran.
In this article, we will review all of the published cases of hepatic alveolar
echinococcosis from Iran regarding all aspects, including clinical, paraclinical,
and treatment protocols. EVIDENCE ACQUISITION: In this brief review, the
published cases of hepatic Alveolar Echinococcosis (AE) from Iran were retrieved
for review via a search in PubMed, Scopus, Google Scholar, IranMedex, scientific
information database (SID), Magiran, and Irandoc (1995 - 2015) using the keywords
Echinococcus multilocularis and Iran, Alveolar hydatid cyst and Iran, liver and
Alveolar hydatid cyst and Iran, and Hepatic alveolar hydatid cyst and Iran. The
following inclusion criteria were employed: 1, articles must be written in
English or Farsi; 2, articles must have been published between 1995 and 2015; 3)
cases must have been confirmed by pathological diagnosis. RESULTS: There were 24
published cases of liver-involved alveolar hydatid cyst from Iran. The disease
was more common in young- to middle-aged women in northeast Iran. The most common
presenting signs and symptoms were abdominal pain with hepatomegaly and liver
mass. Most of the patients were treated by surgery and albendazole. The few
unresectable liver masses were treated by medical therapy. No liver
transplantation for this disease was reported from Iran. CONCLUSIONS: Hepatic
alveolar hydatid cyst should be considered one of the important differential
diagnoses of liver masses, especially in endemic areas of the world.
PMID- 27882066
TI - Bioinformatic Analysis of Codon Usage and Phylogenetic Relationships in Different
Genotypes of the Hepatitis C Virus.
AB - BACKGROUND: The hepatitis C virus (HCV) has six major genotypes. The purpose of
this study was to phylogenetically investigate the differences between the
genotypes of HCV, and to determine the types of amino acid codon usage in the
structure of the virus in order to discover new methods for treatment regimes.
METHODS: The codon usage of the six genotypes of the HCV nucleotide sequence was
investigated through the online application available on the website Gene
Infinity. Also, phylogenetic analysis and the evolutionary relationship of HCV
genotypes were analyzed with MEGA 7 software. RESULTS: The six genotypes of HCV
were divided into two groups based on their codon usage properties. In the first
group, genotypes 1 and 5 (74.02%), and in the second group, genotypes 2 and 6
(72.43%) were shown to have the most similarity in terms of codon usage. Unlike
the results with respect to determining the similarity of codon usage, the
phylogenetic analysis showed the closest resemblance and correlation between
genotypes 1 and 4. The results also showed that HCV has a GC (guanine-cytosine)
abundant genome structure and prefers codons with GC for translation.
CONCLUSIONS: Genotypes 1 and 4 demonstrated remarkable similarity in terms of
genome sequences and proteins, but surprisingly, in terms of the preferred codons
for gene expression, they showed the greatest difference. More studies are
therefore needed to confirm the results and select the best approach for
treatment of these genotypes based on their codon usage properties.
PMID- 27882068
TI - Transplantation of Deceased Donor Livers With Elevated Levels of Serum
Transaminases at Shiraz Transplant Center.
AB - BACKGROUND: The current organ shortage has prompted the use of marginal organs.
We conducted this retrospective study to present our experience with
transplanting deceased donor livers with elevated levels of serum transaminases
and to explain whether elevated levels of serum transaminases in donors affect
allograft function and survival of the recipients. METHODS: Data of deceased
donor livers and patients, who underwent liver transplantation from March 2013 to
March 2015 at Shiraz center for organ transplantation, was reviewed. Liver donors
with aspartate aminotransferase (AST) and/or alanine aminotransferase (ALT) level
of more than 500 IU/l and their related recipients were considered as the case
group (n = 24) and the others were considered as the control group (n = 834).
RESULTS: In the case group, the medians of levels of serum AST and ALT of donors
were 834 +/- 425 IU/L (range: 250 - 2285) and 507 +/- 367 IU/L (range: 100 -
1600), respectively. Recipients were followed for a median of 13.6 +/- 9 months
(range: 7 - 28.4). Post-transplant complications were acute rejection (n = 5),
infection (n = 3), portal vein thrombosis (n = 3), bile duct stricture (n = 1),
and hepatic artery stenosis (n = 1). The one-year survival rate of the patients
was 91.7%. Demographics, post-transplant complications and one-year survival
rates were not significantly different between the two study groups. CONCLUSIONS:
Transplanting deceased donor livers with markedly elevated liver enzymes may be
an acceptable choice for expanding the donor pool.
PMID- 27882067
TI - Bioinformatic Identification of Rare Codon Clusters (RCCs) in HBV Genome and
Evaluation of RCCs in Proteins Structure of Hepatitis B Virus.
AB - BACKGROUND: Hepatitis B virus (HBV) as an infectious disease that has nine
genotypes (A - I) and a 'putative' genotype J. OBJECTIVES: The aim of this study
was to identify the rare codon clusters (RCC) in the HBV genome and to evaluate
these RCCs in the HBV proteins structure. METHODS: For detection of protein
family accession numbers (Pfam) in HBV proteins, the UniProt database and Pfam
search tool were used. Protein family accession numbers is a comprehensive and
accurate collection of protein domains and families. It contains annotation of
each family in the form of textual descriptions, links to other resources and
literature references. Genome projects have used Pfam extensively for large-scale
functional annotation of genomic data; Pfam database is a large collection of
protein families, each represented by multiple sequence alignments and hidden
Markov models (HMMs). The Pfam search tools are databases that identify Pfam of
proteins. These Pfam IDs were analyzed in Sherlocc program and the location of
RCCs in HBV genome and proteins were detected and reported as translated EMBL
nucleotide sequence data library (TrEMBL) entries. The TrEMBL is a computer
annotated supplement of SWISS-PROT that contains all the translations of European
molecular biology laboratory (EMBL) nucleotide sequence entries not yet
integrated in SWISS-PROT. Furthermore, the structures of TrEMBL entries proteins
were studied in the PDB database and 3D structures of the HBV proteins and
locations of RCCs were visualized and studied using Swiss PDB Viewer software(r).
RESULTS: The Pfam search tool found nine protein families in three frames.
Results of Pfams studies in the Sherlocc program showed that this program has not
identified RCCs in the external core antigen (PF08290) and truncated HBeAg gene
(PF08290) of HBV. By contrast, the RCCs were identified in gene of hepatitis core
antigen (PF00906 and the residues 224 - 234 and 251 - 255), large envelope
protein S (PF00695 and the residues 53-56 and 70 - 84), X protein (PF00739 and
the residues 10 - 24, 29 - 83, 95 - 99. 122 - 129, 139 - 143), DNA polymerase
(viral) N-terminal domain (PF00242 and the residues 59 - 62, 214 - 217, 407 -
413) and protein P (Pf00336 and the residues 225 - 228). In HBV genome, seven
RCCs were identified in the gene area of hepatitis core antigen, large envelope
protein S and DNA polymerase, while protein structures of TrEMBL entries
sequences found in Sherlocc program outputs were not complete. CONCLUSIONS: Based
on the location of detected RCCs in the structure of HBV proteins, it was found
that these RCCs may have a critical role in correct folding of HBV proteins and
can be considered as drug targets. The results of this study provide new and deep
perspectives about structure of HBV proteins for further researches and designing
new drugs for treatment of HBV.
PMID- 27882069
TI - Hepatitis C and G Virus Infection Prevalence Among Hemodialysis Patients and
Associated Risk Factors in the Hormozgan Province of Southern Iran.
AB - BACKGROUND: Infection with blood-borne viruses including hepatitis C (HCV) and
hepatitis G (HGV) viruses is a substantial health problem. Varying prevalences of
these infections in different studies reflect the role of predisposing risk
factors in different countries or even different regions of a country.
OBJECTIVES: The objective of the present survey was to assess the prevalences of
HCV and HGV virus infections among hemodialysis (HD) patients in Bandar Abbas,
Hormozgan, Iran, 2015. METHODS: A total of 149 subjects with chronic renal
failure undergoing HD at Shahid Mohammadi hospital in the Hormozgan province of
southern Iran from January 1, 2015 to March 31, 2015 were evaluated for anti-HCV
and antibodies against HGV E2 glycoprotein by census sampling method. Thereafter,
all of the specimens were evaluated for molecular assays using polymerase chain
reaction (PCR) and other techniques. Investigated data were recorded for each
participant in a pre-designed data collection sheet. All statistical analyses
were conducted using the Statistical Package for the Social Sciences (SPSS)
version 19 for Windows by t-test and chi-square test (chi2). RESULTS: The mean
age of patients was 56.23 +/- 12.35 years (minimum age 18, maximum age 85). Both
kinds of assays determined that five (3.36%) patients were HCV positive, whereas
no HGV positives were diagnosed. The prevalence of HCV is associated with longer
duration of HD (P value = 0.008), history of blood transfusion (P value = 0.037)
and drug addiction (P value = 0.035). CONCLUSIONS: History of drug addiction
and/or blood transfusion and longer duration of HD treatment were the main risk
factors determining the prevalence of HCV infection in the Hormozgan province of
southern Iran in 2015. However, the values observed in the present investigation
reflect the effective management techniques imposed by healthcare authorities and
relevant organizations in recent years.
PMID- 27882070
TI - Serological Patterns and Molecular Characterization of Occult Hepatitis B Virus
Infection among Blood Donors.
AB - BACKGROUND: Hepatitis B infections, characterized by the presence of a viral
genome without detectable hepatitis B surface antigen (HBsAg; Occult hepatitis B
infection [OBI]), have been reported recently. OBJECTIVES: We performed
serological and molecular characterization of OBI among blood donors at Jiangsu
province blood center during years 2013 and 2014. METHODS: All donor samples were
routinely screened by double enzyme-linked immunosorbent assay (ELISA) for
hepatitis C virus (HCV), hepatitis B virus (HBV), human immunodeficiency virus
(HIV), Treponema pallidum (TP), and alanine aminotransferase (ALT). Single
reactive, nonreactive, and ALT-elevated samples were pooled or resolved by
nucleic acid testing (NAT). Seromarkers were examined in HBsAg-/DNA+ samples.
After 1 to 12 months of follow up, seromarkers were screened again to verify OBI
samples. RESULTS: We studied 157119 samples from blood donors. A total of 154397
ELISA nonreactive donor samples were identified, and HBV DNA was detected in 81
samples; no samples were positive for HIV or HCV RNA. Hepatitis B virus viral
loads in most donors were less than 20 - 200 IU/mL. There was only one HBsAg
positive sample. Eighty HBsAg-/DNA+ samples were evaluated further. Of these
samples, 85% (68/80) were reactive for anti-HBc and 36.2% (29/800) were reactive
for anti-HBc and anti-HBs; 11.3% (9/80) did not have any detectable serological
markers. Twenty-nine donors were followed up. One was HBsAg ELISA positive, and
of six seronegative donors, all had anti-HBc and anti-HBs, but were negative for
DNA. Samples were HBV genotypes B, C and D. Mutations in the S region of HBV DNA
included S114T, G119R, P120S, T125M, C139Y, T140I, C147W, T148A, A159V/G, E164D,
V168A, and R169C. CONCLUSIONS: Overall, we found that OBI was rare, but that the
prevalence of OBI was slightly higher in Jiangsu than in other areas of China.
PMID- 27882071
TI - Pigmentation Effect of Rice Bran Extracted Minerals Comprising Soluble Silicic
Acids.
AB - Our investigation focused on identifying melanogenesis effect of soluble minerals
in rice bran ash extract (RBE) which include orthosilicic acid (OSA). Melanocytes
were apparently normal in terms of morphology. It was, however, shown that they
were stressed a little in the RBE and OSA added media in aspect of LDH activity.
Melanin synthesis and intracellular tyrosinase activity were increased by
treatment of RBE which is similar to that of OSA. The Western blotting results
showed that TRP-1, tyrosinase, and MITF expression levels were 2-3 times higher
in the OSA and RBE groups compared to the control group which promoted melanin
synthesis through CREB phosphorylation. Moreover, histology and
immunohistochemistry were shown to have similar result to that of protein
expression. As a result, minerals which comprise orthosilicic acid has the
potential to promote melanogenesis and both RBE and OSA have similar cell
viability, protein expression, and immunostaining results, suggesting that RBE
comprises specific minerals which promote melanin synthesis through increasing of
MITF and CREB phosphorylation. Therefore, RBE could be used as a novel
therapeutic approach to combat melanin deficiency related diseases by stimulating
melanocytes via its soluble Si and mineral components.
PMID- 27882072
TI - Radix Astragali Stimulates p38 MARK Phosphorylation in Pediatric Patients with
beta-Thalassemia.
AB - A previous study conducted by our group demonstrated that Radix Astragali
compounded with Codonopsis pilosula and Plastrum testudinis was effective in
treating pediatric beta-thalassemia in a randomized, controlled clinical trial.
However, the mechanism of action that underpins this treatment remains to be
elucidated. Blood was collected from patients participating in this clinical
trial and nucleated red blood cell-enriched mononuclear cells were isolated to
facilitate the extraction of RNA and protein. RT-PCR was used to monitor the
expression of globin genes and p38 MAPK, and total and phosphorylated p38 MAPK
expression was assessed using Western blot analysis. Expression of alpha-, beta-,
and Agamma-globin mRNAs was not significantly affected following treatment with
R. Astragali or the compounded formulation. However, Ggamma-globin mRNA levels
increased significantly in both treatment groups (when compared with pretreatment
levels) following 12 weeks of treatment. Moreover, posttreatment Ggamma-globin
expression was significantly higher in both treatment groups compared with the
control group. Although neither p38 MAPK mRNA nor protein levels were affected by
the treatments, posttreatment phosphorylation of p38 MAPK was significantly
increased in the R. Astragali and compounded formulation groups compared with the
control group. These data suggest that the molecular mechanisms that underpin the
efficacious use of R. Astragali (and its compounded formulation) in pediatric
beta-thalassemia treatment facilitate the induction of Ggamma-globin expression
following activation of p38 MAPK.
PMID- 27882073
TI - Standard versus Abdominal Lifting and Compression CPR.
AB - Background. This study compared outcomes of abdominal lifting and compression
cardiopulmonary resuscitation (ALP-CPR) with standard CPR (STD-CPR). Materials
and Methods. Patients with cardiac arrest seen from April to December 2014 were
randomized to receive standard CPR or ALP-CPR performed with a novel abdominal
lifting/compression device. The primary outcome was return of spontaneous
circulation (ROSC). Results. Patients were randomized to receive ALP-CPR (n = 40)
and STD-CPR (n = 43), and the groups had similar baseline characteristics. After
CPR, 9 (22.5%) and 7 (16.3%) patients in the ALP-CPR and STD-CPR groups,
respectively, obtained ROSC. At 60 minutes after ROSC, 7 (77.8%) and 2 (28.6%)
patients, respectively, in the ALP-CPR and STD-CPR groups survived (P = 0.049).
Patients in the ALP-CPR group had a significantly higher heart rate and lower
mean arterial pressure (MAP) than those in the STD-CPR group (heart rate: 106.8
versus 79.0, P < 0.001; MAP: 60.0 versus 67.3 mm Hg, P = 0.003). The
posttreatment PCO2 was significantly lower in ALP-CPR group than in STD-CPR group
(52.33 versus 58.81, P = 0.009). PO2 was significantly increased after ALP-CPR
(45.15 to 60.68, P < 0.001), but it was not changed after STD-CPR. PO2 after CPR
was significantly higher in the ALP-CPR group (60.68 versus 44.47, P < 0.001).
There were no differences between genders and for patients who are > 65 or <= 65
years of age. Conclusions. The abdominal lifting and compression cardiopulmonary
resuscitation device used in this study is associated with a higher survival rate
after ROSC than standard CPR.
PMID- 27882074
TI - Survival Prediction and Feature Selection in Patients with Breast Cancer Using
Support Vector Regression.
AB - The Support Vector Regression (SVR) model has been broadly used for response
prediction. However, few researchers have used SVR for survival analysis. In this
study, a new SVR model is proposed and SVR with different kernels and the
traditional Cox model are trained. The models are compared based on different
performance measures. We also select the best subset of features using three
feature selection methods: combination of SVR and statistical tests, univariate
feature selection based on concordance index, and recursive feature elimination.
The evaluations are performed using available medical datasets and also a Breast
Cancer (BC) dataset consisting of 573 patients who visited the Oncology Clinic of
Hamadan province in Iran. Results show that, for the BC dataset, survival time
can be predicted more accurately by linear SVR than nonlinear SVR. Based on the
three feature selection methods, metastasis status, progesterone receptor status,
and human epidermal growth factor receptor 2 status are the best features
associated to survival. Also, according to the obtained results, performance of
linear and nonlinear kernels is comparable. The proposed SVR model performs
similar to or slightly better than other models. Also, SVR performs similar to or
better than Cox when all features are included in model.
PMID- 27882075
TI - In Silico Evaluation of the Potential Antiarrhythmic Effect of Epigallocatechin-3
Gallate on Cardiac Channelopathies.
AB - Ion channels are transmembrane proteins that allow the passage of ions according
to the direction of their electrochemical gradients. Mutations in more than 30
genes encoding ion channels have been associated with an increasingly wide range
of inherited cardiac arrhythmias. In this line, ion channels become one of the
most important molecular targets for several classes of drugs, including
antiarrhythmics. Nevertheless, antiarrhythmic drugs are usually accompanied by
some serious side effects. Thus, developing new approaches could offer added
values to prevent and treat the episodes of arrhythmia. In this sense, green tea
catechins seem to be a promising alternative because of the significant effect of
Epigallocatechin-3-Gallate (E3G) on the electrocardiographic wave forms of guinea
pig hearts. Thus, the aim of this study was to evaluate the benefits-risks
balance of E3G consumption in the setting of ion channel mutations linked with
aberrant cardiac excitability phenotypes. Two gain-of-function mutations, Nav1.5
p.R222Q and Nav1.5-p.I141V, which are linked with cardiac hyperexcitability
phenotypes were studied. Computer simulations of action potentials (APs) show
that 30 MUM E3G reduces and suppresses AP abnormalities characteristics of these
phenotypes. These results suggest that E3G may have a beneficial effect in the
setting of cardiac sodium channelopathies displaying a hyperexcitability
phenotype.
PMID- 27882076
TI - Redox dependent metabolic shift in Clostridium autoethanogenum by extracellular
electron supply.
AB - BACKGROUND: Microbial electrosynthesis is a novel approach that aims at shifting
the cellular metabolism towards electron-dense target products by extracellular
electron supply. Many organisms including several acetogenic bacteria have been
shown to be able to consume electrical current. However, suitable hosts for
relevant industrial processes are yet to be discovered, and major knowledge gaps
about the underlying fundamental processes still remain. RESULTS: In this paper,
we present the first report of electron uptake by the Gram-positive, ethanol
producing acetogen, Clostridium autoethanogenum. Under heterotrophic conditions,
extracellular electron supply induced a significant metabolic shift away from
acetate. In electrically enhanced fermentations on fructose, acetate production
was cut by more than half, while production of lactate and 2,3-butanediol
increased by 35-fold and threefold, respectively. The use of mediators with
different redox potential revealed a direct dependency of the metabolic effect on
the redox potential at which electrons are supplied. Only electrons delivered at
a redox potential low enough to reduce ferredoxin caused the reported effect.
CONCLUSIONS: Production in acetogenic organisms is usually challenged by cellular
energy limitations if the target product does not lead to a net energy gain as in
the case of acetate. The presented results demonstrate a significant shift of
carbon fluxes away from acetate towards the products, lactate and 2,3-butanediol,
induced by small electricity input (~0.09 mol of electrons per mol of substrate).
This presents a simple and attractive method to optimize acetogenic fermentations
for production of chemicals and fuels using electrochemical techniques. The
relationship between metabolic shift and redox potential of electron feed gives
an indication of possible electron-transfer mechanisms and helps to prioritize
further research efforts.
PMID- 27882078
TI - Debridement in chronic osteomyelitis with benign osteopetrosis: A case report.
AB - Osteopetrosis is a rare bone disease caused by metabolic imbalances as a result
of genetic mutations. For instance, autosomal dominant osteopetrosis is caused by
a missense mutation of the C1CN7 gene. This was first reported in 1904 and is
thought to be caused by osteoclastic dysfunction and an impaired bone resorption
ability. An accumulation of cortical bone mass during the remodeling of the
medullary bone may increase the bone density and give rise to a hard marble
consistency. Osteopetrosis can be divided into benign and malignant forms;
however, no curative treatment exists for benign osteopetrosis. The management of
complications, such as chronic osteomyelitis and fractures, serves a key role in
influencing the patient survival rates. Previous studies have demonstrated that a
combined treatment of hyperbaric oxygen (HBO) lavage for debridement of the
necrotic region and high-dose systemic antibiotics may be effective in the
management of osteopetrosis. The present study reported a case of chronic
mandible osteomyelitis and fistula occurring in association with maxillary
sinusitis, who was successfully treated by through nasal endoscopy, using
repeated flushing and cleaning every 2 weeks as a form of debridement, in the
absence of high-dose antibiotics and HBO.
PMID- 27882079
TI - Overexpression of caveolin-1 reduces Taxol resistance in human osteosarcoma cells
by attenuating PI3K-Akt-JNK dependent autophagy.
AB - Caveolin-1 (CAV-1), which is an oncoprotein and a tumor suppressor, is highly
expressed in normal osteoblasts. Although researchers have investigated its role
in human osteosarcoma, the mechanism of caveolin-1 action in osteosarcoma remains
unknown. In the present study, Saos-2 and U-2 OS cells were cultured with a
continuous induction protocol of gradually increasing Taxol concentration for 6
months to establish drug-resistant cell lines. CAV-1 expression levels in
osteosarcoma cells were detected via western blotting and quantitative polymerase
chain reaction. CAV-1 knockdown was achieved using a short hair-pin RNA
lentivirus vector, and cell viability was analyzed by MTT assay. The effect of
caveolin-1 on autophagy was investigated, and the downregulation of caveolin-1
and increased autophagy was identified in Taxol-resistant osteosarcoma cells. In
addition, the results of the present study demonstrated that downregulation of
caveolin-1 promotes autophagy and induces osteosarcoma cell resistance to Taxol.
Notably, overexpression of CAV-1 resensitized drug-resistant cells to Taxol via
declined autophagy. In conclusion, CAV-1 was demonstrated to be downregulated in
Taxol-resistant osteosarcoma cells, and overexpression of CAV-1 in human
osteosarcoma cells suppressed Taxol resistance by attenuating PI3K-Akt-JNK
dependent autophagy. The present findings suggest that further investigation into
CAV-1's role in Taxol resistance is warranted. In the future, detection of CAV-1
may be used as an indicator to evaluate the treatment and prognosis of patients
with osteosarcoma.
PMID- 27882077
TI - Potential protective effects of autophagy activated in MPP+ treated astrocytes.
AB - Astrocytes, which have various important functions, have previously been
associated with Parkinsons disease (PD), particularly in 1-methyl-4
phenylpyridinium (MPP+) and 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)
models of PD. MPP+ is the toxic metabolite of MPTP and is generated by the
enzymatic activity of monoamine oxidase B, which is predominantly located in
astrocytes. MPP+ acts as a mitochondrial complex I inhibitor. Autophagy is an
evolutionarily conserved self-digestion pathway in eukaryotic cells, which occurs
in response to various types of stress, including starvation and oxidative
stress. Lithium treatment has previously been shown to induce autophagy in
astrocytes by inhibiting the enzyme inositol monophosphatase, which may aid in
the treatment of neurodegenerative diseases, including Huntington's disease, in
which the toxic protein is an autophagy substrate. Therefore, using western
blotting and MTT assay, the present study aimed to investigate the protective
effects of lithium-induced autophagy against astrocyte injury caused by MPP+
treatment, as well as the potential underlying mechanisms. The results of the
present study suggested that lithium was able to induce autophagy in astrocytes
treated with MPP+, and this likely occurred via activation of the
phosphoinositide 3-kinase/AKT pathway.
PMID- 27882080
TI - A novel KRT5 mutation associated with generalized severe epidermolysis bullosa
simplex in a 2-year-old Chinese boy.
AB - Mutations in keratin 5 (KRT5) or KRT14 genes are responsible for the most severe
form of epidermolysis bullosa simplex (EBS), which is EBS generalized severe (EBS
gen sev). To date, only four pathogenic mutations (p.Arg165Ser and p.Lys199Asn in
KRT5; p.Arg125Cys and p.Arg125His in KRT14) have been reported to be responsible
for EBS-gen sev in the Chinese population. In the present study, a 2-year-old
Chinese boy was clinically suspected to suffer from EBS, and thus Sanger
sequencing was performed in the extracted genomic DNA samples from the patient,
his parents and 100 healthy controls. A novel de novo heterozygous missense
mutation c.503A>G (p.Glu168Gly) located at the N-terminal end segment of the 1A
domain in KRT5 was identified by molecular analysis. In silico analysis tools
were used to predict the pathogenicity of the novel missense mutation. A
diagnosis of EBS-gen sev was thus confirmed according to the clinical
presentations and molecular results.
PMID- 27882081
TI - Effects of different sutures on fibrosis and wound healing in a rabbit model of
corneal wounds.
AB - The aim of the study was to investigate wound healing and scar formation in
rabbit corneal lamellar wounds repaired with simple interrupted sutures (SIS) or
horizontal mattress sutures (HMS). Two parallel 'I'-shaped lamellar cornea wounds
were created in one eye of 40 white New Zealand rabbits, while 5 uninjured
rabbits were sacrificed to serve as normal controls. One side of the wounds, in
the test rabbits, was closed with SIS, while the other side was treated with HMS.
Ten days later, the stitches were removed under anesthesia. The animals were
sacrificed on days 14 and 21, and months 3 and 6 after the suturing surgery, and
corneal samples were subjected to histological and immunofluorescent studies:
alpha-smooth muscle actin (alpha-SMA) and vimentin were used to detect
myofibroblasts and fibroblasts, respectively, and collagen type I and III was
used to detect extracellular matrix (ECM) deposition. Relevant mRNA levels were
assessed by quantitative polymerase chain reaction (qPCR) to elucidate the
differences in wound healing and formation of fibrosis. Macroscopic and
hematoxylin and eosin staining observations showed that the two sides of the
wounds developed the most prominent fibrotic tissue on day 21. The
immunofluorescence and qPCR results showed that HMS wounds produced increased
alpha-SMA, vimentin and collagen type III compared to the SIS wounds on day 14 or
21. The collagen type I expression showed no distinctive difference in SIS and
HMS wounds. In conclusion, corneal lamellar wounds treated with SIS developed
less fibrotic-related proteins and related mRNA in the early stages of wound
healing than wounds treated with HMS. Although differences were not distinct
after 3 months, the results of the present study suggest a benefit in choosing
SIS over HMS, as at least the initial fibrotic process seems more benign with
SIS. Corneal wounds should be carefully sutured, ensuring the tissue is well
aligned.
PMID- 27882082
TI - Early identification of hypoxic-ischemic encephalopathy by combination of
magnetic resonance (MR) imaging and proton MR spectroscopy.
AB - Brain damage following a perinatal hypoxic-ischemic encephalopathy (HIE) can be
diagnosed by different techniques. The aim of the present study was to combine
magnetic resonance (MR) imaging with proton MR spectroscopy in HIE diagnosis and
to evaluate their correlation with outcome. A prospective observational cohort
study was performed between February 2012 and February 2013. Consecutive
newborns, 24 full-term neonates with HIE (mild to moderate and severe group) and
5 normal neonates, were included. Two sequential MR studies were performed; a
conventional MR imaging for observation in T1 weighted image (WI) and T2WI, and
proton MR spectroscopy for observation in the left or right basal ganglia and
thalamus. MR images were assessed and scored by two neuroradiologists who were
blinded to the clinical condition of the infants. The mild to moderate group
(n=13) and severe group (n=11) were similar in the visualization of punctate
hyperintensity lesions on T1WI and brain edema on T2WI. The differences of N
acetylaspartate/creatine (Cr), choline/Cr and lactate/Cr in the basal ganglia and
thalamus in the HIE group were significantly different (P<0.05) compared with the
control group, while no significant difference was identified between the mild to
moderate and severe group (P>0.05). In conclusion, MR spectroscopy is a
complementary tool for the diagnosis of HIE.
PMID- 27882084
TI - Staged bilateral single-port thoracoscopic lung volume reduction surgery: A
report of 11 cases.
AB - The aim of the present study was to investigate the feasibility and efficacy of
staged bilateral single-port thoracoscopic lung volume reduction surgery (LVRS)
for patients with chronic obstructive pulmonary emphysema (COPE). Eleven male
patients with a mean age of 60.27+/-12.11 years with bilateral COPE and bullae
were admitted to the Department of Thoracic Surgery, Xuzhou Central Hospital from
January 2013 to June 2014. The patients underwent staged bilateral single-port
thoracoscopic LVRS. The hyperinflated bullae were resected using endoscopic
staplers (Endo-GIA), followed by continuous suture and biological glue for
reinforcement of the margin. In addition, pulmonary function, blood gas assay, 6
min walk distance (6MWD) and life quality evaluated by a short form 36-item
health survey questionnaire (SF-36) were recorded before and after LVRS,
respectively. All the patients survived after surgery. The chest tube drainage
time was 9.09+/-1.31 days and postoperative hospital stay was 15.73+/-2.75 days,
with 5 cases of persistent air leakage and 7 cases of pulmonary infection which
were finally cured. The patients were followed up for 3 to 12 months, and the
pulmonary function, partial pressure of oxygen (pO2), 6MWD and life quality after
unilateral or bilateral LVRS were improved compared to these parameters before
surgery. However, there was no significant difference between unilateral and
bilateral LVRS in terms of life quality. In conclusion, staged bilateral single
port thoracoscopic LVRS may improve the short-term life quality of patients with
COPE.
PMID- 27882083
TI - Dimethyloxaloylglycine increases bone repair capacity of adipose-derived stem
cells in the treatment of osteonecrosis of the femoral head.
AB - Mesenchymal stem cells have been widely studied to promote local bone
regeneration of osteonecrosis of the femoral head (ONFH). Previous studies
observed that dimethyloxaloylglycine (DMOG) enhanced the angiogenic and
osteogenic activity of mesenchymal stem cells by activating the expression of
hypoxia inducible factor-1alpha (HIF-1alpha), thereby improving the bone repair
capacity of mesenchymal stem cells. In the present study, it was investigated
whether DMOG could increase the bone repair capacity of adipose-derived stem
cells (ASCs) in the treatment of ONFH. Western blot analysis was performed to
detect HIF-1alpha protein expression in ASCs treated with different
concentrations of DMOG. The results showed DMOG enhanced HIF-1alpha expression in
ASCs in a dose-dependent manner at least for 7 days. Furthermore, DMOG-treated
ASCs were transplanted into the necrotic area of a rabbit model of ONFH to treat
the disease. Four weeks later, micro-computed tomography (CT) quantitative
analysis showed that 58.8+/-7.4% of the necrotic area was regenerated in the DMOG
treated ASCs transplantation group, 45.5+/-3.4% in normal ASCs transplantation
group, 25.2+/-2.8% in only core decompression group and 10.6+/-2.6% in the
untreated group. Histological analysis showed that transplantation of DMOG
treated ASCs clearly improved the bone regeneration of the necrotic area compared
with the other three groups. Micro-CT and immunohistochemical analysis
demonstrated the revasculation of the necrotic area were also increased
significantly in the DMOG-treated ASC group compared with the control groups.
Thus, it is hypothesized that DMOG could increase the bone repair capacity of
ASCs through enhancing HIF-1alpha expression in the treatment of ONFH.
PMID- 27882085
TI - Knockdown of KLK11 inhibits cell proliferation and increases oxaliplatin
sensitivity in human colorectal cancer.
AB - It has been reported that kallikrein 11 (KLK11) is crucially involved in the
development and progression of various types of cancer. However, the molecular
mechanisms that underlie the involvement of KLK11 in aberrant colorectal cancer
(CRC) cell growth remain largely unclear. The aim of the present study was to
investigate the role of KLK11 and the effects of KLK11 on oxaliplatin (L-OHP)
chemosensitivity by knocking down KLK11 in LOVO and HCT-8 cells. Loss-of-function
assays revealed KLK11 inhibition significantly inhibited growth and induced
apoptosis of CRC cells in vitro. Notably, further experiments found that
knockdown of KLK11 expression increased the L-OHP chemosensitivity of CRC cells.
KLK11 inhibition of increased L-OHP-induced apoptosis may be associated with
activation of caspase-3 cleavage and the apoptosis signaling pathway. The present
results indicated that KLK11 may be an potential target of interest for future
research into therapies for CRC.
PMID- 27882086
TI - Effects of the short-term application of pantoprazole combined with aspirin and
clopidogrel in the treatment of acute STEMI.
AB - The aim of the present study was to determine the effects of the short-term
application of pantoprazole on the co-treatment of acute ST-segment elevation
myocardial infarction (STEMI) with aspirin and clopidogrel. A total of 207 acute
patients showing primary symptoms of STEMI, who received successful emergent
percutaneous coronary intervention treatment during hospitalization were randomly
divided into two groups. In the test group proton pump inhibitors (PPIs), the
patients were treated with a combination of aspirin and clopidogrel and
pantoprazole, while those in the control group were treated only with aspirin and
clopidogrel. Gastrointestinal bleeding events and major adverse cardiac events
(MACEs) were observed in the two groups. Gastrointestinal bleeding events of the
two groups mostly occurred within the first week of hospitalization, although the
incidence in the PPIs group was significantly higher than that in the control
group (p<0.05). However, no significant difference was observed for the incidence
of MACEs between the two groups (p>0.05). In conclusion, the results of the
present study have shown that the short-term application of pantoprazole combined
with aspirin and clopidogrel does not increase the incidence of MACEs in patients
with acute STEMI, reduces the risk of gastrointestinal bleeding, and is thus
worth promoting clinically, particularly for high-risk groups.
PMID- 27882087
TI - Increased apoptosis rate of human decidual cells and cytotrophoblasts in patients
with recurrent spontaneous abortion as a result of abnormal expression of CDKN1A
and Bax.
AB - In the present study, we analyzed the proliferation and apoptosis of trophoblasts
and human decidual cells in patients with recurrent spontaneous abortion and the
related cellular pathway mechanism. Thirty-four patients with recurrent abortion
and 30 healthy pregnant women undergoing planned artificial abortion were
selected. The trophoblast and decidual cells were collected by negative pressure
aspiration technique. TUNEL method was used to detect the apoptosis rate.
Immunohistochemical method was used for detection of TP53 protein. Quantitative
real-time PCR was used for detection of the relative expression level of CDKN1A
and Bax mRNA. It was found that the cell apoptosis rate in the recurrent
miscarriage group was significantly increased and the expression levels of TP53
protein, CDKN1A and Bax mRNA were also significantly increased (p<0.05). In
conclusion, the trophoblast and decidual cells of patients with recurrent
abortion were obviously apoptotic, which was probably related to abnormal
expression of the CDKN1A and Bax genes mediated by TP53 protein through cellular
pathways.
PMID- 27882088
TI - Study on the resistance mechanism via outer membrane protein OprD2 and metal beta
lactamase expression in the cell wall of Pseudomonas aeruginosa.
AB - The aim of the present study was to evaluate the imipenem-resistant mechanism via
the outer membrane protein (OMP) OprD2 and metal beta-lactamase expression in the
cell wall of Pseudomonas aeruginosa. The Pseudomonas aeruginosa was clinically
separated and validated by VITEK-2 full-automatic bacteria analyzer. Drug
resistance, sensitive antibiotics and minimum inhibitory concentration (MIC) were
tested using the drug sensitivity analysis system. The phenotype positive strains
of MBL genes were screened using the Kirby-Bauer diffusion method by adding metal
ion-chelating agent EDTA on the imipenem susceptibility paper. IMP-1, VIM-1 and
SPM metaloenzyme genes were tested by polymerase chain reaction (PCR)-telomeric
repeat amplification protocol (TRAP). The OMP OprD2 genes were tested by PCR
TRAP, and the protein expression was tested using western blot analysis. The
location of OMP OprD2 was confirmed using the sodium salicylate inhibition test.
The results showed that 80 portions (40%) of MBL-positive strains were screened
out of 200 specimens. Imipenem-resistant Pseudomonas aeruginosa (IRPA) and MIC
values were significantly higher than quality control bacteria and control
bacteria (P<0.05). A total of 35 cases with IMP-1 positive, 20 with VIM-1
positive, 16 with SPM positive, 5 with 2 positive genes and 4 with 3 positive
genes were screened among MBL positive strains. A total of 150 portions (75%) of
OprD2 deficiencies were screened from 200 specimens. The standard strains and
sensitive strains showed OprD2 protein bands at 45 kDa while no OprD2 protein
bands appeared in OprD2 deficiency strains. It was in accordance with gene
detection. In conclusion, OMP OprD2 deficiency and MBL phenotype positivity may
be important mechanisms of IRPA.
PMID- 27882089
TI - Gender impact on the correlation between thyroid function and serum lipids in
patients with differentiated thyroid cancer.
AB - The present study aimed to explore the association between thyroid stimulating
hormone (TSH) and serum lipids in patients with differentiated thyroid cancer
(DTC), with a focus on the risk of hyperlipidemia between different genders. The
study included 352 DTC patients who were ready to receive I-131 therapy as well
as 352 matched normal controls. In the DTC group, 157 patients were monitored for
TSH and lipid parameters prior to and after 1 month of thyroxine therapy. Results
were analyzed using t-tests, Pearson bivariate correlation and binary logistic
regression analyses. All participants were divided into 3 subgroups according to
TSH levels: Subgroup 1 (normal TSH level), subgroup 2 (TSH between 5 and 30
uIU/ml), and subgroup 3 (TSH >30 uIU/ml). Serum total cholesterol (TC),
triglycerides (TG), and low-density lipoprotein-cholesterol (LDL-C) levels were
significantly higher in the DTC group than in the control group. The levels of
these parameters decreased after thyroxine therapy and significant positive
correlations were observed between TSH and TC, and TG and LDL-C in both genders.
Binary logistic regression demonstrated that female DTC patients had higher risks
of developing hyperlipidemia than male patients, and these risks increased when
TSH increased. For example, the odds ratios (ORs) of high TC in subgroup 2 were
3.30 in males and 4.60 in females, respectively. However, in subgroup 3, the ORs
were 9.40 in males and 13.12 in females, respectively. The results of the present
study showed that after thyroidectomy, the risk of dyslipidemia markedly
increased in DTC patients. More importantly, female patients had a higher risk
than male patients.
PMID- 27882090
TI - Clinical treatment of malignant hyperthermia in three cases.
AB - Malignant hyperthermia (MH) is a rare life threatening inherited disorder that is
triggered by drugs used for general anesthesia in susceptible persons. The
symptoms include rapid increase of body temperature and severe muscle
contractions. The present study includes 3 cases of MH and highlights the timely
identification of symptoms for rescuing the patient. In case I, a 7-year-old male
child underwent surgery with ketamine at a dose of 250 mg. After 4 h of
operation, the child went through convulsions, high fever and succumbed within a
few hours. High fever was not detected in a timely manner, which is one of the
main symptoms of MH. In case II, a 12-year-old male child had convulsions and
high fever after simple surgery caused by MH. Once confirmed, immediate measures
were taken to lower the body temperature and the child was rescued. In case III,
a male 57-year-old was admitted to hospital due to paraplegia. The patient
underwent more critical conditions once symptoms of MH appeared. Additionally,
antidote dantrolene was unavailable in the first and third case; thus, the
progression of disease was not alleviated although active symptomatic and
supportive treatment were employed.
PMID- 27882091
TI - Exendin-4 antagonizes Abeta1-42-induced attenuation of spatial learning and
memory ability.
AB - beta-amyloid protein (Abeta) accumulation in cerebral centers involved in
cognition and memory is a pivotal pathological feature of Alzheimer's disease
(AD). The onset process of type 2 diabetes mellitus (T2DM) has a number of
similarities compared with AD. Thus, it is hypothesized that the pharmacological
therapy employed for the treatment of T2DM may help to prevent and ameliorate the
symptoms of AD. This study demonstrated that Exendin-4, which is a glucagon-like
peptide-1 analogue which is used as a therapeutic drug for T2DM, markedly
antagonized Abeta fragment-induced attenuation of spatial learning and memory
ability, as indicated by a Morris water maze experiment. In addition, we
investigated the potential underlying electrophysiological and molecular
mechanisms. The results indicate that Exendin-4 rescued long-term potentiation
from Abeta1-42-induced damage in the rat hippocampal CA1 region in vivo, and
antagonized Abeta1-42-induced reduction of cyclic adenosine monophosphate and
phosphorylated-cAMP response element-binding protein in rat hippocampal tissue
using ELISA and western blot analysis, respectively. Thus, the results of the
present study provide theoretical support for the application of Exendin-4 for
improving AD.
PMID- 27882093
TI - Atherosclerotic coronary artery disease: The accuracy of measures to diagnose
preclinical atherosclerosis.
AB - Different methods can be used to diagnose early pre-clinical stage
atherosclerosis. The present study was carried out to evaluate the efficiency of
these methods. Measures including carotid intima-media thickness (CIMT), pulse
wave velocity (PWV), and coronary calcium score (CCS) were evalutated for the
detection of coronary artery disease (CAD). We studied the clinical and
biochemical profiles of individuals with non-CAD and CAD to assess measures of
pre-clinical atherosclerosis. The association between CIMT, PWV and CCS on the
one hand, and the coronary atherosclerosis on the other, was studied. In total,
150 cases of cardiovascular disease (CVD) participated in the present study and
were subjected to computed tomographic (CT) coronary angiography to divide them
into non-CAD (n=100) and CAD (n=50) groups. The patients were also subjected to
pre-clinical atherosclerosis tests (CIMT, PWV and CCS). CAD patients had higher
CIMT values on both sides (right side, 0.74+/-0.09 vs. 0.62+/-0.12 mm; left side,
0.78+/-0.16 vs. 0.64+/-0.19 mm; and average, 0.76+/-0.12 vs. 0.63+/-0.14 mm; all
P-values <0.01). These patients also had significantly higher brachial-ankle PWV
(baPWV) on left side (1638.8+/-372.9 vs. 1498.6+/-339.8 cm/sec, P<0.001). The
overall CCS was significantly increased in CAD patients as compared to the
patients without CAD (117.8+/-118.6 vs. 8.6+/-18.3, P<0.001). In conclusion, the
present study showed that among different measures of preclinical
atherosclerosis, CCS had the best diagnostic accuracy. However, the combination
of CIMT and baPWV had an excellent negative predictive value for atherosclerotic
coronary vascular disease.
PMID- 27882092
TI - The influence of exendin-4 intervention on -obese diabetic mouse blood and the
pancreatic tissue immune microenvironment.
AB - The aim of the study was to determine the influence of exendin-4 intervention on
non-obese diabetic (NOD) mouse blood and the pancreatic tissue immune
microenvironment. A total of 40 clean NOD mice were used in the study and
randomly divided into 4 groups (n=10/group). The first group was blank control
group D with normal saline intervention, and with different doses of exendin,
i.e.,-4 2, 4 and 8 ug/kg/day. The three remaining groups were: i) Low-dose group
A; ii) medium-dose group B; and iii) high-dose group C. Mice in the four groups
went through intervention for 8 weeks. Their mass and blood glucose levels were
tested each week. After 8 weeks, the mice were sacrificed, and mouse serum
samples were reserved. The ELISA method was used to test peripheral blood (PB),
IL-2, IFN-gamma and IL-10 levels. Pancreatic samples were created.
Immunohistochemistry was used to observe the infiltration degree of mouse
pancreatitis and the local expression state of pancreatic IL-10. Mouse pancreatic
tissues were suspended in pancreatic cell suspension. Flow cytometry was used to
test the state of T-cell subsets CD4 and CD25. Mouse pancreatitis in control
group D was mainly at grade 2and 3. Under a light microscope, it was observed
that pancreatic cell morphology was in disorder, and the size and quantity of the
pancreas was small. Mouse pancreatitis in the exendin-4 low-dose group A, medium
dose group B and high-dose group C was mainly at grade 0 and 1. Under a light
microscope, it was observed that pancreatic cell morphology improved, the
infiltration degree of lymphocyte was improved and pancreatic islet size was
restored somewhat. Additionally, a few brownish granules were identified within
the pancreatic sample cells in control group D. There were many brownish granules
with deep color within the pancreatic sample cells in exendin-4 low-dose group A,
medium-dose group B and high-dose group C. IL-10 immunohistochemistry scores in
the low-dose group A, medium-dose group B and high-dose group C were 3.82+/-0.72,
4.34+/-0.86 and 4.81+/-0.94, respectively, and were higher than the score of
2.25+/-0.63 in control group D. CD4+CD25+T-cell proportions in mouse pancreatic
tissues of low-dose group A, medium-dose group B and high-dose group C were 5.31,
5.53 and 5.74%, respectively, which were higher than that of the CD4+CD25+T-cell
proportion (1.62% in control group D). The CD4+CD25high T-cell proportion in
CD4+T-cells in group A, B and C increased. Compared with control group D, serum
IL-10 levels in the exendin-4 low-dose group A, medium-dose group B and high-dose
group C increased (P<0.05), while levels of IL-2 and IFN-gamma decreased
(P<0.05). Additionally, the difference of serum IL-10, IL-2 and IFN-gamma levels
in the low-dose group A, medium-dose group B and high-dose group C was of
statistical significance (P<0.05). Exendin-4 intervention can increase quantities
of CD4 and CD8+T cells in NOD mouse pancreases, with PB IL-10 expression and
local expression of IL-10 in pancreatic tissues. It also can inhibit the
expression of serum IL-2 and IFN-gamma, regulate the organism immune
microenvironment and prevent diabetes. CD4+CD25high T cells increase in NOD tumor
infiltration lymphocytes mediated by exendin-4 intervention, which may be related
to the fact that exendin-4 inhibits the lethal effect of CD8+T cells through
contact among cells and eventually exerts immunosuppressive effect.
PMID- 27882094
TI - The protective and hemodynamic effects of dexmedetomidine on hypertensive
cerebral hemorrhage patients in the perioperative period.
AB - The aim of the present study was to analyze the protective and hemodynamic
effects of dexmedetomidine in hypertensive cerebral hemorrhage (HCH) patients
during perioperative period. In total, 50 HCH patients were selected and randomly
divided into two groups, one group was administered with dexmedetomidine and the
other groups with midazolam. The mean arterial pressure (MAP), heart rate (HR)
and blood oxygen saturation (SpO2) were monitored in the two groups of patients
before and during the operation. The MAP, HR, SpO2 and PETCO2 recorded 5 min
after admission into the operation room was considered T1, the same parameters
recorded 10 min after drug administration were considered T2, just after starting
the operation were considered T3 and 30 min after start of operation were
considered T4. The preoperative sedation and analgesia were evaluated by the
Ramsay scoring method and the neuron-specific enolase (NSE) and S100 protein
(S100beta) were estimated using ELISA. The patients of the midazolam group
experienced mild respiratory depression during the period of sedation. Levels of,
MAP, HR and PETCO2 were significantly increased whereas SPO2 was decreased
(P<0.05). The MAP, HR, SPO2 and PETCO2 were stable during the period of sedation
(P>0.05). The plasma concentrations of epinephrine and norepinephrine at T1 were
similar in the two groups (P>0.05), but decreased after drug administration. This
decrease was more prominent in the dexmedetomidine group patients (P<0.05) than
midazolam group patients. The epinephrine and norepinephrine concentrations just
after starting operation (T3) were higher than the basal level (T1) in the
midazolam group, but close to the basal level in the dexmedetomidine group
(P<0.05). The serum concentration of NSE and S100beta in the two groups showed no
difference (P>0.05) at the end of operation (T5), but after 24 h of operation
(T7) NSE and S100beta in the dexmedetomidine group were significantly lower
compared to the midazolam group (P<0.05). In conclusion, the administration of
dexmedetomidine for patients with HCH during perioperative period is safe and
serves as an effective sedative, without causing respiratory depression and does
not influence stable haemodynamics with certain brain protective effect.
PMID- 27882095
TI - Effect of acupoint catgut embedding therapy combined with Chinese medicine for
nourishing the kidneys and promoting blood circulation and improving blood
glucose and lipid levels as well as the pregnancy rate in obese PCOS patients
with infertility.
AB - In this study, we explored the effect of acupoint catgut embedding combined with
Chinese medicine for nourishing kidney and promoting blood circulation as well as
improving the glucose and lipid levels and pregnancy rate in obese polycystic
ovary syndrome (PCOS) patients with infertility. A total of 62 obese patients
with PCOS were randomly divided into three groups, 21 of whom were treated with
acupoint catgut embedding combined with Chinese medicine, another 21 with catgut
embedding therapy, and 20 only with Chinese medicine. We compared the improvement
of clinical symptoms and the glucose and lipid levels in the three groups three
months after surgery. We found that the effective rate of obesity treatment, body
mass index and waist-to-hip ratio of acupuncture-drug group and catgut embedding
group were significantly lower than those of the Chinese medicine group (P<0.05).
A comparison between the acupuncture-drug group and catgut embedding group was
not statistically significant (P>0.05). The triglyceride (TG) and high-density
lipoprotein cholesterol (HDL) levels of the three groups were significantly
decreased and increased, respectively, following treatment, with the total
cholesterol (TC) level being decreased significantly only in the acupuncture-drug
group (P<0.05), and the LDL level showing no significant difference (P>0.05). The
fast insulins, HOMA-IR, TG, TC levels and periodic cancellation rate of the
acupuncture-drug group was significantly reduced compared to those of the catgut
embedding group and Chinese medicine group after treatment, whereas the HDL
levels, periodic ovulation rate and clinical pregnancy rate were higher (P<0.05).
However, a comparison between Chinese medicine group and catgut embedding group
was not statistically significant (P>0.05). The incidence rate of luteinized
unruptured follicle and ovarian hyper-stimulation syndrome of the three groups
showed no statistical difference (P>0.05). In conclusion, the study has shown
that acupoint catgut embedding therapy combined with Chinese medicine for
nourishing the kidneys and promoting blood circulation and improving blood
glucose and lipid levels as well as the pregnancy rate in obese PCOS patients
with infertility is useful.
PMID- 27882096
TI - Association between clusterin gene polymorphism rs11136000 and late-onset
Alzheimer's disease susceptibility: A review and meta-analysis of case-control
studies.
AB - The present study aimed to evaluate the association between rs11136000 in
clusterin (CLU) and late-onset Alzheimer's disease (LOAD) by meta-analysis.
Several databases including PubMed, EMbase, CBMdisc and CMCC were searched for
relevant case-control studies based on defined selection criteria. Odds ratios
(OR) and 95% confidence interval (CI) of the rs11136000 genotype and allele
distribution were analyzed with RevMan and Stata software. The control population
and heterogeneity between populations were examined in the selected studies using
the Hardy-Weinberg equilibrium. Overall OR among the frequencies of the genotype
and allele in both patients with AD and controls was estimated using fixed or
random effect models. The summary of the OR and 95% CI were then analyzed to
obtain the effects across the studies. Publication bias was examined using a
funnel plot, Egger's test and Begg's test, and a Fail-safe Number (Nfs). A total
of 20 reports were used. The summary OR for studies in the Caucasian population
with a frequency of TT+TC/CC genotype and T/C allele at rs11136000 locus in CLU
were 0.79 (95% CI, 0.73-0.86; P<0.00001) and 0.87 (95% CI, 0.85-0.90; P<0.00001).
The summary OR for the studies conducted in the Asian population were 0.90 (95%
CI, 0.81-0.99; P=0.04) and 0.87 (95% CI, 0.81-0.93; P<0.0001). The summary OR in
other mixed ethnic groups with regards to the frequency of T/C allele was 0.82
(95% CI, 0.68-0.99; P=0.04). These results demonstrated the presence of a
statistically significant difference in LOAD susceptibility between individuals
with the T allele CLU rs11136000 polymorphism and those without. The studies
conducted in populations of African descent or Hispanics showed no statistically
significant difference. Negligible publication bias was present, with Nfs being
750.604. In summary, polymorphism rs11136000 in the CLU gene may contribute to
susceptibility to LOAD, and the presence of the T allele may reduce the risk of
LOAD in Caucasian and Asian populations. However, no definitive association was
found between the presence of the CLU rs11136000 polymorphism and LOAD in
populations of African or Hispanic descent.
PMID- 27882097
TI - Effect of a paclitaxel-eluting metallic stent on rabbit esophagus.
AB - The use of self-expanding metallic stents (SEMS) is the current treatment of
choice for malignant gastrointestinal obstructions. A paclitaxel-eluting metallic
SEMS (PEMS) may have an antitumor effect on esophageal tissue. PEMS with 10%
paclitaxel or conventional SEMS were inserted into the lower esophagus of
rabbits. Following the insertion of the stents for 1, 2, 4 and 6 weeks, the
rabbits were sacrificed and the status of the stent insertion was examined, as
well as any macroscopic or microscopic mucosal changes in the esophageal tissue.
All the rabbits survived until death without any complications. No migration
following stent insertion occurred. The number of cases with proximal obstruction
increased in a time-dependent manner, and no significant difference was observed
between the two groups. Gross histological examination showed similar tissue
reaction to the stents at 1, 2 and 4 weeks, and inflammatory cell infiltrating
was higher in the SEMS group at 1 and 2 weeks. However, inflammatory cell
infiltration was markedly higher in the PEMS group at 4 and 6 weeks. Food-intake
and weight were similar in the two groups. The results of the present study
demonstrated that PEMS may serve as a safe alternative treatment strategy for
esophageal obstruction. Furthermore, PEMS may inhibit the tumor growth of the
esophageal wall through inflammatory infiltration and targeted drug delivery. A
tumor model will be required in the future for evaluating the prognosis of
patients with advanced esophageal carcinoma.
PMID- 27882098
TI - Validation of a novel sleep-monitoring system for diagnosing obstructive sleep
apnea: A comparison with polysomnography.
AB - Overnight polysomnography (PSG) is currently the gold standard for diagnosing
obstructive sleep apnea (OSA); however, it is time-consuming, expensive and
uncomfortable for the patient. A micromovement sensitive mattress (MSM) sleep
monitoring system was developed as an alternative to PSG, however, there has yet
to be a study verifying the accuracy of diagnosing OSA with this device.
Therefore, the present study assessed the validity of the MSM sleep-monitoring
system. Chinese Han participants who were suspected of having OSA were recruited
between June 2013 and June 2014. The MSM sleep-monitoring system and PSG were
utilized simultaneously overnight on each subject. The apnea-hypopnea index (AHI)
was measured by the MSM sleep-monitoring system (AHIMSM) and compared with that
determined by PSG (AHIPSG), revealing a significant correlation between the two
values (r=0.97, P<0.001). Bland-Altman plots also indicated good agreement (97%)
between MSM and PSG. Using an AHIPSG cut-off of >=5, >=15 and >=30 events/h, the
sensitivity (specificity) of detecting an AHIMSM of >=5, >=15, and >=30 events/h
were 94.9 (100%), 89.9 (96.9%) and 90.3% (94.9%), respectively. The areas under
the receiver operating characteristic curve, which were used to differentiate an
AHIPSG of >=5, >=15 and >=30 events/h in clinically diagnosed OSA, were 0.984,
0.982 and 0.980, respectively. Thus, the MSM sleeping system may accurately
diagnose OSA in the Chinese Han population. Further community-based studies with
larger sample sizes are warranted to confirm the validity of this MSM sleeping
system.
PMID- 27882099
TI - Correlations of smoking with cumulative total dust exposure and cumulative
abnormal rate of pulmonary function in coal-mine workers.
AB - The present study aimed to investigate the correlation of smoking with cumulative
total dust exposure (CTE) and cumulative abnormal rate of pulmonary function in
coal-mine workers. A total of 376 coal-mine workers were recruited as the
observational group, while 179 healthy workers in other industries were selected
as the control group. All the workers underwent pulmonary function testing to
determine their forced vital capacity (FVC), forced expiratory volume in 1 second
(FEV1) and FEV1/FVC, in order to compare the abnormal pulmonary function between
the two groups. A markedly higher number of smokers was observed in the
observational group (200/376, 53.19%) when compared with the control group
(72/179, 40.22%). In smokers, the abnormal rate of pulmonary function in the
observational group (102/200, 51.00%) was evidently higher compared with that in
the control group (19/72, 26.39%), whereas no significant difference was detected
between the two groups of non-smokers (P=0.077). In addition, FVC, FEV1 and
FEV1/FVC of the observational group were found to be lower compared with those in
the control group, in both the smoking and non-smoking subgroups. In the smoking
subgroup, FVC and FEV1 in subjects working at the coal mine for different number
of years showed significant differences (all P<0.05), whereas comparison of
FEV1/FVC in workers with different working durations showed no significant
difference (P=0.169). However, in the non-smoking subgroup, the comparison of
FVC, FEV1 and FEV1/FVC in different working duration groups also showed no
significant difference (all P>0.05). Furthermore, FVC, FEV1 and FEV1/FVC in
smoking coal-mine workers were negatively correlated with the dust-exposure
working duration (P<0.05). CTE was also positively correlated with cumulative
abnormal rate of pulmonary function in the smoking and non-smoking subgroups,
while FEV1 was negatively correlated with CTE in the smoking subgroup (P=0.009).
In conclusion, smoking is an important risk factor for the damage of pulmonary
function in coal-mine workers, and it is positively correlated with dust-exposure
time and CTE in these individuals.
PMID- 27882100
TI - Optimization and validation of the protocol used to analyze the taste of
traditional Chinese medicines using an electronic tongue.
AB - Tools to define the active ingredients and flavors of Traditional Chinese
Medicines (TCMs) are limited by long analysis times, complex sample preparation
and a lack of multiplexed analysis. The aim of the present study was to optimize
and validate an electronic tongue (E-tongue) methodology to analyze the
bitterness of TCMs. To test the protocol, 35 different TCM concoctions were
measured using an E-tongue, and seven replicate measurements of each sample were
taken to evaluate reproducibility and precision. E-tongue sensor information was
identified and classified using analysis approaches including least squares
support vector machine (LS-SVM), support vector machine (SVM), discriminant
analysis (DA) and partial least squares (PLS). A benefit of this analytical
protocol was that the analysis of a single sample took <15 min for all seven
sensors. The results identified that the LS-SVM approach provided the best
bitterness classification accuracy (binary classification accuracy, 100%; ternary
classification accuracy, 89.66%). The E-tongue protocol developed showed good
reproducibility and high precision within a 6 h measurement cycle. To the best of
our knowledge, this is the first study of an E-tongue being applied to assay the
bitterness of TCMs. This approach could be applied in the classification of the
taste of TCMs, and serve important roles in other fields, including foods and
beverages.
PMID- 27882101
TI - Effects of dexpanthenol on acetic acid-induced colitis in rats.
AB - While the pathogenesis of acetic acid (AA)-induced colitis is unclear, reactive
oxygen species are considered to have a significant effect. The aim of the
present study was to elucidate the therapeutic potential of dexpanthenol (Dxp) on
the amelioration of colitis in rats. Group I (n=8; control group) was
intrarectally administered 1 ml saline solution (0.9%); group II [n=8; AA] was
administered 4% AA into the colon via the rectum as a single dose for three
consecutive days; group III (n=8; AA + Dxp) was administered AA at the same
dosage as group II from day 4, and a single dose of Dxp was administered
intraperitoneally; and group IV (n=8; Dxp) was administered Dxp similarly to
Group III. Oxidative stress and colonic damage were assessed via biochemical and
histologic examination methods. AA treatment led to an increase in oxidative
parameters and a decrease in antioxidant systems. Histopathological examination
showed that AA treatment caused tissue injury and increased caspase-3 activity in
the distal colon and triggered apoptosis. Dxp treatment caused biochemical and
histopathological improvements, indicating that Dxp may have an anti-oxidant
effect in colitis; therefore, Dxp may be a potential therapeutic agent for the
amelioration of IBD.
PMID- 27882102
TI - Inhibition of antiviral drug cidofovir on proliferation of human papillomavirus
infected cervical cancer cells.
AB - In order to evaluate the potential application value of cidofovir (CDV) in the
prevention of human papillomavirus (HPV) infection and treatment of cervical
cancer, the inhibitory effect of CDV on the proliferation of HPV 18-positive HeLa
cells in cervical cancer was preliminarily investigated, using cisplatin (DDP) as
a positive control. An MTT assay was used to analyze the effects of CDV and DDP
on HeLa cell proliferation. In addition, clone formation assay and Giemsa
staining were used to examine the extent of HeLa cell apoptosis caused by CDV and
DDP. Flow cytometry was also used to detect the shape and size of apoptotic cells
following propidium iodide staining, while western blot analysis identified the
expression levels of of E6 and p53 proteins in HeLa cells. A cell climbing
immunofluorescence technique was used to locate the subcellular position of p53
in HeLa cells. The results demonstrated that CDV and DDP inhibited the
proliferation of HeLa cells in a concentration- and time-dependent manner. Flow
cytometry showed that CDV and DDP treatments resulted in cell arrest in the S
phase, and triggered programmed cell death. Furthermore, western blot analysis
revealed that CDV and DDP inhibited E6 protein expression and activated p53
expression in HeLa cells. Finally, the immunofluorescence results indicated that
CDV and DDP inhibited the nuclear export of p53 by E6 protein, which is required
for degradation of endogenous p53 by MDM2 and human papilloma virus E6. In
conclusion, CDV and DDP inhibited HeLa cell proliferation in a concentration- and
time-dependent manner, reduced the expression of E6 protein, and reinstated p53
protein activity. Thus, CDV regulates cell cycle arrest and apoptosis, and may be
a potential cervical cancer therapeutic strategy.
PMID- 27882103
TI - Identification of proteins suppressing the functions of oncogenic phosphatase of
regenerating liver 1 and 3.
AB - The phosphatase of regenerating liver (PRL) family, including PRL-1, PRL-2, and
PRL-3, comprises protein tyrosine phosphatases whose deregulation is associated
with the tumorigenesis and metastasis of many types of cancer. However, the
underlying mechanism is poorly understood. In this study, aiming to increase
understanding of the molecular mechanisms underlying the functions of PRL-1 and
PRL-3, a yeast two-hybrid system was employed to screen for their interacting
proteins. Alignment with the NCBI BLAST database revealed 12 interactive
proteins: Synaptic nuclear envelope protein 2, emerin, mannose 6-phosphate
receptor-binding protein 1, low-density lipoprotein receptor-related protein 10,
Rab acceptor 1, tumor protein D52-like 2, selectin P ligand (SELPLG), guanylate
binding protein 1, transmembrane and ubiquitin-like domain-containing 2,
NADH:ubiquinone oxidoreductase subunit B8, syndecan 4 and FK506-binding protein 8
(FKBP8). These proteins are associated with cell proliferation, apoptosis, immune
response, cell fate specification and metabolic process in biological process
categories, and involved in various signaling pathways, including Alzheimer's
disease, Parkinson's disease, Huntington's disease, hypertrophic cardiomyopathy
and cell adhesion molecules. Interactions of PRL-1 with the prey proteins SELPLG
and FKBP8 were confirmed by immunoprecipitation or immunostaining. Furthermore,
SELPLG and FKBP8 suppressed PRL-1- or PRL-3-mediated p53 activity. Identification
of the proteins interacting with PRL family proteins may provide valuable
information to better understand the mechanism of PRL-mediated signal
transduction in cancer and other diverse diseases.
PMID- 27882104
TI - Safety and therapeutic effect of mesenchymal stem cell infusion on moderate to
severe ulcerative colitis.
AB - One of the primary targets of the clinical treatment of ulcerative colitis (UC)
is to repair the damaged colonic mucosa. Mesenchymal stem cells (MSCs) have
therapeutic potential in regenerative medicine due to their differentiation
capacity and their secretion of numerous bioactive molecules. The present study
describes a clinical trial (trial registration no. NCT01221428) investigating the
safety and therapeutic effect of MSCs derived from human umbilical cord on
moderate to severe UC. Thirty-four patients with UC were included in group I and
treated with MSC infusion in addition to the base treatment, and thirty-six
patients were in group II and treated with normal saline in addition to the base
treatment. One month after therapy, 30/36 patients in group I showed good
response, and diffuse and deep ulcer formation and severe inflammatory mucosa
were improved markedly. During the follow up, the median Mayo score and histology
score in group I were decreased while IBDQ scores were significantly improved
compared with before treatment and group II (P<0.05). Compared with group II,
there were no evident adverse reactions after MSC infusion in any of the patients
in group I, and no chronic side effects or lingering effects appeared during the
follow-up period. In conclusion, MSC infusion might be a useful and safe therapy
for treating UC.
PMID- 27882106
TI - Evaluation of CRRT effects on pyemic secondary AKI by serum cartilage
glycoprotein 39 and Annexin A1.
AB - The aim of the present study was to examine the effects of continuous renal
replacement therapy (CRRT) on pyemic secondary acute kidney injury (AKI) by serum
cartilage glycoprotein 39 (YKL-40) and Annexin A1. From October, 2013 to October,
2015, 45 pyemic secondary AKI cases and 40 pyemic non-secondary AKI cases were
selected for the present study. There were also 35 cases of physical examination
volunteers. The serum YKL-40 and Annexin A1 levels were compared. CRRT was
applied to pyemic secondary AKI patients and based on the obtained results the
patients were divided into the success and failure groups. YKL-40, Annexin A1, hs
CRP, creatinine and urea nitrogen levels after 1, 6, 12, 24, 48 and 72 h of AKI
were measured. The YKL-40 and Annexin A1 levels in the pyemic secondary AKI group
were significantly higher than those in other two groups and differences were
statistically significant (P<0.05). There was no statistically significant
difference regarding time period for applying CRRT in the success and failure
groups (P>0.05). The peak level of YKL-40 and Annexin A1 in the success group
decreased more rapidly compared to the failure group and the difference was
statistically significant (P<0.05). When the differences in creatinine and urea
nitrogen levels at different time points were compared between the success and
failure groups, no statistical significance was observed (P>0.05). However, the
success group showed a significantly lower level compared to the failure group at
72 h. Comparisons for other time periods showed no statistical significance
(P>0.05). Thus, the serum cartilage glycoprotein 39 and Annexin A1 level were
able to predict the clinical effects of CRRT on pyemic secondary AKI.
PMID- 27882105
TI - A pilot study of perioperative esmolol for myocardial protection during on-pump
cardiac surgery.
AB - The protective effects of preprocedural esmolol on myocardial injury and
hemodynamics have not, to date, been investigated in patients who were scheduled
for cardiac surgeries under a cardiopulmonary bypass (CPB). A pilot randomized
controlled trial was performed at The First Affiliated Hospital of Dalian Medical
University (Dalian, China). Patients scheduled for elective open-heart surgeries
under CBP were included, and were randomized to esmolol and control groups. For
patients in the esmolol groups, intravenous esmolol (70 ug/kg/min) was
administered at the time of incision until CPB was performed. For patients
assigned to the control group, equal volumes of 0.9% saline were administered.
Markers of myocardial injury and hemodynamic parameters were observed until 12 h
post surgery. A total of 24 patients were included in the present study. No
significant differences in hemodynamic parameters, including the central venous
pressure and heart rate, were detected between patients in the two groups during
the perioperative period or within the first 12 h post-surgery (P>0.05), except
for the mean arterial pressure, which was higher in the esmolol group compared
with the control group at 5 and 12 h post-surgery (P<0.05). However, the serum
level of cardiac troponin I was higher in patients of the control group compared
with those of the esmolol group during the preoperative period (P<0.05). Although
creatinine kinase was significantly different at T2 between the two groups, its
MB isoenzyme was not significantly different between the groups (P>0.05). In
addition, administration of esmolol was not associated with an increased risk for
severe complications and adverse events in these patients. In conclusion,
preoperative esmolol may be an effective and safe measure of myocardial
protection for patients who undergo elective cardiac surgeries under CBP.
PMID- 27882107
TI - Effects of sitagliptin as initial therapy in newly diagnosed elderly type 2
diabetics: A randomized controlled study.
AB - The objective of this study was to investigate the characteristics of blood
glycemic excursion, incretins and pancreatic hormone secretion in elderly people
with newly diagnosed type 2 diabetes mellitus (T2DM) and to study the effects of
sitagliptin on glycemic excursion in these subjects. A total of 129 newly
diagnosed T2DM patients were enrolled in the study from March 2012 to August
2013. Clinical data, serum incretin, pancreatic hormone and continuous glucose
monitoring data were collected. Among these subjects, elderly patients (NEDM)
randomly received metformin combined with sitagliptin phosphate or glimepiride
for 24 weeks. The blood glucose, glycosylated hemoglobin A1c (GHbA1c), serum
incretins and pancreatic hormone levels were determined. During the oral glucose
tolerance test (OGTT), 30 min insulin and C-peptide levels, 120 min insulin
levels and ratio of the increases of insulin and blood glucose levels after 30
min of sugar loading (?Ins30/?Glu30) were significantly lower in elderly patients
than in middle-aged patients (P<0.05). In addition, the glucagon elevation at 30
min was higher and the glucagon-like peptide-1 (GLP-1) at 30 min was lower in the
elderly patients (P<0.05). Glucose excursion indices, including the standard
deviation of the average blood glucose, intraday mean average glucose excursions
(MAGE), and mean of daily differences (MODD), were significantly higher in the
elderly patients (P<0.05). During the OGTT, insulin, C-peptide and ?Ins30/?Glu30
results at 30 min and GLP-1 levels at 120 min in NEDM subjects were significantly
increased (P<0.05) and glucagon levels at 30 min was significantly lower after
sitagliptin treatment (P<0.05) compared with glimepiride. Moreover, MAGE and MODD
were significantly lower in the sitagliptin group after treatment compared to
those in the glimepiride group (P<0.05). No severe hypoglycemia or cardiovascular
diseases were observed. Strong blood glucose excursions occur in elderly patients
with newly diagnosed T2DM. Sitagliptin phosphate combined with metformin
effectively and safely improves glycemic excursion and carbohydrate metabolism in
NEDM patients by promoting the first phase of insulin and incretin secretion and
inhibiting glucagon secretion of.
PMID- 27882108
TI - Effects of piezosurgery in accelerating the movement of orthodontic alveolar bone
tooth of rats and the expression mechanism of BMP-2.
AB - The aim of the study was to investigate the effects of piezosurgery in
accelerating the movement of orthodontic alveolar bone tooth of rats and the
expression mechanism of bone morphogenetic protein-2 (BMP-2). Adult male Wistar
rats (n=30), with an age range of 14-15 weeks, and an average weight of 250+/-16
g were used. The animals were randomly divided into the control and observation
groups. The rats in the control group were injected with 25-dihydroxyvitamin
(1,25-dihydroxycholecalciferol) into their dental ligament. The rats in the
observation group were placed with an orthodontic device between the first molar
and central incisor in the maxillary. On the first day after animal treatment,
piezosurgery stimulation was performed on the first molar in maxillary. The
changes of the movement distance of the first molar and gum surface temperature
on days 1, 3, 5, 7 and 14 were then compared. Immunohistochemical staining was
performed to detect the expression of BMP-2 of periodontal tissue in the tension
side of the first molar. Tooth movement distance in the observation group on days
5, 7 and 14 was significantly longer than that in the control group (p<0.05). The
gum surface temperature of the observation group was elevated to some extent,
peaking after 20 min. BMP-2 mRNA and protein levels in the observation group were
significantly higher than those of the control group at days 3, 5, 7 and 14
(p<0.05). In conclusion, piezosurgery may significantly accelerate the movement
of orthodontic alveolar bone tooth of rats and be associated with an increasing
BMP-2 expression.
PMID- 27882109
TI - Excisional keratectomy combined with focal cryotherapy and amniotic membrane
inlay for recalcitrant filamentary fungal keratitis: A retrospective comparative
clinical data analysis.
AB - The aim of the present study was to investigate the efficacy of a novel surgical
intervention, excisional keratectomy combined with focal cryotherapy and amniotic
membrane inlay (EKCAI), for the treatment of recalcitrant filamentary fungal
keratitis. A retrospective analysis was performed of patients who underwent
excisional keratectomy combined with conjunctival flap inlay (EKCFI), EKCAI or
therapeutic penetrating keratoplasty (TPK) from January 2006 to January 2011.
Recalcitrance was determined as being unresponsive to standard medical antifungal
therapy for at >=1 week. Outcome measures among the three intervention modalities
were compared. A total of 128 patients had a follow-up of >=1 year after the
primary intervention. The success rates of interventions at 1-year follow-up were
58.33% in the EKCFI group, 88.37% in the EKCAI group and 93.44% in the TPK group
(P<0.0002). The preoperative visual acuity of the three groups were similar
(P=0.6458), while the postoperative best-corrected visual acuity (BCVA) of
patients without recurrence was significantly different among the three groups 3
months after surgery. The best postoperative BCVA was found in the TPK group,
while the worst was in the EKCFI group. In conclusion, EKCAI does not require
donor cornea, is straightforward surgically, and has a favorable success rate
compared with EKCFI.
PMID- 27882110
TI - Intermittent fasting is neuroprotective in focal cerebral ischemia by minimizing
autophagic flux disturbance and inhibiting apoptosis.
AB - Previous studies have demonstrated that autophagy induced by caloric restriction
(CR) is neuroprotective against cerebral ischemia. However, it has not been
determined whether intermittent fasting (IF), a variation of CR, can exert
autophagy-related neuroprotection against cerebral ischemia. Therefore, the
neuroprotective effect of IF was evaluated over the course of two weeks in a rat
model of focal cerebral ischemia, which was induced by middle cerebral artery
occlusion and reperfusion (MCAO/R). Specifically, the role of autophagy
modulation as a potential underlying mechanism for this phenomenon was
investigated. It was demonstrated that IF reduced infarct volume and brain edema,
improved neurobehavioral deficits, and rescued neuronal loss after MCAO/R.
Furthermore, neuronal apoptosis was decreased by IF in the rat cortex. An
increase in the number of autophagosomes (APs) was demonstrated in the cortices
of IF-treated rats, using immunofluorescence staining and transmission electron
microscopy. Using immunoblots, an IF-induced increase was detected in microtubule
associated protein 1 light chain 3 (LC3)-II, Rab7, and cathepsin D protein
levels, which corroborated previous morphological studies. Notably, IF reduced
the accumulation of APs and p62, demonstrating that IF attenuated the MCAO/R
induced disturbance of autophagic flux in neurons. The findings of the present
study suggest that IF-induced neuroprotection in focal cerebral ischemia is due,
at least in part, to the minimization of autophagic flux disturbance and
inhibition of apoptosis.
PMID- 27882111
TI - Complete prefrontal lobe isolation surgery for recurrent epilepsy: A case report.
AB - Epileptogenic focus resection is less effective for the treatment of frontal lobe
epilepsy compared with temporal lobe epilepsy. However, there is currently a lack
of effective therapeutic options for patients with frontal lobe epilepsy who are
unsuitable for epileptogenic focus resection (such patients with epileptogenic
foci in one frontal lobe in which the precise epileptic foci cannot be
determined), or who experience recurrent epilepsy following epileptogenic focus
resection. The present study reports a patient with frontal lobe epilepsy who
underwent successful frontal lobe isolation surgery following a previous
unsuccessful epileptogenic focus resection surgery. To ensure complete isolation
of the prefrontal lobe, the surgery included division of the anterior commissure
and the anterior part of the corpus callosum. The patient was followed-up for 16
months. Although the follow-up electroencephalogram presented a number of sharp
waves on the affected side, the patient did not experience any seizures. The
results suggest that prefrontal lobe isolation is an effective method of treating
frontal lobe epilepsy, as division of the anterior commissure and the anterior
part of the corpus callosum ensures disconnection of the prefrontal lobe from
other regions of the brain.
PMID- 27882112
TI - Diagnostic value of high-frequency ultrasound and magnetic resonance imaging in
early rheumatoid arthritis.
AB - Early diagnosis and management improve the outcome of patients with rheumatoid
arthritis (RA). The present study explored the application of high-frequency
ultrasound (US) and magnetic resonance imaging (MRI) in the detection of early
RA. Thirty-nine patients (20 males and 19 females) diagnosed with early RA were
enrolled in the study. A total of 1,248 positions, including 858 hand joints and
390 tendons, were examined by high-frequency US and MRI to evaluate the presence
of bone erosion, bone marrow edema (BME), synovial proliferation, joint effusion,
tendinitis and tendon sheath edema. The imaging results of the above
abnormalities, detected by US, were compared with those identified using MRI. No
statistically significant overall changes were observed between high-frequency US
and MRI in detecting bone erosion [44 (5.1%) vs. 35 (4.1%), respectively;
P>0.05], tendinitis [18 (4.6%) vs. 14 (1.5%), respectively; P>0.05] and tendon
sheath edema [37 (9.5%) vs. 30 (7.7%), respectively; P>0.05]. Significant
differences were observed between high-frequency US and MRI with regards to the
detection of synovial proliferation [132 (15.4%) vs. 66 (7.7%), respectively;
P<0.05] and joint effusion [89 (10.4%) vs. 52 (6.1%), respectively; P<0.05]. In
addition, significant differences were identified between the detection of BME
using MRI compared with high-frequency US (5.5 vs. 0%, respectively; P<0.05). MRI
and high-frequency US of the dominant hand and wrist joints were comparably
sensitive to bone erosion, tendinitis and tendon sheath edema. However, MRI was
more sensitive in detecting bone marrow edema in early RA, while US was more
sensitive in the evaluation of joint effusion and synovial proliferation. In
conclusion, US and MRI are promising for the detection and diagnosis of
inflammatory activity in patients with RA.
PMID- 27882113
TI - Peripheral neuropathy outcomes and efficacy of subcutaneous bortezomib when
combined with thalidomide and dexamethasone in the treatment of multiple myeloma.
AB - Due to the safety, convenience and efficacy of subcutaneous administration of
bortezomib (scBor), it is becoming increasingly common to treat multiple myeloma
(MM) using this treatment method. The current retrospective study suggested a
lower incidence of peripheral neuropathy (PN) outcomes and superior efficacy
following treatment with scBor combined with thalidomide and dexamethasone (VTD)
in MM when compared with intravenous Bor (ivBor) treatment. The data of 81
patients from the Affiliated Hospital of Nantong University between September
2011 and February 2014 were analyzed, including 37 scBor and 44 ivBor patients
administered a median (range) of 5.5 (3-8) and 6 (3-10) chemotherapy cycles,
respectively. Adverse events (AEs) were assessed according to the National Cancer
Institute (NCI) Common Terminology Criteria for AEs, and response and progression
were assessed by the International Myeloma Working Group criteria. Evidence of
histopathology using transmission electron microscopy (TEM) was obtained from an
in vivo model of adult Sprague Dawley (SD) rats. Following bortezomib-based VTD
chemotherapy, patients had achieved very good partial remission or demonstrated
no significant difference between the scBor and ivBor treatment groups (75.6 vs.
84.1%, respectively; P=0.350). The 1-year progression-free survival (83.8 vs.
84.1%, scBor vs. ivBor; P=0.921) and 1-year overall survival (OS) (91.9 vs.
90.9%, respectively; P=0.926) were also similar. PN rates of all the NCI grades
were 51.3 and 61.3% (P=0.371); grade >=2, 35.1 and 56.8% (P=0.052); and grade
>=3, 32.7 and 20.5% (P=0.015) in the subcutaneous and intravenous treatment
groups, respectively, which suggests that severe PN may be less common following
scBor treatment. There were no severe injection site reactions in the scBor
treated group. The incidence of adverse events were comparable between the two
groups, including thrombocytopenia, anemia, fatigue and gastrointestinal symptoms
such as nausea and vomiting. Furthermore, TEM images of the SD rat sciatic nerves
revealed that all rats suffered PN to varying degrees, except the control group,
and that the PN of ivBor-treated rats (in the presence and the absence of
thalidomide) was more severe than that of scBor-treated rats. It was concluded
that a subcutaneous dose of bortezomib of 1.3 mg/m2 may result in a lower
incidence and severity of PN, with equivalent efficacy, as a component of
combination VTD chemotherapy.
PMID- 27882114
TI - APC2 and CYP1B1 methylation changes in the bone marrow of acute myeloid leukemia
patients during chemotherapy.
AB - Aberrant promoter DNA methylation is a major mechanism of leukemogenesis in
hematologic malignancies, including acute myeloid leukemia (AML). However, the
association between promoter methylation with chemotherapeutic outcomes remains
unknown. In the present study, bone marrow samples were collected prior to and
following chemotherapy in 30 AML patients. Methylation-specific polymerase chain
reaction technology was used to examine the promoter methylation status of
adenomatous polyposis col 2 (APC2) and cytochrome P450 family 1 subfamily B
polypeptide 1 (CYP1B1). The results revealed no change in the methylation status
of the APC2 promoter in patients following various chemotherapy regimens.
However, the methylation status of the CYP1B1 promoter changed in response to 6
different chemotherapy regimens. AML patients of the M3 subtype displayed an
induction of the CYP1B1 promoter methylation levels more frequently (57.1%) than
patients affected by the other subtypes (M1: 33.3%; M2: 12.5%; M4: 16.7%; M5: 0%
and M6: 0%). In addition, a higher frequency of male patients (4/13) exhibited
modulation of the CYP1B1 promoter methylation status compared with female
patients (3/17). Furthermore, of five AML patients with a poor prognosis, two
exhibited changes leading to CYP1B1 hypomethylation and two leading to CYP1B1
hypermethylation. By contrast, three other patients exhibited hypermethylation
changes along with remission. This may be explained by the different chemotherapy
regimens used to treat these patients or by other unknown factors. The present
study revealed that CYP1B1 promoter methylation was induced during chemotherapy,
whereas the APC2 promoter remained hemimethylated. Furthermore, the changes in
CYP1B1 methylation were dependent on the AML subtypes and the gender of the
patients.
PMID- 27882115
TI - Retrospective analysis of seven breast tuberculosis cases.
AB - The present study aimed to evaluate the demographic data, diagnostic methods,
therapeutic regimens and duration of therapy in 7 breast tuberculosis (BTB)
cases. The data of BTB cases treated between January 2006 and December 2013 were
retrospectively evaluated, with a total of 648 tuberculosis (TB) cases recorded
during the 8-year period. Among these cases, 296 patients (50%) suffered from
pulmonary TB, 278 (43%) from non-pulmonary TB and 45 (7%) from PTB plus NPTB. In
total, 7 BTB were diagnosed, which constituted 1.08% (7/648) of all TB cases and
2.51% (7/278) of all NPTB cases. The mean age of patients was 34+/-9.46 years,
with no pregnant or lactating women. Bilateral breast involvement was detected in
only 1 case, while all cases had a BCG scar, and obtained a mean tuberculin skin
test (TST) result of 14.28+/-6.79 mm (range, 7-26 mm). The symptoms included
presence of a mass, tenderness, pain, swelling and fluctuation in the breast,
with or without discharging sinuses. In 1 case, history of contact with TB was
found. All patients were newly-diagnosed BTB cases, with no other organ
involvement. Upon histopathological examination of breast tissue, granulomatous
inflammation with typical caseous necrosis was observed in 1 case, non-caseous
necrosis inflammation was detected in 2 cases, granulomatous inflammation was
observed in 3 cases, and mastitis and fat necrosis inflammation was observed in 1
case. Acid-fast bacilli (AFB) staining was positive in only 2 cases, and all
patient were treated with anti-TB drugs with a successful outcome and no
recurrence. In conclusion, BTB is a rare form of TB and the present retrospective
study reported 7 cases of BTB along with the results of histopathological
examination, microbiological examination and treatment. TB must be considered
when there is presence of breast masses presenting with tenderness, pain,
swelling and fluctuation, with or without discharging sinuses.
PMID- 27882116
TI - In vitro and in vivo assessment of high-dose vitamin C against murine tumors.
AB - Vitamin C is widely used in clinical settings and is well known for its safety.
Previous studies have shown the efficacy of intravenous vitamin C; however,
intratumoral delivery of vitamin C has yet to be attempted. In the present study,
the biological effects of high-dose vitamin C on tumor cells were investigated in
vitro by using the MTT assay and flow cytometry. When administered in vitro, high
dose vitamin C inhibited the proliferation of murine colon and breast cancer
cells, and induced tumor cell apoptosis. Cytotoxicity of vitamin C was partially
reversed by N-acetyl-cysteine at a relatively low dosage. In addition,
synergistic anti-tumor effects of vitamin C and cisplatin were observed. In vivo,
intratumoral delivery of vitamin C delayed tumor growth in murine solid tumor
models. Considering its low toxicity and availability, the present study
indicates that vitamin C may be a novel therapeutic method for patients with
advanced tumors.
PMID- 27882117
TI - Glycitin regulates osteoblasts through TGF-beta or AKT signaling pathways in bone
marrow stem cells.
AB - The aim of the present study was to examine the effect of glycitin on the
regulation of osteoblasts from bone marrow stem cells (BMSCs) through
transforming growth factor (TGF)-beta or protein kinase B (AKT) signaling
pathways. BMSCs were extracted from New Zealand white rabbits and used to analyze
the effect of glycitin on BMSCs. BMSCs were cleared using xylene and observed via
light microscopy. BMSCs were subsequently induced with glycitin (0.01, 0.5, 1, 5
and 10 uM) for 7 days, and stained with Oil Red O. The mechanism of action of
glycitin on BMSCs was investigated, in which contact with collagen type I (Col
I), alkaline phosphatase (ALP), TGF-beta and AKT was studied. Firstly, BMSCs
appeared homogeneously mazarine blue, and which showed that BMSCs were successful
extracted. Administration of glycitin increased cell proliferation and promoted
osteoblast formation from BMSCs. Furthermore, glycitin activated the gene
expression of Col I and ALP in BMSCs. Notably, glycitin suppressed protein
expression of TGF-beta and AKT in BMSCs. These results indicated that glycitin
may regulate osteoblasts through TGF-beta or AKT signaling pathways in BMSCs.
PMID- 27882118
TI - Atypical Miyoshi distal myopathy: A case report.
AB - Five distinct predominant distal myopathies have been identified with discrete
clinical and genetic patterns. Miyoshi myopathy (MM; early adult-onset, type 2)
is a subtype of dysferlinopathy. Furthermore, MM is the most common form of
autosomal recessive distal myopathy. MM is typically characterized by muscular
weakness, initially affecting the gastrocnemius or soleus muscle from the late
teens or early adulthood. The present study reports a case of MM that was
confirmed by pathological and immunohistochemical methods, in addition to a
review of the relevant literature. A 37-year-old male patient presented with
muscular weakness in the left foot. This clinical manifestation was not typical
of MM, and the patient was initially diagnosed with inflammatory myopathy. He was
treated with dexamethasone at a dose of 10 mg for 5 days followed by gradual
tapering, following which the symptoms were alleviated; however, the pathology,
immunohistochemistry and electromyography eventually confirmed the diagnosis of
MM. The treatment was then terminated and the patient was discharged. The present
study further supports the underlying heterogeneity in atypical MM-like
phenotypes. Dysferlin protein deficiency can be identified by pathological
examination. The pathology of dysferlinopathy is characterized by changes of
muscular dystrophy. Inflammatory cellular infiltration is a relatively common
finding in the muscle biopsies from numerous patients with dysferlinopathy.
Therefore, the detection of dysferlin deficiency or marked reduction on the
sarcolemma using immunohistochemical staining is important for the diagnosis of
dysferlinopathy.
PMID- 27882119
TI - Bactericidal effect of plasma jet with helium flowing through 3% hydrogen
peroxide against Enterococcus faecalis.
AB - The aim of the present study was to assess the antimicrobial activity of plasma
jet with helium (He) flowing through 3% hydrogen peroxide in root canals infected
with Enterococcus faecalis. A total of 42 single-rooted anterior teeth were
prepared, sterilized, inoculated with an E. faecalis suspension and incubated for
7 days. Next, the teeth were randomly divided into six experimental groups
(including groups treated by plasma jet with or without He for different time
durations) and one control group treated without plasma. The number of surviving
bacteria in each canal was determined by counting the colony forming units
(CFU)/ml on nutrient agar plates. The results indicated that statistically
significant reduction in CFU/ml (P<0.005) existed for all treatment groups
relative to the control group. The greatest reductions in CFU/ml were observed
for Group 3 (7.027 log unit reduction) and Group 2 (6.237 log unit reduction),
which were treated by plasma jet sterilization with He flowing through 3%
hydrogen peroxide for 4 min or for 2 min, respectively. In addition, the
reduction in Group 3 was significantly greater compared with that in Group 2 or
in the groups treated by plasma jet sterilization without He flowing through 3%
hydrogen peroxide for 1, 2 or 4 min. In conclusion, plasma jet with or without He
flowing through 3% hydrogen peroxide can effectively sterilized root canals
infected with E. faecalis and should be considered as an alternative method for
root canal disinfection in endodontic treatments.
PMID- 27882120
TI - Lutetium 177-DOTA-TATE therapy for esthesioneuroblastoma: A case report.
AB - Esthesioneuroblastoma (ENB), also known as olfactory neuroblastoma, is a rare
malignant tumor that accounts for 3% of all tumors of the nasal cavity. The
incidence of ENB is 0.4 cases per million in the general population, and the most
common symptoms are nasal obstruction and epistaxis. Previous studies have
indicated the presence of somatostatin receptors in this tumor type. Common
treatment strategies for ENB include resection and adjuvant radiotherapy and/or
chemotherapy (combined treatment); however, the rate of recurrence is high.
Treatment of neuroendocrine tumors using radionuclides bound to somatostatin
analogues is well established in clinical practice. However, a standard and
effective therapeutic approach has not been reported for ENB. The current study
described the case of a 74-year-old female with numerous recurrences of ENB
following multiple treatments and without possibility of resection. The patient
was treated with the radiolabeled-somatostatin analogue, 177Lutetium-DOTA
octreotate (177Lu-DOTA-TATE), which successfully controlled the disease. This
suggests that 177Lu-DOTA-TATE is a potential treatment for ENB and may represent
an effective alternative and novel therapeutic strategy for this disease.
PMID- 27882121
TI - Stress-induced cardiomyopathy following infection of the upper respiratory tract
in an elderly female patient: A case report.
AB - Stress-induced cardiomyopathy (SIC), also known as takotsubo cardiomyopathy
(TTC), is a relatively newly-described condition, which has been increasingly
reported in the literature. It is characterized by acute onset of symptoms and
electrocardiogram changes mimicking myocardial infarction, with transient but
completely reversible left ventricular (LV) dysfunction. SIC commonly occurs
following physical or emotional stress. The present study discusses the case of a
68-year-old female patient who had suffered from infection of the upper
respiratory tract for 10 days before admission to the hospital with symptoms of
chest stuffiness and dyspnea that persisted for 2 days. Coronary angiography
showed normal coronary artery function, while LV angiography demonstrated
systolic apical ballooning. Based on these observed characteristics, the patient
was diagnosed with SIC and was successfully treated.
PMID- 27882122
TI - Gan-Dan-Liang-Yi-Tang alleviates p-chlorophenylalanine-induced insomnia through
modification of the serotonergic and immune system.
AB - Gan-Dan-Liang-Yi-Tang (GDLYT) is a Traditional Chinese Medicine that has been
historically used for the treatment of insomnia. However, investigations into its
pharmacological ingredients and the mechanism underlying its sedative and
hypnotic effects remain limited. The present study reported the detailed
mechanisms underlying the sedative and hypnotic effects of GDLYT. Kunming mice
were administered GDLYT at various sub-hypnotic doses, which underwent sodium
pentobarbital treatment test, pentetrazole induced convulsant studies and p
chlorophenylalanine (PCPA) induced insomnia model. Potentiated hypnotic and
sedative effects in mice was studied, and also the changes in related
neurotransmitter and immune factors were evaluated. The results suggested that
GDLYT possessed weak sedative effects on pentetrazole-induced convulsive activity
in normal mice at a dose of 1.3 mg/kg, with an increase in sleep onset in
subhypnotic dose of sodium pentobarbital-treated mice. GDLYT was also able to
alleviate insomnia induced by PCPA in the rodent models, and increased 5
hydroxytryptamine levels in the prefrontal cortex, hippocampus, hypothalamus and
corpus striatum of PCPA-treated rats. Furthermore, the hypnotic effects of GDLYT
were modified, which allowed for PCPA-induced immune system changes, including
increased interleukin (IL)-1beta, tumor necrosis factor-alpha and IL-2 expression
levels. The results of the present study indicated that GDLYT induced sedative
and hypnotic bioactivity by regulating serotonergic activity in the central
nervous system and immune system.
PMID- 27882123
TI - Obesity in relation to oral health behaviors: An analysis of the Korea National
Health and Nutrition Examination Survey 2008-2010.
AB - Obesity is reported to be associated with an increased incidence and prevalence
of periodontal disease. The present study aimed to evaluate the relationship
between oral health behaviors and obesity in South Korean adults. Data from the
Korea National Health and Nutrition Examination Survey between 2008 and 2010 was
used to assess this and a total of 15,666 participants were included in the
analysis performed. Oral behaviors, including the time of day and rate of tooth
brushing, and usage of secondary oral products, were considered in this analysis.
Obesity was defined using the following three methods: Body mass index, waist
circumference and percentage body fat (PBF). Hierarchical multivariable logistic
regression analyses were performed to determine the association of oral health
behavior with obesity after adjusting for possible confounding variables. The
frequency of daily tooth brushing and usage of secondary oral products was lower
in individuals with obesity, irrespective of the method used to define obesity.
Conversely, the risk of general obesity, abdominal obesity and high PBF was
higher in individuals with a lower daily frequency of tooth brushing and usage of
secondary oral products.
PMID- 27882124
TI - (5R)-5-hydroxytriptolide (LLDT-8) prevents collagen-induced arthritis through
OPG/RANK/RANKL signaling in a rat model of rheumatoid arthritis.
AB - (5R)-5-hydroxytriptolide (LLDT-8) extracts from Tripterygium have anti
inflammatory, antineoplastic and immunity adjustment functions. The present study
used a collagen-induced arthritis (CIA) model to evaluate whether LLDT-8 prevents
collagen-induced arthritis, and investigated the signaling underlying this. Male
Sprague-Dawley rats were induced to generate CIA, mimicking rheumatoid arthritis
(RA). The presence of arthritis was determined using RA progression scores. The
inflammatory cytokines interleukin (IL)-1beta, IL-6 and nuclear factor-kappaB
were detected using enzyme-linked immunosorbent assay kits. Induced nitric oxide
synthase (iNOS) and matrix metalloprotease (MMP)-13 protein expression were
measured using western blot analysis. Lastly, reverse transcription-quantitative
polymerase chain reaction was used to evaluate osteoprotegerin (OPG) and receptor
activator of nuclear factor kappaB (RANK) gene expression. LLDT-8 improved RA
progression scores and reduced the incidence and severity of CIA. Furthermore,
LLDT-8 administration inhibited collagen-induced inflammation and iNOS protein
expression in arthritic rats. The current data indicated that MMP-13 production
was suppressed and OPG/RANKL expression was increased by LLDT-8 treatment in the
arthritic rat. The present results suggest that LLDT-8 attenuates CIA through
OPG/RANK/RANK ligand signaling in a rat model of RA.
PMID- 27882125
TI - Protective effect of higenamine ameliorates collagen-induced arthritis through
heme oxygenase-1 and PI3K/Akt/Nrf-2 signaling pathways.
AB - Existing in Ranunculaceae Aconitum and tomato, with the chemical name 1
phydroxybenzyl-1,2,3,4-tetrahy-droisoquinoline, higenamine is widely distributed
in China. Higenamine's anti-inflammatory, antioxidant and anti-apoptotic effects
have been identified in previous studies. The present study attempted to
determine the protective effect of higenamine against collagen-induced arthritis
through heme oxygenase-1 (HO-1) and PI3K/Akt/Nrf-2 signaling pathways. A type II
collagen (CII)-induced arthritis (CIA) model was established and clinical
arthritis scores were used to appraise the curative effect of higenamine.
Inflammatory reactions, oxidative damage and caspase-3/9 activation were detected
using specific ELISA kits. In addition, western blotting was used to evaluate the
expression of HO-1, Akt and Nrf-2 protein in CII-induced CIA mice. In CII-induced
CIA mice, the clinical arthritis scores, inflammatory reactions, oxidation damage
and caspase-3/9 activation were increased and activated. The results demonstrated
that treatment with higenamine significantly reduced the elevation of clinical
arthritis scores (P<0.01), and suppressed the promotion of inflammatory
reactions, oxidation damage and caspase-3/9 activation. Furthermore, higenamine
significantly increased HO-1 protein expression (P<0.01) and upregulated the
PI3K/Akt/Nrf-2 signal pathway in CII-induced CIA mice. Collectively, it is
concluded that higenamine protects against CII-induced CIA through the induction
of HO-1 and the upregulation of the PI3K/Akt/Nrf-2 signaling pathway. In
conclusion, higenamine may be a beneficial drug for protecting against CIA.
PMID- 27882126
TI - Anticancer activities of alkaloids extracted from the Ba lotus seed in human
nasopharyngeal carcinoma CNE-1 cells.
AB - To investigate the anticancer activities of alkaloids from the Ba lotus seed
(BLSA) in human nasopharyngeal carcinoma (NPC) CNE-1 cells, an MTT assay, flow
cytometry, reverse transcription-polymerase chain reaction and western blotting
were performed. BLSA was found to significantly reduce CNE-1 cell proliferation
in a dose-dependent manner at all concentrations compared with the control
(P<0.05). In addition, flow cytometry analysis identified that BLSA treatment
significantly increased the sub-G1 content in CNE-1 cells (P<0.05). Following
BLSA treatment, the mRNA and protein levels of a number of apoptosis-related
factors, such as caspase family members (caspase-3, -8 and -9), B-cell lymphoma
(Bcl)-2-associated X protein, Fas and Fas ligand were significantly increased
compared with the control (P<0.05). This was accompanied by a significant
decrease in anti-apoptotic Bcl-2 and Bcl-extra large protein expression compared
with the control (P<0.05). Furthermore, BLSA treatment was determined to modulate
CNE-1 cell expression of nuclear factor (NF)-kappaB and NF-kappaB inhibitor
alpha. The results of the present study indicate that BLSA has anticancer
activity through inducing cellular apoptosis. In addition, these results suggest
that BLSA can be used as a therapeutic agent in NPC.
PMID- 27882127
TI - Evaluation of the efficacy of steroid therapy on acute liver failure.
AB - The effects of corticosteroids in the treatment of patients with acute or
subacute liver failure (ALF or SALF) are controversial. The aims of the present
study were to evaluate the efficacy of corticosteroids in improving spontaneous
survival (SS) rate in patients with ALF and SALF, and to determine the groups
with the highest rates of response to, and the most effective timing of,
corticosteroid administration. A retrospective analysis was performed of all
patients with ALF and SALF who were hospitalized in the Department of Infectious
Diseases, Southwest Hospital, Chongqing, China from 2000-2012. The most common
result of this was SS. A total of 238 patients were studied, including 73
patients with ALF (n=34 steroids, n=39 no steroids) and 165 patients with SALF
(n=21 steroids, n=144 no steroids). Corticosteroids improved rates of SS in
patients with liver failure (steroids vs. no steroids, 38.2 vs. 20.2%; P=0.011),
including patients with ALF (steroids vs. no steroids, 29.4 vs. 5.1%; P=0.013)
and with SALF (steroids vs. no steroids, 52.4 vs. 24.3%; P=0.013), patients with
viruses (steroids vs. no steroids, 32.4 vs. 14.1%; P=0.042) and patients without
viruses (steroids vs. no steroids, 50.0 vs. 24.1%; P=0.043). SS rates were
extremely low for patients with coma grade 4 or Model for End-stage Liver Disease
(MELD) scores >=35 (2.2 vs. 11.8%; P=0.180). A significantly improved rate of SS
associated with steroid use was observed among patients who had alanine
aminotransferase (ALT) levels >=30 * the upper limit of normal and coma grade <4
and MELD scores <35 (65.0 vs. 17.4%; P=0.002). SS associated with steroid use was
significantly higher in patients with an illness duration <=2 weeks compared with
patients with an illness duration >2 weeks (51.4 vs. 15.0%; P=0.010).
Corticosteroids improved the prognosis of patients with ALF and SALF. The highest
rates of response were observed in patients with a lower MELD score and coma
grade but who had extremely high ALT levels. The most effective treatment time
was within 2 weeks of the onset of symptoms.
PMID- 27882128
TI - MicroRNA-145 sensitizes cervical cancer cells to low-dose irradiation by
downregulating OCT4 expression.
AB - Poor elucidation of the mechanisms involved in regulating the radiosensitivity of
cancers prevents the extensive application of low-dose radiotherapy in clinical
settings. The present study was conducted to investigate the role of microRNA-145
(miR-145) in the modulation of cervical cancer cell radiosensitivity, as well as
to identify the underlying target of miR-145 during this process. Cervical cancer
tera cells were initially exposed to doses of radiation between 1 and 6 Gy before
the assessments of the cell viability and apoptosis rate. Irradiation at dose of
1 Gy was screened as optimum dose and used in subsequent experiments. A dual
luciferase reporter assay was performed to demonstrate that octamer-binding
transcription factor 4 (OCT4) is a target of miR-145 in cervical cancer.
Consequently, OCT4 was suggested to be a target of miR-145, as a dual luciferase
vector that was ligated to a fragment corresponding to the predicted target site
of miR-145 in OCT4 3'-UTR showed an 83% reduction in fluorescence. Following
exposure to 1 Gy irradiation, tera cells transfected with miR-145 mimics, which
showed downregulation of OCT4 and cyclin D1, had lower cell viability and cell
migration rate and higher apoptosis rate compared to non-transfected cells.
However, the co-transfection of miR-145 mimics and OCT4 expression vector
restored OCT4 and cyclin D1 expression levels and made no significant difference
in terms of cell viability, cell migration rate and apoptosis rate. The present
results indicate that miR-145 increases the radiosensitivity of cervical cancer
cells by silencing OCT4, that cyclin D1 is putatively under the positive
regulation of OCT4 and mediates miR-145 function.
PMID- 27882129
TI - Whole-genome resequencing of 100 healthy individuals using DNA pooling.
AB - With the advent of next-generation sequencing technology, the cost of sequencing
has significantly decreased. However, sequencing costs remain high for large
scale studies. In the present study, DNA pooling was applied as a cost-effective
strategy for sequencing. The sequencing results for 100 healthy individuals
obtained via whole-genome resequencing and using DNA pooling are presented in the
present study. In order to minimise the likelihood of systematic bias in
sampling, paired-end libraries with an insert size of 500 bp were prepared for
all samples and then subjected to whole-genome sequencing using four lanes for
each library and resulting in at least a 30-fold haploid coverage for each
sample. The NCBI human genome build37 (hg19) was used as a reference genome for
the present study and the short reads were aligned to the reference genome
achieving 99.84% coverage. In addition, the average sequencing depth was 32.76.
In total, ~3 million single-nucleotide polymorphisms were identified, of which
99.88% were in the NCBI dbSNP database. Furthermore, ~600,000 small
insertion/deletions, 500,000 structure variants, 5,000 copy number variations and
13,000 single nucleotide variants were identified. According to the present
study, the whole genome has been sequenced for a small sample subjects from
southern China for the first time. Furthermore, new variation sites were
identified by comparing with the reference sequence, and new knowledge of the
human genome variation was added to the human genomic databases. Furthermore, the
particular distribution regions of variation were illustrated by analyzing
various sites of variation, such as single-nucleotide polymorphisms.
PMID- 27882130
TI - The use of expanded polytetrafluoroethylene in depressed deformities of the face.
AB - Expanded polytetrafluoroethylene (ePTFE) has been extensively used for facial
soft tissue augmentation procedures, and is regarded as safe and reliable and
suitable as a permanent implant. This implant is generally used in the lower
third of the face for lips filling, nasal augmentation, nasolabial folds and chin
augmentation, and rarely for congenital or acquired depressed deformities of the
face. The aim of the present study was to assess the effects of ePTFE in
congenital or acquired depressed deformities of the face. From September, 2008 to
January, 2014, 26 patients were implanted with the material ePTFE to correct
depressed deformities of the face. The average age at operation was 23.2 years,
with a range of 17-45 years. The depressed deformities were lateralized. The
follow-up period was 6-18 months (average 9 months). The etiologies of the
depressed deformities included stable hemifacial atrophy (3 cases), craniofacial
microsomia (13 cases), bony depression after trauma (8 cases), and other unclear
reasons (2 cases). The operations were performed under local anesthesia. ePTFE
was inserted in different tissue planes that varied among the different
subanatomical areas in the face: beneath the superficial temporal fascia in the
temporal area, and on the surface of the superficial musculoaponeurotic system in
the zygomatic area, cheek and mandibular area. All of the patients were followed
up. Most of the patients [25 of 26 patients (96.2%)] were satisfied with the
results, while 1 patient (3.8%) was not satisfied for incomplete correction of
the depressed deformity. In conclusion, aside from lipofilling and a free flap
transfer, the results showed that ePTFE was an alternative treatment for facial
depressed deformity.
PMID- 27882131
TI - Role of peptidylarginine deiminase type 4 in gastric cancer.
AB - Peptidylarginine deiminase type 4 (PADI4) post-translationally converts
peptidylarginine to citrulline, appearing to be overexpressed in numerous
carcinomas. The current study aimed to investigate the expression of PADI4 in
gastric cancer tissues and its effect on the biological activities of SGC-7901
and AGS tumor cell lines. The expression of PADI4 was determined in gastric
cancer and normal gastric mucosa tissues using western blot analysis and reverse
transcription-quantitative polymerase chain reaction. Gastric cancer cell lines
were divided into the following groups: Mock group (subjected to transfection
reagent); negative group [subjected to small interfering RNA (siRNA)
transfection]; PADI4 siRNA group (subjected to PADI4 siRNA transfection); 5
fluorouracil (5-Fu) group (subjected to 5-Fu); and 5-Fu + siRNA transfection
group (subjected to 5-Fu and PADI4 siRNA transfection). The effects of silencing
PADI4 with the above measures on the proliferation and invasion of SGC-7901 and
AGS cells were determined by MTT and Transwell chamber assays. In addition,
propidium iodide staining was performed to detect the effects of PADI4 on the
cell cycle. A significant increase in the expression of PADI4 mRNA in gastric
cancer tissue compared with normal mucosa tissue was identified (P<0.05). The
proliferation and invasion of SGC-7901 and AGS cells were significantly decreased
in the PADI4 siRNA group. Furthermore, flow cytometry DNA analysis revealed that
silencing PADI4 resulted in significant S phase arrest and marked decrease of
cells in the G2/M phase. PADI4 siRNA coupled with 5-Fu significantly enhanced its
inhibitory effect on the proliferation of gastric cancer cells. In conclusion,
PADI4 demonstrated high expression in gastric cancer and served an important role
in the biological activities of gastric cancer cells involving cell
proliferation, invasion and cell cycle. As a result, PADI4 may be a valid cancer
susceptibility gene and potential target for cancer therapy.
PMID- 27882132
TI - Silencing of Wnt5a prevents interleukin-1beta-induced collagen type II
degradation in rat chondrocytes.
AB - Osteoarthritis (OA) is a joint disease, and few treatments to date have been able
to delay OA progression. The degradation of collagen type II (COL2) in the
cartilage matrix is an important initiating factor for OA progression; the
upregulation of Wnt5a protein activates COL2 degradation. In the present study,
small interfering RNA of Wnt-5a was delivered by a lentiviral vector (LV-Wnt5a
RNAi) to silence Wnt-5a mRNA and prevent COL2 degradation. To determine the
function of LV-Wnt5a-RNAi, the OA chondrocyte model (OA-like chondrocytes) were
constructed using interleukin (IL)-1beta. Detected using reverse transcription
quantitative polymerase chain reaction (RT-qPCR), Wnt-5a mRNA in the OA-like
chondrocytes were upregulated in a time-dependent manner, indicating that OA-like
chondrocytes were successfully constructed. The bioactivity of OA-like
chondrocytes was determined using Live-Dead staining, and the result illustrated
that the OA-like chondrocytes stimulated with IL-1beta for 6 h remained viable,
and these were used in Wnt5a silencing. The OA-like chondrocytes were divided
into three groups: Group I, cultivated with common medium; group II, cultivated
with common medium supplemented with empty lentiviral vector; group III,
cultivated with common medium supplemented with LV-Wnt5a-RNAi. The efficiency of
LV-Wnt5a-RNAi transfection was determined using fluorescence microscopy, the
result of which indicated that LV-Wnt5a-RNAi could efficiently be transfected
into the OA-like chondrocytes. The LV-Wnt5a-RNAi efficiency for the Wnt5a mRNA
silencing was determined using RT-qPCR. The result illustrated that the mRNA of
Wnt5a in group III was significantly lower in group I compared with that in group
II (P<0.05), indicating that the LV-Wnt5a-RNAi could successfully silence Wnt5a
mRNA. To further verify whether the silencing of Wnt5a mRNA could prevent COL2
degradation, western blotting and immunohistochemical analyses were performed.
The results demonstrated that COL2 in group III was significantly higher compared
with that in groups I and II (P<0.05), which illustrated that the silencing of
Wnt5a mRNA could prevent COL2 degradation. In conclusion, LV-Wnt5a-RNAi was
formed successfully and could efficiently silence Wnt5a mRNA expressed by OA-like
chondrocytes. In addition, the silencing of Wnt5a mRNA could prevent the
degradation of COL2 in OA-like chondrocytes, confirming that LV-Wnt5a-RNAi may be
used as a novel tool for OA treatment.
PMID- 27882134
TI - Expression of neutrophil gelatinase-associated lipocalin in low osmolar contrast
induced nephropathy in rats and the effect of N-acetylcysteine.
AB - Serum creatinine (Scr), which is a conventional indicator of contrast-induced
nephropathy (CIN), is unable to reflect the damage of kidney promptly. The
present study aimed to investigate the value of neutrophil gelatinase-associated
lipocalin (NGAL) in kidney and serum of CIN rats to observe whether NGAL can be
used as a superior indicator of CIN. Furthermore, N-acetylcysteine (NAC) was used
to assess its effect on CIN. A total of 120 adult male Sprague Dawley rats were
randomly divided into four groups (n=30/group): CIN rats (CIN), normal rats
treated with NAC (NAC), CIN rats treated with NAC (NAC+CIN) and the control group
(CON). Serum Scr and NGAL values were measured at 2, 12, 24, 48 and 72 h
following the procedure. Immunohistochemistry and western blot analysis were used
to detect NGAL within the kidney tissue. Hematoxylin and eosin staining were used
to access the renal injury score. Oxidative stress within the kidney was analyzed
via malondialdehyde (MDA) and superoxide dismutase (SOD). The level of NGAL in
the serum and tissue of the CIN group increased significantly 2 h after the
procedure (P<0.05). However, the Scr value did not exhibit a significantly change
until 48 h later. Based on the renal injury scores, NAC reduced the kidney damage
caused by the contrast. NAC treatment was associated with a decrease in SOD
levels and an increase in MDA. These findings suggested that NGAL was a superior
indicator of CIN than Scr, as NGAL was able to detect kidney damage much earlier.
Furthermore, NAC treatment inhibited oxidative stress, thus protecting against
CIN.
PMID- 27882133
TI - Effects of forced swimming stress on thyroid function, pituitary thyroid
stimulating hormone and hypothalamus thyrotropin releasing hormone expression in
adrenalectomy Wistar rats.
AB - In order to study the impact that is imposed on the hypothalamic-pituitary
thyroid (HPT) axis of adrenalectomy male Wistar rats by stress caused by
swimming, the blood level of triiodothyronine (T3), thyroxine (T4) and thyroid
stimulating hormone (TSH), the expression of TSHbeta mRNA at the pituitary and
thyrotropin releasing hormone (TRH) expression at the paraventricular nucleus
(PVN) were measured. A total of 50 male Wistar rats of 6-8 weeks of age and with
an average weight of 190-210 grams were randomly divided into the following two
groups: The surgical (without adrenal glands) and non-surgical (adrenalectomy)
group. These two groups were then divided into the following five groups,
according to the time delay of sacrifice following forced swim (10 min, 2 h, 12 h
and 24 h) and control (not subjected to swimming) groups. A bilateral
adrenalectomy animal model was established. Serum TSH in the blood was
measurement by chemiluminescent immunoassay, and cerebrum tissue were excised for
the measurement of TRH expression using an immunohistochemistry assay. In
addition, pituitaries were excised for the extraction of total RNA. Finally,
reverse transcription-quantitative polymerase chain reaction was performed for
quantitation of TSHbeta. Following swimming, the serum T3, T4 and TSH, the
TSHbeta mRNA expression levels in the pituitary and the TRH expression in the PVN
of the surgical group were gradually increased. In the non-surgical group, no
significant differences were observed in the serum T3, T4 and TSH levels compared
with the control group. The TSHbeta mRNA expression at the pituitary showed a
similar result. Furthermore, the TRH expression at PVN was gradually increased
and stress from swimming could increase the blood T4, T3 and TSH levels, TSHbeta
mRNA expression at the pituitary and TRH expression at the PVN in adrenalectomy
Wistar rats. Moreover, the index in the surgical group changed significantly
compared with the non-surgical group. In conclusion, the results suggest that
there is a positive correlation between stress from forced swimming and the
variation of the HPT axis.
PMID- 27882135
TI - Protective effect of eNOS overexpression against ischemia/reperfusion injury in
small-for-size liver transplantation.
AB - Ischemia/reperfusion (I/R) injury can occur during small-for-size liver
transplantation, resulting in delayed graft function and decreased long-term
graft survival. The aim of the present study was to evaluate the effects of
genetic overexpression of endothelial nitric oxide synthase (eNOS) in protecting
hepatocytes against I/R injury in a rat model of small-for-size liver
transplantation. L02 liver cells were transfected with the eNOS gene using an
adenovirus (Ad-eNOS). eNOS expression was detected using quantitative polymerase
chain reaction and western blot analysis. To evaluate the effect of eNOS
overexpression, L02 cells were placed in a hypoxic environment for 12 h and
immediately transferred to an oxygen-enriched atmosphere. For in vivo testing,
rats pretreated with Ad-eNOS or control underwent small-for-size liver
transplantation. At 6 h after reperfusion, the bile quantity, serum transaminase
and nitric oxide (NO) levels, and histological outcomes were evaluated. Cell
apoptosis was assessed by flow cytometry or TUNEL assay. In vitro, Ad-eNOS
prevented apoptosis in L02 cells with an increase in the level of NO in culture
supernatant. In vivo, Ad-eNOS pre-treatment significantly increased bile
production, improved abnormal transaminase levels, diminished apoptosis among
liver cells, and decreased hepatocellular damage at 6 h after I/R injury. The
eNOS-mediated renal protective effects might be associated with the
downregulation of tumor necrosis factor-alpha and a reduction in macrophage
activation in the early stage of reperfusion in small-for-size liver allografts.
eNOS-derived NO production significantly attenuates hepatic I/R injury. Thus,
eNOS overexpression constitutes a promising therapeutic approach to prevent liver
I/R injury following small-for-size liver transplantation.
PMID- 27882137
TI - Von Willebrand factor and coagulation factor VIII in Moyamoya disease associated
with Graves' disease: A case report.
AB - The present study reported the case of a Chinese boy who was diagnosed with
Moyamoya disease (MMD) associated with Graves' disease (GD). An overactivation of
von Willebrand factor (vWF) and coagulation factor VIII (FVIII) was identified in
the plasma of the patient. Thiamazole and metoprolol treatment was thus
administrated. After 2 months of treatment, the patient's thyroid function
returned to normal and the neurological symptoms improved gradually. At the same
time, the activities of vWF and FVIII were depressed. During the 20-month follow
up, information regarding the neurological symptoms, cerebrovascular imaging,
thyroid function, thyroid autoantibodies and coagulation parameters was
collected. High levels of thyroid autoantibodies persisted throughout the follow
up period, while other coagulation parameters remained in the normal range. In
conclusion, considering the vital role of vWF and FVIII in vascular diseases, it
is hypothesized that these two factors may serve an important role in the
occurrence of GD associated with MMD.
PMID- 27882136
TI - Accuracy of contrast-enhanced ultrasound compared with conventional ultrasound in
acute pancreatitis: Diagnosis and complication monitoring.
AB - Contrast-enhanced ultrasound (CEUS) has been used for diagnosing acute
pancreatitis (AP), particularly severe acute pancreatitis (SAP). However, the
diagnostic difference between CEUS and conventional ultrasonography (CUS) for AP
and SAP has not been reported. The aim of the present study was to investigate
the diagnostic accuracy of CUS and CEUS for AP. A total of 196 patients
clinically diagnosed with AP were selected. All patients underwent CUS, CEUS and
contrast-enhanced computed tomography (CECT) within 72 h. CECT was considered the
gold standard. Pancreatic size, peripancreatic fluid collection (PPFC) and
splenic vessel complications were the variables observed by CUS and CEUS. The
differences in the variables among the three methods were analyzed using the chi2
test and statistical analysis software. Significant differences in pancreatic
size, PPFC and splenic vessel complications in AP were observed between CEUS and
CUS (P<0.05). chi2 test results indicated that CEUS significantly differed from
CUS in terms of having a higher diagnostic accuracy for AP and SAP (P<0.05). The
results indicate that CEUS is a reliable method for the diagnosis and monitoring
of AP and SAP, and may be substituted for CECT.
PMID- 27882138
TI - Impact of ethyl pyruvate on Adriamycin-induced cardiomyopathy in rats.
AB - Ethyl pyruvate (EP), a derivative of pyruvic acid, is known to have protective
effects against ischemic cardiomyopathy and other disorders. However, little is
known about its role in Adriamycin (ADR)-induced cardiomyopathy. The present
study was designed to investigate the impact of EP on ADR-induced cardiomyopathy
in an animal model. Sixty male Sprague-Dawley (SD) rats were divided into four
groups: Normal control, EP, ADR and ADR + EP groups (n=15/group). Rats in the ADR
and ADR + EP groups were treated with ADR (2.5 mg/kg/week intraperitoneally) for
6 weeks. From the eighth week, rats in the EP and ADR + EP groups received EP via
gastric lavage at a dose of 50 mg/kg/day for 30 days. After completing the EP
treatment, cardiac function was assessed by echocardiography and then rats were
sacrificed. Hearts were harvested for subsequent analysis. Compared with rats in
the normal control and EP groups (without ADR treatment), rats in the ADR and ADR
+ EP groups showed significant impairments in terms of cardiac function,
apoptosis, severe oxidative stress and fibrosis in the heart. However, these
impairments were alleviated by EP treatment in the ADR + EP group. Upon EP
treatment, cardiac function was significantly improved. The levels of oxidative
stress, fibrosis and apoptosis in the myocardial tissues were also significantly
reduced. These findings indicated that EP treatment attenuated, at least
partially, ADR-induced cardiomyopathy in rats.
PMID- 27882139
TI - The association between the levels of CRP, IL-10, PLA2, Fbg and prognosis in
traumatic fracture of lower limb.
AB - The aim of the present study was to examine changes of sera levels of C-reactive
protein (CRP), interleukin-10 (IL-10), phospholipase A2 (PLA2) and fibrinogen
beta polypeptide chain gene (Fbg) in patients with traumatic fracture of lower
limb, and to evaluate their association with prognosis. The changes in sera
levels of CRP, IL-10, PLA2 and Fbg were observed at the time of injury, 24 h, and
5 and 7 days after surgery in 90 patients with traumatic fracture of lower limb.
In addition, 50 cases, who presented for health examination, were included as the
normal controls. The expression of sera levels of CRP, IL-10, PLA2 and Fbg in
patients with traumatic fracture of lower limb, was markedly higher than that in
the normal controls prior to surgery (P<0.05). The concentration of CRP
significantly increased within 24 h after emergency, but decreased gradually as
the wound healed, compared to the controls. Pre- and postoperative IL-10 levels
increased within 24 h and then decreased gradually. The level of PLA2 in patients
before and after surgery was increased, and then decreased gradually. The level
of Fbg in patients with trauma was increased after 24 h and then decreased, and
increased gradually. The correlation of serum CRP and IL-10 levels (r=0.634,
P<0.05), and that of PLA2 and IL-10 levels (r=0.617, P<0.05) were positive. In
conclusion, the expression of CRP, IL-10, PLA2 and Fbg levels in traumatic
fracture of lower limb markedly increased and was closely associated with
prognosis. CRP, IL-10, PLA2 and Fbg levels may therefore serve as useful indexes
in determining the progression and prognosis of patients with traumatic fracture
of lower limb.
PMID- 27882140
TI - Hydroxyethyl starch resuscitation downregulate pro-inflammatory cytokines in the
early phase of severe acute pancreatitis: A retrospective study.
AB - In the present study, we investigated the effects of hydroxyethyl starch (HES)
130/0.4 on serum pro-inflammatory variables, immunologic variables, fluid balance
(FB)-negative(-) rate and renal function in severe acute pancreatitis (SAP)
patients. From October, 2007 to November, 2008, a total of 120 SAP patients were
enrolled in this retrospective study. Fifty-nine patients in the HES group
received 6% HES 130/0.4 combined with crystalloid solution for fluid
resuscitation (HES group). In the control group, 61 patients received only
crystalloid solution after admission. Interleukin (IL)-1, IL-6, IL-8 and tumor
necrosis factor (TNF)-alpha levels in serum were measured on days 1, 2, 4 and 8.
The peripheral blood CD4+CD8+ T lymphocyte rates, serum BUN and Cr values were
also measured on days 1, 4 and 8. Patients with FB(-) rates were recorded from
day 1 to 8. Interaction term analysis (hospital stay and fluid resuscitation
methods) based on mixed-effects regression model revealed significantly lower
levels of IL-1 and TNF-alpha in the HES group compared with the control group.
The difference in curve's risk ratio was not significant for IL-6, CD4+CD8+ T
lymphocyte rate, BUN and Cr values (P>0.05). In the HES group, we detected a
significantly higher rate of patients with FB(-) from day 4 to 8 (P<0.05). Thus,
HES 130/0.4 resuscitation could decrease the IL-1 and IL-8 levels, shorten the
duration of positive FB, and preserve the patient's immune status as well as
renal function during the early phase of SAP.
PMID- 27882142
TI - Multicenter study on the prognosis associated with respiratory support for
children with acute hypoxic respiratory failure.
AB - The objective of the present study was to explore the factors influencing the
outcomes related to respiratory support of children with acute hypoxic
respiratory failure (AHRF) in 30 hospitals. This was a non-controlled prospective
and collaborative multicenter clinical study conducted from June, 2010 to May,
2011 (each hospital for 12 consecutive months). Children aged from 29 days to 6
years and who met the diagnostic standards of AHRF were enrolled as subjects for
the study. After patients were enrolled, general parameters including disease
diagnosis, treatment and prognosis were recorded. Then we analyzed the
differences in prognosis and respiratory therapy of patients with AHRF. During
the study period, 13,906 cases of AHRF were admitted among the 30 hospitals,
accounting for 75.3% of the total number of patients with AHRF. The proportion in
different hospitals ranged from 16 to 98%. A total of 492 children with hypoxic
respiratory failure were admitted among the 30 hospitals. The prevalence rate was
3.54%, and the incidence of AHRF in each hospital was 4.54%. Tidal volume and
respiratory support treatment were compared with the results from a 2006 study,
and the differences were statistically significant in positive end-expiratory
pressure (5 vs. 4, P=0.018), fraction of inspire O2 (0.5 vs. 0.4, P<0.001),
pressure of artery O2 (70 vs. 60 mmHg, P<0.001) and peak inspiratory pressure (20
vs. 24 cm H2Omicron, P<0.001). In conclusion, academic background and the level
of regional economic development are factors which influence the prognosis of
children with AHRF. On the basis of unapparent differences between academic
background and the level of regional economic development, there is a substantial
difference in the prognosis from different forms of respiratory support
management for AHRF. Therefore, it is essential to develop respiratory support
and the level of critical management of pediatric intensive care units.
PMID- 27882141
TI - Clinical study on local application of low-dose insulin for promoting wound
healing after operation for deep burns.
AB - Transplanted free skin flaps are often needed to treat deep burns; their
survival, however, is less than optimal. This study examined whether local low
dose insulin injections can promote flap survival and wound healing after
surgery. A total of 165 patients who underwent free skin flap transplantation for
simple deep burns were enrolled in the study and divided into 5 groups of 33
patients each: Blank control group (no local subcutaneous drug injections),
saline control group (saline injections), low-dose insulin group (0.5 units
regular insulin injections), medium-dose group (1.0 units regular insulin
injections) and high-dose group (2.0 units regular insulin injections). Wound
healing and flap survival conditions were assessed and compared among groups. The
best wound healing rate found was that of the low-dose insulin injection group
where all the parameters measured improved significantly: The healing time was
shorter; the blood flow volume, the flap survival, the number of fibroblasts and
new vessels increased; the re-epithelialization occurred faster; the infiltration
of inflammatory cells was reduced; the expression levels of heat shock protein
90, vascular endothelial growth factor, transforming growth factor-beta and
interleukin-1 were higher; and the plasma glucose levels only fluctuated
slightly. The results clearly demonstrate that a local low-dose insulin regime
after flap transplantation can accelerate the healing time and improve the
surgical outcome without exerting detrimental secondary effects on the glucose
plasma level of deep burn patients.
PMID- 27882143
TI - Expression of connexin 43, ion channels and Ca2+-handling proteins in rat
pulmonary vein cardiomyocytes.
AB - Atrial fibrillation (AF) is the most common cardiac arrhythmia. AF is thought to
be triggered by ectopic beats, originating primarily in the myocardial sleeves
surrounding the pulmonary veins (PVs). The mechanisms underlying these cardiac
arrhythmias remain unclear. To investigate this, frozen sections of heart and
lung tissue from adult rats without arrhythmia were obtained in different planes,
stained with Masson's trichrome, and immunolabeled for connexin 43 (Cx43),
caveolin-3 (Cav3), hyperpolarization-activated cyclic nucleotide-gated channel 4
(HCN4), Nav1.5, Kir2.1, and the calcium handling proteins
sarcoplasmic/endoplasmic reticulum calcium-ATPase 2a (SERCA2a) and ryanodine
receptor 2 (RyR2). Transverse sections offered the best view of the majority of
the PVs in the tissue samples. Cx43 was observed to be expressed throughout the
atria, excluding the sinoatrial and atrioventricular nodes, and in the myocardial
sleeves of the PVs. In contrast, HCN4 was only expressed in the sinoatrial and
atrioventricular nodes. The immunodensity of Cav3, Nav1.5, Kir2.1, SERCA2a and
RyR2 in the PVs imaged was similar to that in atria. The results suggest that in
the absence of arrhythmia, the investigated molecular properties of the ion
channels of rat PV cardiomyocytes resemble those of the working myocardium. This
indicates that ectopic beats originating in the myocardial sleeves of the PVs
occur only under pathological conditions.
PMID- 27882144
TI - Effect of cyclosporin A intervention on the immunological mechanisms of coronary
heart disease and restenosis.
AB - The present study aimed to investigate the effect of cyclosporin A (CSA)
intervention on the immunological mechanisms underlying coronary heart disease
(CHD) and restenosis (RS) in rabbits. A total of 48 rabbits were randomly divided
into normal control (N), N + CSA, CHD model, CHD + CSA, RS model and RS + CSA
groups. Rabbits in the respective groups received different treatments prior to
sacrifice at the end of week 12. Iliac arteries were harvested from the rabbits
for morphological analysis and to determine the mRNA and protein expression
levels of cluster of differentiation (CD) 40/CD40 ligand (CD40L), CD134/CD134
ligand (CD134L) and inflammatory factors, including matrix metalloproteinase
(MMP)-1, MMP-9, vascular cell adhesion protein (VCAM)-1, interleukin (IL)-6 and
tumor necrosis factor (TNF)-alpha, by reverse transcription-quantitative
polymerase chain reaction and immunohistochemical staining. As compared with the
N group, the mRNA expression levels of MMP-9, VCAM-1 and TNF-alpha were
significantly increased in the CHD and RS groups (P<0.05), but were significantly
decreased in the groups with CSA intervention, as compared with those without CSA
intervention (P<0.05). Conversely, there were no significant differences in the
expression levels of MMP-1 and IL-6 among the six groups, although a decreasing
trend of IL-6 expression was observed following intervention with CSA.
Furthermore, there were significant differences in the mRNA and protein
expression levels of CD40/CD40L and CD134/CD134L among the N, CHD and RS groups
(P<0.05), and between the groups with and without CSA intervention. The present
study demonstrated that CSA intervention exerted beneficial effects on CHD and
RS, and further studies are required to investigate the mechanisms underlying the
effects of CSA on CHD.
PMID- 27882145
TI - microRNA-22 attenuates myocardial ischemia-reperfusion injury via an anti
inflammatory mechanism in rats.
AB - Previous studies have reported that microRNA-22 (miR-22) may be implicated in
ischemia-reperfusion (I/R)-induced myocardial injury. Our previously published
data also demonstrated that miR-22 may protect against myocardial I/R injury via
anti-apoptosis in rats by targeting cAMP response element-binding protein binding
protein (CBP). However, the specific function of miR-22 in myocardial I/R injury
is far from fully elucidated. The present study was designed to investigate
another cardioprotective signaling mechanism of miR-22 in myocardial I/R injury.
A total of 40 adult male Sprague-Dawley rats were randomly divided into four
equal groups (n=10): Sham, myocardial I/R, myocardial I/R with adenovirus
expressing scramble miRNA (Ad-Scramble) and myocardial I/R with adenovirus
expressing miR-22 (Ad-miR-22) groups. Besides the Sham operation group, the
remaining three groups were artificially afflicted with coronary occlusion for 30
min and subsequently reperfused for 4 h. A light microscope was used to observe
structural changes in the myocardium; reverse transcription polymerase chain
reaction was used to measure the miR-22 mRNA expression level; the myocardial
infarct size was analyzed by the Evans Blue/triphenyltetrazolium chloride double
staining; and p38 mitogen-activated protein kinase (MAPK), CBP, c-Jun-activator
protein (AP)-1 and phospho (p)-c-Jun-AP-1 expression protein levels were detected
by a western blot. Furthermore, ELISA was used to measure the levels of TNF-alpha
and IL-6 in the myocardium. The results demonstrated that adenovirus-mediated miR
22 overexpression markedly reduced p38 MAPK, CBP, c-Jun-AP-1, p-c-Jun-AP-1
expression levels concomitant with an improvement in myocardial injury, including
smaller infarct size, reduced release of creatine kinase, lactate dehydrogenase
and proinflammation mediators (tumor necrosis factor-alpha and interleukin-6).
These findings suggest that miR-22 has a protective effect on myocardial I/R
injury. This protection mechanism, at least in part, is due to its anti
inflammatory function via the suppression of the p38 MAPK/CBP/c-Jun-AP-1
signaling pathway.
PMID- 27882146
TI - Pulmonary histoplasmosis in a immunocompetent patient: A case report and
literature review.
AB - In the present study, the case of a 54-year-old male patient diagnosed with
pulmonary histoplasmosis is reported, with the aim to increase the understanding
of the disease characteristics and thereby facilitate the diagnosis and treatment
of pulmonary histoplasmosis. Clinical manifestations, diagnosis, treatment and
clinical outcomes of the present case of pulmonary histoplasmosis were described.
In addition, 76 histoplasmosis patients with complete clinical data were reviewed
by searching the literature for relevant studies published during 1990 and 2015.
The disease was mainly manifested as cough in the present case, while imaging
examination detected a lump shadow in the right lung, accompanied by exudative
lesions. Initially, the patient was suspected to have bacterial pneumonia, but
subsequently the diagnosis of pulmonary histoplasmosis was confirmed by lung
biopsy. The symptoms were alleviated following itraconazole treatment. The
patient was physically stable and had no recurrence during the subsequent follow
up period. In conclusion, pulmonary histoplasmosis is characterized by non
specific clinical and imaging manifestations, and lung tissue biopsy or
respiratory pathogen culture are regarded as the diagnostic gold standards.
Individualized antifungal medication should be administered based upon the
patients' situation in terms of dosage and duration.
PMID- 27882147
TI - Molecular profiling of a simple rat model of open tibial fractures with hematoma
and periosteum disruption.
AB - Bone fractures are a worldwide public health concern. Therefore, improving
understanding of the bone healing process at a molecular level, which could lead
to the discovery of potential therapeutic targets, is important. In the present
study, a model of open tibial fractures with hematoma disruption, periosteal
rupture and internal fixation in 6-month-old male Wistar rats was established, in
order to identify expression patterns of key genes and their protein products
throughout the bone healing process. A tibial shaft fracture was produced using
the three-point bending technique, the hematoma was drained through a 4-mm
incision on the medial aspect of the tibia and the fracture stabilized by
inserting a needle into the medullary canal. Radiographs confirmed that the
induced fractures were diaphyseal and this model was highly reproducible (kappa
inter-rater reliability, 0.82). Rats were sacrificed 5, 14, 21, 28 and 35 days
post-fracture to obtain samples for histological, immunohistochemical and
molecular analysis. Expression of interleukin-1beta (Il-1beta), transforming
growth factor-beta2 (Tgf-beta2), bone morphogenetic protein-6 (Bmp-6), bone
morphogenetic protein-7 (Bmp-7) and bone gamma-carboxyglutamic acid-containing
protein (Bglap) genes was determined by reverse transcription quantitative
polymerase chain reaction and protein expression was evaluated by
immunohistochemistry, while histological examination allowed characterization of
the bone repair process. Il-1beta showed a biphasic expression, peaking 5 and 28
days post-fracture. Expression of Tgf-beta2, Bmp-6 and Bmp-7 was restricted to
the period 21 days post-fracture. Bglap expression increased gradually, peaking
21 days post-fracture, although it was expressed in all evaluated stages. Protein
expression corresponded with the increased expression of their corresponding
genes. In conclusion, a clear and well-defined expression pattern of the
evaluated genes and proteins was observed, where their maximal expression
correlated with their known participation in each stage of the bone healing
process.
PMID- 27882149
TI - Combination of endogenous neural stem cell mobilization and lithium chloride
treatment for hydrocephalus following intraventricular hemorrhage.
AB - As there are multiple factors causing hydrocephalus subsequent to
intraventricular hemorrhage (IVH), it is difficult to achieve the best treatment
effect using a single drug alone. In the present study, the protective effect of
combination treatment with granulocyte-colony stimulating factor (G-CSF) and
lithium chloride against hydrocephalus after IVH was investigated. A total of 130
adult male Sprague-Dawley rats were divided into five groups, including the IVH
control, G-CSF treatment, lithium chloride treatment, combination treatment and
sham surgery groups. An IVH rat model was established in order to examine the
effect of combination treatment on hydrocephalus incidence. A TUNEL assay was
performed to detect neuronal apoptosis in the five groups. In addition, the
protein expression levels of B-cell lymphoma 2 (Bcl-2) and Bcl-2-associated X
protein (Bax) were detected by western blot analysis. The differentiation of
nerve cells in the brain tissue obtained from the five rat groups was also
determined with double immunofluorescence staining. The results demonstrated that
administration of G-CSF or lithium chloride alone was able to only partly relieve
the incidence of hydrocephalus after IVH. By contrast, combination treatment with
G-CSF and lithium chloride significantly attenuated the development of
hydrocephalus following IVH. TUNEL assay showed that neuronal apoptosis was
significantly reduced by the combination treatment with G-CSF and lithium
chloride. Furthermore, the expression of Bcl-2 was upregulated, whereas Bax
expression was downregulated in the combination treatment group. The results also
detected the highest expression of BrdU/GFAP, BrdU/NeuN and BrdU/PSA-NCAM in the
combination treatment group. In conclusion, the combination of endogenous neural
stem cell mobilization (using G-CSF) and lithium chloride treatment resulted in
highly reduced incidence of hydrocephalus after IVH by inhibiting neuronal
apoptosis.
PMID- 27882148
TI - Toll-like receptor 4 gene is associated with recurrent spontaneous miscarriage in
Uygur and Han women in Xinjiang.
AB - Toll-like receptor 4 (TLR4), a recently identified vertebrate receptor, serves a
pivotal role in immune responses. The aim of the present study was to investigate
the association between the human TLR4 gene and recurrent spontaneous miscarriage
(RSM). A total of 306 RSM patents and 306 age-matched controls were genotyped for
four single-nucleotide polymorphisms (SNPs) of the human TLR4 gene (rs1927914,
rs1927911, rs4986790 and rs4986791). Data were analyzed for Uygur and Han women
separately using a haplotype-based case-control study. There were significant
differences between the distributions of rs1927914, rs1927911 and rs4986790 SNPs
between RSM patients and the controls (P=0.001, P<0.001 and P=0.015,
respectively) were identified in Uygur women, and significant differences between
the distributions of the rs1927914 and rs1927911 SNPs between RSM patients and
the controls (P<0.001 and P<0.001, respectively) were identified in Han women.
Results of the logistic regression analysis indicated that rs1927914, rs1927911
and rs4986790 SNPs were significantly higher in the RSM patients compared with
the control individuals (P=0.012, P=0.024 and P=0.035, respectively) in Uygur
women. Furthermore, significantly higher frequency was noted for the A-G-G
haplotype (SNP1-SNP2-SNP3) (P=0.016) in RSM patients compared with the controls
in Uygur women. The results indicate that rs1927914, rs1927911, rs4986790 and the
A-G-G haplotype (SNP1-SNP2-SNP3) of the human TLR4 gene may be genetic markers
for RSM in Uygur women, while rs1927914 and rs1927911 SNPs of the human TLR4 gene
are most likely associated with RSM in Han women in Xinjiang.
PMID- 27882150
TI - Effect of stromal cell-derived factor-1 on myocardial apoptosis and cardiac
function recovery in rats with acute myocardial infarction.
AB - The aim of the study was to investigate the effect of stromal cell-derived factor
1 (SDF-1) on myocardial apoptosis and cardiac function recovery in rats with
acute myocardial infarction (AMI) and the mechanism of the Toll-like receptor
(TLR)-4/nuclear factor-kappaB (NF-kappaB) signaling pathway. A total of 64
healthy male F344 rats were randomly divided into the sham operation, model, SDF
1 intervention and SDF-1 antibody groups, with 16 rats in each group. The method
of Olivette was used to establish the AMI model by ligation of the left anterior
descending artery. Day 1 after establishing the animal model, the rats in the SDF
1 intervention group were injected with 10 ul recombinant SDF-1 (400 ng/ml) in
five regions including the myocardial infarction area and the four surrounding
areas. The rats in the model group were injected with 10 ul normal saline
including the myocardial infarction area and the four surrounding areas, and
those in the SDF-1 antibody group were injected with 1 ml SDF-1 antibody (2
ug/ml). Four rats were sacrificed after 1, 3, 7 and 14 days after the
intervention, and the analysis was carried out. TUNEL in situ labeled apoptotic
cells were used for cell counting, and immunohistochemical staining was performed
to measure vascular density. The animal echocardiographic measurement was for the
left ventricular end-diastolic diameter (LVEDd), left ventricular end-systolic
diameter (LVESd), left ventricular fractional shortening (FS) and ejection
fraction (EF) values. The results showed that the number of apoptotic cells in
the SDF-1 treatment group was significantly lower than those in the other groups
at each time-point. The vessel densities in the 3-14 days were significantly
greater than those in other groups. At each time-point, the LVEDd and LVESd
values were smaller compared with the model group, but greater than the sham
operation group and decreased over time. FS and EF values were higher than those
in the model group at each time-point, but less than those of the sham operation
group and increased over time. The expression levels of TLR-4 and NF-kappaB at
each time-point were significantly higher than those of the remaining groups
(p<0.05). In conclusion, SDF-1 is capable of decreasing the apoptosis of cardiac
muscle cells in AMI, promoting angiogenesis and improving cardiac function, which
may be associated with the activation of the TLR-4/NF-kappaB signaling pathway.
PMID- 27882152
TI - Clinical and molecular study of a pediatric patient with sodium taurocholate
cotransporting polypeptide deficiency.
AB - The human solute carrier family 10 member 1 (SLC10A1) gene encodes sodium
taurocholate cotransporting polypeptide (NTCP), the principal transporter of
conjugated bile salts from the plasma into hepatocytes. Although the function of
NTCP has been studied extensively and a number of SLC10A1 variations have been
identified in humans, information regarding NTCP deficiency is limited. To date,
only one patient with NTCP deficiency has been described; however, in the present
study a pediatric patient who experienced intractable and striking
hypercholanemia is presented. Analysis of the SLC10A1 gene in the patient
revealed a homozygous p.Ser267Phe (c.800C>T) variation, which proved to be a
single-nucleotide polymorphism (SNP) in the allele frequency of 4.7% of healthy
controls. This variation involved a conserved amino acid residue on the
orthologous alignment that was predicted to be 'disease-causing' by functional
analysis using a number of bioinformatic tools. Next generation sequencing was
performed; however, no other genetic causes were identified that would affect the
bile acid homeostasis in the patient. Moreover, an adult, with the same genotype
as the pediatric patient, was identified for the first time as experiencing mild
hypercholanemia. The molecular and clinical findings in the present study
suggest, for the first time, that there is an association between p.Ser267Phe SNP
and hypercholanemia, and this information may be used to clinically identify NTCP
deficiency worldwide.
PMID- 27882151
TI - Effects of cytomegalovirus infection on the prognosis of inflammatory bowel
disease patients.
AB - The aim of the present study was to investigate the effects of cytomegalovirus
(CMV) infection on the prognosis of inflammatory bowel disease (IBD). Various
databases were searched using a combination of keywords associated with CMV
infection and IBD. Subsequent to the selection of relevant studies in line with
strict inclusion and exclusion criteria, a meta-analysis was conducted using the
Stata 12.0 software. A total of 195 studies were initially retrieved, including
28 studies in Chinese and 167 in English. Following the exclusion of unsuitable
studies, 7 cohort studies with 374 IBD patients were included in the meta
analysis. The results of the present study identified significant differences
between patients with and without CMV infection regarding the disease duration of
IBD [standardized mean difference, -0.81; 95% confidence interval (CI), -1.19 to
0.43; P<0.001], the efficacy of corticosteroid therapy [relative risk (RR), 1.24;
95% CI, 1.02-1.49; P=0.029], the colectomy rate (RR, 2.13; 95% CI, 1.03-4.40;
P=0.042) and the incidence of severe IBD (RR, 1.32; 95% CI, 1.04-1.67; P=0.022).
Considering the IBD onset area, patients with CMV infection may have higher
susceptibility to pancolitis (RR, 1.31; 95% CI; 1.01-1.72; P=0.045); however, no
difference in susceptibility to left-sided IBD was observed between patients with
or without CMV infection (RR, 0.97; 95% CI, 0.72-1.30; P=0.828). In conclusion,
CMV infection may be associated with the disease duration, efficacy of
corticosteroid therapy, colectomy rate, severe IBD incidence and disease location
of IBD; thus, the presence of CMV infection may be considered as an important
biomarker for determining the prognosis of IBD.
PMID- 27882153
TI - Petroleum ether extract of Chenopodium album L. prevents cell growth and induces
apoptosis of human lung cancer cells.
AB - Chenopodium album L. is a common edible herb distributed in China that has been
used as a traditional Chinese medicine for antiviral, antifungal, anti
inflammatory and cancer treatment. However, to the best of our knowledge no
previous reports have investigated its the function of its phytochemical extracts
in lung cancer cells. The purpose of the present study was to assess the
anticancer activities of the phytochemical extracts of C. album L. on human non
small cell lung cancer A549 cells. The present findings demonstrated that the
petroleum ether (PE) extract of C. album L. exhibited significant growth
inhibitory effects on A549 with an IC50 value of 33.31+/-2.79 ug/ml. As
determined by MTT and colony formation assays, its growth inhibitory effects were
dose- and time-dependent. Furthermore, PE extract-treated A549 cells exhibited
dose-dependent cell growth arrest at the G1 phase of the cell cycle and cell
apoptosis was induced. These results provide useful data on the anticancer
activities of C. album L. in human lung cancer and demonstrated the novel
possibilities of this plant in developing lung cancer therapies.
PMID- 27882155
TI - Clinical value of diagnosing aortico-left ventricular tunnel by echocardiography.
AB - In order to assess the clinical value of echocardiography in the diagnosis of
aortico-left ventricular tunnel (ALVT) the echocardiographic data and clinical
manifestations of six patients with ALVT were analyzed in the present study.
Based on the results, a comprehensive study was conducted regarding 147 cases
from related documents. Six patients were confirmed with ALVT by surgery. The
echocardiographic images of the patients revealed an abnormal communication
beginning in the ascending aorta above the coronary arteries, bypassing the
aortic valve, and ending in the left ventricle. The color Doppler flow imaging
showed a high-speed to- and -fro flow with or without the involvement of the
aortic valve. According to Hovaguimian type casting, there were two cases of type
I, two cases of type III, one case of type IV, and one case of type II
(postoperative recanalization). Of the 153 cases reported, the accuracy rate of
the ultrasound diagnosis was 79.6%, the misdiagnosis rate was 17.1%, and the
missed diagnostic rate was 3.3%. In conclusion, echocardiography is the preferred
method for non-invasive preoperative diagnosis of the ALVT and can accurately
describe the type and involvement of the cardiac structure.
PMID- 27882154
TI - Kai-Xin-San, a traditional Chinese medicine formulation, exerts antidepressive
and neuroprotective effects by promoting pCREB upstream pathways.
AB - Kai-Xin-San (KXS) is a traditional Chinese medicine that has been widely used for
the treatment of emotion-related disease. However, the underlying mechanism
remains largely unknown. The present study aimed to examine whether phospho-cAMP
response element-binding protein (pCREB) and upstream components, such as
extracellular signal-regulated kinase (ERK), phospho-ERK (pERK),
phosphatidylinositol-3-kinase (PI3K), protein kinase B (Akt), glycogen synthase
kinase 3beta (GSK3beta) and pGSK3beta are associated with the antidepressive
effect of KXS. In total, 24 male Wistar rats were randomly divided into three
groups, including control (n=8, no treatment), induced with chronic unpredictable
mild stress (CMS) (n=8), and CMS rats treated with KXS at dosage of 370 mg/kg/day
orally. Primary hippocampal neuronal cultures were prepared from Wistar rats for
cell survival and proliferation assays. In KXS rats, increased protein expression
levels of pCREB, BDNF and tyrosine receptor kinase B (TrkB) were observed in the
hippocampus and prefrontal cortex, compared with the CMS model group.
Furthermore, increased expression levels of ERK, pERK, PI3K, Akt, and GSK3beta
were also detected in the hippocampus and prefrontal cortex of KXS-treated rats
compared with CMS model rats and in primary hippocampal neuronal cells treated
with KXS. These results suggest that pCREB and upstream components, including
TrkB/ERK/CREB and TrkB/PI3 K/CREB, may contribute to the antidepressive effect
induced by KXS. Further studies are required to confirm these findings.
PMID- 27882156
TI - Clinical effects of joint application of beta-sodium aescinate and mannitol in
treating early swelling after upper limb trauma surgery.
AB - The aim of the present study was to examine the clinical merits of joint
application of beta-sodium aescinate and mannitol for the treatment of early
swelling of upper limb trauma after surgery. We verified whether the expression
of serum aquaporin 1 (AQP-1) was involved in swelling mechanism. A total of 102
patients with swelling after upper limb trauma surgery were enrolled into the
study and divided randomly into 3 groups (n=34 cases per group). Group A was
treated with beta-sodium aescinate; group B was treated with with mannitol and
group C was treated with both beta-sodium aescinate and mannitol. The expression
level of AQP-1, and clinical effects and complications before and after treatment
were comparedS. The time of swelling subsidence in group C was significantly
shorter than that of the other two groups and differences were statistically
significant (P<0.05). The recovery ratio and total efficiency in group C were
significantly higher than those in other two groups and differences were
statistically significant (P<0.05). Three and seven days after treatment, the AQP
1 levels in group A and group C were decreased and AQP-1 level decreased further
with time. Differences of comparison within groups were statistically significant
(P<0.05), although the differences of comparison between the groups showed no
statistical significance (P>0.05). We also compared the AQP-1 level in group B
before and after treatment, and the differences were not statistically
significant (P>0.05). When the complication incidence in the 3 groups was
compared, no statistical significance was detected (P>0.05). We concluded that
the joint use of beta-sodium aescinate and mannitol in treating early swelling
after upper limb trauma surgery produced satisfactory outcomes. This might be
related to reduction of the AQP-1 level.
PMID- 27882157
TI - Analysis of real-time three dimensional transesophageal echocardiography in the
assessment of left atrial appendage function in patients with atrial
fibrillation.
AB - The aim of the study was to examine changes in left atrial appendage volume (LAA
V) in patients with non-valvular atrial fibrillation (AF) using real-time three
dimensional transesophageal echocardiography (RT3D-TEE) and evaluate the
prediction value on the high risk of thrombosis of LAA. Using RT3D-TEE we
measured: i) LAA peak empty velocity (LAA-PEV), ii) LAA-V including LAA end
diastolic volume (LAA-EDV) and end-systolic volume (LAA-ESV). We also calculated
LAA ejection fraction (LAA-EF). RT3D-TEE was applied in 20 control cases and 74
patients with non-valvular AF. According to the presence of thrombosis, 74
patients were divided into the no thrombosis group (NTH group, n=52) and
thrombosis group (TH group, n=22). Our results showed that there were significant
differences in LAA-V and LAA-EF values in different groups (P<0.05). LAA-EDV
moderately correlated with LAA-PEV (r=-0.531, P<0.001) while LAA-ESV demonstrated
a strong correlation with LAA-PEV (r=-0.741, P<0.001). LAA-EF also showed a
strong correlation with LAA-PEV (r=0.693, P<0.001). Through receiver operating
characteristic (ROC) curves, the cut-off values of LAA-EDV and LAA-ESV in
thrombosis of LAA were 18.45 and 9.69 ml, respectively. RT3D-TEE effectively
evaluated the LAA-V, LAA-PEV and LAA-EF parameters, and proved to be valuable in
the process of evaluation of thrombosis of LAA.
PMID- 27882158
TI - Functional characterization of human umbilical cord-derived mesenchymal stem
cells for treatment of systolic heart failure.
AB - Congestive heart failure (HF) is a leading cause of morbidity and mortality
worldwide. Although advances in medical therapy, mechanical support and heart
transplantation have been made, almost half of all patients with HF succumb to
the disease within five years of the initial diagnosis. Therefore, treatment
methods need to be identified to restore the structure and function of cardiac
muscle. Three patients with HF caused by ischemic cardiomyopathy received human
umbilical cord-derived mesenchymal stem cell (HUC-MSC) intravenous infusion were
included in the present study. Two patients demonstrated a 65.1% increase in left
ventricular ejection fraction (LVEF) at the end of 3 months, which was maintained
increasing 47.8% at the end of 12 months post-HUC-MSC intravenous infusion. LVEF
of patient 1 decreased slowly in the observation period. This LVEF improvement
was associated with significant improvements in the clinical parameters of the
New York Heart Association class, and six-minute walk test in the coupled time.
The third patient showed significant improvement in the six-minute walk test at
the end of 12 months, while the other parameters did not change obviously. There
were no severe adverse events during and post-HUC-MSC transplantation. During
follow-up, no other immunosuppressive drugs were used. In conclusion, HUC-MSC
therapy is a reasonable salvage treatment in HF. Future large-scale randomized
clinical trials are likely to be designed to elucidate the efficacy of the HUC
MSC transplantation therapy on HF.
PMID- 27882159
TI - National trends for open and endovascular repair of aneurysms in Korea: 2004
2013.
AB - The introduction of endovascular aneurysm repair has led to a dramatic decline in
open aneurysm repair. The aim of this report was to evaluate Korean national
trends for the treatment of aneurysms. A serial, cross-sectional study of time
trends of patients who underwent aneurysm repair between 2004 and 2013 was
conducted. Data from the Health Insurance Review and Assessment Service were used
to evaluate the trends of aneurysm repair in the Korean population and to analyze
the trends of open and endovascular aneurysm repair among Medicare beneficiaries.
A linear-by-linear association was performed to determine alterations in the
rates at which these aneurysm repair techniques were performed. A total of 32,130
patients underwent aneurysm repair between 2004 and 2013. The proportion of
patients who underwent open repair decreased from 94.0% in 2004 to 54.9% in 2013;
whereas the proportion of patients who underwent endovascular repair increased
from 6.0% in 2004 to 45.1% in 2013. During the study period, the number of
patients undergoing endovascular repair of aortic aneurysms significantly
increased from 82 to 1,396 (relative risk, 16.17; 95% confidence interval: 12.94
20.21). Endovascular repair of abdominal aortic aneurysms (AAAs)overtook open
repair between 2010 and 2011. The frequency of open aneurysm repair increased 1.2
fold, with an overall downward trend. The prevalence of endovascular repair
markedly increased 15.3-fold. These findings indicated that, in Korea, the
endovascular repair of AAAs overtook open repair as the most common technique
between 2010 and 2011.
PMID- 27882160
TI - Disseminated nocardiosis caused by Nocardia otitidiscaviarum in an
immunocompetent host: A case report and literature review.
AB - The aim of the present study was to summarize the clinical characteristics of
nocardiosis caused by Nocardia otitidiscaviarum in order to improve the knowledge
of nocardiosis. A case of dissemination nocardiosis caused by N. otitidiscaviarum
in an immunocompetent host is reported and the associated literature reviewed.
Informed consent for publication of this case report was provided by the patient.
The present patient was a young immunocompetent man suffering from disseminated
nocardiosis induced by infection with N. otitidiscaviarum. Following a poor
response to beta-lactam antibiotic, a combination of sulfonamide with minocycline
was administered, which successfully ameliorated the symptoms. Previous studies
published in English were retrieved from PubMed with 'Nocardia otitidiscaviarum'
used as the search keyword. A total of 23 articles were retrieved from the PubMed
database, supporting the assertion that N. otitidiscaviarum is a rare Nocardia
species. Among these 23 cases, there were 11 cases of lymphocutaneous (48%), 5 of
pulmonary (22%), 2 of brain (9%) and 1 of pyothorax (4%) infection, and 4 cases
of disseminated infections (17%). Analysis of the immune state of these patients
demonstrated that 9 were immunocompetent (39%), 7 of whom had cutaneous
infections (30%) with a predominant history of trauma (6/7), and 14 were
immunosuppressed, 9 of whom were treated with prednisolone. Microbiology and
histopathology were necessary in all cases for definite diagnosis. Among the 13
cases who underwent drug susceptibility testing, 10 cases were sensitive to
trimethoprim-sulfamethoxazole (TMP-SMX) and 12 cases were sensitive to
aminoglycoside. In conclusion, although N. otitidiscaviarum is one of the less
commonly isolated species of Nocardia, it is capable of inducing localized or
disseminated infection, even in an immunocompetent host. The majority of cases
respond well to TMP-SMX and aminoglycoside, but the therapeutic action of
cephalosporin is weak. Identification of bacteria and drug sensitivity tests for
Nocardia is critical for guiding clinical treatment.
PMID- 27882161
TI - Potential diagnostic role of diffusion tensor imaging in early-stage
osteonecrosis of the femoral head.
AB - The present study aimed to explore the potential diagnostic role of diffusion
tensor magnetic resonance imaging (DTI) in the early stage of modified
corticosteroid-induced osteonecrosis of the femoral head (ONFH). A total of 20
beagles were randomly classified (1:1) into either an experimental group (LM),
which were intramuscularly injected with lipopolysaccharide (LPS) and
methylprednisolone (MPS) on three consecutive days, or control (CON) group, which
were injected with saline. Magnetic resonance imaging (MRI) and DTI were
performed at pre-induction and 8 and 12 weeks post-induction. Apparent diffusion
coefficient (ADC) values in the range of interest in the femoral head were
quantified using DTI. Proximal femora were examined for ONFH at 8 and 12 weeks.
The results demonstrated that ONFH developed in four beagles at 8 weeks and in
six beagles at 12 weeks, whereas no ONFH was detected in the CON group. No
abnormalities were detected by MRI and DTI, and no mortality occurred. In beagles
with ONFH in the LM group, the ADC values were 4.7+/-0.2*10-4 and 4.8+/-0.3*10-4
mm2/sec at 8 and 12 weeks, respectively, which were significantly increased
compared with the CON group (2.5+/-0.3*10-4 and 2.4+/-0.3*10-4 mm2, respectively)
and the LM group without ONFH (2.6+/-0.4*10-4 and 2.4+/-0.3*10-4 mm2,
respectively) (P<0.05). The results of the present study indicated that
intramuscular injection of LPS and MPS may lead to early-stage ONFH in beagles.
As such, the detection of locally elevated ADC values in the femoral head may aid
in the early diagnosis of ONFH.
PMID- 27882162
TI - Evaluation of the health-related quality of life using the 36-item short form
health survey in patients with chronic hepatitis C receiving pegylated
interferon/ribavirin/telaprevir triple treatment.
AB - The rate of sustained virologic response (SVR) has increased in patients with
chronic hepatitis C (CHC; genotype 1) since triple treatment with pegylated
interferon (PEG-IFN), ribavirin (RBV) and telaprevir (TVR) was included in
Japanese health insurance. However, side effects such as high-grade anemia and
skin disorders means it is important to investigate the extent to which quality
of life (QOL) is maintained during treatment. The impact on health-related (HR)
QOL, as a result of TVR-based triple treatment was investigated long-term (48
weeks) in 34 patients (18 men, 16 women) following TVR-based triple treatment,
using the 36-item short form health survey (SF-36). While scores for physical
health were significantly lower during treatment, an improvement was seen in
patients who showed complete response to treatment from 12 weeks following
treatment (P<0.05). HRQOL improved significantly following completion of TVR
based triple treatment in these complete-responders, with higher scores compared
with those prior to treatment. Anemia and skin symptoms appeared frequently
during treatment and scores for physical health dropped. Particular care needs to
be taken in regards to the management of side effects during TVR treatment.
Further evaluations using the SF-36 may help in controlling doses to achieve SVR.
PMID- 27882163
TI - Insulin-induced autoimmune syndrome: A case report.
AB - Insulin autoimmune syndrome (IAS) is a disease characterized by hyperinsulinemic
hypoglycemia associated with autoantibodies against endogenous insulin. A 56-year
old man was admitted to Ningbo First Hospital for the treatment of spontaneous
hypoglycemia. He was found to have elevated fasting insulin level (>1,000 mIU/l)
and presence of insulin autoantibodies, and after appropriate workup, was
diagnosed with IAS. After treating with prednisone for 2 months, his insulin
level started decreasing. In patients with repeated hypoglycemia, IAS should be
considered in the differential diagnosis. Prednisone may be effective for the
treatment of hypoglycemia in patients with IAS.
PMID- 27882164
TI - Inflammatory bowel disease in Chinese children: A retrospective analysis of 49
cases.
AB - To present study reports the clinical characteristics, diagnosis and management
of pediatric patients with inflammatory bowel disease (IBD) in China. Clinical
records of 49 pediatric patients with IBD at Fudan University Children's Hospital
(Shanghai, China) between July 2001 and May 2012 were reviewed. Of 49 patients
(30 males and 19 females), 8 patients had ulcerative colitis (UC) and 41 patients
had Crohn's disease (CD). The mean age was 10.4 years in patients with UC and
10.1 years in patients with CD. The percentages of patients with UC with
abdominal pain, diarrhea or rectal bleeding were 62.5, 100 and 87.5%,
respectively, and that of CD patients were 75.6, 61 and 39%, respectively.
Patients with UC were underweight (37.5%), and had oral ulcers (12.5%) and
arthritis (12.5%), and patients with CD were underweight (34.1%), and had oral
ulcers (26.8%), anal fistulas (22%) and arthritis (19.5%). Patients with CD had a
higher proportion of immunoglobulin G, C-reactive protein and erythrocyte
sedimentation rate compared with patients with UC. Histologic lesions of patients
with UC were primarily located in the sigmoid colon (75%), transverse colon (50%)
or pan-colon (50%), and in patients with CD they were located in the distal ileum
(51.2%), ileocecum (58.5%) or upper gastrointestinal tract (41.5%). All patients
underwent colonoscopies and 13 underwent double-balloon enteroscopies. Standard
treatment for IBD, including corticosteroids, 5-aminosalicylate, and
immunosuppressants (6-mercaptopurine and azathioprine), were administered to all
patients. In addition 3 patients were treated with infliximab and 10 patients
were treated with thalidomide. Comprehensive assessment of clinical, laboratory,
endoscopic, and pathohistological data will benefit the timely diagnosis of IBD.
PMID- 27882165
TI - Emodin inhibits migration and invasion of MHCC-97H human hepatocellular carcinoma
cells.
AB - Emodin, an anthraquinone derivative from the root and rhizome of Rheum palmatum
L., was found to have antitumor effects in different types of cancer by
regulating multi-molecular targets. The aim of the present study was to explore
the effect of emodin on the migration and invasion of MHCC-97H human
hepatocellular carcinoma cells and the underlying molecular mechanisms. Firstly,
it was demonstrated that emodin can inhibit cell proliferation and induce
apoptosis of cells in a time- and dose-dependent manner, using a MTT assay and
flow cytometry, respectively. However, when emodin concentration was <50 umol/l,
it had little effect on the inhibition of proliferation or the induction of
apoptosis. Then, it was observed that emodin can significantly suppress cell
migration and invasion with a treatment dose <50 umol/l compared with the control
(P<0.05), which was not attributed to a decrease in cell number. Further study
demonstrated that emodin significantly suppressed the expression levels of matrix
metalloproteinase (MMP)-2 and MMP-9 compared with the control, which may be
mediated by the activation of the p38 mitogen-activated protein kinases (MAPK)
signaling pathway and suppression of extracellular signal regulated kinase
(ERK)/MAPK and phosphatidylinositol 3-kinase/Akt signaling pathways. Therefore,
the present study, for the first time, used MHCC-97H cells, which have the high
potential of malignant invasion, to demonstrate that emodin may inhibit cell
migration and invasion.
PMID- 27882166
TI - Galanin receptor 2 mediates antifibrogenic effects of galanin on hepatic stellate
cells.
AB - Galanin is an endogenous factor involved in the negative regulation of the
biological effects of leptin in bioenergetic metabolism. Leptin promotes
fibrogenic effects in hepatic stellate cells (HSCs), however, little is known
about the effects of galanin on HSCs. In the present study, the biological
functions of galanin and its receptors (GalRs) in HSCs were investigated using
cell culture in vitro. It was found that galanin and GalR3 mRNA are expressed in
quiescent and activated HSCs. GalR2 expression was undetectable in quiescent HSCs
but was markedly induced in activated HSCs. In the HSC-T6 cell line, which is an
activated rat HSC cell line, treatment with 100 nmol/l galanin significantly
inhibited cell proliferation. It also inhibited transforming growth factor (TGF)
beta1 and alpha-smooth muscle actin (SMA) expression and upregulated peroxisome
proliferator-activated receptor (PPAR)-gamma expression. Following the knockdown
of GalR2 by specific small interfering RNA, the activation of GalR3 by galanin
does not influence these effects of galanin on HSCs. However, activation of GalR2
alone by galanin following the knockdown of GalR3 inhibits HSC proliferation and
TGF-beta1 and alpha-SMA expression, in addition to inducing PPAR-gamma
expression. These data suggest that galanin inhibits HSC activation and
suppresses the profibrogenic features of these cells, and these effects might be
mediated by GalR2. Thus, galanin is a potential endogenous factor in the
inhibition of liver fibrosis.
PMID- 27882167
TI - Expression and functions of the STAT3-SCLIP pathway in chronic myeloid leukemia
cells.
AB - Chronic myeloid leukemia (CML) is a blood cell cancer with increased
proliferation of granulocytes. Signal transducers and activators of transcription
3 (STAT3) is an important regulator of CML. To investigate the possible
downstream factors of STAT3 and gain more insight into CML-related pathways, this
study focused on the superior cervical ganglia protein 10-like protein (SCLIP, or
SCG 10-like protein) and analyzed the functions of the STAT3-SCLIP pathway. The
effects of STAT3 phosphorylation on SCLIP expression were examined by western
blotting. Specific small interfering RNA (siRNA) was then used to knockdown SCLIP
in the CML cell line K562 and the expression changes of STAT3 and factors further
downstream, namely Bcl-2 and cyclin E1, were detected by RT-qPCR. Cell viability
and apoptosis were also analyzed following the knockdown of SCLIP. Results showed
a positive association between the phosphorylation of STAT3 and the expression of
SCLIP. Knockdown of SCLIP inhibited the viability and induced the apoptosis of
K562 cells. Knockdown of SCLIP did not affect the expression of STAT3 mRNA but
downregulated the mRNA levels of Bcl-2 and cyclin E1. In conclusion, the results
indicate that SCLIP is a direct downstream factor of STAT3, regulates Bcl-2 and
cyclin E1 and mediates the viability and apoptosis of CML cells. Consisting of at
least these four factors, the STAT3-SCLIP pathway might play critical roles in
the regulation of CML. These data provided a more profound understanding of CML
related pathways.
PMID- 27882168
TI - Monozygotic twins with infantile neuroaxonal dystrophy: A case report and
literature review.
AB - Infantile neuroaxonal dystrophy (INAD) is a rare neurodegenerative disease with
early onset. PLA2G6 gene mutations have been identified in the majority
individuals with INAD. In future, molecular diagnosis of INAD will replace the
invasive biopsies used previously. In the present report, monozygotic male twins
with INAD were referred The Children's Hospital (Zhejiang University School of
Medicine, Zhejiang, China) at fifteen months old for delayed development. The
older brother was found to have developmental stagnation when he was 6 months
old. The patient could not stand securely without support, and had poor eye
tracking and listening ability. Magnetic resonance imaging (MRI) of the patient's
brain revealed cerebellar atrophy and electromyography identified signs of
peripheral neuropathy. The younger brother displayed similar clinical features
and findings. Two different phospholipase A2 group VI (PLA2G6; 22q13.1) gene
mutations were detected in the twins by DNA sequencing. The results of the
present study indicate that neurogenetic disease should be considered when child
patients present with idiopathic developmental stagnation, particularly when
similar cases have appeared in the same family. In addition, INAD should be
considered as a possible diagnosis when the patient has developmental delay of
the central and peripheral nerves. In the future, molecular genetic testing will
be the primary method of INAD diagnosis, enabling better prevention of this
genetic disease.
PMID- 27882170
TI - Acute encephalitis with refractory, repetitive partial seizures: A case report
and literature review.
AB - A male 46 year-old patient was admitted to the Emergency Intensive Care Unit of
Qinghai Provincial People's Hospital (Xining, China) in December 2008 with
complaints of a headache and fever that had lasted for three days. In addition,
the patient had experienced two general convulsions accompanied with
consciousness disorders for 7 h. Although the patient's clinical manifestations,
laboratory tests and cerebrospinal fluid examinations were consistent with
numerous features associated with acute encephalitis, the patient's clinical
course was different to that of acute encephalitis, and the prognosis was poor.
The clinical course of the patient fully complied with the diagnostic criteria of
acute encephalitis with refractory, repetitive partial seizures (AERRPS), as
follows: i) The acute phase of encephalitis lasted >2 weeks; ii) partial seizures
accompanied with general seizures occurred throughout the acute and convalescent
course; iii) frequent seizures, a number of which resulted in a sustained state,
were more prominent in the acute phase; iv) seizures were difficult to control;
and v) known viral encephalitis and systemic metabolic disorders were excluded
from the diagnosis. Based on previous reports and the present study, it can be
concluded that AERRPS does not respond to the majority of anti-epileptic drugs,
and while high-dose phenobarbital was effective in the current study, it was not
able to fully control the focal and general seizures. Despite this, phenobarbital
is required for maintenance in the recovery phase.
PMID- 27882169
TI - Efficacy of mesenchymal stem cells in treating patients with osteoarthritis of
the knee: A meta-analysis.
AB - To assess the clinical efficacy and safety of mesenchymal stem cell (MSC)
treatment for osteoarthritis of the knee (KOA), a systematic electronic
literature search was performed on PubMed, EMBASE and Web of Science. Studies
published in English from the earliest record to December 2014 were searched
using the following keywords: Cartilage defect, cartilage repair, osteoarthritis,
KOA, stem cells, MSCs, bone marrow concentrate (BMC), adipose-derived mesenchymal
stem cells, synovial-derived mesenchymal stem cells and peripheral blood-derived
mesenchymal stem cells. The effect sizes of selected studies were determined by
extracting pain scores from the visual analog scale and functional changes from
International Knee Documentation Committee and Lysholm and Western Ontario and
McMaster Universities Osteoarthritis Index before and after MSCs or reference
treatments at 3, 6, 12, and 24 months. The factors were analyzed and the outcomes
were modified after comparing the MSC group pooled values with the pretreatment
baseline or between different treatment arms. A systematic search identified 18
clinical trials on this topic, including 10 single-arm prospective studies, four
quasi-experimental studies and four randomized controlled trials that used BMCs
to treat 565 patients with KOA in total. MSC treatment in patients with KOA
showed continual efficacy for 24 months compared with their pretreatment
condition. Effectiveness of MSCs was improved at 12 and 24 months post-treatment,
compared with at 3 and 6 months. No dose-responsive association in the MSCs
numbers was demonstrated. However, patients with arthroscopic debridement,
activation agent or lower degrees of Kellgren-Lawrence grade achieved improved
outcomes. MSC application ameliorated the overall outcomes of patients with KOA,
including pain relief and functional improvement from basal evaluations,
particularly at 12 and 24 months after follow-up.
PMID- 27882171
TI - Role of microRNA-141 in colorectal cancer with lymph node metastasis.
AB - The present study aimed to investigate the role of microRNA (miR)-141 in the
pathogenesis of colorectal cancer (CRC). In total, 58 CRC patients were included
in the present study. The mRNA and protein expression levels of mitogen-activated
protein kinase 4 (MAP4K4) were detected by reverse transcription-quantitative
polymerase chain reaction (RT-qPCR) and western blot analysis, respectively. The
miRNA-141 expression was measured by RT-qPCR, while serum MAP4K4 content was
detected by enzyme-linked immunosorbent assay. Natural killer (NK) cells and T
cells in peripheral blood were detected by flow cytometry. The results indicated
that the mRNA and protein expression levels of MAP4K4 were significantly elevated
in the tumor tissues, lymph nodes (P<0.01) and serum (P<0.05) in CRC.
Furthermore, the expression levels of MAP4K4 in CRC patients with lymph node
metastasis were higher compared with those in patients without metastasis.
Bioinformatics analysis revealed that MAP4K4 may be the target gene of miRNA-141.
The expression levels of miRNA-141 in the tumor tissues, lymph nodes and serum
were significantly decreased in CRC patients, with a more evident decline in
cases with lymph node metastasis. In addition, the percentage of NK, CD3+ T and
CD4+ T cells was significantly decreased, whilst the number of CD8+ T cells was
significantly increased, in the peripheral blood in CRC. The present results
showed that miRNA-141 was downregulated in CRC, which increased the expression
levels of MAP4K4 and altered the anti-tumor response, further increasing the
proliferation, invasion and metastasis of the tumors. These findings may
contribute to improving the current understanding of the pathogenesis of CRC, and
lead to the development of therapies involving miRNA-141.
PMID- 27882172
TI - Downregulated miR-486-5p acts as a tumor suppressor in esophageal squamous cell
carcinoma.
AB - microRNAs (miRNAs/miRs) are crucial regulators of gene expression at the post
translational level through promoting mRNA degradation or the repression of
translation of target genes. miRs have been confirmed to serve a dominant role in
tumor biology. miR-486-5p has been ascertained to be involved in non-small-cell
lung cancer, breast cancer and hepatocellular carcinoma; however, the expression
and function of miR-486-5p in esophageal squamous cell carcinoma (ESCC) has yet
to be elucidated. The present study aimed to analyze the expression levels of miR
486-5p in ESCC tissues and paired normal adjacent tissues, and determine the
effects of miR-486-5p on esophageal cancer cells using MTT, wound scratch and
apoptosis assays. The current results showed that miR-486-5p was significantly
downregulated in ESCC specimens. Ectopic expression of miR-486-5p by synthetic
mimics reduced cell proliferation and migration and induced increased cell
apoptosis. The results indicated miR-486-5p may function as a tumor suppressor in
ESCC. The present study demonstrated that miR-486-5p was downregulated in ESCC
and served a anti-oncogene role in ESCC via affecting cellular migration.
PMID- 27882173
TI - Anti-human fibroblast growth factor-21 monoclonal antibody preparation,
characterization and analysis of in vitro bioactivity.
AB - Human fibroblast growth factor 21 (hFGF-21) is involved in numerous metabolic
processes and elevated hFGF-21 levels are associated with many metabolic
diseases. However, the role hFGF-21 serves in the metabolic system is not fully
understood. A humanized anti-hFGF-21 monoclonal antibody (mAb) would provide a
novel method for further investigations into the role hFGF-21 serves in the
metabolic system and related diseases, which may reveal therapeutic targets for
future treatment of these diseases. The present study aimed to prepare an anti
hFGF-21 mAb, followed by identification of its characteristics and bioactivity in
vitro. The results of the present study identified that the anti-hFGF-21 mAb
(clone 2D8) produced had good specificity, had an immunoglobulin isotype of IgG2b
and a titer of 1:1.024*106. hFGF-21 was screened for epitopes using fluorescence
activated cell sorting, which revealed a specific 15 amino acid sequence
(YQSEAHGLPLHLPGN) that the anti-hFGF-21 mAb recognized. In vitro bioactivity of
anti-hFGF-21 was determined using a glucose uptake assay and by measuring the
expression of glucose transporter 1 (GLUT1) messenger RNA (mRNA) in 3T3-L1
adipocytes. This revealed that hFGF-21-dependent glucose uptake and GLUT1 mRNA
expression were negatively correlated with increasing levels of the anti-hFGF-21
mAb tested, and that hFGF-21 activity could be overcome by increasing
concentrations of the mAb, demonstrating that the mAb has hFGF-21-neutralizing
activity in vitro.
PMID- 27882175
TI - Tea polyphenols protect against ischemia/reperfusion-induced liver injury in mice
through anti-oxidative and anti-apoptotic properties.
AB - Tea polyphenols (TPs), which are derived from tea extracts, are a class of
chemicals containing polyphenol hydroxyls that have been observed to have strong
anti-oxidative properties. Previous studies have demonstrated that TP can protect
against hepatic ischemia/reperfusion (I/R) injury; however, the underlying
mechanism remains unknown. In the present study, the mechanism underlying TPs
protective effects against I/R-induced liver damage was investigated, focusing on
its anti-oxidative and anti-apoptotic bioactivities. C57BL/6 mice were used to
establish a hepatic I/R-induced injury model, and liver injury was analyzed using
a biochemical assay. The results from the current study demonstrated that the
serum expression levels of aspartate aminotransferase (AST) and alanine
aminotransferase (ALT) were significantly increased in mice following hepatic I/R
injury, while the ratio of hepatic glutathione (GSH)/oxidized GSH (GSSG) was
reduced, indicating that liver damage had occurred. In mice that were orally
administered with TP (50 mg/kg) 1 h prior to I/R-induced injury, the extent of
liver injury was significantly attenuated. It was also observed that I/R injury
significantly decreased the mRNA and protein expression levels of cytokine
inducible nitric oxide synthase in liver tissues, and this was also attenuated by
pretreatment with TP. Furthermore, pretreatment with TP significantly attenuated
the I/R-induced increase in liver cell apoptosis, and the expression level and
activity of pro-apoptotic proteins in the liver, indicating that I/R-induced
liver cell apoptosis is inhibited by TP. In conclusion, the results in the
present study suggest that TP protects against hepatic I/R-induced injury by
inhibiting I/R-induced oxidative damage and liver cell apoptosis.
PMID- 27882174
TI - Reduction of p38 mitogen-activated protein kinase and cyclooxygenase-2 signaling
by isoflurane inhibits proliferation and apoptosis evasion in human
papillomavirus-infected laryngeal papillomas.
AB - Human laryngeal papilloma (LP) is a human papillomavirus-induced hyperplastic
tumor of the respiratory tract, which is characterized by rapid growth and
apoptosis resistance. Isoflurane (ISO) inhibits proliferation and elicits
apoptosis in cancer cells. The results of the present study found that the mRNA
and protein levels of cyclooxygenase-2 (COX2) were higher in LP tissues than in
normal laryngeal samples, and prostaglandin E2 (PGE2) production was increased in
LP cells, as determined by quantitative polymerase chain reaction, western blot
and radioimmunoassay analyses. Notably, the increase in COX2 and PGE2 levels was
significantly abrogated in the ISO-treated LP cells. The inhibitory effects of
ISO on COX2 expression and activity depended on the inactivation of p38 mitogen
activated protein kinase (MAPK) in LP cells. By inhibiting the COX2 activity of
LP cells, ISO treatment markedly suppressed cell viability and proliferation, as
determined using Cell Counting Kit-8, flow cytometry and 5-ethynyl-20
deoxyuridine incorporation assays. Furthermore, ISO treatment promoted cell
apoptosis, as demonstrated by flow cytometry, nucleosomal fragmentation and
caspase-3 activity assays. Collectively, the present results suggest that COX2 is
critical in the progression of LP, and ISO is a potential agent for LP therapy by
impeding p38 MAPK/COX2 signaling.
PMID- 27882176
TI - Effect of the interaction between atorvastatin and selective serotonin reuptake
inhibitors on the blood redox equilibrium.
AB - Cardiovascular disease and depression often occur simultaneously in the same
patient. Long-term polypharmacotherapy can lead to drug-induced oxidative stress.
Data concerning the effects of concomitant treatment with atorvastatin and
selective serotonin reuptake inhibitors (SSRIs) are lacking. The aim of the
present study was to examine oxidative stress parameters in the blood of rats
after 28 days treatment with atorvastatin combined with fluoxetine or paroxetine.
The study was carried out on male Wistar rats weighing 200-250 g. Aqueous
solutions of atorvastatin (10 mg/kg), fluoxetine (10 mg/kg) and paroxetine (10
mg/kg) were injected once a day for 28 days, separately or concomitantly. The
activity of glutathione peroxidase (GPX) was determined in the whole blood,
whereas the activity of glutathione reductase (GR) and the total antioxidant
status (TAS) were determined in the serum. The results demonstrated that
concomitant administration of atorvastatin with fluoxetine caused an increase in
the GPX activity and the TAS. Atorvastatin administered to rats with paroxetine
increased the activities of GPX and GR. In the groups of rats receiving
atorvastatin or SSRIs separately, no statistically significant changes in the
investigated parameters were observed. The changes that were detected may
indicate an increase in endogenous antioxidant levels during the concomitant
application of atorvastatin with SSRIs and thus a drug-drug interaction having an
effect on the blood redox equilibrium.
PMID- 27882177
TI - Catechol-O-methyltransferase promoter hypomethylation is associated with the risk
of coronary heart disease.
AB - Catechol-O-methyltransferase (COMT) gene variation is known to be associated with
the risk of acute coronary events. The purpose of the present study was to
investigate the contribution of COMT promoter methylation towards the risk of
coronary heart disease (CHD). COMT methylation was evaluated in 48 CHD cases and
48 well-matched non-CHD controls using bisulfite pyrosequencing technology. The
results demonstrated that CHD cases had a significantly lower level of
methylation at COMT CpG3 sites compared with the controls (33.77+/-5.71 vs.
36.42+/-5.00%; P=0.018). Further analysis, according to gender, showed that CpG3
methylation was associated with CHD in males (P=0.038) but not in females
(P=0.253), suggesting that there is a gender disparity in the association between
COMT methylation and CHD. In conclusion, it was determined that COMT CpG3
hypomethylation is associated with an increased risk of CHD in males.
PMID- 27882178
TI - Modulatory effects of feeding pregnant and lactating mice Rhodiola kirilowii
extracts on the immune system of offspring.
AB - Plants of Rhodiola genus are medicinal herbs that have a number of therapeutic
properties, including anti-inflammatory and immunomodulatory activity. The
present study aimed to determine whether the use Rhodiola kirilowii as an
immunostimulant during pregnancy has an adverse effect on the development of the
offspring immune system. Following mating, pregnant mice were placed in three
groups that were fed during pregnancy and lactation with R. kirilowii aqueous
extract (RKW; 20 mg/kg), R. kirilowii 50% hydro-alcoholic extract (RKW-A; 20
mg/kg) or water (control group), receiving water. Following birth, offspring were
given six weeks to develop prior to evaluation of their immune system.
Morphometric and morphological examination of the spleen did not reveal any
abnormalities or differences between the experimental and control groups.
However, both RKW and RKW-A splenic lymphocytes presented a diminished
proliferative response to concanavalin A. RKW spleen lymphocytes demonstrated
increased metabolic activity following phytohaemagglutinin (PHA) stimulation,
which was associated with a higher percentage of cluster of differentiation 4
positive spleen cells and lower interleukin-17a (IL-17a) serum concentration. The
RKW-A group exhibited a diminished proliferative response of spleen lymphocytes
to PHA and lipopolysaccharide (LPS), and increased serum concentrations of IL-10
and tumor necrosis factor-alpha (TNF-alpha). The progeny of mice fed with RKW-A
extract demonstrated a significantly lower level of anti-SRBC antibody following
immunization compared with progeny of the control (P=0.0305) and RKW (P=0.0331)
groups. In conclusion, caution is recommended in the use of RKW and RKW-A
extracts as immunostimulants in pregnancy.
PMID- 27882179
TI - Acroosteolysis in systemic sclerosis: An insight into hypoxia-related
pathogenesis.
AB - Acro-osteolysis, or bony resorption of the terminal digital tufts, is a well
recognized, but under-researched, feature of systemic sclerosis. The mechanisms
that disturbs local homeostatic balance of bone formation and resorption in favor
of osteoclast activation and pathological bone loss remain to be established.
Vascular alterations and reduced capillary density impair tissue oxygenation in
systemic sclerosis, and the resulting hypoxia might contribute directly to the
disease progression. In this paper we summarize the current evidence for hypoxia
as the common pathophysiological denominator of digital vasculopathy and enhanced
osteoclastic activity in systemic sclerosis-associated acroosteolysis. The
hypoxia-inducible transcription factor HIF-1alpha and VEGF signaling has a
critical role in regulating osteoclastic bone-resorption and angiogenesis, and
increased osteoclastogenesis and higher VEGF levels may contribute to
acroosteolysis in systemic sclerosis. The cells of the osteoblast lineage also
have important roles in angiogenic-osteogenic coupling. The research in this
field might help limiting the disability associated with the disease.
PMID- 27882180
TI - Concentrations of fetuin-A, osteoprotegerin and alpha-Klotho in patients with
alcoholic liver cirrhosis.
AB - The aim of the present study was to evaluate the concentrations of fetuin-A,
osteoprotegerin (OPG) and alpha-Klotho protein in patients with alcoholic
cirrhosis at different stages of the disease, and to demonstrate that fetuin-A,
osteoprotegin and alpha-Klotho may be used as markers of the severity of
cirrhosis. A total of 54 patients with alcoholic liver cirrhosis treated in
various hospitals in the Lublin region of Poland were randomly enrolled. The
control group consisted of 18 healthy individuals without liver disease, who did
not drink alcohol. Serum levels of fetuin-A, OPG and alpha-Klotho were measured
by ELISA kits. Levels of fetuin-A were significantly reduced in patients with
alcoholic liver cirrhosis compared with the control group. OPG levels were higher
in patients with alcoholic liver cirrhosis than in the controls, whereas the
levels of alpha-Klotho were comparable in the cirrhosis and control groups. No
statistically significant differences in the concentrations of fetuin-A, OPG and
alpha-Klotho protein were demonstrated according to type of liver cirrhosis. The
findings of the present study revealed a significant negative correlation between
the level of alpha-Klotho protein and C-reactive protein in the patients with
alcoholic liver cirrhosis. Concentrations of fetuin-A were lower, whereas those
of OPG were higher, in the alcoholic liver cirrhosis group compared with the
control group. Fetuin-A, OPG and alpha-Klotho may not be good indicators of liver
cirrhosis severity. In conclusion, fetuin-A and OPG may be used in the diagnosis
of liver cirrhosis.
PMID- 27882181
TI - Angiogenin is upregulated during the alloreactive immune response and has no
effect on the T-cell expansion phase, whereas it affects the contraction phase by
inhibiting CD4+ T-cell apoptosis.
AB - Under growth conditions, angiogenin is translocated into the nucleus, where it
enhances ribosomal RNA transcription, facilitating increased protein synthesis
and cellular proliferation. During stress conditions, angiogenin is sequestered
in the cytoplasm, where it cleaves transfer RNA (tRNA) to produce tRNA-derived,
stress-induced small RNAs (tiRNAs) that inhibit global protein synthesis, but
increase the translation of anti-apoptotic factors. In the present study, the
role of angiogenin in the human alloreactive immune response was evaluated using
mixed lymphocyte reactions (MLRs) and neamine, an inhibitor of angiogenin nuclear
translocation. In MLRs, angiogenin production was significantly (P<0.001)
increased compared with resting peripheral blood mononuclear cells. The addition
of neamine had no effect on cell proliferation, but did significantly (P<0.001)
increase expression of Bcl-2-associated X protein and protein levels of activated
caspase-3 in CD4+ T-cells isolated from the MLRs, indicating that angiogenin
reduces apoptosis. In conclusion, angiogenin is upregulated during the
alloreactive immune response, in which it does not affect the T-cell expansion
phase, but inhibits the T-cell contraction phase by protecting against CD4+ T
cell apoptosis.
PMID- 27882182
TI - Disease progression in Chinese patients with hepatitis C virus RNA-positive
infection via blood transfusion.
AB - The majority of patients with hepatitis C virus (HCV) in China were infected via
blood transfusion prior to the year 1996. In this systematic retrospective cohort
study, disease progression in 804 consecutive patients with transfusion-acquired
HCV is investigated. In addition, the occurrence of compensated cirrhosis,
decompensated cirrhosis and hepatocellular carcinoma (HCC) is analyzed among
these patients, along with the risk factors for disease progression. Patients
with cirrhosis or HCC were classified as the serious development group (SD group)
and the remaining patients with chronic hepatitis were classified as the
hepatitis group (H group). Significant differences were found between the two
groups in age at the time of infection, duration of infection and age at the time
of observation. SD group patients were significantly older at the time of
transfusion (33.73 vs. 23.56 years; P<0.001), with a significantly longer mean
duration of HCV infection (21.88 vs. 21.15 years; P=0.029) compared with that in
the H group. Male gender and age at the time of transfusion were significant risk
factors for HCC (OR=2.48, P=0.031 and OR=1.07, P=0.002, respectively). Age was a
significant risk factor for disease progression in older Chinese patients with
transfusion-acquired HCV, and there were significant differences in the
prevalence of compensated cirrhosis, decompensated cirrhosis and HCC between the
age groups (P<0.001), suggesting that more patients with HCV may develop
cirrhosis or HCC in their third and fourth decades of infection. Results of the
present study will be helpful for predicting disease progression in Chinese
patients with HCV infected via blood transfusion.
PMID- 27882183
TI - Psychiatric symptoms and limb tremors associated with central pontine
myelinolysis: A case of alcoholism without hyponatremia.
AB - Central pontine myelinolysis (CPM), also known as osmotic demyelination syndrome,
is a rare demyelinating disorder characterized by the loss of myelin in the
center of the basis pontis. In this case report, an alcoholic patient with CPM
and acquired demyelinating lesion of the basis pontis is described. The patient
is a 70 year-old woman who presented with intermittent psychiatric symptoms and
limb tremors following two months of alcohol abuse. During admission, magnetic
resonance imaging (MRI) revealed hyperintensity on T2 weighted images and fluid
attenuated inversion-recovery imaging in the central pons without contrast
enhancement. The patient's symptoms gradually improved following conservative
treatment with vitamins B1 and B12. The one month follow-up MRI showed a
significant reduction of the pontine injury.
PMID- 27882185
TI - Erratum: Carcinoma-like nonfunctional pheochromocytoma in the right adrenal
gland: A case report.
AB - [This corrects the article DOI: 10.3892/ol.2016.4776.].
PMID- 27882186
TI - Erratum: Oncogenic role of the Notch pathway in primary liver cancer (Review).
AB - [This corrects the article DOI: 10.3892/ol.2016.4609.].
PMID- 27882184
TI - Phosphorylation of osteopontin has proapoptotic and proinflammatory effects on
human knee osteoarthritis chondrocytes.
AB - The aim of the present study was to investigate the effects of phosphorylated
osteopontin (p-OPN) on apoptosis and pro-inflammatory cytokine expression in
human knee osteoarthritis (OA) chondrocytes. Human knee OA chondrocytes obtained
from patients who underwent total knee arthroplasty were treated with p-OPN, OPN
or buffer. Reverse transcription quantitative-polymerase chain reaction (RT-qPCR)
and western blot analysis were used to assess the expression levels of
proinflammatory factors, including interleukin (IL)-1beta, tumor necrosis factor
(TNF)-alpha, IL-6 and nuclear factor (NF)-kappaB. Apoptosis of human knee OA
chondrocytes was detected by Annexin V-fluorescein isothiocyanate/propidium
iodide flow cytometry. Compared with the controls, chondrocytes treated with OPN
exhibited higher mRNA and protein expression levels of proinflammatory factors
(IL-1beta, TNF-alpha, IL-6 and NF-kappaB), and a higher percentage of apoptotic
chondrocytes. Furthermore, chondrocytes treated with p-OPN exhibited the highest
mRNA and protein expression levels of proinflammatory factors (IL-1beta, TNF
alpha, IL-6, NF-kappaB) and the highest percentage of apoptotic chondrocytes. p
OPN induces chondrocyte apoptosis and proinflammatory factor release, which
suggests that p-OPN may contribute to OA pathogenesis, and inhibition of p-OPN
may provide a novel effective strategy to slow or halt OA progression.
PMID- 27882188
TI - Determinants of translation ambiguity: A within and cross-language comparison.
AB - Ambiguity in translation is highly prevalent, and has consequences for second
language learning and for bilingual lexical processing. To better understand this
phenomenon, the current study compared the determinants of translation ambiguity
across four sets of translation norms from English to Spanish, Dutch, German and
Hebrew. The number of translations an English word received was correlated across
these different languages, and was also correlated with the number of senses the
word has in English, demonstrating that translation ambiguity is partially
determined by within-language semantic ambiguity. For semantically-ambiguous
English words, the probability of the different translations in Spanish and
Hebrew was predicted by the meaning-dominance structure in English, beyond the
influence of other lexical and semantic factors, for bilinguals translating from
their L1, and translating from their L2. These findings are consistent with
models postulating direct access to meaning from L2 words for moderately
proficient bilinguals.
PMID- 27882187
TI - Child, Teacher and Parent Perceptions of the FRIENDS Classroom-Based Universal
Anxiety Prevention Programme: A Qualitative Study.
AB - School-based mental health prevention programmes can be effective but their
adoption within schools will depend on their social acceptability. We report a
qualitative evaluation summarising the views of children (115), parents (20) and
school staff (47) about a universal school-based anxiety prevention programme
FRIENDS. This study was conducted as part of a large scale randomised controlled
trial (n = 1362) involving 40 schools in the UK providing primary education to
children aged 7-11. Reported overall experience of the programme was very
positive, with all three major components of the cognitive behaviour therapy
programme (emotional, cognitive, and behavioural) being accepted well and
understood by children. The programme was considered to be enjoyable and valuable
in teaching children important skills, particularly emotional regulation and
coping. Children provided examples of using the skills learned during FRIENDS to
manage their emotions and solve problems. However, teachers were concerned that
the programme overlapped with the current school curriculum, required additional
time and almost half were unable to identify any tangible changes in the
children's behaviour. Whilst this paper provides evidence to support the social
validity of the FRIENDS anxiety prevention programme, the concerns raised by
teachers question the longer-term sustainability of the programme.
PMID- 27882189
TI - Successful Treatment of Resistant Hypertension by Means of Chronic Renal Artery
Occlusion Revascularization in a Fragile Patient.
AB - BACKGROUND: Renal artery stenosis is a common cause of secondary hypertension
refractory to medical therapy. Percutaneous angioplasty along with metallic stent
placement has been described as an effective treatment for revascularization of
the renal artery. CASE REPORT: A 54-year-old woman affected by paranoid
schizophrenia with a history of hypertension and chronic renal failure due to
renal artery occlusion was treated by endovascular recanalization and stent
placement that resulted in improvement of renal function and control of
hypertension. Laboratory studies 4 months after the revascularization revealed
blood creatinine decrease gradually from 8.57 mg/dL to 3 mg/dL. CONCLUSIONS:
Revascularization with stenting has proven to be a safe and effective procedure
in the treatment of total renal artery occlusion which also led to a significant
relief at a psychological level.
PMID- 27882190
TI - Family Sources of Sexual Health Information, Primary Messages, and Sexual
Behavior of At-Risk, Urban Adolescents.
AB - BACKGROUND: Sources of sexual health information exert strong influence on
adolescents' sexual behavior. PURPOSE: The current study was undertaken to
understand how family serve as sexual information sources, the messages
adolescents recall from family, and how family learning experiences affect sexual
behavior among at-risk adolescents. METHODS: Individual interviews were conducted
with 69 teens, ages 15-18 years, from an alternative high school and a juvenile
correctional facility to capture adolescents' early sexual health learning
experiences involving family and evaluate their association with teens' recent
sexual behavior. Sexual learning narratives were compared among gender and sexual
experience groups. RESULTS: Many participants identified family as sexual health
information sources. Primary messages recalled: risks of sex, protection, and
relationship advice. Many adolescents portrayed learning experiences as negative,
cautionary, lacking detail and not always balanced with positive messages.
Participants who reported four or more sexual risks were the only group to
identify pornography as a sexual health information source. Participants who
reported fewer than four sexual risks were most likely to identify family sexual
health information sources. DISCUSSION: Participants identified family members as
sources of sexual health information, with variations by gender.
Negative/cautionary messages require teens to seek additional sexual information
elsewhere (primarily friends/media). Males, in particular, appear to often lack
familial guidance/education. TRANSLATION TO HEALTH EDUCATION PRACTICE: Sexual
health messages should be tailored to adolescents' needs for practical and sex
positive guidance regarding mechanics of sex and formation of healthy
relationships, and balanced with cautions regarding negative consequences.
PMID- 27882192
TI - Next-Generation Antibody-Drug Conjugates (ADCs) for Cancer Therapy.
PMID- 27882193
TI - Expanding the Reach of Antibody-Drug Conjugates.
AB - Antibody-drug conjugates (ADCs) represent an emerging new paradigm in cancer
therapy. The approval of two ADCs has spurred considerable interest in this area
of research, and over 55 ADCs are currently in clinical testing. In order to
improve the clinical success rate of ADC therapy, all three components of the
ADC: the antibody, linker, and payload have to be optimized. While considerable
improvements have been made in antibody properties and target selection,
medicinal chemistry efforts have lagged behind, and there is a significant need
for innovation in linker design and payloads.
PMID- 27882191
TI - Protective Effects of Hydrogen Sulfide in the Ageing Kidney.
AB - Aims. The study aimed to examine whether hydrogen sulfide (H2S) generation
changed in the kidney of the ageing mouse and its relationship with impaired
kidney function. Results. H2S levels in the plasma, urine, and kidney decreased
significantly in ageing mice. The expression of two known H2S-producing enzymes
in kidney, cystathionine gamma-lyase (CSE) and cystathionine-beta-synthase (CBS),
decreased significantly during ageing. Chronic H2S donor (NaHS, 50 MUmol/kg/day,
10 weeks) treatment could alleviate oxidative stress levels and renal tubular
interstitial collagen deposition. These protective effects may relate to
transcription factor Nrf2 activation and antioxidant proteins such as HO-1,
SIRT1, SOD1, and SOD2 expression upregulation in the ageing kidney after NaHS
treatment. Furthermore, the expression of H2S-producing enzymes changed with
exogenous H2S administration and contributed to elevated H2S levels in the ageing
kidney. Conclusions. Endogenous hydrogen sulfide production in the ageing kidney
is insufficient. Exogenous H2S can partially rescue ageing-related kidney
dysfunction by reducing oxidative stress, decreasing collagen deposition, and
enhancing Nrf2 nuclear translocation. Recovery of endogenous hydrogen sulfide
production may also contribute to the beneficial effects of NaHS treatment.
PMID- 27882194
TI - Optimization of Tubulysin Antibody-Drug Conjugates: A Case Study in Addressing
ADC Metabolism.
AB - As part of our efforts to develop new classes of tubulin inhibitor payloads for
antibody-drug conjugate (ADC) programs, we developed a tubulysin ADC that
demonstrated excellent in vitro activity but suffered from rapid metabolism of a
critical acetate ester. A two-pronged strategy was employed to address this
metabolism. First, the hydrolytically labile ester was replaced by a carbamate
functional group resulting in a more stable ADC that retained potency in cellular
assays. Second, site-specific conjugation was employed in order to design ADCs
with reduced metabolic liabilities. Using the later approach, we were able to
identify a conjugate at the 334C position of the heavy chain that resulted in an
ADC with considerably reduced metabolism and improved efficacy. The examples
discussed herein provide one of the clearest demonstrations to-date that site of
conjugation can play a critical role in addressing metabolic and PK liabilities
of an ADC. Moreover, a clear correlation was identified between the
hydrophobicity of an ADC and its susceptibility to metabolic enzymes.
Importantly, this study demonstrates that traditional medicinal chemistry
strategies can be effectively applied to ADC programs.
PMID- 27882195
TI - Design and Synthesis of Tesirine, a Clinical Antibody-Drug Conjugate
Pyrrolobenzodiazepine Dimer Payload.
AB - Pyrrolobenzodiazepine dimers are an emerging class of warhead in the field of
antibody-drug conjugates (ADCs). Tesirine (SG3249) was designed to combine potent
antitumor activity with desirable physicochemical properties such as favorable
hydrophobicity and improved conjugation characteristics. One of the reactive
imines was capped with a cathepsin B-cleavable valine-alanine linker. A robust
synthetic route was developed to allow the production of tesirine on clinical
scale, employing a flexible, convergent strategy. Tesirine was evaluated in vitro
both in stochastic and engineered ADC constructs and was confirmed as a potent
and versatile payload. The conjugation of tesirine to anti-DLL3 rovalpituzumab
has resulted in rovalpituzumab-tesirine (Rova-T), currently under evaluation for
the treatment of small cell lung cancer.
PMID- 27882196
TI - Linker Immolation Determines Cell Killing Activity of Disulfide-Linked
Pyrrolobenzodiazepine Antibody-Drug Conjugates.
AB - Disulfide bonds could be valuable linkers for a variety of therapeutic
applications requiring tunable cleavage between two parts of a molecule (e.g.,
antibody-drug conjugates). The in vitro linker immolation of beta-mercaptoethyl
carbamate disulfides and DNA alkylation properties of associated payloads were
investigated to understand the determinant of cell killing potency of anti-CD22
linked pyrrolobenzodiazepine (PBD-dimer) conjugates. Efficient immolation and
release of a PBD-dimer with strong DNA alkylation properties were observed
following disulfide cleavage of methyl- and cyclobutyl-substituted disulfide
linkers. However, the analogous cyclopropyl-containing linker did not immolate,
and the associated thiol-containing product was a poor DNA alkylator. As
predicted from these in vitro assessments, the related anti-CD22 ADCs showed
different target-dependent cell killing activities in WSU-DLCL2 and BJAB cell
lines. These results demonstrate how the in vitro immolation models can be used
to help design efficacious ADCs.
PMID- 27882197
TI - Site-Specific Tandem Knoevenagel Condensation-Michael Addition To Generate
Antibody-Drug Conjugates.
AB - Expanded ligation techniques are sorely needed to generate unique linkages for
the growing field of functionally enhanced proteins. To address this need, we
present a unique chemical ligation that involves the double addition of a
pyrazolone moiety with an aldehyde-labeled protein. This ligation occurs via a
tandem Knoevenagel condensation-Michael addition. A pyrazolone reacts with an
aldehyde to generate an enone, which undergoes subsequent attack by a second
pyrazolone to generate a bis-pyrazolone species. This rapid and facile ligation
technique is performed under mild conditions in the absence of catalyst to
generate new architectures that were previously inaccessible via conventional
ligation reactions. Using this unique ligation, we generated three site
specifically labeled antibody-drug conjugates (ADCs) with an average of four
drugs to one antibody. The in vitro and in vivo efficacies along with
pharmacokinetic data of the site-specific ADCs are reported.
PMID- 27882198
TI - Design, Synthesis, and Cytotoxic Evaluation of Novel Tubulysin Analogues as ADC
Payloads.
AB - The tubulysin class of natural products has attracted much attention from the
medicinal chemistry community due to its potent cytotoxicity against a wide range
of human cancer cell lines, including significant activity in multidrug-resistant
carcinoma models. As a result of their potency, the tubulysins have become an
important tool for use in targeted therapy, being widely pursued as payloads in
the development of novel small molecule drug conjugates (SMDCs) and antibody-drug
conjugates (ADCs). A structure-based and parallel medicinal chemistry approach
was applied to the synthesis of novel tubulysin analogues. These efforts led to
the discovery of a number of novel and potent cytotoxic tubulysin analogues,
providing a framework for our simultaneous report, which highlights the discovery
of tubulysin-based ADCs, including use of site-specific conjugation to address in
vivo stability of the C-11 acetate functionality.
PMID- 27882199
TI - Straightforward Glycoengineering Approach to Site-Specific Antibody
Pyrrolobenzodiazepine Conjugates.
AB - Antibody-drug conjugates (ADCs) have become a powerful platform to deliver
cytotoxic agents selectively to cancer cells. ADCs have traditionally been
prepared by stochastic conjugation of a cytotoxic drug using an antibody's native
cysteine or lysine residues. Through strategic selection of the mammalian
expression host, we were able to introduce azide-functionalized glycans onto a
homogeneously glycosylated anti-EphA2 monoclonal antibody in one step.
Conjugation with an alkyne-bearing pyrrolobenzodiazepine dimer payload (SG3364)
using copper-catalyzed click chemistry yielded a site-specific ADC with a drug-to
antibody ratio (DAR) of four. This ADC was compared with a glycoengineered DAR
two site-specific ADC, and both were found to be highly potent against EphA2
positive human prostate cancer cells in both an in vitro cytotoxicity assay and a
murine tumor xenograft model.
PMID- 27882200
TI - Calcification in Globus Pallidus and Putamen of Multiple Sclerosis Patients
Versus Healthy Subjects Using Quantitative Susceptibility Mapping.
AB - BACKGROUND: Calcification has been well reported in basal ganglia and it grows
rapidly in globus pallidus (GP) followed by putamen (PUT) and caudate nucleus
because of their high metabolic rate and displays high susceptibility effects.
Therefore, the current study focused on magnetic susceptibility effect of calcium
content in normal and diseased tissue due to metabolic changes. OBJECTIVES: To
evaluate calcium content in GP and PUT structures of multiple sclerosis (MS)
patients versus healthy subjects using quantitative susceptibility mapping.
PATIENTS AND METHODS: We compared 10 MS patients with mean age of 48.3 years
(standard deviation [SD]=11.89) with 10 healthy subjects with mean age of 39.6
years (SD=11.52). Scanning of subjects was performed with high resolution
(0.5*0.5*2 mm3) using susceptibility weighted imaging sequence on 3 Tesla (Trio
Siemens, Erlangen, Germany). Data was processed in homemade SPIN software to
produce susceptibility mapping. Threshold was set in healthy subjects to detect
calcium content in PUT and GP structures. RESULTS: Magnetic susceptibility(x) of
calcium content was assessed by number of pixels induced by GP and PUT in MS
patients as well as healthy subjects. Two sample t-test was used to assess the
difference between susceptibilities of GP and PUT of MS patients (P = 0.06, P >
0.05). Susceptibilities of GP and PUT also showed P = 0.3 in healthy subjects.
One way analysis of variance was used to assess the difference of
susceptibilities in four variables of both populations. Insignificant results (P
= 0.7, P > 0.05) were found among four variables. There was no statistically
significant difference between magnetic susceptibilities of both populations.
CONCLUSION: Statistical analysis of susceptibilities of MS patients versus
healthy subjects found no excess deposition of calcium content in deep gray
matter of MS patients. Calcification may not be considered as a biomarker of
prognosis in MS.
PMID- 27882201
TI - A Case of Fibrovascular Polyp of the Stomach: Sonographic and Computed
Tomographic Findings.
AB - Fibrovascular polyps are rare, pedunculated, tumor-like lesions that are usually
found in the esophagus; occurrence in the stomach is very rare. To our knowledge,
sonographic and CT findings of a fibrovascular polyp in the stomach have never
been reported. Here, we report a case of a fibrovascular polyp that was
identified in the gastric antrum and prolapsed into the duodenal bulb. Sonography
revealed a hyperechoic polypoid mass in the gastric antrum, which prolapsed into
the duodenal bulb upon a change in the patient's position. CT also revealed a
pedunculated polypoid mass with an inner fatty component.
PMID- 27882202
TI - Computed Tomography Colonography Phantom: Construction, Validation and Literature
Review.
AB - BACKGROUND: Several dedicated computed tomography (CT) colonography phantoms have
been described previously. OBJECTIVES: To compare their pros and cons and
describe the construction of a dedicated phantom that can be easily manufactured.
MATERIALS AND METHODS: We found 15 different phantom designs by literature search
and compared their advantages and disadvantages based on their description and
images. We tested various materials for density and mechanical properties and
constructed a phantom from polypropylene pipes (30 mm and 50 mm in diameter, 52
cm in length). Haustral folds were created by heat shaping and 39 intermediate
sessile polyps with a target size of 6-10 mm and two flat lesions were created
from silicone. Nine polyps were attached to a fold. The model was placed in a 30
cm barrel filled with water to simulate attenuation of human body. Attenuation of
polyps was compared to intermediate polyps found in patients. RESULTS: None of
the earlier colonic phantoms found in the literature incorporated all the
properties that would ensure both reproducibility and validity of the model
(including a rigid wall, density of the wall and polyps similar to human colon,
at least two levels of distension and durability). In the present phantom, the
average size of sessile polyps was 8.6 +/- 0.9 mm and their density was 53 +/- 24
HU. We found no significant difference in polyp density between simulated polyps
in the phantom and polyps in human subjects (P = 0.70). All polyps, with the
exception of one flat lesion, were detected by computer aided detection.
CONCLUSION: We constructed and validated a CT colonography phantom with correct
density allowing performance of reproducible experiments.
PMID- 27882203
TI - Effectiveness of Emotion Regulation Training on the Reduction of Craving in Drug
Abusers.
AB - BACKGROUND: Emotion regulation appears to provide individuals with a doubled
ability to avoid drug relapse and assists with the control of temptation to
reuse, which is a type of tendency-avoidance conflict. The present study aims to
investigate the effect of Gross model-based emotion regulation training on the
reduction of craving beliefs in drug-addicted people. METHODS: The present study
was a quasi-experimental design. This was a pre- and post-test design that
consisted of control and experimental groups. The study population comprised all
addicted individuals who visited addiction treatment clinics in Marivan, Iran.
About 30 drug-addicted individuals by the random selection method were chosen.
Participants were randomly assigned to either the experimental or control groups.
Results were analyzed by univariate covariance analysis. FINDINGS: Gross model
based emotion regulation training reduced signs and beliefs associated with
temptation in drug-addicted people (P < 0.05). CONCLUSION: Considering the
present study's findings, we recommend that addiction treatment centers teach
strategies of emotion regulation to drug-addicted people by establishing
workshops with the intent to reduce temptation signs.
PMID- 27882204
TI - Comparison of Quantitative and Qualitative Dermatoglyphic Characteristics of
Opium Addicts with Healthy Individuals.
AB - BACKGROUND: Recreational drugs have a significant impact on the lives of drug
users, their close families andfriends, as well as their society. Social,
psychological, biological, and genetic factors could make a personmore prone to
using recreational drugs. Finger and A-B ridges (dermatoglyphics) are formed
during the firstand second trimesters of fetal development, under the influence
of environmental and genetic factors. Theaim of our study was to investigate and
evaluate a possible link between dermatoglyphics and opium usage. METHODS: The
pattern of dermatoglyphics - finger and A-B prints - obtained from a group of
opium users(121 patients) was compared to those obtained from a group of opium
non-users (121 patients) from Birjand,Iran. The results were analyzed using chi
square, t and Mann-Whitney tests. FINDINGS: The results showed that although A-B
ridges of palms and fingers in our study group were highercompared to the control
group, there was no significant difference between these groups. The only
significantdifference was the fingerprint patterns of the left ring finger in the
study group, which lacked the arch patternand had less loop patterns. The
dominant type of fingerprint in the left ring finger was the whorl. In ouropium
user group, the arch and loop fingerprint patterns were heterogeneous and
significantly different incomparison with the control group (P < 0.01).
CONCLUSION: These findings suggest that a genetic factor may increase the
predisposition to recreational drugusage. Further research is required to confirm
this possible impact of genetic factors on the addiction process.
PMID- 27882205
TI - Sleep Disorders in Methadone Maintenance Treatment Volunteers and Opium-dependent
Patients.
AB - BACKGROUND: The relationship between substance use and sleep is bidirectional.
Substance use directly causessleep disturbances, and sleep problems are a
critical factor in substance-use relapse. METHODS: This study evaluated sleep
disorders in 65 methadone maintenance treatment (MMT) patients, and61 opium
dependent patients who did not receive any treatment between September 2011 and
July 2012 inKermanshah, Iran. Both groups filled out the Pittsburgh Sleep Quality
Index (PSQI) and Global SleepAssessment Questionnaire (GSAQ). FINDINGS: Sleep
disorders were remarkably similar in both groups: 78.5% of MMT patients and 87.7%
ofopium-dependent patients suffered from sleep problems. Sleep disorders in the
opium-dependent groupwere remarkably higher and more prominent. CONCLUSION:
Compared to opium, MMT does not have as many negative effects on sleep and is
more effectivein mitigating sleep problems.
PMID- 27882206
TI - Willingness to Receive Treatment for Hepatitis C among Injecting Drug Users on
Methadone Program: Implications for Education and Treatment.
AB - BACKGROUND: Hepatitis C virus (HCV) is common among people who inject drugs
(PWID) on methadoneprogram in Iran (Persia). However, a few PWID on methadone
program report willingness to receive HCVtreatment. This study aimed to assess
the factors which were associated with willingness to receive HCVtreatment in a
group of PWID on methadone program in Iran. METHODS: We surveyed 187 PWID at
seven drops in centers in Tehran, Iran. Details of demographiccharacteristics,
drug use, injection, HCV, and drug treatment history were collected using a 25
itemquestionnaire. Participants were serologically tested for the current status
of HCV. FINDINGS: The study found that 28.3% of the participants were HCV
seropositive. In total, 49.1% of theparticipants reported unwillingness to
receive HCV treatment. Awareness of current HCV status [odds ratio(OR) = 3.43;
95% confidence interval (CI): 1.33-7.26; P < 0.050]; adequate knowledge of HCV
treatmentcenters in the community (OR = 3.9; 95% CI: 1.24-5.38; P < 0.050);
participation in an educational programon HCV (OR = 2.9; 95% CI: 2.33-8.56; P <
0.001) and recent participation in the meetings of self-helpgroups (OR = 4.6; 95%
CI: 3.43-9.33; P < 0.001) were significantly associated with current willingness
toreceive HCV treatment. CONCLUSION: The study results indicate that awareness of
HCV status and the provision of adequate HCVeducation via different information
centers can be associated with an increased willingness for HCVtreatment among
PWID on methadone program. Conducting more research is suggested to assess
theefficacy of educational programs and self-help groups in facilitating HCV
treatment among PWID onmethadone program.
PMID- 27882207
TI - Living with Addicted Men and Codependency: The Moderating Effect of Personality
Traits.
AB - BACKGROUND: This study aimed to determine the moderating effect of personality
traits on the relationship between living with an addicted man and codependency.
METHODS: We selected 140 women (70 wives of addicted men and 70 wives of non
addicted men) through convenience sampling method and asked them to complete
Spann-Fischer Codependency Scale and NEO-Five Factor Inventory. FINDINGS:
Codependency score was significantly higher among addicted men's wives. In
addition, for these women, there was a strong positive correlation between
codependency and neuroticism as well. Multiple regression analysis confirmed the
significant interaction effects of being an addict's wife and personality traits
of neuroticism, openness and agreeableness on codependency. CONCLUSION: Not all
addicts' wives experienced codependency; women with a high level of neuroticism
and low level of openness and agreeableness were more vulnerable to the stress of
living with an addict and to codependency.
PMID- 27882209
TI - Marketed New Drug Delivery Systems for Opioid Agonists/Antagonists
Administration: A Rapid Overview.
AB - Novel drug delivery systems for controlled-release of opioid agonists as a long
time painkillers or opioid antagonists for opium, heroin, and alcohol addiction
are under development or in clinical use today. In this article, the field of
"new drug delivery systems" is momentarily reviewed from the viewpoint of the
marketed opioid agonists/antagonists dosage forms today.
PMID- 27882208
TI - Maternal Separation and the Risk of Drug Abuse in Later Life.
AB - Maternal separation (MS) is defined as the termination of the continuity of
mother-child relationship after the relationship is established. Although MS and
maternal deprivation are different in terms of their definitions, these two terms
are usually used interchangeably. This review aims to investigate the effect of
MS on drug intake in adulthood. It has been proved that animal models are helpful
in evaluating the effects of MS on drug intake risk in adulthood. There are
relatively acceptable studies in this field on some drugs such as morphine,
ethanol, and cocaine. However, very few animal studies, or even no animal study,
have been conducted on some other drugs. The majority of these studies have
considered MS as a risk factor for drug intake in adulthood. Different mechanisms
are proposed for this phenomenon. Brain reward pathways are one of the main
exploratory pathways of this process. Despite the importance of the issue, no
human study with a specific concentration on investigating the relationship
between MS and drug abuse in later life was found. Causal studies are warranted
on humans to investigate the effect of MS on drug intake in later life.
PMID- 27882210
TI - Factors Affecting Hookah Smoking Trend in the Society: A Review Article.
AB - BACKGROUND: An increase in hookah smoking is considered to be a serious health
problem in societies withdifferent cigarette smoking patterns. Thus, determinants
of increase in this behavior are needed to beidentified. This study aimed to
review the articles related to the causes of hookah smoking in the society.
METHODS: This study reviewed the scientific references of authentic databases and
journals, including Web ofScience, PubMed, Iranian Databases, Elsevier, Embase,
Scopus, MEDLINE, CINAHL, CDC, and WorldHealth Organization (WHO). Overall, 84
scientific studies conducted during 1990-2015 were collected. FINDINGS: Several
studies on the prevalence of smoking hookah and its associated factors in the
societysuggested that numerous factors played a role in interest in smoking
hookah. The most common reasons forindividuals' inclination to smoke hookah were
positive viewpoints toward smoking hookah, wrong beliefsabout its low risks,
presumed lack of addiction, social acceptance, ease of access, wrong cultural
habits, andregulative weakness. CONCLUSION: Evidence indicated that a large
spectrum of individual and social factors was effective in variouslevels of
hookah consumption. Besides, it seems that single-component interventions and
those solely basedon individual factors could not result in effective prevention.
On the other hand, interventions based onecological approaches are suggested in
this regard. Overall, it is essential to focus on the exclusion of
positiveviewpoints toward hookah, develop the ability to say "no," relaxation,
and resistance against temptations tosmoke hookah, culturalization, and
regulation of strong laws.
PMID- 27882211
TI - Novel perspectives on the role of the human microbiota in regenerative medicine
and surgery.
AB - Plastic surgery is transitioning from a fine craftsmanship to a regenerative
science. In wound healing, the role of microorganisms is no longer considered to
be just counteracting, but also promoting. Furthermore, host-microbe interactions
are essential for numerous aspects of normal mammalian physiology, from metabolic
activity to immune homeostasis. Each area of the human body hosts a unique
microbial community, and the composition of microbiota is dependent on the host,
age and the anatomical area, and it changes according to the characteristics of
the microenvironment. Every squared centimeter of skin contains ~1 billion
bacteria. The majority of microorganisms of the skin are commensal or temporary
passing members. Skin flora mechanisms interacting or influencing the human
physical skin barrier are not well defined. Resident skin bacteria provide the
first line of defence against potentially dangerous pathogens and produce small
molecules that influence their microbial neighbours. Furthermore, the microbiota
activates and assists innate immunity and influences adaptive immunity. Various
types of immune and non-immune cells contribute to wound healing. The
proliferative phase of wound healing is inversely proportional to the extent of
the post-traumatic inflammatory reaction. Topical bacterial lipopolysaccharide
application markedly affects wound healing by accelerating the resolution of
inflammation, increasing macrophage infiltration, enhancing collagen synthesis
and altering the secretion of mediators involved in skin regeneration. Various
studies have investigated the biological contents of thermal spring waters, and
their anti-inflammatory and immune protective roles. In addition, the
regenerative properties of thermal spring waters were analysed in an experimental
animal wound model. The areas treated with thermal water healed faster than the
areas treated with conventional dressings, and exhibited a collagen and elastic
fiber network comparable with the normal skin. Thus, the microbial environment
may be considered as a potential tool in regenerative medicine and surgery.
PMID- 27882212
TI - Resveratrol and exercise.
AB - Although it is recommended for a healthy lifestyle, moderate exercise is known to
lead to oxidative stress, inflammation and muscle injury. Hence there are efforts
to develop dietary strategies to counter the oxidative stress caused by physical
activity. Recently, there has been an interest in the capability of resveratrol
(RES) to modulate physical performance and prevent oxidative stress. Despite the
inconsistency among reports regarding the topic, it has been suggested that RES
delays fatigue by hindering lipid peroxidation. It is hypothesized that RES
administration produces favorable effects on hepatic cell rejuvenation, exerts a
regulatory effect on glucose metabolism, and preserves liver glycogen reserves
that are diminished during physical activity. Consequently, there is a growing
interest in the association between RES and exercise. The aim of the current
review is to interpret the association between RES and exercise.
PMID- 27882213
TI - Endometriosis research using capture microdissection techniques: Progress and
future applications.
AB - Endometriosis is a common gynecological disease with high prevalence, while its
etiology and pathophysiology have remained to be fully elucidated. Previous
evidence suggested that this disorder may be in part or completely of somatic
origin. However, traditional endometrial samples may not be ideal for
investigation, as target cells, including epithelial and stromal cells, in
endometriotic lesions are too sparse to be analyzed. Recently, capture
microdissection techniques have been used to overcome these limitations and
eliminate tissue heterogeneity in endometriosis research. Therefore, the present
review summarized the alterations in epithelial and stromal cells in
endometriosis tissues isolated through capture microdissection, outlined recent
progress and provided directions for future investigation of the pathogenesis of
endometriosis.
PMID- 27882214
TI - Efficacy and safety of different doses of evolocumab in reducing low-density
lipoprotein cholesterol levels: A meta-analysis.
AB - Evolocumab has been considered as an efficacious, safe and promising therapeutic
modality for hypercholesterolemia and is associated with cardiovascular diseases.
The efficacy and safety of two different doses of evolocumab were evaluated and
the safety of evolocumab was compared with that of a placebo and ezetimibe.
PubMed and EMBASE databases were searched and randomized controlled trials that
examined the effect and safety of evolomucab compared with a placebo and
ezetimibe were retrieved. Two authors independently performed article reviews and
study quality evaluations. Odds ratios (ORs) were calculated using a fixed or
random-effects model [95% confidence intervals (CIs)]. In the direct comparison,
a significant reduction was observed in the muscle-associated events compared
with ezetimibe [OR=0.54 (95% CI, 0.31-0.93); P (Z)=0.03, P (Q)=0.43, I2=0%]. In
the adjusted indirect comparison of evolocumab 140 mg Q2W vs. evolocumab 420 mg
Q4W, no significant differences in efficacy [OR=1.04 (95% CI, 0.55-1.99); P
(Z)=0.90] or adverse events [OR=1.08 (95% CI, 0.66-1.74); P (Z)=0.76] were
identified. The funnel plots of these direct comparison studies indicated that
there was no publication bias. The results of this meta-analysis demonstrate that
evolocumab significantly reduced low-density lipoprotein cholesterol levels, and
no difference was noted between evolocumab 140 mg Q2W and evolocumab 420 mg Q4W.
Furthermore, evolocumab had fewer muscle-associated events than ezetimibe.
PMID- 27882215
TI - Epigenetic changes in peripheral leucocytes as biomarkers in intrauterine growth
retardation rat.
AB - Epigenetics plays an important role in the fetal origins of adult disease.
Intrauterine growth retardation (IUGR) can cause increased histone acetylation of
the endothelin-1 (ET-1) gene from pulmonary vascular endothelial cells or the
whole lung tissue and persist into later life, likely resulting in increased risk
of pulmonary hypertension or asthma later in life. However, little is known
regarding the correlation of epigenetic changes between specific tissue and
peripheral leucocytes. In the present study, an IUGR rat model was established by
maternal nutrient restriction. Peripheral blood leucocytes were isolated to
detect the ET-1 expression level. Chromatin immunoprecipitation was used to
analyze histone modification of the ET-1 gene promoter. The ET-1 protein
expression of leucocytes from the 1-week IUGR group was similar to that from the
1-week control group. ET-1 protein expression of leucocytes from 10-week IUGR
rats was obviously higher than that of the other groups (P<0.05). The levels of
acetylated histone H3 in the ET-1 promoter of leucocytes from the 1-week IUGR
rats were significantly higher than those from the age-matched control group
(P=0.004). Furthermore, the trends continued <=10 weeks after birth. In
conclusion, epigenetic modifications of leucocytes can in part reflect the
epigenetic changes of lung tissue in IUGR rats. Epigenetics of peripheral
leucocytes may be used as a biomarker for predicting the risk of the development
of disease, and may be used as a surrogate to investigate the subsequent
development of pulmonary vascular disease or asthma.
PMID- 27882216
TI - Intake of kale suppresses postprandial increases in plasma glucose: A randomized,
double-blind, placebo-controlled, crossover study.
AB - Kale (Brassica oleracea var. acephala), a vegetable in the family Brassicaceae,
has beneficial effects on health, including hypoglycemic effects. In our previous
study with a limited number of subjects, intake of kale-containing food at a dose
of 14 g decreased postprandial plasma glucose levels. In the present study, the
effective dose of kale-containing food was investigated in a randomized, double
blind, placebo-controlled, crossover trial. The trial was conducted on 42
Japanese subjects aged 21-64 years with fasting plasma glucose levels of <=125
mg/dl and 30-min postprandial plasma glucose levels of 140-187 mg/dl. The
subjects consumed placebo or kale-containing food [7 or 14 g; low-dose (active-L)
or high-dose (active-H) kale, respectively] together with a high-carbohydrate
meal. At 30-120 min after the test meal intake, the plasma levels of glucose and
insulin were determined. The postprandial plasma glucose levels in subjects with
intake of active-L or active-H were significantly lower than those in subjects
with intake of placebo, with the maximum plasma concentration (Cmax; 163+/-24
mg/dl for active-L and 162+/-23 mg/dl for active-H compared with 176+/-26 mg/dl
for placebo [values presented as means +/- standard deviation (SD); P<0.01]. The
area under the plasma glucose concentration-time curve for 0-2 h (AUC0-2 h)
values (means +/- SD) were significantly lower for active-L (268+/-43 mg/h/dl)
and active-H (266+/-42 mg/h/dl) than for the placebo (284+/-43 mg/h/dl; P<0.05).
No significant differences were identified in the postprandial plasma insulin
levels between the three conditions. No adverse events associated with intake of
either dose of kale were observed. Our findings suggest that intake of kale
suppresses postprandial increases in plasma glucose levels at a single dose of 7
g, and that a dose as high as 14 g is safe.
PMID- 27882217
TI - Multiple effects of Xihuang pill aqueous extract on the Hs578T triple-negative
breast cancer cell line.
AB - The management of triple-negative breast cancer (TNBC) is challenging due to the
aggressive behavior, lack of therapeutic options and relatively poor prognosis.
Xihuang pill (XHP) is a well-known Traditional Chinese Medicine with anticancer
activity. The aim of the present study was to investigate whether the aqueous
extract of XHP (AEXHP) has anti-proliferative activity against the Hs578T TNBC
cell line, and to elucidate its molecular mechanisms of action. First, an MTT
assay was used to evaluate the anti-proliferative activity of AEXHP on the Hs578T
cell line; furthermore, the cell cycle distribution, mitochondrial membrane
potential and apoptotic rate were determined by flow cytometry, and western blot
analysis was used to assess the expression of apoptosis and cell cycle regulatory
proteins to investigate the mechanisms of action. The results revealed that the
cell viability was significantly inhibited by AEXHP in a dose- and time-dependent
manner. Apoptosis and mitochondrial membrane potential loss were detected, and
after treatment with 4, 8 and 12 mg/ml AEXHP for 24 h, cleaved caspase-3 was 1.70
, 1.81- and 1.84-fold of that of the control, while procaspase-3, procaspase-8,
cleaved caspase-8, B-cell lymphoma 2 (Bcl-2), Bcl-2-associated X protein (Bax)
and the Bcl-2/Bax ratio were not significantly affected. Cell cycle analysis
revealed that treatment with AEXHP led to S-phase arrest of Hs578T cells.
Furthermore, AEXHP treatment resulted in decreased expression of cyclin A and
cyclin dependent kinase 2 (CDK2), and increased expression of cyclin E and
p21Cip1, as compared to the control group. In conclusion, the viability of Hs578T
cells was significantly inhibited by AEXHP in a dose- and time-dependent manner,
the likely mechanisms of which being induction of apoptosis, probably via the
intrinsic, Bcl-2-independent pathway, and cell cycle arrest in S phase due to
decreased expression of cyclin A and CDK2, and increased expression of cyclin E
and p21Cip1.
PMID- 27882218
TI - Adenovirus co-expressing CD40 ligand and interleukin (IL)-2 contributes to
maturation of dendritic cells and production of IL-12.
AB - The aim of the present study was to construct a chimeric adenovirus (Ad)5/F35 co
expressing human CD4O ligand (CD4OL) and interleukin (IL)-2 (Ad5/F35 CD40L-IL-2).
The infection efficiency to human monocyte-derived dendritic cells (Mo-DCs),
expression of genes, phenotype changes and IL-12 production of Mo-DC by Ad5/F35
CD40L-IL-2 were investigated. CD40L and IL-2 from total RNA extracted from human
peripheral blood mononuclear cells (PBMCs) were cloned by reverse transcription
polymerase chain reaction and used to construct Ad5/F35 CD40L-IL-2. The infection
efficiency, expression of CD40L, and phenotype changes of Mo-DC infected with
Ad5/F35 CD40L-IL-2 were analyzed using flow cytometry. The quantities of IL-2 and
IL-12 in the supernatants of Mo-DC following infection of Ad5/F35 CD40L-IL-2 were
measured by enzyme-linked immunosorbent assay. The CD40L and IL-2 genes were
successfully cloned and the Ad5/F35 CD40L-IL-2 was constructed. Ad5/F35 CD40L-IL
2 efficiently infected Mo-DCs with an infection efficiency of >75%, and the
infected Mo-DCs expressed CD40L and secreted IL-2. The expression levels of
cluster of differentiation (CD)80, CD86, CD40, and human leukocyte antigen
antigen D related on Mo-DC were moderate; however, CD83 was low prior to
infection of Ad5/F35 CD40L-IL-2. Those molecules, particularly CD83, were
markedly upregulated 24 h after the infection. Increasing quantities of IL-12 in
the supernatants were detected subsequent to infection at different time points
in a time-dependent manner. Thus, Ad5/F35 CD40L-IL-2 efficiently infected human
Mo-DCs and its products, CD40L and IL-2, were subsequently expressed. In
addition, infection with Ad5/F35 CD40L-IL-2 stimulated the maturation of Mo-DC
and high levels of IL-12 production.
PMID- 27882219
TI - CYP2D6 gene polymorphisms in Brazilian patients with breast cancer treated with
adjuvant tamoxifen and its association with disease recurrence.
AB - At present, there is controversy regarding the efficacy of tamoxifen in breast
cancer patients who are carriers of cytochrome P450 2D6 (CYP2D6) gene
polymorphisms, in terms of recurrence and overall survival. Thus, the aim of the
present study was to investigate the association of the CYP2D6 *4, *10 and *17
gene polymorphisms with breast cancer recurrence in a Brazilian population. The
cohort comprised 40 receptor-positive breast cancer patients without recurrence
and 40 with distant recurrence. A 3-ml sample of peripheral blood was collected
from each patient to determine the presence of the *4, *10 and *17 single
nucleotide polymorphisms of the CYP2D6 gene by quantitative polymerase chain
reaction analysis. There was no statistically significant difference between the
two groups regarding the polymorphism frequency (P=0.246). The results revealed
that intermediate metabolizers occurred in 5% of patients without recurrence and
in 15% of those with distant recurrence. Poor metabolizers occurred in only 1
patient (2.5%) per group, and there was no significant difference between the
groups (P=0.789). The present study concluded that the CYP2D6 gene polymorphism
in women with hormone-sensitive breast cancer treated with tamoxifen was not
associated with disease recurrence.
PMID- 27882221
TI - Overexpression of suppressor of IKBKE 1 is associated with vincristine resistance
in colon cancer cells.
AB - In a previous study, the suppressor of IKBKE 1 expression level was confirmed to
be higher in vincristine (VCR)-resistant HCT-8 (HCT-8/V) colon cancer cells than
in non-VCR-resistant HCT-8 cells. In the current study, IKBKE 1 expression in VCR
resistant colon cancer cells was investigated further. HCT-8 and HCT-8/V human
colon cancer cells were used, and polymerase chain reaction (PCR) primers were
designed to amplify the IKBKE 1 gene. Fluorescence reverse transcription
quantitative PCR (RT-qPCR) was performed to detect differences in IKBKE 1
expression between sensitive and drug-resistant colon cancer cell lines. Western
blotting was performed to further observe IKBKE 1 expression. Based on the RT
qPCR and western blot results, IKBKE 1 expression was observed to be markedly
higher in the HCT-8/V cells, and this difference was significant (P<0.05). Thus,
IKBKE 1 expression was identified to be associated with the resistance of colon
cancer cells to VCR.
PMID- 27882220
TI - Effects of blueberries on migration, invasion, proliferation, the cell cycle and
apoptosis in hepatocellular carcinoma cells.
AB - The aim of the present study was to investigate the effects of blueberry
consumption on the migration, invasion, proliferation, cell cycle and apoptosis
in human hepatocellular carcinoma (HCC) cells, in order to provide clinical
treatment and prevention strategies for liver cancer using anticancer therapeutic
agents. Rabbiteye blueberry was prepared as fresh juice and fed to rats at low,
moderate and high dosages (25, 50 and 100%, respectively) by daily gastric
gavage. Seven days later, the rats were sacrificed and the blood serum was
obtained for co-culture with HEPG2 cells. The MTT assay was used for detecting
cell proliferation, Transwell assay was performed for migration and invasion
evaluation, and cell cycle and apoptosis were assessed by flow cytometry. After
co-culturing with the blood serum of rats that were fed different dosages of
blueberry juice, the inhibition rate of HEPG2 cells in the three groups was
significantly lower than that in the control group at 48 and 72 h (P<0.05). The
number of migrated and transmembrane HEPG2 cells in the three groups was
significantly lower than that in the control group at 48 and 72 h (P<0.05). The
number of migrated HEPG2 cells in the high dosage group was significantly lower
than that in the low dosage group at 48 h, and the numbers of migrated HEPG2
cells in the high and moderate dosage groups were significantly lower than that
in the low dosage group at 72 h (P<0.05). The number of transmembrane HEPG2 cells
in the high dosage group was significantly lower than that in the low dosage
group at 48 h (P<0.05). The numbers of HEPG2 cells at the G2/M stage in the three
groups were significantly lower than that in the control group, and the number of
HEPG2 cells in the high dosage group was significantly lower than that in the low
dosage group, at 48 and 72 h (P<0.05). The apoptosis rate in the three groups was
significantly higher than that in the control group, and the apoptosis rate in
the high dosage group was significantly higher than that in the low dosage group
at 48 and 72 h (P<0.05). Thus, blueberries may facilitate the clinical treatment
of HCC, providing a novel therapeutic and prevention strategy for HCC as an
anticancer therapeutic agent.
PMID- 27882222
TI - Gastroprotective effects of arctigenin of Arctium lappa L. on a rat model of
gastric ulcers.
AB - In the present study, the gastroprotective effects of arctigenin of Fructus
Arctii were evaluated and the possible underlying mechanisms of action were
elucidated. Arctigenin (high-performance liquid chromatography purity, >99.0%)
was isolated and purified from the seeds of Arctium lappa L. The anti-ulcerogenic
activity of arctigenin against ulcers induced by absolute ethanol and acetic acid
was evaluated in a Sprague-Dawley rat model. In addition, the antioxidant
activity was assessed by measuring malondialdehyde (MDA) levels in an ethanol
induced model and the anti-inflammatory effects were assessed by measuring five
factors in an acetic acid-induced model. In the ethanol-induced model, arctigenin
inhibited gastric lesions in a dose-dependent manner, by 53.04, 53.91 and 64.43%
at doses of 0.05, 0.15 and 0.45 mg/kg, respectively. In addition, arctigenin
reduced MDA (P<0.01) and increased superoxide dismutase (P<0.01) levels in serum
when compared with the vehicle group. The lesion index induced by acetic acid was
significantly inhibited by all doses of arctigenin (0.05, 0.15 and 0.45 mg/kg;
P<0.01) in comparison to the vehicle group and in a dose-dependent manner. In
addition, it was shown that the expression levels of tumor necrosis factor-alpha,
interleukin-6 (IL-6), IL-10 and C-reactive protein were significantly decreased
(P<0.05) in the arctigenin group compared with the vehicle group. Thus, the
current study indicated that arctigenin exerted anti-ulcer activity, which may be
associated with its reduction in oxidative and inflammatory damage. All the
results indicate that arctigenin may be used as an effective therapeutic agent to
prevent gastric ulcers.
PMID- 27882223
TI - Hepatitis B patients exhibiting mild alanine aminotransferase elevation: A
comparative analysis of treatment with and without Bicyclol tablets.
AB - The aim of the present study was to analyze the medicinal effect of Bicyclol
tablets on patients with chronic hepatitis B (CHB) and concomitant mild alanine
aminotransferase (ALT) elevation (40-80 IU/l). A retrospective cohort study,
which included patients from the hospital information system (HIS; established by
the Chinese Academy of Medical Sciences) viral hepatitis database comprised of 18
third-grade class A hospitals in China, was performed. Patients were divided into
an exposed group (administered with Bicyclol tablets) and a non-exposed group (no
administration of Bicyclol tablets). The CHB patients that exhibited mild ALT
elevation provided the curative effect analysis data set, and the patients with
viral hepatitis who underwent more than two creatinine/hemoglobin/leucocyte
examinations served as the safety analysis data set. The factors influencing ALT
normalization rate were analyzed and the safety of Bicyclol tablets was assessed.
In total, 82 pairs of patients were included in the curative effect analysis, and
single factor analysis revealed that the ALT normalization rate of the exposed
group was statistically significantly higher than that of the non-exposed group
(P=0.040) for patients with mild ALT elevation. After adjusting for patient age,
gender, baseline ALT levels, state of illness upon admission, pattern of
hospitalization, hospitalization days and drug combination, the odds ratio (95%
confidence interval) of the ALT normalization rate of the exposed group was 2.156
(1.103-4.215) when compared with the non-exposed group. During treatment, the
occurrence rates of creatinine/hemoglobin/leucocyte level abnormalities of the
exposed group, which were included in the safety analysis were statistically
significantly lower than those of the non-exposed group (P<0.05). These findings
indicate that Bicyclol tablets improve the ALT normalization rate of CHB patients
exhibiting mild ALT elevation.
PMID- 27882224
TI - Correlation between serum parathyroid hormone levels and coronary artery
calcification in patients without renal failure.
AB - The aim of the present study was to investigate the correlation between serum
parathyroid hormone (PTH) levels and coronary artery calcification (CAC) in
patients without renal failure, as well as to determine independent risk factors
of CAC score (CACS). A total of 157 patients who underwent coronary computed
tomography angiographic examination at the 101th Hospital of the People's
Liberation Army between December 2013 and February 2015 were retrospectively
evaluated. The correlation between PTH levels and CACS was determined using a
Pearson correlation analysis. A receiver operating characteristic (ROC) curve was
drawn to determine the best cutoff PTH level for prediction of CAC. The
independent association between serum PTH levels and CAC was analyzed by using a
logistic regression analysis model with the response variable Be binary class.
The results revealed that PTH levels in patients in the CAC group were
significantly higher than those of patients in the non-calcification group. PTH
levels were positively correlated with CACS (r=0.288, P<0.001). The ROC curve
suggested that a PTH level of >=31.05 pg/ml was the best cut-off point for the
prediction of CAC, with a sensitivity of 80.88%, specificity of 60.67% and an
area under the curve of 0.761. After including predictive factors for CAC
(gender, age, smoking status, diabetes, hypertension, hyperlipidemia, body mass
index, glomerular filtration rate and calcium, phosphorus, calcium-phosphorus
product, magnesium, PTH, total cholesterol, low-density lipoprotein cholesterol,
triglyceride, high-density lipoprotein cholesterol and C-reactive protein
levels), the odds ratio of the serum PTH levels regarding the prediction of CAC
was 1.050 (95% confidence interval, 1.027-1.074; P<0.001). In conclusion, the
present study suggested that serum PTH levels are correlated with CAC in patients
without renal failure and may thus be used as a reliable predictor of CAC.
PMID- 27882225
TI - Carbonated soft drinks alter hepatic cytochrome P450 isoform expression in Wistar
rats.
AB - The aim of the current study was to examine the effects of chronic consumption of
soft drinks (SDs) on hepatic oxidative stress and cytochrome P450 enzymes (CYPs)
expression in the livers of Wistar rats. For 3 consecutive months, the rats had
free access to three different soft drinks, Coca-Cola, Pepsi-Cola and 7-UP. The
rats were subsequently compared with control group rats that had consumed water.
Blood and hepatic tissue samples were assayed for the changes in antioxidants,
liver function biomarkers and hepatic gene expression for different isoforms of
hepatic CYP. The results indicated that SD consumption (SDC) decreased serum
antioxidant levels and increased malondialdehyde secretion, and increased liver
biomarkers (glutamate pyruvate transaminase and glutamate oxaloacetate). SD
induced alterations in mRNA expression of hepatic antioxidants and cytochrome
isoforms. The expression of peroxidase, catalase, CYP1A2, CYP3A2 and CYP2C11 in
the liver were upregulated following SDC. By contrast, CYP2B1 was downregulated
after 3 months of SDC in liver tissue samples. Thus, the present findings
indicate that SDs induced oxidative stress in the liver of Wistar rats and for
the first time, to the best of our knowledge, indicate that SDC disrupts hepatic
CYP enzymes that may affect drug metabolism. Therefore, drug-dosing programs
should be carefully designed to take these novel findings into consideration for
the treatment of diseases.
PMID- 27882226
TI - Effect of dehydration heat exposure on thoracic aorta reactivity in rats.
AB - The aim of the present study was to investigate the effect of one week
dehydration heat exposure on thoracic aorta reactivity in rats. Eighteen Male
Sprague-Dawley rats were randomly divided into 3 groups (n=6 each group): Control
group (CN), heat exposure group (HE), dehydration heat exposure group (DHE). The
CN group was exposed to a room temperature of 24 degrees C, while the HE and DHE
groups were exposed to a heat temperature of 32 degrees C. After 7 days of heat
exposure, the heart rate and blood pressure of the rats were measured, and the
noradrenaline (NA)-induced contraction on the aorta rings was measured by tension
recording. The average contents of malondialdehyde (MDA) and superoxide dismutase
(SOD) in serum were detected using ELISA. The expression of apoptotic genes in
the thoracic aorta was measured using RT-PCR. Compared with CN, the heart rate in
the HE and DHE groups had a tendency to become retarded, but there was no
significant difference (P>0.05). In the HE group, the systolic blood pressure
(SBP), diastolic blood pressure (DBP) and mean arterial pressure (MAP) of the
rats were significantly higher than that of the CN (P<0.05). In the DHE group,
the SBP of rats was significantly higher than that of the CN (P<0.05), while the
SBP, DBP, and MAP of the rats were decreased compared to the rats in the HE
group, although there was no statistical significance (P>0.05). In the HE and DHE
groups, the NA-induced contraction on the rats thoracic aorta ring was larger
than that of the CN (P<0.05), albeit there was no significant difference between
the HE and DHE groups (P>0.05). The serum SOD content decreased in the HE and DHE
groups, however, the reduction was significant only in the DHE group (P<0.05).
The content of MDA in serum was significantly increased in the DHE group
(P<0.05). The expression of BAX was significantly upregulated whereas Bcl2
expression was decreased in the DHE group (P<0.05). The results showed that a
high temperature was harmful to the body, especially in the case of lack of food
and water. Additionally, the heat exposure elevated blood pressure, and increased
arterial reactivity, which were related to the elevated production of MDA, led to
the impaired production of SOD, and an increase of cell apoptosis. These findings
are useful to understand the influence of dehydrated heat exposure on the
vascular function, and they provide certain theoretical and experimental guidance
for protection under high temperature.
PMID- 27882227
TI - G2691A and C2491T mutations of factor V gene and pre-disposition to myocardial
infarction in Morocco.
AB - Coagulation factor Leiden mutation has been described as a common genetic risk
factor for venous thrombosis; however, this mutation was reported to be
practically absent in an African population. Recently, a novel non-sense mutation
in the gene encoding factor V has been associated with the risk of occurrence of
cardio-cerebrovascular diseases such as stroke and venous thrombosis. The aim of
the present study was to investigate whether the factor V Leiden (FVL) and C2491T
non-sense mutations are associated with the risk of developing myocardial
infarction. Genotyping of FVL and C2491T FV was performed using the polymerase
chain reaction restriction fragment length polymorphism method on a sample of 100
patients with myocardial infarction as well as 211 controls. In the study
population, the frequency of the FVL mutation was practically zero. However, with
regard to the C2491T mutation, the TT genotype was associated with an increased
risk of myocardial infarction [odds ratio (OR)=3.16, 95% confidence interval
(CI): 1.29-7.71, P=0.03]. A significant association between the C2491T FV
mutation and the risk of myocardial infarction was identified using recessive
(OR=2.74, 95% CI: 1.14-6.58, P=0.04), dominant (OR=1.85, 95% CI: 1.13-3.04,
P=0.02) and additive (OR=1.88, 95% CI: 1.25-2.80, P=0.004) models. Furthermore, a
positive correlation was found between the presence of the C2491T FV mutation and
hypertension (P=0.02), which is associated with myocardial infarction. In
conclusion, the results of the present study suggested that the C2491T non-sense
mutation of the FV gene may be a risk factor for myocardial infarction in a
Moroccan population.
PMID- 27882228
TI - Oxymatrine inhibits microglia activation via HSP60-TLR4 signaling.
AB - Oxymatrine (OMT) is an alkaloid extracted from Sophora flavescens, which has
broad anti-inflammatory, antitumor and immunosuppressant actions. However, the
underlying molecular mechanisms have remained elusive. Heat shock protein 60
(HSP60) has recently been shown to have an important role in autoimmune
reactions. The present study aimed to investigate whether OMT exerts its anti
inflammatory effects by inhibiting microglial activation and examined the role of
HSP60 in this process. Western blot analysis and ELISA showed that OMT decreased
the expression and release of HSP60 by LPS-activated BV2 cells. The expression of
heat shock factor 1, the transcription factor of HSP60, was also suppressed by
OMT. Extracellular HSP60 has been previously indicated to induce microglial
apoptosis through the Toll-like receptor (TLR)-4 pathway. Flow cytometric
analysis demonstrated that LPS treatment induced apoptosis of BV2 cells, which
was inhibited by OMT in parallel with inhibition of LPS-induced expression of TLR
4. Furthermore, OMT was shown to suppress the levels of myeloid differentiation
factor (MYD)88, nuclear factor (NF)-kappaB, caspase-3, inducible nitric oxide
synthase, tumor necrosis factor-alpha, interleukin (IL)-1beta and IL-6. In light
of these results, it was concluded that OMT may exert its neuroprotective effects
via HSP60/TLR-4/MYD88/NF-kappaB signaling pathways to inhibit microglial
activation. OMT may therefore offer substantial therapeutic potential for
treating neurodegenerative diseases associated with microglial activation.
PMID- 27882229
TI - Risk factors for osteoporosis in patients with end-stage liver disease.
AB - Patients with end-stage liver disease (ESLD) were evaluated and their clinical
features were compared with the aim of identifying risk factors for osteoporosis.
Seventy-nine patients with ESLD were enrolled in the current study. Bone mineral
density (BMD) was assessed by dual-energy X-ray absorptiometry and compared with
clinical features in patients with ESLD. BMD was identified to be significantly
correlated with body mass index (r=0.430; P=0.001) and inversely correlated with
total bile acid (r=-0.228; P=0.049) and urine N-telopeptide type I
collagen/creatinine ratio (r=-0.280; P=0.024). Patients with osteoporosis were
significantly older (osteoporosis vs. no osteoporosis, 63.0 vs. 56.0 years;
P<0.05) and had higher values for total bile acid (osteoporosis vs. no
osteoporosis, 306.0 vs. 129.1 umol/l; P<0.05) and corrected calcium [osteoporosis
vs. no osteoporosis, 9.85 (8.7-10.7) vs. 9.70 (8.8-10.6) mg/dl; P<0.05]. In
multivariate analysis, age (beta=-0.015+/-0.06; P=0.009) and total bile acid
(beta=-0.001+/-0.0001; P=0.041) were identified as independent factors for
osteoporosis. Finally, the risk score for osteoporosis was defined as follows:
Risk score=1.78-0.001 * total bile acid-(0.16 * age). The area under the receiver
operating characteristic (ROC) curve risk score for osteoporosis is 0.778. Thus,
the risk scores calculated in the present study may be used to predict
osteoporosis in patients with ESLD.
PMID- 27882230
TI - Evaluation of interferon-induced transmembrane protein-3 (IFITM3) rs7478728 and
rs3888188 polymorphisms and the risk of pulmonary tuberculosis.
AB - The current study aimed to examine the possible association between the
interferon-induced transmembrane protein-3 (IFITM3) gene polymorphisms and risk
of pulmonary tuberculosis (PTB) in a sample population. This case-control study
was conducted on 188 PTB patients and 169 healthy subjects. The rs7478728 and
rs3888188 variants of IFITM3 were genotyped using polymerase chain reaction
restriction fragment length polymorphism. The findings showed no significant
association between rs7478728 polymorphism and risk of PTB. Regarding rs3888188
polymorphism, the TG genotype as well as G allele significantly increased the
risk of PTB [odds ratio (OR)=2.48, 95% confidence interval (CI): 1.42-4.53;
P=0.002, and OR=2.26, 95% CI: 1.33-3.86; P=0.003, respectively]. In conclusion,
the findings revealed that rs3888188 polymorphism increased the risk of PTB in a
sample of Iranian population. Additional investigation with larger sample sizes
and different ethnicities are needed to verify our findings.
PMID- 27882231
TI - Organic cation transporter 6 directly confers resistance to anticancer platinum
drugs.
AB - Organic cation transporters (OCTs) of the solute carrier family 22 have a
critical role in the cellular uptake of anticancer platinum drugs. Recently, we
found that a decreased OCT6 expression is associated with a reduced intracellular
uptake of cisplatin (CDDP), and concomitant resistance to CDDP. In the present
study, we examined whether OCTs directly confer resistance to another platinum
drug, oxaliplatin (L-OHP). To address this, we used parental lung cancer cell
lines, PC-14 and SBC3; L-OHP-resistant sublines, PC-14/L-OHP and SBC3/L-OHP; and
one CDDP-resistant subline PC-14/CDDP, to examine the relationships between the
expression of OCTs and intracellular platinum drug concentration or platinum drug
resistance. The two L-OHP-resistant sublines showed cross resistance to CDDP and
L-OHP, and a decreased expression of OCT6. The intracellular accumulation of L
OHP in PC-14/L-OHP cells was reduced compared with the parental cells. The
findings suggested that a reduced OCT6 expression confers platinum drug
resistance in the sublines by decreasing the uptake of platinum drugs. Using the
PC-14/CDDP cell line engineered to overexpress OCT6, we confirmed that the
intracellular L-OHP concentration was increased concomitantly with OCT6
overexpression compared with the parental cell line. Additionally, OCT6 was
expressed in a screening panel of lung and colon cancer tissues and matched
normal control tissues. Taken together with the previous results, the present
findings indicate that OCT6 is directly involved in platinum drug resistance by
mediating platinum drug uptake in cancer cells.
PMID- 27882233
TI - High-dose rate brachytherapy for local recurrent adenoid cystic carcinoma of the
tongue base following postoperative external beam radiotherapy.
AB - Adenoid cystic carcinoma is a rare neoplasm commonly originating from the minor
salivary glands. The clinical findings typical of this tumor include slow growth,
perineural invasion and high frequency of local recurrence. In this study, a
patient presented with a tongue base lesion that was treated with surgical
excision and additional postoperative external beam radiotherapy. However, local
recurrence occurred 8 months after radiotherapy. If recurrence occurs after
radiation therapy, total glossectomy should be considered. However, the patient
refused re-operation and, considering the patient's age, brachytherapy was used
to ensure organ preservation. Complete remission was achieved following
brachytherapy, without serious side effects. There has been no progression of the
lesion during a follow-up period of 2 years.
PMID- 27882232
TI - Metastatic gastric cancer to the female genital tract.
AB - Metastases to the female genital tract from gastric cancer are rare, but they
significantly worsen the prognosis of such patients. The potential routes for
metastasis to the female genital tract from gastric cancer include hematogenous
spread, lymphatic spread and surface implantation. The rate of lymphatic
metastasis to the ovary from gastric cancer has been reported to be higher
compared with that from colorectal cancer. Uterine or Fallopian tube metastases
are usually secondary to ovarian metastases, which are typically identified prior
to the detection of gastric cancer in half of all synchronous cases, with
complaints of abdominal distention, pain, palpable mass, or abnormal uterine
bleeding. The prognosis of patients with female genital tract metastases from
gastric cancer is extremely poor, and is worse compared with that of other
primary sites, such as the breast and colorectum. In the past, surgical
intervention in such patients consisted mainly of palliative resection to relieve
the symptoms associated with a sizeable pelvic mass. However, recent
retrospective studies based on a relatively small number of patients have
reported that surgical tumor debulking plus chemotherapy may improve the
prognosis of patients with metastatic ovarian cancer originating from gastric
cancer.
PMID- 27882234
TI - Dysgerminoma developing from an ectopic ovary in a patient with WAGR syndrome: A
case report.
AB - WAGR syndrome is caused by an 11p13 deletion and includes Wilms' tumor, aniridia,
genitourinary anomalies and mental retardation. We encountered a case of a
dysgerminoma originating in an ectopic ovary in a woman with WAGR syndrome. Our
patient was a 24-year-old nulliparous woman who was diagnosed with WAGR syndrome.
The patient had undergone left nephrectomy for a Wilms' tumor and postoperative
chemotherapy at the age of 7 months. She also had a history of glaucoma surgery
in both eyes, and was followed up at the Department of Pediatrics for diabetes
mellitus, hypertension, liver dysfunction and hyperuricemia. The patient was
investigated for oliguria and had elevated levels of blood urea nitrogen (45
mg/dl) and creatinine (5.4 mg/dl); she was admitted to the hospital with acute
renal failure and a computed tomography scan revealed a pelvic tumor with a long
axis of 10 cm that was obstructing the right ureter. Following insertion of a
ureteral stent, the tumor was removed. The tumor had developed in the
retroperitoneal space independent of the ovaries. The right adnexa were normal.
The tumor was histopathologically diagnosed as dysgerminoma. Follicles were found
in part of the tumor; it was thus hypothesized that the tumor developed from an
ectopic ovary. The patient was administered etoposide after surgery, and has been
recurrence-free for 4 years since treatment.
PMID- 27882235
TI - Intraoperative molecular analysis of sentinel lymph nodes following neoadjuvant
chemotherapy in patients with clinical node negative breast cancer: An
institutional study.
AB - Sentinel lymph node biopsy (SLNB) is an accurate, safe method for determining the
axillary lymph node status. However, insufficient evidence exists to support the
recommendation of SLNB in patients who have had neoadjuvant chemotherapy (NAC) to
downsize tumours and allow for breast conservation surgery. The present study
aimed to use molecular approaches to evaluate the feasibility and accuracy of
SLNB in patients treated with NAC prior to SLN mapping and surgery. A total of 50
consecutive patients with operable invasive breast carcinomas who had received
prior NAC were assessed using the one-step nucleic acid amplification (OSNA)
method. The rate of SLN identification was 100%. The OSNA assay showed that 29
patients (58%) were negative for SLN and 21 patients (42%) were positive. In 19
of these 21 patients (90.48%), the SLN was the only positive lymph node. No
axillary lymph nodes metastases were observed in patients with isolated tumour
cells or with micrometastases. The OSNA assay is a highly sensitive, specific and
reproducible diagnostic technique that can be used to analyse SLNs following NAC.
The total tumoral load may assist with predicting additional non-SLN metastases.
PMID- 27882236
TI - Improved survival with double platinum therapy transcatheter arterial infusion
using cisplatin and transcatheter arterial chemoembolization using miriplatin for
BCLC-B hepatocellular carcinoma.
AB - Transcatheter arterial chemoembolization (TACE) is the standard therapy for
Barcelona Clinic Liver Cancer (BCLC) classification intermediate stage B
hepatocellular carcinoma (HCC). However, other transcatheter methods, such as
transcatheter arterial infusion (TAI), also play an important role in the
treatment of advanced HCC. Although it has been reported that TAI with a high
concentration of a fine-powder formulation of cisplatin (DDP-H) reduced
intrahepatic recurrence and improved survival, the combined use of TAI with DDP-H
and TACE has not yet been investigated. The aim of this study was to evaluate
which TACE chemotherapeutic agent in combination with DDP-H TAI contributes more
to improved survival in BCLC-B HCC. Survival was analyzed in 55 patients who
underwent DDP-H TAI and TACE for BCLC-B HCC. The patients were classified into
two groups; Epirubicin was used as the TACE agent in 29 patients, whereas
miriplatin was used in 26 patients. The cumulative survival rates at 1 and 2
years were 66.4 and 36.0% in the epirubicin and 95.8 and 61.30% in the miriplatin
group, respectively. Survival time was significantly prolonged in the miriplatin
group compared with that in the epirubicin group. Multivariate analysis
identified Child-Pugh classification and up-to-seven criteria as factors
affecting survival. In addition, the selection of miriplatin as the TACE
chemoagent was the treatment factor that most significantly affected survival.
Thus, double-platinum therapy with DDP-H TAI and miriplatin TACE may be a useful
treatment strategy for improving survival in BCLC-B HCC patients.
PMID- 27882237
TI - Autologous tumor immunizing devascularization of an invasive colorectal cancer: A
case report and literature review.
AB - Colorectal cancer is the third most common cancer. Approximately 20% of patients
have at the time of presentation metastasized colorectal cancer, which is
incurable in ~80% of cases. The present case report describes a typical case
diagnosed with an advanced invasive colorectal adenocarcinoma, with two suspect
hypodense lesions in the liver, as revealed by sonography. Judged inoperable for
a curative outcome by radical resection, the patient was treated with a novel
surgical technique based on stimulating the immune system, termed 'autologous
tumor immunizing devascularization' (ATID). The tumor was isolated from its
surroundings by ligature of arteries and veins, and subsequently the completely
devascularized tumor was left in situ. The distal part of the rectum was closed,
and a stoma was made from the proximal part of the colon. Following ATID, the
stressing pathophysiological condition of the completely isolated tumor provoked
a generalized cellular immune response, which led to the elimination of the
devascularized tumor and distant lesions without causing sepsis. The patient did
not experience any serious side-effects following the operation, and refused any
adjuvant chemo- and/or radiotherapy. To date, the patient has no complaints and
remains in good health after the ATID intervention, already more than 14 years.
The present case study provides a typical demonstration of the clinical safety of
ATID, and also indicates both the immunizing and the curative potential of the
method.
PMID- 27882238
TI - Large uterine pyomyoma in a perimenopausal female: A case report and review of 50
reported cases in the literature.
AB - Pyomyoma is a rare complication, which withoug antibiotics or surgical
intervention, may cause sepsis and mortality. The present study reported a case
of large uterine pyomyoma in a perimenopausal female. A 53-year-old multigravida
woman was referred to the Department of Obstetrics and Gynecology (Wakayama
Medical University, Wakayama, Japan) due to progressive abdominal distension. The
patient presented with anemia gravis, severe inflammatory reaction and cachexia.
Computed tomography revealed a large unilocular mass, 50 cm in size, with an
irregular surface and thickened wall, occupying the entire abdomen. Following
antibiotic medication, the patient underwent a total abdominal hysterectomy and
bilateral salpingo-oophorectomy. Intraoperative findings demonstrated a solid
tumor arising from the back of the uterine body. A total of 12 liters of
purulent, malodorous fluid was drained from the tumor. The resected mass was 50
cm in size and 13.5 kg in weight. Cultures of the pus revealed the presence of
Streptococcus agalactiae. Pathological findings revealed suppurative leiomyoma
with no malignancy. Large pyomyoma is difficult to distinguish from a
gynecological malignant tumor types, particularly in perimenopausal women with
non-specific clinical presentation. Although pyomyoma is a benign tumor, care
must be taken to discriminate these from large abdominal tumors.
PMID- 27882239
TI - Desmoplastic fibroma of the distal tibia: A case report of a minimally invasive
histological diagnosis.
AB - Desmoplastic fibroma (DF) is a benign, rare fibroblastic intraosseous neoplasm
histologically resembling a desmoid soft tissue tumor. Although classified as
benign, DF frequently exhibits an aggressive behavior, has a moderate-to-high
recurrence rate, and often causes pathological fractures and extensive bone
destruction. This case report presents an incidentally detected DF of the tibia,
which was diagnosed using a minimally invasive approach. A 36-year-old African
female patient was referred to the Department of Diagnostic Imaging of
Arcispedale Santa Maria Nuova-IRCCS (Reggio Emilia, Italy), to be examined by a
computed tomography scan on an outpatient basis, after an x-ray examination of
the tibia, which was performed after an injury to exclude the presence of a
fracture, revealed a hyperlucency of unknown origin. The aim of this study was to
discuss the clinical, histological, immunohistochemical and radiographic
characteristics of this rare neoplasm, with a focus on image-guided bone biopsy.
PMID- 27882240
TI - T2-based temperature monitoring in bone marrow for MR-guided focused ultrasound.
AB - BACKGROUND: Current clinical protocols for MR-guided focused ultrasound (MRgFUS)
treatment of osseous lesions, including painful bone metastases and osteoid
osteomas, rely on measurement of the temperature change in adjacent muscle to
estimate the temperature of the bone. The goal of this study was to determine if
T2-based thermometry could be used to monitor the temperature change in bone
marrow during focused ultrasound ablation of bone lesions. METHODS: We
investigated the dependence of T2 on temperature in ex vivo bovine yellow bone
marrow at 3T and studied the influence of acquisition parameters on the T2
measurements. We examined if T2 changes in red bone marrow caused by the ablation
of ex vivo trabecular bone were reversible and measured the patterns of heating
and tissue damage. The technique was validated during the ablation of intact ex
vivo bone samples and an in vivo animal model. RESULTS: Results of the
calibration experiment showed a linear relationship (7 ms/ degrees C) between T2
change and temperature and could be used to quantify the temperature during
heating of up to 60 degrees C. During trabecular bone ablation, we observed a
linear relationship (5.7 ms per degrees C) between T2 and temperature during the
heating stage of the experiment. After cool down, there was residual T2 elevation
(~35 ms) in the ablated area suggesting irreversible tissue changes. In ex vivo
and in vivo cortical bone ablation experiments, we observed an increase in T2
values in the marrow adjacent to the intersection of the cortical bone and the
beam path. The in vivo experiment showed excellent correspondence between the
area of T2 elevation in marrow during the ablation and the resulting non
enhancing area in the post-contrast images. CONCLUSIONS: In this study, we have
demonstrated that T2-based thermometry can be used in vivo to measure the heating
in the marrow during bone ablation. The ability to monitor the temperature within
the bone marrow allowed more complete visualization of the heat distribution into
the bone, which is important for local lesion control.
PMID- 27882241
TI - Immune Profile of Honduran Schoolchildren with Intestinal Parasites: The Skewed
Response against Geohelminths.
AB - Soil-transmitted helminth infections typically induce a type-2 immune response
(Th2), but no immunoepidemiological studies have been undertaken in Honduras, an
endemic country where the main control strategy is children's annual deworming.
We aimed to characterize the immune profile of Honduran schoolchildren harbouring
these parasitoses. Demographic and epidemiological data were obtained through a
survey; nutritional status was assessed through anthropometry; intestinal
parasites were diagnosed by formol-ether and Kato-Katz; and blood samples were
collected to determine immunological markers including Th1/Th2 cytokines, IgE,
and eosinophil levels. A total of 225 children participated in the study, all of
whom had received deworming during the national campaign five months prior to the
study. Trichuriasis and ascariasis prevalence were 22.2% and 20.4%, respectively.
Stunting was associated with both age and trichuriasis, whereas ascariasis was
associated with sex and household conditions. Helminth infections were strongly
associated with eosinophilia and hyper-IgE as well as with a Th2-polarized
response (increased levels of IL-13, IL-10, and IL4/IFN-gamma ratios and
decreased levels of IFN-gamma). Pathogenic protozoa infections were associated
with a Th1 response characterized by elevated levels of IFN-gamma and decreased
IL10/IFN-gamma ratios. Even at low prevalence levels, STH infections affect
children's nutrition and play a polarizing role in their immune system.
PMID- 27882242
TI - Development of a Loop Mediated Isothermal Amplification for Diagnosis of Ascaris
lumbricoides in Fecal Samples.
AB - Ascaris lumbricoides is a nematode parasite that causes the common tropical
infection ascariasis in humans. It is also considered among the neglected
tropical diseases. Diagnosis relies mainly on microscopy-based methods which are
laborious, are limited by low sensitivity, and require high expertise. We have
developed a loop mediated isothermal amplification (LAMP) for diagnosis of
ascariasis in fecal samples, based on the first internal transcribed (ITS-1)
spacer region of the ribosomal DNA. We used Primer Explorer V4 software to design
primers. Ascaris adult and ova were obtained from naturally infected school
children, whose parents/guardians gave consent for their participation in the
study. Genomic DNA was extracted using alkaline lysis method and amplified by
LAMP at 63 degrees C for 45 minutes. LAMP products were visualized by naked eyes
after adding SYBR Green dye and also on agarose gel. LAMP successfully and
reliably detected Ascaris DNA from a single egg and in fecal samples. The assay
specifically detected Ascaris DNA without amplifying DNA from ova of other
parasites which commonly coexist with A. lumbricoides in feces. The developed
LAMP assay has great potential for use in ascariasis diagnosis at the point of
care and in low infection intensity situation that characterize control and
elimination campaigns.
PMID- 27882243
TI - Glaukos iStent inject(r) Trabecular Micro-Bypass Implantation Associated with
Cataract Surgery in Patients with Coexisting Cataract and Open-Angle Glaucoma or
Ocular Hypertension: A Long-Term Study.
AB - Purpose. To evaluate the long-term efficacy and safety of the iStent inject
device (Glaukos Corporation, Laguna Hills, CA) combined with phacoemulsification
in patients with coexistent cataract and open-angle glaucoma or ocular
hypertension (OHT). Methods. A prospective, uncontrolled, nonrandomized,
interventional case series study was conducted in patients with both mild or
moderate open-angle glaucoma or OHT and cataract. Patients underwent cataract
surgery along with the implant of two iStent inject devices. Outcome measures
were intraocular pressure (IOP), topical hypotensive medications required, and
best-corrected visual acuity (BCVA). Results. 20 patients were enrolled. Mean
follow-up was 47.4 +/- 18.46 months. Mean baseline IOP was 19.95 +/- 3.71 mmHg
with medication and 26 +/- 3.11 mmHg after washout. Mean end-follow-up IOP was
16.25 +/- 1.99 mmHg, representing an IOP decrease of 36.92%, 9.74 +/- 3.14 mmHg
(P < 0.001), from baseline washout IOP. The mean number of medications was
significantly reduced from 1.3 +/- 0.66 to 0.75 +/- 0.79 (P = 0.017). 45% of
patients were medication-free by the end of follow-up. Mean log?MAR BCVA improved
significantly from 0.42 +/- 0.16 to 0.18 +/- 0.16 (P < 0.001). No complications
of surgery were observed. Conclusion. The iStent inject device combined with
cataract surgery served to significantly reduce both IOP and medication use in
the long term in patients with coexistent open-angle glaucoma or ocular
hypertension (OHT) and cataract.
PMID- 27882244
TI - Evaluation of Peripapillary Nerve Fiber Layer after Dexamethasone Implantation
(Ozurdex) in Branch Retinal Vein Occlusions.
AB - Purpose. To evaluate the peripapillary retinal nerve fiber layer (RNFL)
thicknesses of patients treated with intravitreal Ozurdex implant due to branch
retinal vein occlusion (BRVO) related macular edema (ME). Methods. Thirty-three
eyes of 33 patients treated with Ozurdex implant due to ME associated with BRVO
were included in the study. Ophthalmic examinations including determination of
best corrected visual acuity (BCVA), measurement of intraocular pressure (IOP),
and central macular thickness (CMT) and peripapillary RNFL assessment with
optical coherence tomography (OCT) were performed before the injection of Ozurdex
implant and during the 6-month follow-up period after the injection. Results. The
mean age was 55.2 +/- 7.4 (range: 40-68) years. The BCVAs were significantly
increased and CMTs were significantly decreased at month 3 and month 6 visits
compared to baseline values. The mean IOP was significantly increased from
baseline at day 1, week 1, and month 1 visits (p1 = 0.008, p2 = 0.018, and p3 =
0.022, resp.). The average and inferior quadrant peripapillary RNFL thicknesses
were significantly reduced at month 6 control visit compared to baseline values
(both p < 0.001). Conclusions. Ozurdex implant improved the BCVA and reduced the
CMT in the eyes with RVO related ME. However, IOP elevations occurred within the
first month after the injection and the average and inferior quadrant RNFL
thinning was found six months after the injection. Further controlled studies are
warranted.
PMID- 27882245
TI - Ocular Biocompatibility of Poly-N-Isopropylacrylamide (pNIPAM).
AB - Purpose. To study the safety of intravitreal injections of poly-N
isopropylacrylamide (pNIPAM) tissue adhesive in rabbit eyes. Methods. Twelve
study rabbits received an intravitreal injection of 0.1 mL 50% pNIPAM in the
right eye. Follow-up examinations included color fundus photography, fundus
fluorescein angiography (FA), optical coherence tomography (OCT), and
electroretinography (ERG). Subsequent to the last follow-up assessment, the
rabbits were sacrificed and histopathological study on the scleral incision sites
was performed. Results. All study animals developed mild to moderate levels of
inflammatory reaction in the conjunctiva, anterior chamber, and the anterior
vitreous during the first month of follow-up. After this period, the level of the
inflammatory reaction progressively decreased and completely disappeared after
the third month of follow-up. The lens and cornea remained clear during the
entire follow-up period. OCT and FA did not show areas of retinal damage or
neovascularization. Histological and ERG studies of eyes injected with pNIPAM
demonstrated absence of retinal toxicity. Conclusion. Intravitreal injections of
pNIPAM were nontoxic in this animal study, and pNIPAM may be safe to be used as a
bioadhesive in certain retinal diseases.
PMID- 27882246
TI - The Impact of Perceived Stress and Coping Adequacy on the Health of Nurses: A
Pilot Investigation.
AB - Stress and coping abilities influence the health and work performance of nurses.
However, little is known about the combined influence of stress perception and
perceived coping adequacy and its impact on the health of nurses. This study
examined the relationship between stress, coping, and the combined influences of
perceived stress and coping abilities on health and work performance. A valid and
reliable questionnaire was completed by 120 nurses in a Midwestern hospital in
the USA. In general, the nurses were not healthy: 92% had moderate-to-very high
stress levels; 78% slept less than 8 hours of sleep per night; 69% did not
exercise regularly; 63% consumed less than 5 servings of fruits and vegetables
per day; and 22% were classified as binge drinkers. When confronted with
workplace stress, 70% of nurses reported that they consumed more junk food and
63% reported that they consumed more food than usual as a way of coping. Nurses
in the "high stress/poor coping" group had the poorest health outcomes and
highest health risk behaviors compared to those in other groups. The combined
variables of perceived stress and perceived coping adequacy influenced the health
of nurses. Therefore, worksite health promotion programs for nurses should focus
equally on stress reduction, stress management, and the development of healthy
coping skills.
PMID- 27882248
TI - Successful Interventional Management for Pulmonary Arterial Injury Secondary to
Pacemaker Implantation.
AB - Subclavian vein puncture is a relatively fast and safe technique to access the
right heart for placement of pacemaker leads. Hemothorax related to injury of the
pulmonary artery (PA) is a rare complication of subclavian vein access but can be
life-threatening. We report a case of hemothorax occurring after subclavian vein
puncture for pacemaker implantation. No cases of transcatheter arterial
embolization for PA injury secondary to pacemaker implantation have been
reported. Understanding of this rare complication after pacemaker implantation
along with its specific clinical presentation may lead to early diagnosis and
intervention.
PMID- 27882247
TI - Living on the Edge: Social Exclusion and the Receipt of Informal Care in Older
People.
AB - Older people have been identified as being at risk of social exclusion. However,
despite the fact that care is commonly required in later life and the majority of
that care is provided by informal carers, a connection between social exclusion
and informal care-receipt has rarely been considered. The aim of this study was
to examine how informal care-receipt is related to social exclusion. A face-to
face questionnaire survey on social exclusion and informal care-receipt was
carried out among older people (n = 1255) living in Barnsley, United Kingdom.
Multivariable analyses examined the association between social exclusion and
categories of informal care-receipt: care-receiver; assurance-receiver;
nonreceiver with no need; and nonreceiver with need. Compared to being a
nonreceiver with no need, participants were more likely to be care-receivers or
assurance-receivers if they had higher levels of social exclusion. The highest
level of social exclusion, however, was found in nonreceivers with need. Despite
a lack of informal care and support, formal practical support and personal care
were also low in this latter group. Findings are discussed in relation to the
conceptualisation of care-receipt and how contact with medical services could be
an opportunity for identification and appropriate referral of nonreceivers with
need.
PMID- 27882249
TI - Surviving All Odds: A Unique Case of Multiple Congenital Unruptured Sinus of
Valsalva Aneurysms Involving Both Left and Right Coronary Sinuses with
Biventricular Dysfunction and Heart Block.
AB - Aneurysms of the sinus of Valsalva are very uncommon, with an incidence ranging
from 0.1 to 3.5% of all congenital heart defects. Very few cases have been
reported in the literature that presented with involvement of two or more
sinuses. We report a case of 27-year-old male with a history of exertional
breathlessness of one-month duration. After complete evaluation using
transesophageal echocardiography (TEE) and multiple detector computed tomography
(MDCT) scanning, the patient was diagnosed to have large congenital unruptured
sinus of Valsalva aneurysms involving both left and right coronary sinuses with
extension into the interventricular septum. The patient also displayed second
degree heart block (Mobitz type 2) and biventricular dysfunction. The patient was
managed successfully. We present the case with an aim to highlight the management
challenges including intraoperative and postoperative complications that are
associated with unruptured sinus of Valsalva aneurysms of >=2 sinuses.
PMID- 27882250
TI - Apical Revascularization after Delayed Tooth Replantation: An Unusual Case.
AB - The aim of this paper is to present the clinical and radiological outcome of the
treatment involving a delayed tooth replantation after an avulsed immature
permanent incisor, with a follow-up of 1 year and 6 months. An 8-year-old boy was
referred after dental trauma that occurred on the previous day. The permanent
maxillary right central incisor (tooth 11) had been avulsed. The tooth was hand
held during endodontic therapy and an intracanal medication application with
calcium hydroxide-based paste was performed. An apical plug with mineral trioxide
aggregate (MTA) was introduced into the apical portion of the canal. When the
avulsed tooth was replanted with digital pressure, a blood clot had formed within
the socket, which moved the MTA apical plug about 2 mm inside of the root canal.
These procedures developed apical revascularization, which promoted a successful
endodontic outcome, evidenced by apical closure, slight increase in root length,
and absence of signs of external root resorption, during a follow-up of 1 year
and 6 months.
PMID- 27882251
TI - Bilateral Numb Chin Syndrome as the Initial Presentation of Burkitt's
Lymphoma/Leukemia: A Report of Two Cases and Review of the Literature.
AB - Numb chin syndrome, also known as mental nerve neuropathy, is a rare sensory
neuropathy characterized by paresthesia and hypoesthesia in the area supplied by
the mental nerve and its branches. This syndrome may be the first symptom of
underlying malignancy or the first sign of recurrence and metastasis in patients
with preexisting cancer. In this article, we present two cases with bilateral
numb chin syndrome as the first manifestation of Burkitt's lymphoma/leukemia and
review the relevant literature. Numb chin syndrome should be considered as a
warning sign and raise the suspicion for an underlying malignancy. Bilateral
involvement is especially hazardous and must not be underestimated. In fact, an
astute neurologist and internist who realizes that chin numbness is a potentially
significant symptom can then exclude serious underlying malignancies. Standard
diagnostic protocol with different modalities of imaging based on the
availability and experience of the radiology team should be mandatory. High index
of suspicion should be practiced to avoid delay in diagnosis and progression of
the underlying malignancy.
PMID- 27882252
TI - Sjogren's Syndrome Complicated by Myeloid/Natural Killer Cell Precursor Acute
Leukemia: Case Report and Review of the Literature.
AB - We report a case of Sjogren's syndrome (SS) complicated by myeloid/natural killer
(NK) cell precursor acute leukemia (M/NKPAL). A 75-year-old woman with a previous
SS history for 2 years was routinely treated. Peripheral blood progenitor cells
were increased, and subsequent bone marrow cell morphology examination showed the
presence of acute myeloid leukemia type M4. However, flow cytometry analysis
revealed that CD7/CD56/CD33/CD34/HLA-DR/cCD3 were all positive and
myeloperoxidase- (MPO-) specific staining, other T cells, NK cells, and myeloid
markers were all negative. Clonal T-cell receptor (TCR)beta/TCRgamma/TCRdelta
gene rearrangements and Epstein-Barr virus (EBV) were negative. The diagnosis of
M/NKPAL was therefore confirmed. Unfortunately, this patient did not receive
chemotherapy and later died of acute left heart failure and respiratory failure.
SS complication with M/NKPAL is relatively rare. Combined with the relevant
literatures, our study offers new insights into the clinical characteristics,
pathological features, possible pathogenesis, and differential diagnosis of this
rare disease.
PMID- 27882253
TI - Candida glabrata Pneumonia in a Patient with Chronic Obstructive Pulmonary
Disease.
AB - Pneumonia remains an important cause of morbidity and mortality among infectious
diseases. Streptococcus pneumoniae and viruses are the most common cause of
pneumonia. Candidiasis in such patients has been associated with haemodialysis,
fungal colonization, exposure to broad-spectrum antibiotics, intensive care unit
(ICU) hospitalization, and immunocompromised patients. The most common cause of
infection is C. albicans. The case presented here is of a 66-year-old male
patient diagnosed with C. glabrata. The patient suffered from chronic obstructive
pulmonary disease.
PMID- 27882254
TI - Nicolau Syndrome due to Penicillin Injection: A Report of 3 Cases without Long
Term Complication.
AB - Nicolau syndrome (NS) or livedo-like dermatitis is a rare complication of
injection of various medications such as penicillin. The pathophysiology of this
events is not clear, but some hypotheses are suggested, such as sympathetic nerve
stimulation, embolic occlusion, inflammation, or mechanical injury. In this paper
we report 3 cases of NS following benzathine penicillin. Clinical symptoms
improved in 2 cases during 2-month follow-up, but one of them had a residual
necrosis in the distal phalanges of the toes.
PMID- 27882255
TI - Tip of an Iceberg: Skull Fracture as an Adult Presentation of
Encephalocraniocutaneous Lipomatosis.
AB - The severity of seizures presenting to the emergency department ranges from
benign to life threatening. There are also a wide number of possible etiologies.
Computed tomography (CT) emergency imaging may be required at presentation to
elucidate a possible cause and assess signs of intracranial trauma. This case
describes a serious seizure episode in a young man while on holiday. A CT brain
showed a skull fracture as a consequence of seizure-related head trauma but
unexpectedly there were image findings consistent with encephalocraniocutaneous
lipomatosis. The important radiological features of encephalocraniocutaneous
lipomatosis and a differential diagnosis are presented.
PMID- 27882256
TI - EEG-Triggered Functional Electrical Stimulation Therapy for Restoring Upper Limb
Function in Chronic Stroke with Severe Hemiplegia.
AB - We report the therapeutic effects of integrating brain-computer interfacing
technology and functional electrical stimulation therapy to restore upper limb
reaching movements in a 64-year-old man with severe left hemiplegia following a
hemorrhagic stroke he sustained six years prior to this study. He completed 40 90
minute sessions of functional electrical stimulation therapy using a custom-made
neuroprosthesis that facilitated 5 different reaching movements. During each
session, the participant attempted to reach with his paralyzed arm repeatedly.
Stimulation for each of the movement phases (e.g., extending and retrieving the
arm) was triggered when the power in the 18 Hz-28 Hz range (beta frequency range)
of the participant's EEG activity, recorded with a single electrode, decreased
below a predefined threshold. The function of the participant's arm showed a
clinically significant improvement in the Fugl-Meyer Assessment Upper Extremity
(FMA-UE) subscore (6 points) as well as moderate improvement in Functional
Independence Measure Self-Care subscore (7 points). The changes in arm's function
suggest that the combination of BCI technology and functional electrical
stimulation therapy may restore voluntary motor function in individuals with
chronic hemiplegia which results in severe upper limb deficit (FMA-UE <= 15), a
population that does not benefit from current best-practice rehabilitation
interventions.
PMID- 27882258
TI - Dysmorphic Facial Features and Other Clinical Characteristics in Two Patients
with PEX1 Gene Mutations.
AB - Peroxisomal disorders are a group of genetically heterogeneous metabolic diseases
related to dysfunction of peroxisomes. Dysmorphic features, neurological
abnormalities, and hepatic dysfunction can be presenting signs of peroxisomal
disorders. Here we presented dysmorphic facial features and other clinical
characteristics in two patients with PEX1 gene mutation. Follow-up periods were
3.5 years and 1 year in the patients. Case I was one-year-old girl that presented
with neurodevelopmental delay, hepatomegaly, bilateral hearing loss, and visual
problems. Ophthalmologic examination suggested septooptic dysplasia. Cranial
magnetic resonance imaging (MRI) showed nonspecific gliosis at subcortical and
periventricular deep white matter. Case II was 2.5-year-old girl referred for
investigation of global developmental delay and elevated liver enzymes.
Ophthalmologic examination findings were consistent with bilateral nystagmus and
retinitis pigmentosa. Cranial MRI was normal. Dysmorphic facial features
including broad nasal root, low set ears, downward slanting eyes, downward
slanting eyebrows, and epichantal folds were common findings in two patients.
Molecular genetic analysis indicated homozygous novel IVS1-2A>G mutation in Case
I and homozygous p.G843D (c.2528G>A) mutation in Case II in the PEX1 gene.
Clinical findings and developmental prognosis vary in PEX1 gene mutation. Kabuki
like phenotype associated with liver pathology may indicate Zellweger spectrum
disorders (ZSD).
PMID- 27882257
TI - A Hormonally Active Malignant Struma Ovarii.
AB - Struma ovarii is a rare monodermal variant of ovarian teratoma that contains at
least 50% thyroid tissue. Less than 8% of struma ovarii cases present with
clinical and biochemical evidence of thyrotoxicosis due to ectopic production of
thyroid hormone and only 5% undergo malignant transformation into a papillary
thyroid carcinoma. Only isolated cases of hormonally active papillary thyroid
carcinoma developing within a struma ovarii have been reported in the literature.
We report the case of a 36-year-old woman who presented with clinical signs and
symptoms of hyperthyroidism as well as a left adnexal mass, which proved to be a
thyroid hormone-producing, malignant struma ovarii.
PMID- 27882259
TI - Right Lung Agenesis with Tracheal Stenosis due to Complete Tracheal Rings and
Postpneumonectomy Like Syndrome Treated with Tissue Expander Placement.
AB - Congenital lung agenesis is an extremely rare condition with an estimated
prevalence of 34 in 1,000,000 live births. It is often associated with other
congenital malformations of the skeletal, cardiovascular, urogenital, and
gastrointestinal systems. We discuss the case of a 5-month-old who presented with
increasing stridor over 1 month. Imaging revealed right lung agenesis, complete
dextromalposition of heart, and compression of distal trachea. An intrathoracic
saline tissue expander was placed which marked improved distal tracheal stenosis.
In patients who are symptomatic it becomes imperative to perform surgeries to
increase survival as was the case in this patient.
PMID- 27882260
TI - Intrascrotal Collection in an Acute Pancreatitis: A Case Report and Review of the
Literature.
AB - Context. An inguinoscrotal swelling occurring during an acute pancreatitis is
very rare. Case Report. We report a case of right inguinoscrotal swelling
appearing in connection with an interstitial edematous acute pancreatitis. We
have noticed a spontaneous complete reduction of the right inguinoscrotal
swelling after 10 days. Conclusion. The management of a scrotal swelling should
be the least invasive possible method but also the most complete possible method
to avoid unnecessary interventions. The exclusion of a pathology that could
affect the vital prognosis of the testis remains the absolute priority. An acute
scrotum swelling must be carried out by the clinical management by a professional
and must be completed with an ultrasonography of the scrotum. Despite all that,
if the original etiology of the acute scrotum remains unknown, an abdominopelvic
CT scan could provide more details and so could offer a different diagnosis of
exclusion, different from the diagnosis of acute idiopathic scrotal edema (AISE).
This rare complication of acute pancreatitis reported could be mistaken for a
more common pathology. If that complication is identified, it will not require a
surgical intervention if there is a correct management of the acute pancreatitis
which could justify a broader CT scan.
PMID- 27882261
TI - Prevalence of Hepatitis C Virus Seropositivity and Its Impact on Coronary Artery
Disease among Egyptian Patients Referred for Coronary Angiography.
AB - Background. We tested the prevalence and impact of HCV seropositivity among
Egyptian patients referred for coronary angiography. Subjects and Methods. This
cross-sectional study was conducted in Zagazig University hospitals including 509
patients scheduled for elective coronary angiography between June 2013 and June
2014. By taking full history on admission, laboratory workup including HCV Ab,
echocardiography study, and coronary angiography, we calculated the mean number
of coronary artery lesions and the mean number of affected coronary artery
vessels for all patients. The severity of the coronary lesions was estimated
using the Gensini score. Results. HCV seropositive patients referred for coronary
angiography were about 30.3% (which is greater than the prevalence of HCV
seropositivity among general population in Egypt), patients proved to have CAD
who are HCV antibody positive had more severe coronary lesions than in
seronegative one (p < 0.05), and patients proved to have CAD who are HCV antibody
positive had comparable prevalence of cardiovascular risk factors as seronegative
patients except for diabetes and hypertension which are more prevalent in
seronegative patients (p < 0.05). Conclusion. Prevalence of HCV antibody positive
patients referred for coronary angiography was about 30.3%, and CAD patients who
are HCV antibody positive had more severe coronary lesions and less prevalence of
diabetes and hypertension than HCV antibody negative.
PMID- 27882262
TI - Knowledge of Stroke Risk Factors among Stroke Survivors in Nigeria.
AB - Background. Knowledge of stroke risk factors is expected to reduce the incidence
of stroke-whether first-ever or recurrent. This study examined knowledge of
stroke risk factors and its determinants among stroke survivors. Methods. A cross
sectional survey of consenting stroke survivors at two physiotherapy facilities
in Nigeria was carried out. Sociodemographic and clinical data were obtained and
knowledge of stroke risk factors (defined as the ability to mention at least one
correct risk factor) was assessed using open-ended questionnaire. Data were
treated with descriptive statistics and logistic regression analysis. Results.
Sixty-nine stroke survivors (male = 72.5%; mean +/- SD age = 49.7 +/- 10.6 years)
participated in the study. Thirty-four (49.4%) participants had knowledge of
stroke risk factors. Only educational level was significantly associated with
knowledge and participants with tertiary educational qualification were about 48
times (odds ratio = 48.5; CI = 7.6-309.8; P < 0.0001) more likely to be
knowledgeable than those with no education. Conclusion. Less than half of the
participants had knowledge of stroke risk factors. Participants with tertiary
education were significantly more knowledgeable than those with lower educational
qualifications. Effective means of educating stroke survivors on stroke risk
factors should be identified and adopted.
PMID- 27882263
TI - Electrochemical Study of Carbon Nanotubes/Nanohybrids for Determination of Metal
Species Cu2+ and Pb2+ in Water Samples.
AB - The use of nanomaterials, such as nanoparticles and nanotubes, for
electrochemical detection of metal species has been investigated as a way of
modifying electrodes by electrochemical stripping analysis. The present study
develops a new methodology based on a comparative study of nanoparticles and
nanotubes with differential pulse anodic stripping voltammetry (DPASV) and
examines the simultaneous determination of copper and lead. The glassy carbon
electrode modified by gold nanoparticles demonstrated increased sensitivity and
decreased detection limits, among other improvements in analytical performance
data. Under optimized conditions (deposition potential -0.8 V versus Ag/AgCl;
deposition time, 300 s; resting time, 10 s; pulse amplitude, 50 mV; and voltage
step height, 4 mV), the detection limits were 0.2279 and 0.3321 ppb,
respectively, for determination of Pb2+ and Cu2+. The effects of cations and
anions on the simultaneous determination of metal ions do not exhibit significant
interference, thereby demonstrating the selectivity of the electrode for
simultaneous determination of Pb2+ and Cu2+. The same method was also used to
determine Cu2+ in water samples.
PMID- 27882264
TI - Observation of Strong Reflection of Electron Waves Exiting a Ballistic Channel at
Low Energy.
AB - Wave scattering by a potential step is a ubiquitous concept. Thus, it is
surprising that theoretical treatments of ballistic transport in nanoscale
devices, from quantum point contacts to ballistic transistors, assume no
reflection even when the potential step is encountered upon exiting the device.
Experiments so far seem to support this even if it is not clear why. Here we
report clear evidence of coherent reflection when electron wave exits the channel
of a nanoscale transistor and when the electron energy is low. The observed
behavior is well described by a simple rectangular potential barrier model which
the Schrodinger's equation can be solved exactly. We can explain why reflection
is not observed in most situations but cannot be ignored in some important
situations. Our experiment also represents a direct measurement of electron
injection velocity - a critical quantity in nanoscale transistors that is widely
considered not measurable.
PMID- 27882265
TI - Blood Derived Induced Pluripotent Stem Cells (iPSCs): Benefits, Challenges and
the Road Ahead.
AB - Since the creation of induced Pluripotent Stem Cells (iPSCs) ten years ago,
hundreds of publications have demonstrated their considerable impact on disease
modeling and therapy. In this commentary, we will summarize key milestones,
benefits and challenges in the iPSC field. Furthermore, we will highlight blood
as an effective and easily accessible source for patient-specific iPSCs
derivation in the context of work done in our laboratory and others.
PMID- 27882266
TI - Community Interventions to Improve Cooking Skills and Their Effects on Confidence
and Eating Behaviour.
AB - PURPOSE OF REVIEW: Community-based interventions aiming to improve cooking skills
are a popular strategy to promote healthy eating. We reviewed current evidence on
the effectiveness of these interventions on different confidence aspects and
fruit and vegetable intake. RECENT FINDINGS: Evaluation of cooking programmes
consistently report increased confidence in cooking skills in adults across
different age groups and settings. The effectiveness of these programmes on
modifying eating behaviour is less consistent, but small increases in self
reported consumption of fruit and vegetables are also described. Lack of large
samples, randomization and control groups and long-term evaluation are
methodological limitations of the evidence reviewed. SUMMARY: Cooking skill
interventions can have a positive effect on food literacy, particularly in
improving confidence on cooking and fruit and vegetable consumption, with
vulnerable, low-socieconomic groups gaining more benefits. Consistency across
study designs, delivery and evaluation of outcomes both at short and long terms
are warranted to draw clearer conclusions on how cooking programmes are
contributing to improve diet and health.
PMID- 27882269
TI - Concomitant Lumbar Stenosis and Aortic Pseudoaneurysm: A Case Report.
AB - Aortic pseudoaneurysm can create a constellation of symptoms that can mimic
lumbar back pain. There are rare but well-documented reports of aortic pathology
(aneurysms, pseudoaneurysms, and chronic contained aneurysm ruptures) eroding
into the vertebral column causing neural compression. We report a case of a
rapidly progressive aortic pseudoaneurysm in a patient with pre-existing lumbar
spine pathology which had the potential for catastrophic intraoperative bleeding
during a minimally invasive surgery (MIS) using the transforaminal lumbar
interbody fusion (TLIF) technique. Postoperatively, the patient's radicular pain
resolved but her back pain remained. Further workup identified the pseudoaneurysm
and the patient subsequently underwent open vascular repair. In this report, we
highlight a lesser known mimicker of lumbar back pain.
PMID- 27882267
TI - Microvesicles as Vehicles for Tissue Regeneration: Changing of the Guards.
AB - PURPOSE OF REVIEW: Microvesicles (MVs) have been recognised as mediators of stem
cell function, enabling and guiding their regenerative effects. RECENT FINDINGS:
MVs constitute one unique size class of extracellular vesicles (EVs) directly
shed from the cell plasma membrane. They facilitate cell-to-cell communication
via intercellular transfer of proteins, mRNA and microRNA (miRNA). MVs derived
from stem cells, or stem cell regulatory cell types, have proven roles in tissue
regeneration and repair processes. Their role in the maintenance of healthy
tissue function throughout the life course and thus in age related health span
remains to be elucidated. SUMMARY: Understanding the biogenesis and mechanisms of
action of MVs may enable the development of cell-free therapeutics capable of
assisting in tissue maintenance and repair for a variety of age-related
degenerative diseases. This review critically evaluates recent work published in
this area and highlights important new findings demonstrating the use of MVs in
tissue regeneration.
PMID- 27882270
TI - Impact of Race/Ethnicity on Pain Management Outcomes in a Community-Based
Teaching Hospital Following Inpatient Palliative Care Consultation.
AB - OBJECTIVE: To examine race/ethnicity differences in pain management outcomes
following inpatient palliative care consultation. METHODS: We conducted a
retrospective study based on data from a community-based teaching hospital in
Fresno, CA, USA, from April 2014 to July 2015. One hundred sixty-one patients
with life-limiting diagnoses and palliative care pain-related consultations were
included. The patients were categorized into four racial groups: Caucasians,
African-Americans, Hispanics, and Asians/Others. Demographics and baseline pain
scores using the Visual Analogue Scale (VAS) were obtained. The outcome measures
included the length of stay, time to consult, and pain scores at admission, 24
hours before the consultation, 24-48 hours after consultation, and at discharge.
RESULTS: The initial median pain scores were not significantly different between
the groups, however, the Asians had slightly higher pain scores compared to the
other groups. African-Americans, Caucasians, and Hispanics had significant
differences in pain outcomes after consultation compared to 24 hours prior to
consultation (p = 0.01, p < 0.01 and p = 0.02, respectively). Caucasians and
Hispanics had significant differences in pain outcomes after palliative
consultation compared to initial admission assessment (p < 0.01). The differences
between discharge and admission pain scores were significant only for Asians,
Caucasians, and Hispanics (p = 0.04, p < 0.01, p < 0.01, respectively) but not
African-Americans. There were no significant pain score differences across the
racial groups following consultations. CONCLUSION: There were no significant
differences in pain reduction amongst the racial groups, suggesting that pain can
adequately be managed in individual racial groups after inpatient palliative care
consultations.
PMID- 27882268
TI - Long-Term Culture of Intestinal Cell Progenitors: An Overview of Their
Development, Application, and Associated Technologies.
AB - PURPOSE OF REVIEW: Long-term culture of adult progenitor cells in 3D is a
recently emerging technology that inhabits the space between 2D cell lines and
organ slice culture. RECENT FINDINGS: Adaptations to defined media components in
the wake of advances in ES and iPS cell culture has led to the identification of
conditions that maintained intestinal cell progenitors in culture. These
conditions retain cellular heterogeneity of the normal or tumour tissue, and the
cultures have been shown to be genetically stable, such that substantial biobanks
are being created from patient derived material. This coupled with advances in
analytical tools has generated a field, characterized by the term "organoid
culture", that has huge potential for advancing drug discovery, regenerative
medicine, and furthering the understanding of fundamental intestinal biology.
SUMMARY: In this review, we describe the approaches available for the long-term
culture of intestinal cells from normal and diseased tissue, the current
challenges, and how the technology is likely to develop further.
PMID- 27882271
TI - The Hidden Culprit in a Massive Episode of Hematemesis: A Dieulafoy's Lesion.
AB - A Dieulafoy's lesion is described as a tortuous, dilated aberrant submucosal
vessel that can penetrate through the mucosa and rupture spontaneously, resulting
in severe gastrointestinal bleeding. The lesion is most commonly found in the
proximal stomach. Historically, it has had up to an 80% mortality rate because of
its tendency to cause intermittent but severe bleeding and diagnostic challenges.
We report a case of a young male with recurrent severe upper gastrointestinal
bleeding with extensive prior investigations failing to reveal the source of
bleeding. Computed tomography angiography of the abdomen correctly identified
Dieulafoy's lesion of the stomach, and it was subsequently confirmed and
successfully treated with interventional radiology (IR)-guided mesenteric
angiography and embolization.
PMID- 27882272
TI - The Impact of Wearable Device Enabled Health Initiative on Physical Activity and
Sleep.
AB - OBJECTIVES: The Personal Health Management Study (PHMS) is an assessment of the
effect of a voluntary employee-facing health initiative using a commercially
available wearable device implemented among 565 employees of Boehringer Ingelheim
Pharmaceuticals, Inc. The results of the initiative on physical activity
(measured as steps) and sleep is reported. METHODS: This was a 12-month,
prospective, single-cohort intervention study using a wearable activity-measuring
device tracking steps and sleep (entire study period) and a system of health
promoting incentives (first nine months of study period). The findings from the
first nine study months are reported. RESULTS: The mixed model repeated measures
approach was used to analyze the data. There was no significant difference in
steps between the first month (7915.6 mean steps per person per day) and the last
month (7853.4 mean steps per person per day) of the intervention. However, there
was a seasonal decline in steps during the intervention period from fall to
winter, followed by an increase in steps from winter to spring. In contrast,
sleep tended to increase steadily throughout the study period, and the number of
hours slept during the last month (7.52 mean hours per person per day) of the
intervention was significantly greater than the number of hours slept during the
first month (7.16 mean hours per person per day). CONCLUSIONS: The impact of the
initiative on physical activity and sleep differed over the period of time
studied. While physical activity did not change between the first and last month
of the intervention, the number of hours slept per night increased significantly.
Although seasonal changes and study-device habituation may explain the pattern of
change in physical activity, further evaluation is required to clarify the
reasons underlying the difference in the impact of the initiative on the dynamics
of steps and sleep.
PMID- 27882273
TI - Rural Medical Education in New Zealand.
AB - Despite a large number of yearly medical graduates, rural New Zealand is faced
with a scarcity of practicing physicians. Opportunities to learn and practice in
rural settings start at the undergraduate level and extend to practicing
physicians. There are a number of different programs available to facilitate
rural medical education for all students and physicians. These programs will be
discussed in this article.
PMID- 27882274
TI - Mechanics of Wound Closure: Emerging Tape-Based Wound Closure Technology vs.
Traditional Methods.
AB - To date, there is still a lack of understanding of how wound closure methods
perform comparatively under daily bodily movement during the course of healing
and how they affect the mechanics of healing. The present study is a first step
in understanding and objectively quantifying the gap. The study provides both a
new method of metrology for noninvasive evaluation of skin mechanics at the onset
of wound healing and an emerging tape-based wound closure technology. The latter
shows better performance with respect to commonly used staples and sutures,
holding the wound intact and providing uniform mechanical support across the
incision.
PMID- 27882275
TI - A Case of an Enigmatic Pulmonary Infiltrate.
AB - The differential diagnosis of a pulmonary mass in an immunosuppressed host with a
history of cancer is broad and includes malignant, infectious and inflammatory
etiologies. Mycobacterium avium complex (MAC) is a rare cause of opportunistic
infection in susceptible individuals that can present as either localized or
disseminated disease. On radiologic studies, the pulmonary disease can manifest
as heterogeneous linear or nodular densities, a mass-like lesion, or thin-walled
cavitary lesions. We present the case of pulmonary MAC in a patient with a
history of lung cancer requiring lobectomy, and splenic lymphoma being treated
with chemotherapy, presenting with extreme fatigue and a fludeoxyglucose (FDG)
avid mass on positron emission tomography-computed tomography (PET-CT). The
patient had a CT-guided biopsy of the mass that demonstrated non-caseating
granulomas followed by a right middle lobe transbronchial biopsy that upon
histologic examination revealed mild acute and chronic inflammation, and
necrotizing caseating granulomas. The acid-fast culture of bronchoalveolar lavage
showed the growth of acid-fast bacilli that were identified by deoxyribonucleic
acid (DNA) probe as Mycobacterium avium complex. We discuss the typical
radiological manifestations of MAC as well as the role of immunosuppression and B
cell-depleting therapy from the predisposition to infection.
PMID- 27882276
TI - Isolated Non-Traumatic Bilateral Coronoid Process Fracture of the Mandible.
AB - Isolated bilateral fractures of the coronoid processes of the mandible occurred
in this patient without any significant trauma. The definite etiology of this
case is unknown, but possible causes or contributing factors may include acute
reflex contraction of the patient's temporalis muscles leading to bilateral
stress fractures, coronoid process hyperplasia, or the patient's long-term use of
omeprazole. The planned treatment for this patient included pain control with
Mobic and tramadol and splint fabrication followed by arch bar placement with
training elastics for six weeks.
PMID- 27882278
TI - Heat transfer analysis of underground U-type heat exchanger of ground source heat
pump system.
AB - BACKGROUND: Ground source heat pumps is a building energy conservation technique.
The underground buried pipe heat exchanging system of a ground source heat pump
(GSHP) is the basis for the normal operation of an entire heat pump system.
METHODS: Computational-fluid-dynamics (CFD) numerical simulation software, ANSYS
FLUENT17.0 have been performed the calculations under the working conditions of a
continuous and intermittent operation over 7 days on a GSHP with a single-well,
single-U and double-U heat exchanger and the impact of single-U and double-U
buried heat pipes on the surrounding rock-soil temperature field and the impact
of intermittent operation and continuous operation on the outlet water
temperature. CONCLUSIONS: The influence on the rock-soil temperature is
approximately 13 % higher for the double-U heat exchanger than that of the single
U heat exchanger. The extracted energy of the intermittent operation is 36.44
kw.h higher than that of the continuous mode, although the running time is lower
than that of continuous mode, over the course of 7 days. The thermal interference
loss and quantity of heat exchanged for unit well depths at steady-state
condition of 2.5 De, 3 De, 4 De, 4.5 De, 5 De, 5.5 De and 6 De of sidetube
spacing are detailed in this work. The simulation results of seven working
conditions are compared. It is recommended that the side-tube spacing of double-U
underground pipes shall be greater than or equal to five times of outer diameter
(borehole diameter: 180 mm).
PMID- 27882277
TI - Effect of silver nanoparticles on the standard soil arthropod Folsomia candida
(Collembola) and the eukaryote model organism Saccharomyces cerevisiae.
AB - BACKGROUND: Because of their antimicrobial properties, silver nanoparticles
(AgNPs) have been widely used and have come into contact with the environment. In
the present work, an effect of AgNPs on a standard soil organism, Folsomia
candida, was studied (in comparison to silver nitrate) focusing on molecular and
cellular alterations as ecotoxicological endpoints. RESULTS: At the molecular
level, an up-regulation of metallothionein-containing protein (MTC) mRNA in AgNP
treated groups indicated toxic heavy metal stress effects caused by the release
of silver ions from AgNPs, which is similar to animal groups treated with silver
nitrate. Alteration of the steady-state level of glutathione S-transferase (GST)
mRNA was detected in animal treated with AgNPs and AgNO3. At the cellular level,
the relation between GST activity and the size of the glutathione (GSH) was
examined. Change of GST activity from different animal groups was not
significant, whereas the GSH pool (reduced and oxidized forms) decreased with
increasing concentration of AgNPs. In order to obtain direct evidence whether
AgNPs cause oxidative stress, treated animals were incubated with the non
fluorescent probe, 2',7'-dichlorodihydrofluorescein diacetate (DCFH-DA). A
fluorescence signal was observed in both AgNPs- and AgNO3-treated groups pointing
to the production of reactive species (RS). Since RS formation in F.candida is
difficult to quantify, yeast strain BY4742 (wild-type) and mutants lacking of
oxidative stress-related protective enzymes were exploited as a further eukaryote
model organism. AgNPs and AgNO3 were found to also affect growth of yeast and
induced oxidative stress. CONCLUSIONS: An effect of AgNPs on Collembola and yeast
strains is similar to the one from AgNO3. However, AgNPs is less toxic due to the
slow release of silver ions. In summary, the toxic effect of AgNPs on F. candida
is caused by the combination of the release of silver ions from AgNPs and the
formation of reactive species.
PMID- 27882280
TI - Existence of common fixed point and best proximity point for generalized
nonexpansive type maps in convex metric space.
AB - Here, we extend the notion of (E.A.) property in a convex metric space defined by
Kumar and Rathee (Fixed Point Theory Appl 1-14, 2014) by introducing a new class
of self-maps which satisfies the common property (E.A.) in the context of convex
metric space and ensure the existence of common fixed point for this newly
introduced class of self-maps. Also, we guarantee the existence of common best
proximity points for this class of maps satisfying generalized non-expansive type
condition. We furnish an example in support of the proved results.
PMID- 27882279
TI - Research on the water-entry attitude of a submersible aircraft.
AB - BACKGROUND: The water entry of a submersible aircraft, which is transient, highly
coupled, and nonlinear, is complicated. After analyzing the mechanics of this
process, the change rate of every variable is considered. A dynamic model is
build and employed to study vehicle attitude and overturn phenomenon during water
entry. Experiments are carried out and a method to organize experiment data is
proposed. The accuracy of the method is confirmed by comparing the results of
simulation of dynamic model and experiment under the same condition. RESULTS:
Based on the analysis of the experiment and simulation, the initial attack angle
and angular velocity largely influence the water entry of vehicle. Simulations of
water entry with different initial and angular velocities are completed, followed
by an analysis, and the motion law of vehicle is obtained. To solve the problem
of vehicle stability and control during water entry, an approach is proposed by
which the vehicle sails with a zero attack angle after entering water by
controlling the initial angular velocity. With the dynamic model and optimization
research algorithm, calculation is performed, and the optimal initial angular
velocity of water-entry is obtained. CONCLUSIONS: The outcome of simulations
confirms that the effectiveness of the propose approach by which the initial
water-entry angular velocity is controlled.
PMID- 27882281
TI - The computation of confidence intervals for the state parameters of power
systems.
AB - BACKGROUND: In the past few decades, a significant volume of work has been
carried out on various aspects of the state estimation problem to estimate an
optimum state vector of the power system. This problem has been focused on, in
previous studies regarding the computational efficiency and numerical robustness
in view to find point estimates for system state parameters. This current
investigation, constructed confidence intervals for the unknown state parameters
of the system. The research indicates that confidence intervals can yield
addition useful information about the estimated parameters. METHODS: The feasible
interval estimates for the system state parameters have been modelled in this
study by considering the random uncertainty in the processing measurements. The
statistical assumptions of the measurement errors have been utilized to
characterize the probabilistic behavior of the estimated parameters in terms of
confidence intervals. The Gauss-Newton algorithm has been adopted for maximizing
the likelihood function of the processing measurements and obtaining the
confidence intervals. RESULTS: The usage of the confidence intervals was
demonstrated through Monte Carlo experiments on a real dataset of the 6-bus and
IEEE 14-bus power systems for both small and large sample sizes. The confidence
intervals were constructed for the test networks for the sample of measurements
18, 28, 44 and 68 based on the redundancy ratio R. The proposed interval
estimates outperformed for the sample sizes of 28 in the 6 bus and 68 in the IEEE
14-bus systems, respectively. The poor performance for the constructed interval
estimates have been reported even for the large sample sizes in the existence of
contaminated measurements. CONCLUSIONS: The results of the study show that the
method is effective and practically applicable in the state estimation of a power
system. The constructed confidence intervals for the system state parameters
adequately perform for the lager sample size. However, the existence of the gross
errors in the processing measurements had severe effect on the performance of the
proposed interval estimates.
PMID- 27882283
TI - Blocks in cycles and k-commuting permutations.
AB - We introduce and study k-commuting permutations. One of our main results is a
characterization of permutations that k-commute with a given permutation. Using
this characterization, we obtain formulas for the number of permutations that k
commute with a permutation [Formula: see text], for some cycle types of [Formula:
see text]. Our enumerative results are related with integer sequences in "The On
line Encyclopedia of Integer Sequences", and in some cases provide new
interpretations for such sequences.
PMID- 27882282
TI - Preliminary study on biology and feeding capacity of Chelisoches morio
(Fabricius) (Dermaptera:Chelisochidae) on Tirathaba rufivena (Walker).
AB - BACKGROUND: Chelisoches morio (Fabricius) (Dermaptera:Chelisochidae) is an
important predator of Tirathaba rufivena (Walker) (Lepidoptera:Pyralidae). For
better use of the natural enemy, a biological study on C. morio was conducted,
particularly its developmental duration, survival, fecundity and sex ratio. And
the feeding capacity of C. morio against T. rufivena was also studied under
laboratory conditions. RESULTS: The biological study on C. morio was revealed
that female adults usually lay eggs in egg masses. The number of eggs per female
averaged 140.17 eggs, and the incubation period was 7.50 days. The duration of
nymphal development included four instars. The immature period was 83.95 days.
The longevity of males and females was 58.60 and 93.55 days, respectively. The
results from the study on the feeding capacity of C. morio using T. rufivena as
food revealed that C. morio adults could consume 11.08, 7.87, 7.09, 6.82 and 5.89
first-to-fifth-instar T. rufivena larvae, respectively. CONCLUSIONS: C. morio
showed a huge amount of predation on this pest at all larval stages, implying a
significant potential for the use of C. morio in controlling T. rufivena.
PMID- 27882284
TI - Soft normed rings.
AB - Molodtsov introduced the concept of soft sets, which can be seen as a new
mathematical tool for dealing with uncertainty. In this paper, we initiate the
study of soft normed rings by soft set theory. The notions of soft normed rings,
soft normed ideals, soft complete normed rings are introduced and also several
related properties and examples are given.
PMID- 27882285
TI - Reliability of digital reactor protection system based on extenics.
AB - After the Fukushima nuclear accident, safety of nuclear power plants (NPPs) is
widespread concerned. The reliability of reactor protection system (RPS) is
directly related to the safety of NPPs, however, it is difficult to accurately
evaluate the reliability of digital RPS. The method is based on estimating
probability has some uncertainties, which can not reflect the reliability status
of RPS dynamically and support the maintenance and troubleshooting. In this
paper, the reliability quantitative analysis method based on extenics is proposed
for the digital RPS (safety-critical), by which the relationship between the
reliability and response time of RPS is constructed. The reliability of the RPS
for CPR1000 NPP is modeled and analyzed by the proposed method as an example. The
results show that the proposed method is capable to estimate the RPS reliability
effectively and provide support to maintenance and troubleshooting of digital RPS
system.
PMID- 27882286
TI - Technical application for inspection sampling for repairable systems in an
economic system.
AB - In this article we develop a model for determining the appropriate level of
inspection sampling for any manufacturing process. The model is useful for
manufacturers, who naturally are concerned with profits and therefore with
minimizing the cost of production. The model design aims to reduce total
manufacturing cost and has general applicability to various manufacturing
operations. The model considers the interests of consumers, who wish to minimize
the cost of production while simultaneously ensuring the final product is of high
quality. The cost parameters for production, the acceptance test, and admissible
strategy are applied in the model. The cost components are formulated along with
the minimization of the expected cost, and we used the repairable systems to
guarantee the maintenance and sustainability of the economic system. We also
discuss the assumptions and their appropriateness, as well as the application of
the model to burn-in of system components.
PMID- 27882287
TI - Oscillation and asymptotic properties of a class of second-order Emden-Fowler
neutral differential equations.
AB - We consider a class of second-order Emden-Fowler equations with positive and
nonpositve neutral coefficients. By using the Riccati transformation and
inequalities, several oscillation and asymptotic results are established. Some
examples are given to illustrate the main results.
PMID- 27882288
TI - Using Benefit-Cost Analysis to Scale Up Early Childhood Programs through Pay-for
Success Financing.
AB - Increasing access to high-quality preschool programs is a high priority at local,
state, and federal levels. Recently, two initiatives to expand preschool
programming in Illinois and Utah have used funds from private investors to scale
up existing programs. Private-sector social impact investors provide funding to
nonprofit or public preschool providers to increase the number of children
served. If the measured outcomes from preschool participation meet pre-determined
goals, then the estimated government cost savings arising from these preschool
interventions are used to repay the investors. Social impact investing with a
"Pay for Success" contract can help budget-constrained governments expand proven
or promising preventive interventions without the need to increase taxes. Cost
benefit analysis plays a crucial role in helping to identify which social,
educational or health interventions are suitable for this type of innovative
financing. Cost-benefit analysts are needed to design the structure of the
success payments that the government will make to the private investors. This
paper describes social impact borrowing as a new method for financing public
services, outlines the contribution of cost-benefit analysis, and discusses the
innovative use of social impact financing to promote scaling up of the evidence
based Child Parent Centers and other early childhood programs.
PMID- 27882289
TI - Integrated assembly and motion planning using regrasp graphs.
AB - This paper presents an integrated assembly and motion planning system to
recursively find the assembly sequence and motions to assemble two objects with
the help of a horizontal surface as the supporting fixture. The system is
implemented in both assembly level and motion level. In the assembly level, the
system checks all combinations of the assembly sequences and gets a set of
candidates. Then, for each candidate assembly sequence, the system incrementally
builds regrasp graphs and performs recursive search to find a pick-and-place
motion in the motion level to manipulate the base object as well as to assemble
the other object to the base. The system integrates the candidate assembly
sequences computed in the assembly level incrementally and recursively with graph
searching and motion planning in the motion level and plans the assembly
sequences and motions integratedly for assembly tasks. Both simulation and real
world experiments are performed to demonstrate the efficacy of the integrated
planning system.
PMID- 27882290
TI - Mast Cell Interaction with Neutrophils in Human Gastric Carcinomas:
Ultrastructural Observations.
AB - Aim. The role of mast cells in cell-cell immune interactions has received
increasing attention, although their functional interaction with neutrophils
still remains to be clarified in tumors. The aim of the present study was to
investigate the association between mast cells and neutrophils in a series of
gastric carcinomas (GC). Patients and Methods. 52 surgically resected GC
specimens were routinely processed for both light and electron microscopy. Only
cases showing both mast cells and neutrophils in the tumor stroma were considered
in the analysis. Results. Only 9 GC (M : F = 5 : 4; age range: 50-82 years)
showed both mast cells and neutrophils in the tumor stroma. At ultrathin
sections, we identified heterotypic aggregation and intermingling of mast cells
and neutrophils. Mast cells had mature phenotype and showed full complement of
granules with homogeneous, scroll, particle, and mixed pattern. In addition, we
found normal-appearing or early apoptosis showing neutrophils. Conclusion. Our
histological findings showed the likely interaction between mast cells and
neutrophils in GC. We hypothesize that the granular content of mast cells may be
released in small quantity through a mechanism called "kiss-and-run fusion,"
which is alternative to well-known massive anaphylactic or piecemeal
degranulation.
PMID- 27882291
TI - Comparison of body mass index, waist circumference, and waist to height ratio in
the prediction of hypertension and diabetes mellitus: Filipino-American women
cardiovascular study.
AB - The relative ability of three obesity indices to predict hypertension (HTN) and
diabetes (DM) and the validity of using Asian-specific thresholds of these
indices were examined in Filipino-American women (FAW). Filipino-American women
(n = 382), 40-65 years of age were screened for hypertension (HTN) and diabetes
(DM) in four major US cities. Body mass index (BMI), waist circumference (WC) and
waist circumference to height ratio (WHtR) were measured. ROC analyses determined
that the three obesity measurements were similar in predicting HTN and DM (AUC:
0.6-0.7). The universal WC threshold of >= 35 in. missed 13% of the hypertensive
patients and 12% of the diabetic patients. The Asian WC threshold of >= 31.5 in.
increased detection of HTN and DM but with a high rate of false positives. The
traditional BMI >= 25 kg/m2 threshold missed 35% of those with hypertension and
24% of those with diabetes. The Asian BMI threshold improved detection but
resulted in a high rate of false positives. The suggested WHtR cut-off of >= 0.5
missed only 1% of those with HTN and 0% of those with DM. The three obesity
measurements had similar but modest ability to predict HTN and DM in FAW. Using
Asian-specific thresholds increased accuracy but with a high rate of false
positives. Whether FAW, especially at older ages, should be encouraged to reach
these lower thresholds needs further investigation because of the high false
positive rates.
PMID- 27882292
TI - Muscular strength and endurance and cardio-metabolic health in disadvantaged
Hispanic children from the U.S.
AB - The predictive relationship between muscular strength and endurance and cardio
metabolic health, independent from aerobic fitness, is not clear in disadvantaged
Hispanic children. The purpose of this study was to examine the predictive
relationship between muscular strength and endurance and clustered cardio
metabolic risk, controlling for aerobic fitness, in Hispanic children from low
income schools. Participants were 320 Hispanic children (Mean age = 10.1 +/- 1.1
years; 164 girls, 156 boys) recruited during the 2014-2015 and 2015-2016 academic
years from five low-income schools from the state of Utah in the U.S. Muscular
strength and endurance was assessed using the push-up and curl-up tests and
estimated VO2 Peak was calculated from the Progressive Aerobic Cardiovascular
Endurance Run. A clustered metabolic syndrome composite score (MetS) was
calculated from cardio-metabolic health measurements consisting of HDL
cholesterol, triglycerides, waist circumference, blood glucose, and mean arterial
pressure (MAP). Multi-level general linear mixed effects models were used to
examine the predictive relationship between muscular strength and endurance and
MetS, controlling for the effect of aerobic fitness and the clustering of
children within classrooms and schools. Children who were in the middle and upper
tertiles for muscular strength and endurance associated with a lower (more
favorable) MetS score (middle tertile: beta = - 2.59, 95% C.I. [- 4.23, - 0.95],
p < 0.05; upper tertile: beta = - 1.57, 95% C.I. [- 3.20, - 0.16], p < 0.05). The
results suggest that higher levels of muscular strength and endurance relate to
lower cardio-metabolic risk, independent of aerobic fitness, in Hispanic children
from low-income schools.
PMID- 27882293
TI - Using mammograms to predict preventive health services behavior and mortality in
women.
AB - This study examined whether mammography receipt was associated with mortality due
to causes other than breast cancer, hypothesizing that mammography screening was
a proxy for the predisposition to seek preventive health behaviors. Using data on
89,574 women from the 2000 National Health Interview Survey and National Death
Index, a discrete-time hazard model estimated the mortality from any cause except
breast cancer as a function of screening status. Receiving a mammogram was
associated with a 24% reduction in the likelihood of death all causes except
breast cancer. These odds were reduced to 21.1% when demographic and
socioeconomic variables are added and reduced further to 20.9% when health
resource variables were added. The final adjusted model shows that women who
received a mammogram had reduced their probability of death by 20%. These results
suggest women who undergo mammograms may be more likely to seek other preventive
health services or engage in healthy behaviors that affect mortality. While the
use of mammograms to predict breast cancer mortality merits further
consideration, if a proxy for a woman's predisposition for additional preventive
screenings, encouraging mammography may be a pivotal pathway for preventing
mortality due to other causes for women.
PMID- 27882294
TI - Cough and fever in an immigrant adolescent with abnormal chest X-ray.
AB - Tuberculosis (TB) remains a leading cause of death from infectious disease
worldwide with 80,000 pediatric deaths annually. Disease caused by Mycobacterium
tuberculosis (Mtb) is usually asymptomatic in pediatric patients and resolves
after completion of standard therapy with isoniazid (INH). Rare reports document
children greater than 10 years of age in endemic regions developing adult type
cavitary disease, an infectious pulmonary tuberculosis lesion. This is a notable
case of post-infectious pulmonary TB disease with adult type cavitation in an
immigrant adolescent, which developed even after completing standard therapy with
INH. With increasing immigration of refugees from endemic regions into the United
States, the Center for Disease Control implemented standardized testing and
treatment of TB. However even with identification of disease, many immigrants may
not seek treatment or complete therapy given lack of health insurance, and poor
access to health care. This case of a 14 year old adolescent with post primary
cavitary TB highlights the importance of directly observed therapy (DOT) and
medication compliance. Perhaps as noteworthy, this case also emphasizes the need
for pediatricians to recognize the impact on public health and the potential for
spread of active TB within schools and the community especially in pulmonary
cavitary lesion.
PMID- 27882295
TI - Neuroanatomical correlates of childhood apraxia of speech: A connectomic
approach.
AB - Childhood apraxia of speech (CAS) is a paediatric speech sound disorder in which
precision and consistency of speech movements are impaired. Most children with
idiopathic CAS have normal structural brain MRI. We hypothesize that children
with CAS have altered structural connectivity in speech/language networks
compared to controls and that these altered connections are related to functional
speech/language measures. Whole brain probabilistic tractography, using
constrained spherical deconvolution, was performed for connectome generation in
17 children with CAS and 10 age-matched controls. Fractional anisotropy (FA) was
used as a measure of connectivity and the connections with altered FA between CAS
and controls were identified. Further, the relationship between altered FA and
speech/language scores was determined. Three intra-hemispheric/interhemispheric
subnetworks showed reduction of FA in CAS compared to controls, including left
inferior (opercular part) and superior (dorsolateral, medial and orbital part)
frontal gyrus, left superior and middle temporal gyrus and left post-central
gyrus (subnetwork 1); right supplementary motor area, left middle and inferior
(orbital part) frontal gyrus, left precuneus and cuneus, right superior occipital
gyrus and right cerebellum (subnetwork 2); right angular gyrus, right superior
temporal gyrus and right inferior occipital gyrus (subnetwork 3). Reduced FA of
some connections correlated with diadochokinesis, oromotor skills, expressive
grammar and poor lexical production in CAS. These findings provide evidence of
structural connectivity anomalies in children with CAS across specific brain
regions involved in speech/language function. We propose altered connectivity as
a possible epiphenomenon of complex pathogenic mechanisms in CAS which need
further investigation.
PMID- 27882296
TI - White matter abnormalities at a regional and voxel level in focal and generalized
epilepsy: A systematic review and meta-analysis.
AB - OBJECTIVE: Since the introduction of diffusion tensor imaging, white matter
abnormalities in epilepsy have been studied extensively. However, the affected
areas reported, the extent of abnormalities and the association with relevant
clinical parameters are highly variable. We aimed to obtain a more consistent
estimate of white matter abnormalities and their association with clinical
parameters in different epilepsy types. METHODS: We systematically searched for
differences in white matter fractional anisotropy and mean diffusivity, at
regional and voxel level, between people with epilepsy and healthy controls. Meta
analyses were used to quantify the directionality and extent of these
differences. Correlations between white matter differences and age of epilepsy
onset, duration of epilepsy and sex were assessed with meta-regressions. RESULTS:
Forty-two studies, with 1027 people with epilepsy and 1122 controls, were
included with regional data. Sixteen voxel-based studies were also included.
People with temporal or frontal lobe epilepsy had significantly decreased
fractional anisotropy (Delta -0.021, 95% confidence interval -0.026 to -0.016)
and increased mean diffusivity (Delta0.026 * 10-3 mm2/s, 0.012 to 0.039) in the
commissural, association and projection white matter fibers. White matter was
much less affected in generalized epilepsy. White matter changes in people with
focal epilepsy correlated with age at onset, epilepsy duration and sex.
SIGNIFICANCE: This study provides a better estimation of white matter changes in
different epilepsies. Effects are particularly found in people with focal
epilepsy. Correlations with the duration of focal epilepsy support the hypothesis
that these changes are, at least partly, a consequence of seizures and may
warrant early surgery. Future studies need to guarantee adequate group sizes, as
white matter differences in epilepsy are small.
PMID- 27882299
TI - Application of target capture sequencing of exons and conserved non-coding
sequences to 20 inbred rat strains.
AB - We report sequence data obtained by our recently devised target capture method
TargetEC applied to 20 inbred rat strains. This method encompasses not only all
annotated exons but also highly conserved non-coding sequences shared among
vertebrates. The total length of the target regions covers 146.8 Mb. On an
average, we obtained 31.7 * depth of target coverage and identified 154,330 SNVs
and 24,368 INDELs for each strain. This corresponds to 470,037 unique SNVs and
68,652 unique INDELs among the 20 strains. The sequence data can be accessed at
DDBJ/EMBL/GenBank under accession number PRJDB4648, and the identified variants
have been deposited at http://bioinfo.sls.kyushu
u.ac.jp/rat_target_capture/20_strains.vcf.gz.
PMID- 27882297
TI - Disrupted functional connectivity affects resting state based language
lateralization.
AB - Pre-operative assessment of language localization and lateralization is critical
to preserving brain function after lesion or epileptogenic tissue resection. Task
fMRI (t-fMRI) has been extensively and reliably used to this end, but resting
state fMRI (rs-fMRI) is emerging as an alternative pre-operative brain mapping
method that is independent of a patient's ability to comply with a task. We
sought to evaluate if language lateralization obtained from rs-fMRI can replace
standard assessment using t-fMRI. In a group of 43 patients scheduled for pre
operative fMRI brain mapping and 17 healthy controls, we found that existing
methods of determining rs-fMRI lateralization by considering interhemispheric and
intrahemispheric functional connectivity are inadequate compared to t-fMRI when
applied to the language network. We determined that this was attributable to
widespread but nuanced disturbances in the functional connectivity of the
language network in patients. We found changes in interhemispheric and
intrahemispheric functional connectivity that were dependent on lesion location,
and particularly impacted patients with lesions in the left temporal lobe. We
then tested whether a simpler measure of functional connectivity to the language
network has a better relation to t-fMRI based language lateralization.
Remarkably, we found that functional connectivity between the language network
and the frontal pole, and superior frontal gyrus, as well as the supramarginal
gyrus, significantly correlated to task based language lateralization indices in
both patients and healthy controls. These findings are consistent with prior work
with epilepsy patients, and provide a framework for evaluating language
lateralization at rest.
PMID- 27882300
TI - No light at the end of the tunnel... an unfortunate case of varicella-associated
progressive outer retinal necrosis in a patient with neglected HIV infection.
PMID- 27882302
TI - Pyonephrosis and pyocystis.
PMID- 27882298
TI - High frequency oscillations and high frequency functional network characteristics
in the intraoperative electrocorticogram in epilepsy.
AB - OBJECTIVE: High frequency oscillations (HFOs; > 80 Hz), especially fast ripples
(FRs, 250-500 Hz), are novel biomarkers for epileptogenic tissue. The
pathophysiology suggests enhanced functional connectivity within FR generating
tissue. Our aim was to determine the relation between brain areas showing FRs and
'baseline' functional connectivity within EEG networks, especially in the high
frequency bands. METHODS: We marked FRs, ripples (80-250 Hz) and spikes in the
electrocorticogram of 14 patients with refractory temporal lobe epilepsy. We
assessed 'baseline' functional connectivity in epochs free of epileptiform events
within these recordings, using the phase lag index. We computed the Eigenvector
Centrality (EC) per channel in the FR and gamma band network. We compared EC
between channels that did or did not show events at other moments in time.
RESULTS: FR-band EC was higher in channels with than without spikes. Gamma-band
EC was lower in channels with ripples and FRs. CONCLUSIONS: We confirmed previous
findings of functional isolation in the gamma-band and found a first proof of
functional integration in the FR-band network of channels covering presumed
epileptogenic tissue. SIGNIFICANCE: 'Baseline' high-frequency network parameters
might help intra-operative recognition of epileptogenic tissue without the need
for waiting for events. These findings can increase our understanding of the
'architecture' of epileptogenic networks and help unravel the pathophysiology of
HFOs.
PMID- 27882301
TI - Prolonged shedding of Chikungunya virus in semen and urine: A new perspective for
diagnosis and implications for transmission.
AB - We report the presence of Chikungunya (CHIKV) RNA in the blood, urine and semen
during the acute phase of the disease in an adult with a dual infection with
Dengue virus type 3. The patient, a 25 yr-old man from Salvador, Brazil, reported
a 6-day duration of high fever, arthralgia, myalgia, headache and
photophobia.Blood and semen specimens were positive for CHIKV in the first
collected samples; semen and urine specimens were positive for CHIKV after 30
days of symptoms onset. DENV-3 RNA was positive in blood specimen when first
collected 6 days after the initiation of symptoms.We describe for the first time
the presence of CHIKV RNA in urine and semen for an extended period of time and
we address the possible implications of these findings for diagnosis and
transmission dynamics.
PMID- 27882303
TI - Ovarian-like differentiation in eutopic and ectopic endometrioses with aberrant
FSH receptor, INSL3 and GATA4/6 expression.
AB - Endometriosis, the hormone-dependent extrauterine dissemination of endometrial
tissue outside the uterus, affects 5-15% of women of reproductive age.
Pathogenesis remains poorly understood as well as the estrogen production by
endometriotic tissue yielding autocrine growth. Estrogens (E2) are normally
produced by the ovaries. We investigated whether aberrant "ovarian-like"
differentiation occurred in endometriosis. 69 women, with (n = 38) and without (n
= 31) histologically proven endometriosis were recruited. Comparative RT-qPCR was
performed on 20 genes in paired eutopic and ectopic lesions, together with
immunohistochemistry. Functional studies were performed in primary cultures of
epithelial endometriotic cells (EEC). A broaden ovarian-like differentiation was
found in half eutopic and all ectopic endometriosis with aberrant expression of
transcripts and protein for the transcription factors GATA4 and GATA6 triggering
ovarian differentiation, for the FSH receptor (FSHR) and the ovarian hormone
INSL3. Like in ovaries the FSHR induced aromatase, the key enzyme in E2
production, and vascular factors in EEC. The LH receptor (LHR) was also
aberrantly expressed in a subset of ectopic endometriosis (21%) and induced
strongly androgen-synthesizing enzymes and INSL3 in EEC, as in ovaries, as well
as endometriotic cell growth. The ERK pathway mediates signaling by both
hormones. A positive feedback loop occurred through FSHR and LHR-dependent
induction of GATA4/6 in EEC, as in ovaries, enhancing the production of the
steroidogenic cascade. This work highlights a novel pathophysiological mechanism
with a broadly ovarian pattern of differentiation in half eutopic and all ectopic
endometriosis. This study provides new tools that might improve the diagnosis of
endometriosis in the future.
PMID- 27882304
TI - Metabolic and adaptive immune responses induced in mice infected with tissue
dwelling nematode Trichinella zimbabwensis.
AB - Tissue-dwelling helminths are known to induce intestinal and systemic
inflammation accompanied with host compensatory mechanisms to counter balance
nutritional and metabolic deficiencies. The metabolic and immune responses of the
host depend on parasite species and tissues affected by the parasite. This study
investigated metabolic and immuno-inflammatory responses of mice infected with
tissue-dwelling larvae of Trichinella zimbabwensis and explored the relationship
between infection, metabolic parameters and Th1/Th17 immune responses. Sixty (60)
female BALB/c mice aged between 6 to 8 weeks old were randomly assigned into T.
zimbabwensis-infected and control groups. Levels of Th1 (interferon-gamma) and
Th17 (interleukin-17) cytokines, insulin and blood glucose were determined as
well as measurements of body weight, food and water intake. Results showed that
during the enteric phase of infection, insulin and IFN-gamma levels were
significantly higher in the Trichinella infected group accompanied with a
reduction in the trends of food intake and weight loss compared with the control
group. During systemic larval migration, trends in food and water intake were
significantly altered and this was attributed to compensatory feeding resulting
in weight gain, reduced insulin levels and increased IL-17 levels. Larval
migration also induced a Th1/Th17 derived inflammatory response. It was concluded
that T. zimbabwensis alters metabolic parameters by instigating host compensatory
feeding. Furthermore, we showed for the first time that non-encapsulated T.
zimbabwensis parasite plays a role in immunomodulating host Th1/Th17 type
responses during chronic infection.
PMID- 27882305
TI - Splenophrenic portosystemic shunt in dogs with and without portal hypertension:
can acquired and congenital porto-caval connections coexist?
AB - The possible existence of the same pattern of porto-caval connection in dogs
having a single congenital portosystemic shunt (CPSS) and in dogs having multiple
acquired portosystemic shunt (MAPSS) secondary to portal hypertension (PH) was
evaluated. Retrospective evaluation of all CT examinations of patients having
portosystemic shunt (PSS) was performed in a 4-year time period. All anomalous
porto-caval connections were assessed for anatomical pattern and compared with
published veterinary literature. Records of 25 dogs were reviewed. 16 dogs had a
single CPSS (CPSS group), and 9 dogs had multiple acquired PSS secondary to PH
(APSS group). The splenophrenic shunt pattern was found in 3 dogs of the CPSS
group as a single congenital anomaly without PH and in 2 dogs of the APSS group
associated with MAPSS and ascites due to different hepatic diseases causing PH.
These findings corroborate two hypotheses: 1) Splenophrenic PSS should be
considered as a classical CPSS but if this is not sufficient to alleviate a PH
developed after birth because of eventual hepatic or portal diseases, in this
case ascites and acquired portal collaterals may develop. In this case, MAPSS and
CPSS may coexist. 2) The pattern of splenophrenic PSS, classically described
among CPSS, may develop as acquired portal collateral in dogs with PH and it
should also be included in the category of APSS. These preliminary findings may
be helpful in reconsidering the classical haemodynamics of porto-caval diseases,
enrich the classification of APSS in dogs and refine the imaging evaluation of
patients with PH.
PMID- 27882307
TI - Metabolic Imaging in Prostate Cancer: Where We Are.
AB - In recent years, the development of diagnostic methods based on metabolic imaging
has been aimed at improving diagnosis of prostate cancer (PCa) and perhaps at
improving therapy. Molecular imaging methods can detect specific biological
processes that are different when detected within cancer cells relative to those
taking place in surrounding normal tissues. Many methods are sensitive to tissue
metabolism; among them, positron emission tomography (PET) and magnetic resonance
spectroscopic imaging (MRSI) are widely used in clinical practice and clinical
research. There is a rich literature that establishes the role of these metabolic
imaging techniques as valid tools for the diagnosis, staging, and monitoring of
PCa. Until recently, European guidelines for PCa detection still considered both
MRSI/MRI and PET/CT to be under evaluation, even though they had demonstrated
their value in the staging of high risk PCa, and in the restaging of patients
presenting elevated prostatic-specific antigen levels following radical treatment
of PCa, respectively. Very recently, advanced methods for metabolic imaging have
been proposed in the literature: multiparametric MRI (mpMRI), hyperpolarized
MRSI, PET/CT with the use of new tracers and finally PET/MRI. Their detection
capabilities are currently under evaluation, as is the feasibility of using such
techniques in clinical studies.
PMID- 27882308
TI - Prognostic Impact of Autophagy Biomarkers for Cutaneous Melanoma.
AB - Prognosis and survival for malignant melanoma is highly dependent on early
diagnosis and treatment. While the American Joint Committee on Cancer (AJCC)
criterion provides a means of staging melanomas and guiding treatment approaches,
it is unable to identify the risk of disease progression of early stage tumors or
provide reliable stratification for novel adjuvant therapies. The demand for
credible prognostic/companion biomarkers able to identify high-risk melanoma
subgroups as well as guide more effective personalized/precision-based therapy is
therefore of paramount importance. Autophagy, the principle lysosomal-mediated
process for the degradation/recycling of cellular debris, is a hot topic in
cancer medicine, and observations of its deregulation in melanoma have brought
its potential as a prognostic biomarker to the forefront of current research. Key
regulatory proteins, including Atg8/microtubule-associated light chain 3 (LC3)
and BECN1 (Beclin 1), have been proposed as potential prognostic biomarkers.
However, given the dynamic nature of autophagy, their expression in vitro does
not translate to their use as a prognostic biomarker for melanoma in vivo. We
have recently identified the expression levels of Sequestosome1/SQSTM1 (p62) and
activating molecule in Beclin 1-regulated autophagy protein 1 (AMBRA1) as novel
independent prognostic biomarkers for early stage melanomas. While increasing
followed by subsequent decreasing levels of p62 expression reflects the
paradoxical role of autophagy in melanoma, expression levels additionally define
a novel prognostic biomarker for AJCC stage II tumors. Conversely, loss of AMBRA1
in the epidermis overlying primary melanomas defines a novel prognostic biomarker
for AJCC stage I tumors. Collectively, the definition of AMBRA1 and p62 as
prognostic biomarkers for early stage melanomas provides novel and accurate means
through which to identify tumors at risk of disease progression, facilitating
earlier patient therapeutic intervention and stratification tools for novel
personalized therapeutic approaches to improve clinical outcome.
PMID- 27882309
TI - Enhanced fold recognition using efficient short fragment clustering.
AB - The main structure aligner in the CCP4 Software Suite, SSM (Secondary Structure
Matching) has a limited applicability on the intermediate stages of the structure
solution process, when the secondary structure cannot be reliably computed due to
structural incompleteness or a fragmented mainchain. In this study, we describe a
new algorithm for the alignment and comparison of protein structures in CCP4,
which was designed to overcome SSM's limitations but retain its quality and
speed. The new algorithm, named GESAMT (General Efficient Structural Alignment of
Macromolecular Targets), employs the old idea of deriving the global structure
similarity from a promising set of locally similar short fragments, but uses a
few technical solutions that make it considerably faster. A comparative
sensitivity and selectivity analysis revealed an unexpected significant
improvement in the fold recognition properties of the new algorithm, which also
makes it useful for applications in the structural bioinformatics domain. The new
tool is included in the CCP4 Software Suite starting from version 6.3.
PMID- 27882306
TI - Personalized Proteomics: The Future of Precision Medicine.
AB - Medical diagnostics and treatment has advanced from a one size fits all science
to treatment of the patient as a unique individual. Currently, this is limited
solely to genetic analysis. However, epigenetic, transcriptional, proteomic,
posttranslational modifications, metabolic, and environmental factors influence a
patient's response to disease and treatment. As more analytical and diagnostic
techniques are incorporated into medical practice, the personalized medicine
initiative transitions to precision medicine giving a holistic view of the
patient's condition. The high accuracy and sensitivity of mass spectrometric
analysis of proteomes is well suited for the incorporation of proteomics into
precision medicine. This review begins with an overview of the advance to
precision medicine and the current state of the art in technology and
instrumentation for mass spectrometry analysis. Thereafter, it focuses on the
benefits and potential uses for personalized proteomic analysis in the diagnostic
and treatment of individual patients. In conclusion, it calls for a synthesis
between basic science and clinical researchers with practicing clinicians to
design proteomic studies to generate meaningful and applicable translational
medicine. As clinical proteomics is just beginning to come out of its infancy,
this overview is provided for the new initiate.
PMID- 27882310
TI - Minimal invasive horizontal ridge augmentation using subperiosteal tunneling
technique.
AB - BACKGROUND: The goal of this study was to retrospectively evaluate the prognosis
of minimal invasive horizontal ridge augmentation (MIHRA) technique using small
incision and subperiosteal tunneling technique. METHODS: This study targeted 25
partially edentulous patients (10 males and 15 females, mean age 48.8 +/- 19.7
years) who needed bone graft for installation of the implants due to alveolar
bone deficiency. The patients took the radiographic exam, panoramic and
periapical view at first visit, and had implant fixture installation surgery. All
patients received immediate or delayed implant surgery with bone graft using U
shaped incision and tunneling technique. After an average of 2.8 months, the
prosthesis was connected and functioned. The clinical prognosis was recorded by
observation of the peri-implant tissue at every visit. A year after restoration,
the crestal bone loss around the implant was measured by taking the follow-up
radiographs. One patient took 3D-CT before bone graft, after bone graft, and 2
years after restoration to compare and analyze change of alveolar bone width.
RESULTS: This study included 25 patients and 39 implants. Thirty eight implants
(97.4 %) survived. As for postoperative complications, five patients showed minor
infection symptoms, like swelling and tenderness after bone graft. The other one
had buccal fenestration, and secondary bone graft was done by the same technique.
No complications related with bone graft were found except in these patients. The
mean crestal bone loss around the implants was 0.03 mm 1 year after restoration,
and this was an adequate clinical prognosis. A patient took 3D-CT after bone
graft, and the width of alveolar bone increased 4.32 mm added to 4.6 mm of former
alveolar bone width. Two years after bone graft, the width of alveolar bone was
8.13 mm, and this suggested that the resorption rate of bone graft material was
18.29 % during 2 years. CONCLUSIONS: The bone graft material retained within a
pouch formed using U-shaped incision and tunneling technique resulted with a few
complications, and the prognosis of the implants placed above the alveolar bone
was adequate.
PMID- 27882311
TI - Retrospective clinical study of an implant with a sandblasted, large-grit, acid
etched surface and internal connection: analysis of short-term success rate and
marginal bone loss.
AB - BACKGROUND: The purpose of this retrospective study was to evaluate the clinical
utility of an implant with a sandblasted, large-grit, acid-etched (SLA) surface
and internal connection. METHODS: Six patients who received dental implants in
the Department of Oral and Maxillofacial Surgery, Chonnam National University
Dental Hospital, were analyzed by factors influencing the success rate and
marginal bone loss. Factors included patient's age, sex, implant installation
site, whether bone graft was done, type of bone graft materials, approaching
method if sinus lift was done, and the size of the fixture. In addition, the
marginal bone loss was analyzed by using a radiograph. RESULTS: All implants were
successful, and the cumulative survival rate was 100 %. Average marginal bone
loss of 6 months after the installation was 0.52 mm and 20 months after the
functional loading was 1.06 mm. Total marginal bone resorption was 1.58 mm on
average. There was no statistically significant difference in mesial and distal
marginal bone loss. CONCLUSIONS: The short-term clinical success rate of the
implant with an SLA surface and internal connection was satisfactory. Moreover,
the marginal bone loss was also consistent with the implant success criteria.
PMID- 27882312
TI - Supernumerary nostril: a case report.
AB - BACKGROUND: Supernumerary nostril is a congenital anomaly that contains
additional nostril with or without accessory cartilage. These rare congenital
nasal deformities result from embryological defects. Since 1906, Lindsay (Trans
Pathol Soc Lond. 57:329-330, 1906) has published the first research of bilateral
supernumerary nostrils, and only 34 cases have been reported so far in the
English literature. CASE PRESENTATION: A 1-year-old female baby was brought to
our department group for the treatment of an accessory opening above the left
nostril which had been presented since her birth. Medical history was non
specific and her birth was normal. The size of a supernumerary nostril was about
0.2 cm diameter and connected to the left nostril. The right one was normal.
Minimal procedure was operated for the anomaly. After 1 year, rhinoplasty was
performed for the nostril asymmetry. CONCLUSIONS: At 1 year follow-up, the
functional and cosmetic result was satisfactory. In this case, it is important
that we have early preoperative diagnosis. Also, it is desirable that we should
perform a corrective surgery as soon as possible for the patient's psychosocial
growth.
PMID- 27882313
TI - Experiences in Tick Control by Acaricide in the Traditional Cattle Sector in
Zambia and Burkina Faso: Possible Environmental and Public Health Implications.
AB - Livestock, especially cattle, play a paramount role in agriculture production
systems, particularly in poor countries throughout the world. Ticks and tick
borne diseases (TBDs) have an important impact on livestock and agriculture
production in sub-Saharan Africa. The authors review the most common methods used
for the control of ticks and TBDs. Special emphasis is given to the direct
application of acaricides to the host animals. The possible environmental and
public health adverse effects (i.e., risks for the workers, residues in the
environment and in food products of animal origin) are mentioned. The authors
present two case studies, describing different field experiences in controlling
ticks in two African countries. In Zambia (Southern Africa), a strategic dipping
regime was used to control Rhipicephalus appendiculatus ticks, vectors of
theileriosis, a deadly disease affecting cattle in the traditional livestock
sector in Southern Province. The dipping regime adopted allowed to reduce the
tick challenge and cattle mortally rate and, at the same time, to employ less
acaricide as compared to the intensive dipping used so far, without disrupting
the building-up of enzootic stability. In Burkina Faso (West Africa), where
dipping was never used for tick control, an acaricide footbath was employed as an
alternative method to the traditional technique used locally (portable manual
sprayers). This was developed from field observations on the invasion/attachment
process of the Amblyomma variegatum ticks - vector of cowdriosis - on the animal
hosts, leading to a control method aimed to kill ticks temporarily attached to
the interdigital areas before their permanent attachment to the predilection
sites. This innovative method has been overall accepted by the local farmers. It
has the advantage of greatly reducing costs of treatments and has a minimal
environmental impact, making footbath a sustainable and replicable method,
adoptable also in other West African countries. Although the two methods
described, developed in very different contexts, are not comparable - if public
health and environmental implications are taken into account, if a balance among
efficacy of the control method(s), cost-effectiveness and sustainability is
reached - a way forward for the implementation of a One Health strategy can be
set.
PMID- 27882314
TI - The Role of Pharmacists in Preventing Falls among America's Older Adults.
AB - Falls are the leading cause of both fatal and non-fatal injuries in people aged
65 years and older and can lead to significant costs, injuries, functional
decline, and reduced quality of life. While certain medications are known to
increase fall risk, medication use is a modifiable risk factor. Pharmacists have
specialized training in medication management and can play an important role in
fall prevention. Working in a patient-centered team-based approach, pharmacists
can collaborate with the primary care providers to reduce fall risk. They can
screen for fall risk, review and optimize medication therapy, recommend vitamin
D, and educate patients and caregivers about ways to prevent falls. To help
health-care providers implement fall prevention, the Centers for Disease Control
and Prevention developed the Stopping Elderly Accidents, Deaths, and Injuries
(STEADI) initiative. Based on the established clinical guidelines, STEADI
provides members of the health-care team, including pharmacists, with the tools
and resources they need to manage their older patients' fall risk. These tools
are being adapted to specifically advance the roles of pharmacists in reviewing
medications, identifying those that increase fall risk, and communicating those
risks with patients' primary care providers. Through a multidisciplinary
approach, pharmacists along with other members of the health-care team can better
meet the needs of America's growing older adult population and reduce falls.
PMID- 27882316
TI - A lncRNA Perspective into (Re)Building the Heart.
AB - Our conception of the human genome, long focused on the 2% that codes for
proteins, has profoundly changed since its first draft assembly in 2001. Since
then, an unanticipatedly expansive functionality and convolution has been
attributed to the majority of the genome that is transcribed in a cell
type/context-specific manner into transcripts with no apparent protein coding
ability. While the majority of these transcripts, currently annotated as long non
coding RNAs (lncRNAs), are functionally uncharacterized, their prominent role in
embryonic development and tissue homeostasis, especially in the context of the
heart, is emerging. In this review, we summarize and discuss the latest advances
in understanding the relevance of lncRNAs in (re)building the heart.
PMID- 27882318
TI - Sialendoscopy and Combined Minimally Invasive Treatment for Large Parotid Stones.
AB - Sialendoscopy (SE) represents nowadays one of the standard diagnostic and
therapeutic procedures in the treatment of major salivary glands lithiasis. We
know from experience that it is successful only in small percentage of patients,
when used in monotherapy. However, it represents an indispensable part of all of
the combined minimally invasive gland-preserving treatment techniques, the
success rate of which is around 90%. In this work, we focused on the role of
sialendoscopy in the treatment of patients with larger inflamed fixed stones in
glandula parotis. We conducted a total of 364 sialendoscopy procedures in 332
patients on our site. We have confirmed lithiasis as a cause of salivary gland
obstruction in 246 (74%) patients. In 9 patients there was larger, single, or
multiple inflamed fixed lithiasis of glandula parotis. In this subgroup of
patients endoscopically assisted sialolithectomy from external mini-incision has
become the method of choice. In 9 of the 9 (100%) cases we have achieved complete
elimination of stones, and in 8 of the 9 (89%) cases we have achieved complete
elimination of complaints. Sialoendoscopically assisted sialolithectomy of
glandula parotis from external mini-incision has proved to be highly effective
technique to eliminate stones with minimal complications.
PMID- 27882319
TI - The Impact of Endometriosis on the Health of Women 2016.
PMID- 27882317
TI - Bacterial RNA Polymerase-DNA Interaction-The Driving Force of Gene Expression and
the Target for Drug Action.
AB - DNA-dependent multisubunit RNA polymerase (RNAP) is the key enzyme of gene
expression and a target of regulation in all kingdoms of life. It is a complex
multifunctional molecular machine which, unlike other DNA-binding proteins,
engages in extensive and dynamic interactions (both specific and nonspecific)
with DNA, and maintains them over a distance. These interactions are controlled
by DNA sequences, DNA topology, and a host of regulatory factors. Here, we
summarize key recent structural and biochemical studies that elucidate the fine
details of RNAP-DNA interactions during initiation. The findings of these studies
help unravel the molecular mechanisms of promoter recognition and open complex
formation, initiation of transcript synthesis and promoter escape. We also
discuss most current advances in the studies of drugs that specifically target
RNAP-DNA interactions during transcription initiation and elongation.
PMID- 27882315
TI - Septin Mutations in Human Cancers.
AB - Septins are GTP-binding proteins that are evolutionarily and structurally related
to the RAS oncogenes. Septin expression levels are altered in many cancers and
new advances point to how abnormal septin expression may contribute to the
progression of cancer. In contrast to the RAS GTPases, which are frequently
mutated and actively promote tumorigenesis, little is known about the occurrence
and role of septin mutations in human cancers. Here, we review septin missense
mutations that are currently in the Catalog of Somatic Mutations in Cancer
(COSMIC) database. The majority of septin mutations occur in tumors of the large
intestine, skin, endometrium and stomach. Over 25% of the annotated mutations in
SEPT2, SEPT4, and SEPT9 belong to large intestine tumors. From all septins, SEPT9
and SEPT14 exhibit the highest mutation frequencies in skin, stomach and large
intestine cancers. While septin mutations occur with frequencies lower than 3%,
recurring mutations in several invariant and highly conserved amino acids are
found across different septin paralogs and tumor types. Interestingly, a
significant number of these mutations occur in the GTP-binding pocket and septin
dimerization interfaces. Future studies may determine how these somatic mutations
affect septin structure and function, whether they contribute to the progression
of specific cancers and if they could serve as tumor-specific biomarkers.
PMID- 27882320
TI - MediterrAsian Diet Products That Could Raise HDL-Cholesterol: A Systematic
Review.
AB - Background. High HDL-cholesterol (HDL-C) values are negatively correlated with
cardiovascular diseases. This review analyses the effect of the supplementation
with various Mediterranean diet products (artichoke, bergamot, and olive oil) and
Asian diet products (red yeast rice) on the HDL-C value in dyslipidemic subjects.
Methods. A systematic review has been done involving all the English written
studies published from the 1st of January 1958 to the 31st of March 2016.
Results. The results of this systematic review indicate that the dietary
supplementation with red yeast rice, bergamot, artichoke, and virgin olive oil
has promising effects on the increase of HDL-C serum levels. The artichoke leaf
extract and virgin olive oil appear to be particularly interesting, while
bergamot extract needs further research and the effect of red yeast rice seems to
be limited to patients with previous myocardial infarction. Conclusions. Various
MediterrAsian diet products or natural extracts may represent a potential
intervention treatment to raise HDL-C in dyslipidemic subjects.
PMID- 27882321
TI - MicroRNAs in Coronary Heart Disease: Ready to Enter the Clinical Arena?
AB - Coronary artery disease (CAD) and its complication remain the leading cause of
mortality in industrialized countries despite great advances in terms of
diagnosis, prognosis, and treatment options. MicroRNAs (miRNAs), small noncoding
RNAs, act as posttranscriptional gene expression modulators and have been
implicated as key regulators in several physiological and pathological processes
linked to CAD. Circulating miRNAs have been evaluated as promising novel
biomarkers of CAD, acute coronary syndromes, and acute myocardial infarction,
with prognostic implications. Several challenges related to technical aspects,
miRNAs normalization, drugs interaction, and quality reporting of statistical
multivariable analysis of the miRNAs observational studies remain unresolved.
MicroRNA-based therapies in cardiovascular diseases are not ready yet for human
trials but definitely appealing. Through this review we will provide clinicians
with a concise overview of the pros and cons of microRNAs.
PMID- 27882323
TI - Effect of Methionine Restriction on Bone Density and NK Cell Activity.
AB - Methionine restriction (MR) is proven to increase the lifespan; and it also
affects the bone density and the innate immune system. The aim of this study is
to explore the effect of methionine restriction on bone density and natural
killer (NK) cells. C57BL/6J mice were subjected to either basal diet (BD,
containing 0.80% methionine) or methionine-restricted diet (containing 0.14%
methionine). Mice with MR diet displayed reduced bone mass and decrease in the
cytotoxicity of NK from the spleen, compared to BD animals. Also, mice with MR
diet had an inferior body weight (P < 0.05) and higher plasma levels of
adiponectin and FGF21 (P < 0.05) but lower concentrations of leptin and IGF-1 (P
< 0.05). Overall, the investigation shows that methionine affects bone density
and NK cell cytotoxicity.
PMID- 27882322
TI - Identification of Species of Nontuberculous Mycobacteria Clinical Isolates from 8
Provinces of China.
AB - Pulmonary diseases caused by nontuberculous mycobacteria (NTM) are increasing in
incidence and prevalence worldwide. In this study, we identified NTM species of
the clinical isolates from 8 provinces in China, in order to preliminarily
provide some basic scientific data in the different species and distribution of
NTM related to pulmonary disease in China. A total of 523 clinical isolates from
patients with tuberculosis (TB) diagnosed clinically from 2005 to 2012 were
identified to the species using conventional and molecular methods, including
multilocus PCR, rpoB and hsp65 PCR-PRA, hsp65, rpoB, and 16S-23S internal
transcribed spacer region sequencing. The isolates were identified into 3
bacterium genera, including NTM, Gordonia bronchialis, and Nocardia farcinica,
and, for the 488 NTM isolates, 27 species were identified. For all the 27 species
of NTM which were found to cause pulmonary infections in humans, the most
prevalent species was M. intracellulare, followed by M. avium and M. abscessus.
And seven other species were for the first time identified in patients with TB in
China. NTM species identification is very important for distinguishing between
tuberculosis and NTM pulmonary diseases, and the species diversity drives the
creation of diverse and integrated identification methods with higher accuracy
and efficacy.
PMID- 27882324
TI - Studies on the Ecological Adaptability of Growing Rice with Floating Bed on the
Dilute Biogas Slurry.
AB - This study aimed to explore the ecological adaptability and the possibility of
growing rice with floating bed on the dilute biogas slurry. The results of the
experiments show that the growth stage, rice plant height, and rice yield and
quality were significantly affected by multiple dilutions; rice plants cultivated
with 45 multiple dilutions had better ecological adaptability than others. In the
45 multiple dilutions' group, the yield of rice was 13.3 g/bucket (8 rice
plants), milled rice rate was 63.1%, and the content of crude protein in the rice
was 6.3%. The concentrations of heavy metals in the rice cultivated with 30
multiple dilutions' slurry, such as total lead, cadmium, mercury, chromium, and
arsenic, were all below the national standard. The study shows that it is
possible and safe to cultivate rice plants with no soil but diluted biogas
slurry. In the experiments, the yield, milled rice rate, and crude protein of the
rice cultivated with slurry were not as much as those of rice cultivated with
regular way in soil. This study provides the basic theoretical support for the
development of biogas projects and the potential achievement of organic farming
in special agricultural facilities and circular economy.
PMID- 27882325
TI - Identification of Hot Spots in Protein Structures Using Gaussian Network Model
and Gaussian Naive Bayes.
AB - Residue fluctuations in protein structures have been shown to be highly
associated with various protein functions. Gaussian network model (GNM), a simple
representative coarse-grained model, was widely adopted to reveal function
related protein dynamics. We directly utilized the high frequency modes generated
by GNM and further performed Gaussian Naive Bayes (GNB) to identify hot spot
residues. Two coding schemes about the feature vectors were implemented with
varying distance cutoffs for GNM and sliding window sizes for GNB based on
tenfold cross validations: one by using only a single high mode and the other by
combining multiple modes with the highest frequency. Our proposed methods
outperformed the previous work that did not directly utilize the high frequency
modes generated by GNM, with regard to overall performance evaluated using F1
measure. Moreover, we found that inclusion of more high frequency modes for a GNB
classifier can significantly improve the sensitivity. The present study provided
additional valuable insights into the relation between the hot spots and the
residue fluctuations.
PMID- 27882326
TI - Ventricular Fibrillation-Induced Cardiac Arrest Results in Regional Cardiac
Injury Preferentially in Left Anterior Descending Coronary Artery Territory in
Piglet Model.
AB - Objective. Decreased cardiac function after resuscitation from cardiac arrest
(CA) results from global ischemia of the myocardium. In the evolution of
postarrest myocardial dysfunction, preferential involvement of any coronary
arterial territory is not known. We hypothesized that there is no preferential
involvement of any coronary artery during electrical induced ventricular
fibrillation (VF) in piglet model. Design. Prospective, randomized controlled
study. Methods. 12 piglets were randomized to baseline and electrical induced VF.
After 5 min, the animals were resuscitated according to AHA PALS guidelines.
After return of spontaneous circulation (ROSC), animals were observed for an
additional 4 hours prior to cardiac MRI. Data (mean +/- SD) was analyzed using
unpaired t-test; p value <= 0.05 was considered statistically significant.
Results. Segmental wall motion (mm; baseline versus postarrest group) in segment
7 (left anterior descending (LAD)) was 4.68 +/- 0.54 versus 3.31 +/- 0.64, p =
0.0026. In segment 13, it was 3.82 +/- 0.96 versus 2.58 +/- 0.82, p = 0.02. In
segment 14, it was 2.42 +/- 0.44 versus 1.29 +/- 0.99, p = 0.028. Conclusion.
Postarrest myocardial dysfunction resulted in segmental wall motion defects in
the LAD territory. There were no perfusion defects in the involved segments.
PMID- 27882327
TI - Migration of BTEX and Biodegradation in Shallow Underground Water through Fuel
Leak Simulation.
AB - To provide more reasonable references for remedying underground water, fuel leak
was simulated by establishing an experimental model of a porous-aquifer sand tank
with the same size as that of the actual tank and by monitoring the underground
water. In the tank, traditional gasoline and ethyl alcohol gasoline were poured.
This study was conducted to achieve better understanding of the migration and
distribution of benzene, toluene, ethyl benzene, and xylene (BTEX), which are
major pollutants in the underground water. Experimental results showed that,
compared with conventional gasoline, the content peak of BTEX in the mixture of
ethyl alcohol gasoline appeared later; BTEX migrated along the water flow
direction horizontally and presented different pollution halos; BTEX also
exhibited the highest content level at 45 cm depth; however, its content declined
at the 30 and 15 cm depths vertically because of the vertical dispersion effect;
the rise of underground water level increased the BTEX content, and the
attenuation of BTEX content in underground water was related to the
biodegradation in the sand tank, which mainly included biodegradation with
oxygen, nitrate, and sulfate.
PMID- 27882328
TI - Genomic Analysis of a Marine Bacterium: Bioinformatics for Comparison,
Evaluation, and Interpretation of DNA Sequences.
AB - A total of five highly related strains of an unidentified marine bacterium were
analyzed through their short genome sequences (AM260709-AM260713). Genome-to
Genome Distance (GGDC) showed high similarity to Pseudoalteromonas haloplanktis
(X67024). The generated unique Quick Response (QR) codes indicated no identity to
other microbial species or gene sequences. Chaos Game Representation (CGR) showed
the number of bases concentrated in the area. Guanine residues were highest in
number followed by cytosine. Frequency of Chaos Game Representation (FCGR)
indicated that CC and GG blocks have higher frequency in the sequence from the
evaluated marine bacterium strains. Maximum GC content for the marine bacterium
strains ranged 53-54%. The use of QR codes, CGR, FCGR, and GC dataset helped in
identifying and interpreting short genome sequences from specific isolates. A
phylogenetic tree was constructed with the bootstrap test (1000 replicates) using
MEGA6 software. Principal Component Analysis (PCA) was carried out using EMBL-EBI
MUSCLE program. Thus, generated genomic data are of great assistance for
hierarchical classification in Bacterial Systematics which combined with
phenotypic features represents a basic procedure for a polyphasic approach on
unambiguous bacterial isolate taxonomic classification.
PMID- 27882329
TI - An Entropy-Based Position Projection Algorithm for Motif Discovery.
AB - Motif discovery problem is crucial for understanding the structure and function
of gene expression. Over the past decades, many attempts using consensus and
probability training model for motif finding are successful. However, the most
existing motif discovery algorithms are still time-consuming or easily trapped in
a local optimum. To overcome these shortcomings, in this paper, we propose an
entropy-based position projection algorithm, called EPP, which designs a
projection process to divide the dataset and explores the best local optimal
solution. The experimental results on real DNA sequences, Tompa data, and ChIP
seq data show that EPP is advantageous in dealing with the motif discovery
problem and outperforms current widely used algorithms.
PMID- 27882330
TI - ALDH2 Inhibition Potentiates High Glucose Stress-Induced Injury in Cultured
Cardiomyocytes.
AB - Aldehyde dehydrogenase (ALDH) gene superfamily consists of 19 isozymes. They are
present in various organs and involved in metabolizing aldehydes that are
biologically generated. For instance, ALDH2, a cardiac mitochondrial ALDH
isozyme, is known to detoxify 4-hydroxy-2-nonenal, a reactive aldehyde produced
upon lipid peroxidation in diabetic conditions. We hypothesized that inhibition
of ALDH leads to the accumulation of unmetabolized 4HNE and consequently
exacerbates injury in cells subjected to high glucose stress. H9C2 cardiomyocyte
cell lines were pretreated with 10 MUM disulfiram (DSF), an inhibitor of ALDH2 or
vehicle (DMSO) for 2 hours, and then subjected to high glucose stress {33 mM D
glucose (HG) or 33 mM D-mannitol as an osmotic control (Ctrl)} for 24 hrs. The
decrease in ALDH2 activity with DSF pretreatment was higher in HG group when
compared to Ctrl group. Increased 4HNE adduct formation with DSF pretreatment was
higher in HG group compared to Ctrl group. Pretreatment with DSF leads to
potentiated HG-induced cell death in cultured H9C2 cardiomyocytes by lowering
mitochondrial membrane potential. Our results indicate that ALDH2 activity is
important in preventing high glucose induced cellular dysfunction.
PMID- 27882333
TI - mHealth and eHealth for Obesity and Types 2 and 1 Diabetes.
PMID- 27882332
TI - C-Peptide Levels Predict the Effectiveness of Dipeptidyl Peptidase-4 Inhibitor
Therapy.
AB - Background. Our aim was to define the conditions that affect therapeutic success
when dipeptidyl peptidase-4 (DPP-4) inhibitor is added to metformin monotherapy.
Materials and Methods. We reviewed the medical records of 56 patients who had
received DPP-4 inhibitor as an add-on to metformin monotherapy and evaluated
their response in the first year of therapy. Fasting blood glucose (FBG), HbA1c,
C-peptide, and weight of the patients were recorded at 3-month intervals during
the first year of treatment. Results. Patients who added DPP-4 inhibitor to
metformin monotherapy had significant weight loss (P = 0.004) and FBG and HbA1c
levels were significantly lowered during the first 6 months (both P < 0.001).
Baseline levels of C-peptide were predictive for success of the treatment (P =
0.02), even after correction for confounding factors, for example, age, gender,
or BMI (P = 0.03). Duration of diabetes was not a predictor of response to
treatment (P = 0.60). Conclusion. Our study demonstrates that in patients having
inadequate glycemic control, the addition of a DPP-4 inhibitor as a second oral
agent to metformin monotherapy provides better glycemic control, protects beta
cell reserves, and does not cause weight gain. These effects depend on baseline C
peptide levels.
PMID- 27882331
TI - Ectopic Fat Deposition on Insulin Sensitivity: Correlation of Hepatocellular
Lipid Content and M Value.
AB - Purpose. This study aimed to explore the relationship among insulin sensitivity
and ectopic fat depots in participants with different glucose status. Methods.
Fifty-nine men and women were enrolled in this study: 29 with normal glucose
tolerance (NGT), 17 with impaired glucose tolerance (IGT), and 13 with type 2
diabetes mellitus (T2DM). All participants underwent a hyperinsulinemic
euglycemic clamp to assess the insulin sensitivity index (M value) and magnetic
resonance imaging to measure the hepatocellular lipid content (HCL), skeletal
muscle fat content including intramyocellular lipid (IMCL) and extramyocellular
lipid (EMCL) of tibialis anterior (ta), and soleus muscle (sol). Results. The M
value of NGT group was higher than those of IGT and T2DM groups (P = 0.001).
Participants with T2DM had the highest HCL and IMCL (ta) compared with those in
NGT and IGT groups (P = 0.001). The M value had an inverse relationship with HCL
(r = -0.789, P = 0.001), IMCL (sol) (r = -0.427, P = 0.002), and IMCL (ta) (r =
0.419, P = 0.002). Stepwise linear regression analysis showed that HCL
(standardized beta = -0.416; P = 0.001) had an independent relationship with M
value. Conclusions. Hepatocellular lipid content deposition happens earlier than
skeletal muscle fat deposition. HCL is an independent risk factor for insulin
resistance and may be used to evaluate the risk of developing T2DM as a
noninvasive marker of insulin sensitivity index.
PMID- 27882336
TI - Progressive insulin-derived amyloidosis in a patient with type 2 diabetes.
AB - Here, we report a case of insulin-derived amyloidosis in the lower abdomen. The
mass continued to develop even after the patient ceased injecting insulin into
the mass. Histological examination led to a diagnosis of insulin-derived
amyloidosis. Excision is preferable in cases of insulin-derived amyloidosis if
patient's condition permits.
PMID- 27882335
TI - ID(ealizing) control of adult subventricular zone neural stem/precursor cell
differentiation for CNS regeneration.
AB - The adult central nervous system (CNS) was considered a comparatively static
tissue with little cell turnover. It is now well established that there is more
plasticity than previously thought and that astrocytes act as neural
stem/precursor cells (NSPCs) in the subventricular zone (SVZ). The discovery that
these NSPCs can give rise to a limited number of new neurons, reactive astrocytes
and oligodendrocytes contributing to brain repair in CNS disease, has raised
hopes toward harnessing these cells for therapeutic interventions. Here, we will
discuss the transcriptional control of adult NSPC differentiation into astrocytes
in CNS disease focusing on the helix-loop-helix transcription factor protein
family. In our recent study, we reported that elevated BMP-2 levels are
translated into an increase in Id3 expression in adult NSPC subpopulations after
cortical injury. Id3 then heterodimerizes with the basic helix-loop-helix
transcription factor E47 and releases the E47-mediated repression of astrocyte
specific gene expression. Consequently, adult NSPCs preferentially differentiate
into astrocytes. We believe that understanding the in vivo differentiation
potential and the molecular underpinnings of NSPCs in the adult mammalian brain
will help us to evaluate their contributions to brain repair and may lead to new
concepts in treating human CNS diseases.
PMID- 27882337
TI - Dataset on species incidence, species richness and forest characteristics in a
Danish protected area.
AB - The data presented in this article are related to the research article entitled
"Restoring hydrology and old-growth structures in a former production forest:
Modelling the long-term effects on biodiversity" (A. Mazziotta, J. Heilmann
Clausen, H. H.Bruun, O. Fritz, E. Aude, A.P. Tottrup) [1]. This article describes
how the changes induced by restoration actions in forest hydrology and structure
alter the biodiversity value of a Danish forest reserve. The field dataset is
made publicly available to enable critical or extended analyses.
PMID- 27882334
TI - Crosstalk between Innate Lymphoid Cells and Other Immune Cells in the Tumor
Microenvironment.
AB - Our knowledge and understanding of the tumor microenvironment (TME) have been
recently expanded with the recognition of the important role of innate lymphoid
cells (ILC). Three different groups of ILC have been described based on their
ability to produce cytokines that mediate the interactions between innate and
adaptive immune cells in a variety of immune responses in infection, allergy, and
autoimmunity. However, recent evidence from experimental models and clinical
studies has demonstrated that ILC contribute to the mechanisms that generate
suppressive or tolerant environments that allow tumor regression or progression.
Defining the complex network of interactions and crosstalk of ILC with other
immune cells and understanding the specific contributions of each type of ILC
leading to tumor development will allow the manipulation of their function and
will be important to develop new interventions and therapeutic strategies.
PMID- 27882338
TI - Naturalistic rapid deceleration data: Drivers aged 75 years and older.
AB - The data presented in this article are related to the research manuscript
"Predictors of older drivers' involvement in rapid deceleration events", which
investigates potential predictors of older drivers' involvement in rapid
deceleration events including measures of vision, cognitive function and driving
confidence (A. Chevalier et al., 2016) [1]. In naturalistic driving studies such
as this, when sample size is not large enough to allow crashes to be used to
investigate driver safety, rapid deceleration events may be used as a surrogate
safety measure. Naturalistic driving data were collected for up to 52 weeks from
182 volunteer drivers aged 75-94 years (median 80 years, 52% male) living in the
suburban outskirts of Sydney. Driving data were collected using an in-vehicle
monitoring device. Accelerometer data were recorded 32 times per second and
Global Positioning System (GPS) data each second. To measure rapid deceleration
behavior, rapid deceleration events (RDEs) were defined as having at least one
data point at or above the deceleration threshold of 750 milli-g (7.35 m/s2). All
events were constrained to a maximum 5 s duration. The dataset provided with this
article contains 473 events, with a row per RDE. This article also contains
information about data processing, treatment and quality control. The methods and
data presented here may assist with planning and analysis of future studies into
rapid deceleration behaviour using in-vehicle monitoring.
PMID- 27882339
TI - Surface plasmon resonance biosensing of the monomer and the linked dimer of the
variants of protein G under mass transport limitation.
AB - This article presented the data related to the research article entitled
"Calibration-free concentration analysis for an analyte prone to self
association" (H. Imamura, S. Honda, 2017) [1]. The data included surface plasmon
resonance (SPR) responses of the variants of protein G with different masses
under mass transport limitation. The friction factors of the proteins analyzed by
an ultracentrifugation were recorded. Calculation of the SPR response of the
proteins was also described.
PMID- 27882340
TI - Data on early postoperative changes in aqueous monocyte chemoattractant protein-1
levels after phacoemulsification.
AB - The data presented in this article are related to the research article entitled
"Elevated levels of monocyte chemoattractant protein-1 in the aqueous humor after
phacoemulsification" (M. Kawai, T. Inoue, M. Inatani, N. Tsuboi, K. Shobayashi,
A. Matsukawa, A. Yoshida, H, 2012) [1]. The mean (+/-SE) aqueous MCP-1 levels
(pg/ml) were 31.2+/-12.5, 1931.2+/-910.7, 2172.2+/-1015.7, 3315.4 +/-1535.8,
3015.9 +/-914.4, 2709.0 +/-738.7, 72.8 +/-26.9, and 207.1+/-62.9 at 0, 3, 6, 12,
24, 48, 168, and 720 h after phacoemulsification, respectively. The
immunohistochemical analysis showed a number of MCP-1 positive inflammatory cells
in the anterior chamber and conjunctiva. There were some MCP-1 positive cells in
the corneal endothelium.
PMID- 27882341
TI - Using MRI to predict future adverse cardiac remodelling in a male mouse model of
myocardial infarction.
AB - BACKGROUND: Mice are frequently used in research to examine outcomes of
myocardial infarction (MI) and to investigate therapeutic interventions at an
early pre-clinical stage. The MI model is generated by surgically occluding a
major coronary artery, but natural variation in murine coronary anatomy can
generate variable outcomes that will inevitably affect the accuracy of such
investigations. The aim of this study was to use MRI to derive the most sensitive
early variable that could be used to predict subsequent adverse cardiac
remodelling in a male mouse model of MI. METHODS: Using a longitudinal study
design, heart structure and function were evaluated using cardiac MRI at one week
following surgical MI to generate the early measurements and again at four weeks,
when the scar had matured. The primary variables measured at week one were left
ventricular volumes at end systole (LV-ESV) and at end diastole (LV-EDV), infarct
size, LV-cardiac mass, and ejection fraction (EF). RESULTS: Univariate and
multiple regression analyses showed that LV-ESV at one week following MI could be
used to accurately predict various parameters of adverse LV remodelling at four
weeks post-MI. However, the highest correlation was between LV-ESV at one week
following MI and LV-EDV at four weeks (r = 0.99; p < 0.0001), making LV-ESV at
one week a valuable predictor variable of future adverse ventricular remodelling
after MI. CONCLUSION: Using MRI to determine LV-ESV at an early stage following
MI enables a more robust analysis of potential therapeutic interventions to
ameliorate adverse cardiac remodelling.
PMID- 27882342
TI - The Impact of Duodenal Diverticuli and the Execution of Endoscopic Retrograde
Cholangiopancreaticography.
AB - Introduction. Duodenal diverticuli alter the anatomy of the papillary region and
can make an ERCP difficult. Aim. To study the outcome of ERCP in cases of
duodenal diverticuli. Patients and Methods. Consecutive ERCPs in a period of 24
years were included. Endoscopy reports were studied for presence of diverticuli.
Success of the procedure and findings were noted. Clinical records were searched
for clinical presentation of the patient. Patients without duodenal diverticuli
were used as comparison. Results. 2795 procedures were done in 2092 patients. Of
these, 211 (10%) had diverticuli. Diverticuli occurred significantly more often
in women (p < 0.001). ERCP was significantly more often inconclusive in cases of
a diverticulum, 12.8% versus 6.3%, p < 0.001. In cases of a successful ERCP,
patients with diverticuli showed more often no abnormalities in the bile duct,
26% versus 17%, p < 0.001. In 64% of cases, the reason for ERCP was cholestasis.
There was no significant difference in presence of stones or cholangitis. Biliary
pancreatitis was seen more often in patients without diverticuli, 4.4% versus
1.4%, p = 0.04. This was also the case for malignancies, 18.5% versus 6.6%, p <
0.001. Conclusion. It is concluded that duodenal diverticuli can be responsible
for cholestasis. Presence of a diverticulum in the duodenum makes the ERCP
procedure more complex.
PMID- 27882343
TI - Proapoptotic protein Bim attenuates estrogen-enhanced survival in
lymphangioleiomyomatosis.
AB - Lymphangioleiomyomatosis (LAM) is a progressive lung disease that primarily
affects young women. Genetic evidence suggests that LAM cells bearing TSC2
mutations migrate to the lungs, proliferate, and cause cystic remodeling. The
female predominance indicates that estrogen plays a critical role in LAM
pathogenesis, and we have proposed that estrogen promotes LAM cell metastasis by
inhibition of anoikis. We report here that estrogen increased LAM patient-derived
cells' resistance to anoikis in vitro, accompanied by decreased accumulation of
the proapoptotic protein Bim, an activator of anoikis. The resistance to anoikis
was reversed by the proteasome inhibitor, bortezomib. Treatment of LAM patient
derived cells with estrogen plus bortezomib promoted anoikis compared with
estrogen alone. Depletion of Bim by siRNA in TSC2-deficient cells resulted in
anoikis resistance. Treatment of mice with bortezomib reduced estrogen-promoted
lung colonization of TSC2-deficient cells. Importantly, molecular depletion of
Bim by siRNA in Tsc2-deficient cells increased lung colonization in a mouse
model. Collectively, these data indicate that Bim plays a key role in estrogen
enhanced survival of LAM patient-derived cells under detached conditions that
occur with dissemination. Thus, targeting Bim may be a plausible future treatment
strategy in patients with LAM.
PMID- 27882344
TI - SLIT2/ROBO2 signaling pathway inhibits nonmuscle myosin IIA activity and
destabilizes kidney podocyte adhesion.
AB - The repulsive guidance cue SLIT2 and its receptor ROBO2 are required for kidney
development and podocyte foot process structure, but the SLIT2/ROBO2 signaling
mechanism regulating podocyte function is not known. Here we report that a
potentially novel signaling pathway consisting of SLIT/ROBO Rho GTPase activating
protein 1 (SRGAP1) and nonmuscle myosin IIA (NMIIA) regulates podocyte adhesion
downstream of ROBO2. We found that the myosin II regulatory light chain (MRLC), a
subunit of NMIIA, interacts directly with SRGAP1 and forms a complex with
ROBO2/SRGAP1/NMIIA in the presence of SLIT2. Immunostaining demonstrated that
SRGAP1 is a podocyte protein and is colocalized with ROBO2 on the basal surface
of podocytes. In addition, SLIT2 stimulation inhibits NMIIA activity, decreases
focal adhesion formation, and reduces podocyte attachment to collagen. In vivo
studies further showed that podocyte-specific knockout of Robo2 protects mice
from hypertension-induced podocyte detachment and albuminuria and also partially
rescues the podocyte-loss phenotype in Myh9 knockout mice. Thus, we have
identified SLIT2/ROBO2/SRGAP1/NMIIA as a potentially novel signaling pathway in
kidney podocytes, which may play a role in regulating podocyte adhesion and
attachment. Our findings also suggest that SLIT2/ROBO2 signaling might be a
therapeutic target for kidney diseases associated with podocyte detachment and
loss.
PMID- 27882345
TI - Institutional implementation of clinical tumor profiling on an unselected cancer
population.
AB - BACKGROUND. Comprehensive genomic profiling of a patient's cancer can be used to
diagnose, monitor, and recommend treatment. Clinical implementation of tumor
profiling in an enterprise-wide, unselected cancer patient population has yet to
be reported. METHODS. We deployed a hybrid-capture and massively parallel
sequencing assay (OncoPanel) for all adult and pediatric patients at our combined
cancer centers. Results were categorized by pathologists based on actionability.
We report the results for the first 3,727 patients tested. RESULTS. Our cohort
consists of cancer patients unrestricted by disease site or stage. Across all
consented patients, half had sufficient and available (>20% tumor) material for
profiling; once specimens were received in the laboratory for pathology review,
73% were scored as adequate for genomic testing. When sufficient DNA was
obtained, OncoPanel yielded a result in 96% of cases. 73% of patients harbored an
actionable or informative alteration; only 19% of these represented a current
standard of care for therapeutic stratification. The findings recapitulate those
of previous studies of common cancers but also identify alterations, including in
AXL and EGFR, associated with response to targeted therapies. In rare cancers,
potentially actionable alterations suggest the utility of a "cancer-agnostic"
approach in genomic profiling. Retrospective analyses uncovered contextual
genomic features that may inform therapeutic response and examples where
diagnoses revised by genomic profiling markedly changed clinical management.
CONCLUSIONS. Broad sequencing-based testing deployed across an unselected cancer
cohort is feasible. Genomic results may alter management in diverse scenarios;
however, additional barriers must be overcome to enable precision cancer medicine
on a large scale. FUNDING. This work was supported by DFCI, BWH, and the National
Cancer Institute (5R33CA155554 and 5K23CA157631).
PMID- 27882347
TI - ML372 blocks SMN ubiquitination and improves spinal muscular atrophy pathology in
mice.
AB - Spinal muscular atrophy (SMA) is an autosomal recessive neuromuscular disease and
one of the leading inherited causes of infant mortality. SMA results from
insufficient levels of the survival motor neuron (SMN) protein, and studies in
animal models of the disease have shown that increasing SMN protein levels
ameliorates the disease phenotype. Our group previously identified and optimized
a new series of small molecules, with good potency and toxicity profiles and
reasonable pharmacokinetics, that were able to increase SMN protein levels in SMA
patient-derived cells. We show here that ML372, a representative of this series,
almost doubles the half-life of residual SMN protein expressed from the SMN2
locus by blocking its ubiquitination and subsequent degradation by the
proteasome. ML372 increased SMN protein levels in muscle, spinal cord, and brain
tissue of SMA mice. Importantly, ML372 treatment improved the righting reflex and
extended survival of a severe mouse model of SMA. These results demonstrate that
slowing SMN degradation by selectively inhibiting its ubiquitination can improve
the motor phenotype and lifespan of SMA model mice.
PMID- 27882346
TI - Epigenetic regulation of macrophage polarization and inflammation by DNA
methylation in obesity.
AB - Obesity is associated with increased classically activated M1 adipose tissue
macrophages (ATMs) and decreased alternatively activated M2 ATMs, both of which
contribute to obesity-induced inflammation and insulin resistance. However, the
underlying mechanism remains unclear. We find that inhibiting DNA methylation
pharmacologically using 5-aza-2'-deoxycytidine or genetically by DNA
methyltransferase 1 (DNMT1) deletion promotes alternative activation and
suppresses inflammation in macrophages. Consistently, mice with myeloid DNMT1
deficiency exhibit enhanced macrophage alternative activation, suppressed
macrophage inflammation, and are protected from obesity-induced inflammation and
insulin resistance. The promoter and 5'-untranslated region of peroxisome
proliferator-activated receptor gamma1 (PPARgamma1) are enriched with CpGs and
are epigenetically regulated. The saturated fatty acids stearate and palmitate
and the inflammatory cytokine TNF-alpha significantly increase, whereas the TH2
cytokine IL-4 significantly decreases PPARgamma1 promoter DNA methylation.
Accordingly, inhibiting PPARgamma1 promoter DNA methylation pharmacologically
using 5-aza-2'-deoxycytidine or genetically by DNMT1 deletion promotes macrophage
alternative activation. Our data therefore establish DNA hypermethylation at the
PPARgamma1 promoter induced by obesity-related factors as a critical determinant
of ATM proinflammatory activation and inflammation, which contributes to insulin
resistance in obesity.
PMID- 27882348
TI - Humanized neuronal chimeric mouse brain generated by neonatally engrafted human
iPSC-derived primitive neural progenitor cells.
AB - The creation of a humanized chimeric mouse nervous system permits the study of
human neural development and disease pathogenesis using human cells in vivo.
Humanized glial chimeric mice with the brain and spinal cord being colonized by
human glial cells have been successfully generated. However, generation of
humanized chimeric mouse brains repopulated by human neurons to possess a high
degree of chimerism have not been well studied. Here we created humanized
neuronal chimeric mouse brains by neonatally engrafting the distinct and highly
neurogenic human induced pluripotent stem cell (hiPSC)-derived rosette-type
primitive neural progenitors. These neural progenitors predominantly
differentiate to neurons, which disperse widely throughout the mouse brain with
infiltration of the cerebral cortex and hippocampus at 6 and 13 months after
transplantation. Building upon the hiPSC technology, we propose that this
potentially unique humanized neuronal chimeric mouse model will provide profound
opportunities to define the structure, function, and plasticity of neural
networks containing human neurons derived from a broad variety of neurological
disorders.
PMID- 27882349
TI - The airway epithelium undergoes metabolic reprogramming in individuals at high
risk for lung cancer.
AB - The molecular determinants of lung cancer risk remain largely unknown. Airway
epithelial cells are prone to assault by risk factors and are considered to be
the primary cell type involved in the field of cancerization. To investigate risk
associated changes in the bronchial epithelium proteome that may offer new
insights into the molecular pathogenesis of lung cancer, proteins were identified
in the airway epithelial cells of bronchial brushing specimens from risk
stratified individuals by shotgun proteomics. Differential expression of selected
proteins was validated by parallel reaction monitoring mass spectrometry in an
independent set of individual bronchial brushings. We identified 2,869 proteins,
of which 312 proteins demonstrated a trend in expression. Pathway analysis
revealed enrichment of carbohydrate metabolic enzymes in high-risk individuals.
Glucose consumption and lactate production were increased in human bronchial
epithelial BEAS2B cells treated with cigarette smoke condensate for 7 months.
Increased lipid biosynthetic capacity and net reductive carboxylation were
revealed by metabolic flux analyses of [U-13C5] glutamine in this in vitro model,
suggesting profound metabolic reprogramming in the airway epithelium of high-risk
individuals. These results provide a rationale for the development of potentially
new chemopreventive strategies and selection of patients for surveillance
programs.
PMID- 27882351
TI - Activity of NaV1.2 promotes neurodegeneration in an animal model of multiple
sclerosis.
AB - Counteracting the progressive neurological disability caused by neuronal and
axonal loss is the major unmet clinical need in multiple sclerosis therapy.
However, the mechanisms underlying irreversible neuroaxonal degeneration in
multiple sclerosis and its animal model experimental autoimmune encephalomyelitis
(EAE) are not well understood. A long-standing hypothesis holds that the
distribution of voltage-gated sodium channels along demyelinated axons
contributes to neurodegeneration by increasing neuroaxonal sodium influx and
energy demand during CNS inflammation. Here, we tested this hypothesis in vivo by
inserting a human gain-of-function mutation in the mouse NaV1.2-encoding gene
Scn2a that is known to increase NaV1.2-mediated persistent sodium currents. In
mutant mice, CNS inflammation during EAE leads to elevated neuroaxonal
degeneration and increased disability and lethality compared with wild-type
littermate controls. Importantly, immune cell infiltrates were not different
between mutant EAE mice and wild-type EAE mice. Thus, this study shows that
increased neuronal NaV1.2 activity exacerbates inflammation-induced
neurodegeneration irrespective of immune cell alterations and identifies NaV1.2
as a promising neuroprotective drug target in multiple sclerosis.
PMID- 27882352
TI - Quantitative evaluation of the antiretroviral efficacy of dolutegravir.
AB - The second-generation HIV-1 integrase strand transfer inhibitor (InSTI)
dolutegravir (DTG) has had a major impact on the treatment of HIV-1 infection.
Here we describe important but previously undetermined pharmacodynamic parameters
for DTG. We show that the dose-response curve slope, which indicates
cooperativity and is a major determinant of antiviral activity, is higher for DTG
than for first-generation InSTIs. This steepness does not reflect inhibition of
multiple steps in the HIV-1 life cycle, as is the case for allosteric integrase
inhibitors and HIV-1 protease inhibitors. We also show that degree of
independence, a metric of interaction favorability between antiretroviral drugs,
is high for DTG and nucleoside reverse transcriptase inhibitors. Finally, we
demonstrate poor selective advantage for HIV-1 bearing InSTI resistance
mutations. Selective advantage, which incorporates both the magnitude of
resistance conferred by a mutation and its fitness cost, explains the high
genetic barrier to DTG resistance. Together, these parameters provide an
explanation for the remarkable clinical success of DTG.
PMID- 27882350
TI - Plasma vesicle miRNAs for therapy response monitoring in Hodgkin lymphoma
patients.
AB - BACKGROUND. Cell-free circulating nucleic acids, including 22-nt microRNAs
(miRNAs), represent noninvasive biomarkers for treatment response monitoring of
cancer patients. While the majority of plasma miRNA is bound to proteins, a
smaller, less well-characterized pool is associated with extracellular vesicles
(EVs). Here, we addressed whether EV-associated miRNAs reflect metabolic disease
in classical Hodgkin lymphoma (cHL) patients. METHODS. With standardized size
exclusion chromatography (SEC), we isolated EV-associated extracellular RNA
(exRNA) fractions and protein-bound miRNA from plasma of cHL patients and healthy
subjects. We performed a comprehensive small RNA sequencing analysis and
validation by TaqMan qRT-PCR for candidate discovery. Fluorodeoxyglucose-PET (FDG
PET) status before treatment, directly after treatment, and during long-term
follow-up was compared directly with EV miRNA levels. RESULTS. The plasma EV
miRNA repertoire was more extensive compared with protein-bound miRNA that was
heavily dominated by a few abundant miRNA species and was less informative of
disease status. Purified EV fractions of untreated cHL patients and tumor EVs had
enriched levels of miR24-3p, miR127-3p, miR21-5p, miR155-5p, and let7a-5p
compared with EV fractions from healthy subjects and disease controls. Serial
monitoring of EV miRNA levels in patients before treatment, directly after
treatment, and during long-term follow-up revealed robust, stable decreases in
miRNA levels matching a complete metabolic response, as observed with FDG-PET.
Importantly, EV miRNA levels rose again in relapse patients. CONCLUSION. We
conclude that cHL-related miRNA levels in circulating EVs reflect the presence of
vital tumor tissue and are suitable for therapy response and relapse monitoring
in individual cHL patients. FUNDING. Cancer Center Amsterdam Foundation (CCA
2013), Dutch Cancer Society (KWF-5510), Technology Foundation STW (STW
Perspectief CANCER-ID).
PMID- 27882353
TI - Longitudinal PET imaging demonstrates biphasic CAR T cell responses in survivors.
AB - Clinical monitoring of adoptive T cell transfer (ACT) utilizes serial blood
analyses to discern T cell activity. While useful, these data are 1-dimensional
and lack spatiotemporal information related to treatment efficacy or toxicity. We
utilized a human genetic reporter, somatostatin receptor 2 (SSTR2), and PET, to
quantitatively and longitudinally visualize whole-body T cell distribution and
antitumor dynamics using a clinically approved radiotracer. Initial evaluations
determined that SSTR2-expressing T cells were detectable at low densities with
high sensitivity and specificity. SSTR2-based PET was applied to ACT of chimeric
antigen receptor (CAR) T cells targeting intercellular adhesion molecule-1, which
is overexpressed in anaplastic thyroid tumors. Timely CAR T cell infusions
resulted in survival of tumor-bearing mice, while later infusions led to uniform
death. Real-time PET imaging revealed biphasic T cell expansion and contraction
at tumor sites among survivors, with peak tumor burden preceding peak T cell
burden by several days. In contrast, nonsurvivors displayed unrelenting increases
in tumor and T cell burden, indicating that tumor growth was outpacing T cell
killing. Thus, longitudinal PET imaging of SSTR2-positive ACT dynamics enables
prognostic, spatiotemporal monitoring with unprecedented clarity and detail to
facilitate comprehensive therapy evaluation with potential for clinical
translation.
PMID- 27882355
TI - Cullin-3 mutation causes arterial stiffness and hypertension through a vascular
smooth muscle mechanism.
AB - Cullin-3 (CUL3) mutations (CUL3Delta9) were previously identified in hypertensive
patients with pseudohypoaldosteronism type-II (PHAII), but the mechanism causing
hypertension and whether this is driven by renal tubular or extratubular
mechanisms remains unknown. We report that selective expression of CUL3Delta9 in
smooth muscle acts by interfering with expression and function of endogenous
CUL3, resulting in impaired turnover of the CUL3 substrate RhoA, increased RhoA
activity, and augmented RhoA/Rho kinase signaling. This caused vascular
dysfunction and increased arterial pressure under baseline conditions and a
marked increase in arterial pressure, collagen deposition, and vascular stiffness
in response to a subpressor dose of angiotensin II, which did not cause
hypertension in control mice. Inhibition of total cullin activity increased the
level of CUL3 substrates cyclin E and RhoA, and expression of CUL3Delta9
decreased the level of the active form of endogenous CUL3 in human aortic smooth
muscle cells. These data indicate that selective expression of the Cul3Delta9
mutation in vascular smooth muscle phenocopies the hypertension observed in
Cul3Delta9 human subjects and suggest that mutations in CUL3 cause human
hypertension in part through a mechanism involving smooth muscle dysfunction
initiated by a loss of CUL3-mediated degradation of RhoA.
PMID- 27882354
TI - Bcl-2-associated athanogene 3 protects the heart from ischemia/reperfusion
injury.
AB - Bcl-2-associated athanogene 3 (BAG3) is an evolutionarily conserved protein
expressed at high levels in the heart and the vasculature and in many cancers.
While altered BAG3 expression has been associated with cardiac dysfunction, its
role in ischemia/reperfusion (I/R) is unknown. To test the hypothesis that BAG3
protects the heart from reperfusion injury, in vivo cardiac function was measured
in hearts infected with either recombinant adeno-associated virus serotype 9
expressing (rAAV9-expressing) BAG3 or GFP and subjected to I/R. To elucidate
molecular mechanisms by which BAG3 protects against I/R injury, neonatal mouse
ventricular cardiomyocytes (NMVCs) in which BAG3 levels were modified by
adenovirus expressing (Ad-expressing) BAG3 or siBAG3 were exposed to
hypoxia/reoxygenation (H/R). H/R significantly reduced NMVC BAG3 levels, which
were associated with enhanced expression of apoptosis markers, decreased
expression of autophagy markers, and reduced autophagy flux. The deleterious
effects of H/R on apoptosis and autophagy were recapitulated by knockdown of BAG3
with Ad-siBAG3 and were rescued by Ad-BAG3. In vivo, treatment of mice with rAAV9
BAG3 prior to I/R significantly decreased infarct size and improved left
ventricular function when compared with mice receiving rAAV9-GFP and improved
markers of autophagy and apoptosis. These findings suggest that BAG3 may provide
a therapeutic target in patients undergoing reperfusion after myocardial
infarction.
PMID- 27882357
TI - The influence of delta formation mechanism on geotechnical property sequence of
the late Pleistocene-Holocene sediments in the Mekong River Delta.
AB - The aim of the study was to characterize a variety of microstructure development
levels and geotechnical property sequences of the late Pleistocene-Holocene
deposits in the Mekong River delta (MRD), and the paper furthermore discusses the
influences of delta formation mechanisms on them. The survey associated the
geotechnical engineering and the sedimentary geology of the late Pleistocene
Holocene deposits at five sites and also undifferentiated Pleistocene sediments.
A cross-section which was rebuilt in the delta progradation-direction and between
the Mekong and Bassac rivers represents the stratigraphy. Each sedimentary unit
was formed under a different delta formation mechanism and revealed a typical
geotechnical property sequence. The mechanical behaviors of the sediment
succession in the tide-dominated delta with significant fluvial-activity and
material source tend to be more cohesionless soils and strengths than those in
the tide- and wave-dominated delta and even the coast. The particular tendency of
the mechanical behavior of the deposit succession can be reasonably estimated
from the delta formation mechanism. The characteristics of the clay minerals from
the Mekong River produced the argillaceous soil which does not have extremely
high plasticity. The microstructure development-levels are low to very high
indicating how to choose hydraulic conductivity value, k, for estimating
overconsolidation ratio, OCR, by the piezocone penetration tests (CPTU). The OCR
of sediments in the delta types strangely change with depth but none less than 1.
The post-depositional processes significantly influenced the microstructure
development, particularly the dehydrating and oxidizing processes.
PMID- 27882356
TI - Intra-osseous Co-transplantation of CD34-selected Umbilical Cord Blood and
Mesenchymal Stromal Cells.
AB - Human mesenchymal stromal cells (MSC) have been shown to support the growth and
differentiation of hematopoietic stem cells (HSC). We hypothesized that intra
osseous (IO) co-transplantation of MSC and umbilical cord blood (UCB) may be
effective in improving early HSC engraftment, as IO transplantation has been
demonstrated to enhance UCB engraftment in NOD SCID-gamma (NSG) mice. Following
non-lethal irradiation (300rads), 6 groups of NSG mice were studied: 1)
intravenous (IV) UCB CD34+ cells, 2) IV UCB CD34+ cells and MSC, 3) IO UCB CD34+
cells, 4) IO UCB CD34+ cells and IO MSC, 5) IO UCB CD34+ cells and IV MSC, and 6)
IV UCB CD34+ and IO MSC. Analysis of human-derived CD45+, CD3+, and CD19+ cells 6
weeks following transplant revealed the highest level of engraftment in the IO
UCB plus IO MSC cohort. Bone marrow analysis of human CD13 and CD14 markers
revealed no significant difference between cohorts. We observed that IO MSC and
UCB co-transplantation led to superior engraftment of CD45+, CD3+ and CD19+
lineage cells in the bone marrow at 6 weeks as compared with the IV UCB cohort
controls. Our data suggests that IO co-transplantation of MSC and UCB facilitates
human HSC engraftment in NSG mice.
PMID- 27882358
TI - Monofloral honeys by Sicilian black honeybee (Apis mellifera ssp. sicula) have
high reducing power and antioxidant capacity.
AB - Thirty samples from thirteen Sicilian monofloral honeys by the local black
honeybee, and two honeydew honeys, were studied to assess phenol content,
reducing power and antioxidant capacity as well as correlations among these
parameters. Honeys from Apiaceae showed the highest phenol amount and capacity to
reduce ferric ion and stable chemical radicals, whereas honeys from Leguminosae
the lowest. All honeys were active against myoglobin-derived radicals usually
formed in red meat after storage and/or heating and significant correlation (p =
0.023) was found between flavonoid content and deactivation rate of this radical.
Dill > almond > tangerine > thistle > sulla honeys inhibited formation of
lipoperoxides in either iron/ascorbate or azoinitiator -induced membrane lipid
oxidation, whereas eucalyptus honey was mostly effective in the metal-dependent
model. Honeys by black honeybee possess remarkable reducing power and antioxidant
potential against radicals of interest in dietary foodstuffs.
PMID- 27882359
TI - Determination of the exchange constant of Tb0.3Dy0.7Fe2 by broadband
ferromagnetic resonance spectroscopy.
AB - We present measurements of the exchange stiffness D and the exchange constant A
of a sputtered 80 nm Tb0.3Dy0.7Fe2 film. Using a broadband ferromagnetic
resonance setup in a wide frequency range from 10 GHz to 50 GHz, multiple
perpendicular standing spin-wave resonances were observed with the external
static magnetic field applied in-plane. The field corresponding to the strongest
resonance peak at each frequency is used to determine the effective
magnetization, the g-factor and the Gilbert damping. Furthermore, the dependence
of spin-wave mode on field-position is observed for several frequencies. The
analysis of spin-wave resonance spectra at multiple frequencies allows precise
determination of the exchange stiffness D = (2.79 +/- 0.02) * 10-17 T . m2 for an
80 nm thick film. From this value, we calculated the exchange constant A = (9.1
+/- 0.1) pJ . m-1.
PMID- 27882361
TI - A versatile ferrocene-containing material as a p-type charge generation layer for
high-performance full color tandem OLEDs.
AB - A novel p-type charge generation material, DPAF, composed of a ferrocene core and
a bis(biphenyl)amino group is designed and synthesized for application to tandem
OLED devices. This molecular design not only enhances the thermal properties of
ferrocene and the hole mobility, but also maintains its electrochemical
stability. The red, green, and blue tandem OLEDs all give excellent device
performance with low efficiency roll-off by using n-type C60 and p-type DPAFs as
charge generation layers.
PMID- 27882360
TI - Static and Dynamic Predictors of Foot Progression Angle in Individuals with and
without Diabetes Mellitus and Peripheral Neuropathy.
AB - INTRODUCTION: Foot progression angle (FPA) is a predictor of elevated regional
plantar stresses and loads, which are indicators of dermal injury risk in
individuals with diabetes mellitus and peripheral neuropathy (DMPN). FPA accounts
for 15-45% of the variance in plantar stresses and loads in adults with DMPN.
However, the biomechanical factors underlying an "out-toeing" gait pattern in
this clinical population have not been examined. The primary purpose of this
study was to identify static and dynamic predictors of foot progression angle
magnitude in adults with and without DMPN. METHODS: Thirty-three adults with and
12 adults without diabetes mellitus participated. Hip rotation, ankle
dorsiflexion, and resting calcaneal stance position were measured using a
standard goniometer. Kinematic and kinetic data were collected during walking.
RESULTS AND DISCUSSION: Static predictor variables did not significantly predict
foot progression angle magnitude using multiple regression analysis. Of the
dynamic predictor variables, thigh and shank lateral rotation accounted for 37%
of foot progression angle variance (p<.01). CONCLUSIONS: Our results show that
dynamic measures of external rotation of proximal segments (thigh, shank) during
gait are strong predictors of foot progression angle. Static measures of limited
joint mobility and joint position do not predict foot progression angle. These
findings suggest that targeting the thigh and shank rotation using verbal or
tactile cueing may be a potential strategy when trying to alter walking movement
patterns towards decreasing external (lateral) FPA to minimize risk of elevated
regional plantar stresses in adults with DMPN at risk for ulceration.
PMID- 27882362
TI - Tuning of chain chirality by interchain stacking forces and the structure
property relationship in coordination systems constructed by meridional FeIII
cyanide and MnIII Schiff bases.
AB - We synthesized six Fe(iii)-Mn(iii) bimetallic compounds by self-assembling the
newly developed mer-Fe cyanide PPh4[Fe(Clqpa)(CN)3].H2O (1) and
PPh4[Fe(Brqpa)(CN)3].H2O (2) with Mn Schiff base Mn(5-Xsalen)+ cations. These
compounds include [Fe(Xqpa)(CN)3][Mn(5-Ysalen)].pMeOH.qH2O [qpaH2 = N-(quinolin-8
yl)picolinamide; salen = N,N'-ethylenebis(salicylideneiminato) dianion; X = Cl, Y
= H (3); X = Cl, Y = Br (4); X = Br, Y = H (5); X = Br, Y = F (6); X = Br, Y = Cl
(7); X = Br, Y = Br (8)]. When precursor 1 was used, compounds 3 and 4 were
isolated to give a dinuclear entity and a linear chain structure, respectively.
The reaction of precursor 2 with the Schiff bases afforded four linear Fe(iii)
Mn(iii) chain complexes. Chain chirality with P- and M-helicity emerges in 4, 7,
and 8, while 5 exhibits chain helicity opposite to the previous chain complexes
and 6 presents no chain helicity. Such a structural feature is heavily dependent
on the interchain pi-pi contacts and the Fe precursor bridging unit. Chiral
induction from a local ethylenediamine link of Y-salen is propagated over the
chain via noncovalent pi-pi interactions. All the bimetallic compounds show
antiferromagnetic interactions transmitted by the cyanide linkage. A field
induced metamagnetic transition is involved in 4, 7, and 8, while a field-induced
two-step transition is evident in 6. From a magnetostructural viewpoint, the
coupling constant is primarily governed by the Mn-Nax-Cax angle (ax = axial) in
the bimetallic chain complexes composed of mer-Fe(iii) tricyanides, although the
torsion angle plays a role.
PMID- 27882363
TI - Transition metal complex directed lead bromides with tunable structures and
visible light driven photocatalytic properties.
AB - With similar transition metal (TM) complex cations as structural directing agents
(SDAs), six new hybrid lead bromides were synthesized and structurally
characterized as [Co(2,2-bipy)3]2{[Co(2,2-bipy)]3Pb7Br24} (1), [Co(2,2
bipy)2Br]PbBr3 (2), [TM(phen)3]Pb2Br6 (TM = Co (3) and Ni (4)), [Co(2,2
bipy)3]Pb3Br9 (5) and [Co(2,2-bipy)3]Pb5Br13.CH3CN (6) with distinct structural
types from zero-dimensional (0D) unit, one-dimensional (1D) chain to two
dimensional (2D) layer. Compound 1 contains the 0D {[Co(bipy)]3Pb7Br24}4- units
built from the [Pb7Br24] ring attached by three unsaturated [Co(2,2-bipy)]2+
complexes via Co-Br bonds. Under the direction actions of different SDAs,
compounds 2 and 3-4 contain two different types of [Pb2Br6]4- chains based on the
same octahedral [PbBr6] units but with distinct connecting manners, respectively.
Using the same [Co(2,2-bipy)3]3+ as SDA, compound 5 reveals a 1D [Pb3Br9]3-
double chain, whereas compound 6 features a 2D complex [Pb5Br13]3- layer. The
UV/vis diffuse-reflectance measurements reveal that the title compounds feature
tunable band gaps of 1.70-2.29 eV. Under the visible light irradiation, sample 6
exhibits efficient and stable photocatalytic degradation activities over organic
pollutants, which mainly originates from the multi-electronic effects of the TM
complex cations. A possible photocatalytic mechanism is also proposed based on
the radical trapping experiments and electronic band structural calculations.
PMID- 27882364
TI - Regioselective, cascade [3+2] annulation of beta-naphthols (resorcinols) with Z
enoate propargylic alcohols: a novel entry for the synthesis of complex
naphtho(benzo)furans.
AB - An acid promoted, unprecedented cascade [3+2] annulation strategy for the
synthesis of complex naphtho- and benzofurans is reported. An alkoxyfuranylallene
intermediate (generated from Z-enoate propargylic alcohols via a Meyer-Schuster
rearrangement) was employed as the 1,2-bis-electrophile and beta-naphthols
(phenols) as 1,3-bisnucleophiles. This strategy represents the first cascade and
metal free process for the synthesis of naphthofurans and benzofurans from
propargylic alcohols. A very broad substrate scope was observed. The synthesized
naphtho- and benzofurans were efficiently transformed into the frameworks of the
bioactive natural products amycofuran and frondosin B.
PMID- 27882366
TI - A highly active and stable palladium catalyst on a g-C3N4 support for direct
formic acid synthesis under neutral conditions.
AB - Graphitic carbon nitride (g-C3N4) is applied as a support of the Pd catalyst for
direct HCOOH synthesis by CO2 hydrogenation under neutral conditions. The high
CO2 affinity of g-C3N4 is responsible for the enhanced catalytic activity and
stability relative to the inert support such as a carbon nanotube.
PMID- 27882365
TI - Highly efficient three-component coupling reaction catalysed by atomically
precise ligand-protected Au38(SC2H4Ph)24 nanoclusters.
AB - The catalytic potential of atomically precise quantum-sized gold nanoclusters
(Au38(SC2H4Ph)24) is explored for the three-component coupling of an aldehyde, an
alkyne and an amine to synthesize propargylamines. A high catalytic efficiency
with a very low loading (0.01 mol%) is achieved. Furthermore, the synergistic
effect of the electron-deficient surface (i.e. Audelta+, 0 < delta+ < 1) and the
electron-rich Au23 core of the ligand-protected nanoclusters is critical for this
catalytic reaction.
PMID- 27882367
TI - Copper(i)-NHC complexes as NHC transfer agents.
AB - This report presents the recent advances in the use of copper(i)-NHC (NHC = N
heterocyclic carbene) complexes as transmetallating agents enabling the transfer
of NHCs to a wide range of transition metals. The synthesis of these carbene
transfer reagents is also discussed.
PMID- 27882369
TI - Regio- and stereo-selective polymerization of 1,3-butadiene catalyzed by
phosphorus-nitrogen PN3-pincer cobalt(ii) complexes.
AB - A new family of cobalt complexes (CoCl2-H, CoCl2-Me, CoCl2-iPr, CoBr2-H, CoBr2
Me, CoBr2-iPr, CoI2-H, CoI2-Me, and CoI2-iPr) supported by a PN3 ligand (6-(N,N'
di-t-butylphosphino)-2-pyrazol-yl-aminopyridine) have been prepared and fully
characterized by FT-IR, elemental analysis, and X-ray analysis. The X-ray
analysis reveals a trigonal bipyramidal conformation in the solid state for all
representative complexes, CoCl2-H, CoBr2-H, CoBr2-iPr and CoI2-Me. The cobalt
center is chelated by the PN3 ligand through the pyridinyl nitrogen, the pyrazol
nitrogen and the phosphorus donor, with a long Co-P bond distance indicating a
labile character. On activation with AlEt2Cl, Al2Et3Cl3, MAO, [Ph3C]+[B(C6F5)4]
/AliBu3 or AliBu3, cis-1,4 selective butadiene polymerization was achieved with
up to 98.6% selectivity. The polymerization results show that the cis-1,4
selectivity is influenced by the steric hindrance, increasing with the bulkiness
of the substituent groups (CoX2-iPr > CoX2-Me > CoX2-H) at the 3,5-positions of
the pyrazole moiety, together with a slight decrease in activity. The activity
changes in the order CoCl2L ~ CoBr2L > CoI2L (for the same ligand L) when MAO is
used as the activator, while the high level of cis-1,4 selectivity is maintained.
It is possible to switch the selectivity from cis-1,4 to syndiotactic-1,2 by
adding PPh3.
PMID- 27882368
TI - An unusual co-crystal [(MU2-dcpm)Ag2(MU2-O2CH)(eta2-NO3)]2.[(MU2-dcpm)2Ag4(MU2
NO3)4] and its connection to the selective decarboxylation of formic acid in the
gas phase.
AB - ESI/MS of an acetonitrile solution containing a mixture of AgNO3 :
bis(dicyclohexylphosphino)methane (dcpm, L) : NaO2CH in a molar ratio of 2 : 1 :
1 gave an abundant peak due to [LAg2(O2CH)]+ and a minor peak assigned as
[L2Ag4(O2CH)3]+. When this acetonitrile solution was frozen and layered with
diethyl ether and left undisturbed for six days, crystalline material suitable
for X-ray crystallography was identified and separated from amorphous solids.
Single crystal X-ray diffraction revealed an unusual co-crystal consisting of two
discrete tetranuclear silver clusters [(MU2-dcpm)Ag2(MU2-O2CH)(eta2-NO3)]2.[(MU2
dcpm)2Ag4(MU2-NO3)4]. While all of the coordinated formates in [LAg2(O2CH)]+ and
[L2Ag4(O2CH)3]+ can be decarboxylated in the gas-phase under conditions of
collision induced dissociation, only the hydride [LAg2(H)]+ thus formed reacts
with formic acid via protonation and liberation of H2 to regenerate to formate,
thereby closing a catalytic cycle for the selective decomposition of formic acid.
PMID- 27882370
TI - Anti-obesity effects of Arctii Fructus (Arctium lappa) in white/brown adipocytes
and high-fat diet-induced obese mice.
AB - Arctii Fructus is traditionally used in oriental pharmacies as an anti
inflammatory medicine. Although several studies have shown its anti-inflammatory
effects, there have been no reports on its use in obesity related studies. In
this study, the anti-obesity effect of Arctii Fructus was investigated in high
fat diet (HFD)-induced obese mice, and the effect was confirmed in white and
primary cultured brown adipocytes. Arctii Fructus inhibited weight gain and
reduced the mass of white adipose tissue in HFD-induced obese mice. Serum levels
of triglyceride and LDL-cholesterol were reduced, and HDL-cholesterol was
increased in the Arctii Fructus treated group. In 3T3-L1 cells, a water extract
(WAF) and 70% EtOH extract (EtAF) of Arctii Fructus significantly inhibited
adipogenesis and suppressed the expression of proliferator-activated receptor
gamma and CCAAT/enhancer-binding protein alpha. In particular, EtAF activated the
phosphorylation of AMP-activated protein kinase. On the other hand, uncoupling
protein 1 and peroxisome proliferator-activated receptor gamma coactivator 1
alpha, known as brown adipocytes specific genes, were increased in primary
cultured brown adipocytes by WAF and EtAF. This study shows that Arctii Fructus
prevents the development of obesity through the inhibition of white adipocyte
differentiation and activation of brown adipocyte differentiation which suggests
that Arctii Fructus could be an effective therapeutic for treating or preventing
obesity.
PMID- 27882371
TI - Anti-hyperlipidemia and antioxidant activities of Amygdalus pedunculata seed oil.
AB - This study attempts to evaluate the beneficial effects of Amygdalus pedunculata
seed oil (AO) on the lipid profile and antioxidant status of high-fat fed rats
and d-galactose (d-gal)-induced oxidative mice. The anti-hyperlipidemia effects
of AO were evaluated. AO supplementation (2%, 4%, and 8%) for three weeks
significantly decreased the total cholesterol (TC), triglyceride (TG), and low
density lipoprotein (LDL) levels and increased the high-density lipoprotein (HDL)
levels in the high fat diet rats unlike in the model group. The antioxidant
activities of AO were determined in the d-gal-injected mice. Results showed that
AO (2%, 4%, and 8%) enhanced the activities of superoxide dismutase (SOD) and
glutathione peroxidase (GSH-Px), as well as lowered the levels of alanine
aminotransferase (ALT), aspartate aminotransferase (AST), and malondialdehyde
(MAD) in the liver of d-gal-injected mice. Compared with olive oil (OO) and
rapeseed oil (RSO), the results of tests indicated that AO lowered the
hyperlipidemia risk factors by improving plasma antioxidant defenses and lipid
profiles.
PMID- 27882372
TI - Highly efficient nitrogen chelated ruthenium carbene metathesis catalysts.
AB - A series of nitrogen chelated ruthenium carbene metathesis catalysts containing
an N-heterocyclic carbene (NHC) and a carbonyl group have been developed and
their catalytic activities for olefin metathesis reactions were investigated. The
X-ray structure of the [(H2IMes)(Cl)2Ru]C(H)CH2[p-F(C6H3)NC(CF3)(C(O)OCH2CH3)]
complex shows that the carbonyl oxygen of the ester and the imine nitrogen are
both coordinated to the Ru metal to give an octahedral structure. The catalytic
activity of these ruthenium carbene complexes for olefin metathesis reactions was
tested. Some of the complexes bearing electron withdrawing groups had high
initiation rates. These complexes exhibited excellent performance for both ring
closing metathesis and cross metathesis.
PMID- 27882373
TI - Arylamino radical complexes of ruthenium and osmium: dual radical counter in a
molecule.
AB - Radical and non-radical ruthenium and osmium complexes of 1-amino-9,10
anthraquinone (AqNH2), which is defined as a molecule of dual radical counter,
are disclosed. 1-Amido-9,10-anthraquinone (AqNH-) complexes of the types trans
[RuII(AqNH-)(PPh3)2(CO)Cl] (1), trans-[OsII(AqNH-)(PPh3)2(CO)Br] (2) and trans
[RuIII(AqNH-)(PPh3)2Cl2] (3) were isolated. AqNH- of 1-3 is redox active and
undergoes oxidation reversibly at +(0.05-0.35) V to the 1-amino-9,10
anthraquinone radical (AqNH) and reduction at -(0.86-1.60) V to the 1-amido-9,10
anthrasemiquinonate anion radical (AqNHSQ2-). The reaction of 2 with I2 in CH2Cl2
afforded a crystalline AqNH complex of the type trans
[OsII(AqNH)(PPh3)2(CO)Br]+I5-.1/2I2 (2+I5-.1/2I2). AqNH and AqNHSQ2- complexes of
the types trans-[RuII(AqNH)(PPh3)2(CO)Cl]+ (1+), trans-[RuIII(AqNH)(PPh3)2Cl2]+
(3+), trans-[RuII(AqNHSQ2-)(PPh3)2(CO)Cl]- (1-) and trans-[OsII(AqNHSQ2
)(PPh3)2(CO)Br]- (2-) were generated chemically/electrochemically in solution.
The electronic states of the complexes were authenticated by single crystal X-ray
structure determinations of 1, 2.5/4 toluene, 3 and 2+I5-.1/2I2, EPR spectroscopy
and density functional theory (DFT) calculations. AqNH instigates a 2c-3e ppi-dpi
interaction and the length in 2+I5-.1/2I2, 1.978(5) A, is relatively shorter than
the OsII-NHAq- length, 2.037(2) A, while the Aq-NH bond, 1.365(8) A, is longer
than the Aq-NH- bond, 1.328(3) A. DFT calculations predicted that the atomic spin
is delocalized over the ligand backbone (1+, 56%) particularly in one of the p
orbitals of the nitrogen and the metal atoms of the 1+ and 2+ ions, while the
spin is dominantly localized on the anthraquinone fragment of the 1- and 2- ions.
TD DFT calculations were employed to elucidate the origins of the lower energy
absorption bands of the neutral complexes. Hypsochromic shifts of the UV-vis-NIR
absorption maximum during 1->1+, 2->2+ and 3->3+ conversions were recorded by
spectroelectrochemical measurements.
PMID- 27882374
TI - Cancer-associated venous thromboembolism: Burden, mechanisms, and management.
AB - Venous thromboembolism (VTE) is a significant health problem in the general
population but especially in cancer patients. In this review, we discuss the
epidemiology and burden of the disease, the pathophysiology of cancer-associated
VTE, and the clinical treatment options for both primary prevention and acute
treatment. Overall, the development of VTE in cancer patients is related to
increases in morbidity, mortality, and medical costs. However, the incidence of
cancer-associated VTE varies due to patient-related factors (e.g. thrombophilia,
comorbidities, performance status, history of venous diseases), tumour-related
factors (e.g. cancer site, stage, grade), and treatment-related factors (e.g.
surgery, chemotherapy, anti-angiogenesis treatment, hormonal and supportive
treatment). Furthermore, blood count parameters (e.g. platelets and leukocytes)
and biomarkers (e.g. soluble P-selectin and D-dimer) are predictive markers for
the risk of VTE in cancer patients and have been used to enhance risk
stratification. Evidence suggests that cancer itself is associated with a state
of hypercoagulability, driven in part by the release of procoagulant factors,
such as tissue factor, from malignant tissue as well as by inflammation-driven
activation of endothelial cells, platelets, and leukocytes. In general, low
molecular-weight heparin (LWMH) monotherapy is the standard of care for the
management of cancer-associated VTE, as vitamin K antagonists are less effective
in cancer patients. Direct oral anticoagulants (DOACs) offer a potentially
promising treatment option for cancer patients with VTE, but recommendations
concerning the routine use of DOACs should await head-to-head studies with LMWH.
PMID- 27882375
TI - Risk factors for intracranial haemorrhage in patients with pulmonary embolism
treated with thrombolytic therapy Development of the PE-CH Score.
AB - Pulmonary embolism (PE) is a major cause of morbidity and mortality world-wide,
and the use of thrombolytic therapy has been associated with favourable clinical
outcomes in certain patient subsets. These potential benefits are counterbalanced
by the risk of bleeding complications, the most devastating of which is
intracranial haemorrhage (ICH). We retrospectively evaluated 9703 patients from
the 2003-2012 nationwide in-patient sample database (NIS) who received
thrombolytics for PE. All patients with ICH during the PE hospitalisation were
identified and a clinical risk score model was developed utilizing demographics
and comorbidities. The dataset was divided 1:1 into derivation and validation
cohorts. During 2003-2012, 176/9705 (1.8 %) patients with PE experienced ICH
after thrombolytic use. Four independent prognostic factors were identified in a
backward logistic regression model, and each was assigned a number of points
proportional to its regression coefficient: pre-existing Peripheral vascular
disease (1 point), age greater than 65 years (Elderly) (1 point), prior
Cerebrovascular accident with residual deficit (5 points), and prior myocardial
infarction (Heart attack) (1 point). In the derivation cohort, scores of 0, 1, 2
and >= 5 points were associated with ICH risks of 1.2 %, 1.9 %, 2.4 % and 17.8 %,
respectively. Rates of ICH were similar in the validation cohort. The C-statistic
for the risk score was 0.65 (0.61-0.70) in the derivation cohort and 0.66 (0.60
0.72) in the validation cohort. A novel risk score, derived from simple clinical
historical elements was developed to predict ICH in PE patients treated with
thrombolytics.
PMID- 27882376
TI - Plasma levels of the anti-coagulation protein C and the risk of ischaemic heart
disease. A Mendelian randomisation study.
AB - Protein C is an environmentally modifiable anticoagulant, which protects against
venous thrombosis, whether it also protects against ischaemic heart disease is
unclear, based on observational studies and relatively small genetic studies. It
was our study aim to clarify the role of protein C in ischaemic heart disease.
The risk of coronary artery disease/myocardial infarction (CAD/MI) was assessed
according to genetically predicted protein C in very large studies. Associations
with lipids and diabetes were similarly assessed to rule out effects via
traditional cardiovascular disease risk factors. Separate sample instrumental
variable analysis with genetic instruments (Mendelian randomisation) was used to
obtain an unconfounded estimate of the association of protein C (based on
(rs867186 (PROCR), rs3746429 (EDEM2), rs7580658 (inter/PROC)) with CAD/MI in an
extensively genotyped case (n=64374)-control (n=130681) study, CARDIoGRAMplusC4D.
Associations with lipids and diabetes were similarly assessed using the Global
Lipids Genetics Consortium Results (n=196,475) and the DIAbetes Genetics
Replication And Meta-analysis case (n=34,380)-control (n=114,981) study.
Genetically predicted protein C was negatively associated with CAD/MI, odds ratio
(OR) 0.85 ug/ml, 95 % confidence interval 0.80 to 0.90, but had no such negative
association with lipids or diabetes. Results were similar for the SNP rs867186
functionally relevant to protein C, and including additional potentially
pleiotropic SNPs (rs1260326 (GCKR), rs17145713 (BAZ1B) and rs4321325 (CYP27C1)).
In conclusion, protein C may protect against CAD/MI. Whether environmental or
dietary items that raise protein C protect against ischaemic cardiovascular
disease by that mechanism should be investigated.
PMID- 27882378
TI - Eastern Health Alliance Scientific Meeting 2016. Transitions of Care. Singapore,
10-12 November 2016. Proceedings and abstracts.
PMID- 27882379
TI - Alexandra Health Forum in conjunction with AH Nursing Fest 2014. Healthcare
Beyond Limits: Transcending People, Place and Time. Singapore, 6-8 November 2014.
Proceedings and abstracts.
PMID- 27882377
TI - Alexandra Health Forum in conjunction with AH Nursing Fest. Healthcare for the
Future: Shifting Paradigms for Population, Patients and Professionals. Singapore,
25-27 August 2016. Proceedings and abstracts.
PMID- 27882380
TI - Alexandra Health Forum in conjunction with AH Nursing Fest 2012. Integrative Care
towards Better Patient Outcome. Singapore, 30 August-1 September 2012.
Proceedings and abstracts.
PMID- 27882381
TI - Alexandra Health Research Forum 2011. New Frontiers: Translating research into
clinical practice. Singapore, 18-19 February 2011. Proceedings and abstracts.
PMID- 27882382
TI - 6th KKH Annual Scientific Meeting 2011. Academic Excellence Towards Better
Healthcare for Women and Children. Singapore, 15-16 July 2011. Proceedings and
abstracts.
PMID- 27882383
TI - Becker Naevus Syndrome of the Lower Body: One Case and Review of the Literature.
AB - Becker naevus syndrome is a rare epidermal naevus syndrome defined by the co
occurrence of a Becker naevus with various cutaneous, muscular and skeletal
anomalies. In the majority of cases, abnormalities exclusively consist of
ipsilateral hypoplasia of the breast, areola and/or nipple in addition to the
naevus. Here, we report on a 42-year-old woman with an extensive Becker naevus
reaching from the left buttock to the left calf verified on histological
examination. In addition, there was marked hypoplasia of the fatty tissue of the
left thigh confirmed by magnetic resonance imaging in contrast to hyperplasia of
the fatty tissue of the left gluteal area. Underlying muscles and bones were not
affected. There was no difference in leg lengths. In addition, we review and
discuss the features of Becker naevus syndrome with emphasis on 10 reported cases
with involvement of the lower body.
PMID- 27882384
TI - Prevalence of Mycoplasma genitalium Infection and Relationship with Symptoms
Among Adults Attending a Sexual Health Centre.
PMID- 27882385
TI - A Role for Neuregulin-1 in Promoting Keloid Fibroblast Migration via ErbB2
mediated Signaling.
AB - Keloid disease is a fibroproliferative tumour characterised by aggressive local
invasion, evident from a clinically and histologically active migrating margin.
During combined laser capture microdissection and microarray analysis-based in
situ gene expression profiling, we identified upregulation of the polypeptide
growth factor neuregulin-1 (NRG1) and ErbB2 oncogene in keloid margin dermis,
leading to the hypothesis that NRG1 contributed to keloid margin migration
through ErbB2-mediated signalling. The aim of this study was to probe this
hypothesis through functional in vitro studies. Exogenous NRG1 addition to keloid
and normal skin fibroblasts altered cytokine expression profiles, significantly
increased in vitro migration and keloid fibroblast Src and protein tyrosine
kinase 2 (PTK2/FAK) gene expression. ErbB2 siRNA knockdown attenuated both keloid
fibroblast migration and Src/PTK2 expression, which were not recovered following
NRG1 administration, suggesting the NRG1/ErbB2/Src/PTK2 signaling pathway may be
a novel regulator of keloid fibroblast migration, and representing a potential
new therapeutic target.
PMID- 27882386
TI - Factors Associated with Receiving Biologics or Classic Systemic Therapy for
Moderate-to-Severe Psoriasis: Evidence from the PSONET Registries.
PMID- 27882387
TI - Bacterial Colonization in Hidradenitis Suppurativa/Acne Inversa: A Cross
sectional Study of 50 Patients and Review of the Literature.
AB - It is unclear whether bacterial colonization in hidradenitis suppurativa/acne
inversa (HS) comprises a primary cause, triggering factor or secondary phenomenon
of the disease pathogenesis. Furthermore, the connection between certain
bacterial species, the disease severity and its localization is unknown.
Bacterial species were isolated from HS lesions to reveal a potential correlation
with localization and disease severity. Ninety swab tests were prospectively
obtained from 90 HS lesions of 50 consecutive patients. The material was cultured
under aerobic and anaerobic conditions. The identified species were statistically
correlated with Hurley stage and localization of the lesions. The most prevalent
isolates were reported. Hurley stage significantly correlated with disease
localization. Particular bacterial species were associated with "extended"
disease and Hurley III stage with the detection of both aerobic and anaerobic
bacteria and with a higher number of species. The presence of bacterial species
is dependent on the local milieu, which correlates with the localization of the
disease, its clinical manifestations and its extension.
PMID- 27882388
TI - Sudden Onset of an Itchy Papular Eruption: A Quiz.
PMID- 27882389
TI - Linear IgA Bullous Dermatosis Associated with Immunoglobulin Light-chain
Amyloidosis.
PMID- 27882390
TI - [Highest level of clinical competence for endovascular interventions].
PMID- 27882391
TI - [Palliative care for patients with Turkish or Arabic migration background in
Lower Saxony : A survey from palliative care professionals' perspective].
AB - BACKGROUND AND OBJECTIVES: People with a migration background (MB) are an
important part of German society. If and how they use specialised palliative care
(SPC) has not been studied. We aimed to assess the current SPC for patients with
Turkish or Arabic MB (the largest group of immigrants in Germany with a shared
ascribed culture) from the point of view of healthcare professionals (HCP) in
Lower Saxony. METHOD: All HCPs in institutions providing SPC (n = 90) were
invited to participate in an anonymous online survey which contained closed and
open questions about care for this patient group, characteristics of the last
patient with Turkish or Arabic MB they cared for, and resources and strategies to
care for these patients. The survey was analysed using descriptive statistics and
qualitative content analysis. RESULTS: Fifty-five HCPs in SPC participated,
mostly nurses aged between 40 and 60 years. One fifth had not cared for a patient
with Turkish or Arabic MB during the last 12 months. Given their local
population, 84.6% estimated that they cared for a lower number of patients than
would be representative. In care, problems with communication as well as
difficulties to interact with and manage families, and in organising and planning
care were most prevalent. Only 21% of participants had access to interpreters.
DISCUSSION: Patients with Turkish or Arabic MB seem to be underrepresented in
SPC. The high rate of communication problems highlights the necessity of reliable
and accessible interpreter services. Most difficulties were of psychosocial
nature, showing how important the holistic approach of SPC is in caring for
terminally ill patients with Turkish or Arabic MB.
PMID- 27882392
TI - [The special requirements of old age in terms of dying].
AB - It is expected that the rising average life expectancy will leave ever more
people with chronic progressive diseases of the body and brain. This then means
not only having to deal with increasingly longer periods of care, but also
possibly distinct neurocognitive disorders in the years prior to death and dying.
The aim of this study is to report epidemiological data on the causes of death,
as well as on the places of death. In addition, the attitudes and behaviour
towards death and dying, as well as the different places of death will be
discussed. Expecting support in the process of dying, as well as factors that
have a more conducive effect on the internal processing of dying, are mentioned.
At the same time, the attitudes and behaviours in respect of the accompaniment of
dying people, which can be seen in the staff in clinical inpatient facilities,
are addressed. Personal intense grappling with dying and death actually has a
positive effect on these behaviours and attitudes. Finally, the contribution
turns to the question of to what extent the theory of compression of morbidity
may be perceived as valid, especially in view of the rising average life
expectancy. It is emphasised that chronic diseases, even when no functional loss
is present, place not only great demands on the patient, but also on the care
system and financial resources. Furthermore, it is demonstrated that frailty can
often cause mental disorders. Specific requirements with a view to the care of
people with dementia are given.
PMID- 27882395
TI - ?
PMID- 27882394
TI - [Economic aspects of oncological esophageal surgery : Centralization is
essential].
AB - The incidence of esophageal carcinoma has increased in recent years in Germany.
The aim of this article is a discussion of the economic aspects of oncological
esophageal surgery within the German diagnosis-related groups (DRG) system
focusing on the association between minimum caseload requirements and outcome
quality as well as costs. The margins for the DRG classification G03A are low and
quickly exhausted if complications determine the postoperative course. A current
study using nationwide German hospital discharge data proved a significant
difference in hospital mortality between clinics with and without achieving the
minimum caseload requirements for esophagectomy. Data from the USA clearly showed
that besides patient-relevant parameters, the caseload of a surgeon is relevant
for the cost of treatment. Such cost-related analyses do not exist in Germany at
present. Scientific validation of reliable minimum caseload numbers for
oncological esophagectomy is desirable in the future.
PMID- 27882393
TI - [Medical activities by nonmedical personnel : The way to the madhouse or a
calculated risk?]
PMID- 27882396
TI - Athina Markou's contributions to treatment development for mental illnesses: a
perspective.
PMID- 27882397
TI - Reply by L. Maffioli.
PMID- 27882400
TI - [Questionnaire study for patients with low-risk prostate cancer : Therapy
decision and coping strategies after a diagnosis of low-risk prostate cancer
(COPCa) - study AP 91/15 from the AUO].
PMID- 27882398
TI - Supine position and REM dependence in obstructive sleep apnea : Critical model
considerations.
AB - BACKGROUND: When considering supine position and REM dependence, many studies
refer to the traditional definition in which obstructive sleep apnea (OSA)
severity is at least doubled in the corresponding position/sleep stage
(Cartwright index). The lack of consideration of the time spent in that
particular sleep situation can lead to clinical bias. PATIENTS AND METHODS: Two
cohorts of patients with at least moderate OSA were analyzed retrospectively for
anthropometric associations and correlations with OSA severity. One group
consisted of 48 patients diagnosed using a polygraph, and the other group of 222
patients underwent polysomnography. First, the conventional Cartwright index was
used, and a modified index was later applied to integrate the relative time
component for REM sleep and the supine position. RESULTS: Less than a fifth of
the patients fulfilled the classic conditions for supine position or REM sleep
dependency. There were no definitive cut-offs in the classic or modified
Cartwright index with regard to daytime sleepiness. Both indices show there was a
correlation between OSA severity and being overweight. CONCLUSION: The modified
Cartwright index allowed us to identify borderline cases that were characterized
by a very low or high amount of time spent in the supine position or REM sleep
situation. Therapy effects that could have been biased only by varying amounts of
time spent in the supine position could be better controlled for. Future studies
will possibly include various other ratios besides the previously accepted 2:1
ratio when different statistical parameters are considered, such as the reduction
of OSA severity or therapy adherence.
PMID- 27882399
TI - [Circadian rhythm : Influence on Epworth Sleepiness Scale score].
AB - BACKGROUND: The Epworth Sleepiness Scale (ESS) is frequently used to determine
daytime sleepiness in patients with sleep-disordered breathing. It is still
unclear whether different levels of alertness induced by the circadian rhythm
influence ESS score. OBJECTIVE: The aim of this study is to investigate the
influence of circadian rhythm-dependent alertness on ESS performance. MATERIALS
AND METHODS: In a monocentric prospective noninterventional observation study, 97
patients with suspected sleep-disordered breathing were investigated with respect
to daytime sleepiness in temporal relationship to polysomnographic examination
and treatment. The Karolinska Sleepiness Scale (KSS) and the Stanford Sleepiness
Scale (SSS) served as references for the detection of present sleepiness at three
different measurement times (morning, noon, evening), prior to and following a
diagnostic polysomnography night as well as after a continuous positive airway
pressure (CPAP) titration night (9 measurements in total). The KSS, SSS, and ESS
were performed at these times in a randomized order. RESULTS: The KSS and SSS
scores revealed a circadian rhythm-dependent curve with increased sleepiness at
noon and in the evening. Following a diagnostic polysomnography night, the scores
were increased compared to the measurements prior to the night. After the CPAP
titration night, sleepiness in the morning was reduced. KSS and SSS reflect the
changes in alertness induced by the circadian rhythm. The ESS score war neither
altered by the intra-daily nor by the inter-daily changes in the level of
alertness. CONCLUSION: According to the present data, the ESS serves as a
reliable instrument to detect the level of daytime sleepiness independently of
the circadian rhythm-dependent level of alertness.
PMID- 27882402
TI - [Diseases of connective tissue in IgG4-associated autoimmune diseases].
AB - The IgG4-associated diseases of connective tissue are caused by allergen-mediated
autoimmune processes. The retroperitoneum, mesentery and mediastinum as well as
other less common localizations, such as the neck, orbit and periarticular
connective tissue can be affected. Inflammatory fibrosis is initially dominated
by a lymphocytic and plasma cell-rich infiltrate and later by cell-poor storiform
fibrosis. Stenosis and occlusion of vessels, ureters and intestines can cause
severe complications. Computed tomography (CT) and magnetic resonance imaging
(MRI) can be implemented in the diagnostic algorithm to narrow the differential
diagnosis, delineate the distribution of fibrosis and reveal complications.
PMID- 27882401
TI - [Antibiotic prophylaxis for transrectal prostate biopsy].
PMID- 27882403
TI - [Radiological dose and metadata management].
AB - This article describes the features of management systems currently available in
Germany for extraction, registration and evaluation of metadata from radiological
examinations, particularly in the digital imaging and communications in medicine
(DICOM) environment. In addition, the probable relevant developments in this area
concerning radiation protection legislation, terminology, standardization and
information technology are presented.
PMID- 27882404
TI - Reduced trans-mitral A-wave velocity predicts the presence of wild-type
transthyretin amyloidosis in elderly patients with left ventricular hypertrophy.
AB - Wild-type transthyretin amyloidosis (ATTRwt) is often overlooked in elderly
patients with left ventricular hypertrophy (LVH). Impaired atrial function, in
addition to ventricular diastolic dysfunction, is one of the hallmarks of cardiac
amyloidosis. Here, we assessed the hypothesis that atrial function evaluated by A
velocity in pulse Doppler echocardiography is useful to differentiate ATTRwt in
elderly patients with LVH. We analyzed 133 consecutive patients who underwent
tissue biopsy to rule out infiltrative cardiomyopathy in our institute. We
excluded patients younger than 50 years, without LVH (LV thickness was less than
12 mm), with other types of cardiac amyloidosis and patients with chronic atrial
fibrillation, and analyzed remaining 51 patients (ATTRwt: 16, non-ATTRwt: 35).
ATTRwt patients were significantly older and had advanced heart failure compared
with non-ATTRwt group. In echocardiography, E/A, E/e', and relative wall
thickness was significantly higher in ATTRwt group than non-ATTRwt group. A
velocity was significantly decreased in ATTRWT group compared with non-ATTRwt
group (40.8 +/- 20.8 vs. 78.7 +/- 28.2 cm/s, p = 0.0001). Multivariate logistic
analysis using eight forced inclusion models identified trans-mitral Doppler A
wave velocity was more significant factor of cardiac amyloidosis in ATTRwt. In
receiver operating characteristic (ROC) analysis, the area under the curve (AUC)
for A-wave velocity in discrimination between ATTRwt and non-ATTRwt were 0.86 (CI
0.76-0.96, p < 0.001). The cut-off value was 62.5 cm/s, and it yielded the best
combination of sensitivity (69.7%) and specificity (87.5%) for prediction of
amyloidosis. We concluded that reduced A-velocity predicts the presence of ATTRwt
in elderly patients with LVH in sinus rhythm.
PMID- 27882405
TI - Outcomes of laparoscopic surgery in pathologic T4 colon cancers compared to those
of open surgery.
AB - INTRODUCTION: Despite the oncologic safety of laparoscopic surgery in colon
cancer management, laparoscopy is not regarded as a standard treatment for T4
colon cancer. The aim of this study was to investigate the short-term and long
term oncologic outcomes of laparoscopic surgery in patients with locally advanced
colon cancer. MATERIAL AND METHOD: From March 2003 to June 2013, a total of 109
consecutive patients with proven pathologic T4 colon cancer were enrolled. These
patients were divided into the laparoscopy group (LG, n = 52) and the open group
(OG, n = 57). Perioperative and long-term oncologic outcomes were compared
between the two groups. RESULTS: In the LG, open conversion occurred in four
patients (7.6%). Combined resection was less commonly performed in the LG (13.5%)
than in the OG (36.8%, P = 0.005). Operation time was similar between the two
groups. In the LG, blood loss (129 mL vs. 437 mL, P < 0.001) and overall
complication rate (13.5 vs. 36.8%, P = 0.005) were lower and length of hospital
stay was shorter (median 7 vs. 17 days, P < 0.001) than in the OG. The 5-year
overall survival rate was 60.7% for the LG and 61.9% for the OG (P = 0.817).
Local recurrence-free survival did not differ between the groups (88.9% in LG vs.
88.1% in OG, P = 0.725). CONCLUSION: Considering the benefits of early recovery
and similar oncologic outcomes, laparoscopic surgery in T4 colon cancer could be
a viable option in selected patients.
PMID- 27882406
TI - Bowel perforation after liver transplantation for biliary atresia: a
retrospective study of care in the transition from children to adulthood.
AB - PURPOSE: We evaluated the outcomes of liver transplantation (LT) in pediatric and
adult patients with biliary atresia (BA). We focused on bowel perforation after
LT (BPLT) as the most common surgical complication and analyzed its risk factors.
METHODS: This was a retrospective analysis of 70 BA patients who underwent LT.
The patients were divided into three groups according to the timing of LT: within
the first year of age (Group A), between 1 and 12 years of age (Group B), and
after 12 years of age (Group C). The outcomes of LT and the clinical
presentations of BPLT were compared. The surgical variables of patients with and
without BPLT were analyzed to assess the risk factors. RESULTS: The timing of LT
did not affect patient survival. The incidence of BPLT was significantly higher
in Group C. In Group C, BPLT progressed to severe peritonitis. No cases of BPLT
associated mortality were observed. A multivariate analysis revealed that a
prolonged operative time for LT was an independent risk factor (p = 0.03).
CONCLUSION: The clinical course after transplantation was complicated after
adolescence. BPLT should be strongly suspected and relaparotomy should be
performed in a timely manner for patients undergoing LT after adolescence.
PMID- 27882408
TI - [Evidence-based recommendations on diagnostics and therapy of axial
spondyloarthritis : S3 guidelines of the German Society of Rheumatology (DGRh) in
cooperation with the Association of the Scientific Medical Societies in Germany
(AWMF)].
AB - The clinical course of axial spondyloarthritis (SpA) is variable and
characterized by chronic back pain and extraspinal manifestations, such as
asymmetrical arthritis, dactylitis and enthesitis. Extra-articular manifestations
in the eyes (anterior uveitis), skin (psoriasis) and intestines (chronic
inflammatory bowel disease) are also frequent manifestations in patients with
SpA. Due to the heterogeneity of disease manifestations and the partial
concentration on structural alterations in the sacroiliac joints visible in X-ray
images, the diagnosis is often delayed for many years. An important step in the
direction of improved early recognition of axial SpA was establishment of the
Assessment of SpondyloArthritis International Society (ASAS) classification
criteria published in 2009, which focused on the initally deep-seated back pain
and chronicity in relatively young patients as well as the importance of magnetic
resonance imaging and HLA B 27 determination in the early stages of the disease.
In order to achieve the foundations for an effective and timely therapy of
affected patients, in 2014 on the initiative of the German Society of
Rheumatology, S3 guidelines on axial SpA including Bechterew's disease and early
forms were formulated in cooperation with other specialist societies. This
article gives an overview of the contents of the S3 guidelines on axial SpA.
PMID- 27882407
TI - A novel system for correcting large-scale chromosomal aberrations: ring
chromosome correction via reprogramming into induced pluripotent stem cell
(iPSC).
AB - Approximately 1 in 500 newborns are born with chromosomal abnormalities that
include trisomies, translocations, large deletions, and duplications. There is
currently no therapeutic approach for correcting such chromosomal aberrations in
vivo or in vitro. When we attempted to produce induced pluripotent stem cell
(iPSC) models from patient-derived fibroblasts that contained ring chromosomes,
we found that the ring chromosomes were eliminated and replaced by duplicated
normal copies of chromosomes through a mechanism of uniparental isodisomy
(Bershteyn et al. 2014, Nature 507:99). The discovery of this previously
unforeseen system for aberrant chromosome correction during reprogramming enables
us for the first time to model and understand this process of cell-autonomous
correction of ring chromosomes during human patient somatic cell reprograming to
iPSCs. This knowledge could lead to a potential therapeutic strategy to correct
common large-scale chromosomal aberrations, termed "chromosome therapy".
PMID- 27882410
TI - A review of recent evidence relating to sugars, insulin resistance and diabetes.
AB - The potential impact on health of diets rich in free sugars, and particularly
fructose, is of major concern. The focus of this review is the impact of these
sugars on insulin resistance and obesity, and the associated risk of developing
type 2 diabetes. Much of the concern is focussed on specific metabolic effects of
fructose, which are argued to lead to increased fat deposition in the liver and
skeletal muscle with subsequent insulin resistance and increased risk of
diabetes. However, much of the evidence underpinning these arguments is based on
animal studies involving very large intakes of the free sugars. Recent human
studies, in the past 5 years, provide a rather different picture, with a clear
dose response link between fructose intake and metabolic changes. In particular,
the most marked effects are observed when a high sugars intake is accompanied by
an excess energy intake. This does not mean that a high intake of free sugars
does not have any detrimental impact on health, but rather that such an effect
seems more likely to be a result of the high sugars intake increasing the chances
of an excessive energy intake rather than it leading to a direct detrimental
effect on metabolism.
PMID- 27882409
TI - The pivotal role of abscisic acid signaling during transition from seed
maturation to germination.
AB - Seed maturation and germination are two continuous developmental processes that
link two distinct generations in spermatophytes; the precise genetic control of
these two processes is, therefore, crucially important for the survival of the
next generation. Pieces of experimental evidence accumulated so far indicate that
a concerted action of endogenous signals and environmental cues is required to
govern these processes. Plant hormone abscisic acid (ABA) has been suggested to
play a predominant role in directing seed maturation and maintaining seed
dormancy under unfavorable environmental conditions until antagonized by
gibberellins (GA) and certain environmental cues to allow the commencement of
seed germination when environmental conditions are favorable; therefore, the
balance of ABA and GA is a major determinant of the timing of seed germination.
Due to the advent of new technologies and system biology approaches, molecular
studies are beginning to draw a picture of the sophisticated genetic network that
drives seed maturation during the past decade, though the picture is still
incomplete and many details are missing. In this review, we summarize recent
advances in ABA signaling pathway in the regulation of seed maturation as well as
the transition from seed maturation to germination, and highlight the importance
of system biology approaches in the study of seed maturation.
PMID- 27882411
TI - Mercury Concentrations in Feathers of Adult and Nestling Osprey (Pandion
haliaetus) from Coastal and Freshwater Environments of Florida.
AB - We determined mercury (Hg) concentrations in feathers of osprey (Pandion
haliaetus), both nestlings (n = 95) and adults (n = 110), across peninsular
Florida and the Florida Keys during February-August 2014. Feathers plucked from
nestlings, aged 3-7 weeks, contained Hg concentrations that ranged from 0.338 to
45.79 mg/kg and averaged 6.92 +/- 7.58 mg/kg (mean +/- 1SD). Feathers shed from
adults contained significantly higher concentrations ranging from 0.375 to 93.65
mg/kg, with an average of 17.8 +/- 16.1 mg/kg. These levels were in the upper
range of previously reported feather Hg concentrations of osprey and clearly show
that Florida continues to have Hg hotspots that are elevated compared with many
other regions. While these concentrations did not exceed levels previously
reported in osprey from heavily Hg contaminated areas that showed no evidence of
reproductive impairments, we cannot rule out potential individual-level effects
to highly exposed nestlings after fledging. Mercury concentrations in nestlings
were highest in coastal habitats of Collier and Monroe counties, where ongoing
declines in osprey populations also have been documented.
PMID- 27882412
TI - Impact of attention deficit hyperactivity disorder therapy on fracture risk in
children treated in German pediatric practices.
AB - : Two thousand eight hundred ninety-four children and adolescents treated by 243
pediatricians were analyzed. Patients receiving attention deficit hyperactivity
disorder (ADHD) medications were at a lower risk of fractures than patients
without ADHD medications. The strongest impact was in the age group of 6-9 years.
Finally, there was a significant association between therapy duration and
fracture risk. INTRODUCTION: The aim of this study was to analyze the impact of
ADHD therapy on fracture risk in children treated by German pediatricians.
METHODS: Children and adolescents initially diagnosed with ADHD and fractures
between 2010 and 2015 were identified by 243 pediatricians. In this nested case
control study, each ADHD case with a fracture was matched (1:1) to an ADHD
control without a fracture for age, gender, index year, and physician. In total,
2894 individuals were available for analysis. The main outcome of the study was
the risk of fracture as a function of ADHD therapy. Multivariate logistic
regression models were created to determine the effect of ADHD therapy on the
risk of fracture in the entire population and in three age-specific subgroups.
RESULTS: Patients receiving ADHD medications were at a lower risk of fracture
than patients without ADHD medications (OR = 0.61). The impact of ADHD therapy on
the risk of fracture was stronger in the age group of 6-9 years (OR = 0.41) than
in the age groups of 10-13 years (OR = 0.68) and 14-17 years (OR = 0.74).
Finally, a significant correlation was found between therapy duration and
fracture risk (OR = 0.71 per month). CONCLUSION: ADHD therapy was associated with
a decrease in the risk of fracture in children and adolescents treated by German
pediatricians.
PMID- 27882413
TI - Double-bundle anterior cruciate ligament reconstruction is superior to single
bundle reconstruction in terms of revision frequency: a study of 22,460 patients
from the Swedish National Knee Ligament Register.
AB - PURPOSE: Studies comparing single- and double-bundle anterior cruciate ligament
(ACL) reconstructions often include a combined analysis of anatomic and non
anatomic techniques. The purpose of this study was to compare the revision rates
between single- and double-bundle ACL reconstructions in the Swedish National
Knee Ligament Register with regard to surgical variables as determined by the
anatomic ACL reconstruction scoring checklist (AARSC). METHODS: Patients from the
Swedish National Knee Ligament Register who underwent either single- or double
bundle ACL reconstruction with hamstring tendon autograft during the period 2007
2014 were included. The follow-up period started with primary ACL reconstruction,
and the outcome measure was set as revision surgery. An online questionnaire
based on the items of the AARSC was used to determine the surgical technique
implemented in the single-bundle procedures. These were organized into subgroups
based on surgical variables, and the revision rates were compared with the double
bundle ACL reconstruction. Hazard ratios (HR) with 95% confidence interval (CI)
was calculated and adjusted for confounders by Cox regression. RESULTS: A total
of 22,460 patients were included in the study, of which 21,846 were single-bundle
and 614 were double-bundle ACL reconstruction. Double-bundle ACL reconstruction
had a revision frequency of 2.0% (n = 12) and single-bundle 3.2% (n = 689).
Single-bundle reconstruction had an increased risk of revision surgery compared
with double-bundle [adjusted HR 1.98 (95% CI 1.12-3.51), p = 0.019]. The subgroup
analysis showed a significantly increased risk of revision surgery in patients
undergoing single-bundle with anatomic technique using transportal drilling
[adjusted HR 2.51 (95% CI 1.39-4.54), p = 0.002] compared with double-bundle ACL
reconstruction. Utilizing a more complete anatomic technique according to the
AARSC lowered the hazard rate considerably when transportal drilling was
performed but still resulted in significantly increased risk of revision surgery
compared with double-bundle ACL reconstruction [adjusted HR 1.87 (95% CI 1.04
3.38), p = 0.037]. CONCLUSIONS: Double-bundle ACL reconstruction is associated
with a lower risk of revision surgery than single-bundle ACL reconstruction.
Single-bundle procedures performed using transportal femoral drilling technique
had significantly higher risk of revision surgery compared with double-bundle.
However, a reference reconstruction with transportal drilling defined as a more
complete anatomic reconstruction reduces the risk of revision surgery
considerably. LEVEL OF EVIDENCE: III.
PMID- 27882414
TI - Systemic endothelial function measured by flow-mediated dilation is impaired in
patients with urolithiasis.
AB - Some in vitro and animal studies have shown endothelial dysfunction in
hyperoxaluria models indicating its role in pathogenesis of urolithiasis and
relation to CVD. The aim of this study was to investigate endothelial function in
patients with urolithiasis in relation to urinary stone risk factors and
metabolic parameters. A total of 120 subjects without any known CVD (60 with
urolithiasis and 60 healthy subjects) were included into study. Fasting blood and
24-h urine samples were collected to study metabolic parameters (glucose and
lipids) and urine stone risk factors (oxalate, citrate, uric acid, and calcium,
pH). Endothelial function was assessed as flow-mediated dilation (FMD) at the
brachial artery. Age, sex, and body mass index were similar in patients and
controls. Of urine stone risk factors, oxalate and citrate were higher in
patients than controls. Fasting blood glucose, total LDL cholesterol, and
triglyceride were higher, and HDL cholesterol was lower in patients than
controls. Although within normal limits systolic blood pressure was higher in
patient group, patients with urolithiasis had a lower %FMD than controls. Percent
FMD was negatively correlated with urinary oxalate/creatinine ratio (p = 0.019, r
= -0.315), calcium/creatinine ratio (p = 0.0001, r = -0.505) age (p < 0.001, r =
0.694), BMI (p < 0.001, r = -0.838), total cholesterol (p < 0.001, r = -0.559),
and triglyceride (p < 0.001, r = -0.529). Urine oxalate/creatinine ratio was
positively correlated with age (p = 0.01, r = 0.327) and calcium/creatinine ratio
with BMI (p = 0.001, r = 0.410). This is the first study demonstrating
endothelial dysfunction in human subjects with urolithiasis. This indicates a
possible predictive role of urolithiasis in future development of cardiovascular
diseases.
PMID- 27882415
TI - Laparoscopic Repair for Perforated Peptic Ulcer: A Retrospective Study.
AB - BACKGROUNDS: The incidence of patients presenting with perforated peptic ulcers
(PPU) has decreased during the last decades. At the same time, a laparoscopic
approach to this condition has been adopted by increased number of surgeons. The
aim of this study was to evaluate the early postoperative results of the
laparoscopic treatment of perforated peptic ulcer performed in eight Romanian
surgical centers with extensive experience in laparoscopic surgery. METHODS:
Between 2009 and 2013, 297 patients with perforated peptic ulcer were operated in
the eight centers participating in this retrospective study. The patients' charts
were reviewed for demographics, surgical procedure, complications and short-term
outcomes. RESULTS: Boey score of 0 was found in 122 patients (41.1%), Boey 1 in
169 (56.9%), Boey 3 in 6 (2.0%). For 145 (48.8%) patients, primary suture repair
was performed, in 146 (49.2%) primary suture repair with omentopexy. There were 6
(2.0%) conversions to open surgery. The operative time was between 25 and 120
min, with a mean of 68 min. Two (0.7%) deaths were noted. Mean hospital stay was
5.5 days, ranges 3-25 days. Postoperative complications included: 7 (2.4%)
superficial surgical site infections, 5 (1.6%) cardiovascular, 3 (1.0%)
pulmonary, 2 (0.7%) duodenal leakages, 3 (1.0%) deep space infections and 1
(0.3%) upper digestive hemorrhage. CONCLUSIONS: This study shows that the
laparoscopic approach for PPU is feasible; the procedure is safe, with no
increased risk of duodenal fistulae or residual intraperitoneal abscesses. We now
consider the laparoscopic approach for PPU as the "gold standard" in patients
with Boey score 0 or 1.
PMID- 27882417
TI - Adrenal Injuries: Historical Facts and Modern Truths.
AB - BACKGROUND: Prior to the advent of whole body computed tomography, injuries of
the adrenal gland were almost exclusively identified on postmortem examinations
and were associated with severe injury. Recent literature has continued to
identify an association between adrenal injuries and high ISS. The purpose of
this study was to assess the influence of adrenal trauma on ISS and mortality
while controlling for potential confounding factors. METHODS: A 15-year
retrospective review for all adrenal gland injuries from a Level 1 Trauma
Center's Trauma Registry was performed. Based on the characteristics of that
patient population, the same Trauma Registry was then queried for case-matched
patients, and the two groups compared to assess the influence of adrenal gland
injuries on mortality. RESULTS: Seventy-two patients with adrenal injuries were
identified and compared to 1026 case-matched patients. The adrenal gland injury
was not a contributing factor in any of the study group mortalities. The mean ISS
for the adrenal gland injured group was higher than the overall Registry ISS
(18.7 vs 10.6) but almost identical to the ISS of patients case matched for
abdominal injuries. CONCLUSION: Case-matched analysis based on multiple clinical
variables demonstrates that the ISS of patients with adrenal gland injuries were
similar to the ISS of patients with other injuries to the abdominal region and
were in fact associated with a 0.02% decrease in mortality.
PMID- 27882416
TI - Toward More Efficient Surveillance of Barrett's Esophagus: Identification and
Exclusion of Patients at Low Risk of Cancer.
AB - BACKGROUND: Endoscopic surveillance of Barrett's esophagus (BE) is probably not
cost-effective. A sub-population with BE at increased risk of high-grade
dysplasia (HGD) or esophageal adenocarcinoma (EAC) who could be targeted for cost
effective surveillance was sought. METHODS: The outcome for BE surveillance from
2003 to 2012 in a structured program was reviewed. Incidence rates and incidence
rate ratios for developing HGD or EAC were calculated. Risk stratification
identified individuals who could be considered for exclusion from surveillance. A
health-state transition Markov cohort model evaluated the cost-effectiveness of
focusing on higher-risk individuals. RESULTS: During 2067 person-years of follow
up of 640 patients, 17 individuals progressed to HGD or EAC (annual IR 0.8%).
Individuals with columnar-lined esophagus (CLE) >=2 cm had an annual IR of 1.2%
and >8-fold increased relative risk of HGD or EAC, compared to CLE <2 cm [IR
0.14% (IRR 8.6, 95% CIs 4.5-12.8)]. Limiting the surveillance cohort after the
first endoscopy to individuals with CLE >=2 cm, or dysplasia, followed by a
further restriction after the second endoscopy-exclusion of patients without
intestinal metaplasia-removed 296 (46%) patients, and 767 (37%) person-years from
surveillance. Limiting surveillance to the remaining individuals reduced the
incremental cost-effectiveness ratio from US$60,858 to US$33,807 per quality
adjusted life year (QALY). Further restrictions were tested but failed to improve
cost-effectiveness. CONCLUSIONS: Based on stratification of risk, the number of
patients requiring surveillance can be reduced by at least a third. At a
willingness-to-pay threshold of US$50,000 per QALY, surveillance of higher-risk
individuals becomes cost-effective.
PMID- 27882418
TI - Compliance with Guidelines of Enhanced Recovery After Surgery in Elderly Patients
Undergoing Gastrectomy.
AB - BACKGROUND: Enhanced recovery after surgery (ERAS) aims at expediting
postoperative recovery by implementing specific strategies in perioperative
management. However, the tolerance to such fast-tracking protocols is under
debate, especially in elderly patients. We aimed to investigate rate of
compliance with the main ERAS guidelines in elderly gastrectomy patients.
METHODS: Using data for 168 gastric cancer patients who underwent ERAS after
gastrectomy as part of Clinical Trial NCT01653496, we calculated the rates of
compliance with nine main ERAS guidelines and compared the compliance rates of
elderly (>=70 years) and non-elderly (<70 years) patients. Surgical outcomes and
fulfillment of criteria for postoperative discharge were also compared. RESULTS:
The study included 55 elderly and 113 non-elderly patients. There were no
significant differences between these groups of patients with respect to
operative techniques and tumor stage. Except for restricted intravenous fluid
administration, the patients in both groups showed very high compliance rates
(>90%) for every ERAS guideline. Notably, the overall compliance rates did not
differ significantly between the groups. Postoperatively, the mean time to
fulfillment of discharge criteria was slightly longer for elderly patients (4.7
vs. 4.2 days, p = 0.005), but there were no significant differences between the
groups with respect to the incidence of postoperative complications, length of
hospitalization, and readmission rate. CONCLUSION: Compliance of the medically
and physically fit elderly patients with the main ERAS guidelines is comparable
to that of non-elderly patients, and such protocols can be safely applied to
elderly patients without significant modification.
PMID- 27882419
TI - Pleural Effusion After Hepatectomy for Hepatocellular Carcinoma: Risk Factor
Analyses and Its Impact on Oncological Outcomes.
AB - BACKGROUND: Although posthepatectomy pleural effusion (PHPE) is a commonly
observed phenomenon, its precise etiology and the impact of its emergence on
oncological outcomes have still unknown. The aim of the current study was to
retrospectively investigate risk factors for PHPE and its impact on oncological
outcomes of hepatocellular carcinoma (HCC). METHODS: Medical records of 330
patients who underwent primary curative hepatectomy for HCC were reviewed. All
330 patients had CT around day 7 after hepatectomy, and the emergence of PHPE on
CT was examined. Presumed 38 risk factors for the emergence of PHPE and already
known 9 risk factors together with PHPE for HCC recurrence and patient death
after hepatectomy were analyzed. RESULTS: The overall incidence of PHPE was 54.5%
(180/330). One hundred seventy-nine and 38 out of the 180 patients had right
sided PHPE and left-sided PHPE, respectively. The independent risk factors for
right-sided PHPE were hepatitis B or C back ground, lower preoperative white
blood cell count, larger intraoperative blood loss, longer operation time,
subcostal incision, and longer total inflow occlusion time, while the only
independent risk factor for left-sided PHPE was longer operation time. Left-sided
PHPE was testified to be one of the independent risk factors not only for HCC
recurrence but also for patient death. CONCLUSIONS: Although the cause of PHPE
after hepatectomy might be multifactorial, the emergence of left-sided PHPE is a
portent of worse oncological outcomes after curative hepatectomy for HCC and
patients with left-sided PHPE need close follow-ups.
PMID- 27882420
TI - The Clinical Indications for Limited Surgery of Intraductal Papillary Mucinous
Neoplasms of the Pancreas.
AB - BACKGROUND: There are many reports about limited surgery for intraductal
papillary mucinous neoplasms (IPMNs) of the pancreas. However, there is no
consensus on limited surgery for IPMNs. The primary objective of this study was
to define the clinical indications for limited surgery for IPMNs. METHODS: The
data of 98 patients who underwent curative resections for IPMN were
retrospectively analyzed. IPMNs were classified into four different pathological
grades: low-grade dysplasia (LGD), intermediate-grade dysplasia (IGD), high-grade
dysplasia (HGD), and invasive carcinoma (Inv-IPMN). Inv-IPMNs were divided into
T1a, T1b, and T1c or over T1c (>=T1c). Based on preoperative radiological
findings, IPMNs were stratified into the three groups using the 2012
International Consensus Guidelines: worrisome features, high-risk stigmata (HRS),
and others. RESULTS: There were no positive lymph node cases and no recurrent
cases of LGDs, IGDs, and HGDs. On the other hand, positive lymph node cases in
T1a, T1b, and >=T1c were seen in 37.5, 20, and 22.2% of cases, respectively. The
recurrence rates of T1a, T1b, and >=T1c were 50, 40, and 55.6%, respectively. Of
the HRS cases, 30 (73.2%) were malignant and 25 (61%) were Inv-IPMN. HRS showed
sensitivity of 92.6%, specificity of 77.5%, and accuracy of 81.6% to identify Inv
IPMN by preoperative imaging. CONCLUSIONS: Limited surgery such as parenchyma
sparing pancreatectomy should be avoided for all cases of Inv-IPMNs, because
every Inv-IPMN including T1a has the potential for lymph node metastasis and
recurrence. HRS had high preoperative diagnostic ability for predicting Inv-IPMN.
For cases that meet HRS criteria, pancreatectomy with lymphadenectomy is needed,
and limited surgery should be withheld.
PMID- 27882421
TI - Invasive meningococcal disease-induced myocarditis in critically ill adult
patients: initial presentation and long-term outcome.
PMID- 27882422
TI - Predictors of Pharmacological Therapy of Ectopic Atrial Tachycardia in Children.
AB - Ectopic atrial tachycardia (EAT) is a relatively common type of supraventricular
tachycardia in the pediatric population, and it can be resistant to
antiarrhythmic drugs and lead to tachycardia-induced cardiomyopathy (TIC) if not
properly managed. The purpose of this study was to determine the predictors of
the response to pharmacological therapy in children with EAT. From January 2009
to April 2014, 115 children were admitted to our hospital with a diagnosis of EAT
and placed on antiarrhythmic drugs. We examined the clinical history, response to
therapy, and follow-up of the children. The incidence of TIC secondary to EAT was
22.6% (n = 26) in children. Incessant EAT accounted for 44.3% of all patients.
Control of EAT with antiarrhythmic therapy was achieved in 73.9% (n = 85) of the
children. The combination of sotalol and propafenone performed well in
controlling EAT in children [complete control in 35 (49.3%) of 71]. The mean time
of conversion to sinus rhythm was 24 days, and the mean duration of therapy was
11 months in children with resolution. Multivariate predictors of the control of
EAT were age at presentation (OR 0.289, P = 0.038) and tachycardia type (OR
0.276, P = 0.006). TIC occurs in 22.6% of children with EAT. Incessant EAT is
more frequently complicated by TIC. Independent factors associated with a good
response to pharmacological therapy include a younger age at presentation and non
incessant tachycardia in children with EAT.
PMID- 27882423
TI - Cardio-Ankle Vascular Index (CAVI) and Plasma Transforming Growth Factor-beta1
(TGF-beta1) Level Correlate with Aortopathy in Adults with Repaired Tetralogy of
Fallot.
AB - It is challenging to evaluate aortopathy in congenital heart disease using
conventional investigations such as brachial-ankle pulse wave velocity (baPWV).
Therefore, we evaluated the cardio-ankle vascular index (CAVI), a dimension of
the ascending aorta and plasma transforming growth factor-beta1 (TGF-beta1)
level, in order to find novel noninvasive parameters of aortopathy in adults with
repaired tetralogy of Fallot (TOF). Prospectively, we enrolled 42 consecutive
adults with TOF (28 patients with repaired TOF were not on ARB, 8 patients with
repaired TOF on ARB, 6 patients with no repair or only palliative repair) and 20
age-matched healthy controls. We measured CAVI, baPWV, plasma TGF-beta1 level and
a diameter of the ascending aorta using echocardiography. The mean age of
repaired TOF without ARB were 31.9 +/- 9.1 years. An aortic diameter of the
ascending aorta, CAVI, and plasma TGF-beta1 level were significantly higher in
repaired TOF without ARB than those in controls, whereas baPWV did not differ. On
a univariate analysis, CAVI, plasma TGF-beta1 level and Rastelli procedure were
important factors for an aortic diameter of the ascending aorta (r = 0.56, P <
0.01, r = 0.59, P < 0.01, r = 0.39, P < 0.05, respectively) in this population.
There was no significant correlation with age, baPWV, NT-pro brain natriuretic
peptide (BNP), repair age, shunt duration, aortic regurgitation or right aortic
arch. On the other hand, patients with unrepaired or palliative TOF, who had
obvious volume overload, showed no significant correlation with CAVI, baPWV or
plasma TGF-beta1 level. CAVI and plasma TGF-beta1 level, not baPWV, correlate to
aortopathy in adults with repaired TOF.
PMID- 27882424
TI - Influence of Transplant Center Procedural Volume on Survival Outcomes of Heart
Transplantation for Children Bridged with Mechanical Circulatory Support.
AB - Transplant center expertise improves survival after heart transplant (HTx) but it
is unknown whether center expertise ameliorates risk associated with mechanical
circulatory support (MCS) bridge to transplantation. This study investigated
whether center HTx volume reduced survival disparities among pediatric HTx
patients bridged with extracorporeal membrane oxygenation (ECMO), left
ventricular assist device (LVAD), or no MCS. Patients <=18 years of age receiving
first-time HTx between 2005 and 2015 were identified in the United Network of
Organ Sharing registry. Center volume was the total number of HTx during the
study period, classified into tertiles. The primary outcome was 1 year post
transplant survival, and MCS type was interacted with center volume in Cox
proportional hazards regression. The study cohort included 4131 patients, of whom
719 were supported with LVAD and 230 with ECMO. In small centers (<=133 HTx over
study period), patients bridged with ECMO had increased post-transplant mortality
hazard compared to patients bridged with LVAD (HR 0.29, 95% CI 0.12, 0.71; p =
0.006) and patients with no MCS (HR 0.33, 95% CI 0.19, 0.57; p < 0.001).
Interactions of MCS type with medium or large center volume were not
statistically significant, and the same differences in survival by MCS type were
observed in medium- or large-volume centers (136-208 or >=214 HTx over the study
period). Post-HTx survival disadvantage of pediatric patients bridged with ECMO
persisted regardless of transplant program volume. The role of institutional ECMO
expertise outside the transplant setting for improving outcomes of ECMO bridge to
HTx should be explored.
PMID- 27882425
TI - Assessment of regional emphysema, air-trapping and Xenon-ventilation using dual
energy computed tomography in chronic obstructive pulmonary disease patients.
AB - OBJECTIVES: To compare the parenchymal attenuation change between
inspiration/expiration CTs with dynamic ventilation change between xenon wash-in
(WI) inspiration and wash-out (WO) expiration CTs. METHODS: 52 prospectively
enrolled COPD patients underwent xenon ventilation dual-energy CT during WI and
WO periods and pulmonary function tests (PFTs). The parenchymal attenuation
parameters (emphysema index (EI), gas-trapping index (GTI) and air-trapping index
(ATI)) and xenon ventilation parameters (xenon in WI (Xe-WI), xenon in WO (Xe-WO)
and xenon dynamic (Xe-Dyna)) of whole lung and three divided areas (emphysema,
hyperinflation and normal) were calculated on virtual non-contrast images and
ventilation images. Pearson correlation, linear regression analysis and one-way
ANOVA were performed. RESULTS: EI, GTI and ATI showed a significant correlation
with Xe-WI, Xe-WO and Xe-Dyna (EI R = -.744, -.562, -.737; GTI R = -.621, -.442,
.629; ATI R = -.600, -.421, -.610, respectively, p < 0.01). All CT parameters
showed significant correlation with PFTs except forced vital capacity (FVC).
There was a significant difference in GTI, ATI and Xe-Dyna in each lung area (p <
0.01). CONCLUSIONS: The parenchymal attenuation change between
inspiration/expiration CTs and xenon dynamic change between xenon WI- and WO-CTs
correlate significantly. There are alterations in the dynamics of xenon
ventilation between areas of emphysema. KEY POINTS: * The xenon ventilation
change correlates with the parenchymal attenuation change. * The xenon
ventilation change shows the difference between three lung areas. * The
combination of attenuation and xenon can predict more accurate PFTs.
PMID- 27882426
TI - Dual-energy CT of the brain: Comparison between DECT angiography-derived virtual
unenhanced images and true unenhanced images in the detection of intracranial
haemorrhage.
AB - OBJECTIVE: To evaluate the diagnostic performance of virtual non-contrast (VNC)
images in detecting intracranial haemorrhages (ICHs). METHODS: Sixty-seven
consecutive patients with and 67 without ICH who underwent unenhanced brain CT
and DECT angiography were included. Two radiologists independently evaluated VNC
and true non-contrast (TNC) images for ICH presence and type. Inter-observer
agreement for VNC and TNC image evaluation was calculated. Sensitivity and
specificity of VNC images for ICH detection were calculated using Fisher's exact
test. VNC and TNC images were compared for ICH extent (qualitatively and
quantitatively) and conspicuity assessment. RESULTS: On TNC images 116 different
haemorrhages were detected in 67 patients. Inter-observer agreement ranged from
0.98-1.00 for TNC images and from 0.86-1.00 for VNC images. VNC sensitivity
ranged from 0.90-1, according to the different ICH types, and specificity from
0.97-1. Qualitatively, ICH extent was underestimated on VNC images in 11.9% of
cases. Haemorrhage volume did not show statistically significant differences
between VNC and TNC images. Mean haemorrhage conspicuity was significantly lower
on VNC images than on TNC images for both readers (p < 0.001). CONCLUSION: VNC
images are accurate for ICH detection. Haemorrhages are less conspicuous on VNC
images and their extent may be underestimated. KEY POINTS: * VNC images represent
a reproducible tool for detecting ICH. * ICH can be identified on VNC images with
high sensitivity and specificity. * Intracranial haemorrhages are less
conspicuous on VNC images than on TNC images. * Intracranial haemorrhages extent
may be underestimated on VNC images.
PMID- 27882427
TI - Improved MDCT monitoring of pelvic myeloma bone disease through the use of a
novel longitudinal bone subtraction post-processing algorithm.
AB - PURPOSE: To evaluate the diagnostic performance of a novel CT post-processing
software that generates subtraction maps of baseline and follow-up CT
examinations in the course of myeloma bone lesions. MATERIALS AND METHODS: This
study included 61 consecutive myeloma patients who underwent repeated whole-body
reduced-dose MDCT at our institution between November 2013 and June 2015. CT
subtraction maps classified a progressive disease (PD) vs. stable disease
(SD)/remission. Bone subtraction maps (BSMs) only and in combination with 1-mm
(BSM+) source images were compared with 5-mm axial/MPR scans. RESULTS:
Haematological response categories at follow-up were: complete remission (n = 9),
very good partial remission (n = 2), partial remission (n = 17) and SDh (n = 19)
vs. PDh (n = 14). Five-millimetre CT scan yielded PD (n = 14) and SD/remission (n
= 47) whereas bone subtraction + 1-mm axial scans (BSM+) reading resulted in PD
(n = 18) and SD/remission (n = 43). Sensitivity/ specificity/accuracy for 5-mm/1
mm/BSM(alone)/BSM + in "lesion-by-lesion" reading was 89.4 %/98.9 %/98.3 %/ 99.5
%; 69.1 %/96.9 %/72 %/92.1 % and 83.8 %/98.4 %/92.1 %/98.3 %, respectively. The
use of BSM+ resulted in a change of response classification in 9.8 % patients (n
= 6) from SD to PD. CONCLUSION: BSM reading is more accurate for monitoring
myeloma compared to axial scans whereas BSM+ yields similar results with 1-mm
reading (gold standard) but by significantly reduced reading time. KEY POINTS: *
CT evaluation of myeloma bone disease using a longitudinal bone subtraction post
processing algorithm. * Bone subtraction post-processing algorithm is more
accurate for assessment of therapy. * Bone subtraction allowed improved and more
efficient detection of myeloma bone lesions. * Post-processing tool demonstrating
a change in response classification in 9.8 % patients (all showing PD). * Reading
time could be substantially shortened as compared to regular CT assessment.
PMID- 27882428
TI - Progression of noncalcified and calcified coronary plaque by CT angiography in
SLE.
AB - Premature coronary artery disease remains the major cause of late death in
systemic lupus erythematosus (SLE). Coronary artery calcium (CAC) represents an
advanced stage of atherosclerosis, whereas noncalcified coronary atherosclerotic
plaque (NCP) typically is more prone to trigger acute coronary events. The aim of
this study was to assess the stability of NCP over time and identify factors
associated with changes in NCP in patients with SLE. CT coronary angiography and
calcium scanning were performed at baseline and follow-up in thirty-six SLE
patients. Duration between baseline and follow-up NCP assessment ranged from 2 to
8 years. CAC was quantified by the Agatston score and classified as none, low (1
99), moderate (100-299) or high calcium score (300 and above). NCP was quantified
based on a previously validated score and classified as none, low (<0.5) or high
(0.5+). SLE disease activity was quantified using the SELENA-SLEDAI and physician
global assessment indices. To assess the association between quantitative
clinical variables and changes in NCP, adjusting for time, we used linear
regression models. The group of 36 SLE patients were 75% females, 75% Caucasians,
17% African-Americans, 8% other ethnicities. The mean age of patients was 46.6
years. For NCP, 17/36 (47%) of the patients switched qualitative NCP class (none,
low, high) between baseline and follow-up, whereas for CAC only 3/35 (9%)
switched qualitative class. Increasing years between assessments were associated
with an increase in NCP (P = 0.038). The proportion of time on immunosuppressants
was associated with a decrease in NCP (P = 0.06). Calcified coronary plaque
levels remained relatively stable over a period of 2-8 years. Noncalcified
coronary plaque levels were more variable. Use of immunosuppressive drugs
appeared to be protective against noncalcified coronary plaque progression.
PMID- 27882429
TI - Endovascular Management of Recurrent Spontaneous Hemarthrosis After Arthroplasty.
AB - PURPOSE: Recurrent spontaneous hemarthrosis is an infrequent but debilitating
late complication of joint replacement, affecting up to 1.6% of patients with
arthroplasty of the affected joint. Repeated episodes of bleeding result in an
inflammatory cascade that further propagates bleeding events. Open and
arthroscopic synovectomy are often performed when conservative treatments fail.
Transarterial embolization is increasingly utilized as a less invasive option;
however, its role is not widely established. We performed a systematic literature
review to report the safety and efficacy of transarterial embolization in
treating recurrent hemarthrosis in the setting of prior arthroplasty. MATERIALS
AND METHODS: A systematic review was conducted in accordance with the Preferred
Reporting Items for Systematic Review and Meta-Analysis guidelines. A structured
search was performed in PubMed, Web of Science, Embase, and SCOPUS databases of
patients undergoing embolization for recurrent hemarthrosis after arthroplasty.
Patients immediately post-operative, those embolized at first bleeding episode,
and those with hemophilia were excluded. Demographic data, clinical information,
angiographic findings, treatment, and outcomes were tabulated. RESULTS: The
search identified 119 titles of which 24 were deemed relevant, comprising 91
patients undergoing 99 embolization procedures. Mean time from prosthesis
implantation was 32.2 months. Technical success was 99%. Mean follow-up time was
24.9 months. There were 10 recurrences (10%). Two cases were complicated by joint
infection requiring arthroplasty revision. CONCLUSIONS: Transarterial
embolization for recurrent spontaneous hemarthrosis may be safe and effective in
patients having undergone arthroplasty of the affected joint.
PMID- 27882432
TI - Microclimatic conditions of Lasius flavus ant mounds.
AB - Like other organisms, ants require suitable microclimatic conditions for their
development. Thus, ant species inhabiting colder climates build nest mounds that
rise above the soil surface, presumably to obtain heating from solar radiation.
Although some ant species construct mounds of organic materials, which generate
substantial heat due to microbial metabolism, Lasius flavus mounds consists
mostly of soil, not organic material. The use of artificial shading in the
current study demonstrated that L. flavus depends on direct solar radiation to
regulate the temperature in its mound-like nests. Temperatures were much lower in
shaded mounds than in unshaded mounds and were likely low enough in shaded mounds
to reduce ant development and reproduction. In areas where L. flavus and similar
ants are undesirable, they might be managed by shading.
PMID- 27882430
TI - Identification of cardiovascular risk factors associated with bone marrow cell
subsets in patients with STEMI: a biorepository evaluation from the CCTRN TIME
and LateTIME clinical trials.
AB - Autologous bone marrow mononuclear cell (BM-MNC) therapy for patients with ST
segment elevation myocardial infarction (STEMI) has produced inconsistent
results, possibly due to BM-MNC product heterogeneity. Patient-specific
cardiovascular risk factors (CRFs) may contribute to variations in BM-MNC
composition. We sought to identify associations between BM-MNC subset frequencies
and specific CRFs in STEMI patients. Bone marrow was collected from 191 STEMI
patients enrolled in the CCTRN TIME and LateTIME trials. Relationships between BM
MNC subsets and CRFs were determined with multivariate analyses. An assessment of
CRFs showed that hyperlipidemia and hypertension were associated with a higher
frequency of CD11b+ cells (P = 0.045 and P = 0.016, respectively). In addition,
we found that females had lower frequencies of CD11b+ (P = 0.018) and CD45+CD14+
(P = 0.028) cells than males, age was inversely associated with the frequency of
CD45+CD31+ cells (P = 0.001), smoking was associated with a decreased frequency
of CD45+CD31+ cells (P = 0.013), glucose level was positively associated with the
frequency of CD45+CD3+ cells, and creatinine level (an indicator of renal
function) was inversely associated with the frequency of CD45+CD3+ cells (P =
0.015). In conclusion, the frequencies of monocytic, lymphocytic, and angiogenic
BM-MNCs varied in relation to patients' CRFs. These phenotypic variations may
affect cell therapy outcomes and might be an important consideration when
selecting patients for and reviewing results from autologous cell therapy trials.
PMID- 27882433
TI - Reply to communications by Fu et al. international journal of biometeorology.
AB - Temperature sensitivity of plant phenology (ST) is a determining factor of as to
what degree climate change impacts on plant species. Fu et al . (Int J
Biometeorol 60:1611-1613, 2016) claimed that long long-term linear trends mask
phenological shifts. However, the decreased and increased ST was both found in
warming scenarios. The conceptual scheme telling the nonlinear relationship
between spring temperature and leaf unfolding date proposed by Fu et al . (Int J
Biometeorol 60:1611-1613, 2016) cannot be supported by observation data across
Europe. Therefore, linking declined ST to climate warming is misleading, and
future ST changes are more uncertain than they suggested.
PMID- 27882431
TI - Diagnostic value of conventional chest radiography in intrathoracic goiters
retrospective analysis of 2570 patients.
AB - PURPOSE: Since intrathoracic goiters (IG), either cervico-mediastinal goiters
(CMGs) or mediastinal nodules (MNs), can lead to sternotomies and/or evitable
reoperations, their detection is mandatory before thyroid surgery. A systematic
screening by CT scan or MRI is not conceivable because of their expensiveness. We
tested if conventional chest radiography (CCR) could remain a good screening tool
for IG before thyroid surgery. METHODS: In this retrospective study (2554
patients), CCR usefulness was evaluated in relation with patients' complaints,
clinical examination, neck US, and anatomical and surgical findings. RESULTS:
CMGs (n = 67) and MNs (n = 42) were symptomatic in 10 and 5 patients,
respectively. Clinical examination or neck US suspected their existence in 25 and
13 and 45 and 17 patients, respectively. Among the 50 IG detected by CCR (42 CMGs
and 8 MNs), 4 CMGs and 2 MNs were missed by clinical examination or neck US. CCR
failed to detect IG in 59 patients (54%): 25 CMGs (37%) and 34 MNs (80%). Twenty
eight IG (9 CMGs and 19 MNs) were discovered during surgery. CCR resulted in
false positive in 88 out of 2445 patients (3.5%). CCR potentially avoided
reoperation in two patients (a maximum saving of 6160 ?, whereas the total cost
of CCR was 54,895 ?). CONCLUSIONS: CCR should not be used routinely for the
preoperative detection of IG. Surgeons should preferably use clinical examination
or neck US and directly perform CT scan when a mediastinal extension is
suspected.
PMID- 27882434
TI - New locality record for Haplorchoides mehrai and possible interactions with
Opisthorchis viverrini metacercariae in cyprinid fishes in Northeast Thailand.
AB - Metacercariae of Opisthorchis viverrini, a carcinogenic liver fluke, and
Haplorchoides sp., a trematode maturing in catfish, are commonly found in
cyprinid fish, the second intermediate hosts of both flukes. However, the
specific identity of Haplorchoides sp. in Thailand and a precise assessment of
the effects of co-infections with O. viverrini have never been clarified.
Therefore, we aimed to identify the species of Haplorchoides and to investigate
possible interactions of the two trematode species in cyprinid fishes. Based on
the morphology and morphometry of the cercaria, metacercaria, and adult stages,
the Haplorchoides species found was identified as Haplorchoides mehrai Pande and
Shukla 1976. Thailand is formally recorded as a new locality for H. mehrai, where
naturally infected hosts include the snail Melanoides tuberculata (first
intermediate host), the cyprinid fishes Hampala dispar, Cyclocheilichthys apogon,
Puntius leiacanthus, Labiobarbus burmanicus, and Cirrhina jullieni (second
intermediate hosts), and a catfish, Mystus nemurus (definitive host). The co
infection rates of O. viverrini and H. mehrai were significantly associated with
fish species and fish body region (P < 0.001), with an overall significantly
higher average intensity of H. mehrai (126.26 metacercariae/fish) than that of O.
viverrini (18.02 metacercariae/fish). Further work is required to demonstrate the
extent and mechanisms of possible interactions between these trematode species in
the fish host. These data may provide a better understanding of O. viverrini
transmission dynamics, and help design integrated control interventions.
PMID- 27882436
TI - A novel 4,6-disubstituted-1,2,4-triazolo-1,3,4-thiadiazole derivative inhibits
tumor cell invasion and potentiates the apoptotic effect of TNFalpha by
abrogating NF-kappaB activation cascade.
AB - Condensed-bicyclic 4,6-substituted1,2,4-triazolo-1,3,4-thiadiazole derivatives
(CBTT) have been shown to possess a wide spectrum of pharmacological activities.
In this study, several novel CBTT derivatives were synthesized and investigated
for their possible role as anti-neoplastic agents. The anti-proliferative effect
of various CBTT derivatives was analyzed against tumor cell lines by (3-(4,5
Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide) MTT assay. One of the
potential CBTT derivative, 5-(3-(2,3-dichlorophenyl)-[1,2,4]triazolo[3,4
b][1,3,4]thiadiazol-6-yl)flurobenzonitrile (DTTF) was found to be the most potent
against cervical cancer SiHa cells and exhibited minimal effect against normal
cells. Molecular docking analysis indicated that transcription factor NF-kappaB
was one of the potential molecular targets modulated by DTTF. Specifically, the
drug blocked the TNFalpha-induced phosphorylation of upstream IkappaBalpha kinase
in a time-dependent manner leading to the suppression of NF-kappaB activation and
nuclear translocation. DTTF also potentiated the apoptotic effect of TNFalpha, as
well as significantly inhibited migration and invasion of tumor cells. Overall,
these findings indicate a potential novel role and mechanism(s) of action of DTTF
as an anticancer agent against diverse malignancies.
PMID- 27882435
TI - A new anatomical classification of the bronchial arteries based on the spatial
relationships to the esophagus and the tracheobronchus.
AB - PURPOSE: To reveal the patterns of the mediastinal course of the bronchial
arteries (BAs). METHODS: The BAs were dissected to determine the positional
relationships of their mediastinal courses with the tracheobronchus and the
esophagus in 72 adult cadavers. RESULTS: The mediastinal courses of the 227 BAs
found in this study were classified into 4 types. There were 61 and 163 BAs
passing the right side (Type I) and the left side (Type II reaching dorsal
surface (n = 98), or Type III reaching ventral surface (n = 65) of the
tracheobronchus) of the esophagus, respectively. Three BAs originated from the
subclavian artery (Type IV). All Type I BAs were right BAs, whereas 91.8% of the
Type II BAs were left BAs. However, 43.1 and 56.9% of the Type III BAs were the
right and left BAs, respectively. CONCLUSION: The classification of the
mediastinal course of the BAs determined by the spatial relationships to the
tracheobronchus and the esophagus may be clinically useful, because each category
of this classification can be determined during esophagectomy and indicates
whether the BA is a right or left BA.
PMID- 27882437
TI - Cavernous sinus syndrome due to neurosarcoidosis in adolescence: a diagnosis not
to be missed.
PMID- 27882439
TI - Obstetric and perinatal outcome of babies born from sperm selected by MACS from a
randomized controlled trial.
AB - PURPOSE: The purpose of this study is to assess outcomes after magnetic-activated
cell sorting (MACS) technology on obstetric and perinatal outcomes compared with
those achieved after swim up from randomized controlled trial. METHODS: This is a
two-arm, unicentric, prospective, randomized, and triple-blinded trial and has a
total of 237 infertile couples, between October 2010 and January 2013. A total of
65 and 66 newborns from MACS and control group, respectively, were described.
RESULTS: MACS had no clinically relevant adverse effects on obstetric and
perinatal outcomes. No differences were found for obstetric problems including
premature rupture of membranes 6.1% (CI95% 0-12.8) vs. 5.9% (CI95% 0-12.4), 1st
trimester bleeding 28.6% (CI95% 15.9-41.2) vs. 23.5% (CI95% 11.9-35.1), invasive
procedures as amniocentesis 2.0% (CI95% 0-5.9) vs. 3.9% (CI95% 0-9.2), diabetes
14.3% (CI95% 4.5-24.1) vs. 9.8% (CI95% 1.6-17.9), anemia 6.1% (CI95% 0-12.8) vs.
5.9%(CI95% 0-12.4), 2nd and 3rd trimesters 10.2% (CI95% 1.7-18.7) vs. 5.9% (CI95%
0-12.4), urinary tract infection 8.2% (CI95% 0.5-15.9) vs. 3.9% (CI95% 0-9.2),
pregnancy-induced hypertension 6.1% (CI95% 0-12.8) vs. 15.7% (CI95% 5.7-25.7),
birth weight (g) 2684.10 (CI95% 2499.48-2868.72) vs. 2676.12 (CI95% 2499.02
2852.21), neonatal height (cm) 48.3 (CI95% 47.1-49.4) vs. 46.5 (CI95% 44.6-48.4),
and gestational cholestasis 0%(CI95% 0-0) vs. 3.9% (CI95% 0-9.2), respectively,
in MACS group compared with control group. CONCLUSIONS: Our data suggest that
MACS technology does not increase or decrease Powered by Editorial Manager(r) and
ProduXion Manager(r) from Aries Systems Corporation adverse obstetric and
perinatal outcomes in children conceived when this technology was performed,
being the largest randomized control trial with live birth reported results with
MACS.
PMID- 27882438
TI - Histochemical examination of systemic administration of eldecalcitol combined
with guided bone regeneration for bone defect restoration in rats.
AB - The aim of this experiment was to elucidate the histological alterations after
systemic administration of eldecalcitol (ELD) combined with guided bone
regeneration during the restoration of bone defect healing in rats. The femurs of
8-week-old Wister rats were used to generate bone defect models. The defect was
covered with a collagen membrane, and ELD group was administrated with
eldecalcitol (50 ng/kg body weight) intragastrically once every other day. Femora
were harvested at 1, 2, 4 and 8 weeks post-surgery. Decalcify tissue slices were
made and used for histological and immunohistochemical examination. Bone
biomarkers of RANKL, OPG and osteocalcin (OCN) were detected by western blot. The
results revealed that the system administration of ELD could improve new bone
formation demonstrated by the increased bone volume/tissue volume ratio and
accelerated mineralization. ELD suppressed osteoclastic bone resorption by
reducing the number of osteoclasts, decreasing the expression of cathepsin-K and
the ratio of RANKL/OPG at the early stage of bone defect restoration (1 and 2
weeks) and upregulating OCN expression at the later stage of bone defect healing
(4 and 8 weeks). These data suggested that systemic administration of
eldecalcitol accelerated bone formation and promoted bone maturation by
decreasing bone resorption and promoting bone mineralization during bone defect
restoration.
PMID- 27882440
TI - Hemodynamic changes in a middle cerebral artery aneurysm at follow-up times
before and after its rupture: a case report and a review of the literature.
AB - Hemodynamic parameters play a significant role in the development of cerebral
aneurysms. Parameters such as wall shear stress (WSS) or velocity could change in
time and may contribute to aneurysm growth and rupture. However, the hemodynamic
changes at the rupture location remain unclear because it is difficult to obtain
data prior to rupture. We analyzed a case of a ruptured middle cerebral artery
(MCA) aneurysm for which we acquired imaging data at three time points, including
at rupture. A patient with an observed MCA aneurysm was admitted to the emergency
department with clinical symptoms of a subarachnoid hemorrhage. During three
dimensional (3D) digital subtraction angiography (DSA), the aneurysm ruptured
again. Imaging data from two visits before rupture and this 3D DSA images at the
moment of rupture were acquired, and computational fluid dynamic (CFD)
simulations were performed. Results were used to describe the time-dependent
changes of the hemodynamic variables associated with rupture. Time-dependent
hemodynamic changes at the rupture location were characterized by decreased WSS
and flow velocity magnitude. The impingement jet in the dome changed its position
in time and the impingement area at follow-up moved near the rupture location.
The results suggest that the increased WSS on the dome and increased low wall
shear stress area (LSA) and decreased WSS on the daughter bleb with slower flow
and slow vortex may be associated with rupture. CFD performed during the follow
up period may be part of diagnostic tools used to determine the risk of aneurysm
rupture.
PMID- 27882441
TI - Regioselective synthesis and biological studies of novel 1-aryl-3, 5-bis (het)
aryl pyrazole derivatives as potential antiproliferative agents.
AB - Pyrazole moiety represents an important category of heterocyclic compound in
pharmaceutical and medicinal chemistry. The novel 1-aryl-3, 5-bis (het) aryl
pyrazole derivatives were synthesized with complementary regioselectivity. The
chemical structures were confirmed by IR, 1H NMR, 13C NMR, and mass spectral
analysis. The chemical entities were screened in various cancer cell lines to
assess their cell viability activity. Results showed that the compound 3-(1-(4
bromophenyl)-5-phenyl-1H-pyrazol-3-yl) pyridine (5d) possessed maximum cytotoxic
effect against breast cancer and leukemic cells. The cytotoxicity was confirmed
by live-dead cell assay and cell cycle analysis. Mitochondrial membrane
potential, Annexin V-FITC staining, DNA fragmentation, Hoechst staining, and
western blot assays revealed the ability of compound 5d to induce cell death by
activating apoptosis in cancer cells. Thus, the present study demonstrates that
compound 5d could be an attractive chemical entity for the development of small
molecule inhibitors for treatment of leukemia and breast cancer.
PMID- 27882442
TI - The effects of zofenopril on cardiac function and pro-oxidative parameters in the
streptozotocin-induced diabetic rat heart.
AB - Diabetes mellitus is a chronic condition that continues to increase in both
incidence and prevalence. Renin-Angiotensin-Aldosterone System is one of the main
modulators of chronic hyperglycaemia and, thus, its influence on tissues.
Hyperglycaemia-induced oxidative stress is an important factor in diabetic
cardiomyopathy. The present study was carried out on 24 adult male Wistar albino
rats (8-week-old and with body masses of 190 +/- 10 g). We evaluated the
influence of acute administration of zofenopril on ex vivo myocardial function
from rats with streptozotocin-induced diabetes mellitus, with a special emphasis
on cardiodynamic and oxidative stress parameters in diabetic rat hearts. Rats
were divided randomly into two groups (12 animals per group): control non
diabetic animals (C) were healthy rats perfused with 1.5 uM of zofenopril, and
STZ-treated diabetic animals (DM) were diabetic animals perfused with 1.5 uM of
zofenopril 4 weeks after the induction of diabetes. Our results demonstrated that
diabetic rats are characterized by a depressed cardiac performance and that
oxidative markers are related to alterations in cardiac function in rats with 4
weeks of STZ-induced diabetes. Additionally, the use of zofenopril as a
monotherapy slightly diminished cardiac damage induced by chronic hyperglycaemia.
However, long-term follow-up intervention trials are necessary to fully
demonstrate the benefit of zofenopril in this context. A challenge for future
investigations will be to identify the effects of chronic administration or
combination therapy with angiotensin-converting enzyme inhibitors in various
models of diabetes.
PMID- 27882443
TI - Gut Microbiota and Autism: Key Concepts and Findings.
AB - There is an emerging body of evidence linking the intestinal microbiota with
autism spectrum disorders (ASD). Studies have demonstrated differences in the
composition of gut bacteria between children with ASD and controls. Certain
intestinal bacteria have been observed in abundance and may be involved in the
pathogenesis of ASD; including members of the Clostridium and Sutterella genus.
Evidence from animal models suggest that certain microbial shifts in the gut may
produce changes consistent with the clinical picture of autism, with proposed
mechanisms including toxin production, aberrations in fermentation
processes/products, and immunological and metabolic abnormalities. In this
article, we review studies examining the relationship between intestinal bacteria
and ASD, and discuss bacterial species that may be implicated and proposed
mechanisms.
PMID- 27882444
TI - Genomic characterization of a wild-bird-origin pigeon paramyxovirus type 1 (PPMV
1) first isolated in the northwest region of China.
AB - Pigeon paramyxovirus type-1(PPMV-1) is an enzootic in pigeon flocks and causes
severe economic losses in the poultry industry in many countries. A PPMV-1
isolate, abbreviated as PPMV-1/QL-01/CH/15, was isolated from a great spotted
woodpecker in the northwest region of China in 2015. The complete genome was
sequenced, and the results showed that the virus genome was 15,192 nt in length,
in the gene arrangement 3'-NP-P-M-F-HN-L-5'. Several amino acid mutations were
identified in the functional domains of the F and HN proteins. The pathogenicity
index of the isolate was evaluated, and the mean death time (MDT) was 72 h and
the intracerebral pathogenicity index (ICPI) was 0.925, indicating that this
isolate was mesogenic. Sequencing results showed that it had a virulent Newcastle
disease virus cleavage motif 112R-R-Q-K-R-F117 at the fusion protein cleavage
site. Morbidity and mortality were 70% and 50%, after inoculation of pigeons,
whereas this virus was nonpathogenic in chickens. Different immune responses of
pigeons and chickens were induced in vivo, which led to different HI serum
antibody titers. The results of phylogenetic and evolutionary distance analysis
showed that this PPMV-1 strain belonged to sub-genotype VIa in class II. To our
knowledge, this is the first identification and analysis of PPMV-1 co-circulation
among wild birds and domestic pigeon flocks in China. The data from this study
highlight the important role of wild birds in the dissemination of PPMV-1 and
provide useful references for improving our understanding of the distribution and
evolution of PPMV-1 in China.
PMID- 27882446
TI - Impact of the size and number of swollen joints on serum C-reactive protein level
and erythrocyte sedimentation rate in rheumatoid arthritis: a cross-sectional
study in Japan.
AB - No studies have yet reported the influence of swelling in individual joints on
serum C-reactive protein and erythrocyte sedimentation rate. To examine this
association, we used data from the NinJa registry, the largest registry of
rheumatoid arthritis patients in Japan. Sixty-six palpable joints were
categorized by size into three groups (small, medium-sized, and knees) with
surface area cutoffs of 10 and 100 cm2. Of 10,720 cases registered in NinJa in
2012, 8444 cases with either no swollen joints or swelling limited to one joint
size category were analyzed. Groups with larger numbers of swollen joints showed
higher levels of both markers in each joint-size category. Groups with larger
swollen joints had higher levels of both markers compared with groups with the
same number of (smaller) swollen joints. Linear regression revealed that the
increments of C-reactive protein (mg/dL/joint) and erythrocyte sedimentation rate
(mm/1 h/joint) were 0.056 and 0.89, 0.24 and 5.0, and 0.46 and 8.9 for small and
medium-sized joints and knee joints, respectively. The levels of systemic
inflammation markers increased with the involvement of larger and/or more joints.
These results were successfully illustrated by the use of large-scale data, which
eliminated wide intragroup scattering of the marker values.
PMID- 27882445
TI - Enhanced dietary formulation to mitigate winter thermal stress in gilthead sea
bream (Sparus aurata): a 2D-DIGE plasma proteome study.
AB - Low water temperatures during winter are common in farming of gilthead sea bream
in the Mediterranean. This causes metabolic disorders that in extreme cases can
lead to a syndrome called "winter disease." An improved immunostimulatory
nutritional status might mitigate the effects of this thermal metabolic stress. A
trial was set up to assess the effects of two different diets on gilthead sea
bream physiology and nutritional state through plasma proteome and metabolites.
Four groups of 25 adult gilthead sea bream were reared during winter months,
being fed either with a control diet (CTRL) or with a diet called "winter feed"
(WF). Proteome results show a slightly higher number of proteins upregulated in
plasma of fish fed the WF. These proteins are mostly involved in the immune
system and cell protection mechanisms. Lipid metabolism was also affected, as
shown both by plasma proteome and by the cholesterol plasma levels. Overall, the
winter feed diet tested seems to have positive effects in terms of fish condition
and nutritional status, reducing the metabolic effects of thermal stress.
PMID- 27882447
TI - Neonatal Repeated Exposure to Isoflurane not Sevoflurane in Mice Reversibly
Impaired Spatial Cognition at Juvenile-Age.
AB - Inhalation anesthetics facilitate surgical procedures in millions of children
each year. However, animal studies demonstrate that exposure to the inhalation
anesthetic isoflurane may cause neuronal cell death in developing brains. The
long-term cytotoxic effects of sevoflurane, the most popular pediatric
anesthetic, have not been compared with isoflurane. Thus, this study was designed
to compare the effects of equipotent doses of these two anesthetics on neonatal
long-term neurotoxicity. Postnatal 7-day-old (P7) C57/BL male mice were exposed
to 1.5% isoflurane or 2.2% sevoflurane 2 h a day for 3 days. Non-anesthetized
mice served as controls. The effects of anesthesia on learning and memory were
assessed using the Morris Water Maze (MWM) at Postnatal days 30 (P30) and P60
respectively. The hippocampal content of N-methyl-D-aspartate receptor subunits
(NMDA), brain-derived neurotrophic factor (BDNF), and synaptophysin (Syn) were
determined by Western Blot. Neuron structure and apoptosis were assessed via
Nissl and TUNEL staining, respectively. The isoflurane group exhibited cognitive
impairment at P30. Repeated inhalation of isoflurane or sevoflurane caused
different degrees of apoptosis and damaged hippocampal neurons in neonatal mice,
particularly isoflurane. In neonatal mice, repeated exposure to isoflurane, but
not sevoflurane, caused spatial cognitive impairments in juvenile mice. Our
findings suggest that isoflurane induces significantly greater neurodegeneration
than an equipotent minimum alveolar concentration of sevoflurane.
PMID- 27882449
TI - Diametrical relationship between gray and white matter volumes in autism spectrum
disorder and schizophrenia.
AB - Autism spectrum disorders and schizophrenia have been variously characterized as
separate nosological entities with overlapping deficits in social cognition or
diametrical extremes of a phenotypic continuum. This study aimed to determine how
these models apply to comparative morphometric data. MRI scans of the brain were
obtained in 49 subjects with schizophrenia, 20 subjects with autism and 39
healthy controls. Images were parcellated into 40 Brodmann areas and entered into
repeated-measures ANOVA for between-group comparison of global and localized gray
and white matter volumes. A pattern of lower gray mater volumes and greater white
matter volumes was found in subjects with schizophrenia in comparison to subjects
with autism. For both gray and white matter, this pattern was most pronounced in
regions associated with motor-premotor and anterior frontal cortex, anterior
cingulate, fusiform, superior and middle temporal gyri. Patient groups tended to
diverge from healthy controls in opposite directions, with greater-than-normal
gray matter volumes and lower-than-normal white matter volumes in subjects with
autism and reversed patterns in subjects with schizophrenia. White matter
reductions in subjects with autism were seen in posterior frontal lobe and along
the cingulate arch. Normal hemispheric asymmetry in the temporal lobe was effaced
in subjects with autism and schizophrenia, especially in the latter. Nearly
identical distribution of changes and diametrically divergent volumetry suggest
that autism and schizophrenia may occupy opposite extremes of the same cognitive
continuum.
PMID- 27882450
TI - In Memoriam: Dr. Beverly Evans-Britt.
PMID- 27882451
TI - Competency by design: when opportunity stops knocking.
PMID- 27882452
TI - Are we at risk of losing the soul of medicine?
PMID- 27882454
TI - Portraying the Effect of Calcium-Binding Proteins on Cytosolic Calcium
Concentration Distribution Fractionally in Nerve Cells.
AB - Nerve cells like neurons and astrocytes in central nervous system (CNS) take part
in the signaling process which means the transformation of the information from
one cell to another via signals. The signaling process is affected by various
external parameters like buffers calcium-binding proteins, voltage-gated calcium
channel. In the present paper, the role of buffers in the cytoplasmic calcium
concentration distribution is shown. The elicitation in calcium concentration is
due to the presence of lower amount calcium-binding proteins which can be shown
graphically. The mathematical model is designed by keeping in mind the
physiological condition taking place in CNS of mammalian brain. The thing to be
noted here is that the more elicitation in the calcium concentration distribution
results in the cell death which finally give neurodegenerative disease to the
mammalian brain. The present paper gives a glimpse of Parkinson's diseases in
particular. Computational results are performed in Wolfram Mathematica 9.0 and
simulated on core(TM) i5-3210M CPU @ 2.50 GHz processing speed and 4 GB memory.
It is found that the different types of buffer like ethylene glycol-bis([Formula:
see text]-aminoethyl ether)-N,N,N',N'-tetraacetic acid, 1,2-bis(o
aminophenoxy)ethane-N,N,N',N'-tetraacetic acid and calmodulin have noteworthy
effect at different fractions of time.
PMID- 27882448
TI - Sirtuins and Their Roles in Brain Aging and Neurodegenerative Disorders.
AB - Sirtuins (SIRT1-SIRT7) are unique histone deacetylases (HDACs) whose activity
depends on NAD+ levels and thus on the cellular metabolic status. SIRTs regulate
energy metabolism and mitochondrial function. They orchestrate the stress
response and damage repair. Through these functions sirtuins modulate the course
of aging and affect neurodegenerative diseases. SIRTSs interact with multiple
signaling proteins, transcription factors (TFs) and poly(ADP-ribose) polymerases
(PARPs) another class of NAD+-dependent post-translational protein modifiers. The
cross-talk between SIRTs TFs and PARPs is a highly promising research target in a
number of brain pathologies. This review describes updated results on sirtuins in
brain aging/neurodegeneration. It focuses on SIRT1 but also on the roles of
mitochondrial SIRTs (SIRT3, 4, 5) and on SIRT6 and SIRT2 localized in the nucleus
and in cytosol, respectively. The involvement of SIRTs in regulation of insulin
like growth factor signaling in the brain during aging and in Alzheimer's disease
was also focused. Moreover, we analyze the mechanism(s) and potential
significance of interactions between SIRTs and several TFs in the regulation of
cell survival and death. A critical view is given on the application of SIRT
activators/modulators in therapy of neurodegenerative diseases.
PMID- 27882453
TI - [Implementation of a proactive integrated primary care program for frail older
people: from science to evidence-based practice].
AB - BACKGROUND: Multimorbidity, functional impairment and frailty among community
dwelling older people are causing increasing complexity in primary care. A
proactive integrated primary care approach is therefore essential. Between
October 2014-2015, an evidence-based proactive care program for frail older
people was implemented in the region Noord-West Veluwe en Zeewolde, the
Netherlands. This study evaluated the feasibility of the implementation, having a
strong focus on the collaboration between the medical and social domain. METHODS:
Using a mixed-methods design we evaluated several process indicators. Data were
obtained from electronic routine medical record data within primary care,
questionnaires, and interviews with older adults. The questionnaires provided
information regarding the expectations and experiences towards the program and
were sent to health care professionals at baseline and six months follow-up.
Stakeholders from various domains were asked to fill in the questionnaire at
baseline and twelve months follow-up. Interviews were conducted to explore the
experiences of older adults with the program. Regional work groups were set up in
each municipality to enhance the interdisciplinary and domain transcending
collaboration. RESULTS: The proactive primary care program was implemented in 42
general practices who provided care to 7904 older adults aged 75 years or older.
A total of 101 health care professionals and 44 stakeholders filled in the
questionnaires. The need for better structure and interdisciplinary cooperation
seemed widespread among the participants. The implementation resulted in a
positive significant change in the demand for a better regional healthcare
framework (34% p <= .001) among health care professionals, and the needs for
transparency regarding the possibilities for referral improved (27% , p = .009).
Half of the participants reported that the regional collaboration has been
improved after the implementation. Health care professionals and stakeholders
gained increased attention and awareness of frail elderly in their area compared
to before the implementation. Older people and their caregivers were positive
about the proactive approach. The nurses reported that the screenings
questionnaire was too lengthy and therefore time consuming. CONCLUSIONS: The
implementation of the proactive primary care approach in daily practice was
feasible. A strong interdisciplinary collaboration was realized. The program was
easily adapted to the local context.
PMID- 27882455
TI - Memory consolidation effects on memory stabilization and item integration in
older adults.
AB - This study examined the differential effects of aging on consolidation processes
that strengthen newly acquired memory traces in veridical form (memory
stabilization) versus consolidation processes that are responsible for
integrating these memory traces into an existing body of knowledge (item
integration). Older adults learned 13 nonwords and were tested on their memory
for the nonwords, and on whether these nonwords impacted upon processing of
similar-sounding English words immediately and 24 hours later. Participants
accurately recognized the nonwords immediately, but showed significant decreases
in delayed recognition and recall. In comparison, the nonwords impacted upon
processing of similar-sounding words only in the delayed test. Together, these
findings suggest that memory consolidation processes may be more evident in item
integration than memory stabilization processes for new declarative memories in
older adults.
PMID- 27882456
TI - Action potential influences spatial perception: Evidence for genuine top-down
effects on perception.
AB - The action-specific account of spatial perception asserts that a perceiver's
ability to perform an action, such as hitting a softball or walking up a hill,
impacts the visual perception of the target object. Although much evidence is
consistent with this claim, the evidence has been challenged as to whether
perception is truly impacted, as opposed to the responses themselves. These
challenges have recently been organized as six pitfalls that provide a framework
with which to evaluate the empirical evidence. Four case studies of action
specific effects are offered as evidence that meets the framework's high bar, and
thus that demonstrates genuine perceptual effects. That action influences spatial
perception is evidence that perceptual and action-related processes are
intricately and bidirectionally linked.
PMID- 27882459
TI - Analysis of Proliferation of Melanoma Cells and Mesenchymal Stem Cells in Co
Culture and Contribution of Experimental Conditions into Interpretation of the
Results.
AB - A series of experiments on co-culturing of Mel IL melanoma cells and mesenhymal
stem cells showed that these cells do not influence proliferation of each other,
but we observed weaker adhesion of stromal stem cells to plastic in cocultures
where with melanoma cells were grown on mesenhymal stem cells feeder. Cell
proliferation was also considerably influenced by experimental conditions, which
should be taken into account for correct interpretation of obtained results. The
principles of experiments on co-culturing of cancer and stromal cells are
formulated that take into account the most important factors influencing cell
behavior and minimize the probability of artifact results. It was concluded that
co-culturing conditions cells significantly affect the experimental results and
can be the source of conflicting conclusions on mutual influence of stromal and
cancer cells in vitro.
PMID- 27882458
TI - Personal Identity Development in Hispanic Immigrant Adolescents: Links with
Positive Psychosocial Functioning, Depressive Symptoms, and Externalizing
Problems.
AB - The present study was designed to examine trajectories of personal identity
coherence and confusion among Hispanic recent-immigrant adolescents, as well as
the effects of these trajectories on psychosocial and risk-taking outcomes.
Personal identity is extremely important in anchoring young immigrants during a
time of acute cultural change. A sample of 302 recently immigrated (5 years or
less in the United States at baseline) Hispanic adolescents (Mage = 14.51 years
at baseline; SD = 0.88 years, range 14-17) from Miami and Los Angeles (47 %
girls) completed measures of personal identity coherence and confusion at the
first five waves of a six-wave longitudinal study; and reported on positive
psychosocial functioning, depressive symptoms, and externalizing problems at
baseline and at Time 6. Results indicated that identity coherence increased
linearly across time, but that there were no significant changes in confusion
over time and no individual differences in confusion trajectories. Higher
baseline levels of, and improvements in, coherence predicted higher levels of
self-esteem, optimism, and prosocial behavior at the final study timepoint.
Higher baseline levels of confusion predicted lower self-esteem, greater
depressive symptoms, more aggressive behavior, and more rule breaking at the
final study timepoint. These results are discussed in terms of the importance of
personal identity for Hispanic immigrant adolescents, and in terms of
implications for intervention.
PMID- 27882460
TI - Development of a Specific Substrate-Inhibitor Panel (Liver-on-a-Chip) for
Evaluation of Cytochrome P450 Activity.
AB - We developed a cytochrome P450 substrate-inhibitor panel for preclinical in vitro
evaluation of drugs in a 3D histotypical microfluidic cell model of human liver
(liver-on-a-chip technology). The concentrations of substrates and inhibitors
were optimized to ensure reliable detection of the principal metabolites by HPLC
mass-spectroscopy. The selected specific substrate-inhibitor pairs, namely
bupropion/2-phenyl-2-(1-piperidinyl)propane) for evaluation of CYP2B6B activity,
tolbutamide/sulfaphenazole for CYP2C9, omeprazole/(+)-N-benzylnirvanol for
CYP2C19, and testosterone/ketoconazole for CYP3A4, enable reliable evaluation of
the drug metabolism pathway. In contrast to animal models characterized by
species-specific expression profile and activity of cytochrome P450 isoforms, our
in vitro model reflects the metabolism of human hepatocytes in vivo.
PMID- 27882461
TI - Migration and Proliferative Activity of Mesenchymal Stem Cells in 3D Polylactide
Scaffolds Depends on Cell Seeding Technique and Collagen Modification.
AB - We analyzed viability of mesenchymal stem cells seeded by static and dynamic
methods to highly porous fibrous 3D poly-L-lactide scaffolds with similar
physical and chemical properties, but different spatial organization modified
with collagen. Standard collagen coating promoted protein adsorption on the
scaffold surface and improved adhesive properties of 100 MU-thick scaffolds.
Modification of 600-MU scaffolds with collagen under pressure increased
proliferative activity of mesenchymal stem cells seeded under static and dynamic
(delivery of 100,000 cells in 10 ml medium in a perfusion system at a rate of 1
ml/min) conditions by 47 and 648%, respectively (measured after 120-h culturing
by MTT test). Dynamic conditions provide more uniform distribution of collagen on
scaffold fibers and promote cell penetration into 3D poly-L-lactide scaffolds
with thickness >600 MU.
PMID- 27882457
TI - Cytomegalovirus Vaccines: Current Status and Future Prospects.
AB - Congenital human cytomegalovirus (HCMV) infection can result in severe and
permanent neurological injury in newborns, and vaccine development is accordingly
a major public health priority. HCMV can also cause disease in solid organ
transplant (SOT) and hematopoietic stem-cell transplant (HSCT) recipients, and a
vaccine would be valuable in prevention of viremia and end-organ disease in these
populations. Currently there is no licensed HCMV vaccine, but progress toward
this goal has been made in recent clinical trials. A recombinant HCMV
glycoprotein B (gB) vaccine has been shown to have some efficacy in prevention of
infection in young women and adolescents, and has provided benefit to HCMV
seronegative SOT recipients. Similarly, DNA vaccines based on gB and the
immunodominant T-cell target, pp65 (ppUL83), have been shown to reduce viremia in
HSCT patients. This review provides an overview of HCMV vaccine candidates in
various stages of development, as well as an update on the current status of
ongoing clinical trials. Protective correlates of vaccine-induced immunity may be
different for pregnant woman and transplant patients. As more knowledge emerges
about correlates of protection, the ultimate licensure of HCMV vaccines may
reflect the uniqueness of the target populations being immunized.
PMID- 27882462
TI - Proliferative Potential of Cardiomyocytes in Hypertrophic Cardiomyopathy:
Correlation with Myocardial Remodeling.
AB - Proliferating Ki-67+ cardiomyocytes were detected in the interventricular septum
myocardium of adult patients with hypertrophic cardiomyopathy. In the same
patients, the severity of hypertrophy and the degree of cardiomyocyte
differentiation were assessed by the content of myofibrils, ultrastructural
morphology, and the pattern of connexin 43-containing gap junction distribution.
Adult Ki-67+ cardiomyocytes containing sarcomeric alpha-actin (sarc alpha-act+)
in the sarcoplasm (diameter 23.9+/-6.9 MU) were detected in the myocardium of
patients with hypertrophic cardiomyopathy; their relative content varied from 2
to 3084 cells per 1 million cardiomyocytes. Small early differentiating Ki
67+/sarc alpha-act+ cardiomyocytes with a thin cytoplasm layer (diameter 5.9+/
1.7 MU) constituted from 3 to 2262 cells per 1 million cardiomyocytes. These
cells were found in the myocardium with the most pronounced structural changes:
hypertrophy of cardiomyocytes with signs of their partial dedifferentiation.
PMID- 27882463
TI - The Use of Technetium-99m for Intravital Tracing of Transplanted Multipotent
Stromal Cells.
AB - We studied the possibility of in vivo tracing of multipotent mesenchymal stromal
cells labeled with a radiophermaceutic preparation based on metastable isotope
Technetium-99m and injected to rats with modeled traumatic brain injury.
Accumulation of labeled cells occurred primarily in the liver and lungs. The
cells distribution in internal organs greatly varied depending on the
administration route. Cell injection into the carotid artery led to their
significant accumulation in the damaged brain hemisphere, while intravenous
injection was followed by diffuse cell distribution in all brain structures.
Scintigraphy data were confirmed by magnetic resonance imaging and histological
staining of cells. Visualization of stem cells labeled with Technetium-99m-based
preparation by scintigraphy is an objective and highly informative method
allowing real-time in vivo cell tracing in the body.
PMID- 27882464
TI - Analysis of Reparative Activity of Platelet Lysate: Effect on Cell Monolayer
Recovery In Vitro and Skin Wound Healing In Vivo.
AB - Platelet lysate prepared from donor platelet concentrate and pooled according to
a developed technique stimulates migration of multipotent mesenchymal stromal
cells of the human adipose tissue and promotes healing of the monolayer defect in
cultures of human fibroblasts and multipotent mesenchymal stromal cells in vitro
in concentrations close those of fetal calf serum (5-10%). Lysate of platelets
from platelet-rich rat blood plasma stimulated healing of the skin defect by
promoting epithelialization and granulation tissue formation. The regenerative
properties of platelet lysate in vivo increased with increasing its
concentration.
PMID- 27882465
TI - Colonization by Staphylococcus aureus of Nano-Structured Fluorinated Surfaces,
Formed by Different Methods of Ion-Plasma Technology.
AB - Colonization of fluorinated surfaces produced by ion-plasma technology by
Staphylococcus aureus was studied by scanning electron microscopy and surface
energy analysis. It was shown that the intensity of colonization was determined
by the surface relief and fluorine content. Formation of nanostructured surfaces
accompanied by a sharp decrease in the surface energy prevented adhesion of
Staphylococcus aureus cells to the fluorine-containing surface.
PMID- 27882466
TI - Frequency of 3' VNTR Polymorphism in the Dopamine Transporter Gene SLC6A3 in
Humans Predisposed to Antisocial Behavior.
AB - Predisposition to antisocial behavior can be related to the presence of certain
polymorphic variants of genes encoding dopaminergic system proteins. We studied
the frequencies of allele variants and genotypes of variable number tandem repeat
polymorphism in 3' untranslated region (3' VTNR) of the dopaminergic transporter
SLC6A3 gene in Caucasian men committed socially dangerous violent and non-violent
crimes. Alleles with 9 and 10 repeats were most frequent in both the control
group and group of men predisposed to antisocial behavior. At the same time, the
10/10 genotype was more frequently observed in the group of men prone to
antisocial non-violent behavior. Hence, the presence of certain variants of 3'
VTNR polymorphism of SLC6A3 gene in men is associated with predisposition to
certain forms of antisocial behavior.
PMID- 27882467
TI - Are there keystone mycorrhizal fungi associated to tropical epiphytic orchids?
AB - In epiphytic orchids, distinctive groups of fungi are involved in the symbiotic
association. However, little is known about the factors that determine the
mycorrhizal community structure. Here, we analyzed the orchid mycorrhizal fungi
communities associated with three sympatric Cymbidieae epiphytic tropical orchids
(Cyrtochilum flexuosum, Cyrtochilum myanthum, and Maxillaria calantha) at two
sites located within the mountain rainforest of southern Ecuador. To characterize
these communities at each orchid population, the ITS2 region was analyzed by
Illumina MiSeq technology. Fifty-five mycorrhizal fungi operational taxonomic
units (OTUs) putatively attributed to members of Serendipitaceae,
Ceratobasidiaceae and Tulasnellaceae were identified. Significant differences in
mycorrhizal communities were detected between the three sympatric orchid species
as well as among sites/populations. Interestingly, some mycorrhizal OTUs
overlapped among orchid populations. Our results suggested that populations of
studied epiphytic orchids have site-adjusted mycorrhizal communities structured
around keystone fungal species. Interaction with multiple mycorrhizal fungi could
favor orchid site occurrence and co-existence among several orchid species.
PMID- 27882468
TI - Discrete element modelling of sediment falling in water.
AB - The Discrete Element Method (DEM) is a discrete, particle-based method commonly
used in studies involving granular media, e.g. sediment transport, and
geomechanics. It is heavily dependent on particle properties, and one important
component is the force model, which relates the relative positions and velocities
of the simulated particles to the forces they experience. In this paper we model
a collection of lightly compacted granular material, released at a short distance
above a flat base in a quiescent fluid --similar to the process whereby sediment
tailings are released back into the sea during nodule harvesting. We employ
different typical force models, and consider how their varying components affect
the simulated outcome. The results are compared with a physical experiment of
similar dimensions. We find that a realistic simulation is achieved when the
force model considers the local solid fraction in the drag force, and
incorporates the hydrodynamic effect of neighbouring particles. The added mass
effect increases the accuracy of the outcome, but does not contribute
significantly in a qualitative sense.
PMID- 27882469
TI - Influence of the SSO/ASTRO Margin Reexcision Guidelines on Costs Associated with
Breast-Conserving Surgery.
AB - BACKGROUND: The reported reexcision rates vary significantly for patients with
invasive breast cancer undergoing breast-conserving surgery (BCS). This
variability is a function of both the positive pathologic margin rate and the
interpretation of an adequate pathologic margin. The influence of the SSO/ASTRO
margin guidelines on reexcision rates and the potential cost savings is of
interest from both quality and health economics perspectives. METHODS: A
retrospective analysis of all patients undergoing BCS during a 3-year period
(January 1, 2010-December 31, 2012) was performed. The reexcision rate and the
pathologic margin status were assessed to determine the number of patients with
pathologic negative margins who underwent reexcision. A decision analysis using
previously published case costing information was used to determine the potential
savings associated with avoidance of reoperation for patients meeting guidelines
criteria. RESULTS: The analysis included 512 patients who underwent attempted BCS
for invasive breast cancer. Reoperations occurred for 25% (126/512) of the BCS
cohort, but this rate could potentially be decreased to 16% (44/512) if these
guidelines were applied. Based on our cost model, application of the guidelines
would provide a potential cost savings of $698 (95% confidence interval $523
$893) per patient undergoing attempted BCS in our population. CONCLUSIONS:
Adherence to the SSO-ASTRO guidelines could prevent one-third of reoperations
among patients undergoing BCS. This would result in significant cost savings to
the health care system while avoiding unnecessary operations. Use of guidelines
has the potential to improve the quality of care provided to patients.
PMID- 27882470
TI - Comparison of Detrusor Muscle Sampling Rate in Monopolar and Bipolar
Transurethral Resection of Bladder Tumor: A Randomized Trial.
AB - PURPOSE: Our aim was to investigate the detrusor muscle sampling rate after
monopolar versus bipolar transurethral resection of bladder tumor (TURBT).
METHODS: This was a single-center, prospective, randomized, phase III trial on
monopolar versus bipolar TURBT. Baseline patient characteristics, disease
characteristics and perioperative outcomes were compared, with the primary
outcome being the detrusor muscle sampling rate in the TURBT specimen.
Multivariate logistic regression analyses on detrusor muscle sampling were
performed. RESULTS: From May 2012 to December 2015, a total of 160 patients with
similar baseline characteristics were randomized to receive monopolar or bipolar
TURBT. Fewer patients in the bipolar TURBT group required postoperative
irrigation than patients in the monopolar TURBT group (18.7 vs. 43%; p = 0.001).
In the whole cohort, no significant difference in the detrusor muscle sampling
rates was observed between the bipolar and monopolar TURBT groups (77.3 vs.
63.3%; p = 0.057). In patients with urothelial carcinoma, bipolar TURBT achieved
a higher detrusor muscle sampling rate than monopolar TURBT (84.6 vs. 67.7%; p =
0.025). On multivariate analyses, bipolar TURBT (odds ratio [OR] 2.23, 95%
confidence interval [CI] 1.03-4.81; p = 0.042) and larger tumor size (OR 1.04,
95% CI 1.01-1.08; p = 0.022) were significantly associated with detrusor muscle
sampling in the whole cohort. In addition, bipolar TURBT (OR 2.88, 95% CI 1.10
7.53; p = 0.031), larger tumor size (OR 1.05, 95% CI 1.01-1.10; p = 0.035), and
female sex (OR 3.25, 95% CI 1.10-9.59; p = 0.033) were significantly associated
with detrusor muscle sampling in patients with urothelial carcinoma. CONCLUSIONS:
There was a trend towards a superior detrusor muscle sampling rate after bipolar
TURBT. Further studies are needed to determine its implications on disease
recurrence and progression.
PMID- 27882472
TI - The Role of Venous and Arterial Resection in Pancreatic Cancer Surgery.
AB - Pancreatic cancer continues to carry a dismal prognosis with the majority of
patients presenting at advanced stages of disease. Complete surgical resection
remains essential for prolonging survival and increasing the possibility of cure.
However, few patients will be resectable at diagnosis, with a significant portion
presenting with borderline or locally advanced disease. The addition of vascular
resection and reconstruction at the time of pancreatectomy enables expansion of
the patient population able to undergo resection with curative intent and achieve
tumor-free margins. This review provides an overview of the literature regarding
the role of venous and arterial resection in the treatment of pancreatic cancer,
with a focus on outcomes including survival, morbidity, and mortality.
PMID- 27882471
TI - Fra-1 Regulates the Expression of HMGA1, Which is Associated with a Poor
Prognosis in Human Esophageal Squamous Cell Carcinoma.
AB - BACKGROUND: The expression of Fos-related antigen 1 (Fra-1) affects tumor
progression, migration, and invasion. In this study, we identified the genes
regulated by Fra-1 in esophageal squamous cell carcinoma (ESCC). METHODS: We
constructed Fra-1 knockdown models via the transfection of small interfering RNA
(siRNA) into ESCC cell lines (TE10, TE11). The expression levels of the genes in
the knockdown models were analyzed using a microarray and a Biobase Upstream
Analysis, while the expression levels of the candidate genes in the primary
tumors of surgical specimens obtained from ESCC patients were determined using
real-time polymerase chain reaction (PCR) and immunohistochemical staining. The
clinicopathological features were then analyzed. RESULTS: The Biobase Upstream
Analysis showed the high-mobility-group protein-1 (HMGA1) to be a significant
gene regulated by Fra-1. Actual binding of Fra-1 to the promotor region of HMGA1
was revealed in subsequent chromatin immunoprecipitation PCR experiments.
Patients with a positive HMGA1 expression had a poor prognosis, and a
multivariate analysis demonstrated a positive HMGA1 expression to be a
significant independent prognostic factor. CONCLUSION: HMGA1 is regulated by Fra
1 in ESCC, and the HMGA1 expression is significantly associated with a poor
prognosis in ESCC patients. Downregulation of the HMGA1 expression may become a
practical treatment strategy against ESCC in the future.
PMID- 27882474
TI - Effects of CCK-8 and Cystathionine gamma-Lyase/Hydrogen Sulfide System on Acute
Lung Injury in Rats.
AB - Acute lung injury (ALI) is mainly characterized by diffusive injuries to lung
epithelium and increased permeability of alveolar-capillary membranes caused by
various factors, which leads to pulmonary edema and pulmonary closure.
Lipopolysaccharide (LPS), which is the main component of the cell wall of gram
negative bacteria, is one of the most important factors causing pulmonary
infection and ALI. More and more reports have indicated that hydrogen sulfide
(H2S) is closely correlated with ALI and has anti-inflammation function, while
the specific mechanism needs further investigation. Cholecystokinin-octapeptide
(CCK-8), which is an important endogenous functional fragment belonging to CCK
family, participates in anti-inflammatory and anti-endotoxic shock (ES). Whether
CCK-8 plays important roles in curing ALI also needs further investigation.
Herein, we concluded that CCK-8 alleviated the ALI induced by LPS via regulating
the catalytic activity of cystathionine gamma-lyase (CSE) and the formation of
H2S. This work provides new medicine-designed target for clinical doctor to
prevent and cure ALI.
PMID- 27882476
TI - A German Sexological Perspective on Male Chronophilias.
PMID- 27882473
TI - Foxp3, Regulatory T Cell, and Autoimmune Diseases.
AB - Regulatory T cells (Tregs) represent a cell type that promotes immune tolerance
to autologous components and maintains immune system homeostasis. The abnormal
function of Tregs is relevant to the pathogenesis of systemic lupus erythematosus
(SLE), rheumatoid arthritis (RA), and other autoimmune diseases. Therefore,
therapeutic modulation of Tregs could be a potent means of treating autoimmune
diseases. Human Tregs are diverse, however, and not all of them have
immunosuppressive effects. Forkhead box P3 (Foxp3), a pivotal transcription
factor of Tregs that is crucial in maintaining Treg immunosuppressive function,
can be expressed heterogeneously or unstably across Treg subpopulations. Insights
into modulating Treg differentiation on the level of DNA transcription or protein
modification may improve the success of Treg modifying immunotherapies. In this
review, we will summarize three main prospects: the regulatory mechanism of
Foxp3, the influence on Foxp3 and Tregs in autoimmune diseases, then finally, how
Tregs can be used to treat autoimmune diseases.
PMID- 27882477
TI - Sexual Fantasy and Masturbation Among Asexual Individuals: An In-Depth
Exploration.
AB - Human asexuality is generally defined as a lack of sexual attraction. We used
online questionnaires to investigate reasons for masturbation, and explored and
compared the contents of sexual fantasies of asexual individuals (identified
using the Asexual Identification Scale) with those of sexual individuals. A total
of 351 asexual participants (292 women, 59 men) and 388 sexual participants (221
women, 167 men) participated. Asexual women were significantly less likely to
masturbate than sexual women, sexual men, and asexual men. Asexual women were
less likely to report masturbating for sexual pleasure or fun than their sexual
counterparts, and asexual men were less likely to report masturbating for sexual
pleasure than sexual men. Both asexual women and men were significantly more
likely than sexual women and men to report that they had never had a sexual
fantasy. Of those who have had a sexual fantasy, asexual women and men were
significantly more likely to endorse the response "my fantasies do not involve
other people" compared to sexual participants, and consistently scored each
sexual fantasy on a questionnaire as being less sexually exciting than did sexual
participants. When using an open-ended format, asexual participants were more
likely to report having fantasies about sexual activities that did not involve
themselves, and were less likely to fantasize about topics such as group sex,
public sex, and having an affair. Interestingly, there was a large amount of
overlap between sexual fantasies of asexual and sexual participants. Notably,
both asexual and sexual participants (both men and women) were equally likely to
fantasize about topics such as fetishes and BDSM.
PMID- 27882475
TI - The Spatio-Temporal Expression Profiles of CD4 + T Cell Differentiation and
Function-Related Genes During EAE Pathogenesis.
AB - Multiple sclerosis is a CD4+ T cell-mediated autoimmune disease of the central
nervous system. The unbalance of the cytokines and transcription factors critical
for CD4+ T cell differentiation and function is probably the main reason that
causes MS. We detected the mRNA expression changes of key cytokines and
transcription factors which are critical for Th1, Th2, Th17, and Treg cell
differentiation and function in different tissues during EAE pathogenesis. We
fund that each gene not only has its own featured expression changes, but also
has interaction with one another, which composes a network of immunity.
Understanding the roles of key cytokines and transcription factors in these
processes will help to understand disease pathogenesis and supply indications for
disease therapy.
PMID- 27882479
TI - Albendazole Microcrystal Formulations Based on Chitosan and Cellulose
Derivatives: Physicochemical Characterization and In Vitro Parasiticidal Activity
in Trichinella spiralis Adult Worms.
AB - The oral route has notable advantages to administering dosage forms. One of the
most important questions to solve is the poor solubility of most drugs which
produces low bioavailability and delivery problems, a major challenge for the
pharmaceutical industry. Albendazole is a benzimidazole carbamate extensively
used in oral chemotherapy against intestinal parasites, due to its extended
spectrum activity and low cost. Nevertheless, the main disadvantage is the poor
bioavailability due to its very low solubility in water. The main objective of
this study was to prepare microcrystal formulations by the bottom-up technology
to increase albendazole dissolution rate, in order to enhance its antiparasitic
activity. Thus, 20 novel microstructures based on chitosan, cellulose
derivatives, and poloxamer as a surfactant were produced and characterized by
their physicochemical properties and in vitro biological activity. To determine
the significance of type and concentration of polymer, and presence or absence of
surfactant in the crystals, the variables area under the curve, albendazole
microcrystal solubility, and drug released (%) at 30 min were analyzed with a
three-way ANOVA. This analysis indicated that the microcrystals made with
hydroxyethylcellulose or chitosan appear to be the best options to optimize oral
absorption of the active pharmaceutical ingredient. The in vitro evaluation of
anthelmintic activity on adult forms of Trichinella spiralis identified system
S10A as the most effective, of choice for testing therapeutic efficacy in vivo.
PMID- 27882478
TI - Unexpected hosts: imaging parasitic diseases.
AB - Radiologists seldom encounter parasitic diseases in their daily practice in most
of Europe, although the incidence of these diseases is increasing due to
migration and tourism from/to endemic areas. Moreover, some parasitic diseases
are still endemic in certain European regions, and immunocompromised individuals
also pose a higher risk of developing these conditions. This article reviews and
summarises the imaging findings of some of the most important and frequent human
parasitic diseases, including information about the parasite's life cycle,
pathophysiology, clinical findings, diagnosis, and treatment. We include malaria,
amoebiasis, toxoplasmosis, trypanosomiasis, leishmaniasis, echinococcosis,
cysticercosis, clonorchiasis, schistosomiasis, fascioliasis, ascariasis,
anisakiasis, dracunculiasis, and strongyloidiasis. The aim of this review is to
help radiologists when dealing with these diseases or in cases where they are
suspected. Teaching Points * Incidence of parasitic diseases is increasing due to
migratory movements and travelling. * Some parasitic diseases are still endemic
in certain regions in Europe. * Parasitic diseases can have complex life cycles
often involving different hosts. * Prompt diagnosis and treatment is essential
for patient management in parasitic diseases. * Radiologists should be able to
recognise and suspect the most relevant parasitic diseases.
PMID- 27882481
TI - Assessment criteria for vitamin D deficiency/insufficiency in Japan: proposal by
an expert panel supported by the Research Program of Intractable Diseases,
Ministry of Health, Labour and Welfare, Japan, the Japanese Society for Bone and
Mineral Research and the Japan Endocrine Society [Opinion].
AB - Vitamin D is indispensable for the maintenance of bone and mineral health.
Inadequate vitamin D action increases the risk for various
musculoskeletal/mineral events including fracture, fall, secondary
hyperparathyroidism, diminished response to antiresorptives,
rickets/osteomalacia, and hypocalcemia. Its most common cause in recent years is
vitamin D deficiency/insufficiency, clinically defined by a low serum 25
hydroxyvitamin D [25(OH)D] level. Guidelines for vitamin D
insufficiency/deficiency defined by serum 25(OH)D concentrations have been
published all over the world. In Japan, however, the information on the
associations between serum 25(OH)D and bone and mineral disorders has not been
widely shared among healthcare providers, partly because its measurement had not
been reimbursed with national medical insurance policy until August 2016. We have
set out to collect and analyze Japanese data on the relationship between serum
25(OH)D concentration and bone and mineral events. Integrating these domestic
data and published guidelines worldwide, here, we present the following
assessment criteria for vitamin D sufficiency/insufficiency/deficiency using
serum 25(OH)D level in Japan. (1) Serum 25(OH)D level equal to or above 30 ng/ml
is considered to be vitamin D sufficient. (2) Serum 25(OH)D level less than 30
ng/ml but not less than 20 ng/ml is considered to be vitamin D insufficient. (3)
Serum 25(OH)D level less than 20 ng/ml is considered to be vitamin D deficient.
We believe that these criteria will be clinically helpful in the assessment of
serum 25(OH)D concentrations and further expect that they will form a basis for
the future development of guidelines for the management of vitamin D
deficiency/insufficiency.
PMID- 27882480
TI - Phenotype, biochemical features, genotype and treatment outcome of pyridoxine
dependent epilepsy.
AB - We report treatment outcome of eleven patients with pyridoxine-dependent epilepsy
caused by pathogenic variants in ALDH7A1 (PDE-ALDH7A1). We developed a clinical
severity score to compare phenotype with biochemical features, genotype and
delays in the initiation of pyridoxine. Clinical severity score included 1)
global developmental delay/ intellectual disability; 2) age of seizure onset
prior to pyridoxine; 3) current seizures on treatment. Phenotype scored 1-3 =
mild; 4-6 = moderate; and 7-9 = severe. Five patients had mild, four patients had
moderate, and two patients had severe phenotype. Phenotype ranged from mild to
severe in eight patients (no lysine-restricted diet in the infantile period) with
more than 10-fold elevated urine or plasma alpha-AASA levels. Phenotype ranged
from mild to moderate in patients with homozygous truncating variants and from
moderate to severe in patients with homozygous missense variants. There was no
correlation between severity of the phenotype and the degree of alpha-AASA
elevation in urine or genotype. All patients were on pyridoxine, nine patients
were on arginine and five patients were on the lysine-restricted diet. 73% of the
patients became seizure free on pyridoxine. 25% of the patients had a mild
phenotype on pyridoxine monotherapy. Whereas, 100% of the patients, on the lysine
restricted diet initiated within their first 7 months of life, had a mild
phenotype. Early initiation of lysine-restricted diet and/or arginine therapy
likely improved neurodevelopmental outcome in young patients with PDE-ALDH7A1.
PMID- 27882482
TI - Immobilization and Stabilization of Beta-Xylosidases from Penicillium
janczewskii.
AB - beta-Xylosidases are critical for complete degradation of xylan, the second main
constituent of plant cell walls. A minor beta-xylosidase (BXYL II) from
Penicillium janczewskii was purified by ammonium sulfate precipitation (30%
saturation) followed by DEAE-Sephadex chromatography in pH 6.5 and elution with
KCl. The enzyme presented molecular weight (MW) of 301 kDa estimated by size
exclusion chromatography. Optimal activity was observed in pH 3.0 and 70-75
degrees C, with higher stability in pH 3.0-4.5 and half-lives of 11, 5, and 2 min
at 65, 70, and 75 degrees C, respectively. Inhibition was moderate with Pb+2 and
citrate and total with Cu+2, Hg+2, and Co+2. Partially purified BXYL II and BXYL
I (the main beta-xylosidase from this fungus) were individually immobilized and
stabilized in glyoxyl agarose gels. At 65 degrees C, immobilized BXYL I and BXYL
II presented half-lives of 4.9 and 23.1 h, respectively, therefore being 12.3
fold and 33-fold more stable than their unipuntual CNBr derivatives (reference
mimicking soluble enzyme behaviors). During long-term incubation in pH 5.0 at 50
degrees C, BXYL I and BXYL II glyoxyl derivatives preserved 85 and 35% activity
after 25 and 7 days, respectively. Immobilized BXYL I retained 70% activity after
10 reuse cycles of p-nitrophenyl-beta-D-xylopyranoside hydrolysis.
PMID- 27882483
TI - Effect of CO2 Concentration on Growth and Biochemical Composition of Newly
Isolated Indigenous Microalga Scenedesmus bajacalifornicus BBKLP-07.
AB - Photosynthetic mitigation of CO2 through microalgae is gaining great importance
due to its higher photosynthetic ability compared to plants, and the biomass can
be commercially exploited for various applications. CO2 fixation capability of
the newly isolated freshwater microalgae Scenedesmus bajacalifornicus BBKLP-07
was investigated using a 1-l photobioreactor. The cultivation was carried at
varying concentration of CO2 ranging from 5 to 25%, and the temperature and light
intensities were kept constant. A maximum CO2 fixation rate was observed at 15%
CO2 concentration. Characteristic growth parameters such as biomass productivity,
specific growth rate, and maximum biomass yield, and biochemical parameters such
as carbohydrate, protein, lipid, chlorophyll, and carotenoid were determined and
discussed. It was observed that the effect of CO2 concentration on growth and
biochemical composition was quite significant. The maximum biomass productivity
was 0.061 +/- 0.0007 g/l/day, and the rate of CO2 fixation was 0.12 +/- 0.002
g/l/day at 15% CO2 concentration. The carbohydrate and lipid content were maximum
at 25% CO2 with 26.19 and 25.81% dry cell weight whereas protein, chlorophyll,
and carotenoid contents were 32.89% dry cell weight, 25.07 MUg/ml and 6.15 MUg/ml
respectively at 15% CO2 concentration.
PMID- 27882484
TI - Diagnostic challenge of Diamond-Blackfan anemia in mothers and children by whole
exome sequencing.
AB - Diamond-Blackfan anemia (DBA) is a pure red cell aplasia that arises from
defective ribosomal proteins (RPs). Patients with this rare ribosomopathy present
with neonatal anemia and occasional dysmorphism. Clinical heterogeneity and
clusters of causative RP genes hamper the diagnosis and perinatal management. We
report three mother-and-child pairs of anemia who were finally diagnosed by whole
exome sequencing. Each pair showed distinct disease severity and response to
anemia treatment. Only one mother had the diagnostic dysmorphism, including short
stature, webbed neck, and thenar hypoplasia. This mother had a frame-shift
mutation of RPL11 (exon 3, c.58_59del). Her infant showed transient neonatal
anemia, but had no mutations of RP genes. The other mother-child pairs had a
missense mutation of RPS19 (exon 4, c.185G>A), and a splicing error of RPS7 (exon
3, c.76-1G>T), respectively. Other than the reported mutations, there were no
variants in genes significantly associated with anemia. Our results suggested
that whole-exome sequencing (WES) is effective for achieving a prompt and correct
diagnosis of human ribosomopathy.
PMID- 27882485
TI - Pharmacodynamics of T cell function for monitoring pharmacologic
immunosuppression after allogeneic hematopoietic stem cell transplantation.
AB - Information on pharmacodynamic monitoring after allogeneic hematopoietic cell
transplantation (allo-SCT) to evaluate individual responses to immunosuppressive
drugs is scarce. We studied the relationship between a panel of pharmacodynamic
markers monitored during the first 3 months after transplant and the occurrence
of graft-versus-host disease (GVHD). Lymphocyte activation assessed by
intracellular ATP concentration in CD4+ T cells, a high percentage of CD8+
effector T cells, and a low percentage of CD4+ regulatory T (Treg) cells
correlated significantly with GVHD. A cutoff value of 0.5 for the CD8+ effector
T/Treg ratio provided the most accurate diagnosis of GVHD (sensitivity 58.8%,
specificity 91%). These pharmacodynamic markers may provide an efficient
complement to standard pharmacokinetic monitoring of immunosuppressive drugs
after allo-SCT.
PMID- 27882486
TI - VEP analysis methods in children with optic nerve hypoplasia: relationship to
visual acuity and optic disc diameter.
AB - PURPOSE: Assessing vision in young children with optic nerve hypoplasia (ONH) is
challenging due to multi-directional infantile nystagmus, the range of optic
nerve loss, and cognitive delay. This study examined visual evoked potential
(VEP) responses and averaging techniques in children with ONH. The assumption is
that EEG epochs with inconsistent temporal phase would be associated with
nystagmus, signal reduction due to axon loss, and visual inattention. METHODS: A
retrospective chart review was performed on 44 children (average age 2.2 years;
SD 1.9). Optic disc diameter was estimated by ophthalmoscopy. Visual function was
measured under binocular viewing and then compared to the eye with the larger
optic disc to exclude secondary amblyopia. Visual acuity was measured by Teller
cards or by recognition optotypes, and both measures were converted into log
minimum angle of resolution (logMAR). VEPs were recorded to onset/offset of
horizontal gratings and to reversing checkerboards. Signal-to-noise ratios (SNRs)
were estimated from phase consistency across epochs in the Fourier domain. VEPs
were also averaged after (1) correction of epochs for phase shifts across a
limited bandwidth, or (2) selection of only epochs showing phase consistency.
RESULTS: Optic disc diameter, logMAR, VEP amplitudes, and VEP SNR were all
significantly inter-correlated. Optic disc diameter correlated best with VEP SNR
(Spearman rho = 0.82; p < 0.001). Age-corrected logMAR correlated with optic disc
diameter and VEP SNR (Spearman rho = -0.695 and 0.70, respectively; p < 0.001).
VEP latency poorly correlated with optic disc diameter or logMAR. Correction of
phase shifts or selection of epochs based on phase consistency significantly
increased VEP amplitude and SNR for children with optic disc diameters <1000
microns. Correction of phase inconsistency did not improve the correlation of VEP
parameters with optic disc diameter or with logMAR. CONCLUSIONS: In ONH, the size
of the optic nerve is correlated with VEP SNR and logMAR. The results imply a
direct relationship between the reduction in optic nerve axons and generalized
reduction in visual function. Our calculation of VEP SNR provides objective
assessment of optic nerve function that is independent of subjective scoring of
VEP peaks.
PMID- 27882487
TI - Delivery of Small Interfering RNA to Inhibit Vascular Endothelial Growth Factor
in Zebrafish Using Natural Brain Endothelia Cell-Secreted Exosome Nanovesicles
for the Treatment of Brain Cancer.
AB - Although small interfering RNA (siRNA) holds great therapeutic promise, its
delivery to the disease site remains a paramount obstacle. In this study, we
tested whether brain endothelial cell-derived exosomes could deliver siRNA across
the blood-brain barrier (BBB) in zebrafish. Natural exosomes were isolated from
brain endothelial bEND.3 cell culture media and vascular endothelial growth
factor (VEGF) siRNA was loaded in exosomes with the assistance of a transfection
reagent. While fluorescence-activated cell flow cytometry and immunocytochemistry
staining studies indicated that wild-type exosomes significantly increased the
uptake of fluorescence-labeled siRNA in the autologous brain endothelial cells,
decreased fluorescence intensity was observed in the cells treated with the
tetraspanin CD63 antibody-blocked exosome-delivered formulation (p < 0.05). In
the transport study, exosomes also enhanced the permeability of rhodamine 123 in
a co-cultured monolayer of brain endothelial bEND.3 cell and astrocyte.
Inhibition at the expression of VEGF RNA and protein levels was observed in
glioblastoma-astrocytoma U-87 MG cells treated with exosome-delivered siRNAs.
Imaging results showed that exosome delivered more siRNAs across the BBB in
Tg(fli1:GFP) zebrafish. In a xenotransplanted brain tumor model, exosome
delivered VEGF siRNAs decreased the fluorescence intensity of labeled cancer
cells in the brain of zebrafish. Brain endothelial cell-derived exosomes could be
potentially used as a natural carrier for the brain delivery of exogenous siRNA.
PMID- 27882488
TI - Quantification of motion of the thoracic aorta after ascending aortic repair of
type-A dissection.
AB - PURPOSE: To quantify cardiac and respiratory deformations of the thoracic aorta
after ascending aortic graft repair. METHODS: Eight patients were scanned with
cardiac-resolved computed tomography angiography during inspiratory/expiratory
breath-holds. Aortic centerlines and lumen were extracted to compute the
arclength, curvature, angulation, and cross-section shape. RESULTS: From systole
to diastole, the angle of graft [Formula: see text] arch increased by
2.4[Formula: see text] +/- 1.8[Formula: see text] (P < 0.01) and the angle of
arch [Formula: see text] descending aorta decreased by 2.4[Formula: see text] +/-
2.6[Formula: see text] (P < 0.05), while the effective diameter of the proximal
arch decreased by 2.4 +/- 1.9% (P < 0.01), a greater change than those of the
graft or distal arch (P < 0.05). From inspiration to expiration, the angle of
graft [Formula: see text] arch increased by 2.8[Formula: see text] +/-
2.6[Formula: see text] (P < 0.02) with the peak curvature increase (P < 0.05).
Shorter graft length was correlated with greater cardiac-induced graft [Formula:
see text] arch angulation, and longer graft length was correlated with greater
respiratory-induced arch [Formula: see text] descending aorta angulation (R
[Formula: see text] 0.50). CONCLUSION: The thoracic aorta changed curvature and
angulation with cardiac and respiratory influences, driven by aortic root and
arch motion. The thoracic aortic geometry and deformation are correlated with the
ascending aortic graft length.
PMID- 27882490
TI - Defining the Field of Behavioral Medicine: A Collaborative Endeavor.
AB - PURPOSE: To respond to comments on our proposal for an update of the definition
and scope of behavioral medicine. METHODS: We identify common themes in the
comments and provide a response. RESULTS: We discuss the relationship of
behavioral medicine to other disciplines and fields, the scope of behavioral
medicine, and issues related to the application of behavioral medicine.
CONCLUSION: Based on the comments of our esteemed colleagues and our reflection
on those comments, we now offer the following refined definition and scope of
behavioral medicine. 'Behavioral medicine can be defined as the field
characterized by the collaboration among multiple disciplines concerned with the
development and integration of biomedical and behavioral knowledge relevant to
health and disease, and the application of this knowledge to prevention, health
promotion, diagnosis, treatment, rehabilitation, and care. The scope of
behavioral medicine extends from bio-behavioral mechanisms (i.e. the interaction
among biomedical, psychological, social, societal, cultural and environmental
processes related to health and disease), to clinical diagnosis and intervention,
and to public health'. We propose to use this refined definition and scope as the
starting point for seeking further input from the ISBM member societies.
PMID- 27882489
TI - Psychological Distress Mediates the Effect of Alexithymia on 2-Year Change in HIV
Viral Load.
AB - PURPOSE: Individuals with trait alexithymia (AL) display poor cognitive
assimilation of thoughts, feelings, and emotions. This may result in the
persistence of stress, anxiety, and depressive disorders. The cumulative effect
of this psychological distress is also linked clinical markers of human
immunodeficiency virus (HIV) disease progression. This study examines the
indirect effect of AL on HIV viral load as a function of baseline levels and
change in psychological distress. METHODS: N = 123 HIV positive adults aged 37.9
+/- 9.2 years provided blood samples for HIV-1 viral RNA and CD4 T lymphocytes
along with self-reported stress, anxiety, and depression every 6 months for 2
years. A second-order conditional latent growth model was used to represent
baseline and 2-year change in cumulative levels of psychological distress and to
test the indirect effect of baseline levels of trait AL on change in HIV-1 viral
load through this latent measure. RESULTS: AL was associated with baseline and
latent change in psychological distress. Furthermore, baseline psychological
distress predicted 2-year change in HIV-1 viral RNA after controlling for viral
load at baseline. Altogether, trait AL had a significant indirect effect on
change in viral load (beta = 0.16, p = 0.03) as a function of baseline levels of
distress. CONCLUSION: Identification and communication of thoughts, feelings, and
emotions are important for long-term psychological adaptation in HIV. Greater
psychological distress, in turn, allows for persistence of peripheral viral
replication.
PMID- 27882491
TI - Influence of phosphorous fertilization on copper phytoextraction and antioxidant
defenses in castor bean (Ricinus communis L.).
AB - Application of fertilizers to supply appropriate nutrients has become an
essential agricultural strategy for enhancing the efficiency of phytoremediation
in heavy metal contaminated soils. The present study was conducted to investigate
the beneficial effects of three types of phosphate fertilizers (i.e., oxalic acid
activated phosphate rock (APR), Ca(H2PO4)2, and NaH2PO4) in the range of 0-600 mg
P kg-1 soil, on castor bean growth, antioxidants [antioxidative enzymes and
glutathione (GSH)], and Cu uptake. Results showed that with the addition of
phosphorus fertilizers, the dry weight of castor bean and the Cu concentration in
roots increased significantly, resulting in increased Cu extraction. The
phosphorus concentration in both shoots and roots was increased as compared with
the control, and the Ca(H2PO4)2 treatment had the greatest effect. Application of
APR, NaH2PO4, and Ca(H2PO4)2 reduced the malondialdehyde (MDA) content, and the
activity of the two antioxidant enzymes superoxide dismustase (SOD, EC 1.15.1.1)
and catalase (CAT, EC 1.11.1.6) in the leaves of castor bean. GSH concentration
in leaves increased with the increasing levels of phosphorus applied to soil as
well as the accumulation of phosphorus in shoots, compared to the control. These
results demonstrated that the addition of phosphorus fertilizers can enhance the
resistance of castor bean to Cu and increase the Cu extraction efficiency of the
plant from contaminated soils.
PMID- 27882492
TI - Distribution of As, Cd, and Pb in seafood in Southern China and their oral
bioavailability in mice.
AB - The distribution of the toxic elements As, Cd, and Pb in nine different types of
seafood from Shenzhen, China, was investigated by using inductively coupled
plasma mass spectrometry (ICP-MS). The results revealed that the concentrations
of arsenic (As) in fish (Lutjanus erythropterus, Paralichthys olivaceus) and in
bivalve (Meretrix meretrix) and cadmium (Cd) in scallop (Argopecten irradians)
exceed the limits established by food safety regulations in China and EU
(European Union). Furthermore, the bioavailability of As, Cd, and lead (Pb) in
mice after 20-day oral ingestion of Crassostrea rivularis was investigated, and
the total rate of absorption of toxic elements in samples from the liver and
kidney tissues and blood was determined. The results of this in vivo trial
indicated that the oral bioavailability of As, Cd, and Pb was approximately 0.33,
0.45, and 0.74%, respectively.
PMID- 27882493
TI - Environmental status of groundwater affected by chromite ore processing residue
(COPR) dumpsites during pre-monsoon and monsoon seasons.
AB - Chromite ore processing residue (COPR) is generated by the roasting of chromite
ores for the extraction of chromium. Leaching of carcinogenic hexavalent chromium
(Cr(VI)) from COPR dumpsites and contamination of groundwater is a key
environmental risk. The objective of the study was to evaluate Cr(VI)
contamination in groundwater in the vicinity of three COPR disposal sites in
Uttar Pradesh, India, in the pre-monsoon and monsoon seasons. Groundwater samples
(n = 57 pre-monsoon, n = 70 monsoon) were taken in 2014 and analyzed for Cr(VI)
and relevant hydrochemical parameters. The site-specific ranges of Cr(VI)
concentrations in groundwater were <0.005 to 34.8 mg L-1 (Rania), <0.005 to 115
mg L-1 (Chhiwali), and <0.005 to 2.0 mg L-1 (Godhrauli). Maximum levels of Cr(VI)
were found close to the COPR dumpsites and significantly exceeded safe drinking
water limits (0.05 mg L-1). No significant dependence of Cr(VI) concentration on
monsoons was observed.
PMID- 27882495
TI - Occurrence, profiles, and ecological risks of polybrominated diphenyl ethers in
mangrove sediments of Shantou, China.
AB - Surface sediments were collected from three mangrove wetlands (Yifeng Xi,
Shuanghan, and Su'ai Wan) in Shantou coastal zone of South China to investigate
spatial distributions of polybrominated diphenyl ethers (PBDEs). The results
demonstrate that PBDEs were detected in all the samples, indicating their
widespread occurrence in coastal sediments of the studied area. Sigma9PBDEs
(defined as the sum of nine targeted PBDE congeners except BDE-209) and BDE-209
are in the range of 2.3 to 11.5 and 16.7 to 58.2 ng/g, respectively. BDE-209 is
the dominant PBDE congener in all sediment samples. The sediment concentrations
of ?9PBDEs and BDE-209 among the three wetlands decrease in the order of Su'ai
Wan > Shuanghan > Yifeng Xi. The concentrations of ?9PBDEs are higher in mangrove
sediments than in mudflats, but no obvious regularity can be found on the
correlation between mangrove species and PBDE levels in sediments. The contents
of total organic carbon are moderately correlated with BDE-209 concentrations in
sediments but not with ?9PBDE concentrations. The samples collected from
different locations show slightly different composition profiles except BDE-209,
with BDE-100 and BDE-47 being the pre-dominated congeners. The mudflats exhibit
higher abundances of tri- to hexa-substituted congeners than the mangrove
sediments. Ecological risk assessment demonstrates that the surface sediments
from Shantou may pose a potential ecological risk of exposure to sediment
dwelling organisms.
PMID- 27882494
TI - Role of Rhizophagus irregularis in alleviating cadmium toxicity via improving the
growth, micro- and macroelements uptake in Phragmites australis.
AB - Arbuscular mycorrhizal (AM) fungi have been used to alleviate heavy metal stress
on plant growth and uptake of micro- and macroelements. A greenhouse pot
experiment was conducted to verify the effects of AM fungus Rhizophagus
irregularis on the growth, physiological characteristics, total Cd, and element
uptake of Phragmites australis under different Cd stress (in the range of 0-20 mg
L-1). The results showed that the symbiosis could effectively alleviate Cd
toxicity with greater root biomass, higher photosynthesis rate, and lower levels
of malonaldehyde (MDA) and proline than non-mycorrhizal plants could. However,
reduced transpiration rate (Tr) and stomatal conductance (g s) indicated R.
irregularis protected host plants from Cd stress (>=5 mg L-1) via the stomatal
closure. Although micro- and macroelements displayed differently in the presence
of Cd, higher concentrations were still detected in mycorrhizal plants in
contrast to non-mycorrhizal plants. Moreover, step multiple regression
significantly demonstrated Pnmax, stem diameter (Sd), and g s were the important
factors with regard to total Cd uptake in the symbiosis, but Mn affected to non
mycorrhizal plants. These results suggested R. irregularis could alleviate the
competition between Mn and Cd by altering plant physiology. This work clearly
demonstrated that R. irregularis can be able to support P. australis growth
better even though under high Cd stress (>1 mg L-1), suggesting its good
potential for practical use in high Cd-contaminated areas.
PMID- 27882496
TI - Biomarkers in Tubifex tubifex for the metalaxyl and metalaxyl-M toxicity
assessment in artificial sediment.
AB - Efficacy and potential environmental risks should be considered when applying
enantiopure pesticides. In this study, Tubifex tubifex and its oxidative stress
biomarkers were assessed for the toxicity of metalaxyl and metalaxyl-M in
sediment. The toxicity assessment was conducted with artificial sediment and
reconstituted water. Five test concentrations (from 0 to 100 mg/kg) were set for
the short-term (4 days) exposure. The long-term (28 days) exposure was conducted
with the environmental concentration (from 0.5 to 5 mg/kg). For the short-term
exposure, the increase of superoxide dismutase (SOD) activity was observed, and a
clear concentration-response relationship was found in the metalaxyl treatments.
The decrease of catalase (CAT) and glutathione peroxidase (GPx) activity could be
caused by oxidative stress. The decrease of glutathione (GSH) content and the
increase of glutathione-S-transferase (GST) might be due to antioxidation defense
and detoxification mechanisms. The increase of malondialdehyde (MDA) might be due
to the saturation of antioxidant systems and the accumulation and toxicity of
contaminations. In the long-term exposure, the changes of biomarkers in T.
tubifex reflected the oxidative stress and detoxification metabolisms. GSH and
the related enzymes were important in detoxification processes and involved in
the oxidative stress in toxicity mechanism. The long-term direct contact bioassay
is sensitive and appropriate to reflect the lower concentration of contaminants.
PMID- 27882499
TI - MD simulation of high-resolution X-ray structures reveals post-translational
modification dependent conformational changes in HSF-DNA interaction.
PMID- 27882498
TI - Parent Training Programs for Ethnic Minorities: a Meta-analysis of Adaptations
and Effect.
AB - This meta-analysis focuses on parent training programs for ethnic minority
families and reports on (i) the adaptation of program content and (ii) the
process that informs these adaptations. Relevant studies are reviewed to
determine the adaptations made and the impact of the adaptations on parenting and
child outcomes. Studies were eligible for inclusion if they enrolled
predominantly ethnic minority parents with children aged 0-12 years, used a
randomized controlled trial design with post-intervention assessments, focused on
group-based parent training programs and on prevention of parenting problems, and
reported parenting behavior outcomes. A total of 18 studies were included in the
analysis. The results show that parent training programs targeting ethnic
minority parents have a small but significant effect on improving parenting
behavior (k = 18, Cohen's d = 0.30), child outcomes (k = 16, Cohen's d = 0.13),
and parental perspectives (k = 8, Cohen's d = 0.19). Most of the programs made
adaptations related to surface and deep structure sensitivity. Programs with
cultural adaptations, especially deep structure sensitivity (k = 7, Cohen's d =
0.54), are more effective in improving parenting behavior. Because only a third
of the included studies provided details on the processes that guided the
adaptations made, additional studies are needed to provide information on the
process of adaptation; this will enable others to learn from the procedures that
can be undertaken to culturally adapt interventions.
PMID- 27882497
TI - Soluble TLR2 and 4 concentrations in cerebrospinal fluid in HIV/SIV-related
neuropathological conditions.
AB - HIV in the central nervous system (CNS) mainly infects microglial cells which are
known to express toll-like receptors (TLRs). This paper aimed to study the role
of soluble TLR2 (sTLR2), sTLR4, and other inflammatory markers in cerebrospinal
fluid (CSF) in HIV/Simian immunodeficiency virus (SIV)-related neurological
sequelae. We determined sTLR2 and sTLR4 levels in CSF and serum/plasma of SIV
infected rhesus macaques with and without neurological sequelae, as well as in
HIV-infected patients with and without cognitive impairments and Alzheimer's
disease (AD) patients and matched controls. CSF cytokines and chemokines levels
were analyzed in macaques as markers of neuroinflammation, while neopterin and
S100B CSF concentrations were measured in HIV-infected patients as microglial and
astrocyte marker, respectively. We found detectable levels of sTLR2 and sTLR4 in
CSF of macaques and humans. Furthermore, CSF sTLR2 and sTLR4 concentrations were
higher in SIV-infected macaques with neurological sequelae compared to those
without neurological complications (p = 0.0003 and p = 0.0006, respectively). CSF
IL-8 and monocyte chemoattractant protein-1 (MCP-1) levels were elevated in
macaques with neurological sequelae, and a positive correlation was found between
CSF levels of sTLR2/4 and IL-8 and MCP-1. Also in humans, elevated CSF sTLR4
levels were found in HIV-infected patients with cognitive impairments compared to
HIV-infected patients with normal cognition (p = 0.019). Unlike CSF S100B levels,
neopterin correlated positively with sTLR2 and sTLR4. No difference was found in
plasma and CSF sTLR2 and sTLR4 levels between AD patients and control subjects (p
= 0.26). In conclusion, CSF sTLR2 and sTLR4 may play a role in HIV/SIV-related
neuroinflammation and subsequent neuropathology.
PMID- 27882501
TI - A review of a GP registrar-run mobile health clinic for homeless people.
AB - BACKGROUND: Homeless people have excessively high morbidity and mortality rates,
yet they face barriers accessing primary care. A mobile health clinic, staffed by
GP registrars, was developed to provide services to homeless people, particularly
rough sleepers and sex workers. AIM: The aims were to improve access to primary
care and to challenge the stereotypes and prejudices of GP registrars through
direct contact with homeless people. DESIGN AND SETTING: This was a qualitative
study; questionnaires were completed on the mobile health clinic and two focus
groups were conducted. METHODS: All service users were asked to complete a
questionnaire over a 3 month period. Two focus groups were conducted with 6 and
14 GP registrars who had worked on the bus. RESULTS: There was an 80% response
rate (116 of 145). Fifty-two percent had no Medical Card meaning that they had no
way to access the free primary care to which they are entitled. Had the clinic
not been available, over half would not have sought further treatment and 16%
would have gone to an Emergency Department. Ninety-one percent of users rated the
service 10/10. The focus groups found that GP registrars who worked on the mobile
health clinic had decreased negative stereotypes, increased empathy, and more
knowledge of homeless issues. Furthermore, they intended to ensure that homeless
people will not face discrimination in their future practice. CONCLUSION: A GP
Registrar-run Mobile Health Clinic achieved its aims of improving access to
primary care for rough sleepers and sex workers, and challenging stereotypes of
GP Registrars.
PMID- 27882502
TI - Institutional Responsibility and the Flawed Genomic Biomarkers at Duke
University: A Missed Opportunity for Transparency and Accountability.
AB - When there have been substantial failures by institutional leadership in their
oversight responsibility to protect research integrity, the public should demand
that these be recognized and addressed by the institution itself, or the funding
bodies. This commentary discusses a case of research failures in developing
genomic predictors for cancer risk assessment and treatment at a leading
university. In its review of this case, the Office of Research Integrity, an
agency within the US Department of Health and Human Services, focused their
report entirely on one individual faculty member and made no comment on the
institution's responsibility and its failure to provide adequate oversight and
investigation. These actions missed an important opportunity to emphasize the
institution's critical responsibilities in oversight of research integrity and
the importance of institutional transparency and accountability.
PMID- 27882500
TI - Heart rate acceleration runs and deceleration runs in patients with obstructive
sleep apnea syndrome.
AB - PURPOSE: This study aimed to explore the patterns of heart rate acceleration runs
and deceleration runs in patients with obstructive sleep apnea syndrome and to
investigate the influence of apnea events on this pattern. METHODS: The 6-h
electrocardiography results of patients who were referred for overnight
polysomnography were retrospectively analyzed. The frequencies of heart rate
acceleration runs and deceleration runs of different lengths were calculated for
each patient and compared among patients with obstructive sleep apnea syndrome of
varying degrees of severity, between patients with obstructive sleep apnea on and
off continuous positive airway pressure, and between two matched groups of
patients with idiopathic central sleep apnea and obstructive sleep apnea.
RESULTS: A total of 231 patients were enrolled. Patients with severe obstructive
sleep apnea exhibited significantly increased frequencies of long acceleration
and deceleration runs after multivariate adjustment, while no significant
differences were observed in the frequencies of short runs. Thirty-nine
obstructive sleep apnea patients who were treated with continuous positive airway
pressure showed a significant decrease in the frequency of long deceleration runs
and a decreasing trend in the frequency of long acceleration runs. Patients with
idiopathic pure central sleep apnea exhibited no significant changes in the
frequencies of acceleration and deceleration runs after they were placed on
continuous positive airway pressure. CONCLUSIONS: The distributions of heart rate
acceleration and deceleration runs are related to the severity of obstructive
sleep apnea. Long runs are more closely related to obstructive respiratory
events, while short runs do not appear to be related to these events.
PMID- 27882503
TI - Proving that China has a Profession of Engineering: A Case Study in
Operationalizing a Concept Across a Cultural Divide.
AB - This article assumes that a profession is a number of individuals in the same
occupation voluntarily organized to earn a living by openly serving a moral ideal
in a morally-permissible way (a discipline) beyond what law, market, morality,
and public opinion would otherwise require. Our question is whether the concept
of profession (so defined) may have a far wider range than the term, so that, for
example, pointing out that a certain language lacks a word for "profession" in
our sense, is not enough to show that those who speak the language also lack the
concept. We believe the survey of 71 Chinese reported here begins to answer that
question. This article has four parts. The first describes who was interviewed,
how, when, and so on. The second describes some important features of the
survey's questions, explaining how the questions track the concept of profession.
The third part reports and interprets the results relevant to our question. The
forth defends a tentative answer to the question with which we began-arguing the
survey supports the claim that China has a profession of engineering. This
article should serve as a "proof of concept", that is, a model for similar
studies around the world both of engineering and of other occupations thought to
be professions.
PMID- 27882505
TI - Antitumor immunity and advances in cancer immunotherapy.
PMID- 27882504
TI - Media Portrayal of a Landmark Neuroscience Experiment on Free Will.
AB - The concept of free will has been heavily debated in philosophy and the social
sciences. Its alleged importance lies in its association with phenomena
fundamental to our understandings of self, such as autonomy, freedom, self
control, agency, and moral responsibility. Consequently, when neuroscience
research is interpreted as challenging or even invalidating this concept, a
number of heated social and ethical debates surface. We undertook a content
analysis of media coverage of Libet's et al.'s (Brain 106(Pt 3):623-642, 1983)
landmark study, which is frequently interpreted as posing a serious challenge to
the existence of free will. Media descriptions of Libet et al.'s experiment
provided limited details about the original study. Overall, many media articles
reported that Libet et al.'s experiments undermined the existence of free will,
despite acknowledging that several methodological limitations had been identified
in the literature. A propensity to attribute greater credibility than warranted
to neurobiological explanations could be at stake.
PMID- 27882506
TI - Cosmetics Use-Related Adverse Events and Determinants Among Jigjiga Town
Residents, Eastern Ethiopia.
AB - INTRODUCTION: Non-medicated cosmetics use is very common among the Ethiopian
population. However, little is known about these products' related adverse
events. The aim of this study was to assess the prevalence and determinants of
cosmetics-related adverse events among Jigjiga Town residents, Eastern Ethiopia.
METHODS: A community-based cross-sectional study design was conducted in May and
June 2014. Semi-structured interviews were used to collect cosmetics use pattern
and related adverse events. For assessing determinants, logistic regression was
used and statistical significance was set at p < 0.05. RESULTS: Overall, 600
participants were approached with a 93% response rate. Ninety-three percent (n =
521) of them reported the use of cosmetics at least once within 2 weeks prior to
this study, and of these, 229 (44%) used traditional herbal cosmetics along with
modern cosmetics. A total of 342 (61%) reported experiencing adverse events of
which the most common reported were: allergic reactions, 149 (36%); the
appearance of acne, 66 (16%); and hirsutism, 52 (12.5%). The occurrence of
cosmetics-related adverse events were significantly associated with the number of
cosmetics used per day, the frequency of use, mixing of different types of
cosmetics together, and mixing of cosmetics with water or saliva. CONCLUSION: A
higher proportion of cosmetic users reported experiencing at least one adverse
event. The number of cosmetic products and frequency of use were important
predictors for experiencing adverse events. This implies the need to consider
safety concerns related to cosmetic use. Approaches to address such issues may
include awareness creation programs and promoting the concept of cosmetovigilance
among cosmetic sellers, users, and other stakeholders.
PMID- 27882508
TI - Regional early development and eruption of permanent teeth: case report.
AB - BACKGROUND: Early development and eruption of permanent teeth are rarely reported
in scientific literature. Early eruption of permanent teeth has been reported to
occur due to local factors such as trauma or dental abscesses in primary teeth,
and in systemic conditions. Congenital diffuse infiltrating facial lipomatosis
(CDIFL) is a rare condition that belongs to a group of lipomatosis tumours. In
this disorder, the mature adipocytes invade adjacent soft and hard tissues in the
facial region. Accelerated tooth eruption is one of the dental anomalies
associated with CDIFL. CASE REPORT: A 3-year-old boy presented with a swelling of
the lower lip localised early development and eruption of permanent teeth and
dental caries involving many primary teeth. TREATMENT: The planned treatment
included biopsy of the swollen lower lip to confirm the diagnosis, surgical
reduction and reconstruction of lip aesthetics. The management of the carious
primary teeth included preventative and comprehensive dental care and
extractions. These procedures were completed under general anaesthesia due to the
child's young age and poor cooperation. The lip biopsy showed features of CDIFL
such as the presence of infiltrating adipose tissue, prominent number of nerve
bundles and thickened vessels. FOLLOW-UP: The high recurrence rate of CDIFL
mandates long-term monitoring during the facial growth period of the child.
Follow-up care by the paediatric dentist and maxillofacial surgeon has been
required to manage all aspects of this congenital malformation. CONCLUSION: This
rare disorder has many implications affecting child's facial aesthetics,
psychological well being, developing occlusion and risk of dental caries. A multi
disciplinary approach is needed for management of this condition.
PMID- 27882507
TI - Plant phenological observations in rural and industrial central Italy areas.
AB - The physiological stress caused by particular pollution conditions can result in
phenological phase shifts that can include a block in vegetative or reproductive
development. The main aim of the present study was to determine and analyse the
mean development trends of some winter deciduous species in comparison to the
climate tendencies, as calculated within two phenological gardens in the Arezzo
and Perugia areas of central Italy. Moreover, a phenological comparison between
the guide species of the two phenological gardens, one located in an industrial
area, and the other in a farming area, was carried out. The phenological
evidences showed significant phase displacements for the common guide species
present in both the gardens which however may be explained by the meteorological
performances above all in the Perugia not polluted area. In these terms, there
were no evident phenological effects on the plants from the industrial area
pollutants in the Arezzo garden. Moreover, also the temperature reduction
tendencies at the end of spring not influenced significantly the phenological
behaviours of the plant species not inducing them toward a predictable delay of
reproductive and adult leaves phases.
PMID- 27882509
TI - What's Important to the Patient? Informational Needs of Patients Making Decisions
About Hepatitis C Treatment.
AB - BACKGROUND AND OBJECTIVES: Multiple treatment options with direct-acting
antivirals are now available for hepatitis C virus (HCV). Study aims were to
understand (1) the informational topics patients want to have to make informed
treatment decisions; (2) the importance patients place on each topic; and (3) the
topics patients prioritize as most important. METHODS: We used a mixed-methods
study of two samples recruited from an academic liver center. Participants were
not currently on treatment. Sample I (n = 45) free listed all informational
topics deemed important to decision making. Raw responses were coded into several
broad and subcategories. Sample II (n = 38) rated the importance of the
subcategories from Sample I and ranked their highest priorities on two surveys,
one containing topics for which sufficient research existed to inform patients
('static'), and the other containing topics that would require additional
research. RESULTS: The topics listed by Sample I fell into six broad categories
with 17 total subcategories. The most oft-cited informational topics were harms
of treatment (100%), treatment benefits (62%), and treatment regimen details
(84%). Sample II rated 16 of 17 subcategories as "pretty important' or "extremely
important". Sample II prioritized (1) viral cure, (2) long-term survival, and (3)
side effects on the survey of topics requiring additional research, and (1) liver
disease, (2) lifestyle changes, and (3) medication details on the second survey
of the most important static topics patients needed. CONCLUSIONS: Patients
weighed several informational topics to make an informed decision about HCV
treatment. These findings lay the groundwork for future patient-centered outcomes
research in HCV and patient-provider communication to enhance patients' informed
decision making regarding direct-acting antiviral treatment options.
PMID- 27882510
TI - Planned Treatment of Advanced Metastatic Disease with Completion Ablation After
Hepatic Resection.
AB - PURPOSE: The aim of this study is to describe a modified treatment strategy with
image-guided percutaneous ablation after hepatic resection as a completion method
to surgical eradication of liver metastases ("completion ablation [CA]").
METHODS: We conducted a retrospective analyses of patients who underwent CA
within 180 days from the liver surgical resection to eradicate liver metastases
present on the pre-surgical cross-sectional imaging or identified during
intraoperative ultrasound that were not resected due to various reasons. Lesions
treated with CA were evaluated for local tumor progression (LTP). Patients were
evaluated for hepatic- and overall-recurrence-free survivals (hepatic-RFS and
overall-RFS, respectively) and overall survival (OS). RESULTS: Sixteen patients
(10 females; median age 55 years, range 28-69) underwent CA of 21 lesions (median
size 8 mm, range 6 to 22). Indications for the use of CA were small future liver
remnant in 10 (63%), inability to identify the lesion during surgical exploration
in 3 (19%), and technical difficulty of resection in 3 (19%) patients. No liver
related complications were recorded following the surgical resection or the CA
procedures. Primary and secondary CA efficacy rates were 95 and 100%,
respectively. LTP was 0% at a median clinical follow-up of 27 months (range 4.0
108 months). Five-year hepatic-RFS, overall-RFS, and OS were 36, 16, and 51%,
respectively. CONCLUSION: The use of CA as a complement to surgical resection is
safe and effective. Such approach could potentially expand the surgical candidacy
for patients with limited liver functional reserve and reduce postoperative
morbidity and mortality in this selected patient population with more advanced
disease.
PMID- 27882514
TI - A Gay Couple Meets Their Mormon Doctor.
PMID- 27882511
TI - Over 700 Whipples for Pancreaticobiliary Malignancies: Postoperative Morbidity Is
an Additional Negative Prognostic Factor for Distal Bile Duct Cancer.
AB - BACKGROUND: Distal cholangiocarcinomas and pancreatic cancers both arise from
pancreaticobiliary epithelium. Despite their common origin, there is a possible
discrepancy in outcome. We analysed the surgical, pathological and survival
outcome of resected distal cholangiocarcinoma compared with pancreatic cancer.
METHODS: All cases of resected distal cholangiocarcinoma and pancreatic cancer
from 1998 to 2014 were extracted from our database. Outcomes were compared.
RESULTS: There were 54 (7.6%) cases of cholangiocarcinoma and 656 (92.4%)
pancreatic cancer. Cholangiocarcinoma showed lower T and N stage, lymphatic and
perineural invasion (p < 0.05), worse surgical outcome (p < 0.05) and less access
to adjuvant therapy if compared with pancreatic cancer (72.7 vs. 83.1%, p =
0.05). Both showed a similar disease-specific survival (35 vs. 29 months, p =
0.3). Independent predictors of prognosis for pancreatic cancer were resection
margin, grading, perineural invasion, T and N status, whereas for
cholangiocarcinoma were grading and occurrence of POPF. CONCLUSION: Considering a
large cohort of resected periampullary cancers, cholangiocarcinoma is extremely
rare. An earlier diagnosis is associated with better pathological predictors of
outcome but increased postoperative morbidity compared to pancreatic cancer,
particularly POPF. Consequent decrease in the access to adjuvant therapy for
complicated cholangiocarcinoma might explain why survival is as poor as for
pancreatic cancer.
PMID- 27882512
TI - Linear-Stapled Side-to-Side Esophagojejunostomy with Hand-Sewn Closure of the
Common Enterotomy After Prophylactic and Therapeutic Total Gastrectomy.
AB - After total gastrectomy, anastomosis-related complications such as leak or
stricture can be highly morbid. Between July 2005 and December 2015, a linear
stapled side-to-side esophagojejunostomy with hand-sewn closure of the common
enterotomy (modified Orringer technique) was used for Roux-en-Y reconstruction
after prophylactic total gastrectomy in 22 germline CDH1 mutation carriers and
after therapeutic total gastrectomy in 18 patients diagnosed with gastric
adenocarcinoma. All operations were performed by the same surgeon. No patient in
either cohort developed a clinically evident anastomotic leak, one patient (2.5%)
developed a contained radiographic leak that healed without intervention, and one
patient (2.5%) developed an anastomotic stricture treated by endoscopic
dilatation 7 months after operation. These rates were lower than radiographic
leak and stricture rates in a comparison group of 32 patients who received a
completely hand-sewn esophagojejunostomy (6.3 and 3.1%, respectively). Here, we
describe how to perform the linear-stapled esophagojejunostomy anastomosis.
PMID- 27882513
TI - Comparison of clinico-microbiological profile and treatment outcome of in-house
and referred post cataract surgery endophthalmitis in a tertiary care center in
South India.
AB - BACKGROUND: The purpose of the study is to compare the clinico-microbiological
profile and treatment outcome of in-house vs referred cases of post cataract
surgery endophthalmitis in a tertiary eye care facility in South India. METHODS:
The clinical records of 50 culture-positive cases each of in-house (group A) and
referred (group B) post cataract surgery endophthalmitis were analyzed. The
management protocol was similar in both groups. RESULTS: The time to report to
the institute was longer in group B (group B 13.63 [+/-11.67; 95% CI, 9.95-17.31]
days; group A 6.83 [+/-7.61; 95% CI, 4.57-9.09] days; P = 0.002). The average
inflammatory scores in presentation were comparable (group A 17.85 +/- 5.83;
group B 18.18 +/- 7.35; P = 0.243). The final visual outcome was clinically
superior in group A (>=20/200-group A 60.42% and group B 44%, P = 0.11; <=20/400
group A 37.5% and group B 52%, P = 0.62), but statistically not significant.
There were more gram-positive organisms in group A (62% vs 38%; P = 0.027) and
more gram-negative organisms in group B (52% vs 24%; P = 0.007). Gram-positive
bacteria were mostly sensitive to vancomycin (95.24% to 96.67%), but gram
negative bacteria were partly sensitive to ceftazidime (58.33% to 64%).
CONCLUSIONS: One could suspect gram-negative infection more often in the referred
cases of endophthalmitis. While vancomycin could continue to be the antibiotic of
choice in gram-positive bacteria, specific antibiotic following due sensitivity
for gram-negative bacteria should replace the empiric use of ceftazidime.
PMID- 27882515
TI - Collaborative Care for Anxiety: What's Inside the Black Box and How Can It Be
Improved?
PMID- 27882517
TI - Cost Effectiveness of Apixaban versus Warfarin or Aspirin for Stroke Prevention
in Patients with Atrial Fibrillation: A Greek Perspective.
AB - BACKGROUND: Strokes attributed to atrial fibrillation (AF) represent a major
cause of adult disability and a great burden to society and healthcare systems.
OBJECTIVES: Our objective was to assess the cost effectiveness of apixaban, a
direct acting oral anticoagulant (DOAC), versus warfarin or aspirin for patients
with AF in the Greek healthcare setting. METHODS: We used a previously published
Markov model to simulate clinical events for patients with AF treated with
apixaban, the vitamin K antagonist (VKA) warfarin, or aspirin. Clinical events
(ischemic and hemorrhagic stroke, intracranial hemorrhage, other major bleed,
clinically relevant non-major bleed, myocardial infarction, and cardiovascular
[CV] hospitalizations) were modeled using efficacy data from the ARISTOTLE and
AVERROES clinical trials. The cohort's baseline characteristics also sourced from
these trials. Among VKA-suitable patients, 64.7% were men with a mean age of 70
years and average CHADS2 (cardiac failure, hypertension, age, diabetes, stroke2)
score of 2.1, whereas 58.5% of VKA-unsuitable patients were men with a mean age
of 70 years and a CHADS2 score of 2.0. A panel of experts (cardiologists and
internists) provided information on the resource use associated with the
management of AF. Cost calculations reflect the local clinical setting and a
third-party payer perspective (?, discounted at 3%). RESULTS: Based on a
simulation of 1000 VKA-suitable patients over a lifetime horizon, the use of
apixaban versus warfarin resulted in 26 fewer strokes and systemic embolisms in
total, 65 fewer bleeds, 41 fewer myocardial infarctions, and 29 fewer CV-related
deaths, with an incremental cost-effectiveness ratio (ICER) of ?14,478/quality
adjusted life-year (QALY). For VKA-unsuitable patients, apixaban versus aspirin
resulted in 72 fewer strokes and systemic embolisms and 57 fewer CV-related
deaths, with an ICER of ?7104/QALY. Sensitivity analyses indicated that results
were robust. CONCLUSIONS: Based on the present analysis, apixaban represents a
cost-effective treatment option versus warfarin and aspirin for the prevention of
stroke in patients with AF from a Greek healthcare payer perspective over a
lifetime horizon.
PMID- 27882516
TI - Risk of medication overuse headache across classes of treatments for acute
migraine.
AB - BACKGROUND: The most commonly prescribed medications used to treat migraine
acutely are single analgesics, ergots, opioids, and triptans. Due to varying
mechanisms of action across drug classes, there is reason to believe that some
classes may be less likely than others to elicit Medication Overuse Headache
(MOH) than others. We therefore aimed to determine whether certain classes of
acute migraine drugs are more likely to elicit MOH than others. METHODS: A
comprehensive systematic literature was conducted to identify studies of varying
designs that reported on MOH within the considered treatment classes. Only
studies that reported MOH according to the International Classification of
Headache Disorders (ICHD) were considered. Since no causal comparative design
studies were identified; data from prevalence studies and surveys were retrieved.
Prevalence-based relative risks between treatment classes were calculated by
integrating both medication overuse and medication use from published studies.
For each pair wise comparison, pooled relative risks were calculated as the
inverse variance weighted average. RESULTS: A total of 29 studies informed the
relative risk between treatment classes, all of which reported country-specific
data. Five studies reported country-specific medication use data. For triptans
versus analgesics the study relative risks generally favored triptans. The pooled
relative risk was 0.65 (i.e., relative risk reduction of 35 %). For ergots versus
analgesics, a similar trend was observed in favor of ergots with a relative risk
of 0.41. For triptans versus ergots, the direction of effect was mixed, and the
pooled relative risk was 1.07. Both triptans and ergots appeared favorable when
compared to opioids, with pooled relative risks of 0.35 and 0.76, respectively.
However, the evidence was limited for these comparisons. Analgesics and opioids
also appeared to yield similar risk of MOH (pooled relative risk 1.09).
CONCLUSION: Our study suggests that in patients receiving acute migraine
treatment, analgesics and opioids are associated with a higher risk of developing
MOH compared with other treatments. These findings provide incentive for better
monitoring of use of analgesics and opioids for treating acute migraine, and
suggest possible clinical preference for use of so-called "migraine-specific"
treatments, that is, triptans and ergots.
PMID- 27882518
TI - Residents' and Fellows' Knowledge and Attitudes About Eating Disorders at an
Academic Medical Center.
AB - OBJECTIVE: This study examined physician residents' and fellows' knowledge of
eating disorders and their attitudes toward patients with eating disorders.
METHODS: Eighty physicians across disciplines completed a survey. The response
rate for this survey across disciplines was 64.5 %. RESULTS: Participants
demonstrated limited knowledge of eating disorders and reported minimal comfort
levels treating patients with eating disorders. Psychiatry discipline (p =
0.002), eating disorder experience (p = 0.010), and having >=4 eating disorder
continuing medical education credits (p = 0.037) predicted better knowledge of
anorexia nervosa but not bulimia nervosa. Psychiatry residents (p = 0.041), and
those who had treated at least one eating disorder patient (p = 0.006), reported
significantly greater comfort treating patients with eating disorders.
CONCLUSION: These results suggest that residents and fellows from this sample may
benefit from training to increase awareness and confidence necessary to treat
patients with eating disorders. Sufficient knowledge and comfort are critical
since physicians are often the first health care provider to have contact with
patients who have undiagnosed eating disorders.
PMID- 27882519
TI - Integration of Basic and Clinical Science in the Psychiatry Clerkship.
AB - OBJECTIVE: Integration of basic and clinical science is a key component of
medical education reform, yet best practices have not been identified. The
authors compared two methods of basic and clinical science integration in the
psychiatry clerkship. METHODS: Two interventions aimed at integrating basic and
clinical science were implemented and compared in a dementia conference: flipped
curriculum and coteaching by clinician and physician-scientist. The authors
surveyed students following each intervention. Likert-scale responses were
compared. RESULTS: Participants in both groups responded favorably to the
integration format and would recommend integration be implemented elsewhere in
the curriculum. Survey response rates differed significantly between the groups
and student engagement with the flipped curriculum video was limited.
CONCLUSIONS: Flipped curriculum and co-teaching by clinician and physician
scientist are two methods of integrating basic and clinical science in the
psychiatry clerkship. Student learning preferences may influence engagement with
a particular teaching format.
PMID- 27882521
TI - Listening: An Underlying Competency in Psychiatry Education.
PMID- 27882520
TI - App Use in Psychiatric Education: A Medical Student Survey.
AB - OBJECTIVE: The objective of the study is to understand and appraise app use by
medical students during their clerkships. METHODS: Following Creighton University
IRB approval, a voluntary and anonymous paper-based, 15-question survey was
distributed to third-year medical students. Data were analyzed using Microsoft
Excel. RESULTS: Of 112 medical students available, 76.7% (86) participated in the
survey. All participants owned a smartphone or tablet with 84.9% using Apple iOS,
followed by 12.8% using Android platform. Students reported using the fewest
number of apps during surgery, psychiatry, and obstetrics and gynecology
clerkships. The largest number of apps were used during the internal medicine
rotation (70.3%). The three most popular apps were Epocrates, UpToDate, and
UWorld. The most common uses for these apps were as references during the
clerkship, followed by improving knowledge, and test taking. Perceived major
benefits included accessibility (96% of student respondents) and interactivity
(39.5%). Common apps used during the psychiatry clerkship included UpToDate
(71%), Epocrates (51%), and Medscape (43%). Despite less frequent app use during
their psychiatry clerkship, 90% felt there was a utility for educational apps in
psychiatric education. CONCLUSIONS: Consistent with the previous literature on
medical students preferring educational apps, students suggest developers focus
on question bank-type apps, followed by clinical support-focused and self
directed case-based learning apps for psychiatry clerkship learning. Educators
should factor these modes of educational delivery into future educational app
development. This survey shows a high degree of smartphone and tablet use among
medical students, and they attest to mobile phone app utility in psychiatric
education.
PMID- 27882522
TI - The Early Career Psychiatrist and the Psychotherapeutic Identity.
PMID- 27882523
TI - Simulation in Undergraduate Psychiatry: Exploring the Depth of Learner
Engagement.
AB - OBJECTIVES: Simulation-based methodologies are increasingly used in undergraduate
medical education to expand students' exposure to complex clinical scenarios.
Engagement of students in these simulation-based methodologies is a key
determinant of their success in learning. Thus, the authors conducted a
systematic review to (1) identify simulation methods in use within the
undergraduate psychiatry curriculum and (2) assess learner engagement using these
methods. METHODS: Following a PRISMA methodology, the authors searched MEDLINE,
ERIC, and PsychINFO databases from 1977 to 2015. Studies applying simulation in
undergraduate psychiatric education were reviewed. The depth of learner
engagement was assessed using Kolb's four-stage learning cycle. RESULTS: Of 371
publications identified, 63 met all the inclusion criteria: 48 used standardized
patients and 16 used online or virtual learning case modules. Only one study used
high fidelity mannequins. Three studies satisfied multiple stages in Kolb's
Learning Cycle, including a single study that addressed all four domains.
CONCLUSIONS: Despite the varied uses of simulation across other health
disciplines, there were few novel or innovative uses of simulation in
undergraduate psychiatric education since the last review in 2008. Expanding on
the use of simulation to improve communication, build empathy, and decrease
stigma in psychiatry is essential given the relevance to all facets of medical
practice. Given the complexity of psychiatry, simulation interventions should
extend beyond communication scenarios. Medical students need more opportunities
to reflect and debrief on simulation experiences and integrate learning into new
contexts. Faculty development should focus on these novel approaches to
simulation to deeply engage learners and enhance outcomes.
PMID- 27882524
TI - Clinical recognition of acute aortic dissections: insights from a large single
centre cohort study.
AB - AIMS: Acute aortic dissection (AD) requires immediate treatment, but is a
diagnostic challenge. We studied how often AD was missed initially, which
patients were more likely to be missed and how this influenced patient management
and outcomes. METHODS: A retrospective cohort study including 200 consecutive
patients with AD as the final diagnosis, admitted to a tertiary hospital between
1998 and 2008. The first differential diagnosis was identified and patients with
and without AD included were compared. Characteristics associated with a lower
level of suspicion were identified using multivariable logistic regression, and
Cox regression was used for survival analyses. Missing data were imputed.
RESULTS: Mean age was 63 years, 39% were female and 76% had Stanford type A
dissection. In 69% of patients, AD was included in the first differential
diagnosis; this was less likely in women (adjusted relative risk [aRR]: 0.66, 95%
CI: 0.44-0.99), in the absence of back pain (aRR: 0.51, 95% CI: 0.30-0.84), and
in patients with extracardiac atherosclerosis (aRR: 0.64, 95% CI: 0.43-0.96).
Absence of AD in the differential diagnosis was associated with the use of more
imaging tests (1.8 vs. 2.3, p = 0.01) and increased time from admission to
surgery (1.8 vs. 10.1 h, p < 0.01), but not with a difference in the adjusted
long-term all-cause mortality (hazard ratio: 0.76, 95% CI: 0.46-1.27).
CONCLUSION: Acute aortic dissection was initially not suspected in almost one
third of patients, this was more likely in women, in the absence of back pain and
in patients with extracardiac atherosclerosis. Although the number of imaging
tests was higher and time to surgery longer, patient outcomes were similar in
both groups.
PMID- 27882526
TI - Reconciling change blindness with long-term memory for objects.
AB - How can we reconcile remarkably precise long-term memory for thousands of images
with failures to detect changes to similar images? We explored whether people can
use detailed, long-term memory to improve change detection performance. Subjects
studied a set of images of objects and then performed recognition and change
detection tasks with those images. Recognition memory performance exceeded change
detection performance, even when a single familiar object in the postchange
display consistently indicated the change location. In fact, participants were no
better when a familiar object predicted the change location than when the
displays consisted of unfamiliar objects. When given an explicit strategy to
search for a familiar object as a way to improve performance on the change
detection task, they performed no better than in a 6-alternative recognition
memory task. Subjects only benefited from the presence of familiar objects in the
change detection task when they had more time to view the prechange array before
it switched. Once the cost to using the change detection information decreased,
subjects made use of it in conjunction with memory to boost performance on the
familiar-item change detection task. This suggests that even useful information
will go unused if it is sufficiently difficult to extract.
PMID- 27882525
TI - Genotyping and Persistence of Candida albicans from Pregnant Women with
Vulvovaginal Candidiasis.
AB - OBJECTIVE: To study Candida albicans genotypes using RAPD and their
susceptibility to fluconazole in healthy pregnant women and in vulvovaginal
candidiasis (VVC) patients after topical treatment with clotrimazole. METHODS:
Vaginal swabs were collected at t = 0 and t = 1 (1 month later) in pregnant women
(control group, n = 33), and before (t = 0), at 1 month (t = 1) and at 2 months
(t = 2) after clotrimazole treatment in pregnant women with VVC. RESULTS: Candida
albicans was isolated in 30% of healthy pregnant women and 80% of patients with
VVC. A high genetic heterogeneity was observed in C. albicans genotypes between
individuals. In patients with VVC, topical antifungal treatment with clotrimazole
was clinically effective, but only in a 62% C. albicans was eradicated. In
patients in which C. albicans was not eradicated, this microorganism persisted
for 1 or 2 months after the antifungal treatment. The persistent colonies were
not associated with a specific genotype, but they were associated with higher
MICs in comparison with colonies isolated from the control group. CONCLUSIONS:
Therapy with topical clotrimazole, despite a good clinical outcome, could not
eradicate completely C. albicans allowing the persistence of genotypes, with
higher MICs to fluconazole. More studies with higher number of patients are
needed to validate this preliminary finding.
PMID- 27882527
TI - Diclofenac-Induced Allergic Contact Dermatitis: A Series of Four Patients.
AB - Allergic contact dermatitis is an immune-mediated antigen-specific skin reaction
to an allergenic chemical that corresponds to a delayed-type hypersensitivity
response (type IV reaction). Allergic contact dermatitis should be suspected when
skin lesions are localized to the site of previous applications of the culprit
drug. Lesions appear after re-exposure in susceptible persons, with delayed onset
(more than 24 h after exposure). The gold standard for diagnosis is patch
(epicutaneous) testing; identification and removal of any potential causal agents
is crucial. Diclofenac sodium 1% topical gel contains active (diclofenac sodium)
and inactive ingredients. It is a widely used non-steroidal anti-inflammatory
drug, known to cause allergic contact dermatitis, and especially photoallergic
contact reactions. We present four cases of diclofenac-sodium-induced allergic
contact dermatitis, diagnosed based on clinical grounds: intensively itchy
eczematous lesions on the sites of drug application after several days of
treatment. No allergic history and no other drug intake were reported by the
patients. The application of diclofenac sodium 1% topical gel was strictly
forbidden in all cases; potent topical steroids proved to be effective in all
cases within 2 weeks of therapy. Patch tests were performed in all cases with
European standard battery, with patients' own diclofenac sodium 1% topical gels
and with diclofenac sodium 1% in petrolatum 3 weeks after completion of local
steroid therapy. Readings were done after 48 h (Day 2) and 72 h (Day 3) and
proved to be positive only to patients' diclofenac sodium 1% topical gel and
diclofenac sodium 1% in petrolatum. No sun exposure was allowed during the
testing, and any other treatments were forbidden.
PMID- 27882529
TI - QTL Mapping of Grain Quality Traits Using Introgression Lines Carrying Oryza
rufipogon Chromosome Segments in Japonica Rice.
AB - BACKGROUND: Improved eating quality is a major breeding target in japonica rice
due to market demand. Consequently, quantitative trait loci (QTL) for glossiness
of cooked rice and amylose content associated with eating quality have received
much research focus because of their importance in rice quality. RESULTS: In this
study, QTL associated with 12 grain quality traits were identified using 96
introgression lines (IL) of rice developed from an interspecific cross between
the Korean elite O. sativa japonica cultivar 'Hwaseong' and O. rufipogon over 7
years. QTL analyses indicated that QTL qDTH6 for heading date, detected on
chromosome 6 is associated with variance in grain traits. Most QTLs detected in
this study clustered near the qDTH6 locus on chromosome 6, suggesting the effect
of qDTH6. O. rufipogon alleles negatively affected grain quality traits except
for a few QTLs, including qGCR9 for glossiness of cooked rice on chromosome 9. To
characterize the effect of the O. rufipogon locus harboring qGCR9, four lines
with a single but different O. rufipogon segment near qGCR9 were compared to
Hwaseong. Three lines (O. rufipopgon ILs) having O. rufipogon segment between
RM242 and RM245 in common showed higher glossiness of cooked rice than Hwaseong
and the other line (Hwaseong IL), indicating that qGCR9 is located in the 3.4-Mb
region between RM242 and RM245. Higher glossiness of cooked rice conferred by the
O. rufipogon allele might be associated with protein content considering that
three lines had lower protein content than Hwaseong (P < 0.1). These three O.
rufipogon ILs showed higher yield than Hwaseong and Hwaseong IL due to increase
in spikelets per panicle and grain weight indicating the linkage of qGCR9 and
yield component QTLs. CONCLUSION: The qGCR9 locus is of particular interest
because of its independence from other undesirable grain quality traits in O.
rufipogon. SSR markers linked to qGCR9 can be used to develop high-quality
japonica lines and offer a starting point for map-based cloning of genes
underlying this trait. To our knowledge, this is the first report to map a
beneficial QTL for glossiness of cooked rice from a wild rice, O. rufipogon.
PMID- 27882528
TI - An Empirical Comparison of the EQ-5D-5L, DEMQOL-U and DEMQOL-Proxy-U in a Post
Hospitalisation Population of Frail Older People Living in Residential Aged Care.
AB - OBJECTIVE: To empirically compare the measurement properties of the DEMQOL-U and
DEMQOL-Proxy-U instruments to the EQ-5D-5L and its proxy version (CEQ-5D-5L) in a
population of frail older people living in residential aged care in the post
hospitalisation period following a hip fracture. METHODS: A battery of
instruments to measure health-related quality of life (HRQoL), cognition, and
clinical indicators of depression, pain and functioning were administered at
baseline and repeated at 4 weeks' follow-up. Descriptive summary statistics were
produced and psychometric analyses were conducted to assess the levels of
agreement, convergent validity and known group validity between clinical
indicators and HRQoL measures. RESULTS: There was a large divergence in mean (SD)
utility scores at baseline for the EQ-5D-5L and DEMQOL-U [EQ-5D-5L mean 0.21
(0.19); DEMQOL-U mean 0.79 (0.14)]. At 4 weeks' follow-up, there was a marked
improvement in EQ-5D-5L scores whereas DEMQOL-U scores had deteriorated. [EQ-5D
5L mean 0.45 (0.38); DEMQOL-U mean 0.58 (0.38)]. The EQ-5D and CEQ-5D-5L were
more responsive to the physical recovery trajectory experienced by frail older
people following surgery to repair a fractured hip, whereas the DEMQOL-U and
DEMQOL-Proxy-U appeared more responsive to the changes in delirium and dementia
symptoms often experienced by frail older people in this period. CONCLUSIONS:
This study presents important insights into the HRQoL of a relatively under
researched population of post-hospitalisation frail older people in residential
care. Further research should investigate the implications for economic
evaluation of self-complete versus proxy assessment of HRQoL and the choice of
preference-based instrument for the measurement and valuation of HRQoL in older
people exhibiting cognitive decline, dementia and other co-morbidities.
PMID- 27882530
TI - Effect of Dispersion Method on Stability and Dielectric Strength of Transformer
Oil-Based TiO2 Nanofluids.
AB - Dispersion stability of nanoparticles in the liquid media is of great importance
to the utilization in practice. This study aims to investigate the effects of
mechanical dispersion method on the dispersibility of functionalized TiO2
nanoparticles in the transformer oil. Dispersion methods, including stirring,
ultrasonic bath, and probe processes, were systematically tested to verify their
versatility for preparing stable nanofluid. The test results reveal that the
combination of ultrasonic bath process and stirring method has the best
dispersion efficiency and the obtained nanofluid possesses the highest AC
breakdown strength. Specifically, after aging for 168 h, the size of
nanoparticles in the nanofluid prepared by the combination method has no obvious
change, while those obtained by the other three paths are increased obviously.
PMID- 27882531
TI - Solution-Processed Hybrid Light-Emitting Devices Comprising TiO2 Nanorods and WO3
Layers as Carrier-Transporting Layers.
AB - The goal of this research is to prepare inverted light-emitting devices with
improved performance by combining titanium dioxide (TiO2) nanorods and tungsten
trioxide (WO3) layer. TiO2 nanorods with different lengths were established
directly on the fluorine-doped tin oxide (FTO) substrates by the hydrothermal
method. The prepared TiO2 nanorods with lengths shorter than 200 nm possess
transmittance higher than 80% in the visible range. Inverted light-emitting
devices with the configuration of FTO/TiO2 nanorods/ionic PF/MEH
PPV/PEDOT:PSS/WO3/Au were constructed. The best device based on 100-nm-height
TiO2 nanorods achieved a max brightness of 4493 cd/m2 and current efficiency of
0.66 cd/A, revealing much higher performance compared with those using TiO2
compact layer or nanorods with longer lengths as electron-transporting layers.
PMID- 27882533
TI - A novel RAD21 variant associated with intrafamilial phenotypic variation in
Cornelia de Lange syndrome - review of the literature.
AB - In a patient with CdLS (IV.16) we identifed a novel single basepair deletion
(c.704delG) in RAD21, which encodes a cohesin pathway protein. The variant is
predicted to result in a premature stop codon [p.(Ser235Ilefs*19)] and hereby
would have a deleterious effect. RAD21 variants have previously been described
only in five cases with cohesinopathies (b). Notably, the deletion was found in
the mother and the two aunts of the index patient, and none of them had been
suspected of having CdLS or a cohesinopathy prior to this study (a). The index
patient can be classified as mild CdLS, but the other family members do not
fulfill the diagnostic criteria of CdLS. This study together with previous
reports suggests incomplete penetrance associated with RAD21 variants and these
individuals may therefore be underdiagnosed.
PMID- 27882532
TI - Alemtuzumab Use in Clinical Practice: Recommendations from European Multiple
Sclerosis Experts.
AB - Alemtuzumab (LemtradaTM) is a humanized monoclonal antibody approved in more than
50 countries. Within the European Union, alemtuzumab is indicated for the
treatment of adult patients with relapsing-remitting multiple sclerosis (RRMS)
with active disease defined by clinical or imaging features; in the USA, the
indication states that alemtuzumab should generally be reserved for the treatment
of patients with relapsing forms of multiple sclerosis who have had an inadequate
response to two or more disease-modifying therapies (DMTs). In clinical trials,
alemtuzumab demonstrated efficacy in treatment-naive patients with active RRMS
and those relapsing on prior DMTs, with a consistent and manageable safety and
tolerability profile. The European Union indication provides physicians with
significant flexibility regarding treatment decisions, affording the opportunity
for individualized treatment. Thus, alemtuzumab may be an appropriate treatment
choice across a broad range of patients with RRMS, including, for example,
treatment-naive patients with active disease, patients with highly active
disease, or for patients relapsing on prior DMTs. There are several
practicalities to consider when using alemtuzumab, including the unique dosing
regimen, administered via intravenous infusion on 5 consecutive days at baseline
and on 3 consecutive days 12 months later, and as-needed retreatment (3
consecutive days at least 12 months after the last course) in cases of disease
recurrence. Additionally, routine monthly monitoring is required for up to 48
months after the last infusion to promptly identify potentially serious
autoimmune adverse events. Given these considerations, it is beneficial to gain
insight into how alemtuzumab is being used in the real-world clinical setting.
Here, we report recommendations from European multiple sclerosis experts
regarding best practices for alemtuzumab treatment, including management of
adverse events and compliance with ongoing safety monitoring requirements.
PMID- 27882534
TI - Digest: Hybridizing in a big way.
PMID- 27882535
TI - Evaluation of three unchannelled videolaryngoscopes and the Macintosh
laryngoscope in patients with a simulated difficult airway: a randomised,
controlled trial.
AB - This prospective randomised, controlled trial compares the performance of three
unchannelled videolaryngoscopes (KingVisionTM , AirtraqTM , A.P. AdvanceTM MAC)
and the standard Macintosh laryngoscope. With ethics committee approval and
written informed consent, 480 patients were included. A difficult airway was
created with a cervical collar, limiting mouth opening and neck movement. Primary
outcome was first-attempt orotracheal intubation success. Overall success,
laryngeal view, intubation difficulty scale, handling, intubation times and side
effects were secondary outcomes. First-attempt success rates were: KingVision 90%
(95% CI 83-94%), Airtraq 82% (74-88%), A.P. Advance MAC 49% (40-58%), Macintosh
44% (35-53%; p < 0.001). The 95% confidence interval of first-attempt success
rate was thus below 90% for all devices, but the KingVision and the Airtraq
performed better than the A.P. Advance MAC and the Macintosh laryngoscope. Also,
performance was better with the KingVision and the Airtraq in terms of overall
success, laryngeal view, intubation difficulty scale and quality of view.
Problems with tube advancement were a frequent cause of intubation failure. In
summary, the KingVision and the Airtraq performed better than the A.P. Advance
MAC and the Macintosh laryngoscope. Success rates of the unchannelled KingVision
and Airtraq were similar to those of their channelled versions reported
previously, indicating that performance largely depends on blade design rather
than the presence of a channel for tube advancement.
PMID- 27882536
TI - BRCA1 and BRCA2 mutation testing in Cyprus; a population based study.
AB - This paper presents the largest study in Cyprus evaluating the frequency and
distribution of BRCA1/2 mutations in a high risk patient cohort. Deleterious
mutations in the BRCA1/2 genes were identified in 68 of the 527 patients tested
(13%). It is of interest that a quarter of those tested positive, did not have an
extensive family history of breast/ovarian cancer but were diagnosed with early
onset breast cancer, ovarian cancer under the age of 60 or triple negative breast
cancer. The spectrum of mutations identified in our patient cohort is different
compared to other Mediterranean countries. Furthermore, several of the mutations
detected are novel and have not been identified in other ethnic populations. This
highlights the importance of operating a national reference center for cancer
genetic diagnosis which offers services tailored to the needs of the Cypriot
population.
PMID- 27882537
TI - Neurochemical and behavioural effects of hypidone hydrochloride (YL-0919): a
novel combined selective 5-HT reuptake inhibitor and partial 5-HT1A agonist.
AB - BACKGROUND AND PURPOSE: Our previous studies revealed that hypidone hydrochloride
(YL-0919), which acts as a selective 5-HT (serotonin) reuptake inhibitor (SSRI)
and displays partial 5-HT1A receptor agonist properties, exerts a significant
antidepressant effect in various animal models. The aim of present research was
to further investigate the pharmacology of YL-0919. EXPERIMENTAL APPROACH: We
first investigated the target profile of YL-0919 using [35 S]-GTPgammaS binding
and microdialysis. To determine whether the 5-HT or noradrenergic systems are
involved in the antidepressant-like effect of YL-0919, the 5-hydroxytryptophan (5
HTP)-induced head-twitch test and antagonism with a high dose of apomorphine were
performed. Using the learned helplessness paradigm, the novelty suppressed
feeding test, the Vogel-type conflict and elevated plus-maze test, we further
verified the antidepressant-like and anxiolytic-like effects of YL-0919. The
effects of YL-0919 on hippocampal long-term potentiation (LTP) and sexual
behaviour were also evaluated. KEY RESULTS: Data from the present study
demonstrated that YL-0919 displays partial 5-HT1A receptor agonist properties,
producing a greater impact on extracellular 5-HT levels than a conventional SSRI
(fluoxetine), as well as significant antidepressant and anxiolytic effects.
Furthermore, YL-0919 treatment rapidly influenced the synaptic plasticity
(enhancing LTP) of rats. Finally, at doses close to those producing
antidepressant-like effects, YL-0919 did not result in a marked inhibition of
sexual function. CONCLUSIONS AND IMPLICATIONS: These data suggest that YL-0919 is
probably a fast-onset potent antidepressant with few side effects.
PMID- 27882539
TI - In vitro suppression of two different stop codons.
AB - Proteins play a crucial role in all living organisms, with the 20 natural amino
acids as their building blocks. Unnatural amino acids are synthetic derivatives
of these natural building blocks. These amino acids have unique chemical or
physical properties as a result of their specific side chain residues. Their
incorporation into proteins through ribosomal translation in response to one of
the stop codons has opened a new way to manipulate and study proteins by enabling
new functionalities, thus expending the genetic code. Different unnatural amino
acids have different functionalities, hence, the ability to incorporate two
different unnatural amino acids, in response to two different stop codons into
one protein is a useful tool in protein manipulation. This ability has been
achieved previously only in in vivo translational systems, however, with limited
functionality. Herein, we report the incorporation of two different unnatural
amino acids in response to two different stop codons into one protein, utilizing
a cell-free protein synthesis system. Biotechnol. Bioeng. 2017;114: 1065-1073.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27882538
TI - Gene therapy with mesenchymal stem cells expressing IFN-beta ameliorates
neuroinflammation in experimental models of multiple sclerosis.
AB - BACKGROUND AND PURPOSE: Recombinant IFN-beta is one of the first-line treatments
in multiple sclerosis (MS), despite its lack of efficacy in some patients. In
this context, mesenchymal stem cells (MSCs) represent a promising therapeutic
alternative due to their immunomodulatory properties and multipotency. Moreover,
by taking advantage of their pathotropism, these cells can be genetically
modified to be used as carriers for delivering or secreting therapeutic drugs
into injured tissues. Here, we report the therapeutic effect of systemic delivery
of adipose-derived MSCs (AdMSCs), transduced with the IFN-beta gene, into mice
with experimental autoimmune encephalomyelitis (EAE). EXPERIMENTAL APPROACH:
Relapsing-remitting and chronic progressive EAE were induced in mice. Cells were
injected i.v. Disease severity, inflammation and tissue damage were assessed
clinically, by flow cytometry of spleens and histopathological evaluation of the
CNS respectively. KEY RESULTS: Genetic engineering did not modify the biological
characteristics of these AdMSCs (morphology, growth rate, immunophenotype and
multipotency). Furthermore, the transduction of IFN-beta to AdMSCs maintained
and, in some cases, enhanced the functional properties of AdMSCs by ameliorating
the symptoms of MS in EAE models and by decreasing indications of peripheral and
central neuro-inflammation. CONCLUSION AND IMPLICATIONS: Gene therapy was found
to be more effective than cell therapy in ameliorating several clinical
parameters in both EAE models, presumably due to the continuous expression of IFN
beta. Furthermore, it has significant advantages over AdMSC therapy, and also
over systemic IFN-beta treatment, by providing long-term expression of the
cytokine at therapeutic concentrations and reducing the frequency of injections,
while minimizing dose-limiting side effects.
PMID- 27882540
TI - Does size matter? Examining the drivers of mammalian vocalizations.
AB - Previous studies of the vocalization frequencies of mammals have suggested that
it is either body mass or environment that drives these frequencies. Using 193
species across the globe from the terrestrial and aquatic environments and a
model selection approach, we identified that the best-supported model for minimum
and maximum frequencies for vocalization included both body mass and environment.
The minimum frequencies of vocalizations of species from all environments
retained the influence of body mass. For maximum frequency however, aquatic
species are released from such a trend with body mass having little constraint on
frequencies. Surprisingly, phylogeny did not have a strong impact on the
evolution of the maximum frequency of mammal vocalizations, largely due to the
pinniped species divergence of frequency from their carnivoran relatives. We
demonstrate that the divergence of signal frequencies in mammals has arisen from
the need to adapt to their environment.
PMID- 27882541
TI - Prediction of difficult mask ventilation using a systematic assessment of risk
factors vs. existing practice - a cluster randomised clinical trial in 94,006
patients.
AB - We compared implementation of systematic airway assessment with existing practice
of airway assessment on prediction of difficult mask ventilation. Twenty-six
departments were cluster-randomised to assess eleven risk factors for difficult
airway management (intervention) or to continue with their existing airway
assessment (control). In both groups, patients predicted as a difficult mask
ventilation and/or difficult intubation were registered in the Danish Anaesthesia
Database, with a notational summary of airway management. The trial's primary
outcome was the respective incidence of unpredicted difficult and easy mask
ventilation in the two groups. Among 94,006 patients undergoing mask ventilation,
the incidence of unpredicted difficult mask ventilation in the intervention group
was 0.91% and 0.88% in the control group; (OR) 0.98 (95% CI 0.66-1.44), p = 0.90.
The incidence of patients predicted difficult to mask ventilate, but in fact
found to be easy ('falsely predicted difficult') was 0.64% vs. 0.35%
(intervention vs. control); OR 1.56 (1.01-2.42), p = 0.045. In the intervention
group, 86.3% of all difficult mask ventilations were not predicted, compared with
a higher proportion 91.2% in the control group, OR 0.61 (0.41-0.91), p = 0.016.
The systematic intervention did not alter the overall incidence of unpredicted
difficult mask ventilations, but of the patients who were found to be difficult
to mask ventilate, the proportion predicted was higher in the intervention group
than in the control group. However, this was at a 'cost' of increasing the number
of mask ventilations falsely predicted to be difficult.
PMID- 27882542
TI - A novel gain-of-function mutation in ORAI1 causes late-onset tubular aggregate
myopathy and congenital miosis.
AB - We present three members of an Italian family affected by tubular aggregate
myopathy (TAM) and congenital miosis harboring a novel missense mutation in
ORAI1. All patients had a mild, late onset TAM revealed by asymptomatic creatine
kinase (CK) elevation and congenital miosis consistent with a Stormorken-like
Syndrome, in the absence of thrombocytopathy. Muscle biopsies showed classical
histological findings but ultrastructural analysis revealed atypical tubular
aggregates (TAs). The whole body muscle magnetic resonance imaging (MRI) showed a
similar pattern of muscle involvement that correlated with clinical severity. The
lower limbs were more severely affected than the scapular girdle, and thighs were
more affected than legs. Molecular analysis revealed a novel c.290C>G (p.S97C)
mutation in ORAI1 in all affected patients. Functional assays in both human
embryonic kidney (HEK) cells and myotubes showed an increased rate of Ca2+ entry
due to a constitutive activation of the CRAC channel, consistent with a 'gain-of
function' mutation. In conclusion, we describe an Italian family harboring a
novel heterozygous c.290C>G (p.S97C) mutation in ORAI1 causing a mild- and late
onset TAM and congenital miosis via constitutive activation of the CRAC channel.
Our findings extend the clinical and genetic spectrum of the ORAI1-related TAM.
PMID- 27882543
TI - Sampling for Patient Exit Interviews: Assessment of Methods Using Mathematical
Derivation and Computer Simulations.
AB - OBJECTIVE: (1) To evaluate the operational efficiency of various sampling methods
for patient exit interviews; (2) to discuss under what circumstances each method
yields an unbiased sample; and (3) to propose a new, operationally efficient, and
unbiased sampling method. STUDY DESIGN: Literature review, mathematical
derivation, and Monte Carlo simulations. PRINCIPAL FINDINGS: Our simulations show
that in patient exit interviews it is most operationally efficient if the
interviewer, after completing an interview, selects the next patient exiting the
clinical consultation. We demonstrate mathematically that this method yields a
biased sample: patients who spend a longer time with the clinician are
overrepresented. This bias can be removed by selecting the next patient who
enters, rather than exits, the consultation room. We show that this sampling
method is operationally more efficient than alternative methods (systematic and
simple random sampling) in most primary health care settings. CONCLUSION: Under
the assumption that the order in which patients enter the consultation room is
unrelated to the length of time spent with the clinician and the interviewer,
selecting the next patient entering the consultation room tends to be the
operationally most efficient unbiased sampling method for patient exit
interviews.
PMID- 27882544
TI - Prospective evaluation of the Ages and Stages Questionnaire 3rd Edition in very
low-birthweight infants.
AB - AIM: To evaluate the predictive and concurrent diagnostic agreement of the Ages
and Stages Questionnaire 3rd Edition (ASQ-3) with the Bayley Scales of Infant and
Toddler Development 3rd Edition (Bayley-III) in infants born preterm and very-low
birthweight (PT/VLBW; <=1250g). METHOD: We evaluated 141 PT/VLBW infants (68
males, 73 females) born at the KK Women's and Children's Hospital between January
2010 and December 2011, to determine predictive and concurrent diagnostic
agreement between the ASQ-3 at 9, 12, 18, and 24 months corrected age and Bayley
III at 24 months. Cut-offs on the ASQ-3 at 24 months were estimated by receiver
operating characteristic curves. RESULTS: Sixty (43%) and 25 (18%) failed in any
domain of the ASQ-3 and Bayley-III (<70) respectively. A negative predictive
value (NPV) >98% was achieved for the motor domain from 9 months, and >90% for
the communication domain and the overall results at 24 months. Optimal referral
ASQ-3 score at 24 months to achieve 100% NPV was 243. INTERPRETATION: In PT/VLBW
infants, ASQ-3 screening at 24 months can reduce the need for costly psychometric
assessments in children with normal results. Clinicians can be assured of normal
motor development at 9 months using the ASQ-3, but should continue to screen
children on other domains.
PMID- 27882545
TI - Low abundant soil bacteria can be metabolically versatile and fast growing.
AB - The abundance of species is assumed to depend on their life history traits, such
as growth rate and resource specialization. However, this assumption has not been
tested for bacteria. Here we investigate how abundance of soil bacteria relates
to slow growth and substrate specialization (oligotrophy) vs. fast growth and
substrate generalization (copiotrophy). We collected 47 saprotrophic soil
bacterial isolates of differing abundances and measured their growth rate and the
ability to use a variety of single carbon sources. Opposite to our expectation,
there was no relationship between abundance in soil and the measured growth rate
or substrate utilization profile (SUP). However, isolates with lower growth rates
used fewer substrates than faster growing ones supporting the assumption that
growth rate may relate to substrate specialization. Interestingly, growth rate
and SUP were correlated with phylogeny, rather than with abundance in soil. Most
markedly, Gammaproteobacteria on average grew significantly faster and were able
to use more substrates than other bacterial classes, whereas Alphaproteobacteria
were growing relatively slowly and used fewer substrates. This finding suggests
that growth and substrate utilization are phylogenetically deeply conserved. We
conclude that growth rate and substrate utilization of soil bacteria are not
general determinants of their abundance. Future studies on explaining bacterial
abundance need to determine how other factors, such as competition, predation and
abiotic factors may contribute to rarity or abundance in soil bacteria.
PMID- 27882547
TI - Molecular characterization of PI*Q0la palma , a new alpha-1-antitrypsin null
allele that combines two defective genetic variants.
PMID- 27882546
TI - Human gingival fibroblasts induced and differentiated into vascular endothelial
like cells.
AB - A novel method for repair of vascular disease, mechanical damage, and tissue
rebuilding is urgently required. Vascular endothelial cells (VECs) play an
essential role in vascular rebuilding and vasotransplantation. In the present
study, human gingival fibroblasts (HGFs) were cultured and induced into
endothelial-like cells in vitro in order to confirm that HGFs with stem cell
properties possessed the potential for differentiation into endothelial-like
cells. The epithelium was extracted from normal human gingiva consisting of
epithelium and connective tissue, which was isolated from patients. The
identification of HGFs and induced endothelial-like cells were confirmed by flow
cytometry, reverse transcription polymerase chain reaction (RT-PCR),
immunocytochemical stain (ICS), and immunofluorescence stain (ISA). The
morphology of human gingival fibroblasts with 8 ng/mL VEGF165 induced for
different periods of days were observed by inverted microscope. Before induction,
flow cytometry analysis showed that HGFs were positive for vimentin, but negative
for CD31. RT-PCR, ICS, and ISA showed vimentin, S100A4, alpha-SMA, collagen III,
and S100A4 were specifically expressed in these fibroblast cells. After
induction, ICS showed induced vascular endothelial-like cells were positive for
CD34 and CD31; ISA showed cells induced were positive for vWF and E-cadherin; RT
PCR results demonstrated that tie2 was specifically expressed in the cells
induced. Flow cytometry analysis of the transformation efficiency from HGFs to
endothelial-like cells. In conclusion, we found that HGFs possessed capacity for
being induced and differentiated into vessel endothelial-like cells with typical
and specific morphological, ultrastructural, and immunological characters of
endothelial-like cells by induction with VEGF.
PMID- 27882548
TI - Making a Bad Diagnosis Worse? Suspect Drug Management of Urinary Incontinence in
Persons with Dementia.
PMID- 27882549
TI - Digest: Mechanisms of assortative mating and ecological speciation.
PMID- 27882551
TI - Digest: Fitness gains in guppies support evolution's role in coexistence.
PMID- 27882552
TI - Trends and the utilization of transoral robotic surgery with neck dissection in
New York State.
AB - OBJECTIVES: The timing of neck dissection (ND) in relation to transoral robotic
surgery (TORS) is controversial. This study identifies local practice patterns
and economic and social access disparities during adoption of TORS. STUDY DESIGN:
We analyzed utilization patterns of TORS and ND using the New York Statewide
Planning and Research Cooperative System all-payer administrative database.
Statewide head and neck cancer incidence from the Centers for Disease Control and
Prevention (Bethesda, MD) was used to control for overall cancer incidence.
METHODS: Patient demographic, insurer, and institutional information of patients
aged >= 18 (n = 225) years from 2008 to 2012 were evaluated. Temporal trends were
analyzed with Poisson regression models for counts. RESULTS: Transoral robotic
surgery was used in 386 procedures, and 58.3% involved ND (n = 225). Concurrent
ND was most frequent (n = 173), followed by staged TORS then ND (n = 44) and
staged ND preceding TORS (n = 8). Caucasians were more likely than
Blacks/Hispanics to undergo TORS (P = 0.03). Medicare (26.2%) and Medicaid (2.7%)
payers comprised a minority of patients compared to those commercially insured
(70.2%). Only 20% of patients received care outside a major urban center, and
these patients were more likely to undergo staged procedures, P = 0.02. Staged
procedures resulted in higher mean hospital charges (P = 0.02). CONCLUSION:
Concurrent TORS + ND, the most common practice in New York, is more cost
effective. Patients without commercial insurance, patients in racial minorities,
or patients residing outside major urban centers may be targeted to improve care
access disparities with respect to minimally invasive TORS technology. LEVEL OF
EVIDENCE: 2c. Laryngoscope, 127:1571-1576, 2017.
PMID- 27882550
TI - Investigating interactions between epicardial adipose tissue and cardiac
myocytes: what can we learn from different approaches?
AB - : Heart disease is a major cause of morbidity and mortality throughout the world.
Some cardiovascular conditions can be modulated by lifestyle factors such as
increased exercise or a healthier diet, but many require surgical or
pharmacological interventions for their management. More targeted and less
invasive therapies would be beneficial. Recently, it has become apparent that
epicardial adipose tissue plays an important role in normal and pathological
cardiac function, and it is now the focus of considerable research. Epicardial
adipose tissue can be studied by imaging of various kinds, and these approaches
have yielded much useful information. However, at a molecular level, it is more
difficult to study as it is relatively scarce in animal models and, for practical
and ethical reasons, not always available in sufficient quantities from patients.
What is needed is a robust model system in which the interactions between
epicardial adipocytes and cardiac myocytes can be studied, and physiologically
relevant manipulations performed. There are drawbacks to conventional culture
methods, not least the difficulty of culturing both cardiac myocytes and
adipocytes, each of which has special requirements. We discuss the benefits of a
three-dimensional co-culture model in which in vivo interactions can be
replicated. LINKED ARTICLES: This article is part of a themed section on
Molecular Mechanisms Regulating Perivascular Adipose Tissue - Potential
Pharmacological Targets? To view the other articles in this section visit
http://onlinelibrary.wiley.com/doi/10.1111/bph.v174.20/issuetoc.
PMID- 27882553
TI - Malignant otitis externa hospitalizations: Analysis of patient characteristics.
AB - OBJECTIVES/HYPOTHESIS: Malignant otitis externa (MOE) is a rare disorder that is
not well studied in the inpatient setting. The Nationwide Inpatient Sample (NIS)
database was utilized to analyze characteristics and predischarge outcomes of
hospitalized MOE patients. METHODS: MOE hospitalizations were identified in the
2002 to 2013 NIS. Patient demographics, length of hospital stay, hospital
charges, concomitant diagnoses, treatment-related procedures, complications, and
in-hospital mortality rates were examined, with comparisons made among age
cohorts and between diabetes mellitus (DM) and non-DM groups. RESULTS: A total of
8,300 cases of inpatient MOE were identified, with elderly DM patients
compromising 22.7% of cases. Compared to adults, elderly patients had more
inpatient procedures, longer hospitalizations (6.0 vs. 4.3 days), higher hospital
charges ($26,712 vs. $19,047) (all P < 0.001), greater odds of in-hospital
complications, and in-hospital mortality (odds ratio 14.435, 95% confidence
interval 5.313-39.220). Adult/elderly patients with DM had more comorbidities,
longer hospital stays (5.5 vs. 4.0 days), and higher hospital charges ($25,118
vs. $17,039) (all P < 0.001) than non-DM patients. However, DM was not associated
with greater in-hospital mortality rates (0.6% vs. 0.5%; P = 0.640). Compared to
the adult/elderly cohort, pediatric patients had higher rates of nonelective
admissions (19.8% vs. 14.5%), shorter hospital stays (2.9 vs. 4.9 days), and
lower hospital charges ($8,876 vs. $21,672) (all P < 0.05). CONCLUSION: Elderly
diabetic patients made up a smaller fraction of hospitalized MOE cases than
anticipated. Elderly patients had greater in-hospital complications and
mortality. Diabetes mellitus in adult/elderly patients was not associated with
increased mortality. Pediatric patients fared well with low complications rates
and no instances of in-hospital mortality. LEVEL OF EVIDENCE: 2C. Laryngoscope,
127:2328-2336, 2017.
PMID- 27882554
TI - Study of heat-moisture treatment of potato starch granules by chemical surface
gelatinization.
AB - BACKGROUND: Native potato starch was subjected to heat-moisture treatment (HMT)
at 12%, 15%, 18%, 21%, and 24% of moisture content at 110 degrees C for 1 h, and
the effects on morphology, structure, and thermal and physicochemical properties
were investigated. To reveal the internal structure, 30% and 50% of the granular
surface were removed by chemical surface gelatinization in concentrated LiCl
solution. RESULTS: At moisture contents of 12% and 15%, HTM reduced the
gelatinization temperatures and relative crystallinity of the starches, while at
moisture contents of 21% and 24 % both increased. The alterations on morphology,
X-ray pattern, physicochemical properties, and increase of amylose content were
more intense with the increase of moisture content of HMT. CONCLUSION: The
removal of granular layers showed that the changes promoted by HMT occur
throughout the whole granule and were pronounced at the core or peripheral
region, depending of the moisture content applied during HMT. (c) 2016 Society of
Chemical Industry.
PMID- 27882555
TI - A randomized controlled pilot study of VO2 max testing: a potential model for
measuring relative in vivo efficacy of different red blood cell products.
AB - BACKGROUND: Randomized trials, for example, RECESS, comparing "young" (median, 7
day) versus "middle-aged" (median, 28-day) red blood cells (RBCs), showed no
difference in outcome. These data are important; however, they do not inform us
about the safety and effectiveness of the oldest RBCs, which some patients
receive. It may not be feasible to conduct a clinical trial randomizing patients
to receive the oldest blood. Therefore, we propose strenuous exercise (VO2 max
testing) as a model to study the relative efficacy to increase oxygen delivery to
tissue of different RBC products, for example, extremes of storage duration.
STUDY DESIGN AND METHODS: In this pilot study, eight healthy subjects had 2 units
of leukoreduced RBCs collected by apheresis in AS-3 using standard methods.
Subjects were randomized to receive both (2) units of their autologous RBCs at
either 7 or 42 days after blood collection. VO2 max testing on a cycle ergometer
was performed 2 days before (Monday) and 2 days after (Friday) the transfusion
visit (Wednesday). This design avoids confounding effects on intravascular volume
from the 2-unit blood transfusion. The primary outcome was the difference in VO2
max between Friday and Monday (delta VO2 max). RESULTS: VO2 max increased more in
the 7-day RBC arm (8.7 +/- 6.9% vs. 1.9 +/- 6.5%, p = 0.202 for comparison
between arms). Exercise duration (seconds) increased in the 7-day RBC arm (8.4 +/
1.7%) but actually decreased in the 42-day arm (-2.6 +/- 3.6%, p = 0.002).
CONCLUSIONS: This pilot study suggests that VO2 max testing has potential as a
rigorous and quantitative in vivo functional assay of RBC function. Our
preliminary results suggest that 42-day RBCs are inferior to 7-day RBCs at
delivering oxygen to tissues.
PMID- 27882556
TI - 3D analysis of the movements of the laryngeal cartilages during singing.
AB - OBJECTIVE: The vocal range of untrained singers rarely exceeds one and a half
octaves, but professional singers have a range of at least two and a half
octaves. The aim of this study was to better understand the muscle and cartilage
movements responsible for the control of vocal pitch in singing. STUDY DESIGN:
Prospective study. METHODS: We recruited 49 female professional singers (25
sopranos and 24 altos) and analyzed laryngeal three-dimensional images derived
from high-resolution computed tomography scans obtained at the mean speaking
fundamental frequency (F0) and at one (F1) and two octaves (F2) above this pitch.
RESULTS: From F0 to F1, the only observable movement was a backward cricoid
tilting caused by the cricothyroid muscles (CTMs), leading to vocal fold
stretching. Above F1, a medial rotation and inward rocking of the arytenoid
cartilages was observed, caused by the lateral cricothyroid muscles (LCAMs) and
leading to inferior displacement of the vocal process of the arytenoid cartilage,
and thus to further vocal fold stretching. CONCLUSION: Trained singers achieve
the first octave of pitch elevation by simple cricothyroid approximation. Further
pitch elevation necessitates a complex movement of the arytenoids, first by CTM
contraction and second by LCAM contraction. LEVEL OF EVIDENCE: 4. Laryngoscope,
127:1639-1643, 2017.
PMID- 27882557
TI - Laboratory-scale milling of whole-durum flour quality: effect of mill
configuration and seed conditioning.
AB - BACKGROUND: Research was conducted to develop a laboratory milling procedure to
make whole-durum flour. An ultracentrifugal mill was evaluated by determining the
effect of mill configuration and seed conditioning on particle size distribution
and quality of whole-wheat flour. RESULTS: Particle size of whole-wheat flour
decreased as screen aperture decreased from 1000 to 250 um; as rotor speed
increased from 6000 to 18 000 rpm; and as seed conditioning moisture content
decreased from 150 to 90 g kg-1 . Feed rate during milling did not affect
particle size distribution. Starch damage decreased as screen aperture increased;
as rotor speed increased from 6000 to12 000 rpm; and as seed conditioning
moisture content decreased from 150 to 90 g kg-1 . Flour temperature varied with
milling parameters but did not exceed 34 degrees C. CONCLUSION: An
ultracentrifugal mill configured with a rotor speed of 12 000 rpm, screen
aperture of 250 um, and seed conditioning moisture of 90 g kg-1 resulted in a
fine whole-wheat flour where 82% of particles were <150 um, starch damage was 59
g kg-1 , and flour temperature was below 35 degrees C. (c) 2016 Society of
Chemical Industry.
PMID- 27882559
TI - Lipiodol does not affect the tissue distribution of intravenous doxorubicin
infusion in pigs.
AB - OBJECTIVES: In liver cancer treatment, lipiodol is used as a pharmaceutical
excipient to improve delivery of the cytostatic drug doxorubicin (DOX). As DOX
and its metabolite doxorubicinol (DOXol) cause serious off-target adverse
effects, we investigated the effects of drug-free lipiodol or ciclosporin (CsA)
on the tissue distribution (Kp ) of DOX and DOXol in relevant pig tissues.
METHODS: Four treatment groups (TI-TIV) all received an intravenous DOX solution
at 0 and 200 min. Before the second dose, the pigs received a portal vein
infusion of saline (TI), lipiodol (TII), CsA (TIII) or lipiodol and CsA (TIV).
After 6 h, the pigs were euthanised, and liver, kidney, heart and intestine
samples were collected and analysed. KEY FINDINGS: The tissue DOX concentrations
were highest in the kidney (TI-TIV). All the investigated tissues showed
extensive DOX Kp . Lipiodol had no effect on the Kp of DOX to any of the tissues.
However, the tissue concentrations of DOX were increased by CsA (in liver, kidney
and intestine, P < 0.05). CONCLUSION: Lipiodol injected into the portal vein does
not affect the tissue distribution of DOX and DOXol.
PMID- 27882560
TI - A Computer Prescribing Order Entry-Clinical Decision Support system designed for
neonatal care: results of the 'preselected prescription' concept at the bedside.
AB - WHAT IS KNOWN: The neonatal intensive care units (NICUs) are at the highest risk
of drug dose error of all hospital wards. NICUs also have the most complicated
prescription modalities. The computerization of the prescription process is
currently recommended to decrease the risk of preventable adverse drug effects
(pADEs) in NICUs. However, Computer Prescribing Order Entry-Clinical Decision
Support (C.P.O.E./C.D.S.) systems have been poorly studied in NICUs, and their
technical compatibility with neonatal specificities has been limited. OBJECTIVES:
We set up a performance study of the preselected prescription of drugs for
neonates, which limited the role of the prescriber to choosing the drugs and
their indications. METHODS: A single 29 bed neonatal ward used this neonatal
C.P.O.E./C.D.S. system for all prescriptions of all hospitalized newborns over an
18-month period. The preselected prescription of drugs was based on the
indication, gestational age, body weight and post-natal age. The therapeutic
protocols were provided by a formulary reference (330 drugs) that had been
specifically designed for newborns. The preselected prescription also gave
complete information about preparation and administration of drugs by nurses. The
prescriber was allowed to modify the preselected prescription but alarms provided
warning when the prescription was outside the recommended range. The main
clinical characteristics and all items of each line of prescription were stored
in a data warehouse, thus enabling this study to take place. RESULTS: Seven
hundred and sixty successive newborns (from 24 to 42 weeks' gestation) were
prescribed 52 392 lines of prescription corresponding to 65 drugs; About 30.4% of
neonates had at least one out of licensed prescription; A prescription out of the
recommended range for daily dose was recorded for 1.0% of all drug prescriptions.
WHAT IS NEW?: The C.P.O.E./C.D.S. systems can currently provide a complete
preselected prescription in NICUs according to dose rules, which are specific to
newborns and also comply with local specificities (therapeutic protocols and
formulation of drugs). The role of the prescriber is limited to the choice of
drugs and their indications. The prescriber still retains the possibility of
modifying each item of the prescription, with all other prescription items being
calculated by the C.P.O.E. system. In these conditions, the prescribers rarely
modified the preselected prescription and the rate of out of range prescription
was low. A multicentric study is required to confirm and extend these
observations. CONCLUSIONS: This study showed the feasibility of preselected
prescription in NICUs and a low rate of out of range prescriptions. The
preselected prescription could play a key role in lowering the dose error rate in
NICUs.
PMID- 27882561
TI - Linear regression and correlation.
PMID- 27882558
TI - Effects of voice-sparing cricotracheal resection on phonation in women.
AB - INTRODUCTION: Individuals with idiopathic subglottic stenosis (SGS) are at risk
for voice disorders prior to and following surgical management. This study
examined the nature and severity of voice disorders in patients with SGS before
and after a revised cricotracheal resection (CTR) procedure designed to minimize
adverse effects on voice function. METHOD: Eleven women with idiopathic SGS
provided presurgical and postsurgical audio recordings. Voice Handicap Index
(VHI) scores were also collected. Cepstral, signal-to-noise, periodicity, and
fundamental frequency (F0 ) analyses were undertaken for connected speech and
sustained vowel samples. Listeners made auditory-perceptual ratings of overall
quality and monotonicity. RESULTS: Paired samples statistical analyses revealed
that mean F0 decreased from 215 Hz (standard deviation [SD] = 40 Hz) to 201 Hz
(SD = 65 Hz) following surgery. In general, VHI scores decreased after surgery.
Voice disorder severity based on the Cepstral Spectral Index of Dysphonia
(KayPentax, Montvale, NJ) for sustained vowels decreased (improved) from 41 (SD =
41) to 25 (SD = 21) points; no change was observed for connected speech. Semitone
SD (2.2 semitones) did not change from pre- to posttreatment. Auditory-perceptual
ratings demonstrated similar results. CONCLUSION: These preliminary results
indicate that this revised CTR procedure is promising in minimizing adverse voice
effects while offering a longer-term surgical outcome for SGS. Further research
is needed to determine causal factors for pretreatment voice disorders, as well
as to optimize treatments in this population. LEVEL OF EVIDENCE: 4. Laryngoscope,
127:2085-2092, 2017.
PMID- 27882562
TI - The development and validation of a high-throughput LC-MS/MS method for the
analysis of endogenous beta-hydroxy-beta-methylbutyrate in human plasma.
AB - A high-throughput, sensitive, and rugged liquid chromatography-tandem mass
spectrometry (LC-MS/MS) method for the rapid quantitation of beta-hydroxy-beta
methylbutyrate (HMB) in human plasma has been developed and validated for routine
use. The method uses 100 MUL of plasma sample and employs protein precipitation
with 0.1% formic acid in methanol for the extraction of HMB from plasma. Sample
extracts were analyzed using LC-MS/MS technique under negative mode electrospray
ionization conditions. A 13 C-labeled stable isotope internal standard was used
to achieve accurate quantitation. Multiday validation was conducted for
precision, accuracy, linearity, selectivity, matrix effect, dilution integrity
(2*), extraction recovery, freeze-thaw sample stability (three cycles), benchtop
sample stability (6 h and 50 min), autosampler stability (27 h) and frozen
storage sample stability (146 days). Linearity was demonstrated between 10 and
500 ng/mL. Inter-day accuracies and coefficients of variation (CV) were 91.2-98.1
and 3.7-7.8%, respectively. The validated method was proven to be rugged for
routine use to quantify endogenous levels of HMB in human plasma obtained from
healthy volunteers.
PMID- 27882563
TI - Iranian nursing students' perspectives on transition to professional identity: a
qualitative study.
AB - AIM: To explore Iranian nursing students' transition to professional identity.
BACKGROUND: Professional identity is an important outcome of nursing education
that has not been fully explored in the Iranian nursing education system.
INTRODUCTION: Professional identity is a significant factor influencing the
development of nursing education and practice. The transition of nursing students
to professional identity is the main concern of nursing education and fundamental
prerequisite for policymaking and planning in the field of nursing education.
METHODS: This was a qualitative content analysis study. In-depth unstructured
interviews were held with 35 Iranian bachelor's degree nursing students recruited
through purposive sampling. The interviews were transcribed verbatim and analysed
using content analysis. FINDINGS: The data analysis led to the development of
four themes and 15 categories: 'satisfaction with professional practice
(attending clinical settings and communicating with patients, the feeling of
being beneficial)'; 'personal development (growing interest in nursing, feeling
competent in helping others, changing character and attitude shift towards
patients)'; 'professional development (realizing the importance of nursing
knowledge, appreciating professional roles, a changing their understanding of
nursing and the meaning it)'; and 'attaining professional commitment (a tendency
to present oneself as a nurse, attempting to change oneself, other students and
the public image of nursing)'. DISCUSSION: Development of professional identity
is a continual process of transition. The greatest transition occurred in the
last year of the programme. CONCLUSION: Nursing students experienced transition
to PI through gaining satisfaction with professional practice, undergoing
personal and professional development and developing a professional commitment.
IMPLICATIONS FOR NURSING AND HEALTH POLICY: Educational policymakers can use our
findings for developing strategies that facilitate and support nursing students'
transition to professional identity.
PMID- 27882564
TI - Processing black mulberry into jam: effects on antioxidant potential and in vitro
bioaccessibility.
AB - BACKGROUND: Black mulberries (Morus nigra) were processed into jam on an
industrialised scale, including the major steps of: selection of frozen black
mulberries, adding glucose-fructose syrup and water, cooking, adding citric acid
and apple pectin, removing seeds, and pasteurisation. Qualitative and
quantitative determinations of antioxidants in black mulberry samples were
performed using spectrophotometric methods, as well as HPLC- and LC-QTOF-MS-based
measurements. These analyses included the determination of total polyphenolic
content, % polymeric colour, total and individual anthocyanin contents,
antioxidant capacity, and in vitro bioaccessibility in processing samples.
RESULTS: Jam processing led to a significant reduction in total phenolics (88%),
total flavonoids (89%), anthocyanins (97%), and antioxidant capacity (88-93%) (P
< 0.05). Individual anthocyanin contents, determined using HPLC analysis, also
showed a significant decrease (~99% loss). In contrast, % recovery of
bioaccessible total phenolics, anthocyanins, and antioxidant capacity (ABTS
assay) increased after jam processing (16%, 12%, and 37%, respectively).
CONCLUSION: Fruit processing resulted in losses of polyphenols, anthocyanins, and
antioxidant capacity of black mulberry jam. Optimisation of food processing could
help to protect the phenolic compounds in fruits which might be helpful for the
food industry to minimise the antioxidant loss and improve the final product
quality. (c) 2016 Society of Chemical Industry.
PMID- 27882565
TI - Tanshinone IIA attenuates Abeta25-35 -induced spatial memory impairment via
upregulating receptors for activated C kinase1 and inhibiting autophagy in
hippocampus.
AB - OBJECTIVES: Tanshinone IIA (Tan IIA) may exert significant protective effects
against the neurotoxicity induced by beta-amyloid protein (Abeta). This study was
designed to investigate the possible neuroprotective mechanism of Tan IIA on
Abeta25-35 -induced spatial memory impairment in mice. METHODS: After 3 weeks of
preventive treatment (Tan IIA or oil), all male Kunming mice were subjected to
Abeta25-35 (10 MUl, intracerebroventricularly (i.c.v.)) to establish the spatial
memory impairment model. The Morris water maze (MWM), haematoxylin and eosin
staining, real-time PCR and Western blot were performed to determine the ability
of spatial memory, neuronal damage and expression of extracellular signal
regulated kinase (ERK), receptors for activated C kinase1 (RACK1) and autophagy
related genes. Additionally, ShRACK1 was used to decrease the level of RACK1 in
the hippocampus to test Beclin1 in hippocampus by real-time PCR and Western blot.
KEY FINDINGS: Tanshinone IIA (Tan IIA, 80 mg/kg) administration notably protected
mice from Abeta25-35 -induced spatial memory impairment and neurotoxicity,
increased pERK/ERK and the expression of RACK1, and reduced the elevated levels
of BECLIN1 and LC3-II/I in the hippocampus. In addition, ShRACK1 i.c.v markedly
upregulated BECLIN1 level, but not altered Beclin1 mRNA expression in the
hippocampus. CONCLUSIONS: Tanshinone IIA may exert neuroprotective effects via
upregulating RACK1 and inhibiting autophagy in the hippocampus of mice.
PMID- 27882567
TI - Autoantibodies Directed Against the Endothelin A Receptor in Patients With Benign
Prostatic Hyperplasia.
AB - BACKGROUND: Over-stimulation of G-protein coupled receptors (GPCRs) such as
alpha1-adrenergic, muscarinic, endothelin, and AT1 receptors is considered to
drive benign prostatic hyperplasia (BHP) which is often associated with lower
urinary tract syndrome (LUTS). However, in addition to physiologic GPCR ligands,
there is a new class of autoantibodies called functional autoantibodies that
target the same GPCRs (GPCR-AABs) for over-stimulation, thus, presenting
pathogenic potency. We hypothesize that patients with BPH/LUTS could carry GPCR
AABs representing potential targets for treatment. METHODS: GPCR-AABs were
identified, quantified, and characterized in the serum from 20 patients (aged 55
82 years, median 71 years) with BPH using the bioassay of spontaneously beating
cultured neonatal rat cardiomyocytes. RESULTS: A sum of 60% of the patients were
positive for agonistic autoantibodies directed against the endothelin A receptor
(ETA-AABs). ETA-AABs were associated with the IgG 1 subclass, targeted an epitope
located on the second extracellular receptor loop and their agonistic activity
could be neutralized by the aptamer BC007. CONCLUSIONS: Agonistic ETA-AABs could
via uncontrolled over-boarding endothelin A receptor stimulation-contribute to
the pathogenesis of BPH/LUTS. The in vitro demonstrated ETA-AAB neutralization by
the aptamer BC007 could open the door for a new treatment strategy in patients
with BPH/LUTS. Prostate 77:458-465, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27882566
TI - Angiotensin-converting enzyme inhibitory and antioxidant peptides from digestion
of larvae and pupae of Asian weaver ant, Oecophylla smaragdina, Fabricius.
AB - BACKGROUND: Mixed larvae and pupae of weaver ant (Oecophylla smaragdina) are
widely used as an important food ingredient in regions of Thailand. They have
high nutritional values and comprise 53% protein and 13% lipid. Peptides derived
from food proteins have been shown to possess biological activities. RESULTS:
Peptides derived from pepsin and trypsin digestion of these weaver ant larvae and
pupae were purified based on angiotensin-converting enzyme (ACE) inhibitory and
antioxidant activities, and their amino acid sequences were identified by liquid
chromatography-tandem mass spectrometry (LC-MS/MS). In silico docking of peptides
with ACE successfully predicted the inhibitory peptides as confirmed by their
chemical synthesis. Two peptides with sequences of FFGT and LSRVP showed IC50
values for ACE inhibition of 19.5 +/- 1.7 and 52.7 +/- 4.0 umol L-1 ,
respectively. In addition, one potent antioxidant peptide with a sequence of
CTKKHKPNC showed IC50 values of 48.2 +/- 2.1 umol L-1 for DPPH assay and 38.4 +/-
0.2 umol L-1 for ABTS assay, respectively. CONCLUSION: These results indicate
that proteins from larvae and pupae of weaver ants are potential sources of
peptides with anti-ACE and antioxidation bioactivities. (c) 2016 Society of
Chemical Industry.
PMID- 27882568
TI - The evolution of weak standards: the case of the Swedish rheumatology quality
registry.
AB - Research in sociology suggests that the effects of standards are not nearly as
straightforward or as homogenising as they first appear. The present study
extends these insights by demonstrating how even standards designed simply to
collect data can produce extensive and unanticipated effects in medical fields as
their uses evolve across actors and contexts. We draw on an embedded case study
exploring the multifaceted consequences of the use of a practice-driven voluntary
documentation standard: the Swedish rheumatology quality registry from 1995-2014.
Data collection included document analysis; 100 interviews with specialists,
patients and stakeholders in the field; fieldwork; and observations of physician
patient encounters. Our findings show that the scope and influence of the
registry increased over time, and that this standard and its evolution
contributed to changes in rheumatologist clinical practice, research practice,
and governmental practice. These findings suggest that even initially 'weak',
voluntary forms of standardisation can generate far-reaching and unpredictable
consequences for the performance and delivery of care as well as for the
development of a medical field. Future work about how standards can contribute
both to uniformity and diversity is warranted.
PMID- 27882570
TI - Ultrastructural and biomolecular detection of Rickettsiales-like organisms in
tissues of rainbow trout with Red Mark Syndrome.
AB - Red mark syndrome (RMS) and US strawberry disease (US SD) are skin disorders
affecting rainbow trout farmed in Europe and USA. The disease etiology has not
yet been established. In spite of specific investigations, identifying Rickettsia
like organism (RLO)- and Midichloria-like organism (MLO)-related DNA in affected
individuals, these pathogens have never been observed. We performed histological,
ultrastructural and biomolecular analysis on skin and spleen samples of trout
with RMS. Examination by TEM revealed the presence of intracytoplasmic
microorganisms resembling Rickettsiales within macrophages, fibroblasts and
erythrocytes. The microorganisms were oval or short rod shaped (400-800 nm in
length and 100-200 nm in width) and often showed a cell wall similar to Gram
negative bacteria. PCR analysis for Rickettsiales supported these findings: 53%
of affected trout were positive by both PCR and TEM The primers RiFCfw-RiFCrev
were used to anneal both the RLO 16S DNA sequence and the MLO 16S DNA sequence.
For this reason, and in agreement with previous studies confirming the presence
of Rickettsiales-related DNA in trout with RMS, we assume that TEM detected
microorganisms morphologically consistent with bacteria belonging to
Rickettsiales order and could be considered as possible causative agents of RMS.
PMID- 27882569
TI - Selective reduction in the expression of UGTs and SULTs, a novel mechanism by
which piperine enhances the bioavailability of curcumin in rat.
AB - Curcumin (CUR) is known to exert numerous health-promoting effects in
pharmacological studies, but its low bioavailability hinders the development of
curcumin as a feasible therapeutic agent. Piperine (PIP) has been reported to
enhance the bioavailability of curcumin, but the underlying mechanism remains
poorly understood. In an attempt to find the mechanism by which piperine enhances
the bioavailability of curcumin, the dosage ratio (CUR: PIP) and pre-treatment
with piperine were hypothesized as key factors for improving the bioavailability
in this combination. Therefore, combining curcumin with piperine at various dose
ratios (1:1 to 100:1) and pre-dosing with piperine (0.5-8 h prior to curcumin)
were designed to investigate their contributions to the pharmacokinetic
parameters of curcumin in rats and their effects on the expression of UGT and
SULT isoforms. It was shown that the Cmax and AUC0-t of curcumin were slightly
increased by 1.29 and 1.67 fold at a ratio of 20:1, while curcumin exposure was
enhanced significantly in all the piperine pre-treated rats (0.5-8 h), peaking at
6 h (a 6.09-fold and 5.97-fold increase in Cmax and AUC0-t , p < 0.01),
regardless of the unchanged t1/2 and Tmax . Also observed was a time-dependent
inhibition of the hepatic expression of UGT1A6, 1A8, SULT1A1, 1A3, and the
colonic expression of UGT1A6 that occurred within 6 h of piperine pre-treatment
but was reversed at 8 h, which correlated with the changes in curcumin exposure.
Similarly, the inhibitory effect of piperine on most of the UGTs and SULTs are
time-dependent in Caco-2 and HepG2 cells. It is concluded that piperine pre
treatment time-dependently improves the bioavailability of curcumin through the
reversible and selective inhibition of UGTs and SULTs. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27882571
TI - A note on statistical repeatability and study design for high-throughput assays.
AB - Characterizing the technical precision of measurements is a necessary stage in
the planning of experiments and in the formal sample size calculation for optimal
design. Instruments that measure multiple analytes simultaneously, such as in
high-throughput assays arising in biomedical research, pose particular challenges
from a statistical perspective. The current most popular method for assessing
precision of high-throughput assays is by scatterplotting data from technical
replicates. Here, we question the statistical rationale of this approach from
both an empirical and theoretical perspective, illustrating our discussion using
four example data sets from different genomic platforms. We demonstrate that such
scatterplots convey little statistical information of relevance and are
potentially highly misleading. We present an alternative framework for assessing
the precision of high-throughput assays and planning biomedical experiments. Our
methods are based on repeatability-a long-established statistical quantity also
known as the intraclass correlation coefficient. We provide guidance and software
for estimation and visualization of repeatability of high-throughput assays, and
for its incorporation into study design. (c) 2016 The Authors. Statistics in
Medicine Published by John Wiley & Sons Ltd.
PMID- 27882572
TI - A paired comparison of thawed and liquid plasma.
AB - BACKGROUND: To make plasma readily available to treat major hemorrhage, some
centers are internationally using either thawed plasma (TP) or "never-frozen"
liquid plasma (LP). Despite the routine use of both, there are limited data
comparing the two. The hemostatic properties of LP were evaluated and compared to
TP in a paired study. STUDY DESIGN AND METHODS: Two ABO-matched plasma units were
pooled and split to produce 1 unit for LP and 1 unit for TP. Samples of TP and
LP, stored at 2 to 6 degrees C, were tested for a range of coagulation factors,
thrombin generation, and rotational thromboelastometry. An additional 119 units
of LP were collected and analyzed for markers of contact activation (S-2302
cleavage) and cellular content. RESULTS: LP and TP were compared, up to 7 days of
storage, with results showing no difference in the rate of change over time for
any variable measured. When compared to Day 5, LP on Day 7 showed no difference
for any factors measured; however, on Day 11 Factor (F)II, FV, FVII, and protein
S (activity) were lower. Analysis of 119 LP units showed that 26 of 119 (22%)
exhibited cold-induced contact activation by Day 28. CONCLUSION: LP and TP were
comparable in terms of hemostatic variables up to 7 days of storage. Decreasing
coagulation factor activity along with an increased activation risk during
storage of LP needs to be balanced against availability to supply and clinical
need when considering using LP with more than 7 days of storage.
PMID- 27882574
TI - Inter- and intra-operator variability in the analysis of packed cell volume.
AB - OBJECTIVES: To identify whether inter- and intra-operator variability occurs in
the measurement of canine packed cell volume and, if so, at which stage these
errors occur. MATERIALS AND METHODS: Undergraduate veterinary students and
veterinary surgeons were recruited to measure the packed cell volumes of three
samples in duplicate. Measurements from each sample were confirmed by one author,
and it was then ascertained whether the error was made in the capillary
preparation or reading. RESULTS: Data were obtained from 44 students and 11 vets.
A total of 25% of students made errors associated with inadequate mixing; 23%
students and 9% of vets made errors consistent with incorrect reading. There was
also less intra-operator variation in values within the vet group (0.027 from the
mean) in comparison to the student group (-0.21 from the mean). A total of 68.2%
of students and 91% of vets filled the capillary tubes outwith World Health
Organisation standards of two-thirds to three-quarters full. CLINICAL
SIGNIFICANCE: Packed cell volume measurement is extremely useful when measuring
erythroid mass, but it is crucial that the results upon which decisions are made
are accurate and precise in order to manage these cases appropriately. Operator
variation is a significant factor and must be addressed by proper training and
following standard operating procedures.
PMID- 27882575
TI - Influence of the dipolar interactions on the relative stability in spin crossover
systems.
AB - Molecules exhibiting a spin-crossover transition have been proposed for a number
of applications such as molecular switches, spintronic tunable interfaces, and
single molecule gates. Both the rational design of new spin-crossover systems and
the improvement of the properties of the already existing ones require a
theoretical understanding of the relative energy of the high (HS) and low spin
state (LS) molecules in the solid-state. This has proved to be very challenging
so far. Here, we shed some light on the importance of considering the symmetry
and the geometry of the crystallographic cell to correctly evaluate the influence
of the dipolar interactions on the relative energies of the molecular complex in
both different spin states. Moreover, in the case of Fe(SCN)2 (phen)2 dipolar
interactions are found to play an important role for the stabilization of the LS
complex. (c) 2016 Wiley Periodicals, Inc.
PMID- 27882573
TI - Effects of nurse work environment on job dissatisfaction, burnout, intention to
leave.
AB - BACKGROUND: The nursing shortage is a critical issue in many countries. High
turnover rates among nurses is contributing to the shortage, and job
dissatisfaction, intention to leave, and burnout have been identified as some of
the predictors of nurse turnover. A well-established body of evidence
demonstrates that the work environment for nurses influences nurse job
dissatisfaction, intention to leave, and burnout, but there never has been a
study undertaken in Thailand to investigate this relationship. OBJECTIVES: To
investigate how work environment affects job dissatisfaction, burnout, and
intention to leave among nurses in Thailand. METHODS: The study used a cross
sectional survey to collect data from 1351 nurses working in 43 inpatient units
in five university hospitals across Thailand. The participants completed the
Practice Environment Scale of the Nursing Work Index, the Maslach Burnout
Inventory, and measures of job dissatisfaction and intention to leave. Logistical
regression models assessed the association between work environment and nurse
reported job dissatisfaction, burnout, and intent to leave. RESULTS: Nurses
working in university hospitals with better work environments had significantly
less job dissatisfaction, intention to leave, and burnout. CONCLUSION: The nurse
work environment is a significant feature contributing to nurse retention in Thai
university hospitals. IMPLICATIONS FOR NURSING AND HEALTH POLICY: Improving the
work environment for nurses may lead to lower levels of job dissatisfaction,
intention to leave, and burnout. Focusing on these nurse outcomes can be used as
a strategy to retain nurses in the healthcare system. Addressing the challenges
of poor work environments requires coordinated action from policymakers and
health managers.
PMID- 27882576
TI - Looking and listening for learning in arts- and humanities-based creations.
AB - CONTEXT: The arts and humanities are gradually gaining a foothold in health
professions education as a means of supporting the development of future
clinicians who are compassionate, critical and reflexive thinkers, while also
strengthening clinical skills and practices that emphasise patient-centredness,
collaboration and interprofessional practices. Assignments that tap into trainee
creativity are increasingly used both to prepare learners for the demands of
clinical work and to understand the personal and professional challenges learners
face in these contexts. Health professions educators need methods for
interpreting these creations in order to understand each learner's expressions.
This paper describes two theoretical frameworks that can be used to understand
trainees' unique learning experiences as they are expressed in arts- and
humanities-based creations. METHODS: The authors introduce the philosophical
underpinnings and interpretation procedures of two theoretical frameworks that
enable educators to 'hear' and 'see' the multilayered expressions embedded within
arts- and humanities-based student creations: Gilligan's Listening Guide and
Kress and van Leeuwen's approach to visual rhetoric. To illustrate how these
frameworks can be used, the authors apply them to two creative summaries that
learners made as part of a humanities-informed, interprofessional education
intervention that took place in a non-acute-care teaching hospital. The
interpretations of two creative summaries, a poem and a pair of paintings,
highlight how applying these theoretical frameworks can offer important insights
into learners' experiences. CONCLUSIONS: This cross-cutting edge paper describes
how the Listening Guide and visual rhetoric can help health professions educators
listen to and read the arts- and humanities-based creative expressions made by
learners. Insights gained from these interpretations can advance the
understanding of students' personal experiences in different learning
environments and can inform curriculum development.
PMID- 27882577
TI - Sesamoid bones in tuatara (Sphenodon punctatus) investigated with X-ray
microtomography, and implications for sesamoid evolution in Lepidosauria.
AB - Sesamoids bones are small intra-tendinous (or ligamentous) ossifications found
near joints and are often variable between individuals. Related bones, lunulae,
are found within the menisci of certain joints. Several studies have described
sesamoids and lunulae in lizards and their close relatives (Squamata) as
potentially useful characters in phylogenetic analysis, but their status in the
extant outgroup to Squamata, tuatara (Sphenodon), remains unclear. Sphenodon is
the only living rhynchocephalian, but museum specimens are valuable and difficult
to replace. Here, we use non-destructive X-ray microtomography to investigate the
distribution of sesamoids and lunulae in 19 Sphenodon specimens and trace the
evolution of these bones in Lepidosauria (Rhynchocephalia + Squamata). We find
adult Sphenodon to possess a sesamoid and lunula complement different from any
known squamate, but also some variation within Sphenodon specimens. The
penultimate phalangeal sesamoids and tibial lunula appear to mineralize prior to
skeletal maturity, followed by mineralization of a sesamoid between metatarsal I
and the astragalocalcaneum (MTI-AC), the palmar sesamoids, and tibiofemoral
lunulae around attainment of skeletal maturity. The tibial patella, ulnar, and
plantar sesamoids mineralize late in maturity or variably. Ancestral state
reconstruction indicates that the ulnar patella and tibiofemoral lunulae are
synapomophies of Squamata, and the palmar sesamoid, tibial patella, tibial
lunula, and MTI-AC may be synapomorphies of Lepidosauria. J. Morphol. 278:62-72,
2017. (c)(c) 2016 Wiley Periodicals,Inc.
PMID- 27882578
TI - Creative art and medical student development: a qualitative study.
AB - OBJECTIVES: Although many medical schools include arts-based activities in their
curricula, empirical evidence is lacking regarding how the creation of art might
impact medical students and their professional development. We used a qualitative
research design in order to understand this process. METHODS: We conducted and
analysed interviews with 16 medical students who had created and presented
original artwork in the context of a required narrative-based undergraduate
medical education programme. Teams of students collaborated to create
interpretive projects based on common themes arising from conversations with
individuals with chronic illness and their families. Open-ended questions were
utilised to explore the conceptualisation and presentation of the projects, the
dynamics of teamwork and the meaning(s) they might have for the students'
professional development. We identified themes using repeated contextual reading
of the transcripts, which also enhanced accuracy of the interpretations and
ensured saturation of themes. RESULTS: Several major themes and sub-themes were
identified. The creation of art led to a sense of personal growth and
development, including reflection on past life experiences, self-discovery and an
awareness of art as a creative outlet. Students also reported an enhanced sense
of community and the development of skills in collaboration. Lastly, students
reflected on the human dimensions of illness and medical care and identified an
enhanced awareness of the experience of those with illness. CONCLUSIONS: A
programme involving the creation of art based on stories of illness encouraged
students' explorations of conceptions of the self, family and society, as well as
illness and medical care, while enhancing the development of a collaborative and
patient-centred worldview. Creative art can be a novel educational tool to
promote a reflective, humanistic medical practice.
PMID- 27882579
TI - Learner : preceptor ratios for practice-based learning across health disciplines:
a systematic review.
AB - CONTEXT: Practice-based learning is a cornerstone of developing clinical and
professional competence in health disciplines. Practice-based learning systems
have many interacting components, but a key facet is the number of learners per
preceptor. Different learner : preceptor ratios may have unique benefits and pose
unique challenges for participants. This is the first comprehensive systematic
review of the topic. Our research questions were: What are the benefits and
challenges of each learner : preceptor ratio in practice-based learning from the
perspectives of the learners, preceptors, patients and stakeholder organisations
(i.e. the placing and health care delivery organisations)? Are any ratios
superior to others with respect to these characteristics and perspectives?
METHODS: Qualitative systematic review of published English-language literature
since literature database inception, including multiple health disciplines.
RESULTS: Seventy-three articles were included in this review. Eight learner :
preceptor ratio arrangements were identified involving nursing, physiotherapy,
occupational therapy, pharmacy, dietetics, speech and language therapy, and
medicine. Each arrangement offers unique benefits and challenges from the
perspectives of learners, preceptors, programmes and health care delivery
organisations. Patient perspectives were absent. Despite important advantages of
each ratio for learners, preceptors and organisations, some of which may be
profession specific, the 2 : 1 and 2+ : 2+ learner : preceptor ratios appear to
be most likely to successfully balance the needs of all stakeholders.
CONCLUSIONS: Regardless of the learner : preceptor ratio chosen for its expected
benefits, our results illuminate challenges that can be anticipated and managed.
Patient perspectives should be incorporated into future studies of learner :
preceptor ratios.
PMID- 27882580
TI - When I say ... sociomateriality.
PMID- 27882581
TI - Achieved good response of S-1 and docetaxel combination chemotherapy in two
patients with metastatic extramammary Paget's disease.
PMID- 27882582
TI - Hormonal treatment with transdermal testosterone in patients with male accessory
gland inflammation (MAGI): Effects on sperm parameters.
AB - Recently, it has been reported that treatment with testosterone (T) could have
favourable effects on prostate inflammation; however, the data appear
inconsistent. The main evidences concern experimental studies, and there is lower
information obtainable from clinical studies. This study was conducted on
patients with diagnosis of male accessory gland infection (MAGI) and a
concomitant hormonal condition of acquired hypergonadotropic hypogonadism and has
evaluated the effects on sperm parameters of the administration of a transdermal
formulation of T gel for 3 months. The treated patients showed a significantly
increased percentage of spermatozoa with normal form and progressive motility (p
< .05 vs baseline), a significant reduction of CD45pos leucocytes in the semen (p
< .05 vs baseline) and finally a significant increase of the seminal
concentrations of zinc, fructose and alpha-glucosidase (p < .05 vs baseline)
identified as key parameters associated to secretory function of the male
accessory glands. The results of this study suggest the use of transdermal T in
hypogonadal patients with MAGI for favourable effects on sperm parameters.
PMID- 27882584
TI - When I say ... chief complaint.
PMID- 27882583
TI - How clinical medical students perceive others to influence their self-regulated
learning.
AB - OBJECTIVES: Undergraduate medical students are prone to struggle with learning in
clinical environments. One of the reasons may be that they are expected to self
regulate their learning, which often turns out to be difficult. Students' self
regulated learning is an interactive process between person and context, making a
supportive context imperative. From a socio-cultural perspective, learning takes
place in social practice, and therefore teachers and other hospital staff present
are vital for students' self-regulated learning in a given context. Therefore, in
this study we were interested in how others in a clinical environment influence
clinical students' self-regulated learning. METHODS: We conducted a qualitative
study borrowing methods from grounded theory methodology, using semi-structured
interviews facilitated by the visual Pictor technique. Fourteen medical students
were purposively sampled based on age, gender, experience and current clerkship
to ensure maximum variety in the data. The interviews were transcribed verbatim
and were, together with the Pictor charts, analysed iteratively, using constant
comparison and open, axial and interpretive coding. RESULTS: Others could
influence students' self-regulated learning through role clarification, goal
setting, learning opportunities, self-reflection and coping with emotions. We
found large differences in students' self-regulated learning and their
perceptions of the roles of peers, supervisors and other hospital staff. Novice
students require others, mainly residents and peers, to actively help them to
navigate and understand their new learning environment. Experienced students who
feel settled in a clinical environment are less susceptible to the influence of
others and are better able to use others to their advantage. CONCLUSIONS:
Undergraduate medical students' self-regulated learning requires context-specific
support. This is especially important for more novice students learning in a
clinical environment. Their learning is influenced most heavily by peers and
residents. Supporting novice students' self-regulated learning may be improved by
better equipping residents and peers for this role.
PMID- 27882585
TI - Clinicopathological significance of a solid component in papillary thyroid
carcinoma.
AB - AIMS: Solid variant of papillary thyroid carcinoma (SVPTC) is characterized by a
solid component (SC) involving more than 50% of the tumour with the preservation
of the classical cytological features of papillary thyroid carcinoma (PTC).
However, the clinical significance of SC in PTC has been rarely examined. Herein,
we investigated retrospectively the clinicopathological features of PTC with
various degrees (10-85%) of SC (PTCSC). METHODS AND RESULTS: Patients with PTCSC
(n = 27) were stratified into SC-major (SC > 50% of the tumour) and SC-minor (SC
< 49%) groups. The clinicopathological parameters were compared to the well
differentiated PTC (WPTC) group (n = 47). Both SC-minor (n = 18) and SC-major (n
= 9) groups had increased incidence of a large-sized tumour, extracapsular
extension and a high recurrence rate, compared to WPTC. Disease-free survival
(DFS) of both SC-minor and SC-major was shorter than that of WPTC (P = 0.035 and
P = 0.016, respectively). Overall survival was similar among all the groups.
Univariate analysis revealed that SC was associated significantly with a
recurrence rate (P = 0.018). Using multivariate analysis, SC appeared to be
associated with a recurrence rate with borderline significance (P = 0.055).
CONCLUSIONS: Our findings indicate that the presence of SC in PTC, regardless of
the proportion, is associated with adverse clinical parameters and a shorter DFS.
PMID- 27882587
TI - "Pushing the Button While Pushing the Argument": Motor Priming of Abstract Action
Language.
AB - In a behavioral study we analyzed the influence of visual action primes on
abstract action sentence processing. We thereby aimed at investigating mental
motor involvement during processes of meaning constitution of action verbs in
abstract contexts. In the first experiment, participants executed either
congruous or incongruous movements parallel to a video prime. In the second
experiment, we added a no-movement condition. After the execution of the
movement, participants rendered a sensibility judgment on action sentence
targets. It was expected that congruous movements would facilitate both concrete
and abstract action sentence comprehension in comparison to the incongruous and
the no-movement condition. Results in Experiment 1 showed a concreteness effect
but no effect of motor priming. Experiment 2 revealed a concreteness effect as
well as an interaction effect of the sentence and the movement condition. The
findings indicate an involvement of motor processes in abstract action language
processing on a behavioral level.
PMID- 27882588
TI - Clinical and epidemiological analysis in 149 cases of rhododendrol-induced
leukoderma.
AB - Rhododendrol-induced leukoderma is an acquired depigmentation that develops
mainly at the contact site after repeated use of skin-whitening cosmetics
containing rhododendrol. In most cases, cessation of further depigmentation or
occurrence of repigmentation is observed after discontinuing the use of
cosmetics. However, some patients develop vitiligo vulgaris through the spread of
depigmentation into the non-exposed areas. Our study aims to investigate the
patient-specific factors that may affect the extent of depigmentation or
repigmentation, as well as development of vitiligo vulgaris. The degree of
depigmentation of the face, neck and hands where exposed to rhododendrol was
scored using photographs over time. The relationships between depigmentation
score at first visit/improvement rate of depigmentation score and patient
demographics were evaluated and three important clinical observations were made.
First, repigmentation of the face was superior compared with that of the hands
and neck, suggesting a possible role for the migration and differentiation of
melanocyte stem cells from hair follicles, as a mechanism of repigmentation.
Second, the intensity of rhododendrol exposure did not contribute to differences
in the severity of depigmentation. This suggested a possibility of underlying
genetic susceptibility to melanocyte cytotoxicity or immune reaction. Third,
depigmentation score at first visit and past history of atopic dermatitis were
significantly high in patients who developed vitiligo vulgaris. This suggested
that severe chemical damage of melanocytes by rhododendrol leads to a higher risk
of developing vitiligo vulgaris through the possible involvement of an immune
reaction. These clinical observations may help to further understand the
pathogenesis of rhododendrol-induced leukoderma.
PMID- 27882590
TI - Non-pigmented basal cell carcinoma occurring within a widespread vitiliginous
lesion.
PMID- 27882591
TI - More than a coincidence? Cholesterol crystal embolization in the unaffected
abdominal skin.
PMID- 27882589
TI - Attenuation of the cyproterone acetate-induced testicular hypofunction by a novel
nutraceutical lycopene: a genomic approach.
AB - This study was designed to explore the cyproterone acetate (CPA)-induced
andrological hypofunction and its correction by oral administration of lycopene.
In this concern, spermatogenic, biochemical, histological and genomic profiles
were studied. Cyproterone acetate administration for 1 month helped to develop
infertile model rats. A significant recovery was noted in sperm motility, sperm
count, sperm viability, hypo-osmotic swelling tail-coiled spermatozoa; activities
of testicular ?5 , 3beta-hydroxysteroid dehydrogenase (HSD), 17beta-HSD, catalase
(CAT) and superoxide dismutase (SOD); and levels of conjugated diene (CD),
malondialdehyde (MDA), testicular cholesterol and serum testosterone after the
administration of lycopene at 1.5 mg/0.5 ml Tween-80/100 g body weight/day for
last 1 month to infertile model rats. Simultaneously, qRT-PCR study of Bax, Bcl
2, caspase-3, ?5 , 3beta-HSD and 17beta-HSD genes in testicular tissue showed a
significant rectification towards the control in CPA-pre-treated cum CPA-lycopene
cotreated rats. Side-by-side histological and histometric studies showed a
significant correction in qualitative analysis of spermatogenesis and
seminiferous tubular diameter (STD) in CPA-pre-treated cum CPA-lycopene-cotreated
rats. Lycopene showed outstanding efficacy in the management of CPA-induced
testicular hypofunction with special reference to correction in oxidative stress
induced testicular apoptosis at genomic level.
PMID- 27882592
TI - Ureterorenoscopy with stenting and its effect on male sexual function: A
controlled randomised prospective study.
AB - Seventy-two male patients, who were included in this study, underwent
ureteroscopic stone surgery (study group). Forty-two healthy males were enrolled
as control group. Changes in sexual function were evaluated using International
Index of Erectile Function questionnaire in pre-operative, first and third
postoperative terms. Overall satisfaction in relation to the age, operation time,
presence of stents, body mass index, educational status, previous operations,
International Index of Erectile Function score, International Prostate Symptom
Score, Quality of Life, income status, Male Sexual Health Questionnaire, stone
free rates and Beck's depression scale were evaluated. Erectile and ejaculatory
functions, quality of life and lower urinary tract symptoms were negatively
affected due to ureteroscopic stone surgery, while educational status,
psychogenic aspect and income status remained stable. In conclusion,
ureteroscopic stone surgery with JJ catheterisation seems to have a progressively
decreasing negative effect on male sexual function and whenever possible,
stenting should be avoided. If JJ stenting is necessary, patients should be
informed that they may experience sexual dysfunction at least for 3 months and if
stenting proves necessary the indwelling should be kept as short as possible.
PMID- 27882586
TI - Efficacy and safety of dose escalation of infliximab therapy in Japanese patients
with psoriasis: Results of the SPREAD study.
AB - Although infliximab is approved for psoriasis, its efficacy is reduced over time
in some patients. The aim of this phase III trial is to evaluate efficacy and
safety of infliximab dose escalation in Japanese psoriasis patients with loss of
efficacy to standard-dose therapy. Patients with plaque psoriasis, psoriatic
arthritis, pustular psoriasis or psoriatic erythroderma who showed loss of
efficacy to standard-dose therapy received infliximab dose escalation (10 mg/kg
every 8 weeks) from weeks 0 to 32. Loss of efficacy was defined as not
maintaining 50% reduction in the Psoriasis Area and Severity Index (PASI 50)
after achieving PASI 75. Efficacy and safety were evaluated up to week 40. Fifty
one patients received dose escalation and 43 completed the study. PASI 75 and
median improvement rate of PASI score at week 40 were 44% and 70.0%,
respectively, showing efficacy in skin symptoms. Efficacies in quality of life,
nail psoriasis and joint pain were also obtained. Median serum infliximab level
increased from less than 0.1 to 1.1 MUg/mL from weeks 0 to 40, showing positive
correlation between efficacy and serum infliximab level at week 40. Favorable
efficacy was observed in patients with detectable serum infliximab levels (>=0.1
MUg/mL) at baseline. Incidences of adverse events, serious adverse events,
serious infections and serious infusion reactions were 92%, 10%, 4% and 0%,
respectively. No marked difference was observed in both efficacy and safety among
psoriasis types. No new safety concerns were observed. Infliximab dose escalation
was effective and well-tolerated in psoriasis patients with loss of efficacy to
standard-dose therapy, suggesting that dose escalation may be a useful
therapeutic option for these patients.
PMID- 27882593
TI - Can colleagues', patients' and supervisors' assessments predict successful
completion of postgraduate medical training?
AB - BACKGROUND: Workplace-based assessments (WPBAs) are widely used in postgraduate
training programmes internationally. WPBAs can function as both an assessment and
an educational tool. Although some WPBAs are a mandatory requirement of approved
curricula (as in the UK), little previous research has explored the extent to
which such assessments are associated with adverse outcomes in postgraduate
training. The present study examines whether the assessment element of
Multisource Feedback, Patient Satisfaction Questionnaire and Educational
Supervisors Review ratings can indicate if a trainee is likely to experience
difficulty in successfully completing their planned training programme (i.e. fail
one of their licensing assessments or need additional training time). METHODS:
Data were collected for trainees undergoing a General Practice specialty training
placement in Health Education East Midlands (UK). Multivariate analyses were used
to examine the predictive validity of the WPBAs and the outcome measures (n = 327
to 329) and t-tests to calculate whether there were significant differences in
performance between trainees who received low versus high WPBA ratings (t = 4.03
to 10.27, p < 0.01). RESULTS: Multisource Feedback, Patient Satisfaction
Questionnaire and Educational Supervisor's Review ratings were significantly
correlated with subsequent performance in licensing exams (r = 0.14 to 0.47, p <
0.01), and in addition explained significant variance in the likelihood of
requiring additional training time (15.6% to 25.6%, p < 0.01). CONCLUSIONS: Based
on the findings, we assert that Multisource Feedback, Patient Satisfaction
Questionnaire and Educational Supervisor's Review ratings have validity for
predicting performance during training and are thus a useful tool for identifying
trainees who are more likely to experience difficulty and could benefit from
early additional support.
PMID- 27882594
TI - "Cuts in Action": A High-Density EEG Study Investigating the Neural Correlates of
Different Editing Techniques in Film.
AB - In spite of their striking differences with real-life perception, films are
perceived and understood without effort. Cognitive film theory attributes this to
the system of continuity editing, a system of editing guidelines outlining the
effect of different cuts and edits on spectators. A major principle in this
framework is the 180 degrees rule, a rule recommendation that, to avoid
spectators' attention to the editing, two edited shots of the same event or
action should not be filmed from angles differing in a way that expectations of
spatial continuity are strongly violated. In the present study, we used high
density EEG to explore the neural underpinnings of this rule. In particular, our
analysis shows that cuts and edits in general elicit early ERP component
indicating the registration of syntactic violations as known from language,
music, and action processing. However, continuity edits and cuts-across the line
differ from each other regarding later components likely to be indicating the
differences in spatial remapping as well as in the degree of conscious awareness
of one's own perception. Interestingly, a time-frequency analysis of the
occipital alpha rhythm did not support the hypothesis that such differences in
processing routes are mainly linked to visual attention. On the contrary, our
study found specific modulations of the central mu rhythm ERD as an indicator of
sensorimotor activity, suggesting that sensorimotor networks might play an
important role. We think that these findings shed new light on current
discussions about the role of attention and embodied perception in film
perception and should be considered when explaining spectators' different
experience of different kinds of cuts.
PMID- 27882595
TI - Children's Representation and Imitation of Events: How Goal Organization
Influences 3-Year-Old Children's Memory for Action Sequences.
AB - Children's imitation of adults plays a prominent role in human cognitive
development. However, few studies have investigated how children represent the
complex structure of observed actions which underlies their imitation. We
integrate theories of action segmentation, memory, and imitation to investigate
whether children's event representation is organized according to veridical
serial order or a higher level goal structure. Children were randomly assigned to
learn novel event sequences either through interactive hands-on experience (Study
1) or via storybook (Study 2). Results demonstrate that children's representation
of observed actions is organized according to higher level goals, even at the
cost of representing the veridical temporal ordering of the sequence. We argue
that prioritizing goal structure enhances event memory, and that this mental
organization is a key mechanism of social-cognitive development in real-world,
dynamic environments. It supports cultural learning and imitation in ecologically
valid settings when social agents are multitasking and not demonstrating one
isolated goal at a time.
PMID- 27882596
TI - Core Intuitions About Persons Coexist and Interfere With Acquired Christian
Beliefs About God.
AB - This study tested the hypothesis that in the minds of adult religious adherents,
acquired beliefs about the extraordinary characteristics of God coexist with,
rather than replace, an initial representation of God formed by co-option of the
evolved person concept. In three experiments, Christian religious adherents were
asked to evaluate a series of statements for which core intuitions about persons
and acquired Christian beliefs about God were consistent (i.e., true according to
both [e.g., "God has beliefs that are true"] or false according to both [e.g.,
"All beliefs God has are false"]) or inconsistent (i.e., true on intuition but
false theologically [e.g., "God has beliefs that are false"] or false on
intuition but true theologically [e.g., "All beliefs God has are true"]).
Participants were less accurate and slower to respond to inconsistent versus
consistent statements, suggesting that the core intuitions both coexisted
alongside and interfered with the acquired beliefs (Experiments 1 and 2). In
Experiment 2 when responding under time pressure participants were
disproportionately more likely to make errors on inconsistent versus consistent
statements than when responding with no time pressure, suggesting that the
resolution of interference requires cognitive resources the functioning of which
decreases under cognitive load. In Experiment 3 a plausible alternative
interpretation of these findings was ruled out by demonstrating that the response
accuracy and time differences on consistent versus inconsistent statements occur
for God-a supernatural religious entity-but not for a natural religious entity (a
priest).
PMID- 27882598
TI - Kaposi's varicelliform eruption presenting with extensive skin lesions and
sepsis.
PMID- 27882597
TI - Morphological analyses in fragility of pili torti with Bjornstad syndrome.
AB - Pili torti is an extremely rare hair phenotype characterized by short length of
hairs with hair shafts being easily broken. However, the mechanism of fragility
in pili torti is unclear. In this study, we examined the underlying morphological
features responsible for pili torti formation using transmission electron
microscopy (TEM). We used pili torti samples from a patient with Bjornstad
syndrome and normal hairs from a healthy subject as a comparison. The macroscopic
morphological features of the samples agreed with the results of a previous study
showing that pili torti is twisted, flattened, thin and with partial
trichorrhexis. Young's modulus of the samples was lower than that of normal
hairs. Because the cross-sectional area of the pili torti samples was also
smaller than that of normal hairs, it was clarified that the tensile strength of
pili torti is 2.1-times lower than that of normal hair. Assessment of
morphological features by TEM showed that the cuticle layers of the samples had
wavy shapes with different thicknesses. Additionally, the cortex in the samples
showed loose keratin intermediate filaments (IF). Our results suggested that
these abnormalities in pili torti had already occurred below the infundibulum.
Thus, the weakness of pili torti in tensile strength is thought to result from
loose IF because of dysformation of disulfide bonds.
PMID- 27882599
TI - 46,XX males: a case series based on clinical and genetics evaluation.
AB - 46,XX male sex reversal syndrome is one of the rarest sex chromosomal
aberrations. The presence of SRY gene on one of the X chromosomes is the most
frequent cause of this syndrome. Based on Y chromosome profile, there are SRY
positive and SRY-negative forms. The purpose of our study was to report first
case series of Iranian patients and describe the different clinical appearances
based on their genetic component. From the 8,114 azoospermic and severe
oligozoospermic patients referred to Royan institute, we diagnosed 57 cases as
sex reversal patients. Based on the endocrinological history, we performed
karyotyping, SRY and AZF microdeletion screening. Patients had a female
karyotype. According to available hormonal reports of 37 patients, 16 cases had
low levels of testosterone (43.2%). On the other hand, 15 males were SRY positive
(90.2%), while they lacked the spermatogenic factors encoding genes on Yq.
Commencing the testicular differentiation in males, the SRY gene is considered to
be very important in this process. Due to homogeneous results of karyotyping and
AZF deletion, there are both positive and negative SRY cases that show similar
sex reversal phenotypes. Evidences show that there could be diverse phenotypic
differences that could be raised from various reasons.
PMID- 27882601
TI - Case of pigmented epithelioid melanocytoma affecting the thumbnail.
PMID- 27882600
TI - Muscular fitness and metabolic and inflammatory biomarkers in adolescents:
Results from LabMed Physical Activity Study.
AB - This study aimed to evaluate the associations between muscular fitness and
inflammatory biomarkers and to investigate the relationship between muscular
fitness and selected clustered inflammatory biomarkers in adolescents. This is a
cross-sectional analysis with 529 adolescents (267 girls) aged 12-18 years.
Handgrip strength and standing long jump tests assessed MF. Continuous scores of
clustered inflammatory biomarkers (sum of Z-scores of C-reactive protein [CRP],
C3, C4, fibrinogen, and leptin); metabolic risk factor (MRF) score (sum of Z
scores of SBP, triglycerides, ratio total cholesterol [TC]/HDL, HOMA-IR, and
waist circumference [WC]) were computed. Regression analyses showed an inverse
association between muscular fitness score (beta=-.204; P<.021) and clustered
score of inflammatory biomarkers, adjusted for age, sex, pubertal stage,
socioeconomic status, adherence to the Mediterranean diet, cardiorespiratory
fitness (CRF), MRF score, and body fat. Analysis of covariance showed that
adolescents with an adverse inflammatory profile with low levels of muscular
fitness exhibit the poorest MRF score (F3,525 =6.461; P<.001), adjusted for age,
sex, pubertal stage, socioeconomic status, adherence to the Mediterranean diet,
CRF, and body fatness. The inflammatory state seems to explain a significant part
of the highest MRF score and in adolescents with high inflammatory status and low
muscular strength.
PMID- 27882603
TI - Establishment and characterization of a cell population derived from a
dentigerous cyst.
AB - BACKGROUND: Dentigerous cyst (DC) occurs in approximately 20% of jaw cysts, being
the second major common odontogenic cyst, after radicular cyst. This oral lesion
has the ability to destroy maxillary bones and could be the origin of several
odontogenic tumors. However, molecules implicated in its pathogenesis as well as
those involved in its neoplastic transformation remain unknown. Here, we
established a cell population derived from a DC as an in vitro model for the
study of this oral lesion. METHODS: Cell culture was performed from a DC from a
44-year-old male. Cells were cultured at 37 degrees C in DMEM/F12 medium
containing 10% fetal bovine serum. Expression of epithelial markers was analyzed
by Western blot and immunofluorescence. Ultrastructural characterization was
carried out by transmission electron microscopy. Conditioned media were obtained
and characterized by zymography and Western blot. RESULTS: Cells showed spindle
shaped morphology, but they express epithelial markers, such as cytokeratins and
the odontogenic ameloblast-associated protein. The ultrastructural analysis
showed well-formed desmosomes present in adhering contiguous cells, confirming
the epithelial lineage of this cell population. Cells also contain several
vesicles adjacent to plasma membrane, suggesting an active secretion. Indeed, the
analysis of the conditioned medium revealed the presence of several secreted
proteins, among them the matrix metalloproteinase-2. CONCLUSIONS: Our work
provides a useful model to identify the molecular mechanisms involved in the
pathogenesis of DC.
PMID- 27882602
TI - The resveratrol derivatives trans-3,5-dimethoxy-4-fluoro-4'-hydroxystilbene and
trans-2,4',5-trihydroxystilbene decrease oxidative stress and prolong lifespan in
Caenorhabditis elegans.
AB - OBJECTIVES: Resveratrol (trans-3,4',5-trihydroxystilbene (1)) was previously
shown to extend the lifespan of different model organisms. However, its
pharmacological efficiency is controversially discussed. Therefore, the
bioactivity of four newly synthesized stilbenes (trans-3,5-dimethoxy-4-fluoro-4'
hydroxystilbene (3), trans-4'-hydroxy-3,4,5-trifluorostilbene (4), trans-2,5
dimethoxy-4'-hydroxystilbene (5), trans-2,4',5-trihydroxystilbene (6)) was
compared to (1) and pterostilbene (trans-3,5-dimethoxy-4'-hydroxystilbene (2)) in
the established model organism Caenorhabditis elegans. METHODS: Trolox equivalent
antioxidant capacity (TEAC), 2',7'-dichlorofluorescein (DCF), thermotolerance
assays, C. elegans lifespan analyses. KEY FINDINGS: All compounds exert a strong
in-vitro radical scavenging activity (6 > 1 > 5 > 2 = 3 = 4), but in vivo, only
(3) and (6) reduce reactive oxygen species (ROS) accumulation. Furthermore, (3)
and (6) increased the mobility of aged nematodes and prolonged their mean
lifespans, while these compounds decreased the thermal stress resistance. Using
daf-16 (FoxO), skn-1 (Nrf2) and sir-2.1 (sirtuin) loss-of-function mutant
strains, the in vivo antioxidant effects of compounds (3) and (6) were abolished,
showing the necessity of these evolutionary highly conserved factors. However,
short-time treatment with stilbenes (3) and (6) did not modulate the cellular
localization of the transcription factors DAF-16 and SKN-1. CONCLUSION: In
contrast to resveratrol, the synthetic stilbene derivatives (3) and (6) increase
the lifespan of C. elegans, rendering them promising candidates for
pharmacological anti-ageing purposes.
PMID- 27882604
TI - The "Dog Bone Technique" A Novel Easy and Safe Catheter Maneuver for Aortic Arch
and Coarctation Stenting.
AB - BACKGROUND: Various techniques are described to facilitate stable stent
implantation in aortic arch stenosis or coarctation. We describe an alternative
technique, which due to its unique appearance during stent implantation, we have
named "Dog Bone Technique" (DBT). TECHNIQUE: The stent/balloon assembly is placed
across the stenosis, the long sheath is retrieved to uncover the distal 20-50% of
the stent. The balloon is inflated with the pressure inflator just to expand
slightly the stent. Thereafter the sheath is pulled back and the proximal end is
uncovered and partially inflated; therewith the assembly takes the typical "dog
bone" shape before complete inflation and final positioning. Repositioning of the
stent and control angiography is possible at each time of this procedure.
RESULTS: Between 1/2010 and 12/2014 we implanted 91 stents in 87 patients (mean
age 20.2 years). About 71 patients had native or re-coarctation and 16 patients
had transverse aortic arch stenosis. In 38 patients (44%) a pharmacological
exercise test with Orciprenaline was performed during implantation resulting in
high-cardiac output. In none of the patients reduction of cardiac output by
adenosine or a rapid pacing of the right ventricle was required for stable stent
implantation. All stents were implanted in the targeted position using this
single balloon technique. There were no acute or short-term complications
detected. CONCLUSION: DBT is a safe and feasible technique for aortic stent
implantation even at high-cardiac output. Other additional techniques for stent
placement are not necessary to obtain a stable final position in the target
region.
PMID- 27882605
TI - Placebo in sports nutrition: a proof-of-principle study involving caffeine
supplementation.
AB - We investigated the effects of supplement identification on exercise performance
with caffeine supplementation. Forty-two trained cyclists (age 37 +/- 8 years,
body mass [BM] 74.3 +/- 8.4 kg, height 1.76 +/- 0.06 m, maximum oxygen uptake
50.0 +/- 6.8 mL/kg/min) performed a ~30 min cycling time-trial 1 h following
either 6 mg/kgBM caffeine (CAF) or placebo (PLA) supplementation and one control
(CON) session without supplementation. Participants identified which supplement
they believed they had ingested ("caffeine", "placebo", "don't know") pre- and
post-exercise. Subsequently, participants were allocated to subgroups for
analysis according to their identifications. Overall and subgroup analyses were
performed using mixed-model and magnitude-based inference analyses. Caffeine
improved performance vs PLA and CON (P <= 0.001). Correct pre- and post-exercise
identification of caffeine in CAF improved exercise performance (+4.8 and +6.5%)
vs CON, with slightly greater relative increases than the overall effect of
caffeine (+4.1%). Performance was not different between PLA and CON within
subgroups (all P > 0.05), although there was a tendency toward improved
performance when participants believed they had ingested caffeine post-exercise
(P = 0.06; 87% likely beneficial). Participants who correctly identified placebo
in PLA showed possible harmful effects on performance compared to CON. Supplement
identification appeared to influence exercise outcome and may be a source of bias
in sports nutrition.
PMID- 27882606
TI - 50-Fold EQE Improvement up to 6.27% of Solution-Processed All-Inorganic
Perovskite CsPbBr3 QLEDs via Surface Ligand Density Control.
AB - Solution-processed CsPbBr3 quantum-dot light-emitting diodes with a 50-fold
external quantum efficiency improvement (up to 6.27%) are achieved through
balancing surface passivation and carrier injection via ligand density control
(treating with hexane/ethyl acetate mixed solvent), which induces the coexistence
of high levels of ink stability, photoluminescence quantum yields, thin-film
uniformity, and carrier-injection efficiency.
PMID- 27882607
TI - Fear of failure, psychological stress, and burnout among adolescent athletes
competing in high level sport.
AB - The purpose of this study was to investigate fear of failure in highly
competitive junior athletes and the association with psychological stress and
burnout. In total 258 athletes (152 males and 108 females) ranged in age from 15
to 19 years (M = 17.4 years, SD = 1.08) participated. Athletes competed in
variety of sports including both team and individual sports. Results showed in a
variable-oriented approach using regression analyses that one dimension, fear of
experiencing shame and embarrassment had a statistically significant effect on
perceived psychological stress and one dimension of burnout, reduced sense of
accomplishment. However, adopting a person-oriented approach using latent class
analysis, we found that athletes with high levels of fear failure on all
dimensions scored high on burnout. We also found another class with high scores
on burnout. These athletes had high scores on the individual-oriented dimensions
of fear of failure and low scores on the other oriented fear of failure
dimensions. The findings indicate that fear of failure is related to burnout and
psychological stress in athletes and that this association is mainly associated
with the individual-oriented dimensions of fear of failure.
PMID- 27882608
TI - About the in vivo quantitation of skin anisotropy.
AB - BACKGROUND/PURPOSE: Human skin anisotropy is difficult to quantify. The
Cutiscan(r) , is allegedly, the first biometrical system to provide information
on the elastic and viscoelastic properties, as well as on anisotropy and
directionality of the human skin in vivo. Thus, this study aims to contribute to
characterize this new device and its applicability, and to compare its behavior
with two other well-known devices-the Cutometer(r) and the Reviscometer(r) .
METHODS: Measurements were conducted with each device in three different
anatomical sites (forehead, forearm and leg) of 20 female volunteers engaged
after informed consent. The participants in the study were aged 19-73 years (mean
age 37 +/- 18.7 years old), and were divided in two groups (n = 10), based on
their age - Group I, mean age 22 +/- 1.3 years; Group II, mean age 52 +/- 13.7
years. RESULTS: All devices were useful tools to explore the anatomical and the
age dependant changes in biomechanical terms, showing different discriminative
capacities. Interesting correlations were established between the variables
provided by the equipment. CONCLUSION: The Cutiscan(r) descriptors delivered
excellent relationships with those from Cutometer(r) and Reviscometer(r) , while
providing more detailed information about skin anisotropy through a full 360
degrees analysis.
PMID- 27882609
TI - The Application of a Small-Molecule-Based Ternary Memory Device in Transient
Thermal-Probing Electronics.
AB - A small-molecule-based ternary memory device is used in transient thermal-probing
electronics. The PYAE-based memory device is featured with three electrical
transition signals ("0," "1," and "2"), while the heated PYAE-based device is
only characterized by two electrical transition signals ("1" and "2"). The
organic layer of the used devices can be recovered and reused.
PMID- 27882610
TI - Guiding Cell Attachment in 3D Microscaffolds Selectively Functionalized with Two
Distinct Adhesion Proteins.
AB - The combination of three different photoresists into a single direct laser
written 3D microscaffold permits functionalization with two bioactive full-length
proteins. The cell-instructive microscaffolds consist of a passivating framework
equipped with light activatable constituents featuring distinct protein-binding
properties. This allows directed cell attachment of epithelial or fibroblast
cells in 3D.
PMID- 27882612
TI - Relationship between geometry of the extensor mechanism of the knee and risk of
anterior cruciate ligament injury.
AB - The complex inter-segmental forces that are developed across an extended knee by
body weight and contraction of the quadriceps muscle group transmits an
anteriorly directed force on the tibia that strain the anterior cruciate ligament
(ACL). We hypothesized that a relationship exists between geometry of the knees
extensor mechanism and the risk of sustaining a non-contact ACL injury. Geometry
of the extensor mechanism was characterized using MRI scans of the knees of 88
subjects that suffered their first non-contact ACL injury and 88 matched control
subjects with normal knees that were on the same team. The orientation of the
patellar tendon axis was measured relative to the femoral flexion-extension axis
to determine the extensor moment arm (EMA), and relative to tibial long axis to
measure coronal patellar tendon angle (CPTA) and sagittal patellar tendon angle
(SPTA). Associations between these parameters and ACL injury risk were tested
with and without adjustment for flexion and internal rotation position of the
tibia relative to the femur during MRI data acquisition. After adjustment for
internal rotation position of the tibia relative to the femur there were no
associations between EMA, CPTA, and SPTA and risk of suffering an ACL injury.
However, increased internal rotation position of the tibia relative to the femur
was significantly associated with increased risk of ACL injury in female athletes
both in univariate analysis (Odds Ratio = 1.16 per degree of internal rotation of
the tibia, p = 0.002), as well as after adjustment for EMA, CPTA, and SPTA.: (c)
2016 Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop
Res 35:965-973, 2017.
PMID- 27882611
TI - Chronic lactate supplementation does not improve blood buffering capacity and
repeated high-intensity exercise.
AB - Since there is conflicting data on the buffering and ergogenic properties of
calcium lactate (CL), we investigated the effect of chronic CL supplementation on
blood pH, bicarbonate, and high-intensity intermittent exercise performance.
Sodium bicarbonate (SB) was used as a positive control. Eighteen athletes
participated in this double-blind, placebo-controlled, crossover, fully
counterbalanced study. All participants underwent three different treatments:
placebo (PL), CL, and SB. The dose was identical in all conditions: 500 mg/kg BM
divided into four daily individual doses of 125 mg/kg BM, for five consecutive
days, followed by a 2-7-day washout period. On the fifth day of supplementation,
individuals undertook four 30-s Wingate bouts for upper body with 3-min recovery
between bouts. Total mechanical work (TMW) for the overall protocol and for the
initial (1st+2nd) and final (3rd+4th) bouts was determined at each session. Blood
pH, bicarbonate, and lactate levels were determined at rest, immediately and 5
min after exercise. CL supplementation did not affect performance (P > 0.05 for
the overall TMW as well for initial and final bouts), nor did it affect blood
bicarbonate and pH prior to exercise. SB supplementation improved performance by
2.9% for overall TMW (P = 0.02) and 5.9% in the 3rd+4th bouts (P = 0001).
Compared to the control session, SB also promoted higher increases in blood
bicarbonate than CL and PL (+0.03 +/- 0.04 vs +0.009 +/- 0.02 and +0.01 +/- 0.03,
respectively). CL supplementation was not capable of enhancing high-intensity
intermittent performance or changing extracellular buffering capacity challenging
the notion that this dietary supplement is an effective buffering agent.
PMID- 27882613
TI - Improvements of Procedural Results With a New-Generation Self-Expanding
Transfemoral Aortic Valve Prosthesis in Comparison to the Old-Generation Device.
AB - OBJECTIVES: In this study, we compare procedural results of our first Evolut R
(Medtronic, Minneapolis, MN, USA) implantations with the last CoreValve
implantations. Main endpoints include paravalvular regurgitation, major vascular
complications, stroke, and pacemaker implantation. BACKGROUND: The evolution of
transcatheter aortic valve replacement (TAVR) was possible due to various
technical improvements, leading to better periprocedural and long-term outcome.
The newly designed Evolut R valve has the potential to further improve TAVR's
performance. METHODS: We retrospectively analyzed our first 100 consecutive
patients who received the Evolut R valve with the last 100 consecutive patients
who received the CoreValve prosthesis between July 2013 and February 2016. Only
patients treated with a 26 mm or 29 mm bioprosthesis were included. RESULTS: No
significant differences in patient characteristics were noticed. Both angiography
and echocardiography after TAVR showed significantly higher rates of "none or
trace" regurgitation in the Evolut R group (angiography: 67% Evolut R vs. 29.3%
CoreValve; P < 0.001; echocardiography: 68% Evolut R vs. 46.5% CoreValve; P <
0.05). No significant differences were seen in 30-day mortality (7% CoreValve vs.
1% Evolut R), stroke rates (3% CoreValve vs. 2% Evolut R), pacemaker implantation
rates (24% CoreValve vs. 23% Evolut R), and major vascular complications (6%
CoreValve vs. 1% Evolut R). Implantations were significantly higher with the
Evolut R prosthesis. CONCLUSIONS: TAVR with the new Evolut R resulted in
significantly lower paravalvular regurgitation. This may be due to redesigned
cell geometry and higher precision during implantations, as well as the ability
to resheath a malpositioned valve.
PMID- 27882614
TI - alpha-Ketoglutarate dehydrogenase complex moonlighting: ROS signalling added to
the list: An Editorial highlight for 'Reductions in the mitochondrial enzyme
alpha-ketoglutarate dehydrogenase complex in neurodegenerative disease -
beneficial or detrimental?'
AB - Read the highlighted article 'Reductions in the mitochondrial enzyme alpha
ketoglutarate dehydrogenase complex in neurodegenerative disease - beneficial or
detrimental?' on page 823.
PMID- 27882615
TI - Development of Glassy Bicontinuous Cubic Liquid Crystals for Solid Proton
Conductive Materials.
AB - Glassy bicontinuous cubic liquid crystals are developed to be a matrix having a
hydrophilic infinite periodic minimal surface (IPMS). They function as a scaffold
for water, leading to the formation of a 3D continuous hydrogen-bonding network
of water molecules along the IPMS. This material design is advantageous for
developing novel electrolytes with rigidity and high proton conductivity.
PMID- 27882616
TI - Defect-Resistant Radiative Performance of m-Plane Immiscible Al1-x Inx N
Epitaxial Nanostructures for Deep-Ultraviolet and Visible Polarized Light
Emitters.
AB - Planar vacuum-fluorescent-display devices emitting polarized UV-C, blue, and
green light are demonstrated using immiscible Al1-x Inx N nanostructures grown in
nonpolar m-directions. Despite the presence of high concentration of nonradiative
recombination centers, the Al1-x Inx N nanostructures emit polarized light with
the luminescence lifetimes of 22-32 ps at 300 K. This defect-resistant radiative
performance suggests supernormal localized characteristics of electron-hole
pairs.
PMID- 27882617
TI - Heritability estimates of muscle strength-related phenotypes: A systematic review
and meta-analysis.
AB - The purpose of this study was to clarify the heritability estimates of human
muscle strength-related phenotypes (H2 -msp). A systematic literature search was
conducted using PubMed (through August 22, 2016). Studies reporting the H2 -msp
for healthy subjects in a sedentary state were included. Random-effects models
were used to calculate the weighted mean heritability estimates. Moreover,
subgroup analyses were performed based on phenotypic categories (eg, grip
strength, isotonic strength, jumping ability). Sensitivity analyses were also
conducted to investigate potential sources of heterogeneity of H2 -msp, which
included age and sex. Twenty-four articles including 58 measurements were
included in the meta-analysis. The weighted mean H2 -msp for all 58 measurements
was 0.52 (95% confidence intervals [CI]: 0.48-0.56), with high heterogeneity (I2
=91.0%, P<.001). Subgroup analysis showed that the heritability of isometric grip
strength, other isometric strength, isotonic strength, isokinetic strength,
jumping ability, and other power measurements was 0.56 (95% CI: 0.46-0.67), 0.49
(0.47-0.52), 0.49 (0.32-0.67), 0.49 (0.37-0.61), 0.55 (0.45-0.65), and 0.51 (0.31
0.70), respectively. The H2 -msp decreased with age (P<.05). In conclusion, our
results indicate that the influence of genetic and environmental factors on
muscle strength-related phenotypes is comparable. Moreover, the role of
environmental factors increased with age. These findings may contribute toward an
understanding of muscle strength-related phenotypes.
PMID- 27882618
TI - Whole genome sequencing analysis of the cutaneous pathogenic yeast Malassezia
restricta and identification of the major lipase expressed on the scalp of
patients with dandruff.
AB - Malassezia species are opportunistic pathogenic fungi that are frequently
associated with seborrhoeic dermatitis, including dandruff. Most Malassezia
species are lipid dependent, a property that is compensated by breaking down host
sebum into fatty acids by lipases. In this study, we aimed to sequence and
analyse the whole genome of Malassezia restricta KCTC 27527, a clinical isolate
from a Korean patient with severe dandruff, to search for lipase orthologues and
identify the lipase that is the most frequently expressed on the scalp of
patients with dandruff. The genome of M. restricta KCTC 27527 was sequenced using
the Illumina MiSeq and PacBio platforms. Lipase orthologues were identified by
comparison with known lipase genes in the genomes of Malassezia globosa and
Malassezia sympodialis. The expression of the identified lipase genes was
directly evaluated in swab samples from the scalps of 56 patients with dandruff.
We found that, among the identified lipase-encoding genes, the gene encoding
lipase homolog MRES_03670, named LIP5 in this study, was the most frequently
expressed lipase in the swab samples. Our study provides an overview of the
genome of a clinical isolate of M. restricta and fundamental information for
elucidating the role of lipases during fungus-host interaction.
PMID- 27882620
TI - Temperature and Thickness Dependences of the Anisotropic In-Plane Thermal
Conductivity of Black Phosphorus.
AB - The anisotropic basal-plane thermal conductivities of thin black phosphorus
obtained from a new four-probe measurement exhibit much higher peak values at low
temperatures than previous reports. First principles calculations reveal the
important role of crystal defects and weak thickness dependence that is opposite
to the case of graphene and graphite due to the absence of reflection symmetry in
puckered phosphorene.
PMID- 27882619
TI - In Operando Mechanism Analysis on Nanocrystalline Silicon Anode Material for
Reversible and Ultrafast Sodium Storage.
AB - The electrochemical mechanism of nanocrystalline silicon anode in sodium ion
batteries is first studied via in operando Raman and in operando X-ray
diffraction. An irreversible structural conversion from crystalline silicon to
amorphous silicon takes place during the initial cycles, leading to ultrafast
reversible sodium insertion in the newly generated amorphous silicon.
Furthermore, an optimized silicon/carbon composite has been developed to further
improve its electrochemical performance.
PMID- 27882621
TI - YouTube as a source for parents' education on early childhood caries.
AB - BACKGROUND: Patients can and do search the Internet for information on various
health-related topics. YouTubeTM is one popular website they may consult. AIM: To
examine the quality of information offered by YouTubeTM for patients seeking
information on early childhood caries (ECC). DESIGN: YouTubeTM was searched for
videos on ECC. The usefulness of selected videos in providing adequate
information about ECC was evaluated using a 13-point usefulness score. The
guidelines of the American Academy of Pediatric Dentistry were used for
benchmarking. RESULTS: Most videos were uploaded by healthcare professionals
(60%, n = 18). Videos had generally low usefulness scores (mean: 5.1 +/- 2.9;
range: 1-11). An average YouTubeTM video on ECC was viewed two times/day (range:
0.07-34.4 views/day). Less useful videos ranked early on the viewing list, and
viewer's interactions with videos were not affected significantly by their
usefulness. CONCLUSION: YouTubeTM should not be used as a trusted site for
educating patients on ECC.
PMID- 27882622
TI - Black Phosphorus Nanosheet-Based Drug Delivery System for Synergistic
Photodynamic/Photothermal/Chemotherapy of Cancer.
AB - A black phosphorus (BP)-based drug delivery system for synergistic
photodynamic/photothermal/chemotherapy of cancer is constructed. As a 2D
nanosheet, BP shows super high drug loading capacity and pH-/photoresponsive drug
release. The intrinsic photothermal and photodynamic effects of BP enhance the
antitumor activities. The synergistic photodynamic/photothermal/chemotherapy
makes BP-based drug delivery system a multifunctional nanomedicine platform.
PMID- 27882624
TI - Fluorographene Modified by Grignard Reagents: A Broad Range of Functional
Nanomaterials.
AB - Fluorographene is the youngest stoichiometric derivative of graphene; hence, its
reactivity is only poorly explored. Compared to graphene, the significantly
higher reactivity of C-F bonds makes this material a suitable platform for a
large number of chemical modifications. Fluorographene is also the only member of
the halographene family that can be prepared in the stoichiometric composition
(C1 F1 ). Herein, the chemical modification of fluorographene with Grignard
reagents, which are well known in organic synthesis for the formation of new C-C
bonds, is presented. The reaction with alkyl magnesium bromides led to successful
modification of fluorographene with ethyl, vinyl, ethynyl and propargyl groups.
Chemical characterisation showed the presence of covalently bonded functional
groups in a high concentration exceeding one functional group per C6 motif. The
reactivity of Grignard reagents with fluorographene decreased from ethyl to
ethynyl. The terminal carbon-carbon triple bonds were used for click reactions
with organic azides leading to the formation of triazole rings. These findings
open up a broad spectrum of opportunities for simple and robust modification of
graphene by chemical reactions proceeding at room temperature under mild
conditions. These results have major application potential in sensing, biomedical
and energy-related applications.
PMID- 27882623
TI - Concise Review: Stem Cell-Based Treatment of Pelizaeus-Merzbacher Disease.
AB - Pelizaeus-Merzbacher disease (PMD) is an X-linked disorder caused by mutation in
the proteolipid protein-1 (PLP1) gene, which encodes the proteolipid protein of
myelinating oligodendroglia. PMD exhibits phenotypic variability that reflects
its considerable genotypic heterogeneity, but all forms of the disease result in
central hypomyelination, associated in most cases with early neurological
dysfunction, progressive deterioration, and ultimately death. PMD may present as
a connatal, classic and transitional forms, or as the less severe spastic
paraplegia type 2 and PLP-null phenotypes. These disorders are most often
associated with duplications of the PLP1 gene, but can also be caused by coding
and noncoding point mutations as well as full or partial deletion of the gene. A
number of genetically-distinct but phenotypically-similar disorders of
hypomyelination exist which, like PMD, lack any effective therapy. Yet as
relatively pure CNS hypomyelinating disorders, with limited involvement of the
PNS and relatively little attendant neuronal pathology, PMD and similar
hypomyelinating disorders are attractive therapeutic targets for neural stem cell
and glial progenitor cell transplantation, efforts at which are now underway in a
number of research centers. Stem Cells 2017;35:311-315.
PMID- 27882626
TI - Spectrophotometric color analysis of maxillary permanent central incisors in a
pediatric population: a preliminary study.
AB - BACKGROUND: Although several studies have reported the color distribution of
maxillary central incisors and the effects of age and gender, a reliable database
of the color of newly erupted teeth with open apices and the effect of the root
development stage on tooth color shades do not currently exist. AIM: The purpose
of this in vivo study was to perform a spectrophotometric color analysis of
maxillary permanent central incisors based on apical developmental stage, age,
and gender groups. DESIGN: A total of 734 maxillary permanent central incisors
from 367 children aged 7-18 years who have fully erupted, intact, unrestored,
vital right and left maxillary central incisors were evaluated. The patients were
divided into nine groups, according to the root development stage and age.
Digital images were quantified by non-contact spectrophotometry to determine the
tooth color. Each tooth's color shade and L*, a*, and b* values were recorded.
The L*, a*, and b* values were analyzed statistically with a multivariate
analysis of variance test, and the color shades were analyzed with chi-square
tests at the alpha = 0.05 level. RESULTS: The most common general tooth shade,
for both genders, was A2. A statistically significant difference was found
between the 7- to 12-year-old and 13- to 18-year-old age groups in the general
tooth shade and its L* value in the overall, cervical, middle, and incisal sites
(P < 0.05). CONCLUSION: There is a strong relationship between the apical
developmental stages of the teeth and the L* values.
PMID- 27882625
TI - Review of high-risk features of cutaneous squamous cell carcinoma and
discrepancies between the American Joint Committee on Cancer and NCCN Clinical
Practice Guidelines In Oncology.
AB - Cutaneous squamous cell carcinoma (SCC) is a malignancy that arises from
epidermal keratinocytes. Although the majority of cutaneous SCC cases are easily
treated without further complication, some behave more aggressively and carry a
poor prognosis. These "high-risk" cutaneous SCCs commonly originate in the head
and neck and have an increased tendency toward recurrence, local invasion, and
distant metastasis. Factors for high-risk cutaneous SCC include large size (>2
cm), a deeply invasive lesion (>2 mm), incomplete excision, high
grade/desmoplastic lesions, perineural invasion (PNI), lymphovascular invasion,
immunosuppression, and high-risk anatomic locations. Both the National
Comprehensive Cancer Network(r) (NCCN(r) ) and the American Joint Committee on
Cancer (AJCC) identify several of these high-risk features of cutaneous SCC. The
purpose of this article was to review the high-risk features included in these
guidelines, as well as their notable discrepancies and omissions. We also provide
a brief overview of current prophylactic measures, surgical options, and adjuvant
therapies for high-risk cutaneous SCC. (c) 2016 Wiley Periodicals, Inc. Head Neck
39: 578-594, 2017.
PMID- 27882627
TI - Small Photoblinking Semiconductor Polymer Dots for Fluorescence Nanoscopy.
AB - Two types of small photoblinking Pdots with high brightness, strong
photostability, and favorable biocompatibility, are designed. Super-resolution
optical fluctuation imaging is achieved using these Pdots. Imaging of subcellular
structures demonstrates that these small photoblinking Pdots are outstanding
probes for fast, long-term super-resolution fluorescence imaging.
PMID- 27882628
TI - The influence of testicular microlithiasis on the outcomes of in vitro
fertilisation in a Chinese Han population.
AB - To investigate the influence of testicular microlithiasis (TM) on the outcomes of
in vitro fertilisation (IVF), we retrospectively reviewed the ultrasonography
results of the TM patients who underwent IVF treatment in our hospital. They were
classified as classic-TM (CTM) or limited-TM (LTM) comparing with patients
without TM (Non-TM). Semen parameters, rates of fertilisation, normal
fertilisation, good embryos and clinical pregnancy were analysed. The Non-TM
group showed higher percentage of morphologically normal spermatozoa than the CTM
or LTM group (4.08 +/- 2.07 versus 3.40 +/- 2.00 versus 3.04 +/- 1.66, p = .003)
while the other general semen parameters were comparable. The LTM group showed
higher rate of fertilisation than the CTM group (85.10% versus 81.12%, p = .044).
Moreover, the rates of normal fertilisation of Non-TM (62.47%) and LTM (66.32%)
group were significantly higher than the CTM (58.02%) group (p = .027 and p =
.001 respectively). There were 333 embryo transfer cycles in total (including 222
fresh and 111 frozen). The overall clinical pregnancy rate was 54.95%, 58.33% and
64.12% in the group of CTM, LTM and Non-TM respectively. However, no
statistically significant difference was obtained (p = .326). Our results suggest
that TM may have influence on the IVF outcomes. The extent of microlithiasis
correlates inversely with the rates of fertilisation and normal fertilisation.
PMID- 27882629
TI - Average-Cost Pricing and Dynamic Selection Incentives in the Hospital Sector.
AB - This study investigates dynamic incentives to select patients for hospitals that
are remunerated according to a prospective payment system of the diagnosis
related group (DRG) type. Using a model with patients differing in severity
within a DRG, we show that price dynamics depend on the extent of hospital
altruism and the relation between patients' severity and benefit. Upwards and
downwards price movements over time are both possible. In a steady state, DRG
prices are unlikely to give optimal incentives to treat patients. Depending on
the level of altruism, too few or too many patients are treated. DRG pricing may
also give incentives to treat low-severity patients even though high-severity
patients should be treated. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27882630
TI - Workplace stress, burnout and coping: a qualitative study of the experiences of
Australian disability support workers.
AB - Disability support workers (DSWs) are the backbone of contemporary disability
support services and the interface through which disability philosophies and
policies are translated into practical action. DSWs often experience workplace
stress and burnout, resulting in a high turnover rate of employees within the non
professional disability service workforce. The full implementation of the
National Disability Insurance Scheme in Australia is set to intensify the current
challenges of attracting and retaining DSWs, as the role becomes characterised by
greater demands, ambiguity and conflict. The aim of this study was to explore
DSWs' perceptions of enjoyable and challenging aspects of disability support
work, sources of stress and burnout and the strategies they use to cope when
these issues arise. Twelve DSWs workers providing support for adults living with
intellectual and physical disabilities were interviewed. Thematic analysis
revealed a superordinate theme of 'Balance' comprising three sub-themes:
'Balancing Negatives and Positives', 'Periods of Imbalance', and 'Strategies to
Reclaim Balance'. Participants spoke of the rewarding and uplifting times in
their job such as watching a client learn new skills and being shown
appreciation. These moments were contrasted by emotionally and physically
draining aspects of their work, including challenging client behaviour, earning a
low income, and having limited power to make decisions. Participants described
periods of imbalance, wherein the negatives of their job outweighed the
positives, resulting in stress and sometimes burnout. Participants often had to
actively seek support and tended to rely on their own strategies to manage
stress. Findings suggest that organisational support together with workplace
interventions that support DSWs to perceive the positive aspects of their work,
such as acceptance and mindfulness-based approaches, may help to limit
experiences of stress and burnout. The further development and evaluation of
emotion-focused workplace therapies, and interventions that consider
organisational (macro) factors is suggested.
PMID- 27882631
TI - Adolescents' inhibitory control: keep it cool or lose control.
AB - Inhibitory control (i.e., the ability to resist automatisms, temptations,
distractions, or interference and to adapt to conflicting situations) is a
determinant of cognitive and socio-emotional development. In light of the
discrepancies of previous findings on the development of inhibitory control in
affectively charged contexts, two important issues need to be addressed. We need
to determine (a) whether cool inhibitory control (in affectively neutral
contexts) and hot inhibitory control (in affectively charged contexts) follow the
same developmental pattern and (b) the degree of specificity of these two types
of inhibitory control at different ages. Thus, in the present study, we
investigated the developmental patterns of cool and hot inhibitory control and
the degree of specificity of these abilities in children, adolescents and adults.
Typically developing children, adolescents, and adults performed two Stroop-like
tasks: an affectively neutral one (Cool Stroop task) and an affectively charged
one (Hot Stroop task). In the Cool Stroop task, the participants were asked to
identify the ink color of the words independent of color that the words named; in
the Hot Stroop task, the participants were asked to identify the emotional
expression of a face independent of the emotion named by a simultaneously
displayed written word. We found that cool inhibitory control abilities develop
linearly with age, whereas hot inhibitory control abilities follow a quadratic
developmental pattern, with adolescents displaying worse hot inhibitory control
abilities than children and adults. In addition, cool and hot inhibitory control
abilities were correlated in children but not in adolescents and adults. The
present study suggests (a) that cool and hot inhibitory control abilities develop
differently from childhood to adulthood - i.e., that cool inhibition follows a
linear developmental pattern and hot inhibition follows an adolescent-specific
pattern - and (b) that they become progressively more domain-specific with age.
PMID- 27882632
TI - Rocking the boat: does perfect rowing crew synchronization reduce detrimental
boat movements?
AB - In crew rowing, crew members need to mutually synchronize their movements to
achieve optimal crew performance. Intuitively, poor crew coordination is often
deemed to involve additional boat movements such as surge velocity fluctuations,
heave, pitch, and roll, which would imply lower efficiency (eg, due to increased
hydrodynamic drag). The aim of this study was to investigate this alleged
relation between crew coordination and boat movements at different stroke rates.
Fifteen crews of two rowers rowed in a double scull (ie, a two-person boat) at
18, 22, 26, 30, and 34 strokes per minute. Oar angles (using potentiometers) and
movements of the boat (using a three-axial accelerometer-gyroscope sensor) were
measured (200 Hz). Results indicated that crew synchronization became more
consistent with stroke rate, while surge, heave, and pitch fluctuations
increased. Further, within each stroke rate condition, better crew
synchronization was related to less roll of the boat, but increased fluctuations
regarding surge, heave, and pitch. Together this demonstrates that while better
crew synchronization relates to enhanced lateral stability of the boat, it
inevitably involves more detrimental boat movements and hence involves lower
biomechanical efficiency.
PMID- 27882635
TI - A bilingual advantage in 54-month-olds' use of referential cues in fast mapping.
AB - Research has demonstrated a bilingual advantage in how young children use
referential cues such as eye gaze and pointing gesture to locate an object or to
categorize objects. This study investigated the use of referential cues (i.e. eye
gaze) in fast mapping in three groups of children that differed in their language
exposure. One hundred and seven 54-month-old children who were English
monolinguals (n = 28), English-Mandarin bilinguals (n = 48), and English-Mandarin
bilinguals with exposure to a third language (i.e. trilinguals, n = 31) were
assessed with a word learning task using two types of test - a referent test and
a mutual exclusivity test. During the task, following the gaze of an adult
speaker was needed to be able to indicate the correct referent of a novel word at
test. All three groups of children demonstrated successful word learning in
explicit selection of and implicit looking time toward the target object during
testing. However, bilingual and trilingual children outperformed their
monolingual peers in both types of test when they were asked to explicitly select
the correct objects. These findings suggest positive effects of bilingualism on
children's use of referential cues in fast mapping.
PMID- 27882633
TI - Tailoring Renal Clearance and Tumor Targeting of Ultrasmall Metal Nanoparticles
with Particle Density.
AB - Identifying key factors that govern the in vivo behavior of nanomaterials is
critical to the clinical translation of nanomedicines. Overshadowed by size-,
shape-, and surface-chemistry effects, the impact of the particle core density on
clearance and tumor targeting of inorganic nanoparticles (NPs) remains largely
unknown. By utilizing a class of ultrasmall metal NPs with the same size and
surface chemistry but different densities, we found that the renal-clearance
efficiency exponentially increased in the early elimination phase while passive
tumor targeting linearly decreased with a decrease in particle density. Moreover,
lower-density NPs are more easily distributed in the body and have shorter
retention times in highly permeable organs than higher-density NPs. The density
dependent in vivo behavior of metal NPs likely results from their distinct
margination in laminar blood flow, which opens up a new path for precise control
of nanomedicines in vivo.
PMID- 27882634
TI - Attachment and couple satisfaction as predictors of expressed emotion in women
facing breast cancer and their partners in the immediate post-surgery period.
AB - OBJECTIVES: To investigate expressed emotion (EE) in couples facing breast cancer
in the immediate post-surgery period. EE may be predictive of psychological
disturbances that hinder both partners' capacities to cope with the stress of the
disease. Severity of the disease, attachment tendencies, and couple satisfaction
were tested as predictors of EE. DESIGN: The design was cross-sectional. Couples
(N = 61) were interviewed 2 weeks after the women's breast surgery. METHODS:
Expressed emotion was assessed in women and in partners with the Five-Minute
Speech Sample, with a focus on overt and covert criticisms. Self-reported EE,
attachment tendencies, and couple satisfaction were assessed with questionnaires.
Hierarchical regression analyses were performed to test the predictors and
possible interactions between them. RESULTS: Both partners expressed overt and
covert criticisms; women expressed more overt criticisms than did their partners.
Cancer stage was inversely related to the number of overt criticisms in women and
to the number of covert criticisms in partners. Regression analyses showed that
in women, higher attachment anxiety and lower couple satisfaction were positive
predictors of overt criticisms; in partners, a higher cancer stage was a negative
predictor of overt and covert criticisms. CONCLUSIONS: Practitioners should pay
attention to the couple relationship in breast cancer. EE is most likely to
appear when the cancer stage is low, showing that even when the medical prognosis
is optimal, relational and emotional disturbances may occur. Statement of
contribution What is already known on this subject? The couple relationship is of
paramount importance in breast cancer. Expressed emotion (EE) is related to
negative individual and relational psychological outcomes in psychiatric and
somatic diseases. Expressed emotion has not yet been studied in the context of
breast cancer. What does this study add? Expressed emotion is present in breast
cancer situations, especially when the cancer stage is low. There was more EE in
women than in their partners. Cancer stage, attachment tendencies, and couple
satisfaction are predictors of EE.
PMID- 27882636
TI - Fear of knowledge: Clinical hypotheses in diagnostic and prognostic reasoning.
AB - Patients are interested in receiving accurate diagnostic and prognostic
information. Models and reasoning about diagnoses have been extensively
investigated from a foundational perspective; however, for all its importance,
prognosis has yet to receive a comparable degree of philosophical and
methodological attention, and this may be due to the difficulties inherent in
accurate prognostics. In the light of these considerations, we discuss a
considerable body of critical thinking on the topic of prognostication and its
strict relations with diagnostic reasoning, pointing out the distinction between
nosographic and pathophysiological types of diagnosis and prognosis, underlying
the importance of the explication and explanation processes. We then distinguish
between various forms of hypothetical reasoning applied to reach diagnostic and
prognostic judgments, comparing them with specific forms of abductive reasoning.
The main thesis is that creative abduction regarding clinical hypotheses in
diagnostic process is very unlikely to occur, whereas this seems to be often the
case for prognostic judgments. The reasons behind this distinction are due to the
different types of uncertainty involved in diagnostic and prognostic judgments.
PMID- 27882637
TI - Transplantation-associated thrombotic microangiopathy isolated to a congenital
anomaly of the lung.
AB - TA-TMA is a post-hematopoietic stem cell transplant complication with clinical
features of hemolytic anemia and thrombocytopenia. A 26-month-old child who had
had an allogeneic transplant for treatment of DBA developed severe TA-TMA with
heavy red blood cell and platelet transfusion dependence. Incidentally, he was
found to have a lung sequestration. TA-TMA resolved and transfusion dependence
resolved after resection of the sequestration. The finding suggests the
malformation vasculature was selectively vulnerable to the trigger of TA-TMA
raising perhaps a clue to basic pathophysiology of TA-TMA and/or vascular
malformations.
PMID- 27882638
TI - Anxiety symptoms prior to a prostate cancer diagnosis: Associations with
knowledge and openness to treatment.
AB - AIM: Research suggests that anxiety may be a common response to a cancer
diagnosis, but research is needed to examine anxiety before diagnosis. Anxiety
before diagnosis may relate to the comprehension of relevant health information
or openness to potential treatments. This study examined anxiety and these
outcomes in men who were waiting to learn of a prostate cancer diagnosis.
OBJECTIVES: One goal of this study was to determine whether anxiety would
increase as men came closer to learning the results of their prostate cancer
biopsy. Another goal was to test whether anxiety was associated with knowledge
about prostate cancer or openness to different treatments. METHODS: Men (N = 265)
who were facing a prostate cancer diagnosis were surveyed at two time points.
Time 1 occurred at the time of biopsy, and Time 2 occurred immediately before men
received their biopsy result. At each time point, men reported their anxiety
about prostate cancer and their biopsy result. At Time 2, they completed a
knowledge test of information about prostate cancer and reported their openness
to different potential treatments. RESULTS: Anxiety symptoms increased as men
came closer to learning their diagnosis. Also, higher anxiety was associated with
lower knowledge and greater openness to particular treatments like surgery.
Interactions showed that when anxiety increased from Time 1 to Time 2, having
high or low knowledge mattered less to treatment openness. CONCLUSION: Waiting
for a cancer diagnosis is an important time period in which anxiety may increase
and relate to information processing and openness to treatments. Statement of
contribution What is already known on this subject? Men undergoing prostate
cancer screening have been found to experience high and low levels of anxiety.
Research has shown that negative emotions like anxiety are common following a
cancer diagnosis, but little research has examined emotions right before
diagnosis. Anxiety has been associated with information processing and motivation
to engage in preventive behaviours. What does this study add? Applies and tests a
theoretical idea related to how anxiety may change as one approaches personally
relevant threatening health feedback. Shows relationships between changes in
anxiety and knowledge in the context of waiting for actual health feedback.
Associates increased anxiety in the prostate cancer context with knowledge and
openness to different treatments.
PMID- 27882639
TI - Imaging the Atomic Position of Light Cations in a Porous Network and the
Europium(III) Ion Exchange Capability by Aberration-Corrected Electron
Microscopy.
AB - In the present work, ETS-10 microporous titanosilicate has been synthesized and
its structure characterized by means of powder XRD and aberration corrected
scanning transmission electron microscopy (Cs -corrected STEM). For the first
time, sodium ions have been imaged sitting inside the 7-membered rings. The ion
exchange capability has been tested by the inclusion of rare earth metals (Eu, Tb
and Gd) to produce a luminescent material which has been studied by atomic
resolution Cs -corrected STEM. The data produced has allowed unambiguous imaging
of light atoms in a microporous framework as well as determining the cationic
metal positions for the first time, providing evidence of the importance of
advanced electron microscopy methods for the study of the local environment of
metals within zeolitic supports providing unique information of both systems
(guest and support) at the same time.
PMID- 27882641
TI - Hydrogen-Permeable Tubular Membrane Reactor: Promoting Conversion and Product
Selectivity for Non-Oxidative Activation of Methane over an Fe(c)SiO2 Catalyst.
AB - Non-oxidative methane conversion over Fe(c)SiO2 catalyst was studied for the
first time in a hydrogen (H2 ) permeable tubular membrane reactor. The membrane
reactor is composed of a mixed ionic-electronic SrCe0.7 Zr0.2 Eu0.1 O3-delta thin
film (~20 MUm) supported on the outer surface of a one-end capped porous SrCe0.8
Zr0.2 O3-delta tube. Significant improvement in CH4 conversion was achieved upon
H2 removal from the membrane reactor compared to that in a fixed-bed reactor. The
Fe(c)SiO2 catalyst in the H2 permeable membrane reactor demonstrated a stable ~30
% C2+ single-pass yield, with up to 30 % CH4 conversion and 99 % selectivity to
C2 (ethylene and acetylene) and aromatic (benzene and naphthalene) products, at
the tested conditions. The selectivity towards C2 or aromatics was manipulated
purposely by adding H2 into or removing H2 from the membrane reactor feed and
permeate gas streams.
PMID- 27882640
TI - Physiological markers of exercise capacity and lung disease severity in cystic
fibrosis.
AB - BACKGROUND AND OBJECTIVE: Peak aerobic capacity (VO2 peak) is an important
outcome measure in cystic fibrosis (CF), but measurement is not widely available
and can be influenced by patient motivation, pain and fatigue. Alternative
markers of disease severity would be helpful. Neural respiratory drive, measured
using parasternal intercostal muscle electromyography (EMGpara), reflects the
load to capacity balance of the respiratory system and provides a composite
measure of pulmonary function impairment in CF. The aim of the study was to
investigate the relationship between exercise capacity, EMGpara and established
measures of pulmonary function in clinically stable adult CF patients. METHODS:
Twenty CF patients (12 males, median (range) age: 22.3 (17.0-43.1) years)
performed the 10-m incremental shuttle walk test (ISWT) maximally with
contemporaneous measures of aerobic metabolism. EMGpara was recorded from second
intercostal space at rest and normalized using peak electromyogram activity
obtained during maximum respiratory manoeuvres and expressed as EMGpara%max
(EMGpara expressed as a percentage of maximum). RESULTS: VO2 peak was strongly
correlated with ISWT distance (r = 0.864, P < 0.0001). Lung gas transfer (TL CO)
% predicted was best correlated with VO2 peak (r = 0.842, P < 0.0001) and ISWT
distance (r = 0.788, P < 0.0001). EMGpara%max also correlated with VO2 peak (
0.757, P < 0.0001), while the relationships between exercise outcome measures and
forced expiratory volume in 1 s (FEV1 ) % predicted and forced vital capacity
(FVC) % predicted were less strong. A TL CO% predicted of <70.5% was the
strongest predictor of VO2 peak <32 mL/min/kg (area under the curve (AUC): 0.96,
100% sensitivity, 83.3% specificity). ISWT distance and EMGpara%max also
performed well, with other pulmonary function variables demonstrating poorer
predictive ability. CONCLUSION: TL CO% predicted and EMGpara%max relate strongly
to exercise performance markers in CF and may provide alternative predictors of
lung disease progression.
PMID- 27882642
TI - Identifying mechanisms of change in a conversation therapy for aphasia using
behaviour change theory and qualitative methods.
AB - BACKGROUND: Conversation therapy for aphasia is a complex intervention comprising
multiple components and targeting multiple outcomes. UK Medical Research Council
(MRC) guidelines published in 2008 recommend that in addition to measuring the
outcomes of complex interventions, evaluation should seek to clarify how such
outcomes are produced, including identifying the hypothesized mechanisms of
change. AIMS: To identify mechanisms of change within a conversation therapy for
people with aphasia and their partners. Using qualitative methods, the study
draws on behaviour change theory to understand how and why participants make
changes in conversation during and after therapy. METHODS & PROCEDURES: Data were
derived from 16 participants (eight people with aphasia; eight conversation
partners) who were recruited to the Better Conversations with Aphasia research
project and took part in an eight session conversation therapy programme. The
dataset consists of in-therapy discussions and post-therapy interviews, which are
analysed using Framework Analysis. OUTCOMES & RESULTS: Seven mechanisms of
conversational behaviour change are identified and linked to theory. These show
how therapy can activate changes to speakers' skills and motivation for using
specific behaviours, and to the conversational opportunities available for
strategy use. CONCLUSIONS & IMPLICATIONS: These clinically relevant findings
offer guidance about the processes involved in producing behavioural change via
conversation therapy. A distinction is made between the process involved in
motivating change and that involved in embedding change. Differences are also
noted between the process engaged in reducing unhelpful behaviour and that
supporting new uses of compensatory strategies. Findings are expected to have
benefits for those seeking to replicate therapy's core processes both in clinical
practice and in future research.
PMID- 27882643
TI - Micelles, Bicelles, and Nanodiscs: Comparing the Impact of Membrane Mimetics on
Membrane Protein Backbone Dynamics.
AB - Detergents are often used to investigate the structure and dynamics of membrane
proteins. Whereas the structural integrity seems to be preserved in detergents
for many membrane proteins, their functional activity is frequently compromised,
but can be restored in a lipid environment. Herein we show with per-residue
resolution that while OmpX forms a stable beta-barrel in DPC detergent micelles,
DHPC/DMPC bicelles, and DMPC nanodiscs, the pico- to nanosecond and micro- to
millisecond motions differ substantially between the detergent and lipid
environment. In particular for the beta-strands, there is pronounced dynamic
variability in the lipid environment, which appears to be suppressed in micelles.
This unexpected complex and membrane-mimetic-dependent dynamic behavior indicates
that the frequent loss of membrane protein activity in detergents might be
related to reduced internal dynamics and that membrane protein activity
correlates with lipid flexibility.
PMID- 27882644
TI - Sleep-wake cycle phenotypes in young people with familial and non-familial mood
disorders.
AB - OBJECTIVES: Converging evidence identifies that the offspring of parents with
bipolar disorder (BD), individuals at clinical high risk of BD, and young people
with recent onset BD may differ from other clinical cases or healthy controls in
terms of sleep-wake profiles. However, it is possible that these differences may
reflect current mental state, subtype of mood disorder, or familial traits. This
study aimed to determine objective and subjective sleep-wake profiles in
individuals aged 15-25 years with a current major depressive episode, in relation
to familial traits. METHODS: Frequency matching was employed to ensure that each
individual with a confirmed family history of BD (FH+) could be compared to four
controls who did not have a familial mood disorder (FH-). Pre-selected objective
actigraphy and subjective Pittsburgh Sleep Quality Index (PSQI) ratings were
compared using one-way analysis of variance (ANOVA) and applying the Benjamini
Hochberg (BH) correction for false discoveries. RESULTS: The sample comprised 60
individuals with a mean age of 19 years. The FH+ (n=12) and FH- groups (n=48)
differed on three key sleep parameters: mean sleep duration on week nights
(P=.049), variability in waking after sleep onset (P=.038), and daily
disturbances (PSQI dimension of sleep disturbance and daytime dysfunction;
P=.01). CONCLUSIONS: The sleep profiles we identified in this study, especially
the daily disturbances phenotype, provide support for research into
endophenotypes for BD. Also, the findings may offer the opportunity for more
tailored, personalized interventions that target specific components of the sleep
wake cycle in individuals with a family history of BD.
PMID- 27882645
TI - Long-term lithium treatment increases intracellular and extracellular brain
derived neurotrophic factor (BDNF) in cortical and hippocampal neurons at
subtherapeutic concentrations.
AB - OBJECTIVES: The putative neuroprotective effects of lithium treatment rely on the
fact that it modulates several homeostatic mechanisms involved in the
neurotrophic response, autophagy, oxidative stress, inflammation, and
mitochondrial function. Lithium is a well-established therapeutic option for the
acute and long-term management of bipolar disorder and major depression. The aim
of this study was to evaluate the effects of subtherapeutic and therapeutic
concentrations of chronic lithium treatment on brain-derived neurotrophic factor
(BDNF) synthesis and secretion. METHODS: Primary cultures of cortical and
hippocampal neurons were treated with different subtherapeutic (0.02 and 0.2 mM)
and therapeutic (2 mM) concentrations of chronic lithium treatment in cortical
and hippocampal cell culture. RESULTS: Lithium treatment increased the
intracellular protein expression of cortical neurons (10% at 0.02 mM) and
hippocampal neurons (28% and 14% at 0.02 mM and 0.2 mM, respectively).
Extracellular BDNF of cortical neurons increased 30% and 428% at 0.02 and 0.2 mM,
respectively and in hippocampal neurons increased 44% at 0.02 mM. CONCLUSION: The
present study indicates that chronic, low-dose lithium treatment up-regulates
BDNF production in primary neuronal cell culture.
PMID- 27882646
TI - SymB and SymC, two membrane associated proteins, are required for Epichloe
festucae hyphal cell-cell fusion and maintenance of a mutualistic interaction
with Lolium perenne.
AB - Cell-cell fusion in fungi is required for colony formation, nutrient transfer and
signal transduction. Disruption of genes required for hyphal fusion in Epichloe
festucae, a mutualistic symbiont of Lolium grasses, severely disrupts the host
interaction phenotype. They examined whether symB and symC, the E. festucae
homologs of Podospora anserina self-signaling genes IDC2 and IDC3, are required
for E. festucae hyphal fusion and host symbiosis. Deletion mutants of these genes
were defective in hyphal cell fusion, formed intra-hyphal hyphae, and had
enhanced conidiation. SymB-GFP and SymC-mRFP1 localize to plasma membrane, septa
and points of hyphal cell fusion. Plants infected with DeltasymB and DeltasymC
strains were severely stunted. Hyphae of the mutants colonized vascular bundles,
were more abundant than wild type in the intercellular spaces and formed intra
hyphal hyphae. Although these phenotypes are identical to those previously
observed for cell wall integrity MAP kinase mutants no difference was observed in
the basal level of MpkA phosphorylation or its cellular localization in the
mutant backgrounds. Both genes contain binding sites for the transcription factor
ProA. Collectively these results show that SymB and SymC are key components of a
conserved signaling network for E. festucae to maintain a mutualistic symbiotic
interaction within L. perenne.
PMID- 27882648
TI - Culture and its influences on dental education.
AB - INTRODUCTION: Culture is an important factor influencing how students develop
learning and how educators provide support to students. The aim of this paper is
to explore a concept of national European cultures, and relationships between
culture and educational practice with the intention of helping the dental
profession gain a better understanding of effective teaching and learning in
dentistry. CONTENT: Culture represents the collective behaviours, values and
beliefs of people in a society. How people react to education is partly explained
by culture. Students utilise different culturally based strategies to develop
learning. We apply Hofstede's model to explore European cultures and implications
for dental education and educational practice. Most Western students possess
assimilating learning styles enabling them to learn effectively in student
centred contexts while most Eastern students have accommodating learning styles
and are more familiar with teacher-centred learning. Eastern students may need to
adapt their approach to learning to better benefit from student-centred learning.
CONCLUSION: Culture influences students' learning and educational practice.
Dental educators should be aware of such influences and provide support that
acknowledges students' different cultural backgrounds. Cultural competence is
fundamental for effective teaching and learning in dentistry.
PMID- 27882647
TI - Host and tissue variations overshadow the response of boreal moss-associated
fungal communities to increased nitrogen load.
AB - Human activity has more than doubled the amount of nitrogen entering the global
nitrogen cycle, and the boreal forest biome is a nitrogen-limited ecosystem
sensitive to nitrogen load perturbation. Although bryophyte-associated microbes
contribute significantly to boreal forest ecosystem function, particularly in
carbon and nitrogen cycling, little is known about their responses to
anthropogenic global change. Amplicon pyrosequencing of the ITS2 region of rDNA
was used to investigate how fungal communities associated with three bryophyte
species responded to increased nitrogen loads in a long-term fertilization
experiment in a boreal Picea abies forest in southern Norway. Overall, OTU
richness, community composition and the relative abundance of specific ecological
guilds were primarily influenced by host species identity and tissue type.
Although not the primary factor affecting fungal communities, nitrogen addition
did impact the abundance of specific guilds of fungi and the resulting overall
community composition. Increased nitrogen loads decreased ectomycorrhizal
abundance, with Amphinema, Cortinarius, Russula and Tylospora OTUs responding
negatively to fertilization. Pathogen abundance increased with fertilization,
particularly in the moss pathogen Eocronartium. Saprophytic fungi were both
positively and negatively impacted by the nitrogen addition, indicating a complex
community level response. The overshadowing of the effects of increased nitrogen
loads by variation related to host and tissue type highlights the complexity of
bryophyte-associated microbial communities and the intricate nature of their
responses to anthropogenic global change.
PMID- 27882649
TI - Impact of male condition on his spermatophore and consequences for female
reproductive performance in the Glanville fritillary butterfly.
AB - In butterflies, male reproductive success is highly related to the quality and
the size of the spermatophore transferred to the female. The spermatophore is a
capsule produced by the male during copulation, which in many species contains
sperm in addition to a nuptial gift, and which is digested by the female after
copulation. The nuptial gift may contribute to egg production and offspring
quality, and in some cases also to female body maintenance. The production of the
spermatophore, however, represents a cost for the male and, in polyandrous
species, ejaculates are sometimes allocated adaptively across matings.
Nonetheless, although the ecological factors affecting the reproductive success
of female butterflies have been the topic of numerous studies, little information
exists on the factors affecting males' contribution to reproduction, and the
indirect impacts on female fecundity and fitness. We used the Glanville
fritillary butterfly, Melitaea cinxia (Linnaeus, 1758) (Nymphalidae), in order to
assess variation in male allocation to matings. In this species, smaller males
produce smaller spermatophores, but variation in spermatophore size is not
correlated with female reproductive success. We show that spermatophore size
increases with male age at first mating, decreases with mating frequency and
adult food-deprivation, and is not influenced by developmental food-limitation.
The length of copulation period does not influence the spermatophore size nor
influences the polyandrous mating behavior in this species. Male contribution to
his spermatophore size is clearly influenced by his condition and adult-resource
at the time of mating. Despite this variation, spermatophore size does not seem
to have a direct impact on female reproductive output or mating behavior.
PMID- 27882650
TI - A qualitative assessment of West Virginia pharmacist activities and attitude in
diabetes management.
AB - AIMS AND OBJECTIVES: The role of pharmacists in chronic disease state management
has been shown to significantly improve patient health outcomes and reduce
overall health care costs. The current study is designed to assess the roles and
attitudes of West Virginia (WV) pharmacists toward diabetes, evaluate services
provided, address pharmacist clinical understanding and training, and demonstrate
the challenges that limit pharmacists ability to deliver an efficient disease
state management. METHODS: We invited 435 preceptors affiliated with the
University of Charleston School of Pharmacy to participate in the study using
Qualtrics online survey software. The survey was divided into sections related to
pharmacists, practice environment, pharmacist's roles in diabetes management, and
challenges faced that limit their ability to deliver effective care to diabetic
patients. Data were analyzed using 1-way analysis of variance, and a P value
<=.05 was considered statistically significant. RESULTS: Of all eligible invited
preceptors, 104 accessed the online survey based on the Qualtrics tracking tool,
while 58 participated in the survey with a 56% response rate. Generally, WV
pharmacists have positive attitudes regarding the provision of primary activities
related to drug use and its associated problems. However, we report that WV
pharmacists are less involved in providing education or recommendations regarding
diabetes-associated risk factors such as nephropathy, retinopathy, foot care, and
gastroparesis. In addition, the majority of pharmacists indicated that they face
many challenges related to patient and the practice site environment that limit
their ability to provide optimum diabetes patient care services. CONCLUSION:
Despite the mounting evidence that pharmacists can improve diabetic patient
outcomes while significantly reducing overall costs, WV pharmacists are less
involved in providing education or counseling in a variety of areas related to
disease state management. In addition, identifying pharmacist challenges provides
significant information for future planning toward improving diabetic patient
care.
PMID- 27882651
TI - PANDAS in otolaryngology.
PMID- 27882652
TI - Embryonic developmental process governing the conspicuousness of body stripes and
blue tail coloration in the lizard Plestiodon latiscutatus.
AB - The combination of body stripes and vivid blue tail color has independently
evolved in different lizard families. To understand how and when lizards
developed this coloration, we microscopically compared the embryonic development
of pigment cells in two island populations of Plestiodon latiscutatus that
exhibit either striped and blue tailed or inconspicuously striped and blue tailed
juveniles, based on the newly determined 12 normal developmental stages of
embryos from shortly after egg laying to just before hatching. We focus on the
role of the melanophores in the body stripe and the role of iridophore
morphotypes in the extent of blue tail coloration. The melanophore density in the
black background region was higher in lizards with vivid stripes than in lizards
with drab stripes. Iridophores started to fill the dermal space that was not yet
occupied by melanophores, which resulted in a higher iridophore density in
stripes than in the inter-stripe regions. We also discovered that iridophores
with thin platelets reflecting blue structural coloration appeared at a specific
region in the tail at stage 11. The position of the tail where iridophores
emerged coincided with the boundary area separating anterior brown and green
colored tail from posterior blue colored tail after hatching.
PMID- 27882654
TI - Digital technologies as truth-bearers in health care.
AB - In this paper, we explore the idea of digital technologies as truth-bearers in
health care and argue that devices like SenseCam, which facilitate reflection and
memory recall, have a potentially vital role in healthcare situations when
questions of veracity are at stake (e.g., when best interest decisions are being
made). We discuss the role of digital technologies as truth-bearers in the
context of nursing people with dementia, as this is one area of health care in
which the topic of truth-telling has been hotly debated. People with dementia
have been excluded from research studies and decisions that affect their lives
because they are not regarded as truth-bearers-that is, as being capable of
giving truthful accounts of their experiences. Also, considerable research has
focused on the ethics of lying to and deceiving people with dementia. Given their
increasing prominence in healthcare settings, there has been surprisingly little
discussion of what role digital technologies might play in relation to these
questions of truth and deception. Drawing on theories from science and technology
studies (STS), we explore their possible future role in some of the truth-making
processes of health care. In particular, we discuss the potential value of
constraints on use of SenseCam to support the accounts of people with dementia as
part of their care.
PMID- 27882653
TI - Synkinesis in Bell's palsy in a randomised controlled trial.
AB - OBJECTIVES: To study the development of synkinesis in Bell's palsy. Frequency,
severity, gender aspects and predictors were analysed. DESIGN: Data from the
randomised controlled Scandinavian Bell's palsy trial including 829 patients.
MAIN OUTCOME MEASURES: Frequency and severity of synkinesis at 12 months were the
main outcome measures. Mean Sunnybrook synkinesis scores, voluntary movement
scores and composite scores between 6 and 12 months were compared. RESULTS: In
743 patients with a 12-month follow-up, synkinesis frequency was 21.3%. There was
no gender difference. Synkinesis was moderate to severe in 6.6% of patients.
Those with synkinesis at 6 months had a synkinesis score of 4.1 (+/-2.8 sd),
which increased to 4.7 (+/-3.2) (P = 0.047) at 12 months (n = 93). Sunnybrook
composite score at 1 month was the best predictor for synkinesis development with
receiver operating characteristics and area under the curve (AUC) 0.87. Risk for
synkinesis increased with a lower Sunnybrook composite score. Furthermore, at 1
month, symmetry of voluntary movement had higher predictive value for synkinesis
than resting symmetry with AUC 0.87 and 0.77, respectively. Gentle eye closure
and open-mouth smile were the only independent significant predictive items (AUC
0.86). CONCLUSIONS: Moderate-to-severe synkinesis was present in 6.6% of
patients. The mean synkinesis score increased between 6 and 12 months, and
outcome should therefore be evaluated after at least 12 months. Sunnybrook
composite score and symmetry of voluntary movement at 1 month were good
predictors for synkinesis.
PMID- 27882655
TI - Situated technology in reproductive health care: Do we need a new theory of the
subject to promote person-centred care?
AB - Going through reproductive experiences (especially pregnancy and childbirth) in
contemporary Western societies almost inevitably involves interaction with
medical practitioners and various medical technologies in institutional context.
This has important consequences for women as embodied subjects. A critical
appraisal of these consequences-coming dominantly from feminist scholarship
relied on a problematic theory of both technology and the subject, which are in
contemporary approaches no longer considered as given, coherent and well
individualized wholes, but as complex constellations that are locally situated
and that can only be described empirically. In this study, we will be relying on
the developments in phenomenological theory to reconceptualize women as
technologically mediated embodied subjects and on the new paradigms in philosophy
of technology and STS to reconstruct medical technology as situated-with the aim
of reconceptualizing their relationship and exploring different possibilities for
the mediating role of medical technology. It will be argued that technologization
of female reproductive processes and alienating consequences for women are not
necessary or directly interrelated. The role of technology varies from case to
case and depends mainly on the nontechnological and relational aspects of
institutional context, in which medical practitioners play a decisive role.
PMID- 27882656
TI - Targeting Cancer with PCPA-Drug Conjugates: LSD1 Inhibition-Triggered Release of
4-Hydroxytamoxifen.
AB - Targeting cancer with small molecule prodrugs should help overcome problems
associated with conventional cancer-targeting methods. Herein, we focused on
lysine-specific demethylase 1 (LSD1) to trigger the controlled release of
anticancer drugs in cancer cells, where LSD1 is highly expressed. Conjugates of
the LSD1 inhibitor trans-2-phenylcyclopropylamine (PCPA) were used as novel
prodrugs to selectively release anticancer drugs by LSD1 inhibition. As PCPA-drug
conjugate (PDC) prototypes, we designed PCPA-tamoxifen conjugates 1 a and 1 b,
which released 4-hydroxytamoxifen in the presence of LSD1 in vitro. Furthermore,
1 a and 1 b inhibited the growth of breast cancer cells by the simultaneous
inhibition of LSD1 and the estrogen receptor without exhibiting cytotoxicity
toward normal cells. These results demonstrate that PDCs provide a useful prodrug
method that may facilitate the selective release of drugs in cancer cells.
PMID- 27882657
TI - Using a semi-conductor sequencing-based panel for genotyping of HPV-positive and
HPV-negative oropharyngeal cancer: a retrospective pilot study.
AB - OBJECTIVES: The aim of this study was to assess the feasibility of testing
actionable mutations in small amounts of formalin-fixed paraffin-embedded
material in multiple genes of the receptor tyrosine kinase pathway and to
determine the frequency of these mutations in human papillomavirus (HPV)-positive
and HPV-negative oropharyngeal cancer (OPC). DESIGN: A retrospective pilot study
was performed. SETTING: In OPC, no predictive markers for response to epidermal
growth factor receptor inhibition are known. Therefore, identifying predictive
biomarkers is of utmost importance, but is often hampered by the small amount of
tumour material available. PARTICIPANTS: We included the archival material of 45
OPC, all treated with concomitant chemoradiotherapy between 2003 and 2010. MAIN
OUTCOME MEASURES: Besides the HPV status, we assessed mutations using a gene
panel that targets 16 genes in the receptor tyrosine kinase pathway and six other
genes. The polymerase chain reaction required only 10 ng DNA. RESULTS: In total,
42 of the 45 biopsies have been successfully analysed. In total 20 of 42 samples
were HPV-positive and 22 of 42 were HPV-negative. In the receptor tyrosine kinase
pathway, mutations in PIK3CA were most frequently identified. A TP53 mutation was
identified in one HPV-positive sample and in 13 HPV-negative samples.
Additionally, three mutations in three different genes were found. CONCLUSIONS:
We evaluated an assay to identify mutations in the receptor tyrosine kinase
pathway. As only small amounts of formalin-fixed paraffin-embedded material are
sufficient for reliable analysis, this test opens up new possibilities for
personalised medicine.
PMID- 27882658
TI - Access to clinical trials for adolescents with soft tissue sarcomas: Enrollment
in European pediatric Soft tissue sarcoma Study Group (EpSSG) protocols.
AB - BACKGROUND: Adolescents with cancer are enrolled in clinical trials at far lower
rates than children. This report compares the number of adolescents (15-19-year
olds) and children (0-14-year-olds) enrolled in the protocols of the European
pediatric Soft tissue sarcoma Study Group (EpSSG) with the number of cases
expected to occur. METHODS: The observed-to-expected (O/E) ratio was detected in
the EpSSG countries contributing most of the cases, that is, Italy, France,
Spain, the Netherlands, United Kingdom, and Ireland. The observed cases included
patients enrolled in any of the EpSSG protocols from October 2008 to October
2015, when all EpSSG protocols were open in these countries. The number of
expected cases was calculated from the incidence rates estimated throughout the
RARECAREnet database in the countries' population-based cancer registries.
RESULTS: In the countries considered, 2,118 cases aged 0-19 years were enrolled
in the EpSSG trials from 2008 to 2015: 82.8% were children and 17.2% were
adolescents. The O/E ratio was 0.30 among patients 15-19 years old, as opposed to
0.64 for those 0-14 years old. The O/E ratio differed for the different subtypes:
in adolescents, it was 0.64 and 0.18 for rhabdomyosarcoma (RMS) and non
rhabdomyosarcoma soft tissue sarcomas (NRSTS), respectively; in children, it was
0.77 and 0.50, respectively. The O/E ratios differed across the countries
considered. CONCLUSIONS: Adolescents were less well represented than children on
the EpSSG protocols, with better enrolment for RMS than for NRSTS for all age
groups.
PMID- 27882660
TI - Pediatric Dermatology Photoquiz: An Enlarging Facial Papule.
PMID- 27882659
TI - Interventions for women who have a caesarean birth to increase uptake and
duration of breastfeeding: A systematic review.
AB - Rates of breastfeeding uptake are lower after a caesarean birth than vaginal
birth, despite caesarean rates increasing globally over the past 30 years, and
many high-income countries reporting overall caesarean rates of above 25%. A
number of factors are likely to be associated with women's infant feeding
decisions following a caesarean birth such as limited postoperative mobility,
postoperative pain, and ongoing management of medical complications that may have
triggered the need for a caesarean birth. The aim of this systematic review was
to evaluate evidence of interventions on the initiation and duration of any and
exclusive breastfeeding among women who had a planned or unplanned caesarean
birth. Seven studies, presenting quantitative and qualitative evidence, published
in the English language from January 1994 to February 2016 were included. A
limited number of interventions were identified relevant to women who had had a
caesarean birth. These included immediate or early skin-to-skin contact, parent
education, the provision of sidecar bassinets when rooming-in, and use of breast
pumps. Only one study, an intervention that included parent education and
targeted breastfeeding support, increased initiation and continuation of
breastfeeding, but due to methodological limitations, findings should be
considered with caution. There is a need to better understand the impact of
caesarean birth on maternal physiological, psychological, and physical recovery,
the physiology of lactation and breastfeeding and infant feeding behaviors if
effective interventions are to be implemented.
PMID- 27882662
TI - Painful Pustular Groin Eruption in a Teenage Boy.
PMID- 27882661
TI - Solitary Tumor on the Palm of a Young Boy.
PMID- 27882664
TI - Discolored Fingertip and Dysesthesia of the Fingers in a 9-year-old Girl.
PMID- 27882663
TI - Persistent Neck Rash in a 9-Year-Old Child.
PMID- 27882665
TI - Subcutaneous Nodules and Stubby Hands.
PMID- 27882666
TI - Painful Nodules on an Infant's Feet.
PMID- 27882667
TI - Social Awareness Within Reach-Lessons from Pediatric Contact Dermatitis.
PMID- 27882670
TI - Facial Eruption in a 5-year-Old Child with Acute Lymphoblastic Leukemia.
PMID- 27882668
TI - Drug Reaction with Eosinophilia and Systemic Symptoms or Herpes Virus Infection:
Author's Reply.
PMID- 27882671
TI - Patient Perspectives: What is alopecia areata?
PMID- 27882672
TI - Genotyping for CYP2D6 in Patients with Infantile Hemangiomas Refractory to
Topical Timolol.
PMID- 27882674
TI - Case Report of Focal Epithelial Hyperplasia (Heck's Disease) with Polymerase
Chain Reaction Detection of Human Papillomavirus 13.
PMID- 27882673
TI - Voluminous Mass within the Oral Cavity in a Healthy Newborn.
PMID- 27882675
TI - Calibration of the TonoVet and Tono-Pen Vet tonometers in the porcine eye.
AB - OBJECTIVE: The pig has an increasingly important role in ocular drug delivery
models, but the most accurate tonometer in this species is unknown. The purpose
of this study was to evaluate the accuracy of TonoVet and Tono-Pen Vet tonometers
in the ex vivo porcine eye. PROCEDURE: Four freshly enucleated normal porcine
eyes were cannulated with two 25-gauge needles; one connected via tubing to a
mercury manometer calibrated continuous physiologic recorder and the other
connected to a reservoir of lactated Ringer's solution on an adjustable stand.
Triplicate IOP readings were taken with the TonoVet and then the Tono-Pen Vet at
5, 10, 15, 20, 25, 30, 35, 40, 50, 60, 70, 80 mmHg. RESULTS: Linear regression
showed strong linear trends for both the TonoVet (r2 = 0.969) and Tono-Pen Vet
(r2 = 0.983). The TonoVet slightly underestimated IOP at lower pressures and
slightly overestimated IOP at higher pressures (y = 1.092x - 4.0, where y =
tonometer reading, x = manometer reading, and 4.0 = intercept). The Tono-Pen Vet
consistently underestimated IOP (y = 0.773x - 2.1). These differences were
statistically significant (P = <0.001, one-way repeated-measures ANOVA).
CONCLUSION: As in other species, both the TonoVet and Tono-Pen Vet tonometers do
not measure true IOP in the porcine eye; however, the TonoVet more closely
approximated true IOP in the normal porcine eye than the Tono-Pen Vet and may be
the tonometer of choice for this species.
PMID- 27882676
TI - Highly selective coextraction of rhodamine B and dibenzyl phthalate based on high
density dual-template imprinted shells on silica microparticles.
AB - A simple one-pot approach based on molecularly imprinted polymer shells dispersed
on the surface of silica for simultaneous determination of rhodamine B and
dibenzyl phthalate (DBzP) has been developed. Highly dense molecularly imprinted
polymer shells were formed in the mixture of acetonitrile and toluene by the
copolymerization of methacrylic acid and ethylene glycol dimethacrylate, as well
as two templates, rhodamine B and dibenzyl phthalate, directed by the vinyl end
groups functional monolayer at surface silica microspheres after 3
methacryloxypropyl trimethoxysilane modification. The obtained imprinted polymer
shells showed large average pore diameter (102.5 nm) and about 100 nm shell
thickness. The imprinted particles also showed high imprinting factor (alphaRhB =
3.52 and alphaDBzP = 3.94), rapid binding kinetics, and excellent selective
affinity capacity for rhodamine B and dibenzyl phthalate containing another three
competitors in mixed solution. Moreover, the imprinted particles coupled with
ultra high performance liquid chromatography was successfully applied to
simultaneous analysis of rhodamine B and dibenzyl phthalate in two spiked
beverage samples with average recoveries in the range of 88.0-93.0% for rhodamine
B and 84.0-92.0% for dibenzyl phthalate with the relative standard deviation
lower than 5.1%.
PMID- 27882677
TI - Utility of endobronchial ultrasound-guided transbronchial needle aspiration in
diagnosing non-specific inflammatory intrathorcacic lymphadenitis.
AB - BACKGROUND AND OBJECTIVE: Endobronchial ultrasound-guided transbronchial needle
aspiration (EBUS-TBNA) has emerged as a minimally invasive technique for
diagnosing intrathoracic malignancies and some benignancies; however, there are
no data available on the utility of EBUS-TBNA for the diagnosis of non-specific
inflammatory intrathoracic lymphadenitis. METHODS: A prospective analysis was
performed from 104 patients with enlarged lymphadenopathy suspected of non
specific lymphadenitis referred for EBUS-TBNA between October 2009 and March
2012. Rapid on-site cytological evaluation was not adopted. Microbiological tests
were carried out in all patients. Patients were excluded from the study if there
was other diagnosis being defined. RESULTS: One hundred ninety-one lesions were
aspirated in 94 patients with enlarged mediastinal/hilar lymph nodes within reach
of EBUS-TBNA, which were diagnosed as non-specific intrathorcacic lymphadenitis
by pathology and clinical follow-up. According to EBUS-TBNA pathologies, 94
patients were categorized into four kinds: (i) inflammatory cell infiltrates
and/or noncaseating necrosis in 38 cases; (ii) granuloma formed by epithelioid
cells and/or fiber hyperplasia in 13 cases; (iii) lymph node tissue/lymphocyte
without obvious abnormal lesions in 41 cases; (iv) inadequate sample in 2 cases.
Bacterial and/or fungal smears and cultures were carried out in all 94 patients
(100%), with pathogens being found in 4 (4.3%) cases. All patients (100%)
underwent acid-fast staining and culture for mycobacterium tuberculosis to
exclude tuberculosis. No procedure-related complication was observed.
CONCLUSIONS: EBUS-TBNA can provide pathological and microbiological evidences for
diagnosing non-specific inflammatory intrathoracic lymphadenopathy, and it is a
safe and effective first-line investigation for ruling out malignancies and other
benign diseases.
PMID- 27882679
TI - Circulation of Porcine Parvovirus Types 1 through 6 in Serum Samples Obtained
from Six Commercial Polish Pig Farms.
AB - Porcine parvoviruses are small non-enveloped DNA viruses, very resistant to
inactivation, and ubiquitous in the global pig population. Porcine parvovirus
type 1 (PPV1) has been known since the 1960s and is a major causative agent of
reproductive failure in breeding herds. During the last decade, several new
parvoviruses have been identified in pigs by molecular methods and have been
consecutively designated as PPV2 through PPV6. Epidemiology data for these
viruses are limited, and the impact of these newly recognized parvoviruses on
pigs is largely unknown. To further generate knowledge on the distribution of
PPVs in pigs, a total of 247 serum samples were collected from six commercial
Polish pig farms during 2013-2015 and tested by PCR assays and ELISAs. The pigs
ranged from two to 18 weeks of age at sample collection. Breeding herds supplying
the investigated farms were routinely vaccinated against PPV1. While all growing
pig samples were negative for PPV1 DNA, young pigs were frequently negative for
PPV1 antibodies and seroconversion to PPV1 was commonly seen at 9-10 weeks of
age. The PPV2 antibody detection was highest in young pigs (2-6-week-old) and
decreased in older pigs indicating passively acquired antibodies. The DNA
prevalence rates in the serum samples analysed were 19% for PPV2, 7.7% for PPV3,
2.4% for PPV4, 4.0% for PPV5 and 6.1% for PPV6. Most PPV DNA-positive samples
were identified in 9- to 18-week-old pigs with no obvious association with
disease on the farm. All recently emerging PPV genotypes were detected in Polish
farms. Similar to previous reports in other pig populations, PPV2 was the most
frequent PPV genotype circulating in Poland.
PMID- 27882680
TI - Reconciling conceptualisations of the body and person-centred care of the older
person with cognitive impairment in the acute care setting.
AB - In this article, we sought reconciliation between the "body-as-representation"
and the "body-as-experience," that is, how the body is represented in discourse
and how the body of older people with cognitive impairment is experienced. We
identified four contemporary "technologies" and gave examples of these to show
how they influence how older people with cognitive impairment are often
represented in acute care settings. We argued that these technologies may be
mediated further by discourses of ageism and ableism which can potentiate either
the repressive or productive tendencies of these technologies resulting in either
positive or negative care experiences for the older person and/or their carer,
including nurses. We then provided examples from research of embodied experiences
of older people with dementia and of how nurses and other professionals utilized
their inter-bodily experiences to inform acts of caring. The specificity and
individuality of these experiences were more conducive to positive care
experiences. We conclude the article by proposing that the act of caring is one
way nurses seek to reconcile the "body-as-representation" with the "body-as
experience" to mitigate the repressive effects of negative ageism and ableism.
The act of caring, we argue, is the essence of caring enacted through the
provision of person-centred care which evokes nurses to respond appropriately to
the older person's "otherness," their "variation of being" while enabling them to
enact a continuation of themselves and their own version of normality.
PMID- 27882678
TI - A molecular transmission network of recent hepatitis C infection in people with
and without HIV: Implications for targeted treatment strategies.
AB - Combining phylogenetic and network methodologies has the potential to better
inform targeted interventions to prevent and treat infectious diseases. This
study reconstructed a molecular transmission network for people with recent
hepatitis C virus (HCV) infection and modelled the impact of targeting directly
acting antiviral (DAA) treatment for HCV in the network. Participants were
selected from three Australian studies of recent HCV from 2004 to 2014. HCV
sequence data (Core-E2) from participants at the time of recent HCV detection
were analysed to infer a network by connecting pairs of sequences whose
divergence was <=.03 substitutions/site. Logistic regression was used to identify
factors associated with connectivity. Impact of targeting HCV DAAs at both HIV co
infected and random nodes was simulated (1 million replicates). Among 236
participants, 21% (n=49) were connected in the network. HCV/HIV co-infected
participants (47%) were more likely to be connected compared to HCV mono-infected
participants (16%) (OR 4.56; 95% CI; 2.13-9.74). Simulations targeting DAA HCV
treatment to HCV/HIV co-infected individuals prevented 2.5 times more onward
infections than providing DAAs to randomly selected individuals. Results
demonstrate that genetic distance-based network analyses can be used to identify
characteristics associated with HCV transmission, informing targeted prevention
and treatment strategies.
PMID- 27882681
TI - General practice consultations, diagnostic investigations, and prescriptions in
the year preceding a lung cancer diagnosis.
AB - Patterns of general practice utilization in the period before lung cancer (LC)
diagnosis may provide new knowledge to ensure timelier and earlier diagnosis of
LC. This study aimed to explore the prediagnostic activity in general practice in
the year preceding LC diagnosis. The activity was compared to a matched
comparison group. We compared LC patients with different stage, and patients with
and without chronic obstructive pulmonary disease (COPD). Using Danish registers,
we performed a population-based matched cohort study including lung cancer
patients (n = 34,017) and matched comparison subjects (n = 340,170). During
months 12 to 1 prior to diagnosis, 92.6% of LC patients and 88.4% of comparison
subjects had one or more contacts with general practice. 13.0% of LC patients and
3.3% of comparison subjects had two or more X-rays. 20.8% of LC patients and 8.5%
of comparison subjects had two or more first-time antibiotics prescriptions. The
incidence rate ratio for having a contact to general practice was similar for LC
patients with localized disease compared to LC patients with metastatic disease.
LC patients with COPD had more frequent contacts, lung functions tests, X-rays,
and prescriptions than COPD patients without lung cancer, but not as pronounced
as compared to patients without COPD. There was a significant increase in
healthcare seeking and diagnostic activity in the year prior to a LC diagnosis,
regardless of stage at diagnosis. COPD may mask the symptoms of LC. This
indicates the presence of a "diagnostic time window" and a potential for more
timely diagnosis of LC based on clinical signs and symptoms.
PMID- 27882683
TI - Persistent Hydrogen Production by the Photo-Assisted Microbial Electrolysis Cell
Using a p-Type Polyaniline Nanofiber Cathode.
AB - A microbial electrolysis cell, though considered as a promising, environmentally
friendly technology for hydrogen production, suffers from concomitant production
of methane. The high hydrogen/methane ratio at the initial operation stage
decreases with time. Here we report for the first time the photoassisted
microbial electrolysis cell (MEC) for persistent hydrogen production using
polyaniline nanofibers as a cathode. Under 0.8 V external bias and laboratory
fluorescent light illumination in a single-chamber MEC, continuous hydrogen
production from acetate at a rate of 1.78 mH2 3 m-3 d-1 with 79.2 % overall
hydrogen recovery was achieved with negligible methane formation for six months.
Energy efficiencies based on input electricity as well as input electricity plus
substrate were 182 and 66.2 %, respectively. This was attributed to the p-type
semiconductor characteristics of polyaniline nanofibers in which photoexcited
electrons are used to reduce protons at the surface and holes are reduced with
electrons originating from acetate oxidation at the anode. This method can be
extended to microbial wastewater treatment for hydrogen production.
PMID- 27882684
TI - Transformation of Cellulose into Nonionic Surfactants Using a One-Pot Catalytic
Process.
AB - Alkyl glucosides surfactants are synthesized by a cascade process that involves
the methanolysis of cellulose into methyl glucosides followed by the
transacetalization with n-octanol. The first step was performed using methanol as
solvent and acid catalysts (such as, inorganic acids, heteropolyacids,
ionexchange resins, or modified carbon materials). Subsequently, long-chain alkyl
glucosides are obtained in the second step by transacetalization, which involves
the reaction of methyl glucosides with a fatty alcohol using the same acid
catalyst. The overall process was performed under mild conditions. Amorphous
sulfonated carbon catalyst achieved the best results for the complete conversion
of cellulose in methanol at 200 degrees C with methyl alpha,beta
glucopyranosides yields higher than 80 %. Moreover, this material containing -SO3
H groups is ideal to perform the second step to obtain octyl and decyl glucosides
in yields higher than 73 % at 120 degrees C. In addition, the sulfonated carbon
catalyst (C-SO3 H) can be reused with only a slightly decrease of its activity
after four consecutive cycles.
PMID- 27882682
TI - Prevalence of Equine Hepacivirus Infections in France and Evidence for Two Viral
Subtypes Circulating Worldwide.
AB - Like hepatitis C virus (HCV) in humans, the newly identified equine hepacivirus
(NPHV) displays a predominating liver tropism that may evolve into chronic
infections. The genomes of the two viruses share several organizational and
functional features and are phylogenetically closest amongst the Hepacivirus
genus. A limited amount of data is available regarding the spread of hepacivirus
infections in horses. In this study, we asked whether in a more representative
sample the prevalence and distribution of NPHV infections in France would
resemble that reported so far in other countries. A total of 1033 horses sera
from stud farms throughout France were analysed by qRT-PCR to determine the
prevalence of ongoing NPHV infections and viral loads; in positive samples,
partial sequences of NPHV's genome (5'UTR, NS3 and NS5B genes) were determined.
Serum concentrations of biliary acids, glutamate dehydrogenase (GLDH) and L-gamma
glutamyl transferase (gamma-GT) were measured for most horses. We detected NPHV
infections in 6.2% of the horses, a prevalence that reached 8.3% in thoroughbreds
and was significantly higher than in other breeds. The presence of circulating
virus was neither significantly associated with biological disturbances nor with
clinical hepatic impairment. Our phylogenetic analysis was based on both
neighbour-joining and maximum-likelihood approaches. Its result shows that, like
almost everywhere else in the world so far, two major groups of NPHV strains
infect French domestic horses. Based on genetic distances, we propose a
classification into two separate NPHV subtypes. Viral loads in the serum of
horses infected by the main subtype were, in average, four times higher than in
those infected by the second subtype. We hypothesize that amino acid
substitutions in the palm domain of NS5B between NPHV subtypes could underlie
viral phenotypes that explain this result.
PMID- 27882685
TI - Elective ambulatory blood pressure monitoring to diagnose masked hypertension
after kidney transplantation: are we ready for that?
PMID- 27882686
TI - Ensuring adequate immunizations among pediatric liver transplant recipients: A
team approach.
PMID- 27882687
TI - Pediatric organ donation rates-going beyond registry data.
PMID- 27882688
TI - Changing expectations for Fontan patient survival after heart transplantation.
PMID- 27882689
TI - Is a reduction in cystometric bladder capacity in anuric infants post-renal
transplant really no big deal?
PMID- 27882690
TI - The complexities of homozygous familial hypercholesterolemia management.
PMID- 27882691
TI - Image-guided fine-needle aspiration cytology and flow cytometry phenotyping of
neck lymphadenopathy for the diagnosis of recurrent lymphoma.
AB - OBJECTIVE: In patients with a history of lymphoma, each lymphadenopathy should be
carefully evaluated. The aims of this study were to evaluate (i) the usefulness
of high-resolution ultrasonography (US), US-guided fine-needle aspiration
cytology (FNAC) and flow cytometry phenotyping (FCP) together in the diagnosis of
recurrent lymphoma and (ii) whether these tools were independent predictors of
correct results. DESIGN: Retrospective cohort study with stepwise forward
logistic regression analysis of results. SETTING: Tertiary referral centre.
PARTICIPANTS: A total of 151 patients with a history of lymphoma who developed a
cervical mass during follow-up. METHODS: On neck US, a lymphadenopathy was shown
in 129 (85.4%) patients (median age 57 years, range 18-78 years), and US-guided
FNAC combined with FCP were immediately performed. All patients had surgical
excision and subsequent histological examination of the enlarged node(s), to
establish lymphoma subclassification. RESULTS: Final histology confirmed
recurrence in 82 (63.6%) patients. According to the logistic regression analysis,
FNAC and FCP were independent predictors of correct results (P = 0.009 and 0.028,
respectively) and did not interfere with each other. The sensitivity, specificity
and accuracy of the combination of all of the tools were 98.8%, 100% and 99.2%,
respectively, and the area under the receiver operating characteristic curve was
0.902 (95% CI: 0.797-0.986). CONCLUSION: This minimally invasive procedure is
easily performed and should be recommended for all patients with cervical
lymphadenopathy and a history of lymphoma, avoiding the need of core-biopsy or
surgical excision if recurrence was excluded.
PMID- 27882692
TI - Current progress on microRNAs-based therapeutics in neurodegenerative diseases.
AB - MicroRNAs (miRNAs)-based therapy has recently emerged as a promising strategy in
the treatments of neurodegenerative diseases. Thus, in this review, the most
recent and important challenges and advances on the development of miRNA
therapeutics for brain targeting are discussed. In particular, this review
highlights current knowledge and progress in the field of manufacturing,
recovery, isolation, purification, and analysis of these therapeutic
oligonucleotides. Finally, the available miRNA delivery systems are reviewed and
an analysis is presented in what concerns to the current challenges that have to
be addressed to ensure their specificity and efficacy. Overall, it is intended to
provide a perspective on the future of miRNA-based therapeutics, focusing the
biotechnological approach to obtain miRNAs. WIREs RNA 2017, 8:e1409. doi:
10.1002/wrna.1409 For further resources related to this article, please visit the
WIREs website.
PMID- 27882694
TI - Fused Bicyclic Caspase-1 Inhibitors Assembled by Copper-Free Strain-Promoted
Alkyne-Azide Cycloaddition (SPAAC).
AB - Challenges exist in the development of potent and selective small-molecule
inhibitors against caspase-1. Herein, by making use of the copper-free strain
promoted alkyne-azide cycloaddition (SPAAC) reaction between difluorinated
cyclooctynes (DIFOs) and various azide-containing compounds, we showed for the
first time that potential caspase-1 inhibitors could be rapidly synthesized. The
resulting fused bicyclic compounds structurally resembled the central portion (P2
-P3 ) of Pralnacasan (a well-known small molecule caspase-1 inhibitor), with
diversity at the P4 -position of the parental inhibitor conveniently installed
from the azide component. Since our SPAAC-assembled inhibitor library was
synthesized by using a copper-free bioorthogonal chemistry, the resulting 52
membered library (2 DIFOs*26 azides) was immediately ready for subsequent cell
based screening for rapid identification of potential cell-permeable hits capable
of effectively inhibiting endogenous caspase-1 activities. C1FS, a recently
reported fluorogenic two-photon probe, which possesses improved live-cell imaging
sensitivity against endogenous caspase-1, was used both in vitro and in LPS/ATP
induced macrophages (a well-established caspase-1-activated cell model) to screen
against selected compounds from the above-mentioned library, leading to
subsequent discovery of a novel caspase-1 inhibitor named b7-b.
PMID- 27882693
TI - Direct Transformation of HMF into 2,5-Diformylfuran and 2,5-Dihydroxymethylfuran
without an External Oxidant or Reductant.
AB - The selective transformation of 5-hydroxymethylfurfural (HMF) to valuable 2,5
diformylfuran (DFF) and 2,5-dihydroxymethylfuran (DHMF) is highly desirable but
remains a great challenge owing to its tendency to over-oxidation and over
reduction. In this work, HMF is directly converted into DFF and DHMF without
external oxidant or reductant through a Meerwein-Ponndorf-Verley-Oppenauer (MPVO)
reaction. In such a MPVO process, HMF is used as both oxidant and reductant and
DFF and DHMF are simultaneously produced with a 1:1 molar ratio in the presence
of a Lewis acid catalyst. Under high initial HMF concentration, a HMF conversion
of up to 44.7 % can be reached within 1 h. Moreover, this atom-efficient
transformation route for HMF also provides a promising protocol for the crude
separation of DHMF products from DFF products, owing to the lower solubility of
DHMF compared to DFF in acetonitrile.
PMID- 27882695
TI - Effects of polyethylene glycol and a synthetic ice blocker during vitrification
of immature porcine oocytes on survival and subsequent embryo development.
AB - We evaluated the effects of polyethylene glycol (PEG) and Supercool X-1000 (SC)
as supplements during the vitrification of immature cumulus-enclosed porcine
oocytes in a solution based on 17.5% ethylene glycol + 17.5% propylene glycol.
After warming, the oocytes were subjected to in vitro maturation, fertilization
and embryo culture. In Experiment 1, equilibration and vitrification solutions
were supplemented with or without 2% (w/v) PEG (PEG+ and PEG-, respectively). The
survival rate, cleavage and blastocyst development were similar between PEG+ and
PEG- groups; however, all values were lower than those in the non-vitrified
control. In Experiment 2, vitrification solution was supplemented with or without
1% (v/v) SC (SC+ and SC-, respectively). The percentages of survival and
blastocyst development were similar between SC+ and SC- groups but lower than
those in the non-vitrified control. The percentage of cleavage in the SC- group
was significantly lower than the control and the SC+ groups, which were in turn
similar to one another. In both experiments, the cell numbers in blastocysts were
not significantly different among the non-vitrified and vitrified groups. In
conclusion, PEG did not improve oocyte survival and embryo development, whereas
SC improved the ability of surviving oocytes to cleave but not to develop into
blastocysts.
PMID- 27882697
TI - Biomechanical properties of an implanted engineered tubular gut-sphincter
complex.
AB - Neuromuscular diseases of the gut alter the normal motility patterns. Although
surgical intervention remains the standard treatment, preservation of the
sphincter attached to the rest of the gut is challenging. The present study aimed
to evaluate a bioengineered gut-sphincter complex following its subcutaneous
implantation for 4 weeks in rats. Engineered innervated human smooth muscle
sheets and innervated human sphincters with a predefined alignment were placed
around tubular scaffolds to create a gut-sphincter complex. The engineered
complex was subcutaneously implanted in the abdomen of the rats for 4 weeks. The
implanted tissues were vascularized. In vivo manometry revealed luminal pressure
at the gut and the sphincter zone. Tensile strength, elongation at break and
Young's modulus of the engineered complexes were similar to those of native rat
intestine. Histological and immunofluorescence assays showed maintenance of
smooth muscle circular alignment in the engineered tissue, maintenance of smooth
muscle contractile phenotype and innervation of the smooth muscle. Electrical
field stimulation induced relaxation of the smooth muscle of both the sphincter
and the gut parts. Relaxation was partly inhibited by nitric oxide inhibitor
indicating nitrergic contribution to relaxation. The present study has
demonstrated for the first time a successfully developed and subcutaneously
implanted a tubular human-derived gut-sphincter complex. The sphincteric part of
Tubular Gut-Sphincter Complex (TGSC) maintained the basal tone characteristic of
a native sphincter. The gut part also maintained its specific neuromuscular
characteristics. The results of this study provide a promising therapeutic
approach to restore gut continuity and motility. Copyright (c) 2016 John Wiley &
Sons, Ltd.
PMID- 27882698
TI - The cellular and molecular basis of cnidarian neurogenesis.
AB - Neurogenesis initiates during early development and it continues through later
developmental stages and in adult animals to enable expansion, remodeling, and
homeostasis of the nervous system. The generation of nerve cells has been
analyzed in detail in few bilaterian model organisms, leaving open many questions
about the evolution of this process. As the sister group to bilaterians,
cnidarians occupy an informative phylogenetic position to address the early
evolution of cellular and molecular aspects of neurogenesis and to understand
common principles of neural development. Here we review studies in several
cnidarian model systems that have revealed significant similarities and
interesting differences compared to neurogenesis in bilaterian species, and
between different cnidarian taxa. Cnidarian neurogenesis is currently best
understood in the sea anemone Nematostella vectensis, where it includes
epithelial neural progenitor cells that express transcription factors of the soxB
and atonal families. Notch signaling regulates the number of these neural
progenitor cells, achaete-scute and dmrt genes are required for their further
development and Wnt and BMP signaling appear to be involved in the patterning of
the nervous system. In contrast to many vertebrates and Drosophila, cnidarians
have a high capacity to generate neurons throughout their lifetime and during
regeneration. Utilizing this feature of cnidarian biology will likely allow
gaining new insights into the similarities and differences of embryonic and
regenerative neurogenesis. The use of different cnidarian model systems and their
expanding experimental toolkits will thus continue to provide a better
understanding of evolutionary and developmental aspects of nervous system
formation. WIREs Dev Biol 2017, 6:e257. doi: 10.1002/wdev.257 For further
resources related to this article, please visit the WIREs website.
PMID- 27882696
TI - Fetuin-A (alpha 2HS glycoprotein) modulates growth, motility, invasion, and
senescence in high-grade astrocytomas.
AB - Glioblastomas (high-grade astrocytomas) are highly aggressive brain tumors with
poor prognosis and limited treatment options. In the present studies, we have
defined the role of fetuin-A, a liver-derived multifunctional serum protein, in
the growth of an established glioblastoma cell line, LN229. We hereby demonstrate
that these cells synthesize ectopic fetuin-A which supports their growth in
culture in the absence of serum. We have demonstrated that a panel of tissue
microarray (TMA) of glioblastomas also express ectopic fetuin-A. Knocking down
fetuin-A using shRNA approach in LN229, significantly reduced their in vitro
growth as well as growth and invasion in vivo. The fetuin-A knockdown subclones
of LN229 (A and D) also had reduced motility and invasive capacity. Treatment of
LN229 cells with asialofetuin (ASF), attenuated their uptake of labeled fetuin-A,
and induced senescence in them. Interestingly, the D subclone that had ~90%
reduction in ectopic fetuin-A, underwent senescence in serum-free medium which
was blunted in the presence of purified fetuin-A. Uptake of labeled exosomes was
attenuated in fetuin-A knockdown subclones A and D. Taken together, the studies
demonstrate the impact of fetuin-A as significant node of growth, motility, and
invasion signaling in glioblastomas that can be targeted for therapy.
PMID- 27882699
TI - Controlled Retention and Release of Biomolecular Transport Systems Using Shape
Changing Polymer Bilayers.
AB - Biomolecular transport systems based on cytoskeletal filaments and motor proteins
have become promising tools for a wide range of nanotechnological applications.
In this paper, we report control of such transport systems using substrates with
switchable shape. We demonstrate this approach on the example of microtubules
gliding on surfaces of self-folding polymer bilayers with adsorbed kinesin
motors. The polymer bilayers are able to undergo reversible transitions between
flat and tube-like shapes that allow the externally controlled retention and
release of gliding microtubules. The demonstrated approach, based on surfaces
with reconfigurable topography, opens broad perspectives to control biomolecular
transport systems for bioanalytical and sensing applications, as well as for the
construction of subcellular compartments in the field of synthetic biology.
PMID- 27882700
TI - Effects of lapatinib or trastuzumab, alone and in combination, in human epidermal
growth factor receptor 2-positive breast cancer: a meta-analysis of randomized
controlled trials.
AB - This meta-analysis compared the efficiency and safety of lapatinib and
trastuzumab, alone or in combination, administered with neoadjuvant chemotherapy
in patients with human epidermal growth factor receptor 2 (HER2)-positive breast
cancer. For dichotomous variables, the relative risk ratio (RR) and 95%
confidence interval (CI) were used to investigate outcome measures: pathological
complete response (pCR), neutropenia, diarrhea, dermatologic toxicity, and
congestive heart failure (CHF). Eight randomized controlled trials of 2350
participants (837 receiving lapatinib, 913 trastuzumab, and 555 combination
therapy) were selected to compare the efficiency and safety of lapatinib to
trastuzumab. A significant difference was found between lapatinib and trastuzumab
for pCR (RR = 0.82, 95% CI: 0.73-0.93; Z = 3.00; P = 0.003). In six studies, a
significant difference was found between trastuzumab and combination therapy for
pCR (RR = 1.33, 95% CI: 1.18-1.50; Z = 4.70; P < 0.00001), diarrhea (RR = 14.59,
95% CI: 7.69-27.67; Z = 8.20; P < 0.00001), and dermatologic toxicity (RR = 3.10,
95% CI: 1.61-5.96; Z = 3.39; P = 0.007), but none was found for neutropenia (RR =
1.38, 95% CI: 0.82-2.31; Z = 1.22; P = 0.22) or CHF (RR = 0.14, 95% CI: 0.02
1.17; Z = 1.02; P = 0.07). Combination therapy compared to trastuzumab alone
increases the pCR rate of HER2-positive breast cancer patients with no additional
cardiac events. Trastuzumab, which is still the first-line therapy in breast
cancer, increases the pCR rate more than lapatinib.
PMID- 27882701
TI - Landscape-scale spatial abundance distributions discriminate core from random
components of boreal lake bacterioplankton.
AB - Aquatic bacterial communities harbour thousands of coexisting taxa. To meet the
challenge of discriminating between a 'core' and a sporadically occurring
'random' component of these communities, we explored the spatial abundance
distribution of individual bacterioplankton taxa across 198 boreal lakes and
their associated fluvial networks (188 rivers). We found that all taxa could be
grouped into four distinct categories based on model statistical distributions
(normal like, bimodal, logistic and lognormal). The distribution patterns across
lakes and their associated river networks showed that lake communities are
composed of a core of taxa whose distribution appears to be linked to in-lake
environmental sorting (normal-like and bimodal categories), and a large fraction
of mostly rare bacteria (94% of all taxa) whose presence appears to be largely
random and linked to downstream transport in aquatic networks (logistic and
lognormal categories). These rare taxa are thus likely to reflect species sorting
at upstream locations, providing a perspective of the conditions prevailing in
entire aquatic networks rather than only in lakes.
PMID- 27882702
TI - Frequency-dependent selection for rare genotypes promotes genetic diversity of a
tropical palm.
AB - Negative frequency-dependent selection among species is a key driver of community
diversity in natural systems, but the degree to which negative frequency
dependent selection shapes patterns of survival and genetic diversity within
species is poorly understood. In a 5-year field experiment, we show that
seedlings of a tropical palm with rare genotypes had a pronounced survival
advantage over seedlings with common genotypes, with effect sizes comparable to
that of light availability. This 'rare genotype advantage' led to an increase in
population-wide genetic diversity among seedlings compared to null expectations,
as predicted by negative frequency-dependent selection, and increased
reproductive success in adult trees with rare genotypes. These results suggest
that within-species negative frequency-dependent selection of genotypes can shape
genetic variation on ecologically relevant timescales in natural systems and may
be a key, overlooked source of non-random mortality for tropical plants.
PMID- 27882703
TI - Species pools, community completeness and invasion: disentangling diversity
effects on the establishment of native and alien species.
AB - Invasion should decline with species richness, yet the relationship is
inconsistent. Species richness, however, is a product of species pool size and
biotic filtering. Invasion may increase with richness if large species pools
represent weaker environmental filters. Measuring species pool size and the
proportion realised locally (completeness) may clarify diversity-invasion
relationships by separating environmental and biotic effects, especially if
species' life-history stage and origin are accounted for. To test these
relationships, we added seeds and transplants of 15 native and alien species into
29 grasslands. Species pool size and completeness explained more variation in
invasion than richness alone. Although results varied between native and alien
species, seed establishment and biotic resistance to transplants increased with
species pool size, whereas transplant growth and biotic resistance to seeds
increased with completeness. Consequently, species pools and completeness
represent multiple independent processes affecting invasion; accounting for these
processes improves our understanding of invasion.
PMID- 27882704
TI - Evolutionary consequences of ecological factors: pollinator reliability predicts
mating-system traits of a perennial plant.
AB - The reproductive-assurance hypothesis predicts that mating-system traits will
evolve towards increased autonomous self-pollination in plant populations
experiencing unreliable pollinator service. We tested this long-standing
hypothesis by assessing geographic covariation among pollinator reliability,
outcrossing rates, heterozygosity and relevant floral traits across populations
of Dalechampia scandens in Costa Rica. Mean outcrossing rates ranged from 0.16 to
0.49 across four populations, and covaried with the average rates of pollen
arrival on stigmas, a measure of pollinator reliability. Across populations,
genetically based differences in herkogamy (anther-stigma distance) were
associated with variation in stigmatic pollen loads, outcrossing rates and
heterozygosity. These observations are consistent with the hypothesis that, when
pollinators are unreliable, floral traits promoting autonomous selfing evolve as
a mechanism of reproductive assurance. Extensive covariation between floral
traits and mating system among closely related populations further suggests that
floral traits influencing mating systems track variation in adaptive optima
generated by variation in pollinator reliability.
PMID- 27882705
TI - Erratum for Arguello et al. (2016).
PMID- 27882707
TI - A ripple effect: Reshaping culture through gerontological nursing.
PMID- 27882706
TI - High frequency of potential interactions between direct-acting antivirals and
concomitant therapy in HIV/hepatitis C virus-coinfected patients in clinical
practice.
AB - OBJECTIVES: The aim of the study was to analyse the frequency and degree of
potential drug-drug interactions (DDIs) between direct-acting antivirals (DAAs)
and concomitant medication used by HIV/hepatitis C virus (HCV)-coinfected
patients, including antiretroviral therapy (ART) and other drugs. METHODS: All
patients with HIV infection and viraemic HCV genotype 1, 3 or 4 coinfection
attending a tertiary care centre in Spain (November 2014 to November 2015) were
included in the study. DDIs were classified as major, i.e. drugs should not be co
administered, or minor, i.e. close monitoring, dosage alteration or change in
timing may be required if drugs are co-administered, following the http://www.hep
druginteractions.org database recommendations. RESULTS: A total of 244 patients
were included in the study, of whom 224 (92%) were previous injecting drug users.
Major DDIs were found for: paritaprevir-r/ombitasvir plus dasabuvir (3D), in 60
(44%) of 138 individuals with genotype 1; paritaprevir-r/ombitasvir (2D), in 22
(37%) of 60 individuals with genotype 4; sofosbuvir/ledipasvir (SOF/LDV), in four
(2%) of 198 patients with genotype 1 or 4; simeprevir (SMV) plus SOF, in 160
(81%) of 198 patients with genotype 1 or 4; daclatasvir (DCV) plus SOF, in seven
(3%) of 244 patients with genotype 1, 3 or 4 (P < 0.001). Minor DDIs were found
for: 3D, in 123 (89%) individuals with genotype 1; 2D, in 52 (87%) individuals
with genotype 4; SOF/LDV, in 154 (78%) patients with genotype 1 or 4; SMV plus
SOF, in 129 (65%) patients with genotype 1 or 4; DCV plus SOF, in 149 (61%)
patients with genotype 1, 3 or 4 (P < 0.001). CONCLUSIONS: Drug-drug interactions
between DAAs and ART or other commonly prescribed medications are frequently
found among HIV/HCV-coinfected patients. Potential major and minor DDIs are more
frequent with 3D, 2D and SMV plus SOF regimens.
PMID- 27882708
TI - OBITUARY to Professor Jan Reed former editor IJOPN.
PMID- 27882709
TI - Using a tracheal stent for conservative management of speaking valve-associated
tracheo-oesophageal fistula.
PMID- 27882710
TI - John Porter Book Prize Lecture: Bringing the Social Back In-On the Integration of
Muslim Immigrants and the Jurisprudence of Muslim Minorities.
AB - In much of the academic debate on the integration of Muslims into Western liberal
democracies, Islam is often treated as one or the sole independent variable in
the lives of Muslims. Offering to view Islam-or the understanding of Islam among
Muslims-as the dependent variable, The Muslim Question in Canada discusses the
influence of socioeconomic forces in shaping the Muslim immigrants' opinions,
modes of thinking, and even interpretations of their faith. Drawing on this
general approach, which is introduced and developed in the book using a variety
of both quantitative and qualitative data, this article focuses on a school of
thought within the Islamic jurisprudence known as fiqh al-aqalliyyat al-Muslema
(the jurisprudence of Muslim minorities). The premise of the jurisprudence of
Muslim minorities is that the lived realities of Muslims who reside in non-Muslim
countries are so fundamentally different from those of the Muslim-majority
nations that traditional Islamic jurisprudence cannot offer meaningful solutions
for their problems. Therefore, there is a need to establish an entirely different
jurisprudential approach centered around the lives of the Muslim minorities. The
purpose of the bulk of jurisprudential theorization efforts in this line of
reasoning is to facilitate the lives of the Muslim minorities; as well, they aim
to create a foundation for the moral obligations of Muslims toward non-Muslims in
such environments. I argue that a crucial element that triggers such a
development is the existence of a positive relationship between Muslims and non
Muslims in immigrant-receiving countries. Souvent au sein des debats sur
l'integration des Musulmans dans des democraties liberales de l'Ouest, l'Islam
est traite comme un ou le seul enjeu dans la vie des fideles. The Muslim Question
in Canada examine l'Islam ou la comprehension de l'Islam chez les Musulmans comme
un enjeu dependent et aborde l'influence des forces socio-economiques sur les
opinons des immigrants musulmans ainsi que sur leurs modes de pensee et meme sur
la maniere dont ils interpretent leur foi. Inspire par cette approche, que l'on
presente et developpe dans le livre, et qui se sert de donnees a la fois
quantitatives et qualitatives, cet article se concentre sur une ecole de pensee a
l'interieur de la jurisprudence islamique, connue sous le nom de fiqh al
aqalliyyat al-Muslema (la jurisprudence des minorites musulmanes). La premisse de
la jurisprudence des minorites musulmanes est que les realites vecues par les
Musulmans qui vivent dans des pays non-musulmans sont au fond tellement
differentes de celles de la majorite musulmane que la jurisprudence
traditionnelle ne presente pas de solutions pertinentes pour resoudre leurs
problemes. Ainsi, il faut etablir une approche jurisprudentielle totalement
differente, axee sur la vie des minorites musulmanes. L'objectif de la plupart
des efforts au niveau de la theorisation de la jurisprudence qui adoptent cette
vision est de rendre la vie des minorites musulmanes plus facile. Ces efforts
tentent aussi de faire en sorte que les Musulmans ressentent une certaine
obligation morale envers les non-Musulmans dans ces environnements. J'avance ici
que l'aspect qui declenche un tel developpement est le rapport positif entre les
Musulmans et les non-Musulmans dans les pays qui recoivent des immigrants.
PMID- 27882711
TI - Reconciliation in the Context of Settler-Colonial Gender Violence: "How Do We
Reconcile with an Abuser?"
PMID- 27882712
TI - In Memoriam: Thelma McCormack 1921 to 2016 Professor Emerita, Sociology, York.
PMID- 27882713
TI - CRS Symposium on Reconciling Indigenous-Settler Relations in Canada: Whose Voice
Counts?
PMID- 27882715
TI - Factors Influencing Church Choice: An Exploration of Responses from New Attendees
at Growing Canadian Mainline Churches.
AB - Seventy new attendees of growing Mainline Protestant churches in Canada agreed to
be interviewed regarding what attracted them to, and kept them at, their current
congregation. A variety of responses revealed that, in part, the new attendees
were drawn to their Mainline Protestant church by aspects of Conservative
Protestant theology. Contemporary theories of group membership are used to
explore the links between Conservative Protestant theology, group cohesion, and,
to a lesser extent, church growth. Soixante-dix nouveaux participants provenant
d'une eglise protestante traditionnelle au Canada en croissance ont accepte
d'etre interviewes au sujet des caracteristiques qui les ont attires et qui les
ont gardes a leur congregation actuelle. Une variete de reponses a demontre que,
en partie, les nouveaux participants ont ete attires par leur eglise protestante
traditionnelle par les aspects de la theologie protestante conservatrice. Les
theories contemporaines de l'appartenance au groupe sont utilisees pour explorer
les liens entre la theologie protestante conservatrice, la cohesion du groupe, et
dans une moindre mesure, la croissance de l'eglise.
PMID- 27882716
TI - Toward a Sociology of the Reconciliation of Conflicting Desires.
AB - Desire-based research provides people and communities the opportunity to share
their dreams and hopes for a better future. However, conflicting desires are
difficult to reconcile. We suggest that sociological research to understand
conflicting desires is required to support reconciliation work by Indigenous and
non-Indigenous people in Canada. Our contribution begins by identifying much of
current and past sociological research about Indigenous people and communities as
damaged-centered, that is, identifying problems and obstacles in the hope that
the knowledge will lead to change. This model of social change is flawed. We
believe that most Canadians desire justice for Indigenous peoples while at the
same time desiring land and access to resources, desires that deny that justice.
How we as a society reconcile these desires will determine the extent to which
true justice for Indigenous peoples will be achieved. We propose a sociology of
the reconciliation of conflicting desires and suggest some practical ways that
this type of research could move forward.
PMID- 27882717
TI - Is it Homophobia or Homoppression?
PMID- 27882719
TI - Mediating the Space Between: Voices of Indigenous Youth and Voices of Educators
in Service of Reconciliation.
PMID- 27882718
TI - Catching Up or Falling Behind? Continuing Wealth Disparities for Immigrants to
Canada by Region of Origin and Cohort.
AB - This paper investigates wealth disparities among first-generation immigrants
using data from the 2012 Survey of Financial Security. We apply logistic and
linear regression models to estimate disparities in homeownership and household
equivalent net worth by immigrant status, region of origin, and time since
arrival. By focusing on immigrant families from different regions who entered
Canada at different points in time, this research applies theories related to
assimilation, human capital, and structural barriers to wealth. Our findings
demonstrate that even though many immigrant families transition into
homeownership and grow their wealth over time, certain first-generation immigrant
groups continue to experience wealth disparities many years after their arrival
to Canada. In particular, immigrant families from African, Asian, and Middle
Eastern countries experienced the largest wealth gaps. Cet article examine les
disparites de richesse entre les immigrants de premiere generation en utilisant
les donnees de l'Enquete 2012 sur la securite financiere. Nous appliquons des
modeles de regression logistique et lineaire pour estimer les disparites dans la
propriete et valeur nette des menages equivalente par le statut d'immigrant, la
region d'origine, et le temps ecoule depuis leur arrivee. En se concentrant sur
les familles d'immigrants de differentes regions qui sont entres au Canada a
differents points dans le temps, cette recherche applique les theories liees a
l'assimilation, le capital humain, et les obstacles structurels a la richesse.
Nos resultats demontrent que meme si de nombreuses familles d'immigrants
transition vers la propriete et de croitre leur richesse au fil du temps,
certains groupes d'immigrants de premiere generation continuent d'eprouver des
disparites de richesse de nombreuses annees apres leur arrivee au Canada. En
particulier, les familles d'immigrants d'Afrique, d'Asie, et les pays du Moyen
Orient ont connu les plus grands ecarts de richesse.
PMID- 27882720
TI - Using Our Good Minds to Foster Reconciliation: A Story of Optimism.
PMID- 27882723
TI - An In-Depth Understanding of Biomass Recalcitrance Using Natural Poplar Variants
as the Feedstock.
AB - In an effort to better understand the biomass recalcitrance, six natural poplar
variants were selected as feedstocks based on previous sugar release analysis.
Compositional analysis and physicochemical characterizations of these poplars
were performed and the correlations between these physicochemical properties and
enzymatic hydrolysis yield were investigated. Gel permeation chromatography (GPC)
and 13 C solid state NMR were used to determine the degree of polymerization
(DP) and crystallinity index (CrI) of cellulose, and the results along with the
sugar release study indicated that cellulose DP likely played a more important
role in enzymatic hydrolysis. Simons' stain revealed that the accessible surface
area of substrate significantly varied among these variants from 17.3 to 33.2 mg
g-1biomass as reflected by dye adsorption, and cellulose accessibility was shown
as one of the major factors governing substrates digestibility. HSQC and 31 P NMR
analysis detailed the structural features of poplar lignin variants. Overall,
cellulose relevant factors appeared to have a stronger correlation with glucose
release, if any, than lignin structural features. Lignin structural features,
such as a phenolic hydroxyl group and the ratio of syringyl and guaiacyl (S/G),
were found to have a more convincing impact on xylose release. Low lignin
content, low cellulose DP, and high cellulose accessibility generally favor
enzymatic hydrolysis; however, recalcitrance cannot be simply judged on any
single substrate factor.
PMID- 27882722
TI - Designed inhibitor for nuclear localization signal of polo-like kinase 1 induces
mitotic arrest.
AB - Polo-like kinase 1 (Plk1), a member of polo-like kinase family, regulates
multiple essential steps of the cell cycle progression. Plk1 is overexpressed in
multiple cancer cell lines and considered to be a prime anticancer target. Plk1
accumulates in the nucleus during S and G2 phases by its bipartite nuclear
localization signal (NLS) sequence, which is crucial for Plk1 regulation during
normal cell cycle progression. Here, through combined computational and
experimental studies, we identified compound D110, which inhibits Plk1 kinase
activity with an IC50 of 85 nm and blocks the nuclear localization of Plk1 during
S and G2 phases. D110-treated cancer cells were arrested at mitosis with
monopolar spindle, indicating the inhibition of the Plk1 kinase activity in cell.
As D110 interacts with both the ATP site and the NLS in Plk1, it demonstrates
good selectivity toward Plk2 and Plk3. The strategy of simultaneously inhibiting
kinase activity and its subcellular translocations offers a novel approach for
selective kinase inhibitor design.
PMID- 27882721
TI - Survival of patients with metastatic leiomyosarcoma: the MD Anderson Clinical
Center for targeted therapy experience.
AB - Advanced stage leiomyosarcoma (LMS) is incurable with current systemic antitumor
therapies. Therefore, there is clinical interest in exploring novel therapeutic
regimens to treat LMS. We reviewed the medical records of 75 consecutive patients
with histologically confirmed metastatic LMS, who had been referred to the
Clinical Center for Targeted Therapy at MD Anderson Cancer Center. To lay the
foundation for potential phase I trials for the treatment of advanced LMS, we
analyzed tumor response and survival outcome data. The frequent hotspot gene
aberrations that we observed were the TP53 mutation (65%) and RB1 loss/mutation
(45%) detected by Sequenom or next-generation sequencing. Among patients treated
with gene aberration-related phase I trial therapy, the median progression-free
survival was 5.8 months and the median overall survival was 15.9 months,
significantly better than in patients without therapy (1.9 months, P = 0.001; and
8.7 months, P = 0.013, respectively). Independent risk factors that predicted
shorter overall survival included hemoglobin <10 g/dL, body mass index <30 kg/m2
, serum albumin <3.5 g/dL, and neutrophil above upper limit of normal. The median
survivals were 19.9, 7.6, and 0.9 months for patients with 0, 1 or 2, and >=3 of
the above risk factors, respectively (P < 0.001). A prognostic scoring system
that included four independent risk factors might predict survival in patients
with metastatic LMS who were treated in a phase I trial. Gene aberration-related
therapies led to significantly better clinical benefits, supporting that further
exploration with novel mechanism-driven therapeutic regimens is warranted.
PMID- 27882724
TI - Efficacy and safety of darbepoetin alfa initiated at hemoglobin <=10 g/dL in
patients with stage IV cancer and chemotherapy-induced anemia.
AB - Data on efficacy and safety of darbepoetin alfa (DA) administered at hemoglobin
(Hb) <=10 g/dL are limited. In this analysis, we examined DA's efficacy and
safety in patients with Stage IV cancers and chemotherapy-induced anemia (CIA)
initiated on DA at Hb <=10 g/dL. Data for patients with Stage IV cancers and CIA
and who initiated DA at Hb <=10 g/dL were extracted from three phase 3 trials
identified in a central database of Amgen-sponsored DA studies in CIA. Efficacy
outcomes were assessed by achievement of Hb increases of >=1 g/dL and >=2 g/dL
and red blood cell (RBC) or whole blood transfusion requirements. Data were
analyzed for all patients with baseline Hb <=10 g/dL, and by the subgroups of
patients with baseline Hb >=9 to <=10 g/dL versus <9 g/dL. Crude and Kaplan-Meier
proportions of patients who experienced each outcome and time (days) to each
outcome were summarized by treatment. Meta-analysis (fixed-effects inverse
variance model) was performed to compare outcomes for DA versus placebo. Safety
was assessed by occurrence of adverse events. Data from 213 patients were
analyzed: DA, n = 115; placebo, n = 98. More patients in the DA versus the
placebo subgroup achieved Hb increase of >=1 g/dL (72% vs. 36%; HR: 2.92, 95% CI:
1.95, 4.39) and >=2 g/dL (44% vs. 18%; HR: 2.98, 95% CI: 1.71, 5.21) during the
first 12 treatment weeks. Median times to Hb increase of >=1 g/dL and >=2 g/dL
were 36 days and 78 days for DA, respectively. RBC or whole blood transfusions
were less common in patients in the DA versus the placebo subgroup (24% vs. 45%;
HR: 0.44, 95% CI: 0.27, 0.73). No new safety issues were reported. Our results
confirm that DA use in patients with Stage IV cancer and CIA is more effective
than placebo at increasing Hb levels and at reducing transfusion needs when DA
treatment is initiated at Hb <=10 g/dL.
PMID- 27882727
TI - Advances in neurological research and practice.
PMID- 27882725
TI - Analysis of risk factors to predict communicating hydrocephalus following gamma
knife radiosurgery for intracranial schwannoma.
AB - Communicating hydrocephalus (HCP) in vestibular schwannomas (VS) after gamma
knife radiosurgery (GKRS) has been reported in the literature. However, little
information about its incidence and risk factors after GKRS for intracranial
schwannomas is yet available. The objective of this study was to identify the
incidence and risk factors for developing communicating HCP after GKRS for
intracranial schwannomas. We retrospectively reviewed a total of 702 patients
with intracranial schwannomas who were treated with GKRS between January 2002 and
December 2015. We investigated patients' age, gender, tumor origin, previous
surgery history, tumor volume, marginal radiation dose, and presence of tumor
control to identify associations with communicating HCP following GKRS. To make
predictive models of communicating HCP, we performed Cox regression analyses and
constructed a decision tree for risk factors. In total, 29 of the 702 patients
(4.1%) developed communicating HCP following GKRS, which required ventriculo
peritoneal (VP) shunt surgery. Multivariate analyses indicated that age (P =
0.0011), tumor origin (P = 0.0438), and tumor volume (P < 0.0001) were
significant predictors of communicating HCP in patients with intracranial
schwannoma after GKRS. Using machine-learning methods, we fit an optimal
predictive model. We found that developing communicating HCP following GKRS was
most likely if the tumor was vestibular origin and had a volume >=13.65 cm3 .
Communicating HCP is not a rare complication of GKRS for intracranial
schwannomas. Under specific conditions, communicating HCP following GKRS is
warranted for this patient group, and this patient group should be closely
followed up.
PMID- 27882730
TI - Corrigendum.
PMID- 27882729
TI - Minocycline-induced human herpesvirus 6 encephalomyelitis with drastically
disseminated contrast-enhanced lesions.
PMID- 27882726
TI - The high expression instead of mutation of p53 is predictive of overall survival
in patients with esophageal squamous-cell carcinoma: a meta-analysis.
AB - Esophageal squamous-cell carcinoma (ESCC) is one of the deadliest cancers where
biomarkers are needed for assist guiding management. We performed a meta-analysis
to clarify the prognostic value of p53 high expression and TP53 mutations, which
remain controversial for decades in patients with ESCC. We searched PubMed, Ovid
MEDLINE, Embase, and Current Contents Connect to identify studies published
between January 1990 and February 2016 of esophageal cancer populations that
measured p53 expression and/or mutation status and reported hazard ratios (HRs),
or adequate data for estimation of HRs for survival for p53-defined subgroups. We
calculated pooled HR and 95% confidence interval (CI) using a random-effects
model. A total of 56 eligible studies including 6537 patients were identified.
The p53 high expression was associated with reduced survival (HR: 1.35, 95% CI:
1.21-1.50, I2 = 42%). In subgroup analyses, a greater prognostic effect was
observed in those studies that reported survival for pure ESCC cohorts and were
assessed at low risk of bias (HR: 1.46, 95% CI: 1.29-1.65, I2 = 8%). Patients
with ESCC and p53 high expression have reduced overall survival, and this effect
is independent of tumor stage and greater than that of TP53 mutations.
PMID- 27882731
TI - Reproducibility of corrected QT interval in pediatric genotyped long QT syndrome.
AB - Reproducibility of corrected QT interval (QTc), especially QTc after exercise,
has not been thoroughly investigated. We reviewed charts of pediatric patients
who underwent treadmill-exercise stress testing without medication multiple times
within 1 year. In patients with long-QT syndrome (LQTS; n = 22), the discrepancy
in QTc between two treadmill exercise stress tests using Fridericia's formula was
14 +/- 12 ms at rest, 13 +/- 12 ms 4 min after exercise, with a maximum of 14 +/-
12 ms after exercise. There was no statistically significant difference in QTc
between the two tests. Intraclass correlation coefficients (ICC) were 0.84, 0.85,
and 0.85, respectively. In controls (n = 13), the discrepancy in QTc was 18 +/-
12 ms at rest, 14 +/- 7 ms 4 min after exercise, with a maximum of 14 +/- 9 ms
after exercise. There was no significant difference in QTc between the two tests.
ICC were 0.78, 0.80, and 0.80, respectively. QTc calculated using Bazett's
formula also showed high reproducibility. Reproducibility of QTc in children is
high at rest and after exercise.
PMID- 27882732
TI - Double pancreatic tumors in an adolescent: Imaging features.
AB - Insulinoma is generally identified as a single tumor and seldom occurs in
children or adolescents. A 14-year-old girl with difficulty in waking was found
to have hyperinsulinemic hypoglycemia. On abdominal ultrasonography two
hypoechoic masses (8 and 12 mm in diameter) were seen in the pancreatic body: the
larger mass was hypervascular, whereas the smaller one was hypovascular. Contrast
enhanced computed tomography showed enhancement of the larger mass, but did not
delineate the smaller mass. On fat-suppressed T1-weighted magnetic resonance
imaging, the larger mass was hypointense, but the smaller mass was hyperintense.
Pathologically, the larger tumor was normal density, insulin positive, and rich
in vascularity, whereas the smaller tumor was high density, insulin negative, and
poor in vascularity. The present case suggests that difficulty waking should be
considered as a potential etiology in insulinoma, and multiple suspected
pancreatic insulinomas should be evaluated using a combination of imaging
modalities to characterize each tumor.
PMID- 27882733
TI - Anomalous origin of the right coronary artery: First familial cases in Asia.
AB - Congenital coronary artery abnormalities may cause sudden death, particularly in
athletes. Two siblings, aged 10 and 9 years, respectively, were diagnosed with
anomalous origin of the right coronary artery on multi-detector computed
tomography (MDCT). The right coronary artery arose from the left coronary cusp,
and was wedged between the aorta and pulmonary artery. This was also noted on
cardiac ultrasonography (UCG), but in general this might not be seen on
electrocardiography at rest. Although the surgical indications are unclear in the
case of unproven ischemia, early recognition of the condition may reduce risk of
the cardiac events during exercise. The majority of proximal coronary artery
anomalies can be screened for on UCG, and confirmed on MDCT, which yields more
precise clinical details and is less invasive than angiography. This is the first
report of familial cases of anomalous origin of the right coronary artery in
Asia.
PMID- 27882734
TI - Novel mutations in SH3TC2 in a young Japanese girl with Charcot-Marie-Tooth
disease type 4C.
AB - Charcot-Marie-Tooth disease type 4C (CMT4C) is an autosomal recessive
demyelinating form of CMT characterized clinically by early onset and severe
spinal deformities, and is caused by mutations in SH3TC2. We describe the case of
a 10-year-old Japanese girl diagnosed with CMT4C. The patient developed
progressive foot deformities such as marked pes cavus and ankle contracture, with
mild muscle weakness in both legs, and generalized areflexia. On
electrophysiological studies, motor nerve conduction velocity ranged from 22.3
m/s in the tibial nerve to 48.2 m/s in the median nerve. Sensory nerve conduction
velocity ranged from 30.3 m/s in the sural nerve to 52.8 m/s in the median nerve.
Sequence analysis of candidate genes identified two novel heterozygous mutations,
c.229C>T and c.2775G>A, in SH3TC2. The patient was diagnosed as having CMT4C with
novel mutations, making this the first documented Japanese pediatric case.
PMID- 27882735
TI - Education activities improve detection of growth abnormalities in young children.
PMID- 27882736
TI - Crystalline nephropathy caused by tosufloxacin.
AB - A 14-year-old girl was treated for 3 days with tosufloxacin (450 mg twice a day)
for acute bronchitis and then developed acute kidney injury. Renal ultrasound
showed enlarged kidneys without hydronephrosis. Urinalysis indicated drug crystal
casts (2-3/HPF), consistent with drug-induced crystalline nephropathy. The
patient recovered gradually with i.v. hydration and discontinuation of
tosufloxacin, leading to the diagnosis of tosufloxacin crystalline nephropathy.
Medical practitioners should be aware that crystalline nephropathy can occur in
pediatric patients receiving tosufloxacin.
PMID- 27882737
TI - Neonatal bowel strangulation: Rare presentation of congenital diaphragmatic
hernia.
AB - We report a case of congenital diaphragmatic hernia (CDH) with perinatal bowel
strangulation requiring intestinal resection. Ten hours after birth, the newborn
started to be lethargic and developed bilious emesis. X-ray documented distended
loops of bowel with air fluid levels in the abdomen and a gasless, non
homogeneous opacity of the left hemithorax, a right mediastinal shift and loss of
the sharp left hemidiaphram line. On gastrographin enema the left colon was above
the adjacent left diaphragm. Emergency surgery was performed at 16 h of age. The
entire small bowel appeared reddish and compromised. After 24 h, second-look
laparotomy was performed and only 25 cm of small bowel were viable. The
postoperative period was uneventful. Neonatal bowel strangulation in CDH should
be taken into account when estimating postnatal morbidity and mortality and, even
if CDH treatment is not an emergency procedure, if gastrointestinal symptoms
prevail over respiratory symptoms, surgery should be carried out without delay.
PMID- 27882738
TI - Schistosoma haematobium infection is associated with increased urine foam.
AB - We compared urine microscopy and dipstick results for urine foam from 59 children
in a Schistosoma haematobium-endemic area in a blinded manner. The sensitivity
and specificity, respectively, for diagnosing S. haematobium compared with
microscopy was: 74% and 72% for the shake test; 61% and 97% for microscopic
hematuria; and 43% and 83% for proteinuria. When >17 eggs/10 mL urine was
detected on microscopy, the sensitivity and specificity, respectively, were: 100%
and 72% for the shake test; 90% and 97% for microscopic hematuria; and 80% and
83% for proteinuria. Urine foam height >34 mL was significantly more likely to
have S. haematobium eggs detected on microscopy (P = 0.001) than urine foam <=34
mL, indicating that S. haematobium-infected urine is associated with increased
urine foam.
PMID- 27882739
TI - Familial acute necrotizing encephalopathy without RANBP2 mutation: Poor outcome.
AB - Most childhood cases of acute necrotizing encephalopathy (ANE) involve neither
family history nor recurrence. ANE occasionally occurs, however, as a familial
disorder or recurs in Caucasian patients. A mutation of RAN-binding protein 2
(RANBP2) has been discovered in more than one half of familial or recurrent ANE
patients. In contrast, there has been no report of this mutation in East Asia.
Here, we report the first sibling cases of typical ANE in Japan, with poor
outcome. DNA analysis of genes associated with ANE or other encephalopathies,
including RANBP2 and carnitine palmitoyl transferase II (CPT2), indicated neither
mutations nor disease-related polymorphisms. On literature review, recurrent or
familial ANE without the RANBP2 mutation has a more severe outcome and greater
predilection for male sex than that with the RANBP2 mutation. This suggests that
there are unknown gene mutations linked to ANE.
PMID- 27882741
TI - Bacteremia induced by Bifidobacterium breve in a newborn with cloacal exstrophy.
AB - Bifidobacterium breve is an effective probiotic agent used in the field of
neonatology. Although B. breve has been considered safe, a case of B. breve
bacteremia has been reported. The pathogenic mechanism underlying the bacteremia
is unknown. Herein, we report a second case of B. breve bacteremia that developed
in a neonate with multiple abdominal organ anomalies. Following surgical repair
immediately after birth, B. breve treatment was started. After 1 week, the infant
developed B. breve bacteremia following the onset of adhesive ileus. The
bacteremia was thought to have been associated with an intestinal obstruction. A
pediatric culture bottle is theoretically unsuitable for incubating B. breve
because B. breve is an obligate anaerobic bacterium. It was, however, cultured
from pediatric culture bottles in the present case, suggesting that pediatric
culture bottles may be useful for procuring B. breve and for determining
antimicrobial susceptibility for screening purposes in neonatal patients.
PMID- 27882740
TI - Sporadic pseudohypoparathyroidism type-1b with asymptomatic hypocalcemia.
AB - Pseudohypoparathyroidism type 1b (PHP-1b) is usually diagnosed on various
symptoms of hypocalcemia. Previous studies reported a few cases of autosomal
dominant pattern PHP-1b identified on familial analysis with asymptomatic
hypocalcemia. Herein we report the case of a 6-year-old male patient with
sporadic PHP-1b incidentally detected on preoperative examination. He had neither
characteristic findings of Albright hereditary osteodystrophy nor evidence of
tetany. Sporadic PHP-1b was diagnosed on the basis of clinical observation and
laboratory examination. In addition, genetic testing using methylation-specific
multiplex ligation-dependent probe amplification indicated broad methylation
abnormalities and confirmed the sporadic form of PHP-1b. Sporadic PHP-1b might
often be overlooked when diagnosis is done simply on definitive clinical
features. To avoid this, DNA sequencing and methylation analysis should be
performed even in the absence of definitive clinical features.
PMID- 27882742
TI - Esophageal adenocarcinoma and Barrett esophagus in a neurologically impaired
teenager.
AB - Esophageal adenocarcinoma (EAC) accompanied by Barrett esophagus (BE) is rare in
patients younger than 20 years old. EAC in the upper esophagus is also rare. We
report a rare case of EAC with BE that developed in the upper esophagus after
chronic, untreated gastroesophageal reflux disease in a neurologically impaired
teenager. A 19-year-old neurologically impaired man underwent endoscopy for
evaluation of dysphagia and vomiting, and was diagnosed with EAC with BE. He
underwent transthoracic esophagectomy, extensive lymph node dissection, and
cervical esophagogastric anastomosis, but the prognosis was poor. Pathology
indicated poorly differentiated adenocarcinoma with BE.
PMID- 27882743
TI - Congenital nephrotic syndrome with a novel NPHS1 mutation.
AB - Congenital nephrotic syndrome of the Finnish type (CNF) is a rare autosomal
recessive disorder. The incidence of CNF is relatively high in Finland but
considerably lower in other countries. We encountered a male newborn with CNF,
associated with compound heterozygous mutations in nephrosis 1, congenital,
Finnish type (NPHS1). The patient was admitted to hospital as a preterm infant.
Physical and laboratory findings fulfilled the diagnostic criteria of nephrotic
syndrome, and were compatible with a diagnosis of CNF, but there was no family
history of the disease. On genetic analysis of NPHS1 a paternally derived
heterozygous frame-shift mutation caused by an 8 bp deletion, resulting in a stop
codon in exon 16 (c.2156-2163 delTGCACTGC causing p.L719DfsX4), and a novel,
maternally derived nonsense mutation in exon 15 (c.1978G>T causing p.E660X) were
identified. Early genetic diagnosis of CNF is important for proper clinical
management and appropriate genetic counseling.
PMID- 27882744
TI - Transpalpebral exenteration in cattle: a retrospective study of 115 cases.
AB - OBJECTIVE: To describe the indications for exenteration and complications
associated with the procedure. ANIMALS STUDIED: 115 cattle. PROCEDURES: Medical
records of cattle presented for unilateral exenteration evaluated at the
University of California, Davis Veterinary Medical Teaching Hospital from January
1985 through December 2015 were reviewed. RESULTS: Median (range) age at
presentation for all cattle was 6 (0.2-30) years. The most prevalent (80.9%)
indication for exenteration was squamous cell carcinoma (SCC). Cattle >5 years
had higher odds (OR = 11.2, 95% CI, 2.8-45.8) for undergoing exenteration due to
SCC compared to cattle <=5 years. Herefords had higher odds (OR = 4.6, 95% CI,
1.5-14.6) for undergoing exenteration for SCC compared to other breeds. Holsteins
had higher odds (OR = 140.7, 95% CI, 7.5-2644) for undergoing exenteration for
retrobulbar lymphoma compared to other breeds. Complications following
exenteration were reported in 15 cases (13.0%). The postsurgical complications
were orbital abscesses (6/15), recurrence of SCC (5/15), wound dehiscence (3/15),
and excessive hemorrhage (1/15). Median (range) time to occurrence of
postsurgical complications was 19 (5-205) days. There was no significant
association (P > 0.05) between ocular diagnosis, age, anesthetic technique or the
suture pattern used to close the skin postsurgically, and occurrence of
postsurgical complications. CONCLUSIONS: Early clinical diagnosis of SCC by
owners and veterinarians may prevent the need for exenteration. Owners should be
made aware of the possible postsurgical complications following exenteration in
cattle.
PMID- 27882745
TI - [Comparison of perinatal mortality in Slovak Republic in the years 2007-2009 and
in the years 2010-2012].
AB - OBJECTIVE: Comparison of perinatal mortality in Slovak Republic in the years 2007
2009 and in the years 2010-2012. DESIGN: Epidemiological perinatal nation-wide.
SETTING: 1st Department of Gynaecology and Obstetrics School of Medicine,
Comenius University and University Hospital, Bratislava, Slovak Republic.
METHODS: The analysis of selected perinatal data prospectively collected in the
years 2007-2009 and in the years 2010-2012. RESULTS: In the year 2007 there were
63 maternity hospitals, 51,146 deliveries and that of live births 51,650 in
Slovak Republic. In the years 2010-2012 decreased the number of maternity
hospitals, total number of deliveries and that of live births from 57 to 55, from
55,362 to 54,996 and from 55,901 to 55,643 respectively. Preterm deliveries rate
increased from 7.4 to 7.7% and multiple pregnancies rate from 1.4% to 1.5% in the
years 2010-2012 compared to years 2007-2009. Perinatal mortality rate decreased
from 6.2 in the year 2007 to 5.1 per 1,000 still and live births in the year
2012. During the years 2007-2012 stillbirth participate in perinatal mortality
64%, low birth weight 65% and severe congenital anomalies 19%. Transport in utero
to perinatal centres decreased in the years 2007-2012. It was from 64% to 56% for
infants with very low birth weight and from 75% to 70% for infants with extremely
low birth weight. CONCLUSION: In the year 2012 Slovak perinatology reach the best
result in perinatal mortality rate 5.1 (0.51%). Centralisation of high-risk
pregnancies, transport in utero very low birth weight infants, prenatal detection
of severe congenital abnormalities and obstetric and neonatal intensive care
units equipment need still to be improved in Slovak Republic.
PMID- 27882746
TI - [Technique of pelvic and paraaortic lymphadenectomy].
AB - OBJECTIVE: Overview of classification, anatomical conditions, methods and
complications of pelvic and paraaortic lymph-node dissection. DESIGN: Review
article. SETTING: Department of Obstetrics and Gynecology, General Faculty
Hospital and 1st Medical Faculty, Charles University, Prague. MATERIALS AND
METHODS: Lymphadenectomy is classified according to its extent into sentinel
lymph-node biopsy, debulking, sampling and systematic procedure and according to
approach into extraperitoneal or transperitoneal procedure. The most complex
variant is systematic pelvic and paraaortic lymph-node dissection, which requires
removal of fatty-lymphatic tissue from anatomically strictly defined areas.
Procedure can be performed from laparotomy, laparoscopically or robotically.
RESULTS: The main objective criterium of systematic procedure is the number of
harvested nodes. The most common complications comprise bleeding and lymphocele
formation. CONCLUSIONS: Pelvic and paraaortic lymphadenectomy represent basic
component of surgical management in majority of gynecological cancers. The
knowledge of extent, different techniques and ability to solve complications
represents essential skill in gynecological oncology.
PMID- 27882747
TI - [Present properties of ultrasound diagnostics in urogynecology].
AB - OBJECTIVE: The review article describes properties of sonography diagnostics in
urogynecology. DESIGN: Review article. SETTING: Department of Obstetrics and
Gynaecology, Faculty of Medicine and Dentistry, Palacky University in Olomouc.
MATERIAL AND METHODS: The review of sonography methods in urogynecology, their
practical use for low urinary tract dysfunctions diagnostics, monitoring of
surgical therapy effect and diagnostics of complications. CONCLUSION:
Ultrasonography is inseparable part of urogynaecology examination, it is imaging
method of the first choice to determine the exact diagnosis and indication for
therapy, evaluation of postoperative conditions and solution of complications.
PMID- 27882749
TI - [Severe immune thrombocytopenia diagnosed in pregnancy].
AB - OBJECTIVE: The aim of this article is present a patient with severe immune
thrombocytopenia in pregnancy. DESIGN: Case report. SETTING: Department of
Obstetrics and Gynecology, University Hospital Olomouc, Faculty of Medicine,
Palacky Univerzity Olomouc. CASE REPORT: Presents a patient with immune
thrombocytopenia diagnosed in pregnancy. Severe thrombocytopenia was diagnosed
incidentally. CONCLUSION: Severe immune thrombocytopenia is rare and substantial
complication in pregnancy. In pregnancy is important cooperation between
obstetritians and hematologists, in time of delivery neonatologists and
anesthesiologists.
PMID- 27882748
TI - [Application of the concetrations ratio of soluble receptor tyrosine kinase type
1, and placental growth factor for short-term prediction and diagnosis of
preeclampsia].
AB - OBJECTIVE: Bring a comprehensive overview of the available information about
applications of the concetration ratio of soluble receptor tyrosine kinase type 1
(sFlt-1), and placental growth factor for short-term prediction and diagnosis of
preeclampsia. DESIGN: Overview study. SETTINGS: Department of Midwifery, Faculty
of Health Sciences, Olomouc; Department of Clinical Biochemistry, University
Hospital Olomouc; Department of Obstetrics and Gynecology, University Hospital
Olomouc; Department of Obstetrics and Gynecology, 2nd Faculty of Medicine,
Charles University in Prague and Motol University Hospital. METHODS: Analysis of
literary sources and databases Ovid, Medline (2001-2016). CONCLUSION:
Preeclampsia is a multisystem disease with not fully understood etiology. This
disease occurs in 2-5% of pregnant women. Preeclampsia is one of the main causes
of global maternal and perinatal morbidity and mortality. It manifests itself as
a newborn hypertension and proteinuria after 20 weeks of pregnancy in previously
normotensive women. The only effective treatment is the delivery of the child.
Diagnosis of preeclampsia comprises measuring blood pressure and proteinuria.
These indicators have low diagnostic sensitivity and specificity. In
preeclampsia, there is a decrease of serum levels of placental growth factor
(PlGF). Soluble receptor tyrosine kinase type 1 (sFlt-1) is an antagonist of
PlGF. Increased levels of sFlt-1 in proportion to the reduced level of PlGF are
associated with an increased risk of preeclampsia. The sFlt-1/PlGF ratio can be a
better predictive marker in the diagnosis of pre-eclampsia after 20 weeks of
gestation.
PMID- 27882750
TI - [Heart-attack in pregnancy].
AB - OBJECTIVE: To describe hear-attack on crystal meth addicted pregnant woman.
DESIGN: Case report. CONCLUSION: Acute heart-attack during pregnancy means
unexpected obstetric complication. The consequences could be fatal for the mother
and the fetus. Although good delivery management and treatment could reduce
morbidity and mortality to a minimum.
PMID- 27882751
TI - [Septic shock in pregnancy on the basis of an acute pyelonephritis].
AB - OBJECTIVE: According to the World Health Organisation, sepsis is one of the four
main causes of pregnancy-related mortality worldwide, together with hemorrhage,
hypertensive disease and abortion. The main goal of this paper is an analysis of
one case of septic shock in pregnancy. DESIGN: A case report. SETTING: Department
of Obstetrics and Gynaecology of the First Faculty of Medicine and General
Teaching Hospital, Prague. METHODS AND RESULTS: Authors would like to draw
attention to the pitfalls of diagnosis and treatment of septic shock which
developed in 25th week of pregnancy on the basis of pyelonephritis due to E.
coli. CONCLUSIONS: Early diagnosis of sepsis and an interdisciplinary co
operation are the main prerequisities for successful treatment.
PMID- 27882752
TI - [Bronchopulmonary sequestration].
AB - OBJECTIVE: To describe the case of prenatal diagnosis of bronchopulmonary
sequestration and compelete spontaneous antenatal regresion of the lesion.
DESIGN: Case report and review of the literature. SETTING: Complex Cancer Center
Novy Jicin, Department of Obstetrics and Gynecology Palacky University Hospital
Olomouc. CASE REPORT: We describe the case reports about the complete regression
of a quite large bronchopulmonary sequestration during pregnancy. CONCLUSION:
Bronchopulmonary sequestration is usually diagnosed in mid-trimester ultrasound
fetal anomaly scan. In case of other fetal abnormalities are present the
counceling by medical geneticist should be completed. In most cases complete
spontaneous antenatal regresion of the lesion occur and the fetal surgery should
be considered in adverse prognostic findings until 30 gestational weeks.
PMID- 27882753
TI - [Hemangioblastoma cerebellum during pregnancy].
AB - OBJECTIVE: Authors describe a case of woman in the age of 27 years, 36th+0 week
of pregnancy, who was hospitalized for headache and vomittin. Magnestic resonance
showed the cystic expansion in the left hemisphere of cerebellum with the
overpressure in the IV. ventricle and wiht the beginning obstructive
hydrocephalus. STUDY TYPE: Case report. SETTING: Hospital of Uherske Hradiste,
Inc., Gynecology and Maternity Department. METHOD: Own observation.
PMID- 27882754
TI - [Fetal alloimmune thrombocytopenia in pregnant woman with anti-HPA-1a
antibodies].
AB - OBJECT: Description of the pregnancy in patient with anti-HPA-1a antibodies.
DESIGN: Case report. SETTING: Department of Obstetrics and Gynaecology, 2nd
Faculty of Medicine, Charles University in Prague and Motol University Hospital.
CASE REPORT: Report of cases of neonatal alloimune thrombocytopenia in a patient
with proven anti-HPA 1a antibodies. The immunization developed during the first
pregnancy, accompanied with fetal thrombocytopenia which recurred in every
subsequent pregnancy with greater severity. None of the neonates was affected by
intracranial hemorrhage.
PMID- 27882755
TI - Prague 1337, the first successful caesarean section in which both mother and
child survived may have occurred in the court of John of Luxembourg, King of
Bohemia.
AB - OBJECTIVE: An interdisciplinary historical-medical study, analysis of historical
sources, and critical interpretation of the indirect evidence surrounding the
childbirth of Beatrice of Bourbon, the second wife of the Bohemian King John of
Luxembourg. STUDY TYPE: A material-based study founded on a comparative analysis
of available private and public sources, particularly surviving letters, and
narrative sources. The conclusions are reached based on a textual interpretation
according to historical methods. SETTINGS: Department of Obstetrics and
Gynecology of the First Faculty of Medicine of Charles University and General
University Hospital in Prague. METHODS AND RESULTS: Until the second half of the
19th century, medical knowledge of antiseptics and anesthesia was lacking, and
techniques for cleaning wounds and staunching bleeding were primitive.Because no
effective anesthetics were known before that time, people did not know how to
perform painless abdominal surgery. There are a very few credible reports of
caesarean sections performed on living women as early as the 17th century.
However, before the 19th century, a caesarean section meant almost certain death
for the mother, with related mortality as high as 90%. If the woman did not die
of stress from the pain of the abdominal surgery, then she usually died of either
bleeding or later of sepsis. However, there is some indirect evidence that the
first caesarean section that was survived by both the mother and child was
performed in Prague in 1337. The mother was Beatrice of Bourbon (1318-1383), the
second wife of the King of Bohemia John of Luxembourg (1296-1346). Beatrice gave
birth to the kings son Wenceslaus I (1337-1383), later the duke of Luxembourg,
Brabant, and Limburg, and who became the half brother of the later King of
Bohemia and Holy Roman Emperor, Charles IV (1316-1378). CONCLUSIONS: From a
historical analysis based on the indirect evidence, it is not possible to
unequivocally determine whether a caesarean section that was survived by both the
mother and child was actually performed in the 14th century. From a medical
standpoint in the context of all the known surrounding circumstances, however,
this rare event could indeed have taken place.
PMID- 27882756
TI - [The use of selective progesterone receptor modulators in the treatment of
myomas].
AB - OBJECTIVE: To summarize the knowledge of the effect of selective progesterone
receptor modulators and their use in the treatment of myomas in women of
childbearing age and in perimenopause. DESIGN: Review articleResults: Long-term
treatment with ulipristal acetate maximizes its effectiveness, it helps to
achieve faster bleeding control and increases the number of patients with fibroid
volume reduction of more than 50%. Ulipristal acetate is the most effective drug
in the medical treatment of myomas and its use will change the approach to
surgical treatment.
PMID- 27882758
TI - [Vulvar squamous precancerous lesions History and current state of the topic].
AB - This review article discribes the genesis and development of vulvar squamous
precancerous lesions terminology and discusses the consensus of the International
Society for the Study of Vulvovaginal Disease, the American Society for
Colposcopy and Cervical Pathology, College of American Pathologists and the World
Health Organization on the current terminology. The article describes the
different types of vulvar squamous precancerous lesions, according to their
etiology, incidence and malignant potential.
PMID- 27882757
TI - [Contribution of sentinel lymph-node biopsy to treatment of locally advanced
stages of cervical cancers].
AB - OBJECTIVE: Usage of sentinel lymph-node (SLN) concept in locally advanced
cervical cancers might help to individualise management. According to SLN status
could be patients refered to neoadjuvant chemotherapy (NAC) with subsequent
surgery or to primary chemoradiation. The aim of our study was to evaluate
sensitivity of SLN detection in locally advanced cervical cancers and to assess
the impact of NAC on frequency of their metastatic involvement. DESIGN:
Retrospective clinical study. SETTING: Department of Obstetrics and Gynecology,
General Faculty Hospital and 1st Medical Faculty, Charles University, Prague.
MATERIALS AND METHODS: Included were patients with cervical cancer stages FIGO
IB1 (> 3 cm), IB2, IIA2 and selected cases of stages IIB with incipient
parametrial involvement. Patients were distributed into two different protocols -
patients in group NAC-SLN were refered to radical hysterectomy with SLN biopsy
after 3 cycles of NAC, other patients (group SLN) underwent SLN biopsy and NAC
was administered only in SLN-negative cases. RESULTS: Altogether 101 patients
were included (group SLN = 62, group NAC-SLN = 39). Detection of SLN in whole
cohort reached 90.1% per patient and 68.3% bilaterally. No differences were found
between SLN group and NAC-SLN group in frequency of per patient SLN detection
(90.3% vs 89.7%) and bilateral detection (69.4% vs 66.7%). Prevalence of
macrometastases, micrometastases and ITC in the SLN group was 37.1% (23/62),
11.3% (7/62) and 8.1% (5/62), respectively. In the NAC-SLN group macrometastases
in SLN were detected in 17.9% (7/39) patients, in 1 patient was detected
micrometastis in SLN and no patient had ITC. Difference in frequency of
metastases in SLN was significant (p = 0,013). No patient had progressed during
NAC, complete response was seen in 15.1% (11/73) patients and reduction of tumour
volume > 30% in 84.9% (62/73) patients. CONCLUSIONS: Detection of SLN in locally
advanced cervical cancers reached comparable results to early stages. NAC did not
influence frequency of SLN detection, but it significantly decreased prevalence
of metastatic SLN involvement.
PMID- 27882759
TI - [Management of care of HIV-positive pregnant women in the period 1996-2014].
AB - OBJECTIVE: The evaluation of multidisplinary care about HIV positive pregnant
women in the Czech Republic. DESIGN: Review. SETTINGS: Gynekologicko-porodnicka
klinika 1. LF UK a Nemocnice na Bulovce, Praha. METHODS: The vertical
transmission of HIV infection from mother to fetus occurs most often during
birth, still 1-2% of HIV-positive pregnant women will transfer the virus
transplacenta. Due to careful screening for HIV during pregnancy, counselling,
combination antiretroviral (cART) therapy, childbirth planning and its
performance by C-section there appears a significant decrease of the virus
transmission to the fetus, its occurrence is around 2%. If the HIV infection is
detected in the context of screening for sexually transmitted infections (STIs),
we begin with combined antiretroviral therapy (cART) depending on the level of
viremia and CD4 as soon as possible. All HIV-positive pregnancies are tested for
possible coinfection with hepatitis C. Since the first application of the
antiretroviral treatment, the therapy is applies throughout the duration of the
pregnancy. The labours of the HIV- positive women in the Czech Republic are
scheduled. The primary choice is a caesarean section during the 38th week of
pregnancy. CONCLUSION: From 1996-2014 the HIV positive status at 18 months of
child age was confirmed in 4 cases in the Czech Republic. Three children were
born to mothers whose HIV status was unknown at the time of the birth. Thanks to
strict adherence to the interdisciplinary care, HIV positive woman have a chance
to deliver a HIV-negative newborn and the risk of the transmission of the virus
is significantly low.
PMID- 27882760
TI - [Surgical treatment of endometriomas and ovarian reserve].
AB - OBJECTIVE: To present an overview of affect an ovarian reserve in women after
laparoscopic surgery for ovarian endometriosis. SUBJECT: Review. SETTING:
Department of Obstetric and Gynecology, Hospital Kyjov; Department of Obstetric
and Gynecology, University Hospital, Brno. METHODS: Summary of the results of
recent studies. RESULTS: The decrease in ovarian reserve has been detected in 8
of 9 evaluated studies, specifically in one retrospective study, six prospective
studies and in one systematic review, the results of the meta-analysis don't
prove the reduction of ovarian reserve after the surgery for endometrioma,
reduced ovarian reserve evaluated by the antral follicle count has been proven in
the affect ovary before the surgery. CONCLUSION: Endometriosis is among the most
frequent benign gynecological diseases, affecting the women in reproductive age.
Laparoscopic surgery techniques are currently the method of choice in the
treatment of endometriotic ovarian cysts. Excision of endometriotic cyst is
associated with significant reduction in ovarian reserve. The women with previous
surgery of endometrioma have significantly fewer mature oocytes capable of
fertilization, the ovarian response to stimulation is reduced and the number of
live births is lower.
PMID- 27882761
TI - [Paraneoplastic neurological syndromes in gynecological malignancies].
AB - OBJECTIVE: The demonstration of life-threatening paraneoplastic syndrome with
dominant neurological symptomatology in germinal ovarian malignancy. DESIGN:
Literature review with case report. SETTING: Department of Gynecology and
Obstetrics, Faculty of Medicine Masaryk University and University Hospital Brno.
METHODS: The review outlines the issue of paraneoplastic syndromes. It describes
the etiopathogenesis, the range of clinical symptoms, the diagnostic and
therapeutic possibilities, along with a relevant case study which focuses on the
paraneoplastic neurological symptoms in malignant germinal ovarian tumor.
CONCLUSION: Although paraneoplastic symptomatology is rather rare, it constitutes
an important part of the clinical picture of malignant tumors. In a number of
cases, the paraneoplastic neurological syndrome (PNNS) symptoms precede the
actual tumor diagnosis. The awareness of this connection can thus be important in
early diagnostics, which in turn can significantly affect a patients prognosis.
Another important benefit of familiarity with the symptoms of neurological
paraneoplasia is the fact that some PNNS are associated with specific tumors.
Interdisciplinary cooperation is necessary in the case of PNNS for early tumor
diagnostics. Treatment of the primary tumor is the key in diagnosed malignancies.
With effective oncological treatment, adequate regression of paraneoplastic
symptoms can be observed.
PMID- 27882762
TI - [The possibility of antepartal prevention of episiotomy and perineal tears during
delivery].
AB - OBJECTIVE: To determine the effect of antepartal methods on the prevention of
birth injuries in primiparous women. DESIGN: Retrospective study. SETTING:
Nemocnice Cesky Krumlov, a.s. METHODS: Between February 2014 and November 2015
were 315 primiparous women questioned after a vaginal delivery on the use of
methods of birth injury prevention (vaginal dilatators EPI-NO and Aniball,
perineal massage, natural methods - raspberry-leaf tea or linseed).
Consecutively, the rates of intact perineum, perineal tears and episiotomies
among respective methods were compared with the control group using no preventive
method. The effects of the methods were tested on the occurrence of spontaneous
or vaginal operative delivery. RESULTS: There was a significantly higher number
of women with intact perineum after the use of vaginal dilatators (43.1% vs.14.1%
in control group (p < 0.001). We also found a significant reduction of
episiotomies in this group (29.3% vs. 57.7%, p < 0.001). There was no significant
effect of perineal massage, raspberry-leaf tea or linseed on perineum injury
prevention. A lower occurrence of vaginal operative delivery was also confirmed
in the group of women using vaginal dilatators (p = 0.02). CONCLUSION:
Significant benefit of the use of the antepartal vaginal dilatators in the
reduction of birth injuries was shown as well as of the occurrence of vaginal
operative delivery.
PMID- 27882763
TI - Effect of systemic enzymotherapy on Cesarean section scar healing.
AB - OBJECTIVES: The aim of our study was to monitor changes in the healing of
Caesarean section scars in patients using systemic enzymotherapy in comparison
with patients not treated with systemic enzymotherapy (Wobenzym). METHODS: A
prospective cohort study was conducted in 60 primiparous women delivered by CS.
We compared the following outcomes: scar thickness after the Caesarean section,
dehiscence risk coefficient (DRC), severity of the Caesarean section scar defect,
uterine cavity dilation, post-operative pain, C-reactive protein level and
febrility. RESULTS: The scar thickness 6 weeks after CS was significantly greater
in the group of patients taking Wobenzym (7.1+/-0.9 mm; mean +/- SD) than in the
patients without Wobenzym (5.3+/-0.7 mm) (p = 0.01). Severe Caesarean section
scar defects were observed in 1/30 (3.3%) Wobenzym users and in 5/30 (16.7%)
patients who did not use Wobenzym, with no statistically significant difference
(p = 0.195). CONCLUSION: Despite the percentage of patients with a severe CS scar
defect being apparently lower in the group treated with Wobenzym, the difference
did not reach statistical significance due to the small size of the study
population.
PMID- 27882764
TI - [Acute pancreatitis in pregnancy, complicated by rupture of aneurysm of artery
lienalis].
AB - OBJECTIVE: We present a case of pregnant woman with acute pacreatitis in 38th
week comlicated by acute rupture of aneurysm of artery lienalis. DESIGN: Case
report. SETTING: Department of Gynaecology and Obstetrics, Faculty Hospital and
Palacky University, Olomouc. CASE REPORT: 28-years-old primipara in 38th week of
gestation was admitted to our department for acute pancreatitis caused by
gallstones. Subsequent conservative treatment was complicated by rupture of
aneurysm of lienal artery and haemorrhagic shock. Urgent caesarean section was
performed with two subsequent revisions and peroperative 5l of blood loss.
Operation was followed by intensive anesthesiology-resuscitate care of ARDS,
gradual realimentation and conservative care of acute pancreatitis. Patient was
discharged in good condition 20th day. CONCLUSION: Acute pancreatitis is rare in
pregnancy. In severe and complicated cases maternal and perinatal morbidity and
mortality may be elevated, despite early diagnosis and intensive treatment.
PMID- 27882765
TI - [Spontaneus delivery after two previous caesarean sections - case report].
AB - OBJECTIVE: To demonstrate the higher risk of the uterine dehiscence/rupture in
spontaneously delivering women with scared uterus. DESIGN: Case report. SETTING:
Department of Obstetrics and Gynecology, General Teaching Hospital in Prague and
First Medical School, Charles University. CASE REPORT: We demonstrate the risk of
uterine dehiscence on the example of 36 year-old woman with two previous
caesarean sections who decided to give birth spontaneously. CONCLUSION: The scars
on uterus are the risk factor for uterine dehiscence and rupture. In present, the
rising number of caesarean sections leads to increasing number of women with
scars on uterus. Despite the potential risk of scars on the uterus, small number
of women with history of surgery on uterus plans to give birth spontaneously. We
demonstrate the higher risk of the uterine dehiscence after spontaneous delivery
in woman with history of two caesarean sections and successful conservative
therapy.
PMID- 27882766
TI - [Rupture of focal nodular hyperplasia in the 37th week of pregnanacy - case
report].
AB - OBJECTIVE: We describe a case of spontaneous hepatic rupture associated with
undiagnosed focal nodular hyperplasia of a patient in the third trimester of
pregnancy. Additionally, we provide a brief review of literature. DESIGN: Case
report. SETTING: Department of Obstetrics and Gynaecology, 2nd Faculty of
Medicine, Charles University in Prague and Motol University Hospital, Prague.
RESULTS: We report the case of a 29 year old patient with otherwise physiological
pregnancy, who was hospitalized with pain in left hypochondrium. The patient
experienced painful respiration, increasing in intensity in supine position. The
possibility of lung embolism was considered and ruled out. Based on a suspected
haemoperitoneum, caesarean section was performed. During the inspection of
peritoneal cavity a ruptured tumor on the liver was identified. Histological
examination showed focal nodular hyperplasia. CONCLUSION: Focal nodular
hyperplasia is a benign liver lesion. Complications involving rupture or bleeding
are very rare. 17 cases of hepatic rupture associated with focal nodular
hyperplasia were described in published reports with only one case related to
pregnancy. Our case emphasizes the importance of a close cooperation between a
gynaecologist, radiologist and surgeon.
PMID- 27882767
TI - [Traumatic symphyseal rupture by vaginal delivery,report of a rare case].
AB - OBJECTIVE: This case report draw attention symphysis pubic dysfunction and injury
pelvic girdle by vaginal delivary (in our case compliated by dystokia shoulders),
mainly its diagnostic, prediction and treatment. DESIGN: Case report. SETTING:
Department of Obstetrics and Gynecology. Hospital Ceska Lipa. CASE REPORT: We
report a case of a 31 years old woman, who gave birth for the second time with
rupture of symphysis after delivery. Childbirth was complited by dystokia
shoulders and patient was treated with external fixator. CONCLUSION: Pregnancy is
a time of many physiological changes, these changes impact the musculosceletal
system, which can develop a variety of problems, such es back pain, separation of
the pelvic bones, transient osteoporosis and tendonitis. Effective management of
treatment remains difficult to determine because of a variation in reported
occurence rates and symptomatology. The most of cases are solved conservatively.
This case report is extreme case of birth injury pelvic skeleton and it was
necessary for surgery with an external fixator.This cases should be not to
underestimate it and to treat it in interdisciplinary cooperation.
PMID- 27882768
TI - [Adrenocortical oncocytoma presenting as Cushing's syndrome in pregnancy with
spontaneous postpartum uterine rupture].
AB - OBJECTIVE: The description of rare case of the Cushings syndrome in pregnancy
resulting in the spontaneous rupture of uterus. DESIGNS: A case report. SETTING:
Department of Gynecology and Obstetrics, 1st Medical Faculty of Charles
University and Hospital Na Bulovce. CASE REPORT: The authors report the case of a
33 year old woman, who was admitted to the clinic in 30th week of pregnancy for
elevated blood pressure and hypokalemia. Arterial hypertension was corrected with
the combination of Vasocardin and Dopegyt. In 36th week of pregnancy the patient
was admitted to the hospital with premature rupture of membranes. In less than
sixteen hours the patient spontaneously gave birth to a healthy girl.
Subsequently the patient suffered from abdominal pain. CT scan showed
haemoperitoneum and a right adrenal mass and the surgical revision was performed.
During the surgery uterine rupture was identified and the patient uderwent
abdominal hysterectomy. Based on the endocrinological examination the diagnosis
of Cushings syndrome was made. Three months after the delivery she underwent
laparoscopic right adrenalectomy. Histological examination revealed
adrenocortical oncocytoma. CONCLUSION: Cushings syndrome is rare in pregnancy and
misdiagnosis is common. Symptoms mimic pregnancy complications. The disease is
often diagnosed with delay even after delivery. The sonography and magnetic
resonance are dominant imaging methods. Laboratory diagnosis is difficult due to
pregnancy related changes. In spite of rarity of Cushings syndrome in pregnancy
we should think about it in terms of the differential diagnosis of hypertension,
diabetes, dysbalance of mineralogram and typical cushingoid habitus.
PMID- 27882769
TI - [Current issues of reproductive medicine in the Czech Republic].
AB - INTRODUCTION: During the 25th symposium of assisted reproduction in Brno was
lunch time organised as the lunch table discussion on the selected topics of
assisted reproduction. More than 150 specialists reviewed themes related to
gynecology and embryology.Discussed topics: Lunch table discussion covered the
following topics: (1) Cross-border health care in assisted reproduction; (2)
Indication for PGS (preimplantation genetic screening) in the context of actual
information; (3) Does ovarian stimulation belong to the ambulance of registering
gynecologists? (4) Therapy with clomifen - only for IVF specialists? (5) How and
with whom should psychological support be directed during IVF? (6) Stimulation in
women with low ovarian reserve; (7) Is basic semen analyses sufficient? (8) Time
lapse systems as relevant markers of embryonic development; (9) How to be
oriented with choices of media and consumables in the IVF lab, and (10) "Freeze
All" - is this new trend in cryopreservation suitable for all? CONCLUSIONS: Panel
conclusions were presented during the afternoon session, which had great
attendance, featured lively commentary, and produced some definitive consensus.
Certain issues remained inconclusive, and these matters will be the subject of
further discussion in the future. Specific summation of all deductions is
presented in this paper.
PMID- 27882770
TI - Focal therapy as primary treatment for localized prostate cancer: definition,
needs and future.
AB - Focal therapy (FT) may offer a promising treatment option in the field of low to
intermediate risk localized prostate cancer. The aim of this concept is to
combine minimal morbidity with cancer control as well as maintain the possibility
of retreatment. Recent advances in MRI and targeted biopsy has improved the
diagnostic pathway of prostate cancer and increased the interest in FT. However,
before implementation of FT in routine clinical practice, several challenges are
still to overcome including patient selection, treatment planning, post-therapy
monitoring and definition of oncologic outcome surrogates. In this article,
relevant questions regarding the key steps of FT are critically discussed and the
main available energy modalities are analyzed taking into account their
advantages and unmet needs.
PMID- 27882771
TI - Functional marine metagenomic screening for anti-quorum sensing and anti-biofilm
activity.
AB - Quorum sensing (QS), a cell-to-cell communication process, entails the production
of signaling molecules that enable synchronized gene expression in microbial
communities to regulate myriad microbial functions, including biofilm formation.
QS disruption may constitute an innovative approach to the design of novel
antifouling and anti-biofilm agents. To identify novel quorum sensing inhibitors
(QSI), 2,500 environmental bacterial artificial chromosomes (BAC) from uncultured
marine planktonic bacteria were screened for QSI activity using soft agar
overlaid with wild type Chromobacterium violaceum as an indicator. Of the BAC
library clones, 7% showed high QSI activity (>40%) against the indicator
bacterium, suggesting that QSI is common in the marine environment. The most
active compound, eluted from BAC clone 14-A5, disrupted QS signaling pathways and
reduced biofilm formation in both Pseudomonas aeruginosa and Acinetobacter
baumannii. The mass spectra of the active BAC clone (14-A5) that had been
visualized by thin layer chromatography was dominated by a m/z peak of 362.1.
PMID- 27882773
TI - The acquisition of initial consonant clusters in German-speaking 2-year-olds.
AB - PURPOSE: The aim of this study was to explore cluster acquisition in typically
developing German-speaking 2-year-olds. METHOD: Data from four cross-sectional
studies (n = 145, aged 2;00-2;11) and one eight-month longitudinal study were
analysed (n = 6, aged 2;01-2;04). Two different percentages of consonant clusters
correct were calculated to allow a more detailed analysis. RESULT: Findings
showed that the majority of children produced clusters, although they could not
be considered to be fully acquired. Correct production significantly correlated
with age. Only /gl/ and /kl/ were shown to be phonetically and phonemically
acquired (75% criterion) in the older age group. Three-element clusters were
acquired at the same time as 2-element clusters and /?/-clusters were acquired to
the same or larger extent as non-/?/ clusters when fronting/backing of /?/ was
accepted. Younger children produced more reductions than simplifications but this
effect was less strong for the /?/-clusters. Developmental realisation patterns
varied depending on cluster type. Inter- and intra-individual developmental
patterns could be observed which changed depending on the time of testing.
CONCLUSION: Findings on cluster acquisition in 2-year-old German-speaking
children revealed language-specific differences but also similarities in
comparison with results from other languages. All but two children produced
clusters. However, individual variation between children was high.
PMID- 27882775
TI - Association between availability of care providers and healthcare utilizations
among adults with asthma.
AB - BACKGROUND: Limited accessibility to providers may delay appropriate control of
asthma exacerbations. The objective of our study is to estimate the contributors
to the hospital/emergency department (ED) visits among adults with asthma
focusing on the availability of healthcare providers. METHODS: We conducted a
pooled cross-sectional analysis using the 2011-2013 Asthma Call-Back Survey
linked with 2012-2016 Area Health Resource Files. We employed multivariable
logistic regression with dichotomous outcomes of hospitalization and ED visits.
Key covariates were the availability of county-level healthcare provider
variables per 100,000 persons such as the number of lung disease specialists
(including pulmonary care specialists, and allergy and immunology specialists),
the number of hospitals, the number of safety-net facilities including rural
health centers (RHCs) and federally qualified health centers (FQHCs), and the
number of primary care physicians (PCPs). RESULTS: Among 25,621 adults,
proportions of hospital visits and ED visits were 3.3% and 13.2%, respectively.
An additional RHC reduced by 3% the odds of having an ED visit (odds ratio [OR] =
0.97, p = .004). Patients with cost barriers to seeing a PCP were 60% (OR = 1.60,
p = .028) more likely to have a hospital visit than those without. In addition,
patients with cost barriers to seeing a specialist were 90% (OR = 1.90, p = .007)
and 111% (OR = 2.11, p = .001) more likely to have a hospital visit and ED visit,
respectively, than those without. CONCLUSIONS: Hospital and ED visits among
adults with asthma are partially related to the availability of providers, and
more related to financial barriers. Therefore, financial support for the
vulnerable asthma population might be a target for policy makers interested in
reducing hospitalizations and ED visits.
PMID- 27882774
TI - Usefulness of copeptin as a potential biomarker in TBE.
AB - OBJECTIVE: The aim of the study was to evaluate the usefulness of copeptin for
differentiation of hyponatremia in the course of tick-borne encephalitis (TBE)
and for being a prognostic marker of the severity of TBE. MATERIALS AND METHODS:
One hundred and fourteen patients with TBE were included in the study. The
control group consisted of 62 patients diagnosed with viral meningitis. RESULTS:
Copeptin concentration did not differ in patients with hyponatremia and
normonatremia. Urinary sodium excretion to plasma copeptin (copeptin/UNa
Secretion) ratio was significantly lower in Syndrome of Inappropriate
Antidiuretic Hormone (SIADH) Secretion patients than in patients with
hyponatremia of other origin. Mean copeptin concentration in TBE patients was
higher than in control group (VM) patients. There were no differences between
patients with severe and mild course of TBE. CONCLUSIONS: Copeptin/UNa ratio may
be used as a potential biomarker of SIADH in patients with TBE. Copeptin
concentration is significantly higher in patients with TBE than in viral
meningitis of other origin, especially in patients aged 18-34 and >49 years old.
Copeptin does not differentiate TBE of mild and severe course.
PMID- 27882772
TI - miRNAs differentially expressed by next-generation sequencing in cord blood buffy
coat samples of boys and girls.
AB - AIM: Differences in children's development and susceptibility to diseases and
exposures have been observed by sex, yet human studies of sex differences in
miRNAs are limited. MATERIALS & METHODS: The genome-wide miRNA expression was
characterized by sequencing-based EdgeSeq assay in cord blood buffy coats from 89
newborns, and 564 miRNAs were further analyzed. RESULTS: Differential expression
of most miRNAs was higher in boys. Neurodevelopment, RNA metabolism and metabolic
ontology terms were enriched among miRNA targets. The majority of upregulated
miRNAs (86%) validated by nCounter maintained positive-fold change values;
however, only 21% reached statistical significance by false discovery rate.
CONCLUSION: Accounting for host factors like sex may improve the sensitivity of
epigenetic analyses for epidemiological studies in early childhood.
PMID- 27882777
TI - Real-time visual activity feedback for physical activity improvement in breast
and colon cancer patients.
AB - The study aims to evaluate the effects and feasibility of a biofeedback device
for physical activity (PA) improvement in breast and colon cancer patients. Daily
PA of 19 cancer patients was measured by accelerometry (ActiGraph, GT1 M).
Additionally, patients wore a motion sensor with real-time visual activity
feedback (ActiSmile). Counts per minutes (cpm) and moderate to vigorous physical
activity (MVPA) were calculated and patients' activity data were compared to PA
of 20 age-matched healthy controls. Baseline PA of patients was lower compared to
controls. Following visual real-time feedback cancer patients increased cpm by
21% (p = .002) and MVPA by 9% (p = .007) compared to baseline measurement. PA
levels in cancer patients obtained with visual feedback became almost equal
compared to age-matched healthy controls: cpm (301; IQR 170 vs. 299; IQR 111),
MVPA (36; IQR 23 vs. 41; IQR 25 min/day). Activity biofeedback seems to be
feasible to induce changes in patients' PA behaviour.
PMID- 27882776
TI - Benefits of long-term therapy with nucleos(t)ide analogues in treatment-naive
patients with chronic hepatitis B.
AB - OBJECTIVE: To assess the benefits of long-term nucleos(t)ide analogue (NA)
therapy in reducing the severity and progression of liver disease in treatment
naive patients with chronic hepatitis B (CHB). SCOPE: As complications of CHB,
such as hepatic decompensation and hepatocellular carcinoma (HCC), take a long
time to develop in patients with less advanced disease, the long-term benefits of
NA therapy in such patients are more difficult to prove than short- or medium
term benefits. Thus, the recent literature was reviewed to evaluate the impact of
NA therapy on the long-term outcomes of treatment-naive CHB patients. METHODS: A
literature search of the MEDLINE/PubMed database was undertaken to identify
studies published since 2010 of the long-term use of NAs with high potency and
low drug resistance profiles in treatment-naive CHB patients. A total of 22
studies were identified, many of which were retrospective analyses or case
control studies, as well as three meta-analyses and one systematic review.
RESULTS: Analysis of the retrieved studies showed that long-term NA therapy in
treatment-naive CHB patients did prevent or delay the occurrence of
complications, including hepatic decompensation, HCC, and liver-related death, in
comparison with no treatment. However, it did not completely eliminate the risk
of these complications, particularly in those with cirrhosis. Although long-term
NA therapy improved the clinical status of patients with decompensated cirrhosis,
the risk of cirrhotic complications including HCC, liver transplantation, and
liver-related mortality remained significant in comparison with those with
compensated cirrhosis. CONCLUSIONS: Long-term administration is generally advised
in all CHB patients treated with NAs because of the high rates of virological and
clinical relapse after stopping therapy. The findings of this analysis lend
support to the choice of highly potent agents with a low drug resistance profile
to maximize viral suppression in CHB patients and halt or delay progression to
end-stage liver disease.
PMID- 27882778
TI - Traditional medicine preparations and health risks: time to revisit their
regulatory status.
PMID- 27882780
TI - Solid lipid nanoparticles and nanostructured lipid carrier-based nanotherapeutics
in treatment of psoriasis: a comparative study.
AB - BACKGROUND: The present work focuses on the development of ultra-small solid
lipid nanoparticles (SLN) and nanostructured lipid carriers (NLC) encapsulating
cyclosporine and calcipotriol, further incorporated into gel, increasing their
penetration through the skin. RESEARCH DESIGN AND METHODS: Developed SLN and NLC
were characterized regarding particle size, zeta potential, %entrapment
efficiency and dispersed into carbopol 934P-NF gel. Gel was further characterized
for rheological behavior and spreadability. Ex vivo dermatokinetic by tape
stripping method, in vitro efficacy on HaCaT cell lines and in vivo efficacy on
imiquimod induced psoriatic model in mice were evaluated. RESULTS: Ultra-small
(size<100 nm) particles were formed with high entrapment efficiency and spherical
morphology. Ex vivo dermatokinetic studies revealed deeper and confined drug
penetration of lipid formulation gel in epidermal layers as compared to free
drug. In vitro study on HaCaT cell lines depicted higher uptake and high efficacy
owing to decrease in cell viability for NLC. The anti-psoriatic efficacy in
BALB/c mice (evaluated on basis of cytokine levels and skin morphology)
highlighted potential of drug-loaded NLC significantly higher as compared to drug
loaded SLN and marketed formulation Betagel. CONCLUSIONS: The study demonstrated
that NLC gel had higher efficacy in psoriatic management and hold promise for
further exploration.
PMID- 27882779
TI - Ipilimumab: from preclinical development to future clinical perspectives in
melanoma.
AB - The arsenal for the treatment of metastatic melanoma is limited. A new approach
to therapy using checkpoint blockade has improved overall survival in this
patient population. Ipilimumab a CTLA-4 monoclonal antibody is a first in class
drug that has pioneered this revolution. In this review, the authors provide an
account of the different stages that led to the development of ipilimumab, its
approval in the clinical setting for the treatment of advanced melanoma and
ongoing investigations of combinatorial immune therapy.
PMID- 27882781
TI - Age-related epigenetic drift and phenotypic plasticity loss: implications in
prevention of age-related human diseases.
AB - Aging is considered as one of the most important developmental processes in
organisms and is closely associated with global deteriorations of epigenetic
markers such as aberrant methylomic patterns. This altered epigenomic state,
referred to 'epigenetic drift', reflects deficient maintenance of epigenetic
marks and contributes to impaired cellular and molecular functions in aged cells.
Epigenetic drift-induced abnormal changes during aging are scantily repaired by
epigenetic modulators. This inflexibility in the aged epigenome may lead to an
age-related decline in phenotypic plasticity at the cellular and molecular levels
due to epigenetic drift. This perspective aims to provide novel concepts for
understanding epigenetic effects on the aging process and to provide insights
into epigenetic prevention and therapeutic strategies for age-related human
disease.
PMID- 27882782
TI - Lidocaine 5% medicated plaster for localized neuropathic pain in thoracic
surgical patients.
AB - CONTEXT: Neuropathic pain is a common and distressing symptom in thoracic
surgical patients. When it consistently presents with measurable sensory changes
in a circumscribed area, neuropathic pain can be diagnosed as localized
neuropathic pain (LNP). OBJECTIVE: The purpose of this study was to report the
efficacy of lidocaine 5% medicated plaster (Lido5%P) in the treatment of LNP in
thoracic surgical patients. METHODS: We retrospectively reviewed the records of
sixteen cancer and noncancer thoracic patients treated with Lido5%P for LNP.
Patients had been assessed before and during treatment with standardized forms
and questionnaires for pain intensity, sleep quality, drug dosages and adverse
events. RESULTS: Treatment with Lido5%P yielded a significant and lasting
improvement in pain symptomatology. In oncological patients as an add-on therapy,
Lido5%P improved pain intensity and sleep quality, and delayed opioid dose
escalation. In non-oncological patients as monotherapy or in association with
antineuropathic drugs, Lido5%P attenuated LNP. No local or systemic adverse
events were recorded. CONCLUSIONS: Lido5%P was effective in relieving thoracic
LNP, and was well tolerated.
PMID- 27882784
TI - Improving the resilience of the healthcare workforce.
AB - Emeritus Professor Alan Glasper, from the University of Southampton, discusses
government strategies to ensure a future healthcare workforce that is sustainable
and does not rely on overseas recruitment.
PMID- 27882783
TI - Communication about sex and HPV among Puerto Rican mothers and daughters.
AB - OBJECTIVE: Although opportunities to vaccinate against human papillomavirus (HPV)
are available, vaccination rates in Puerto Rico remain low. Communication between
parents and adolescents about sexual topics may influence decisions about HPV
vaccination uptake, particularly among young women; yet, few studies have
addressed this issue. This qualitative study explored Puerto Rican mothers' and
daughters' communication on sex-related topics, and HPV, including the HPV
vaccine. DESIGN: Thirty participants, including 9 mothers and 21 daughters,
participated in seven focus groups. Participants were divided into groups of
mothers and daughters, and further stratified by vaccination status. Transcripts
were analyzed using a modified grounded theory approach to identify emergent
themes. RESULTS: Focus group data revealed four main themes: (1) limited parent
daughter communication about sex-related topics; (2) daughters' discomfort
discussing sex-related topics with their parents; (3) parental focus on
abstinence; and, (4) limited parent-daughter communication about HPV and the HPV
vaccine. CONCLUSION: Although daughters in this study struggled with feelings of
embarrassment, invasion of privacy, encouragement of abstinence, and the fear of
parents' reaction to them being sexually active prior to marriage, they also
recognized the need to increase the parent-daughter communication about sex
related topics including HPV and the HPV vaccine. Educational efforts should
target both daughters and parents to increase communication skills and self
efficacy and to enable them to discuss sexual health in open and nonjudgmental
conversations.
PMID- 27882785
TI - Ineligibility criteria and the authorisation of deprivations of liberty in
hospitals.
AB - Richard Griffith, Senior Lecturer in Health Law at Swansea University, highlights
the problem of confusion over the circumstances in which a person can be subject
to a deprivation of liberty safeguards authorisation.
PMID- 27882786
TI - Managing clinical negligence litigation and costs in the NHS.
AB - John Tingle, Reader in Health Law, Nottingham Trent University, discusses recent
Government proposals to improve NHS maternity services and make changes to
litigation and patient safety investigation procedures.
PMID- 27882787
TI - Nurses' views on workplace wellbeing programmes.
AB - Workplace stress is prevalent among nurses. Healthcare employers have implemented
complementary and alternative therapies (CATs) for relaxation and stress
management within workplace wellbeing programmes. In-depth interviews were
conducted with 12 registered nurses to explore the perceptions and experiences of
nurses towards accessing CATs in and outside the workplace. Interviews were audio
recorded and transcribed verbatim. Data were analysed using conventional,
qualitative thematic techniques. Themes identified were 'perceptions of
complementary and alternative therapies for stress management' and 'engagement
with workplace wellness schemes'. CATs have a role within workplace wellbeing
programmes and nurses are not averse to accessing them, although there are
barriers to access that need to be addressed.
PMID- 27882788
TI - Why we need more research into interprofessional education.
AB - Interprofessional education (IPE) has long been considered an important means of
improving communication and interaction between health professionals and of
addressing patient safety concerns. This study aimed to explore whether a high
fidelity (HF) simulator could enhance a postgraduate IPE session on team-working
and communication skills. A convenience sample of six doctors and six nurses, all
recently qualified and working in an acute care setting, participated in teams of
one nurse and one doctor in a typical clinical case scenario using a HF
simulator. Pre-and post-test questionnaires and two focus groups were used to
gather views on the IPE session. All of the participants agreed that all aspects
of the IPE activity-the HF simulation, the scenarios and the debriefing-were
'effective' in attracting and retaining interest and attention. Future larger
scale research needs a more objective approach, such as a consideration of
patient-related impact and outcomes.
PMID- 27882789
TI - Advising patients on nutrition and healthy eating.
AB - This article looks at the current UK guidelines for a healthy diet for the
general population and considers the current debates and changes within that
advice and how they may affect health outcomes. It is impossible to read a
newspaper, magazine or social media article without being exposed to dietary
advice, nutritional research or the latest diet craze. It is essential that
nurses are able to advise their patients on research-based UK guidelines for a
healthy diet, and be able to respond to patient questions on diet-related
matters, ensuring the information they give is not based on current media opinion
or celebrity views. This paper summarises the evidence found within current
guidelines to help nurses ensure their practice is evidence based. This will help
meet the requirements of the Nursing and Midwofery Council's (2015) Code,
including delivering fundamentals of care and being able to practise in line with
best available evidence.
PMID- 27882791
TI - Ending transgender bias.
PMID- 27882790
TI - Using health psychology to help patients: promoting healthy choices.
AB - This article describes behaviour change techniques that nurses can use to help
individual patients to make and stick to healthy choices. These include helping
patients to set goals that are specific, measureable, achievable, relevant and
timely (SMART), promoting self-monitoring and providing feedback and motivational
interviewing. The process for delivering these techniques is described and the
evidence for them discussed. Simply providing brief advice and follow up can lead
to behaviour change, even in people who have not expressed a desire to change.
The techniques are designed to be brief and feasible to use in routine practice.
Using them can help nurses to apply the NHS policy of Making Every Contact Count
so that their patients achieve long-term benefit.
PMID- 27882792
TI - Smoke-free hospital site conversations: how nurses can initiate change.
AB - Smoking tobacco continues to be the world's most preventable cause of death and
disability with over six trillion cigarettes sold each year. Patients, visitors
and health professionals who smoke on hospital sites present a challenge to the
effectiveness of public health messages. Health professionals who ignore 'No
smoking' hospital/clinic signage, and avoid smoking-cessation activity, help to
sustain the perception that smoking is tolerated. Case studies, with a focus on
lung cancer and chronic obstructive pulmonary disease (COPD), are used to
illustrate how nurses can 'seed' the idea of hospitals becoming smoke-free,
provide brief interventions and support patients, carers and colleagues to make
that change.
PMID- 27882793
TI - Encouraging positive interactions in dementia care.
PMID- 27882794
TI - Assessment and management of the septic patient: part 2.
AB - Sepsis has gained increasing publicity in recent years, and there is now a strong
focus of clinical education and training following the Surviving Sepsis Campaign.
The assessment and management of a septic patients is far from simple and
requires a systematic approach in both identifying and managing the condition.
This second part explores sepsis care bundles and the research that underpins
each of the interventions. These discussions will enable nurses to understand why
each component of the sepsis care bundle is important and thus enable them to
rapidly prioritise care, as early effective interventions have been shown to
optimise patient outcomes.
PMID- 27882795
TI - Mental health and wellbeing: focus on men's health.
AB - All nurses have a responsibility to ensure that they actively promote both mental
and physical health and wellbeing. This article aims to bring together current
thinking and evidence about nursing and men's mental health promotion. Key areas
of concern outlined are the high rate of suicide in men, the expression of
depression in men and the problems of masculinity when related to seeking help
for mental health. The article highlights the importance of language and the
normalising of distressing feelings when working with men and suggests that
nurses need to recognise how men can experience depression differently, actively
identify and address suicidal thinking, and provide gender-sensitive
interventions. Additionally, nurses working with men need to demonstrate 'male
positive' values and offer future-focused and action-oriented interventions (such
as solution-focused, coaching or cognitive behavioural therapy approaches) that
contribute to a sense of agency, promote hope and are more engaging for many men.
PMID- 27882796
TI - Technology-enhanced learning in transnational higher education.
AB - Some university schools of nursing in Australia and the UK have developed
collaborative links with Malaysia to deliver part-time Transnational Higher
Education (TNHE) post-registration top-up nursing degree courses. It enables
nurses trained to diploma level to upgrade to a degree qualification. The views
of 18 Malaysian nurses who had studied with one Australian and two UK TNHE
universities were explored using a hermeneutic phenomenological approach.
Participants recruited via convenience and snowball sampling methods were
interviewed in English and Bahasa Malaysia (Malaysian language). Thematic
analysis were used to analyse data. Findings indicated nurses' frustration with
technology-enhanced teaching and learning and a lack of support throughout the
programme. Although nurses developed confidence in using computer technology,
they remained disappointed with the level of academic support. The data and some
useful strategies outlined provide important insights for TNHE providers, the
Malaysian Nursing Board and private hospital employers to consider for enhancing
nurses learning and experiences.
PMID- 27882797
TI - Reforming referral procedures.
AB - Sam Foster, Chief Nurse at Heart of England NHS Foundation Trust, considers how
fitness to practise referrals could be streamlined to increase efficiency and
reduce delays in decisions being made.
PMID- 27882799
TI - Skint, Shaken Yet Still Caring-highlighting hardship.
AB - Cavell Nurses' Trust has recently published a report looking at nurses'
experiences of hardship, both financial and emotional, and discusses the findings
as well as the support available for nurses going through difficult times.
PMID- 27882798
TI - Reflections on nurse education: past, present and future.
AB - Janet Scammell, Associate Professor (Nursing), Bournemouth University, reflects
on the changes in nursing that she has seen since beginning her nursing career 40
years ago.
PMID- 27882800
TI - Development of sorafenib-related side effects in patients diagnosed with advanced
hepatocellular carcinoma treated with sorafenib: a systematic-review and meta
analysis of the impact on survival.
AB - Introduction: Clinical markers to predict the benefit from sorafenib in patients
diagnosed with hepatocellular carcinoma (HCC) are lacking. A meta-analysis
exploring the impact of development of sorafenib-related side effects on survival
was conducted. Areas covered: Eligible studies included all clinical studies
reporting on the survival/toxicity relationship in sorafenib-treated HCC
patients. Data sources included Pub-Med, the Cochrane Controlled Trials Register,
and Google scholar. After exclusion of ineligible studies, 16 studies were
included in the analysis. Pooled hazard ratio (HR) for overall survival (OS) for
patients developing diarrhoea vs. patients who did not was 0.42 (95% confidence
interval (CI): 0.30-0.60; p < 0.00001); pooled HR for patients developing
hypertension vs. those who did not was 0.46 (95% CI: 0.30-0.70; p = 0.0003);
pooled HR for patients developing hand foot skin reaction vs. those who did not
was 0.47 (95% CI: 0.35-0.62; p < 0.00001); pooled HR for OS for all types of skin
toxicities was 0.51 (95% CI: 0.36-0.72; p = 0.0002); while pooled HR for OS for a
combination of selected side effects (hypertension, HFS and diarrhoea) was 0.38
(95% CI: 0.30-0.48; p < 0.00001). No information was available regarding the
impact of thyroid dysfunction or proteinuria. Expert commentary: This analysis of
data demonstrated that the occurrence of sorafenib-related side effects (such as
diarrhoea, hypertension and skin toxicities) is associated with a better OS in
sorafenib-treated HCC patients.
PMID- 27882801
TI - Rapid assessment of the reactogenicity of a 2016-2017 seasonal influenza vaccine:
results from a feasibility study.
AB - BACKGROUND: The European Medicines Agency (EMA) calls for a strategy for enhanced
safety surveillance of seasonal influenza vaccines. OBJECTIVE: We assessed the
feasibility of collecting reactogenicity data within one month of the start of
the vaccination campaign in Belgium. METHODS: One hundred subjects aged 18 to 65
years who had received inactivated seasonal influenza vaccine in occupational
setting were enrolled. For 7 days after vaccination, subjects received a daily
SMS with a link to a web-based questionnaire where reactogenicity events and
their severity were solicited. RESULTS: Data collection was completed by October
13th, 2016, before the peak of the vaccination campaign in Belgium. 68% of
participants reported a local reaction and 65% a general reaction; 51% reported
both a local and a general reaction. CONCLUSION: Here we show that it has been
possible to collect reactogenicity data in adults for enhanced safety
surveillance in Belgium in a timely manner. The observed reactogenicity is higher
compared to previous observations for this vaccine measured in clinical trials.
PMID- 27882803
TI - Parental cardiac response in the context of pediatric acute pain: current
knowledge and future directions.
PMID- 27882802
TI - The AO Pediatric Comprehensive Classification of Long Bone Fractures (PCCF).
AB - Background and purpose - To achieve a common understanding when dealing with long
bone fractures in children, the AO Pediatric Comprehensive Classification of Long
Bone Fractures (AO PCCF) was introduced in 2007. As part of its final validation,
we present the most relevant fracture patterns in the upper extremities of a
representative population of children classified according to the PCCF. Patients
and methods - We included children and adolescents (0-17 years old) diagnosed
with 1 or more long bone fractures between January 2009 and December 2011 at the
university hospitals in Bern and Lausanne (Switzerland). Patient charts were
retrospectively reviewed and fractures were classified from standard radiographs.
Results - Of 2,292 upper extremity fractures in 2,203 children and adolescents,
26% involved the humerus and 74% involved the forearm. In the humerus, 61%, and
in the forearm, 80% of single distal fractures involved the metaphysis. In
adolescents, single humerus fractures were more often epiphyseal and diaphyseal
fractures, and among adolescents radius fractures were more often epiphyseal
fractures than in other age groups. 47% of combined forearm fractures were distal
metaphyseal fractures. Only 0.7% of fractures could not be classified within 1 of
the child-specific fracture patterns. Of the single epiphyseal fractures, 49%
were Salter-Harris type-II (SH II) fractures; of these, 94% occurred in
schoolchildren and adolescents. Of the metaphyseal fractures, 58% showed an
incomplete fracture pattern. 89% of incomplete fractures affected the distal
radius. Of the diaphyseal fractures, 32% were greenstick fractures. 24 Monteggia
fractures occurred in pre-school children and schoolchildren, and 2 occurred in
adolescents. Interpretation - The pattern of pediatric fractures in the upper
extremity can be comprehensively described according to the PCCF. Prospective
clinical studies are needed to determine its clinical relevance for treatment
decisions and prognostication of outcome.
PMID- 27882805
TI - Free radical formation in chloramphenicol heated at different temperatures and
the best thermal sterilization conditions - application of EPR spectroscopy and
UV spectrophotometry.
AB - Free radicals in thermally treated chloramphenicol were examined by electron
paramagnetic resonance (EPR) spectroscopy. The parameters and shape of EPR
spectra were analysed and free radical concentrations were obtained in the tested
drug samples. Chloramphenicol was thermally sterilized at pharmacopeia
conditions: 100 degrees C (120 min). Sterilization was also carried out at
different conditions, 110 degrees C (60 min) and 120 degrees C (30 min), for
comparison with pharmacopeia settings. Microbiological analysis was performed on
the samples to confirm sterility. The aim of this work was to determine the
concentration of free radicals in chloramphenicol following thermal sterilization
at pharmacopeia conditions and compare this with other sets of conditions [110
degrees C (60 min) and 120 degrees C (30 min)]. The best conditions of thermal
sterilization are determined as those that kill microorganisms and produce the
lowest amounts of free radicals in this drug. It was concluded that the optimal
temperatures and times for the thermal sterilization of chloramphenicol are 100
degrees C and 120 min and 110 degrees C and 60 min. A temperature of 120
degrees C coupled with a heating time of 30 min was rejected for thermal
sterilization because of the high amount of free radicals produced by the drug
samples.
PMID- 27882804
TI - A comparison of high frequency chest wall oscillation and intrapulmonary
percussive ventilation for airway clearance in pediatric patients with
tracheostomy.
AB - OBJECTIVES: The aim of this study was to compare two modes of airway clearance,
the intrapulmonary percussive ventilation system (IPV) to high frequency chest
wall oscillation system (HFCWO) in medically complex pediatric patients with
tracheostomy requiring long term care. METHODS: This was a single center,
retrospective study comparing the number of respiratory illnesses, lower
respiratory tract infections (LRTI), utilization of bronchodilator and systemic
steroids, and respiratory illnesses requiring acute care hospitalizations. A
total of 8 tracheostomy dependent patients between the ages of 1-22 years were
included for a 2-year study period. Each patient was used as their own control.
During the period studied, the only variable in the medical regimen was the
modality used for airway clearance. A Poisson regression model and generalized
estimating equations were used to compare pre and post rates and to account for
the correlation of count data from the same individual. Additionally, the paired
differences (post-pre) for each event count were computed to provide the median
and range of reductions in event rates while using intrapulmonary percussive
ventilation system device. The non-parametric wilcoxon signed-rank test employed
to determine whether the results from the Poisson model were consistently
observed regardless of method of analysis. RESULTS: The total number of
respiratory illnesses were reduced from 32 per year on HFCWO therapy to 15 per
year on IPV system therapy (p < 0.001). The total number of LRTI requiring
antibiotic use were decreased from 15 per year to 6 per year (p = 0.01), use of
bronchodilator treatments were reduced from 53 to 21 (p < 0.001) and utilization
of systemic steroids were reduced from 12 to 4 on IPV (p = 0.003). Numbers of
hospitalizations to acute care facilities were reduced from 8 to 3
hospitalizations during the period of IPV use for airway clearance (p = 0.003).
CONCLUSION: This study suggests that airway clearance by IPV therapy could be
more effective and beneficial in providing airway clearance in specific subsets
of the medically complex pediatric population.
PMID- 27882806
TI - Comorbidity as a predictor of overall survival in prostate cancer patients
treated with external beam radiotherapy combined with HDR brachytherapy boosts.
AB - BACKGROUND: The risk stratification currently applied prior to curative treatment
for localized prostate cancer (PC) does not take into account comorbidity or age.
Therefore, we investigated the impact of comorbidity on overall survival (OS) in
PC patients treated with external beam radiotherapy (EBRT) and high-dose rate
(HDR) brachytherapy boost. MATERIAL AND METHODS: At a single center, 611
consecutive patients diagnosed with localized PC from 1998 to 2004 underwent
definitive EBRT (50 Gy) and HDR brachytherapy boosts (2 * 10 Gy) combined with
neoadjuvant total androgen blockade. Comorbidity was assessed with the Charlson
comorbidity score. The impact of risk factors on OS and disease-free survival
(DFS) was calculated using Cox proportional hazard ratios. Risk groups were
defined as follows: low-risk PC: PSA <10, WHO grade 1 and T stage 1; high-risk
PC: PSA >20 and/or WHO grade 3 and/or T stage 3a; intermediate-risk PC
representing patients who did not fit either the low- or high-risk PC group.
RESULTS: Mean age in the study cohort was 66.4 years, and 51% of the patients
reported some degree of comorbidity. Divided into risk groups 8.2% were
categorized as low-risk, 64% as intermediate-risk and 27.8% as high-risk PC.
Overall 10-year survival was 72.2%, and 89% of the patients were relapse-free. In
the univariate and multivariate analyses using Cox proportional hazard ratios,
age, comorbidity and T stage were statistically significant predictors of OS:
hazard ratios 1.56, 1.44 and 1.2 (p-values .002, .04 and .05), respectively. WHO
grade, PSA at diagnosis, T stage and comorbidity were also significant predictors
of DFS (p-values .0001, .0001, .009 and .003, respectively). CONCLUSION:
Comorbidity assessed with the Charlson score predicts OS in patients with
localized PC treated with curative intent using combined EBRT and HDR
brachytherapy boost, and should be considered when making decisions before
radical treatment.
PMID- 27882807
TI - JARID1/KDM5 demethylases as cancer targets?
PMID- 27882808
TI - No degeneration found in focal cartilage defects evaluated with dGEMRIC at 12
year follow-up.
AB - Background and purpose - The natural history of focal cartilage defects (FCDs) is
still unresolved, as is the long-term cartilage quality after cartilage surgery.
It has been suggested that delayed gadolinium-enhanced magnetic resonance imaging
of cartilage (dGEMRIC) is a biomarker of early OA. We aimed to quantitatively
evaluate the articular cartilage in knees with FCDs, 12 years after arthroscopic
diagnosis. Patients and methods - We included 21 patients from a cohort of
patients with knee pain who underwent arthroscopy in 1999. Patients with a full
thickness cartilage defect, stable knees, and at least 50% of both their menisci
intact at baseline were eligible. 10 patients had cartilage repair performed at
baseline (microfracture or autologous chondrocyte implantation), whereas 11
patients had either no additional surgery or simple debridement performed. Mean
follow-up time was 12 (10-13) years. The morphology and biochemical features were
evaluated with dGEMRIC and T2 mapping. Standing radiographs for Kellgren and
Lawrence (K&L) classification of osteoarthritis (OA) were obtained. Knee function
was assessed with VAS, Tegner, Lysholm, and KOOS. Results - The dGEMRIC showed
varying results but, overall, no increased degeneration of the injured knees.
Degenerative changes (K&L above 0) were, however, evident in 13 of the 21 knees.
Interpretation - The natural history of untreated FCDs shows large dGEMRIC
variations, as does the knee articular cartilage of surgically treated patients.
In this study, radiographic OA changes did not correlate with cartilage quality,
as assessed with dGEMRIC.
PMID- 27882809
TI - Mechanisms of cell uptake, inflammatory potential and protein corona effects with
gold nanoparticles.
AB - AIM: To assess inflammation, cellular uptake and endocytic mechanisms of gold
nanoparticles (AuNP) in human epidermal keratinocytes with and without a protein
corona. MATERIALS & METHODS: Human epidermal keratinocytes were exposed to 40 and
80 nm AuNP with lipoic acid, polyethylene glycol (PEG) and branched
polyethyleneimine (BPEI) coatings with and without a protein corona up to 48 h.
Inhibitors were selected to characterize endocytosis. RESULTS & CONCLUSION: BPEI
AuNP showed the greatest uptake, while PEG-AuNP had the least. Protein coronas
decreased uptake and affected their mechanism. AuNP uptake was energy-dependent,
except for 40 nm lipoic-AuNP. Most AuNP were internalized by clathrin and lipid
raft-mediated endocytosis, except for 40 nm PEG was by raft/noncaveolae mediated
endocytosis. Coronas inhibited caveolae-mediated-endocytosis with lipoic acid and
BPEI-AuNP and altered 40 nm PEG-AuNP from raft/noncaveolae to clathrin.
Inflammatory responses decreased with a plasma corona. Results suggest protein
coronas significantly affect cellular uptake and inflammatory responses of AuNP.
PMID- 27882812
TI - Should we be treating lower risk myelofibrosis patients with a JAK2 inhibitor?
AB - INTRODUCTION: Myelofibrosis (MF) is a Philadelphia chromosome-negative
myeloproliferative neoplasm that is associated with debilitating constitutional
symptoms, progressive splenomegaly, and cytopenias. Ruxolitinib, a JAK1/2
inhibitor, is currently the only drug approved for the treatment of patients with
intermediate or high risk MF. There is rationale and even limited clinical data
supporting the use of ruxolitinib in lower risk patients, although this has not
yet been validated in a randomized controlled trial. Areas covered: We examine
rationale for using ruxolitinib in lower risk MF patients, including survival
data from COMFORT-I and COMFORT-II, specific patient populations that may derive
clinical benefit, and the future impact of molecular analysis on risk
stratification and treatment. Additionally, we consider the potential risks
associated with earlier intervention with ruxolitinib therapy. Expert commentary:
Although there exists rationale for the use of JAK2 inhibitor therapy in lower
risk MF patients, we eagerly await the results of an ongoing randomized
controlled trial addressing this question before recommending wider use in the
community setting.
PMID- 27882810
TI - What has changed in the prevalence of hypertension in dialyzed children during
the last decade?
AB - BACKGROUND: Hypertension very often accompanies progression of chronic kidney
disease (CKD) in children. A cross-sectional analysis of hypertension prevalence
in dialyzed children in Poland was designed with a comparison with the data
previously recorded 10 years earlier. METHODS: Two cohorts of children were
analyzed: 59 subjects dialyzed in 2013, and 134 children from the previous study
performed in 2003 that were reevaluated according to the current methodology. The
incidence of hypertension (defined by SDS of sBP or dBP >1.64), clinical data,
medical history, dialysis modalities and selected biochemical parameters of
dialysis adequacy were analyzed. RESULTS: The prevalence of hypertension
increased from 64% in 2003 to 78% in 2013. The efficacy of antihypertensive
treatment remained unsatisfactory (61% proper BP control). Preservation of
residual urine output and strict fluid balance may prevent development of
hypertension in children on dialysis. CONCLUSIONS: Despite the higher awareness
of hypertension and its complications in dialyzed children, the incidence of this
entity has increased during the last decade, with the percentage of undertreated
patients comparable to that observed 10 years ago. Thus, more attention should be
paid to therapy efficacy in this population to prevent further damage to the
cardiovascular system and to decrease morbidity.
PMID- 27882811
TI - The AO Pediatric Comprehensive Classification of Long Bone Fractures (PCCF).
AB - Background and purpose - To achieve a common understanding when dealing with long
bone fractures in children, the AO Pediatric Comprehensive Classification of Long
Bone Fractures (AO PCCF) was introduced in 2007. As part of its final validation,
we present the most relevant fracture patterns in the lower extremities of a
representative population of children classified according to the PCCF. Patients
and methods - We included patients up to the age of 17 who were diagnosed with 1
or more long bone fractures between January 2009 and December 2011 at either of 2
tertiary care university hospitals in Switzerland. Patient charts were
retrospectively reviewed. Results - More lower extremity fractures occurred in
boys (62%, n = 341). Of 548 fractured long bones in the lower extremity, 25%
involved the femur and 75% the lower leg. The older the patients, the more
combined fractures of the tibia and fibula were sustained (adolescents: 50%, 61
of 123). Salter-Harris (SH) fracture patterns represented 66% of single
epiphyseal fractures (83 of 126). Overall, 74 of the 83 SH patterns occurred in
the distal epiphysis. Of all the metaphyseal fractures, 74 of 79 were classified
as incomplete or complete. Complete oblique spiral fractures accounted for 57% of
diaphyseal fractures (120 of 211). Of all fractures, 7% (40 of 548) were
classified in the category "other", including 29 fractures that were identified
as toddler's fractures. 5 combined lower leg fractures were reported in the
proximal metaphysis, 40 in the diaphysis, 26 in the distal metaphysis, and 8 in
the distal epiphysis. Interpretation - The PCCF allows classification of lower
extremity fracture patterns in the clinical setting. Re-introduction of a
specific code for toddler's fractures in the PCCF should be considered.
PMID- 27882813
TI - Dietary zinc modifies diabetic-induced renal pathology in rats.
AB - This study was conducted to investigate how far dietary zinc (Zn) modifies the
histomorphological alterations induced by diabetes in rat kidneys. The animals
were divided into negative control group (10 rats). Diabetes was induced in
thirty animals by streptozotocin. After confirming diabetes, the animals were
divided into three groups (n = 10). Group II served as the positive control group
(fed on standard diet), group III was fed on Zn deficient diet, and group IV was
fed on Zn supplemented diet. Caspase-3 immune staining was used to estimate the
caspase activity. Stereological procedures were used to measure the quantity of
the immune stain and the surface area of the Bowman's space. The renal cortices
of group II rats revealed apparent widening of Bowman's spaces with few apoptotic
figures. The filtration barrier showed thickening of the basement membrane. The
proximal convoluted tubules showed patchy loss of the apical microvilli with
swollen mitochondria. The distal convoluted tubules revealed area of irregular
basal enfolding. The picture was aggravated by Zn deficiency in group III besides
areas of cortical interstitial fibrosis. The histopathological alterations were
minimal in the cortices of group IV. A significant increase of the Bowman's space
surface area in group II and IV while decrease in group III compared with group
I. The expression of Caspase-3 density was significantly increased in group II
and III compared with group I while in group IV was non significant. In
conclusion, dietary Zn modulated renal cortical changes caused by diabetes in
rats.
PMID- 27882814
TI - The AO Pediatric Comprehensive Classification of Long Bone Fractures (PCCF).
AB - Background and purpose - The AO Pediatric Comprehensive Classification of Long
Bone Fractures (PCCF) describes the localization and morphology of fractures, and
considers severity in 2 categories: (1) simple, and (2) multifragmentary. We
evaluated simple and multifragmentary fractures in a large consecutive cohort of
children diagnosed with long bone fractures in Switzerland. Patients and methods
Children and adolescents treated for fractures between 2009 and 2011 at 2
tertiary pediatric surgery hospitals were retrospectively included. Fractures
were classified according to the AO PCCF. Severity classes were described
according to fracture location, patient age and sex, BMI, and cause of trauma.
Results - Of all trauma events, 3% (84 of 2,730) were diagnosed with a
multifragmentary fracture. This proportion was age-related: 2% of
multifragmentary fractures occurred in school-children and 7% occurred in
adolescents. In patients diagnosed with a single fracture only, the highest
percentage of multifragmentation occurred in the femur (12%, 15 of 123). In
fractured paired radius/ulna bones, multifragmentation occurred in 2% (11 of
687); in fractured paired tibia/fibula bones, it occurred in 21% (24 of 115),
particularly in schoolchildren (5 of 18) and adolescents (16 of 40). In a
multivariable regression model, age, cause of injury, and bone were found to be
relevant prognostic factors of multifragmentation (odds ratio (OR) > 2).
Interpretation - Overall, multifragmentation in long bone fractures in children
was rare and was mostly observed in adolescents. The femur was mostly affected in
single fractures and the lower leg was mostly affected in paired-bone fractures.
The clinical relevance of multifragmentation regarding growth and long-term
functional recovery remains to be determined.
PMID- 27882816
TI - Long-term studies of treatments for type 2 diabetes.
AB - There is a relative lack of long-term data for individual glucose-lowering
therapies for the treatment of type 2 diabetes mellitus. A systematic search of
published literature reporting data of approximately >=3 years of follow-up from
randomized controlled trials and their extensions was conducted. Trials to
evaluate the efficacy and/or safety of glucose-lowering drugs currently approved
for the treatment of adults with type 2 diabetes were included. Search results
included long-term published data for traditional oral glucose-lowering drugs,
insulin, alpha-glucosidase inhibitors, and incretin-based therapies. In general,
results indicated that the short-term risk/benefit profile of these therapies is
in line with longer-term evaluations. Individual results from these trials are
reviewed in this report. These findings support the use of approved drug classes
for longer-term treatment of type 2 diabetes.
PMID- 27882815
TI - Approaches to developing fast release pellets via wet extrusion-spheronization.
AB - Microcrystalline cellulose (MCC) is widely regarded as the excellent choice to
manufacture pellets via wet extrusion-spheronisation (ES) process due to its
excellent water uptake capability, water holding capacity, desirable rheological
properties, cohesiveness and plasticity etc. Nevertheless, in spite of all these
advantages, limitations associated with the application of MCC also have been
reported. The most prevailing limitation is prolonged or incomplete drug release
profile due to the lack of disintegration as pellet contracts significantly
during the drying process, especially when in combination with poorly soluble
drug at a high level. This characteristic limits the application of MCC in
immediate release formulations. Over the years, many approaches have been tried
to overcome this disadvantage, such as modifying MCC, incorporation of
superdisintegrant, increasing the porosity of pellet, partial or complete
substitution for MCC, enhancing the solubility of poorly soluble drug (e.g. solid
dispersion, self-emulsifying drug-delivery system), etc. In this review, we will
provide an updated and integrated discussion of current approaches to prepare
fast release pellets via wet ES.
PMID- 27882818
TI - Comparison of lumbosacral transforaminal epidural steroid injection techniques in
terms of radiation safety.
AB - AIM: To investigate the difference in radiation exposure to the patients between
oblique and posteroanterior view, initial approach techniques in fluoroscopy
guided lumbosacral transforaminal epidural steroid injections. PATIENTS &
METHODS: Total amount of Kerma area product, elapsed time of the procedure and
fluoroscopy time were obtained from medical records retrospectively. RESULTS: 28
patients were included in each group. Fluoroscopy time was significantly lower in
group 1, but there was no statistically significant difference in terms of
procedure time and Kerma area product. CONCLUSION: Radiation risk does not change
between these approaches.
PMID- 27882817
TI - H2S improves renal fibrosis in STZ-induced diabetic rats by ameliorating TGF
beta1 expression.
AB - Nephropathy develops in many patients with type 1 diabetes mellitus (T1DM).
However, the specific mechanisms and therapies remain unclear. For this purpose
we investigated the effects of hydrogen sulfide (H2S) on renal fibrosis in
streptozotocin (STZ) induced diabetic rats and its underlying mechanisms.
Experimental rats were randomly divided into four groups: Control group (normal
rats), DM group (diabetes rats), DM + NaHS group [diabetes rats treated with
sodium hydrosulfide (NaHS)], and NaHS group (normal rats treated with NaHS). The
diabetic models were established by intraperitoneal injection of STZ. The NaHS
treated rats were injected with NaHS as an exogenous donor of H2S. At the same
time, control group and DM group were administrated with equal doses of normal
saline (NS). After eight weeks, the rats' urine samples were collected to measure
the renal hydroxyproline content by basic hydrolysis method with a hydroxyproline
detection kit. Collagen I and III content was detected by immunohistochemical
method, and the pathology morphology of kidney was analyzed by Masson staining.
Protein expressions of transforming growth factor beta 1 (TGF-beta1), ERK1/2,
TIMP1, TIMP2, MMP-2, MMP-7, MMP-8, MMP-11, and MMP-14 were assessed by western
blotting. The results showed that significant fibrosis occurred in the kidney of
diabetes rats. NaHS treatment downregulated TGF-beta1, ERK1/2, TIMP1, TIMP2, MMP
2, MMP-7, MMP-8, MMP-11, and MMP-14 expressions in the kidney of these diabetes
rats (p<.01). This result suggests that NaHS treatment could attenuate renal
fibrosis by TGF-beta1 signaling, and its mechanisms may be correlated with ERK1/2
expression and modulation of MMPs/TIMPs expression. Therefore, H2S may provide a
promising option for defensing against diabetic renal fibrosis through TGF-beta1
signaling, equilibrating the balance between profibrotic and antifibrotic
mediators.
PMID- 27882819
TI - Cross-cultural adaptation of the assistive technology device - Predisposition
assessment (ATD PA) for use in Brazil (ATD PA Br).
AB - PURPOSE: The purpose of this study is to conduct a cross-cultural adaptation of
the Assistive Technology Device Predisposition Assessment (ATD PA) for use in
Brazil. METHOD: The selection of the Assistive Technology Device Predisposition
Assessment (ATD PA) was determined by previous literature reviews of articles
published in 2014 and 2016 in six databases with the terms "assistive device" or
"assistive technology" or "self-help device" combined with "evidence-based
practice" or "framework" or "measurement scale" or "model and outcome
assessment". This review indicated that the conceptual model of Assistive
Technology (AT) most discussed in the literature was the Matching Person and
Technology (MPT) model, and this finding determined the selection of ATD PA as an
assessment within the MPT portfolio of measures. The procedures for cross
cultural adaptation were as follows: Equivalence of Concept, Semantic and
Operational. Five experts were asked to translate 725 items and these
translations were evaluated and a high level of agreement was demonstrated.
RESULTS: The Portuguese version, Avaliacao de Tecnologia Assistiva -
Predisposicao ao Uso - ATD PA Br, was derived from the original version in
English (ATD PA). CONCLUSION: The ATD PA Br will support professionals and people
with disabilities in Brazil to better select AT devices according to the clients'
needs. Implications for rehabilitation Provides a systematic way of selecting
assistive technology devices for the use of individuals with disabilities
according to the Brazilian reality. A systematic way of selecting the assistive
technology that can help decrease the abandonment of the assistive technology
use. The use of the Matching Person and Technology theorical model and of the
assessment ATD PA Br is essential to guide the researches and clinical practice
in Brazil.
PMID- 27882821
TI - A description of assistive technology sources, services and outcomes of use in a
number of African settings.
AB - : Purpose statement: The article explores assistive technology sources, services
and outcomes in South Africa, Namibia, Malawi and Sudan. METHODS: A survey was
done in purposively selected sites of the study countries. Cluster sampling
followed by random sampling served to identify 400-500 households (HHs) with
members with disabilities per country. A HH questionnaire and individual
questionnaire was completed. Country level analysis was limited to descriptive
statistics. RESULTS: Walking mobility aids was most commonly bought/provided
(46.3%), followed by visual aids (42.6%). The most common sources for assistive
technology were government health services (37.8%), "other" (29.8%), and private
health services (22.9%). Out of the participants, 59.3% received full information
in how to use the device. Maintenance was mostly done by users and their families
(37.3%). Devices helped a lot in 73.3% of cases and improved quality of life for
67.9% of participants, while 39.1% experienced functional difficulties despite
the devices. CONCLUSION: Although there is variation between the study settings,
the main impression is that of fragmented or absent systems of provision of
assistive technology. Implications for rehabilitation Provision of assistive
technology and services varied between countries, but the overall impression was
of poor provision and fragmented services. The limited provision of assistive
technology for personal care and handling products is of concern as many of these
devices requires little training and ongoing support while they can make big
functional differences. Rural respondents experienced more difficulties when
using the device and received less information on use and maintenance of the
device than their urban counterparts. A lack of government responsibility for
assistive device services correlated with a lack of information and/or training
of participants and maintenance of devices.
PMID- 27882820
TI - Phase II randomized study of the IGF-1R pathway modulator AXL1717 compared to
docetaxel in patients with previously treated, locally advanced or metastatic non
small cell lung cancer.
AB - BACKGROUND: The primary objective of this study was to compare the progression
free survival (PFS) at 12 weeks between patients treated with IGF-1R pathway
modulator AXL1717 (AXL) and patients treated with docetaxel (DCT). MATERIAL AND
METHODS: The study was conducted at 19 study centers in five countries. A total
of 99 patients with previously treated, locally advanced or metastatic non-small
cell lung cancer (NSCLC) of the squamous cell carcinoma (SCC) or adenocarcinoma
(AC) subtypes in need of additional treatment were randomized and treated with
either 300 or 400 mg of AXL as daily BID treatment (58 patients) or DCT given as
75 mg/m2 in three-week cycles (41 patients) as monotherapy in a 3:2 ratio for
each NSCLC subtype. Patients were treated in the primary study treatment period
for a maximum of four treatment cycles. RESULTS: The 12-week PFS rate, median PFS
and overall survival (OS), as well Kaplan-Meier hazard ratio for PFS and OS, did
not show any statistically significant differences between the treatment groups.
For the primary endpoint, the AXL group had a lower percentage of patients
(25.9%) who were progression-free at Week 12 as compared to the DCT group
(39.0%), although the difference was not statistically significant. The most
notable difference in the incidence of treatment emergent adverse effects (TEAEs)
was the lower incidence of treatment-related grade 3/4 neutropenia in patients
treated with AXL. CONCLUSION: These results suggest neither of the treatments to
be superior of the other when treating locally advanced or metastatic NSCLC.
Considering the lower incidence of grade 3/4 neutropenia in the AXL group this
treatment warrants further research.
PMID- 27882822
TI - A protective role for herpes simplex virus type-1-specific humoral immunity in
Alzheimer's Disease.
PMID- 27882823
TI - The transparency of published health technology assessment-based recommendations
on pharmaceutical reimbursement in Poland.
AB - BACKGROUND: The appropriate access to public information is very important for
healthcare system stakeholders. The goal of this study was to examine how the
execution of the formally existing right to public information on the HTA-based
recommendations on reimbursement of new health technologies from public funds has
been changing in Poland. METHODS: All recommendations published within two
predefined equal periods of time between 2013 and 2015 were analyzed. The
gathered data was subjected to statistical analysis. RESULTS: The frequency and
intensity of censoring the published HTA-based recommendations on the
pharmaceutical reimbursement has diminished. The text readability and clarity of
message has improved, although the degree of decisiveness of the recommendations
has dropped. CONCLUSION: The positive changes in the public communication policy
should be continued. The transparency of the HTA-based recommendations should be
increased further in some areas in the future.
PMID- 27882824
TI - Simultaneous recovery of phosphorus and potassium as magnesium potassium
phosphate from synthetic sewage sludge effluent.
AB - Bench-scale experiments were performed to investigate simultaneous recovery of
phosphorus and potassium from synthetic sewage sludge effluent as crystals of
magnesium potassium phosphate (MPP or struvite-(K), MgKPO4.6H2O). The optimal pH
of MPP formation was 11.5. A phosphorus level of at least 3 mM and K:P molar
ratio over 3 were necessary to form MPP, which showed higher content rate of
phosphorus and potassium in precipitate. MPP crystallization was confirmed by
analysing the precipitates using a scanning electron microscope-energy dispersive
X-ray spectroscopy (SEM-EDX) apparatus and an X-ray Diffractometer (XRD).
Inhibition of MPP crystallization by iron and aluminium was confirmed by
precipitation experiments and SEM-EDX analysis. Potassium ratio against magnesium
in precipitate decreased for iron concentrations greater than over 0.2 mM and
aluminium concentrations over 0.05 mM.
PMID- 27882825
TI - Validity and reliability of a low-cost digital dynamometer for measuring
isometric strength of lower limb.
AB - Lower limb isometric strength is a key parameter to monitor the training process
or recognise muscle weakness and injury risk. However, valid and reliable methods
to evaluate it often require high-cost tools. The aim of this study was to
analyse the concurrent validity and reliability of a low-cost digital dynamometer
for measuring isometric strength in lower limb. Eleven physically active and
healthy participants performed maximal isometric strength for: flexion and
extension of ankle, flexion and extension of knee, flexion, extension, adduction,
abduction, internal and external rotation of hip. Data obtained by the digital
dynamometer were compared with the isokinetic dynamometer to examine its
concurrent validity. Data obtained by the digital dynamometer from 2 different
evaluators and 2 different sessions were compared to examine its inter-rater and
intra-rater reliability. Intra-class correlation (ICC) for validity was excellent
in every movement (ICC > 0.9). Intra and inter-tester reliability was excellent
for all the movements assessed (ICC > 0.75). The low-cost digital dynamometer
demonstrated strong concurrent validity and excellent intra and inter-tester
reliability for assessing isometric strength in the main lower limb movements.
PMID- 27882826
TI - Investigation of the binding interaction between estazolam and bovine serum
albumin: multi-spectroscopic methods and molecular docking technique.
PMID- 27882827
TI - Creating a framework for data sharing in cochlear implant research.
AB - OBJECTIVES: To summarize the development process of a national database that was
designed to facilitate communication and collaboration, improve care, and create
a framework for aggregate data sharing in cochlear implant (CI) research.
METHODS: A group of nationally represented, multidisciplinary CI providers
cooperated to define a standard set of data elements to incorporate into a
database built by them in association with a group of computer scientists and
software designers. CI centers across the USA, then, joined the non-profit
Auditory Implant Initiative to use the database for their own clinical purposes
and to help contribute to the national de-identified dataset for research and
analytics. RESULTS: Approximately 12 months after the full release of the
database, clinical information on 373 patients has been entered from 17 different
CI centers representing 61 hearing professionals. A blend of six academic, seven
private, and four non-profit CI centers participated in this phase of the data
sharing network. DISCUSSION: The adoption of a single, standardized database by
17 centers throughout the USA has begun a framework for data sharing in CI
research. Future steps include (1) expanding adoption, (2) scaling the database
to include more patients, (3) streamlining the legal hurdles required for
adoption, and (4) integrating the database with other software platforms (e.g.
electronic health records, processors). CONCLUSION: A standardized clinical
outcomes database that is utilized by a growing network of CI centers can help
strengthen research through aggregate data sharing.
PMID- 27882828
TI - Morinda citrifolia mitigates rotenone-induced striatal neuronal loss in male
Sprague-Dawley rats by preventing mitochondrial pathway of intrinsic apoptosis.
AB - OBJECTIVES: Parkinson disease (PD) is a neurodegenerative disorder affecting
mainly the motor system, as a result of death of dopaminergic neurons in the
substantia nigra pars compacta. The present scenario of research in PD is
directed to identify novel molecules that can be administered individually or co
administered with L-Dopa to prevent the L-Dopa-Induced Dyskinesia (LID) like
states that arise during chronic L-Dopa administration. Hence, in this study, we
investigated whether Morinda citrifolia has therapeutic effects in rotenone
induced Parkinson's disease (PD) with special reference to mitochondrial
dysfunction mediated intrinsic apoptosis. METHODS: Male Sprague-Dawley rats were
stereotaxically infused with rotenone (3 ug in both SNPc and VTA) and co-treated
with the ethyl acetate extract of Morinda citrifolia and levodopa. RESULTS: The
results revealed that rotenone-induced cell death was reduced by MCE treatment as
measured by decline in the levels of pro-apoptotic proteins. Moreover, MCE
treatment significantly augmented the levels of anti-apoptotic Bcl2 and blocks
the release of cytochrome c, thereby alleviating the rotenone-induced
dopaminergic neuronal loss, as evidenced by tyrosine hydroxylase (TH)
immunostaining in the striatum. DISCUSSION: Taken together, the results suggest
that Morinda citrifolia may be beneficial for the treatment of neurodegenerative
diseases like PD.
PMID- 27882829
TI - The effects of heat stress on a number of hematological parameters and levels of
thyroid hormones in foundry workers.
AB - The objective of this research was to determine the effects of heat stress on
some hematological parameters and thyroid hormones among foundry workers. This
study was performed on 25 heat-acclimated subjects while 10 office workers were
selected as the control group. Wet bulb globe temperature (WBGT) was determined
to estimate the heat stress. Blood sampling was conducted before and after the
daily work shift. The mean value of the WBGT index was 35 degrees C. The levels
of plasma osmolality (p = 0.04) and white blood cells (p = 0.03) in the case
group (before exposure to heat) were significantly higher than those in control
group. No significant differences were observed between the average levels of T3
(p = 0.79) and T4 (p = 0.17) hormones between two groups. A positive relationship
was found between the variation of some hematological parameters and thyroid
hormones with WBGT index and air temperature.
PMID- 27882830
TI - Adherence to Disease-Modifying Therapies for Multiple Sclerosis.
AB - BACKGROUND: Multiple sclerosis (MS) is a neurological degenerative chronic
condition without cure. However, long-term disease-modifying therapies (DMTs)
help reduce the severity of MS symptoms. Adherence to DMTs is key to their
success. Several studies have analyzed what makes patients adherent to their
DMTs. As new DMTs have entered the market, few studies have analyzed factors of
adherence using all currently available DMTs. OBJECTIVE: To analyze different
factors of adherence to DMTs for MS, in particular how the type of DMT affects
adherence. METHODS: This retrospective cohort study used enrollment and claims
data from an upper Midwest health plan in the United States between 2011 and
2013. Patients entered the study if they had any medical claim with an MS
diagnosis and used only 1 DMT during the study time frame. Medication possession
ratios (MPRs) were computed as the fraction of days with medication supplied
during the year; patients with MPRs of 0.8 or higher were considered adherent.
Multivariate probit models with patient-specific random effects were estimated,
with controls for demographic characteristics, type of DMT, health plan type, and
measures of health status. RESULTS: Patients aged over 45 years were between 13.7
to 18.6 percentage points more likely to be adherent than younger patients. Women
had a 5.5 percentage-point lower probability of being adherent than men. Patients
using self-injectable DMTs with injection site reactions as the most likely side
effect were 9.1 percentage points less likely to be adherent than patients using
oral, infusible, and other self-injectable DMTs. Patients with depression had a
5.5 percentage-point lower probability of being adherent. These results were
robust to changes in controls for type of plan and neighborhood socioeconomic
characteristics. CONCLUSIONS: This study found statistically significant
differences in adherence to DMTs by age, sex, type of DMT, and a depression
diagnosis. DISCLOSURES: TEVA provided funding for this study and had the option
to review the manuscript. The authors retained autonomy in the determination of
the final content of this work. Study concept and design were contributed by
Carlin, Anderson, and Higuera. Data interpretation was primarily performed by
Higuera and Carlin, along with Anderson. The manuscript was written and revised
by Higuera, Carlin, and Anderson.
PMID- 27882831
TI - Quantifying Differences in Health Care Consumption for the Management of Multiple
Sclerosis Within Privately and Publicly Insured Health Care Programs.
AB - BACKGROUND: Multiple sclerosis (MS) is a chronic and debilitating disease of the
central nervous system that affects more than 570,000 persons in the United
States and 2.3 million worldwide. Since most individuals experience initial
symptoms between the ages of 20 and 40 years, MS can have a significant effect on
health care consumption, quality of life, productivity, and employment over the
long-term disease course. Opportunities exist to better understand how benefit
design and other nonclinical factors can affect health care delivery and
associated costs. OBJECTIVE: To observe and report variances in health care
consumed for the treatment of MS in patients enrolled in privately (commercial)
and publicly (Medicaid) funded health insurance programs. METHODS: In a
retrospective analysis using Havas Gemini's proprietary MS Benchmarks Disease
Modeling Process and IMS LifeLink Health Plan Claims and Longitudinal
Prescriptions databases, integrated medical and pharmacy claims data were
analyzed to select patients with a diagnosis of MS during the 2012 calendar year.
Comorbidities were determined using ICD-9-CM codes present on medical claims.
Prescription drug use was evaluated by pharmacy claims and drug-specific billing
codes. RESULTS: 19,984 patients with MS were identified-18,269 from commercial
payers and 1,715 from Medicaid. Although total annual costs related to the care
of MS for the groups reflected a relatively small difference ($31,107 commercial;
$33,344 Medicaid), costs associated with specific service categories varied
greatly. Pharmacy costs were considerably less in the Medicaid group; however,
inpatient and emergency room costs were as much as 5 times higher. Overall use of
disease-modifying treatments (DMTs) in the Medicaid group was seen in 32.5% of
patients and 52.1% in the commercial patient group. Thus, lower pharmacy costs in
the Medicaid group were possibly related to lesser use of DMTs among that group
of patients. CONCLUSIONS: This analysis illustrates that notable variances exist
in consumption of health care resources between patients enrolled in privately
and publicly funded health care programs. These variances may have additional
implications relating to outcomes specific to MS. DISCLOSURES: Funding for this
study was contributed by Biogen. The preparation, writing, revision, and approval
of this manuscript were conducted in collaboration with Pill, who is employed by
Havas Gemini. Livingston, Fay, and Wells are employed by and own stock in Biogen.
Iyer was employed with Biogen at the time of the study. Study concept and design
were contributed by Livingston, Fay, and Iyer, along with Pill and Wells.
Livingston, Fay, and Pill collected the data, along with Iyer and Wells. Data
interpretation was performed by Livingston, Fay, and Iyer, along with Pill and
Wells. The manuscript was written by Livingston, Fay, and Wells, along with Pill
and Iyer, and revised by Fay, Wells, and Pill, along with Livingston and Iyer.
PMID- 27882832
TI - Geographic Variation in the Quality and Cost of Care for Patients with Rheumatoid
Arthritis.
AB - BACKGROUND: There is considerable push to improve value in health care by
simultaneously increasing quality while lowering or containing costs. However,
for diseases that are best treated with comparatively expensive treatments, such
as rheumatoid arthritis (RA), there could be tension between these aims. In this
study, we measured geographic variation in quality, access, and cost for patients
with RA, a disease with effective but costly specialty treatments. OBJECTIVE: To
assess the geographic differences in the quality, access, and cost of care for
patients with RA. METHODS: Using large claims databases covering the period
between 2008 and 2014, we measured quality of care metrics by metropolitan
statistical areas (MSAs) for patients with RA. Quality measures included use of
disease-modifying antirheumatic drugs (DMARDs) and tuberculosis (TB) screening
before initiating biologic DMARD therapy. Access to care measures included
measured detection and the share of patients with RA who visited a
rheumatologist. Regression models were used to control for differences in patient
demographics and health status across MSAs. RESULTS: For the 501,376 patients
diagnosed with RA, in the average MSA 64.1% of RA patients received a DMARD, and
29.6% of RA patients initiating a biologic DMARD appropriately received a TB
screening. Only 17% (73/430) of MSAs comprised the top 2 Medicare Advantage star
ratings for DMARD use. Measured detection was 0.59% (IQR = 0.47%-0.71%; CV =
0.355) on average, and 57.6% (IQR = 48%-69%; CV = 0.341) of RA patients visited a
rheumatologist. MSAs with the highest DMARD use spent $26,724 (in 2015 U.S.
dollars) annually treating patients with RA, $5,428 more (P < 0.001) than low
DMARD-use MSAs, largely because of higher pharmacy cost ($5,090 vs. $7,610, P <
0.001). However, MSAs with higher DMARD use had lower RA-related inpatient cost
($1,890 vs. $2,342, P = 0.024). CONCLUSIONS: There were significant geographic
variations in the quality of care received by patients with RA, although quality
was poor in most areas. Fewer than 1 in 5 MSAs could be considered high quality
based on patient DMARD use. Access to specialist care may be an issue, since just
over half of patients with RA visited a rheumatologist annually. Efforts to
incentivize better quality of care holds promise in terms of unlocking value for
patients, but for some diseases, this approach may result in higher costs.
DISCLOSURES: The research reported in this manuscript was supported by AbbVie
through consulting fees paid to Precision Health Economics (PHE). AbbVie and PHE
collaborated to develop the study design and protocol. AbbVie and PHE
participated in the interpretation of data, review, and approval of the
manuscript. Shafrin and Shim are employed by PHE. Ganguli and Sanchez Gonzalez
are employed by AbbVie. Seabury reports consulting fees from PHE. The results
from this study were presented in poster form at the Academy of Managed Care
Pharmacy's 2015 Annual Meeting and Expo; April 7-10, 2015; San Diego, California,
and at the Academy of Managed Care Pharmacy's 2016 Annual Meeting and Expo; April
19-22, 2016; San Francisco, California. Study concept and design were contributed
primarily by Shafrin, along with Ganguli and Seabury. Shafrin and Shim took the
lead in data collection, and data interpretation was performed by Ganguli,
Sanchez Gonzalez, Seabury, and Shafrin. The manuscript was written primarily by
Shafrin, along with Shim and Seabury, and revised primarily by Ganguli, along
with Sanchez Gonzalez and Seabury.
PMID- 27882833
TI - Evaluation of Real-World Experience with Tofacitinib Compared with Adalimumab,
Etanercept, and Abatacept in RA Patients with 1 Previous Biologic DMARD: Data
from a U.S. Administrative Claims Database.
AB - BACKGROUND: Real-world data comparing tofacitinib with biologic disease-modifying
antirheumatic drugs (bDMARDs) are limited. OBJECTIVE: To compare characteristics,
treatment patterns, and costs of patients with rheumatoid arthritis (RA)
receiving tofacitinib versus the most common bDMARDs (adalimumab [ADA],
etanercept [ETN], and abatacept [ABA]) following a single bDMARD in a U.S.
administrative claims database. METHODS: This study was a retrospective cohort
analysis of patients aged >= 18 years with an RA diagnosis (ICD-9-CM codes 714.0x
714.4x; 714.81) and 1 previous bDMARD filling >= 1 tofacitinib or bDMARD claim in
the Truven MarketScan Commercial and Medicare Supplemental claims databases
(November 1, 2012-October 31, 2014). Monotherapy was defined as absence of
conventional synthetic DMARDs within 90 days post-index. Persistence was
evaluated using a 60-day gap. Adherence was assessed using proportion of days
covered (PDC). RA-related total, pharmacy, and medical costs were evaluated in
the 12-month pre- and post-index periods. Treatment patterns and costs were
adjusted using linear models including a common set of clinically relevant
variables of interest (e.g., previous RA treatments), which were assessed
separately using t-tests and chi-squared tests. RESULTS: Overall, 392 patients
initiated tofacitinib; 178 patients initiated ADA; 118 patients initiated ETN;
and 191 patients initiated ABA. Tofacitinib patients were older versus ADA
patients (P = 0.0153) and had a lower proportion of Medicare supplemental
patients versus ABA patients (P = 0.0095). Twelve-month pre-index bDMARD use was
greater in tofacitinib patients (77.6%) versus bDMARD cohorts (47.6%-59.6%).
Tofacitinib patients had greater 12-month pre-index RA-related total costs versus
bDMARD cohorts (all P < 0.0001) and greatest index use of monotherapy (P = 0.0080
vs. ABA). A similar (all P > 0.10) proportion of patients were persistent with
tofacitinib (42.6%) versus ADA (37.6%), ETN (42.4%), and ABA (43.5%). Mean PDC
was 0.55 for tofacitinib versus 0.57 (ADA), 0.59 (ETN), and 0.44 (ABA; P =
0.0003). Adjusted analyses generated similar findings to the unadjusted treatment
patterns. Tofacitinib had lower adjusted 12-month post-index mean RA-related
total costs ($23,568) versus ADA ($29,278; P < 0.0001), ETN ($26,885; P =
0.0248), and ABA ($30,477; P < 0.0001). CONCLUSIONS: In this study, tofacitinib
was more commonly used as monotherapy and yielded at least comparable persistence
and adherence with lower adjusted mean RA-related total costs versus ADA, ETN,
and ABA. Further analysis is warranted given the greater 12-month pre-index
bDMARD use and RA-related costs for tofacitinib versus bDMARDs. DISCLOSURES: This
study was sponsored by Pfizer. Harnett, Gerber, Gruben, Koenig, and Chen are
employees and shareholders of Pfizer. Some data reported in this manuscript have
been previously presented at the Academy of Managed Care Nexus 2015; Orlando,
Florida; October 26-29, 2015, and was submitted in abstract form to the European
League Against Rheumatism Congress; London, United Kingdom; June 8-11, 2016. All
authors were involved in the conception and design of this study. Harnett and
Gruben were involved in data collection and analysis. All authors interpreted the
data, critically reviewed and revised the manuscript, and read and approved the
final manuscript.
PMID- 27882834
TI - AMCP Partnership Forum: Navigating Innovations in Diabetes Care.
AB - : New developments that provide opportunities to enhance cost-effective diabetes
care include advances in the pharmacologic treatment of diabetes, new drug
delivery devices, innovations in patient management strategies, contracting
strategies that incentivize effective interventions, and mobile health
technologies. Payers must carefully consider the utility of these advances when
making coverage decisions and designing benefits. To engage national stakeholders
in a discussion about how to utilize innovations in diabetes care to optimize
patient outcomes, the Academy of Managed Care Pharmacy organized the Partnership
Forum on Navigating Innovations in Diabetes Care in Arlington, Virginia, on July
19-20, 2016. The forum explored current trends and advances in diabetes
treatments and engaged in discussions about how organizations can leverage these
emerging options to develop strategies that improve coordination of care and
patient outcomes, while managing limited health resources. Additionally,
stakeholders were tasked with identifying gaps in evidence that hinder decision
making around novel therapies and other advances that are of direct relevance to
managed care organizations. DISCLOSURES: The AMCP Partnership Forum on Navigating
Innovations in Diabetes Care and the development of this proceedings document
were supported by Boehringer Ingelheim, Lilly, Dexcom, Insulet Corporation,
Intarcia Therapeutics, and Merck.
PMID- 27882835
TI - Health Care Costs for Patients with Heart Failure Escalate Nearly 3-Fold in Final
Months of Life.
AB - BACKGROUND: Heart failure (HF) is a severe chronic disease with growing
prevalence and health care burden as well as high mortality. End-of-life cost
data for patients with HF may inform disease and medication therapy management.
OBJECTIVES: To (a) characterize a real-world sample of patients with HF who died;
(b) estimate health care costs for 6 months and semiannually for 24 months,
before death; and (c) examine associations between patient characteristics and
predeath health care costs. METHODS: This was a retrospective study of commercial
and Medicare Advantage with Part D (MAPD) enrollees (aged >= 18 years), using
data from a large national health plan. Included patients had evidence of HF
during January 1, 2009-December 31, 2013, based on >= 1 inpatient hospitalization
or >= 2 noninpatient encounters with diagnosis code for HF and evidence of
mortality during July 1, 2009-December 31, 2013. Demographic data, comorbidities,
guideline-directed HF-related outpatient pharmacotherapy (HFRx), and predeath
health care costs (all-cause and HF-related) were described. A generalized linear
model examined associations between all-cause health care costs (months 6 and 1
previous to death) and specific patient characteristics. RESULTS: Of 48,026
identified patients, mean age was 77.9 years; 52.8% were female; 93.0% were MAPD
enrolled; 92.5% had Quan-Charlson comor-bidity score >= 3; and about one quarter
(26.0%) had no evidence of HFRx. Over the last 6 months of life, monthly all
cause total cost increased 3.2-fold for MAPD enrollees and 2.8-fold for
commercial enrollees, although pharmacy cost decreased slightly (0.8-fold for
both plan types). Cumulative 6-month all-cause medical cost was $37,186 for MAPD
enrollees and $143,363 for commercial enrollees (68.8% and 73.2% due to
hospitalization, respectively), and cumulative HF-related medical cost was
$20,794 for MAPD enrollees and $78,440 for commercial enrollees (88.8% and 95.3%
due to hospitaliza-tion, respectively). Over the last 24 months, semiannual all
cause total cost increased 3.2-fold for MAPD enrollees and 4.5-fold for
commercial enroll-ees, although pharmacy cost increased only slightly (1.1-fold
and 1.3-fold, respectively). Based on multivariable analysis, factors associated
with higher risk of incurring a cost increase between month 6 and month 1 before
death included older age (75-84 years: cost ratio [CR] = 1.33, P < 0.001; 226585
years: CR = 1.43, P < 0.001), comorbid coronary heart disease (CR = 1.12, P =
0.003), and no evidence of HFRx (CR = 1.48, P < 0.001). CONCLUSIONS: Patients
with HF experienced >= 2.8-fold increase in monthly all-cause total cost over the
last 6 months of life, which was driven by hospitalization. Although MAPD
enrollees incurred greater cost increases, cumulative costs were higher for
commercial enrollees. After multivariable adjustment, older age, comorbid
coronary heart disease, and no evidence of HFRx were among factors associated
with higher risk of cost increase over the last 6 months of life. Study findings
provide predeath cost information that should be useful in value assessments of
innovative HF interventions and highlight impact of HFRx on predeath health care
costs.
PMID- 27882836
TI - Comparison of Pharmacist and Physician Managed Annual Medicare Wellness Services.
AB - BACKGROUND: Medicare Annual Wellness Visits (AWV) are a benefit provided for
Medicare beneficiaries to increase focus on wellness and preventive measures.
Pharmacists can conduct AWVs, which offers a potential avenue for outpatient
revenue generation. PROGRAM DESCRIPTION: To compare a composite of interventions
and screenings and revenue generated by a pharmacist with those made by a
physician during a subsequent AWV. A report generated through the electronic
health record was used to determine AWVs conducted by a pharmacist or 3
participating physicians from December 2013 to March 2016, including revenue
generated. Through electronic chart review, documentation was accessed to
quantify and categorize the number and types of referrals, health advice,
laboratory tests, procedures, vaccinations, and screenings that were recommended
during each patient's AWV. OBSERVATIONS: The pharmacist performed 19 subsequent
visits, and the 3 physicians performed 89 subsequent visits. Overall, the
composite of interventions and screenings was significantly higher in the
pharmacist group than the physician group (P = 0.03). More interventions were
made in the areas of health advice (P = 0.020), vaccine recommendations (P =
0.009), and screenings in the pharmacist group (P < 0.001). The physicians
ordered significantly more laboratory tests per visit (P < 0.001). The pharmacist
was reimbursed on average $105 per visit versus $99 per visit for the physicians.
IMPLICATIONS: Pharmacist-provided AWVs are at least comparable to those provided
by physicians and offer an additional access point for valuable services for
Medicare beneficiaries. DISCLOSURES: There was no financial contribution to this
study. Riche reports participation in the Speaker's Bureau for Merck and the
Speaker's Bureau and Advisory Board for Novo Nordisk. The authors have no other
conflicts of interest to report pertinent to this research. This data has not
been previously published in any other location. Richie, Sewell, Malinowski,
Jackson, and Fleming were involved in study design and manuscript
preparation/approval. Jackson was involved in data collection, and Richie and
Sewell were involved in data collection and data analysis. Sewell and Richie had
full access to all the data in the study and take responsibility for the
integrity of the data and the accuracy of the data analysis.
PMID- 27882837
TI - Determining Multiple Sclerosis Phenotype from Electronic Medical Records.
AB - BACKGROUND: Multiple sclerosis (MS), a central nervous system disease in which
nerve signals are disrupted by scarring and demyelination, is classified into
phenotypes depending on the patterns of cognitive or physical impairment
progression: relapsing-remitting MS (RRMS), primary-progressive MS (PPMS),
secondary-progressive MS (SPMS), or progressive-relapsing MS (PRMS). The
phenotype is important in managing the disease and determining appropriate
treatment. The ICD-9-CM code 340.0 is uninformative about MS phenotype, which
increases the difficulty of studying the effects of phenotype on disease.
OBJECTIVE: To identify MS phenotype using natural language processing (NLP)
techniques on progress notes and other clinical text in the electronic medical
record (EMR). METHODS: Patients with at least 2 ICD-9-CM codes for MS (340.0)
from 1999 through 2010 were identified from nationwide EMR data in the Department
of Veterans Affairs. Clinical experts were interviewed for possible keywords and
phrases denoting MS phenotype in order to develop a data dictionary for NLP. For
each patient, NLP was used to search EMR clinical notes, since the first MS
diagnosis date for these keywords and phrases. Presence of phenotype-related
keywords and phrases were analyzed in context to remove mentions that were
negated (e.g., "not relapsing-remitting") or unrelated to MS (e.g., "RR" meaning
"respiratory rate"). One thousand mentions of MS phenotype were validated, and
all records of 150 patients were reviewed for missed mentions. RESULTS: There
were 7,756 MS patients identified by ICD-9-CM code 340.0. MS phenotype was
identified for 2,854 (36.8%) patients, with 1,836 (64.3%) of those having just 1
phenotype mentioned in their EMR clinical notes: 1,118 (39.2%) RRMS, 325 (11.4%)
PPMS, 374 (13.1%) SPMS, and 19 (0.7%) PRMS. A total of 747 patients (26.2%) had 2
phenotypes, the most common being 459 patients (16.1%) with RRMS and SPMS. A
total of 213 patients (7.5%) had 3 phenotypes, and 58 patients (2.0%) had 4
phenotypes mentioned in their EMR clinical notes. Positive predictive value of
phenotype identification was 93.8% with sensitivity of 94.0%. CONCLUSIONS:
Phenotype was documented for slightly more than one third of MS patients, an
important but disappointing finding that sets a limit on studying the effects of
phenotype on MS in general. However, for cases where the phenotype was
documented, NLP accurately identified the phenotypes. Having multiple phenotypes
documented is consistent with disease progression. The most common
misidentification was because of ambiguity while clinicians were trying to
determine phenotype. This study brings attention to the need for care providers
to document MS phenotype more consistently and provides a solution for capturing
phenotype from clinical text. DISCLOSURES: This study was funded by Anolinx and
F. Hoffman-La Roche. Nelson serves as a consultant for Anolinx. Kamauu is owner
of Anolinx, which has received multiple research grants from pharmaceutical and
biotechnology companies. LaFleur has received a Novartis grant for ongoing work.
The views expressed in this article are those of the authors and do not
necessarily reflect the position or policy of the Department of Veterans Affairs
or the U.S. government. Study concept and design were contributed by Butler,
LaFleur, Kamauu, DuVall, and Nelson. DuVall collected the data, and
interpretation was performed by Nelson, DuVall, and Kamauu, along with Butler,
LaFleur, and Knippenberg. The manuscript was written primarily by Nelson, along
with Knippenberg and assisted by the other authors, and revised by Knippenberg,
Nelson, and DuVall, along with the other authors.
PMID- 27882838
TI - A Pragmatic Randomized Trial Comparing Telephone-Based Enhanced Pharmacy Care and
Usual Care to Support Smoking Cessation.
AB - BACKGROUND: Smoking is the leading preventable cause of death, and tobacco
control professionals continue to make progress in cessation efforts. Pharmacists
can assist smokers seeking to quit by offering counseling on smoking cessation
pharmacotherapies. Pragmatic randomized trials are useful for investigating
practical questions about an intervention's risks, benefits, and costs in routine
clinical practice. OBJECTIVE: To evaluate an enhanced pharmacy care (EPC) program
involving personalized pharmacist-provided telephone counseling for supporting
prescription smoking cessation medications compared with usual care (UC).
METHODS: Cigarette smokers filling a newly prescribed smoking cessation
pharmacotherapy and with pharmacy benefits managed by Express Scripts were
recruited. Qualified subjects were randomized 1:1 to EPC and UC. Subjects in EPC
received 3 telephone-counseling sessions from specialist pharmacists during the
early course of the study, while subjects in UC did not receive any counseling
sessions. Study outcomes were collected through telephone contact and using the
Express Scripts prescription database. The primary outcome assessed the 1-week
point prevalence (PP) of smoking abstinence at the end of the trial (week 12).
Secondary outcomes included 4-week PP at week 12 and adherence, evaluated by
proportion of days covered (PDC), to prescribed smoking cessation
pharmacotherapies. RESULTS: There were 1,017 randomized subjects. Among them,
1,002 subjects were included in the analysis, and 513 were randomized into EPC
and 489 into UC. Baseline demographics, smoking history, and prescribed smoking
cessation pharmacotherapies were comparable. Varenicline and nicotine replacement
therapy (NRT) were most frequently prescribed for smoking cessation. In EPC,
46.0% received all 3 counseling sessions; 29.4% received 2 sessions; and 14.6%
received 1 session. Overall, 353 subjects in EPC and 383 subjects in UC completed
the week 12 assessment. In the analysis for 1-week PP of smoking abstinence at
week 12, the percentage of abstainers in EPC was numerically higher than in UC
(42.3% vs. 38.2%) with OR = 1.24, 95% CI = 0.96-1.61. It was not statistically
significant. Adherence to prescription smoking cessation medication was
significantly higher in EPC versus UC (49.7% vs. 45.6%; P = 0.033). CONCLUSIONS:
This study evaluated whether a telephone-based pharmacy care program, provided by
pharmacists and designed to support attempted quitters, improved quitting and
increased adherence over usual care. The findings suggest that an enhanced
program may benefit smokers by increasing prescription smoking cessation
medication adherence. Future research should explore this program's effect on
smokers who are compliant, based on insights on quitting provided by the post hoc
analyses and limitations of the current study design. DISCLOSURES: This study was
sponsored by Pfizer. Gong, Baker, Zou, Bruno, Jumadilova, and Lawrence are
employees and stockholders of Pfizer. Wilson and Ewel are employees of United
BioSource Corporation, which received funding from Pfizer for conducting this
study and for the development of this manuscript. Study concept and design were
contributed by Gong, Bruno, and Ewel, with assistance from Jumadilova, Lawrence,
and Zou. Gong, Jumadilova, Lawrence, and Ewel collected the data. Data
interpretation was performed by Baker, Zou, and Wilson, assisted by Gong,
Lawrence, and Ewel. The manuscript was written by Baker, Ewel, and Gong, with
assistance from the other authors, and revised by Baker, Wilson, Zou, and Gong,
with assistance from Bruno and Jumadilova.
PMID- 27882839
TI - Real-World Drug Costs of Treating Hepatitis C Genotypes 1-4 with Direct-Acting
Antivirals: Initiating Treatment at Fibrosis 0-2 and 3-4.
AB - BACKGROUND: Direct-acting antivirals (DAA) for the treatment of hepatitis C virus
(HCV) have drastically improved outcomes but are also very costly. For this
reason, priority for treatment is often given to patients with a higher fibrosis
score at baseline by payers and providers rather than treating all eligible
patients. Simulation studies have suggested that waiting to treat patients until
fibrosis 3-4 may be more costly and result in worse outcomes; however, real-world
implications are unknown. OBJECTIVE: To determine drug costs and outcomes for
treating hepatitis C in patients with fibrosis scores of 0-2 and 3-4 at baseline
in a real-world ambulatory care setting. METHODS: A total of 322 patients at 36
clinical sites in Massachusetts with HCV genotype 1-4 and a prescription for at
least 1 DAA medication between May 2011 and October 2015 were included.
Retrospective and prospective chart reviews were completed by the primary
investigator. Data were collected through April 2016. The primary outcome for the
study was to determine the mean drug cost per sustained virologic response (SVR)
achieved for patients with fibrosis scores of 0-2 and 3-4. Drug costs were
calculated using average wholesale price and only included the cost of HCV
medications, not for adjunctive medications, blood work, hospitalizations,
anticipated complications, or any other projected medical costs. RESULTS: The
mean +/- SD (median) drug cost per patient was $130,391 +/- 46,787 (113,400) and
completed treatment duration was 15.0 +/- 8.9 (12) weeks. The mean drug cost per
SVR was $155,662 for all patients with a mean drug cost per SVR of $122,452 and
$178,401 for patients with fibrosis scores of 0-2 and 3-4, respectively. SVR
rates were 83.5% (269/322) for all patients and 92.2% (107/116) and 78.6%
(162/206) for patients with fibrosis scores of 0-2 and 3-4, respectively.
Ledipasvir/ sofosbuvir; sofosbuvir + ribavirin; ledipasvir/sofosbuvir +
ribavirin; sofos-buvir + interferon + ribavirin; boceprevir + interferon +
ribavirin; sofosbu-vir + simeprevir; and telaprevir + interferon + ribavirin had
a mean drug cost per SVR of $123,559; $153,347; $157,969; $184,800; $248,640;
$251,550; and $373,333; respectively. CONCLUSIONS: Real-world knowledge about
outcomes and drug costs may influence future decisions. Further studies are
needed to evaluate emerging treatment options and to reflect changes in treatment
guidelines. DISCLOSURES: No outside funding supported this study. The authors
report no conflicts of interest. Data in this study were presented as a poster at
the ASHP Midyear Clinical Meeting; New Orleans, Louisiana; December 9, 2015; at
the Massachusetts Society of Health-System Pharmacists Annual Meeting; Newton,
Massachusetts; April 12, 2016; and at Eastern States Conference for Pharmacy
Residents and Preceptors; Hershey, Pennsylvania; May 2, 2016. Study concept and
design was primarily contributed by Bach, along with Zaiken. Bach took the lead
in data collection, data interpretation, and preparation of the manuscript, along
with Zaiken.
PMID- 27882840
TI - A Comparison of Health Care Resource Utilization and Costs for Patients with
Allergic Rhinitis on Single-Product or Free-Combination Therapy of Intranasal
Steroids and Intranasal Antihistamines.
AB - BACKGROUND: Allergic rhinitis (AR) is a common condition that can be treated with
a number of different therapies. Treatments such as intranasal antihistamines
(INAs) and intranasal steroids (INSs) are widely used by AR patients. For some
allergy sufferers, a combination of therapies, specifically an INA and an INS, is
required to address their symptoms. A new treatment, the formulation of
azelastine hydrochloride and fluticasone pro-pionate used as a single spray (MP
AzeFlu), has become available for AR patients who need both types of treatment.
In this regard, the comparison with the alternative concomitant use of INAs and
INSs is of interest. The current study examines the health care resource
utilization and costs for each cohort. OBJECTIVE: To examine the resource
utilization and costs associated with AR for patients treated with MP-AzeFlu or
concurrent therapy with single-ingredient INA and INS sprays (free-combination
therapy). METHODS: A retrospective administrative claims study for commercially
insured patients from a large U.S. health plan was performed. Patients with an AR
diagnosis and a prescription claim for MP-AzeFlu or free-combination therapy
between September 1, 2012, and September 30, 2013, were identified. Patients were
aged at least 12 years at index date (first prescription fill for intranasal
therapy) and were required to have 12 months pre-index and 6 months post-index of
continuous enrollment. Health care resource utilization and costs were assessed
for the post-index period. The cohorts were adjusted on baseline demographic and
clinical characteristics using inverse propensity treatment weights. Other
covariates, prescriber specialty, product switching during the post-index period,
and pre-index total costs were included in the regression models measuring
outcomes. One clinical characteristic of interest was the presence of asthma as
comorbidity. A subset analysis of AR patients with asthma was also performed.
RESULTS: All-cause-related pharmacy fills as well as pharmacy, medical, and total
costs were significantly reduced by using MP-AzeFlu (N = 810) instead of the free
combination of drugs (N = 726). For AR-related health care resource utilization,
the MP-AzeFlu cohort had significantly fewer pharmacy fills than the free
combination cohort (1.01 and 1.17, respectively; P < 0.001) with no significant
difference in outpatient services and specialist visits (P = 0.139 and P = 0.117,
respectively). Six-month AR-related pharmacy and total costs were significantly
lower (P < 0.001 and P = 0.001) for the MP-AzeFlu cohort ($128 and $334,
respectively) than the free-combination cohort ($268 and $458, respectively).
There was no statistically significant difference in AR-related medical costs
between the 2 cohorts (P = 0.454). For the subcohort of AR patients with asthma,
the MP-AzeFlu cohort had lower 6-month asthma resource utilization and costs than
the free-combination cohort. CONCLUSIONS: These findings suggest that, for AR
patients needing INAs and INSs, the single-spray formulation MP-AzeFlu had better
economic outcomes than for patients who rely on the free combination of these
agents. MP-AzeFlu also appears to keep asthma-related utilization and costs down
for those AR patients who also suffer from asthma. Potential explanations for
these findings are explored. DISCLOSURES: This study was funded by Meda
Pharmaceuticals. Authors were either employed by Meda Pharmaceuticals or received
consulting fees from Meda Pharmaceuticals. Comprehensive Health Insights and
Sedaghat received funding from Meda Pharmaceuticals as a consultant to
participate in this study. Dufour and Caldwell-Tarr are employees of
Comprehensive Health Insights. Harrow is currently employed by TESARO. This study
was conceived by Harrow, Dufour, and Caldwell-Tarr. All authors contributed to
the design of the study. Dufour took the lead in data collection, along with
Caldwell-Tarr, and data interpretion was performed by Harrow, along with the
other authors. Analyses were performed by Dufour. The manuscript was written and
revised by all authors.
PMID- 27882841
TI - Association of a Controlled Substance Scoring Algorithm with Health Care Costs
and Hospitalizations: A Cohort Study.
AB - BACKGROUND: Patients often misuse a combination of prescription drugs including
opioids; however, the relationship between a controlled substance (CS) score and
health outcomes is unknown. OBJECTIVE: To examine the association between a CS
scoring algorithm and health care use, specifically total cost of care,
hospitalizations, and emergency room (ER) visits. METHODS: This analysis was a
retrospective cohort study using administrative claims data from a large U.S.
health insurer. Included in the analysis were 999,852 members with a minimum CS
score of 2.5 in the fourth quarter (4Q) of 2012, who were continuously enrolled
from January 1, 2012, to December 31, 2013, and who were aged 18 years or older.
A CS score was calculated using 4Q 2012 (3 months) prescription claims data and
divided into 3 components: (1) number of CS claims, (2) number of unique
pharmacies and unique prescribers, and (3) evidence of increasing CS use. The
primary outcomes were total cost of care (pharmacy and medical costs), all-cause
hospitalizations, and ER visits in 2013. We also quantified what a 1-point change
in CS score meant for the primary outcomes. RESULTS: 47% of members had a CS
score of 2.5, indicating a single CS claim, and 51% of members had a score
between 3 and less than 12. The remaining 2% (20,858 members) had a score of 12
or more. There was a statistically significant and consistently increasing
association between the 4Q 2012 CS score and hospitalizations, ER visits, and
total costs of care in 2013. A 1-point change in CS score was associated with a
$1,488 change in total cost of care, 0.9% change in the hospitalization rate, and
1.5% change in the ER visit rate. CONCLUSIONS: There is a linear association
between increasing CS score and negative health outcomes. Insurers should
consider interventions to lower member CS scores. DISCLOSURES: This study was
funded internally by Prime Therapeutics. Starner, Qiu, and Gleason are employees
of Prime Therapeutics, a pharmacy benefits management company. Karaca-Mandic is
an employee of the University of Minnesota and did not receive any compensation
related to this work. The results of this study were presented as a poster at the
Academy of Managed Care Pharmacy's 27th Annual Meeting and Expo; San Diego,
California; April 7-10, 2015. Study concept and design were contributed by
Starner, Gleason, and Qiu. Qiu took the lead in data collection, assisted by
Starner and Gleason. Data interpretation was performed by all the authors.
Starner primarily wrote and revised the manuscript, along with the other authors.
PMID- 27882842
TI - Activating concepts by activating experiential traces: investigations with a
series of anagram solution tasks.
AB - According to the experiential-simulations view of language, words automatically
activate experiential traces that stem from the reader's interactions with their
referents. Here, we focus on the corresponding influence in the opposite
direction. By means of an anagram-solving task we investigated whether activating
spatial experiential traces would activate the corresponding concepts, which in
turn facilitates access to associated words. Participants solved anagrams of
nouns associated with the ocean or the sky (e.g. dolphin = " dplhion" or cloud =
" cdulo"). In six experiments we provided additional context information such as
positional information (presenting the anagram at the top or the bottom of the
screen), or pictorial information that either matched the ocean and sky theme or
not, or both positional and pictorial information. Anagrams were solved faster
when the position of the anagram was congruent with the location of the noun's
referent in the real world, but only when presented on the background of an ocean
sky picture. Thus, activating experiential traces indeed seems to activate
related concepts but positional information alone is not enough to find
facilitation in an anagram solving task. Rather what is needed is a whole set of
traces that sufficiently narrow down the number of related concepts.
PMID- 27882843
TI - Somatic symptom disorder treated with electroconvulsive therapy.
AB - Somatic symptom disorder (SSD) is a challenging condition to treat with chronic
pain, a common and disabling symptom. We present a patient who received
electroconvulsive therapy (ECT) for SSD with significant improvement in pain and
gastrointestinal symptoms. We also present a brief literature review of similar
cases treated with ECT. Preliminary evidence suggests that ECT should be
considered for treatment of SSD comorbid with major depressive disorder, when
standard treatments fail. Further research is needed to clarify whether ECT can
be used for SSD without associated depression.
PMID- 27882844
TI - Effect of glycosylation on hydration behavior at the ice-binding surface of the
Ocean Pout type III antifreeze protein: a molecular dynamics simulation.
AB - Antifreeze proteins (AFPs), found in certain vertebrates, plants, fungi and
bacteria have the ability to permit their survival in subzero environments by
thermal hysteresis mechanism. However, the exact mechanism of ice growth
inhibition is still not clearly understood. Here, four long explicit molecular
dynamics (MD) simulations have been carried out at two different temperatures
(277 and 298 K) with and without glycan to study the conformational rigidity of
the Ocean pout type III antifreeze protein in aqueous medium and the structural
arrangements of water molecules hydrating its ice-binding surface. It is found
that irrespective of the temperature the ice-binding surface (IBS) of the protein
is relatively more rigid than its non ice-binding surface (NonIBS) in its native
and glycosylated form. Hydrophilic residues N14, T18 and Q44 are essential to
antifreeze activity. Radial distribution, density distribution function and
nearest neighbor orientation plots with respect to individual two surfaces
confirm that density of water molecule near these binding surface in native and
glycosylated form are relatively more than the nonbinding surface. The
glycosylated form shows a strong peak than the native one. From rotational auto
correlation function of water molecules around ice-binding sites, it is prominent
that with increase in temperature, strong interaction between the water oxygen
and the hydrogen bond acceptor group on the protein-binding surface decreases.
This provides a possible molecular reason behind the ice-binding activity of
ocean pout at the prism plane of ice.
PMID- 27882846
TI - The Partington Prize 2017.
PMID- 27882845
TI - The Englishing of Medieval Alchemy.
PMID- 27882855
TI - No evidence for age-related differences in item-method directed forgetting of
emotional words.
AB - Research indicates that people can intentionally forget, but it is less clear how
ageing and emotion interact with this ability. The present research investigated
item-method directed forgetting of negative, neutral, and positive words in young
(20-35 years), young-old (60-74 years), and old-old (75-89 years) adults.
Although old-old adults showed overall reduced memory compared to young and young
old adults, all three age groups showed intentional forgetting. Moreover,
intentional forgetting was not affected by the valence of the word in any of the
three age groups. These findings suggest that younger and older adults can
intentionally forget information that is neutral or emotional in nature. The
present study's results extend previous research by showing that this ability is
preserved in very old age.
PMID- 27882856
TI - Validation of semantic illusions independent of anomaly detection: evidence from
eye movements.
AB - Several theorists have argued that readers fail to detect semantic anomalies
during reading, and that these effects are indicative of "shallow processing"
behaviours. Previous studies of semantic anomalies such as the Moses illusion
have focused primarily on explicit detection tasks. In the present study, we
examined participants' eye movements as they read true/false statements that were
non-anomalous, or contained a semantic anomaly that was either high- or low
related to the correct information. Analyses of reading behaviours revealed that
only low-related detected anomalies resulted in initial processing difficulty,
but both detected and undetected anomalies, regardless of whether they were high-
or low-related, resulted in delayed processing difficulty. The results extend
previous findings on semantic anomalies and are discussed in terms of the RI-Val
model of text processing.
PMID- 27882857
TI - Learning from observation, feedback, and intervention in linear and non-linear
task environments.
AB - This multiple-cue judgment study investigates whether we can manipulate the
judgment strategy and increase accuracy in linear and non-linear cue-criterion
environments just by changing the training mode. Three experiments show that
accuracy in simple linear additive task environments are improved with feedback
training and intervention training, while accuracy in complex multiplicative
tasks are improved with observational training. The observed interaction effect
suggests that the training mode invites different strategies that are adjusted as
a function of experience to the demands from the underlying cue-criterion
structure. Thus, feedback and the intervention training modes invite cue
abstraction, an effortful but successful strategy in combination with simple
linear task structures, and observational training invites exemplar memory
processes, a simple but successful strategy in combination with complex non
linear task structures. The study discusses adaptive cognition and the
implication of the different training modes across a life span and for clinical
populations.
PMID- 27882858
TI - Longitudinal associations of parental and peer influences with physical activity
during adolescence: findings from the COMPASS study.
AB - INTRODUCTION: To examine temporal variations in parental and peer influences on
adolescent physical activity (PA) and whether these variations predicted changes
in PA. METHODS: We analyzed data from Years 1, 2 and 3 of the COMPASS study.
Participants were 22 909 students in Grades 9 to 12 (mean age [years] = 15.42 +/-
1.12, 46% boys, 85% White), who had completed the following survey items on 2 or
more consecutive occasions: age, sex, grade, race/ethnicity, moderate-to-vigorous
physical activity (MVPA), parental encouragement and parental instrumental
support for PA, and number of active peers. We used a linear-mixed model to
investigate longitudinal effects of parental and peer influences on changes in
square-root transformed average MVPA. We used a generalized-estimating-equations
(GEE) model to investigate compliance with Canadian PA guidelines for youth.
These models included parental encouragement, instrumental support and number of
active peers as time-varying predictors, adjusting for sociodemog raphic factors
and grade as covariates, and accounting for the clustering within children and
schools. RESULTS: We found that adolescents perceived significantly less parental
encouragement and instrumental support and reported fewer active peers as they
got older. In addition, the adjusted models suggest that, for a one-unit increase
in the score of parental encouragement, parental instrumental support and number
of active peers, average MVPA significantly increased by 0.22 units, 0.23 units
and 0.16 units, respectively. For the same one-unit increase, adjusted odds of an
adolescent complying with the PA guidelines increased by 9%, 4% and 6%,
respectively. CONCLUSION: Promoting parental support and facilitating the
formation and maintenance of a physically active friendship network may play an
important role in attenuating declines in PA during adolescence.
PMID- 27882860
TI - Is child sexual abuse declining in Canada? Results from nationally representative
retrospective surveys.
AB - INTRODUCTION: Numerous data sources suggest a decline in child sexual abuse (CSA)
in the United States since the early 1990s. Some evidence also indicates that an
earlier period of higher CSA incidence began following World War II. This study
examines prevalence estimates of sexual abuse reported retrospectively as having
occurred in childhood (ChSA) in two nationally representative surveys of the
Canadian population. METHODS: Data are from 13 931 respondents aged 18 to 76
years from the 2004/2005 Canadian Gender, Alcohol, and Culture: An International
Study (GENACIS), and from 22 169 household residents aged 18 years or older who
participated in the 2012 Canadian Community Health Survey-Mental Health (CCHS
MH). We present inter- and intrasurvey comparisons of ChSA prevalence specific to
sex and age groups. RESULTS: Findings from both surveys suggest a decline in CSA
since 1993, consistent with declines observed in the United States. Results also
suggest that 1946 to 1992 was a period of higher risk of CSA, relative to the
period before 1946. The evidence was more robust for women. CONCLUSION: Evidence
of a decline in CSA in Canada since the early 1990s is encouraging, given the
long-term debilitating effects with which it is associated. Continued monitoring
is critical. The long-term negative effects associated with CSA underscore the
importance of continuing to move from lower risk to zero risk.
PMID- 27882861
TI - Status report - Public Health 2016: time for a cultural shift in the field of
public health.
AB - Public Health 2016, the Canadian Public Health Association's annual conference,
was held from June 13 to 16, 2016, in Toronto, Canada, and showcased a wide
variety of public health issues that fostered considerable discussion at the
conference and on social media. The four plenary sessions, while on seemingly
disparate topics including technology, violence prevention, racism and harm
reduction, all revealed the need for a cultural shift in the field of public
health that acknowledges and addresses the broader inequities that influence the
health and well-being of populations. They also highlighted some of the key
challenges that society faces in achieving the UN Sustainable Development Goals
released in 2015.
PMID- 27882859
TI - Suicide and self-inflicted injury hospitalizations in Canada (1979 to 2014/15).
AB - INTRODUCTION: The purpose of this paper is to describe the trends and patterns of
self-inflicted injuries, available from Canadian administrative data between 1979
and 2014/15, in order to inform and improve suicide prevention efforts. METHODS:
Suicide mortality and hospital separation data were retrieved from the Public
Health Agency of Canada (PHAC) holdings of Statistics Canada's Canadian Vital
Statistics: Death Database (CVS:D) (1979 to 2012); Canadian Socio-Economic
Information Management System (CANSIM 2011, 2012); the Hospital Morbidity
Database (HMDB) (1994/95 to 2010/11); and the Discharge Abstract Database
(2011/12 to 2014/15). Mortality and hospitalization counts and rates were
reported by sex, 5-year age groups and method. RESULTS: The Canadian suicide rate
(males and females combined, all ages, age-sex standardized rate) has decreased
from 14.4/100 000 (n = 3355) in 1979 to 10.4/100 000 (n = 3926) in 2012, with an
annual percent change (APC) of -1.2% (95% CI: -1.3 to -1.0). However, this trend
was not observed in both sexes: female suicide rates stabilized around 1990,
while male rates continued declining over time-yet males still accounted for
75.7% of all suicides in 2012. Suffocation (hanging and strangulation) was the
primary method of suicide (46.9%) among Canadians of all ages in 2012, followed
by poisoning at 23.3%. In the 2014/15 fiscal year, there were 13 438
hospitalizations in Canada (excluding Quebec) associated with self-inflicted
injuries-over 3 times the number of suicides. Over time females have displayed
consistently higher rates of hospitalization for self-inflicted injury than
males, with 63% of the total. Poisoning was reported as the most frequent means
of self-inflicted harm in the fiscal year 2014/15, at 86% of all
hospitalizations. CONCLUSION: Suicides and self-inflicted injuries continue to be
a serious - but preventable - public health problem that requires ongoing
surveillance.
PMID- 27882862
TI - Milk and dairy products: good or bad for human health? An assessment of the
totality of scientific evidence.
AB - BACKGROUND: There is scepticism about health effects of dairy products in the
public, which is reflected in an increasing intake of plant-based drinks, for
example, from soy, rice, almond, or oat. OBJECTIVE: This review aimed to assess
the scientific evidence mainly from meta-analyses of observational studies and
randomised controlled trials, on dairy intake and risk of obesity, type 2
diabetes, cardiovascular disease, osteoporosis, cancer, and all-cause mortality.
RESULTS: The most recent evidence suggested that intake of milk and dairy
products was associated with reduced risk of childhood obesity. In adults, intake
of dairy products was shown to improve body composition and facilitate weight
loss during energy restriction. In addition, intake of milk and dairy products
was associated with a neutral or reduced risk of type 2 diabetes and a reduced
risk of cardiovascular disease, particularly stroke. Furthermore, the evidence
suggested a beneficial effect of milk and dairy intake on bone mineral density
but no association with risk of bone fracture. Among cancers, milk and dairy
intake was inversely associated with colorectal cancer, bladder cancer, gastric
cancer, and breast cancer, and not associated with risk of pancreatic cancer,
ovarian cancer, or lung cancer, while the evidence for prostate cancer risk was
inconsistent. Finally, consumption of milk and dairy products was not associated
with all-cause mortality. Calcium-fortified plant-based drinks have been included
as an alternative to dairy products in the nutrition recommendations in several
countries. However, nutritionally, cow's milk and plant-based drinks are
completely different foods, and an evidence-based conclusion on the health value
of the plant-based drinks requires more studies in humans. CONCLUSION: The
totality of available scientific evidence supports that intake of milk and dairy
products contribute to meet nutrient recommendations, and may protect against the
most prevalent chronic diseases, whereas very few adverse effects have been
reported.
PMID- 27882863
TI - Porphyromonas gingivalis suppresses adaptive immunity in periodontitis,
atherosclerosis, and Alzheimer's disease.
AB - Porphyromonas gingivalis, a keystone pathogen in chronic periodontitis, has been
found to associate with remote body organ inflammatory pathologies, including
atherosclerosis and Alzheimer's disease (AD). Although P. gingivalis has a
plethora of virulence factors, much of its pathogenicity is surprisingly related
to the overall immunosuppression of the host. This review focuses on P.
gingivalis aiding suppression of the host's adaptive immune system involving
manipulation of cellular immunological responses, specifically T cells and B
cells in periodontitis and related conditions. In periodontitis, this bacterium
inhibits the synthesis of IL-2 and increases humoral responses. This reduces the
inflammatory responses related to T- and B-cell activation, and subsequent IFN
gamma secretion by a subset of T cells. The T cells further suppress upregulation
of programmed cell death-1 (PD-1)-receptor on CD+cells and its ligand PD-L1 on
CD11b+-subset of T cells. IL-2 downregulates genes regulated by immune response
and induces a cytokine pattern in which the Th17 lineage is favored, thereby
modulating the Th17/T-regulatory cell (Treg) imbalance. The suppression of IFN
gamma-stimulated release of interferon-inducible protein-10 (IP-10) chemokine
ligands [ITAC (CXCL11) and Mig (CXCL9)] by P. gingivalis capsular serotypes
triggers distinct T cell responses and contributes to local immune evasion by
release of its outer membrane vesicles. In atherosclerosis, P. gingivalis reduces
Tregs, transforms growth factor beta-1 (TGFbeta-1), and causes imbalance in the
Th17 lineage of the Treg population. In AD, P. gingivalis may affect the blood
brain barrier permeability and inhibit local IFN-gamma response by preventing
entry of immune cells into the brain. The scarcity of adaptive immune cells in AD
neuropathology implies P. gingivalis infection of the brain likely causing
impaired clearance of insoluble amyloid and inducing immunosuppression. By the
effective manipulation of the armory of adaptive immune suppression through a
plethora of virulence factors, P. gingivalis may act as a keystone organism in
periodontitis and in related systemic diseases and other remote body inflammatory
pathologies.
PMID- 27882864
TI - Patient participation in palliative care decisions: An ethnographic discourse
analysis.
AB - The participation of patients in making decisions about their care is especially
important towards the end of life because palliative care decisions involve
extensive uncertainty and are heavily influenced by personal values. Yet, there
is a scarcity of studies directly observing clinical interactions between
palliative patients and their health care providers. In this study, we aimed to
understand how patient participation in palliative care decisions is constructed
through discourse in a community hospital-based palliative care team. This
qualitative study combined ethnographic observations of a palliative care team
with discourse analysis. Eighteen palliative care patients with cancer diagnoses,
six family physicians, and two nurses were involved in the study. Multiple
interactions were observed between each patient and health care providers over
the course of 1 year, for a total of 101 consultations, 24 of which were audio
recorded. The analysis consisted in looking for the interpretive repertoires
(i.e., familiar lines of argument used to justify actions) that were used to
justify patient participation in decision-making during clinical interactions, as
well as exploring their implications for decision roles and end-of-life care.
Patients and their health care providers seldom addressed their decision-making
roles explicitly. Rather, they constructed patient participation in palliative
care decisions in a covert manner. Four interpretive repertoires were used to
justify patient participation: (1) exposing uncertainty, (2) co-constructing
patient preferences, (3) affirming patient autonomy, and finally (4) upholding
the authority of health care providers. The results demonstrate how patients and
health care providers used these arguments to negotiate their respective roles in
decision-making. In conclusion, patients and health care providers used a variety
of interpretive repertoires to covertly negotiate their roles in decision-making,
and to legitimize decisions that shaped patients' dying trajectories. Discourse
analysis encourages awareness of the role of language in either promoting or
hindering patient participation in decision-making.
PMID- 27882865
TI - Community perceptions on domestic violence against pregnant women in Nepal: a
qualitative study.
AB - BACKGROUND: Globally, knowledge of health sector options to respond to domestic
violence during pregnancy is increasing, but this topic is under-investigated in
Nepal. This gap affects the provision of adequate antenatal care services and
understanding of factors that influence women's willingness and ability to use
available services. It is critical to know more about the social norms in a
community that promote and prevent women experiencing domestic violence from
seeking antenatal care. OBJECTIVE: To explore community perceptions of domestic
violence against pregnant women. METHODS: A qualitative study was conducted in
Dhulikhel municipality, involving 41 men and 76 women in 12 focus group
discussions in different gender and family role separated groups. The interviews
were recorded, transcribed in verbatim, and analyzed using content analysis. A
socio-ecological model was used as a theoretical framework to illustrate linkages
between individual, relationship, community, and societal influences on
perceptions of domestic violence during pregnancy. RESULTS: The community
recognized different forms of violence during pregnancy threatening women's
physical and psychological health and presenting obstacles to seeking antenatal
care. Some types of culturally specific violence were considered particularly
harmful, such as pressure to give birth to sons, denial of food, and forcing
pregnant women to do hard physical work during pregnancy, which may leave
daughters-in-law vulnerable to domestic violence in extended families. A culture
where violence is normalized and endurance and family reconciliation are promoted
above individual health was perceived to cause women to tolerate and accept the
situation. Participants suggested actions and strategies to address continuing
violence, which indicated a societal transition toward increased awareness and
changing attitudes and practices. CONCLUSIONS: Domestic violence during pregnancy
needs to be addressed at different levels in Nepal, where women are often
dependent on others for access to health care. Social norms were perceived to be
shifting toward reduced acceptance of violence against women, but restrictions on
women's life options, movement, and decision-making authority were still
considered impediments to pregnant women's health.
PMID- 27882866
TI - The performance of community health workers in the management of multiple
childhood infectious diseases in Lira, northern Uganda - a mixed methods cross
sectional study.
AB - BACKGROUND: Community health workers (CHWs) have the potential to reduce child
mortality by improving access to care, especially in remote areas. Uganda has one
of the highest child mortality rates globally. Moreover, rural areas bear the
highest proportion of this burden. The optimal performance of CHWs is critical.
In this study, we assess the performance of CHWs in managing malaria, pneumonia,
and diarrhea in the rural district of Lira, in northern Uganda. DESIGNS: A cross
sectional mixed methods study was undertaken to investigate the performance of
393 eligible CHWs in the Lira district of Uganda. Case scenarios were conducted
with a medical officer observing CHWs in their management of children suspected
of having malaria, pneumonia, or diarrhea. Performance data were collected using
a pretested questionnaire with a checklist used by the medical officer to score
the CHWs. The primary outcome, CHW performance, is defined as the ability to
diagnose and treat malaria, diarrhea, and pneumonia appropriately. Participants
were described using a three group performance score (good vs. moderate vs.
poor). A binary measure of performance (good vs. poor) was used in multivariable
logistic regression to show an association between good performance and a range
of independent variables. The qualitative component comprised seven key informant
interviews with experts who had informed knowledge with regard to the
functionality of CHWs in Lira district. RESULTS: Overall, 347 CHWs (88.3%) had
poor scores in managing malaria, diarrhea, and pneumonia, 26 (6.6%) had moderate
scores, and 20 (5.1%) had good scores. The factors that were positively
associated with performance were secondary-level education (adjusted odds ratio
[AOR] 2.72; 95% confidence interval [CI] 1.50-4.92) and meeting with supervisors
in the previous month (AOR 2.52; 95% CI 1.12-5.70). Those factors negatively
associated with CHW performance included: serving 100-200 households (AOR 0.24;
95% CI 0.12-0.50), serving more than 200 households (AOR 0.22; 95% CI 0.10-0.48),
and an initial training duration lasting 2-3 days (AOR 0.13; 95% CI 0.04-0.41).
The qualitative findings reinforced the quantitative results by indicating that
refresher training, workload, and in-kind incentives were important determinants
of performance. CONCLUSIONS: The performance of CHWs in Lira was inadequate.
There is a need to consider pre-qualification testing before CHWs are appointed.
Providing ongoing support and supervision, and ensuring that CHWs have at least
secondary education can be helpful in improving their performance. Health system
managers also need to ensure that the CHWs' workload is moderated as work
overload will reduce performance. Finally, although short training programs are
beneficial to some degree, they are not sufficient and should be followed up with
regular refresher training.
PMID- 27882867
TI - Subtyping of Cryptosporidium cuniculus and genotyping of Enterocytozoon bieneusi
in rabbits in two farms in Heilongjiang Province, China.
AB - Cryptosporidium spp. and Enterocytozoon bieneusi are two prevalent opportunistic
pathogens in humans and animals. Currently, few data are available on genetic
characterization of both pathogens in rabbits in China. The aim of the present
study was to understand prevalence and genetic characterization of
Cryptosporidium spp. and E. bieneusi in rabbits. We collected 215 fecal samples
from 150 Rex rabbits and 65 New Zealand White rabbits on two different farms in
Heilongjiang Province, China. Cryptosporidium spp. and E. bieneusi were tested by
polymerase chain reaction (PCR) and sequencing the partial small subunit of
ribosomal DNA (SSU rDNA) and the internal transcribed spacer (ITS) region of
rDNA, respectively. Cryptosporidium was detected in 3.3% (5/150) of Rex rabbits
and 29.2% (19/65) of New Zealand White rabbits. All the 24 Cryptosporidium
isolates were identified as C. cuniculus. Enterocytozoon bieneusi was only found
in 14.7% (22/150) of Rex rabbits. Five known genotypes: CHN-RD1 (n = 12), D (n =
3), Type IV (n = 2), Peru6 (n = 1), and I (n = 1), and three novel ones CHN-RR1
to CHN-RR3 (one each) were detected. By analyzing the 60-kDa glycoprotein (gp60)
gene sequences of C. cuniculus isolates, three subtypes were obtained: VbA28 (n =
2), VbA29 (n = 16), and VbA32 (n = 3). All these three C. cuniculus subtypes were
reported previously in humans. Four known E. bieneusi genotypes have been found
to be present in humans. The three novel ones fell into zoonotic group 1. The
results suggest zoonotic potential of C. cuniculus and E. bieneusi isolates in
rabbits.
PMID- 27882868
TI - Seroprevalence of Toxoplasma gondii infection and risk factors in domestic sheep
in Henan province, central China.
AB - Sheep are highly susceptible to infections with Toxoplasma gondii and play a
major role in the transmission of toxoplasmosis to humans. In the present study,
779 serum samples from sheep were collected from Henan province, central China
from March 2015 to May 2016, and antibodies to T. gondii were detected by
modified agglutination test (MAT). The overall seroprevalence of T. gondii in
sheep was 12.71% (99/779). The risk factors significantly associated with T.
gondii seroprevalence were the geographical origin, age, presence of cats, and
the rearing system. This is the first report of T. gondii infection in sheep in
Henan province, central China, and of an association of seropositivity to T.
gondii with risk factors.
PMID- 27882869
TI - Aerotaxis in the closest relatives of animals.
AB - As the closest unicellular relatives of animals, choanoflagellates serve as
useful model organisms for understanding the evolution of animal
multicellularity. An important factor in animal evolution was the increasing
ocean oxygen levels in the Precambrian, which are thought to have influenced the
emergence of complex multicellular life. As a first step in addressing these
conditions, we study here the response of the colony-forming choanoflagellate
Salpingoeca rosetta to oxygen gradients. Using a microfluidic device that allows
spatio-temporal variations in oxygen concentrations, we report the discovery that
S. rosetta displays positive aerotaxis. Analysis of the spatial population
distributions provides evidence for logarithmic sensing of oxygen, which enhances
sensing in low oxygen neighborhoods. Analysis of search strategy models on the
experimental colony trajectories finds that choanoflagellate aerotaxis is
consistent with stochastic navigation, the statistics of which are captured using
an effective continuous version based on classical run-and-tumble chemotaxis.
PMID- 27882872
TI - Editorial: What's new this month?
PMID- 27882871
TI - Single synapse evaluation of the postsynaptic NMDA receptors targeted by evoked
and spontaneous neurotransmission.
AB - Recent studies indicate that within individual synapses spontaneous and evoked
release processes are segregated and regulated independently. In the hippocampus,
earlier electrophysiological recordings suggested that spontaneous and evoked
glutamate release can activate separate groups of postsynaptic NMDA receptors
with limited overlap. However, it is still unclear how this separation of NMDA
receptors is distributed across individual synapses. In a previous paper (Reese
and Kavalali, 2015) we showed that NMDA receptor mediated spontaneous
transmission signals to the postsynaptic protein translation machinery through
Ca2+-induced Ca2+ release. Here, we show that in rat hippocampal neurons although
spontaneous and evoked glutamate release driven NMDA receptor mediated Ca2+
transients often occur at the same synapse, these two signals do not show
significant correlation or cross talk.
PMID- 27882873
TI - sQUIZ your knowledge! Purulent painful plaques on a teenager's leg.
PMID- 27882875
TI - sQUIZ your knowledge! Perianal ulcers in an immunocompromised patient.
PMID- 27882870
TI - Large-scale remodeling of a repressed exon ribonucleoprotein to an exon
definition complex active for splicing.
AB - Polypyrimidine-tract binding protein PTBP1 can repress splicing during the exon
definition phase of spliceosome assembly, but the assembly steps leading to an
exon definition complex (EDC) and how PTBP1 might modulate them are not clear. We
found that PTBP1 binding in the flanking introns allowed normal U2AF and U1 snRNP
binding to the target exon splice sites but blocked U2 snRNP assembly in HeLa
nuclear extract. Characterizing a purified PTBP1-repressed complex, as well as an
active early complex and the final EDC by SILAC-MS, we identified extensive PTBP1
modulated changes in exon RNP composition. The active early complex formed in the
absence of PTBP1 proceeded to assemble an EDC with the eviction of hnRNP
proteins, the late recruitment of SR proteins, and binding of the U2 snRNP. These
results demonstrate that during early stages of splicing, exon RNP complexes are
highly dynamic with many proteins failing to bind during PTBP1 arrest.
PMID- 27882874
TI - An intermediate vascular tumour between kaposiform hemangioendothelioma and
tufted angioma with regression of the skin lesion.
PMID- 27882876
TI - Successful treatment of a cutaneous ulcer due to cholesterol crystal embolization
with topical basic fibroblast growth factor.
PMID- 27882877
TI - Dermpath & Clinic: Drug-induced Sweet syndrome.
PMID- 27882878
TI - And next... Adnexa: Intraoperative dermatoscopy in the assessment of longitudinal
melanonychia.
PMID- 27882880
TI - Quantification of methanol in the presence of ethanol by selected ion flow tube
mass spectrometry.
AB - The quantification of trace compounds in alcoholic beverages is a useful means to
both investigate the chemical basis of beverage flavor and to facilitate quality
control during the production process. One compound of interest is methanol
which, due to it being toxic, must not exceed regulatory limits. The analysis of
headspace gases is a desirable means to do this since it does not require direct
sampling of the liquid material. One established means to conduct headspace
analysis is selected ion flow tube mass spectrometry (SIFT-MS). The high
concentration of ethanol present in the headspace of alcoholic drinks complicates
the analysis, however, via reacting with the precursor ions central to this
technique. We therefore investigated whether methanol could be quantified in the
presence of a large excess of ethanol using SIFT-MS. We found that methanol
reacted with ionized ethanol to generate product ions that could be used to
quantify methanol concentrations and used this technique to quantify methanol in
beverages containing different quantities of ethanol. We conclude that SIFT-MS
can be used to quantify trace compounds in alcoholic beverages by determining the
relevant reaction chemistry.
PMID- 27882879
TI - Treatment of chronic spontaneous urticaria with an inadequate response to H1
antihistamines: an expert opinion.
AB - Chronic spontaneous urticaria (CSU) is characterized by the sudden, continuous or
intermittent appearance of pruritic wheals (hives), angioedema, or both for six
weeks or more, with no known specific trigger. The international
EAACI/GA2LEN/EDF/WAO urticaria guideline advises standard-dose, second-generation
H1-antihistamines as first-line therapy. However, H1-antihistamine treatment
leads to absence of symptoms in fewer than 50% of patients. Updosing of second
generation H1-antihistamines (up to fourfold) as recommended by the
EAACI/GA2LEN/EDF/WAO urticaria guideline as second-line therapy, can improve
response, but many patients remain symptomatic. Definitions of response are often
subjective and a consensus is needed regarding appropriate treatment targets.
There is also an unmet need for biomarkers to assess CSU severity and activity
and to predict treatment response. The EAACI/GA2LEN/EDF/WAO urticaria guideline
recommends add-on omalizumab, ciclosporin A (CsA), or montelukast third-line
treatment in patients with an inadequate response to high-dose H1-antihistamines.
Omalizumab is currently the only licensed systemic biologic for use in CSU. Both
omalizumab and CsA are effective third-line CSU treatments; montelukast appears
to have lower efficacy in this setting. Omalizumab carries a label warning for
anaphylaxis, although no cases of anaphylaxis were reported in the phase III
trials of omalizumab in CSU and it is generally well tolerated in patients with
CSU. Omalizumab arguably has a better safety profile than CsA.
PMID- 27882881
TI - The mechanism of alkene elimination from protonated toluenesulphonamides
generated by electrospray ionisation.
AB - The positive ion electrospray mass spectra of a range of sulphonamides of general
structure CH3C6H4SO2NHR1 [R1 = CnH2n+1 (n = 1-7), CnH2n-1 (n = 3, 4), C6H5,
C6H5CH2 and C6H5CH(CH3)] and CH3C6H4SO2NR1R2 [R1, R2 = CnH2n+1 (n = 1-8)] are
reported and discussed. The protonated sulphonamides derived from saturated
primary and secondary aliphatic amines generally fragment to only a limited
extent unless energised by collision. Two general fragmentations are observed:
firstly, elimination of an alkene, CnH2n, obtained by hydrogen abstraction from
one of the CnH2n+1 alkyl groups on nitrogen; secondly, cleavage to form
CH3C6H4SO2+. The mechanism by which an alkene is lost has been probed by studying
the variation of the intensity of the [M + H - CnH2n]+ signal with the structure
of the alkyl substituent(s) on nitrogen and by monitoring the competition between
the loss of different alkenes from protonated unsymmetrical sulphonamides in
which two different alkyl groups are attached to nitrogen. This fragmentation is
favoured by branching of the alkyl group at the carbon atom directly attached to
nitrogen, thus suggesting that it involves a mechanism in which the stability of
the cation obtained by stretching the bond connecting the nitrogen atom to the
alkyl group is critical. This interpretation also explains the competition
between alkene elimination and cleavage to form CH3C6H4SO2+ (and, in some cases,
cleavage to form C6H5CH2+ or [C6H5CHCH3]+).
PMID- 27882882
TI - Electrospray ionization-collision-induced dissociation-tandem mass spectrometry
study of lead complexes with deprotonated nucleobases.
AB - The complexes between the lead cation and deprotonated nucleobases (and
deprotonated nucleosides) are studied by using electrospray ionization-collision
induced dissociation-tandem mass spectrometry. It has been found that the
deprotonated N9 atom is not the site of lead cation attachment. In ions [A - H +
Pb]+ and [C - H + Pb]+, the lead cation is coordinated by the adenine N1 atom and
cytosine N3 atom and interaction between the lead cation and deprotonated amino
groups seems very likely. Deprotonated thymine shows a higher affinity toward
lead cation than deprotonated uracil. In the lead-nucleoside complexes lead
cation interacts with a sugar moiety.
PMID- 27882883
TI - Electrospray ionization tandem mass spectrometric study of protonated and alkali-
cationized alpha/epsilon-hybrid peptides: differentiation of a pair of dipeptide
positional isomers.
AB - A new class of Boc-N-protected hybrid peptides derived from L- Ala and epsilon6
Caa (L-Ala = L-Alanine, Caa = C-linked carboamino acid derived from D-xylose)
have been studied by positive ion electrospray ionization (ESI) ion-trap tandem
mass spectrometry (MS/MS). MSn spectra of protonated and alkali-cationized hybrid
peptides produce characteristic fragmentation involving the peptide backbone, the
tert-butyloxycarbonyl (Boc) group, and the side chain. The dipeptide positional
isomers are differentiated by the collision-induced dissociation (CID) of the
protonated and alkali-cationized peptides. The CID of [M + H]+ ion of Boc-NH-L
Ala-epsilon-Caa- OCH3 (1) shows a prominent [M + H - C4H8]+ ion, which is totally
absent for its positional isomer Boc-NH-epsilon-Caa-L-Ala-OCH3 (6), which instead
shows significant loss of t-butanol. The formation of the [M + Cat - C4H8]+ ion
is totally absent and [M + Cat - Boc + H]+ is prominent in the CID of the [M +
Cat]+ ion of Boc-NH-L-Ala-epsilon-Caa- OCH3 (1), whereas the former is highly
abundant and the latter is of low abundance for its positional isomer Boc-NH
epsilon-Caa-L-Ala-OCH3 (6). It is observed that 'b' ions are abundant when
oxazolone structures are formed through a five-membered cyclic transition state
in tetra-, penta-, and hexapeptides and the cyclization process for larger 'b'
ions led to an insignificant abundance. However, the significant 'b' ion is
formed in epsilon,alpha-dipeptide, which may have a seven-membered substituted 2
oxoazepanium ion structure. The MSn spectra of [M + Cat - Boc + H]+ ions of these
peptides are found to be significantly different to those of [M + H - Boc + H]+
ions. The CID spectra of [M + Cat - Boc + H]+ ions of peptide acids containing L
Ala at the C-terminus show an abundant N-terminal rearrangement ion, [bn + 17 +
Cat]+, which is absent for the peptide acids containing epsilon-Caa at the C
terminus. Thus, the results of these hybrid peptides provide sequencing
information, the structure of the cyclic intermediate involved in the formation
of the rearrangement ion, and distinguish a pair of dipeptide positional isomers.
PMID- 27882884
TI - Sequence protein identification by randomized sequence database and transcriptome
mass spectrometry (SPIDER-TMS): from manual to automatic application of a 'de
novo sequencing' approach.
AB - Sequence protein identification by a randomized sequence database and
transcriptome mass spectrometry software package has been developed at the
University of Basilicata in Potenza (Italy) and designed to facilitate the
determination of the amino acid sequence of a peptide as well as an unequivocal
identification of proteins in a high-throughput manner with enormous advantages
of time, economical resource and expertise. The software package is a valid tool
for the automation of a de novo sequencing approach, overcoming the main limits
and a versatile platform useful in the proteomic field for an unequivocal
identification of proteins, starting from tandem mass spectrometry data. The
strength of this software is that it is a user-friendly and non-statistical
approach, so protein identification can be considered unambiguous.
PMID- 27882885
TI - Protocol: A simple protocol for quantitative analysis of bio-oils through gas-
chromatography/mass spectrometry.
AB - A new and simple protocol for quantitative analysis of bio-oils using gas
chromatography/mass spectrometry is suggested. Compounds were identified via
their mass spectra, and then unavailable response factors were calculated with
respect to diphenyl as the internal standard using a modified method previously
suggested for gas chromatography with flame ionization detection. This new
protocol was applied to the characterization of bio-oils obtained from the
pyrolysis of woods of different sources or using different pyrolysis procedures.
This protocol allowed evaluation of the yields of products from poplar pyrolysis
(among 50% and 99%), while a reduced amounts of products were identified from the
pyrolysis of cellulose (between 46% and 58%). The main product was always acetic
acid, but it was formed in very large yields from poplar while lower yields were
obtained from cellulose.
PMID- 27882886
TI - Technical note: Air compared to nitrogen as nebulizing and drying gases for
electrospray ionization mass spectrometry.
AB - In the present study we tested the application of compressed air instead of pure
nitrogen as the nebulizing and drying gas, and its influence on the quality of
electrospray ionization (ESI) mass spectra. The intensities of the signals
corresponding to protonated molecules were significantly (twice) higher when air
was used. Inspection of signal-to-noise (S/N) ratios revealed that, in both
cases, sensitivity was comparable. A higher ion abundance after the application
of compressed air was followed by a higher background. Another potential risk of
using air in the ESI source is the possibility for sample oxidation due to the
presence of oxygen. To test this, we selected five easily oxidizing compounds to
verify their susceptibility to oxidation. In particular, the presence of
methionine was of interest. For all the compounds studied, no oxidation was
observed. Amodiaquine oxidizes spontaneously in water solutions and its oxidized
form can be detected a few hours after preparation. Direct comparison of the
spectra where nitrogen was used with the corresponding spectra obtained when air
was applied did not show significant differences. The only distinction was
slightly different patterns of adducts when air was used. The difference concerns
acetonitrile, which forms higher signals when air is the nebulizing gas. It is
also important that the replacement of nitrogen with air does not affect
quantitative data. The prepared calibration curves also visualize an intensity
twice as high (independent of concentration within tested range) of the signal
where air was applied. We have used our system continuously for three months with
air as the nebulizing and drying gas and have not noticed any unexpected signal
deterioration caused by additional source contamination from the air. Moreover,
compressed air is much cheaper and easily available using oil-free compressors or
pumps.
PMID- 27882887
TI - Field-assisted paper spray mass spectrometry for the quantitative evaluation of
imatinib levels in plasma.
AB - Drug levels in patients' bloodstreams vary among individuals and consequently
therapeutic drug monitoring (TDM) is fundamental to controlling the effective
therapeutic range. For TDM purposes, different analytical approaches have been
used, mainly based on immunoassay, liquid chromatography- ultraviolet, liquid
chromatography-mass spectrometry and liquid chromatography-tandem mass
spectrometry (LC-MS/MS) methods. More recently a matrix-assisted laser
desorption/ionisation method has been proposed for the determination of
irinotecan levels in the plasma of subjects under therapy and this method has
been cross- validated by comparison with data achieved by LC-MS/MS. However, to
reach an effective point-of-care monitoring of plasma drug concentrations, a TDM
platform technology for fast, accurate, low-cost assays is required. In this
frame, recently the use of paper spray mass spectrometry, which is becoming a
popular and widely employed MS method, has been proposed. In this paper we report
the results obtained by the development of a paper spray-based method for
quantitative analysis in plasma samples of imatinib, a new generation of
anticancer drug. Preliminary experiments showed that poor sensitivity,
reproducibility and linear response were obtained by the "classical" paper spray
set-up. In order to achieve better results, it was thought of interest to operate
in presence of a higher and more homogeneous electrical field. For this aim, a
stainless steel needle connected with the high voltage power supply was mounted
below the paper triangle. Furthermore, in order to obtain valid quantitative
data, we analysed the role of the different equilibria participating to the
phenomena occurring in paper spray experiments, depending either on instrumental
parameters or on the chemical nature of analyte and solvents. A calibration curve
was obtained by spiking plasma samples containing different amounts of imatinib
(1) with known amounts of deuterated imatinib (1d3) as internal standard, with
molar ratios [1]/[1d3] in the range 0.00-2.00. A quite good linearity was
obtained (R2 = 0.975) and some experiments performed on spiked plasma samples
with known amounts of 1 confirmed the validity of this method.
PMID- 27882889
TI - Energy resolved tandem mass spectrometry experiments for resolution of isobaric
compounds: a case of cis/trans isomerism.
AB - A series of N-alkanol-N-cyclohexanol amine aryl esters cis/trans isomers that
showed high efficacy to reverse the acquired resistance of cancer cells during
chemotherapeutic therapy (MDR mechanism) was studied. These compounds were two
1,4 cyclohexane cis/trans derivatives (named ELF26A and ELF26B, respectively),
and their positional isomers (named ELF34A and ELF34B, respectively) where the
aryl-moieties were exchanged. In order to evaluate the behaviour of these
compounds during biological tests, a method based on liquid chromatography
coupled with mass spectrometry (LC-MS), operating in tandem mass spectrometry
(MS/MS) mode, was developed. A unique chromatographic method suitable to separate
the two pairs of cis/trans isomers was not achieved and the MS/MS experiments of
the different compounds was not always able to characterise the different
isomers. Therefore, a system of linear equations of deconvolution analysis (LEDA)
tool was proposed to determine the relative proportions of individual cis/trans
isomers in the sample. Considering the pharmaceutical interest of the compounds
under investigation, the analytical method developed was tested to be effective
at the active concentration levels, corresponding to a concentration of ng mL-1
of compound in a processed sample. Precision and accuracy of the LEDA algorithm
at three levels of relative concentrations of analytes were checked, i.e. low
level (about 25% in the mixture), mid-level (about 50% in the mixture) and high
level (about 70% in the mixture). Evaluation of performances of the algorithm
proved that the accuracy (between 88.3% and 99.9%) and precision (between 2.0%
and 3.7%) for simultaneous analysis of the mixtures of the four isomers is
feasible. It is worth highlighting that the choice of characteristic product ions
and optimal abundance ratios plays an important role in the application of the
LEDA approach. Therefore, performing an investigation on the energetics of
fragmentation pathway allowed the selection of the better product ions for each
analyte in terms of both sensitivity of detection and specificity, i.e. the
capability to distinguish between isomeric compounds. Finally, the developed
approach was applied to determine the relative proportions of individual
cis/trans isomers in spiked human plasma samples. The results obtained confirm
the reliability of the proposed method in biological samples as well.
PMID- 27882888
TI - Comparison of two freely available software packages for mass spectrometry
imaging data analysis using brains from morphine addicted rats.
AB - Data analysis from mass spectrometry imaging (MSI) imaging experiments is a very
complex task. Most of the software packages devoted to this purpose are designed
by the mass spectrometer manufacturers and, thus, are not freely available.
Laboratories developing their own MS-imaging sources usually do not have access
to the commercial software, and they must rely on the freely available programs.
The most recognized ones are BioMap, developed by Novartis under Interactive Data
Language (IDL), and Datacube, developed by the Dutch Foundation for Fundamental
Research of Matter (FOM-Amolf). These two systems were used here for the analysis
of images received from rat brain tissues subjected to morphine influence and
their capabilities were compared in terms of ease of use and the quality of
obtained results.
PMID- 27882890
TI - Determination of conditional stability constants for phytic acid complexes with
Mg2+, Ca2+ and Zn2+ ions using electrospray ionization mass spectrometry.
AB - The electrospray ionization mass spectrometry competitive method has been used
for the determination of the conditional stability constants of phytic acid
complexes with Mg2+, Ca2+ and Zn2+ ions. The competing complexation between
ethylenediaminetetraacetic acid (EDTA) and phytic acid was studied. For EDTA
complexes with Mg2+, Ca2+ and Zn2+ ions, the values of the conditional stability
constants are known and were used for the calculation of this constant for the
phytic acid complexes. The values obtained are in agreement with the literature
data. The method applied permitted a fast and simple determination of conditional
stability constants by measuring the response of the ionized coordination
complexes.
PMID- 27882891
TI - A comparative mass spectrometric study of fatty acids and metals in some seed
extracts.
AB - A major cause of cardiovascular diseases and cancer is diet content, so the
optimization of micronutrients in food is very important. Omega-3 fatty acids
supplementation for patients had beneficial effects on subjective global
assessment score and metabolic profiles. Fatty acids content and the metal ions
in different seeds (e.g. linseed, poppy, grape, hemp, nuts, pumpkin, sesame,
watermelon, chia) recommended as food supplements, purchased on the Romanian
market, were compared. Gas chromatography coupled to mass spectrometry (GC-MS)
was used as an excellent technique for fatty acids identification and
quantitation, and inductively coupled plasma mass spectrometer (ICP-MS) for
analytical measurements of metals.
PMID- 27882893
TI - Protocol: MYTHBUSTERS: a universal procedure for sample preparation for mass
spectrometry.
AB - Improvements in proteomic strategies from the development of new and robust
separation and identification techniques have led to broad applications of
proteomics to solve numerous biological questions. For all analyses, sample
quality is unquestionably a critical factor; therefore protein extraction is of
outmost importance. The ideal extraction method should provide reproducible
spectra of the most comprehensive repertoire of proteins, while minimizing sample
loss and degradation. It is already known that to capture the whole proteome is
an unenforceable task. Many protein extraction protocols have been described, yet
there is no "one perfect procedure" taking into account the vast diversity of
biological and physical properties of proteins, including their charge, size,
hydrophobicity, interactions and sub-cellular localization. The research
presented here reflects the main obstacle occurring in proteomic experimental
design; i.e. the lack of reproducibility as a result of alterations in protein
extraction methods. We have performed a series of experiments, aimed towards
identification of the aptamer-binding partners in cancerous cells. Aptamers are
chemically synthesized, short, single-stranded nucleic acids with a strictly
defined three-dimensional structure, which allows them to interact with a target
molecule with high affinity. The low immunogenicity and cellular- targeting
properties of aptamers might facilitate design of suitable drugs with low side
effects. Aptamers can be used for identification of molecules associated with a
pathogenic state of a cell. Aptamers can be considered as a powerful tool, since
they possess unique properties to benefit cancer diagnosis, prevention and
treatment. We have used different types of protein extraction methods prior to
analyses of complex biological samples by mass spectrometry, based on slight
changes of homogenization buffers, and have observed the changes in the
identified compounds. These results should prove to be very useful for future
proteomic studies and the design of studies in terms of sample preparation,
especially sample homogenization and protein extraction.
PMID- 27882892
TI - Automated online solid-phase extraction-liquid chromatography mass spectrometric
analysis of dithianon in water.
AB - An automated online solid-phase extraction-liquid chromatography mass
spectrometry (SPE-LC/MS) method was developed for the quantification of dithianon
in surface water samples, using warfarin as internal standard. The method was
developed on a liquid chromatography (LC) system with Flexible Cube interfaced to
a quadrupole time-of-flight (Q-TOF) mass spectrometer. A small volume of
acidified water (1 mL) was spiked with internal standard, pre-concentrated online
on polymeric cartridges and analyzed by full-scan MS in high-resolution
conditions. The quantitative data were obtained by [M]-* of dithianon and [M - H]
of warfarin, used as internal standard. The chromatographic separation was
performed on a C18 column with a gradient mobile phase consisting of acetonitrile
and water containing 0.05% acetic acid. The method was validated to measure
concentrations of dithianon in the range of 0.010-4 ug L-1 in surface water
samples. Twenty real water samples, collected from Torrente Novella, Val di Non
(TN, Northern Italy), during fungicide treatments of large apple orchards, were
analyzed. All samples were kept in glass bottles and stored in the lab at -20
degrees C until analysis. It was found that in all samples dithianon was
undetectable: if it is present, its concentration was lower than the limit of
detection (LOD) (0.008 ug L-1.To investigate the stability of dithianon, a series
of water samples were spiked at different concentrations and analyzed after
different storage conditions. Results suggested that dithianon is not stable in
water stored at -20 degrees C at neutral or basic pH, but the addition of acetic
acid to pH = 3.5 increases its stability to at least two weeks.
PMID- 27882895
TI - Planar maneuvering control of underwater snake robots using virtual holonomic
constraints.
AB - This paper investigates the problem of planar maneuvering control for bio
inspired underwater snake robots that are exposed to unknown ocean currents. The
control objective is to make a neutrally buoyant snake robot which is subject to
hydrodynamic forces and ocean currents converge to a desired planar path and
traverse the path with a desired velocity. The proposed feedback control strategy
enforces virtual constraints which encode biologically inspired gaits on the
snake robot configuration. The virtual constraints, parametrized by states of
dynamic compensators, are used to regulate the orientation and forward speed of
the snake robot. A two-state ocean current observer based on relative velocity
sensors is proposed. It enables the robot to follow the path in the presence of
unknown constant ocean currents. The efficacy of the proposed control algorithm
for several biologically inspired gaits is verified both in simulations for
different path geometries and in experiments.
PMID- 27882894
TI - Electrospray ionization in the study of the interactions between cytotoxic
phosphino Cu(I) complexes and selected amino acids and GlyGlyHis peptide model.
AB - Tetrahedral [Cu(P)4][BF4]-type complexes (P = tertiary phosphine) are a class of
monopositively charged compounds that have shown notable antitumor activity in
both in vitro and in vivo tests. This biological property appears to be related
to the peculiar physicochemical characteristics of these compounds. Although
thermodynamically stable, they are labile at micromolar concentrations. Such a
behavior allows the Cu(I) ion in [Cu(P)n]+ assemblies (n < 4) to interact with
surrounding molecules, including the rich peptide/protein environment that metal
complexes have to face in the physiological milieu on the way to tumor cells. The
scope of this investigation was to study the interaction products that originate
from the treatment in water/methanol mixtures of representative phosphino Cu(I)
compounds with an excess of individual amino acids (AAs) selected on the basis of
the donor atom likely involved in metal coordination (i.e. O-glycine, S
methionine and N-histidine). These interactions have been investigated in
electrospray ionization mass spectrometry (ESI-MS), mainly in the positive ion
mode [ESI(+)MS], and the interaction products have been characterized by
sequential collisional experiments, performed by an ion trap instrument.
Histidine and methionine, but not glycine, were able to mine Cu(I) from [Cu(P)n]+
assemblies through the formation of mixed [CuI(P)(AA)]+ and eventually
[CuI(AA)2]+ adducts. The ability to substitute phosphine(s) by AAs and the
strongest affinity for Cu(I) was proved by the study of the energetics of
collisional-induced decomposition (CID) reactions [CuI(P)(AA)]+ -> CuI(AA) + P]+.
Among the investigated AAs, histidine displayed the strongest affinity for Cu(I).
Transchelation of Cu(I) was similarly observed when [Cu(P)n]+ species were
treated with the model tripeptide GlyGlyHis (GGH), the most investigated member
of the amino terminal Cu(II) and Ni(II) (ATCUN) peptide family. GGH was able to
form robust metal adducts not only with Cu(II) and the related divalent Zn(II)
and Ni(II) ions, but also with monovalent ions, including Cu(I) and Ag(I). CID
pathways of [CuI(GGH)]+ and [AgI(GGH)]+ were qualitatively superimposable and
proceeded through losses of neutral fragments. Similar losses of neutral
fragments were observed from [ZnII(GGH)] and [NiII(GGH)]. CID pathways of
[CuII(GGH)]-/+ adducts instead took place mainly through intramolecular electron
transfer reactions comprising the reduction of Cu(II) to Cu(I) and the formation
of fragment radical cations.
PMID- 27882896
TI - Optical response of a line node semimetal.
AB - We calculate the AC optical response of a line node semimetal with emphasis on
characteristic behaviours which can be used to distinguish them from point node
materials such as Dirac and Weyl semimetals. The interband optical background at
zero temperature displays a flat region at small photon energies ([Formula: see
text]) analogue to the universal background seen in graphene. However, in
contrast to graphene, the height of the constant region is not universal but
depends inversely on the Fermi velocity of the charge carriers and directly on
the radius (b) in momentum space of the nodal circle. The parameter b is a
defining energy scale and determines the range of photon energy over which the
flat response persists. At high energies [Formula: see text], the interband
response becomes linear in [Formula: see text] in agreement with the case for 3D
Dirac fermions with point node. The optical spectral weight contained in the
interband or Drude conductivity shows the same two distinct regimes. At low
temperature (T) (chemical potential (MU)), it rises linearly with [Formula: see
text] and is proportional to b. At high temperature, [Formula: see text], a
[Formula: see text] law is obtained, which is independent of b. At T = 0, the
Lorentz number takes on the conventional value [Formula: see text] for all values
of MU. It increases with increasing temperature to reach a first plateau of 2.4L
o provided [Formula: see text] but [Formula: see text]. At high temperature, T >
b, a second plateau of height 4.2L o emerges. The first plateau is
characteristic of 2D-Dirac while the second corresponds to 3D-Dirac. The
thermopower as a function of temperature also shows an evolution from a 2D to 3D
behaviour.
PMID- 27882897
TI - Progressive freezing of interacting spins in isolated finite magnetic ensembles.
AB - Self-organization of magnetic nanoparticles into secondary nanostructures
provides an innovative way for designing functional nanomaterials with novel
properties, different from the constituent primary nanoparticles as well as their
bulk counterparts. Collective magnetic properties of such complex closed packing
of magnetic nanoparticles makes them more appealing than the individual magnetic
nanoparticles in many technological applications. This work reports the
collective magnetic behaviour of magnetic ensembles comprising of single domain
Fe3O4 nanoparticles. The present work reveals that the ensemble formation is
based on the re-orientation and attachment of the nanoparticles in an iso
oriented fashion at the mesoscale regime. Comprehensive dc magnetic measurements
show the prevalence of strong interparticle interactions in the ensembles. Due to
the close range organization of primary Fe3O4 nanoparticles in the ensemble, the
spins of the individual nanoparticles interact through dipolar interactions as
realized from remnant magnetization measurements. Signature of super spin glass
like behaviour in the ensembles is observed in the memory studies carried out in
field cooled conditions. Progressive freezing of spins in the ensembles is
corroborated from the Vogel-Fulcher fit of the susceptibility data. Dynamic
scaling of relaxation reasserted slow spin dynamics substantiating cluster spin
glass like behaviour in the ensembles.
PMID- 27882898
TI - Unique magnetic and thermoelectric properties of chemically functionalized narrow
carbon polymers.
AB - We analyze magnetic, transport and thermoelectric properties of narrow carbon
polymers, which are chemically functionalized with nitroxide groups. Numerical
calculations of the electronic band structure and the corresponding transmission
function are based on density functional theory. Transport and thermoelectric
parameters are calculated in the linear response regime, with particular interest
in charge and spin thermopowers (charge and spin Seebeck effects). Such
nanoribbons are shown to have thermoelectric properties described by large
thermoelectric efficiency, which makes these materials promising from the
application point of view.
PMID- 27882900
TI - Concentration dependence of hydrogen diffusion in clamped vanadium (0 0 1) films.
AB - The chemical diffusion coefficient of hydrogen in a 50 nm thin film of vanadium
(0 0 1) is measured as a function of concentration and temperature, well above
the known phase boundaries. Arrhenius analysis of the tracer diffusion constants
reveal large changes in the activation energy with concentration: from 0.10 at
0.05 in H V-1 to 0.5 eV at 0.2 in H V-1. The results are consistent with a change
from tetrahedral to octahedral site occupancy, in that concentration range. The
change in site occupancy is argued to be caused by the uniaxial expansion of the
film originating from the combined hydrogen induced expansion and the clamping of
the film to the substrate.
PMID- 27882899
TI - An ab initio investigation of Bi2Se3 topological insulator deposited on amorphous
SiO2.
AB - We use first-principles simulations to investigate the topological properties of
Bi2Se3 thin films deposited on amorphous SiO2, Bi2Se3/a-SiO2, which is a
promising substrate for topological insulator (TI) based device applications. The
Bi2Se3 films are bonded to a-SiO2 mediated by van der Waals interactions. Upon
interaction with the substrate, the Bi2Se3 topological surface and interface
states remain present, however the degeneracy between the Dirac-like cones is
broken. The energy separation between the two Dirac-like cones increases with the
number of Bi2Se3 quintuple layers (QLs) deposited on the substrate. Such a
degeneracy breaking is caused by (i) charge transfer from the TI to the substrate
and charge redistribution along the Bi2Se3 QLs, and (ii) by deformation of the QL
in contact with the a-SiO2 substrate. We also investigate the role played by
oxygen vacancies ([Formula: see text]) on the a-SiO2, which increases the energy
splitting between the two Dirac-like cones. Finally, by mapping the electronic
structure of Bi2Se3/a-SiO2, we found that the a-SiO2 surface states, even upon
the presence of [Formula: see text], play a minor role on gating the electronic
transport properties of Bi2Se3.
PMID- 27882901
TI - Tetragonal to triclinic structural transition in the prototypical CeScSi induced
by a two-step magnetic ordering: a temperature-dependent neutron diffraction
study of CeScSi, CeScGe and LaScSi.
AB - An investigation on the ground state magnetism of CeScSi, CeScGe (tetragonal
CeScSi-type, tI12, space group I4/mmm) by temperature-dependent powder neutron
diffraction has been carried out, as debated and controversial data regarding the
low temperature magnetic behaviours of these two compounds were reported. Our
studies reveal that, while cooling, long-range magnetic ordering in CeScSi and
CeScGe takes place by a two-step process. A first transition leads to a magnetic
structure with the Ce moments aligned ferromagnetically onto two neighbouring
tetragonal basal a-b planes of the CeScSi-type structure; the double layers are
then antiferromagnetically coupled to each other along the c-axis. The transition
temperature associated with the first ordering is T N ~ 26 K and T N ~ 48 K for
the silicide and the germanide, respectively. Here the spin directions are
rigorously confined to the basal plane, with values of the Ce magnetic moments of
MU Ce = 0.8-1.0 MU B. A second magnetic transition, which takes place at
slightly lower temperatures, results in a canting of the ordered magnetic moments
out of the basal plane which is accompanied by an increase of the magnetic moment
value of Ce to MU Ce = 1.4-1.5 MU B. Interestingly, the second magnetic
transition leads to a structural distortion in both compounds from the higher
symmetry tetragonal space group I4/mmm to the lower-symmetry and triclinic I-1
(non-standard triclinic). Magnetic symmetry analysis shows that the canted
structure would not be allowed in the I4/mmm space group; this result further
confirms the structural transition. The transition temperatures T S from I4/mmm
to I-1 are about 22 K in CeScSi and 36 K in CeScGe, i.e. well below the
temperature of the first onset of antiferromagnetic order observed in this work
(or below the ordering temperature, previously reported as either T C or T N).
This result, along with the synchronism of the magnetic and structural
transitions, suggests a magnetostructural origin of this structural distortion.
We have also carried out powder neutron diffraction for LaScSi as a non
magnetically-ordering reference compound and compared the results with those of
CeScSi and CeScGe compounds.
PMID- 27882902
TI - Observation of the flexoelectricity of a SrTiO3 single crystal by x-ray
absorption and emission spectroscopies.
AB - Flexoelectricity, defined as the spontaneous electric polarization in a
dielectric material induced by a strain gradient, is investigated from the
microscopic viewpoint by x-ray spectroscopy. A single crystal SrTiO3 sample was
used as a test system in order to reveal the appearance of the electric dipole
moment by simple bending of the crystal. The spectral change characteristic of
ferroelectric transition in SrTiO3 was not observed in the Ti K-edge absorption
spectra. Instead, the gradual decrease (increase) of the post-edge feature (pre
edge structure) by bending was qualitatively explained using theoretical
calculations that assumed the presence of oxygen vacancies and a slight crystal
distortion. This assumption is also supported by the broadening of a tiny charge
transfer peak in the Ti Kbeta resonant emission spectra. Therefore, it was
revealed that the flexoelectric effect in SrTiO3 is easily drowned out through
local imperfection induced by crystal deformations and cracks.
PMID- 27882903
TI - Results of hemihypoglossal-facial nerve anastomosis in the treatment of facial
nerve paralysis after failed stereotactic radiosurgery for vestibular schwannoma.
AB - Vestibular schwannoma treatment with stereotactic radiosurgery (SRS) carries a
risk of facial nerve (CNVII) palsy that is lower than that with
microneurosurgery. The results of hemihypoglossal-facial nerve anastomosis (HHFA)
have not been described yet in CNVII palsy after failed stereotactic radiosurgery
(SRS). Here we report a case series of the first four consecutive patients (three
women; average age 58.5, age range: 46-74), who underwent HHFA due to failed SRS.
All patients were admitted because of progressive peripheral facial nerve palsy.
Three patients received retrosigmoid craniotomy due to tumor enlargement that
resulted in facial nerve paralysis. All patients achieved satisfactory (House
Brackmann grade III) CNVII regeneration. No or minimal tongue atrophy occurred on
the side of the anastomosis. Patients reported no problems with phonation or
swallowing, except for the patients with preexisting lower cranial nerve
deficits. HHFA effectively treats facial palsy after failed SRS with minimal risk
of tongue atrophy and minimal morbidity. The results of the treatment are
comparable to those achieved with patients without previous SRS.
PMID- 27882904
TI - Neuroendoscopic diagnosis and clinical management of cryptococcal choroid
plexitis in an immunocompetent pregnant woman.
PMID- 27882905
TI - A familial incidence of L1-L2 disc herniation.
PMID- 27882906
TI - Vertebral fracture due to a solitary bone cyst.
PMID- 27882907
TI - Practice, science and governance in interaction: European effort for the system
wide implementation of the International Classification of Functioning,
Disability and Health (ICF) in Physical and Rehabilitation Medicine.
AB - Since its launch in 2001, relevant international, regional and national PRM
bodies have aimed to implement the International Classification of Functioning,
Disability and Health (ICF) in Physical and Rehabilitation Medicine (PRM),
whereby contributing to the development of suitable practical tools. These tools
are available for implementing the ICF in day-to-day clinical practice,
standardized reporting of functioning outcomes in quality management and
research, and guiding evidence-informed policy. Educational efforts have
reinforced PRM physicians' and other rehabilitation professionals' ICF knowledge,
and numerous implementation projects have explored how the ICF is applied in
clinical practice, research and policy. Largely lacking though is the system-wide
implementation of ICF in day-to-day practice across all rehabilitation services
of national health systems. In Europe, system-wide implementation of ICF requires
the interaction between practice, science and governance. Considering its
mandate, the UEMS PRM Section and Board have decided to lead a European effort
towards system-wide ICF implementation in PRM, rehabilitation and health care at
large, in interaction with governments, non-governmental actors and the private
sector, and aligned with ISPRM's collaboration plan with WHO. In this paper we
present the current PRM internal and external policy agenda towards system-wide
ICF implementation and the corresponding implementation action plan, while
highlighting priority action steps - promotion of ICF-based standardized
reporting in national quality management and assurance programs, development of
unambiguous rehabilitation service descriptions using the International
Classification System for Service Organization in Health-related Rehabilitation,
development of Clinical Assessment Schedules, qualitative linkage and
quantitative mapping of data to the ICF, and the cultural adaptation of the ICF
Clinical Data Collection Tool in European languages.
PMID- 27882909
TI - European initiative for the application of the International Classification of
Functioning, Disability and Health: development of Clinical Assessment Schedules
for specified rehabilitation services.
AB - BACKGROUND: Clinical assessment schedule (CLAS) is a core part of the ICF-based
implementation of functioning reporting across health conditions and along the
continuum of care. AIM: The Physical and Rehabilitation Medicine Section and
Board of the European Union of Medical Specialists (UEMS PRM) workshop held in
January 2016 aimed to develop and specify a CLAS within the context of
rehabilitation services. SETTING: UEMS PRM Workshop in Nottwil, Switzerland,
January 2016. POPULATION: PRM physicians representatives from 12 European
countries, as well as Israel and Japan, mostly delegates of UEMS PRM Section and
Board, and experts with other rehabilitation professional backgrounds. METHODS:
Participants were divided into 6 working groups and asked to specify what
functioning aspects would be essential to document using the available ICF sets
for the identified rehabilitation services contained in the newly developed
service classification (ICSO-R): acute, post-acute and long-term rehabilitation
services. RESULTS: The 7 ICF Generic and 23 Rehabilitation Set categories were
confirmed as well as specific health condition categories for acute
rehabilitation services (mobile team), for postacute rehabilitation services
(general outpatient rehabilitation, musculoskeletal and neurological
rehabilitation, as well as specialized SCI rehabilitation), and for long-term
rehabilitation services (day clinic and rehabilitation provided in the
community). While general principles of the CLAS were defined, the need to align
the CLAS for a specific service, as well as across services along the continuum
of care was highlighted. All groups deliberated on this topic; however, no
conclusive statement was presented yet. CONCLUSIONS: The groups recognized a need
for a systematic effort to identify data collection tools currently used.
CLINICAL REHABILITATION IMPACT: CLASs will serve in the future to ensure that
functioning information is systematically and consistently collected across
services, and thus respond also to various global reports and initiatives which
stress the need for improving data collection on people's functioning.
PMID- 27882910
TI - Does Nintendo Wii Balance Board improve standing balance? A randomized controlled
trial in children with cerebral palsy.
AB - BACKGROUND: Evidence on the effect of systemic exercise programs to improve the
standing balance with the Nintendo Wii system is very limited and its post
treatment effectiveness is unknown in cerebral palsy (CP) patients. AIM: Primary
aim was to compare the effect of Nintendo Wii balance board (Wii-therapy) and
standard physiotherapy (SPT), on the performance of standing balance in children
and adolescents with CP. Secondary aim was to determine the post-treatment
effectiveness of Wii-therapy and SPT. DESIGN: Two-arm, matched-pairs, parallel
groups, randomized, controlled clinical trial. SETTING: Outpatient Rehabilitation
Centre in the city of Talca. POPULATION: Patients with CP type spastic hemiplegia
(SHE) and spastic diplegia (SDI), aged 7 to 14 years, and level I or II of GMFCS
or GMFCS-ER. Were excluded patients with FSIQ<80, epilepsy, previous surgeries
and application of Botulinum Toxin-A in the lower limb, uncorrected vision and
hearing disorders. METHODS: Thirty-two CP patients (10.7+/-3.2 years old) were
randomly assigned to either Wii-therapy (SDI=7; SHE=9) or SPT intervention
(SDI=7; SHE=9). In each group, patients received three sessions per week over a
period of 6 weeks. Standing balance was assessed at baseline and every 2 weeks.
Additionally, two follow-up assessments (4 additional weeks) were performed to
determine post-treatment effectiveness. Standing balance was quantified on force
platform obtaining the outcomes area of center-of-pressure (CoP) sway (CoPSway),
standard deviation in the medial-lateral (SDML) and the anterior-posterior (SDAP)
directions, and velocity in both directions (VML and VAP). RESULTS: Compared to
SPT, Wii-therapy significantly reduced the CoPSway (P=0.02) and SDAP in the eyes
open condition (P=0.01). However, the effects wane after 2-4 weeks. Post-hoc
analysis revealed that only SHE children benefited from Wii-therapy. CONCLUSIONS:
Wii-therapy was better than SPT in improving standing balance in patients with
CP, but improves the balance only in SHE patients. Also, Wii-therapy
effectiveness waned 2-4 weeks after the end the intervention. CLINICAL
REHABILITATION IMPACT: A systematic exercise program like Wii-therapy using the
Nintendo Wii Balance Board device can be considered to improves the standing
balance in patients with CP, specifically in the SHE type. This program is easy
to transfer to physiotherapists and rehabilitation centers.
PMID- 27882911
TI - Methodological notes on applying the International Classification of Functioning,
Disability and Health in rehabilitation.
PMID- 27882912
TI - Does the use of a hybrid theatre in vascular surgery result in improved clinical
outcomes and radiation protection?
PMID- 27882913
TI - Persistent sciatic artery aneurysm: a potential cause of acute lower limb
ischemia in young patients.
PMID- 27882914
TI - Propulsive limb coordination and body acceleration in sprint breaststroke
swimming.
AB - BACKGROUND: This work aimed at examining how coordination and kinematic indices
interplay with swimming performance measured by average speed in 50 m all-out
test. METHODS: The group of 34 male competitive swimmers (19.1+/-1.91 years old)
participated in the study. The underwater movements of swimmers' bodies were
recorded providing side-shots. Accelerations and angular velocity of pitch
rotation of swimmer's sacrum were measured with inertial sensor device (three
axial accelerometer and gyroscope). RESULTS: Indices calculated on the basis of
video analysis as well as their relationship with speed (V50surface breast)
clearly show, that stroke rate (SR) kinematic and ample arm propulsion (AP)
duration impulse are both highly associated with performance. At the same time
V50surface breast is highly related to significant shortening inter-cycle gap -
Glide or Overlap. The study shows, that sagittal maximal ventral acceleration
(Azmax) and sagittal amplitude acceleration (Azamp) significantly interplay with
V50surface breast (0.54, P<0.01 and 0.45, P=0.01 respectively), which is
connected with dolphin-like body waving. CONCLUSIONS: The most important thing is
to recognize possible gains coming from suitably shaped dolphin-like body waving,
as well as to enhance this specific action during well-timed upper trunk and head
immersion in each sprint breaststroke cycle.
PMID- 27882916
TI - Spirometry improvement after muscular exercise in elite swimmers.
AB - BACKGROUND: An increased sympathetic activity during muscular effort is a well
established physiological response, whose intensity is known to increase with the
muscular load. Spirometry was described to improve as an effect of swimming
training in healthy and asthmatic subjects, suggesting a decrease in airway
resistance The aim was to investigate the possible effect of muscular exercise
(swimming) on spirometry, in particular searching for possible differences
because of different swimming times. METHODS: The measurements were performed on
9 highly trained male competitive swimmers (age: 41+/-12.79 years, height: 1.69+/
0.06 meters, weight: 66.14+/-14.28 kg, BMI: 22.8+/-3.61 kg/m2) during an official
competition. The data were collected at the border of the swimming-pool before
(control, C) and few minutes after the swimming sessions (exercise, E), which
consisted either of 800 meter (7 subjects) or 1500 meter (5 subjects) free style.
RESULTS: A general trend indicating a postexercise increase in spirometry was
observed. We found post-exercise significant increments in FEV1 and in MEF75 for
both the 800 and 1500 meter swimming sessions, and in FEF25-75 and in MEF25 for
the shorter distance. CONCLUSIONS: We conclude that, as it may be expected,
muscular exercise induces an improvement of spirometry both because of a smooth
muscle relaxation-induced modulation of airway diameter and resistance to
airflow, and because of an enhanced expiratory muscle contraction strength. Both
of these mechanisms are related to an increased sympathetic activity which is
well known to accompany muscular exercise.
PMID- 27882915
TI - Characteristic of shoulder and hip rotation range of motion in adolescent tennis
players.
AB - BACKGROUND: Adolescent tennis players require sufficient hip and shoulder
flexibility to achieve the kinetic chain of a tennis stroke or serve. Hence, the
objective of this study is to measure the glenohumeral joint internal and
external rotation (IR and ER, respectively) and hip ER range of motion (ROM) and
to compare these parameters between experienced and beginner-level adolescent
tennis players. METHODS: Twenty-three adolescent tennis players were divided into
experienced (N.=12) and beginner groups (N.=11) on the basis of the adolescent
national ranking of the Taiwan Tennis Association. For all participants, the
shoulder IR and ER at 90 degrees of abduction were measured, and hip ER which
used Partick's Test was also evaluated on the dominant and nondominant sides. The
total rotation ROM of the shoulder and the difference in shoulder ER, IR, and
total rotation and hip ER between the dominant and nondominant sides were also
calculated after measurements. An independent-samples t-test was applied to test
the homogeneity of the participants' demographic data and the difference in
shoulder IR, ER, and total rotation and hip ER values between the dominant and
nondominant sides. Subsequently, a repeated-measured two-way analysis of variance
(2 * 2) was used to compare the dominant and nondominant shoulder and hip ROM of
the participants between the experienced and beginner groups. RESULTS: The
experienced group exhibited a significantly less total rotation ROM than the
beginner group. In addition, both groups showed less dominant shoulder IR ROM and
more dominant shoulder ER ROM compared with the nondominant side. Compared with
the experienced group, the beginner group showed an obvious difference in total
shoulder rotation between dominant and nondominant sides. More tightness for the
hip ER was observed in the experienced group than in the beginner group, but no
difference was observed between the dominant and nondominant sides in both
groups. CONCLUSIONS: Beginner adolescent tennis players differ more in the degree
of total shoulder ROM between the dominant and nondominant sides than experienced
players. Beginner and experienced adolescent tennis players also have more
shoulder ER and less IR on the dominant side. The results suggest that beginner
level adolescent tennis players should undergo a stretching and strengthening
program to reduce the potential risk of injury and possibly enhance performance.
PMID- 27882917
TI - Optically switched magnetism in photovoltaic perovskite CH3NH3(Mn:Pb)I3.
AB - The demand for ever-increasing density of information storage and speed of
manipulation boosts an intense search for new magnetic materials and novel ways
of controlling the magnetic bit. Here, we report the synthesis of a ferromagnetic
photovoltaic CH3NH3(Mn:Pb)I3 material in which the photo-excited electrons
rapidly melt the local magnetic order through the Ruderman-Kittel-Kasuya-Yosida
interactions without heating up the spin system. Our finding offers an
alternative, very simple and efficient way of optical spin control, and opens an
avenue for applications in low-power, light controlling magnetic devices.
PMID- 27882919
TI - Interaction of the cotranslational Hsp70 Ssb with ribosomal proteins and rRNA
depends on its lid domain.
AB - Cotranslational chaperones assist in de novo folding of nascent polypeptides in
all organisms. In yeast, the heterodimeric ribosome-associated complex (RAC)
forms a unique chaperone triad with the Hsp70 homologue Ssb. We report the X-ray
structure of full length Ssb in the ATP-bound open conformation at 2.6 A
resolution and identify a positively charged region in the alpha-helical lid
domain (SBDalpha), which is present in all members of the Ssb-subfamily of
Hsp70s. Mutational analysis demonstrates that this region is strictly required
for ribosome binding. Crosslinking shows that Ssb binds close to the tunnel exit
via contacts with both, ribosomal proteins and rRNA, and that specific contacts
can be correlated with switching between the open (ATP-bound) and closed (ADP
bound) conformation. Taken together, our data reveal how Ssb dynamics on the
ribosome allows for the efficient interaction with nascent chains upon RAC
mediated activation of ATP hydrolysis.
PMID- 27882918
TI - Hyperglycaemia induces metabolic dysfunction and glycogen accumulation in
pancreatic beta-cells.
AB - Insulin secretion from pancreatic beta-cells is impaired in all forms of
diabetes. The resultant hyperglycaemia has deleterious effects on many tissues,
including beta-cells. Here we show that chronic hyperglycaemia impairs glucose
metabolism and alters expression of metabolic genes in pancreatic islets. In a
mouse model of human neonatal diabetes, hyperglycaemia results in marked glycogen
accumulation, and increased apoptosis in beta-cells. Sulphonylurea therapy
rapidly normalizes blood glucose levels, dissipates glycogen stores, increases
autophagy and restores beta-cell metabolism. Insulin therapy has the same effect
but with slower kinetics. Similar changes are observed in mice expressing an
activating glucokinase mutation, in in vitro models of hyperglycaemia, and in
islets from type-2 diabetic patients. Altered beta-cell metabolism may underlie
both the progressive impairment of insulin secretion and reduced beta-cell mass
in diabetes.
PMID- 27882920
TI - Repression of RNA polymerase by the archaeo-viral regulator ORF145/RIP.
AB - Little is known about how archaeal viruses perturb the transcription machinery of
their hosts. Here we provide the first example of an archaeo-viral transcription
factor that directly targets the host RNA polymerase (RNAP) and efficiently
represses its activity. ORF145 from the temperate Acidianus two-tailed virus
(ATV) forms a high-affinity complex with RNAP by binding inside the DNA-binding
channel where it locks the flexible RNAP clamp in one position. This counteracts
the formation of transcription pre-initiation complexes in vitro and represses
abortive and productive transcription initiation, as well as elongation. Both
host and viral promoters are subjected to ORF145 repression. Thus, ORF145 has the
properties of a global transcription repressor and its overexpression is toxic
for Sulfolobus. On the basis of its properties, we have re-named ORF145 RNAP
Inhibitory Protein (RIP).
PMID- 27882921
TI - A mutation in VPS15 (PIK3R4) causes a ciliopathy and affects IFT20 release from
the cis-Golgi.
AB - Ciliopathies are a group of diseases that affect kidney and retina among other
organs. Here, we identify a missense mutation in PIK3R4 (phosphoinositide 3
kinase regulatory subunit 4, named VPS15) in a family with a ciliopathy
phenotype. Besides being required for trafficking and autophagy, we show that
VPS15 regulates primary cilium length in human fibroblasts, as well as ciliary
processes in zebrafish. Furthermore, we demonstrate its interaction with the
golgin GM130 and its localization to the Golgi. The VPS15-R998Q patient mutation
impairs Golgi trafficking functions in humanized yeast cells. Moreover, in VPS15
R998Q patient fibroblasts, the intraflagellar transport protein IFT20 is not
localized to vesicles trafficking to the cilium but is restricted to the Golgi.
Our findings suggest that at the Golgi, VPS15 and GM130 form a protein complex
devoid of VPS34 to ensure the IFT20-dependent sorting and transport of membrane
proteins from the cis-Golgi to the primary cilium.
PMID- 27882923
TI - A DNA dual lock-and-key strategy for cell-subtype-specific siRNA delivery.
AB - The efficient and precise delivery of siRNA to target cells is critical to
successful gene therapy. While novel nanomaterials enhance delivery efficiency,
it still remains challenging for precise gene delivery to overcome nonspecific
adsorption and off-target effect. Here we design a dual lock-and-key system to
perform cell-subtype-specific recognition and siRNA delivery. The siRNA is self
assembled in an oligonucleotide nano vehicle that is modified with a hairpin
structure to act as both the 'smart key' and the delivery carrier. The auto
cleavable hairpin structure can be activated on site at target cell membrane by
reacting with two aptamers as 'dual locks' sequentially, which leads to cell
subtype discrimination and precise siRNA delivery for high efficient gene
silencing. The success of this strategy demonstrates the precise delivery of
siRNA to specific target cells by controlling multiple parameters, thus paving
the way for application of RNAi in accurate diagnosis and intervention.
PMID- 27882922
TI - An ethnically relevant consensus Korean reference genome is a step towards
personal reference genomes.
AB - Human genomes are routinely compared against a universal reference. However, this
strategy could miss population-specific and personal genomic variations, which
may be detected more efficiently using an ethnically relevant or personal
reference. Here we report a hybrid assembly of a Korean reference genome (KOREF)
for constructing personal and ethnic references by combining sequencing and
mapping methods. We also build its consensus variome reference, providing
information on millions of variants from 40 additional ethnically homogeneous
genomes from the Korean Personal Genome Project. We find that the ethnically
relevant consensus reference can be beneficial for efficient variant detection.
Systematic comparison of human assemblies shows the importance of assembly
quality, suggesting the necessity of new technologies to comprehensively map
ethnic and personal genomic structure variations. In the era of large-scale
population genome projects, the leveraging of ethnicity-specific genome
assemblies as well as the human reference genome will accelerate mapping all
human genome diversity.
PMID- 27882924
TI - Deterministic phase slips in mesoscopic superconducting rings.
AB - The properties of one-dimensional superconductors are strongly influenced by
topological fluctuations of the order parameter, known as phase slips, which
cause the decay of persistent current in superconducting rings and the appearance
of resistance in superconducting wires. Despite extensive work, quantitative
studies of phase slips have been limited by uncertainty regarding the order
parameter's free-energy landscape. Here we show detailed agreement between
measurements of the persistent current in isolated flux-biased rings and Ginzburg
Landau theory over a wide range of temperature, magnetic field and ring size;
this agreement provides a quantitative picture of the free-energy landscape. We
also demonstrate that phase slips occur deterministically as the barrier
separating two competing order parameter configurations vanishes. These results
will enable studies of quantum and thermal phase slips in a well-characterized
system and will provide access to outstanding questions regarding the nature of
one-dimensional superconductivity.
PMID- 27882926
TI - A CMOS silicon spin qubit.
AB - Silicon, the main constituent of microprocessor chips, is emerging as a promising
material for the realization of future quantum processors. Leveraging its well
established complementary metal-oxide-semiconductor (CMOS) technology would be a
clear asset to the development of scalable quantum computing architectures and to
their co-integration with classical control hardware. Here we report a silicon
quantum bit (qubit) device made with an industry-standard fabrication process.
The device consists of a two-gate, p-type transistor with an undoped channel. At
low temperature, the first gate defines a quantum dot encoding a hole spin qubit,
the second one a quantum dot used for the qubit read-out. All electrical, two
axis control of the spin qubit is achieved by applying a phase-tunable microwave
modulation to the first gate. The demonstrated qubit functionality in a basic
transistor-like device constitutes a promising step towards the elaboration of
scalable spin qubit geometries in a readily exploitable CMOS platform.
PMID- 27882928
TI - Electromagnon dispersion probed by inelastic X-ray scattering in LiCrO2.
AB - Inelastic X-ray scattering with meV energy resolution (IXS) is an ideal tool to
measure collective excitations in solids and liquids. In non-resonant scattering
condition, the cross-section is strongly dominated by lattice vibrations
(phonons). However, it is possible to probe additional degrees of freedom such as
magnetic fluctuations that are strongly coupled to the phonons. The IXS spectrum
of the coupled system contains not only the phonon dispersion but also the so far
undetected magnetic correlation function. Here we report the observation of
strong magnon-phonon coupling in LiCrO2 that enables the measurement of magnetic
correlations throughout the Brillouin zone via IXS. We find electromagnon
excitations and electric dipole active two-magnon excitations in the magnetically
ordered phase and heavily damped electromagnons in the paramagnetic phase of
LiCrO2. We predict that several (frustrated) magnets with dominant direct
exchange and non-collinear magnetism show surprisingly large IXS cross-section
for magnons and multi-magnon processes.
PMID- 27882925
TI - ISGylation controls exosome secretion by promoting lysosomal degradation of MVB
proteins.
AB - Exosomes are vesicles secreted to the extracellular environment through fusion
with the plasma membrane of specific endosomes called multivesicular bodies (MVB)
and mediate cell-to-cell communication in many biological processes.
Posttranslational modifications are involved in the sorting of specific proteins
into exosomes. Here we identify ISGylation as a ubiquitin-like modification that
controls exosome release. ISGylation induction decreases MVB numbers and impairs
exosome secretion. Using ISG15-knockout mice and mice expressing the
enzymatically inactive form of the de-ISGylase USP18, we demonstrate in vitro and
in vivo that ISG15 conjugation regulates exosome secretion. ISG15 conjugation
triggers MVB co-localization with lysosomes and promotes the aggregation and
degradation of MVB proteins. Accordingly, inhibition of lysosomal function or
autophagy restores exosome secretion. Specifically, ISGylation of the MVB protein
TSG101 induces its aggregation and degradation, being sufficient to impair
exosome secretion. These results identify ISGylation as a novel ubiquitin-like
modifier in the control of exosome production.
PMID- 27882927
TI - Global gain modulation generates time-dependent urgency during perceptual choice
in humans.
AB - Decision-makers must often balance the desire to accumulate information with the
costs of protracted deliberation. Optimal, reward-maximizing decision-making can
require dynamic adjustment of this speed/accuracy trade-off over the course of a
single decision. However, it is unclear whether humans are capable of such time
dependent adjustments. Here, we identify several signatures of time-dependency in
human perceptual decision-making and highlight their possible neural source.
Behavioural and model-based analyses reveal that subjects respond to deadline
induced speed pressure by lowering their criterion on accumulated perceptual
evidence as the deadline approaches. In the brain, this effect is reflected in
evidence-independent urgency that pushes decision-related motor preparation
signals closer to a fixed threshold. Moreover, we show that global modulation of
neural gain, as indexed by task-related fluctuations in pupil diameter, is a
plausible biophysical mechanism for the generation of this urgency. These
findings establish context-sensitive time-dependency as a critical feature of
human decision-making.
PMID- 27882930
TI - Hypertension is an important risk determinant for chronic kidney disease: results
from a cross-sectional, observational study from a rural population in South
India.
AB - Hypertension (HTN) is an important cause for chronic kidney disease (CKD). There
is a paucity of studies exploring the association of HTN with CKD from rural
India. The objective of the study was to determine the prevalence and the
determinants for HTN in a rural population in South India, focusing on the
association with CKD. In a cross-sectional study on 2984 adults in three
villages, demographic and anthropometric data were collected. Blood pressure was
recorded on all individuals and prevalence was estimated. Proteinuria,
microalbuminuria (MA) and estimated glomerular filtration rate (eGFR) were
determined in a subgroup of 1331 subjects and the relation with HTN was analysed.
Prevalence of HTN was 30.4% (95% CI 28.75-32.05%). In all, 78.08% had stage 1 HTN
while 22% had stage 2 HTN. In total, 27.4% (249/908) subjects were aware of their
hypertensive status. Of them, only 14.4% had adequate control of blood pressure.
Age>50 years, diabetes, body mass index >25 and eGFR<60 ml min-1 were independent
significant predictors of HTN (P<0.05). HTN was found to be an important risk
factor for CKD even after adjusting for age, diabetes and cardiovascular risk
factors (OR 2.22, 95% CI 1.46-3.36, P<0.001). The high prevalence of HTN, very
low level of awareness and control, and the significant association with kidney
disease indicate a need for stronger public health initiative with better
penetration for awareness and screening for HTN and CKD in India's villages.
PMID- 27882931
TI - Plasma Sfrp5 and adiponectin levels in relation to blood pressure among obese
children.
AB - The aim was to clarify the associations of five adipocytokines: Sfrp5, Wnt5a,
adiponectin, chemerin and high-sensitivity C-reactive protein (hsCRP) with blood
pressure (BP), and to examine whether BP can be influenced by changes in these
adipocytokines in obese children after a 6-month lifestyle intervention. We
conducted a cross-sectional study in 263 obese children and performed a 6-month
lifestyle intervention in a subgroup of 89 obese children with hypertension.
Anthropometric data, adiponectin, chemerin, Sfrp5 and Wnt5a were assessed at
baseline and after 6-month lifestyle intervention. Sfrp5 and adiponectin serum
levels were significantly lower in obese children with hypertension, but Wnt5a,
hsCRP and chemerin serum levels were elevated in obese children with
hypertension. In multivariable linear regression analysis, Sfrp5, Wnt5a,
adiponectin, chemerin and hsCRP were associated with both standard deviation
score-systolic blood pressure (SDS-SBP) and -diastolic blood pressure (SDS-DBP).
Lifestyle intervention resulted in a significant improvement in BP and weight
loss. These were accompanied by significant decreases in hsCRP and chemerin, and
significant increases in Sfrp5 and adiponectin, whereas Wnt5a was not changed.
Furthermore, the changes in Sfrp5 and adiponectin act as partial mediators of the
relationship between weight loss and BP reduction after controlling for
covariates. Although Sfrp5, Wnt5a, adiponectin, chemerin and hsCRP levels are
correlated with BP at baseline, after lifestyle intervention, the relationship
between weight loss and BP reduction were partially mediated by changes in Sfrp5
and adiponectin after controlling for covariates. So we speculate that Sfrp5 and
adiponectin may have some influence on BP.
PMID- 27882929
TI - Soluble IL-33 receptor sST2 inhibits colorectal cancer malignant growth by
modifying the tumour microenvironment.
AB - Interleukin-33 (IL-33) was recently shown to be involved in the inflammatory
tumour microenvironment and the progression of colorectal cancer (CRC). We report
here that the expression level of sST2, a soluble form of the IL-33 receptor
(ST2L), is inversely associated with the malignant growth of CRC. sST2 is
downregulated in high-metastatic cells compared with low-metastatic human and
mouse CRC cells. Knockdown of sST2 in low-metastatic cells enhances tumour
growth, metastasis and tumour angiogenesis, whereas its overexpression in high
metastatic cells suppresses these processes. Circulating and intratumourally
administered sST2-Fc fusion protein reduce tumour growth, metastatic spread and
tumour angiogenesis in mice bearing high-metastatic CRC. Mechanistically, sST2
suppresses IL-33-induced angiogenesis, Th1- and Th2-responses, macrophage
infiltration and macrophage M2a polarization. In conclusion, we show that sST2
negatively regulates tumour growth and the metastatic spread of CRC through
modification of the tumour microenvironment. Thus, the IL-33/ST2L axis may be a
potential therapeutic target in CRC.
PMID- 27882932
TI - Tunable inertia of chiral magnetic domain walls.
AB - The time it takes to accelerate an object from zero to a given velocity depends
on the applied force and the environment. If the force ceases, it takes exactly
the same time to completely decelerate. A magnetic domain wall is a topological
object that has been observed to follow this behaviour. Here we show that
acceleration and deceleration times of chiral Neel walls driven by current are
different in a system with low damping and moderate Dzyaloshinskii-Moriya
exchange constant. The time needed to accelerate a domain wall with current via
the spin Hall torque is much faster than the time it needs to decelerate once the
current is turned off. The deceleration time is defined by the Dzyaloshinskii
Moriya exchange constant whereas the acceleration time depends on the spin Hall
torque, enabling tunable inertia of chiral domain walls. Such unique feature of
chiral domain walls can be utilized to move and position domain walls with lower
current, key to the development of storage class memory devices.
PMID- 27882933
TI - Multiple myeloma risk variant at 7p15.3 creates an IRF4-binding site and
interferes with CDCA7L expression.
AB - Genome-wide association studies have identified several risk loci for multiple
myeloma (MM); however, the mechanisms by which they influence MM are unknown.
Here by using genetic association data and functional characterization, we
demonstrate that rs4487645 G>T, the most highly associated variant (P = 5.30 * 10
25), resides in an enhancer element 47 kb upstream of the transcription start
site of c-Myc-interacting CDCA7L. The G-risk allele, associated with increased
CDCA7L expression (P=1.95 * 10-36), increases IRF4 binding and the enhancer
interacts with the CDCA7L promoter. We show that suppression of CDCA7L limits MM
proliferation through apoptosis, and increased CDCA7L expression is associated
with adverse patient survival. These findings implicate IRF4-mediated CDCA7L
expression in MM biology and indicate how germline variation might confer
susceptibility to MM.
PMID- 27882934
TI - Macrophage-dependent IL-1beta production induces cardiac arrhythmias in diabetic
mice.
AB - Diabetes mellitus (DM) encompasses a multitude of secondary disorders, including
heart disease. One of the most frequent and potentially life threatening
disorders of DM-induced heart disease is ventricular tachycardia (VT). Here we
show that toll-like receptor 2 (TLR2) and NLRP3 inflammasome activation in
cardiac macrophages mediate the production of IL-1beta in DM mice. IL-1beta
causes prolongation of the action potential duration, induces a decrease in
potassium current and an increase in calcium sparks in cardiomyocytes, which are
changes that underlie arrhythmia propensity. IL-1beta-induced spontaneous
contractile events are associated with CaMKII oxidation and phosphorylation. We
further show that DM-induced arrhythmias can be successfully treated by
inhibiting the IL-1beta axis with either IL-1 receptor antagonist or by
inhibiting the NLRP3 inflammasome. Our results establish IL-1beta as an
inflammatory connection between metabolic dysfunction and arrhythmias in DM.
PMID- 27882935
TI - The Robo4 cytoplasmic domain is dispensable for vascular permeability and
neovascularization.
AB - Vascular permeability and neovascularization are implicated in many diseases
including retinopathies and diabetic wound healing. Robo4 is an endothelial
specific transmembrane receptor that stabilizes the vasculature, as shown in
Robo4-/- mice that develop hyperpermeability, but how Robo4 signals remained
unclear. Here we show that Robo4 deletion enhances permeability and
revascularization in oxygen-induced retinopathy (OIR) and accelerates cutaneous
wound healing. To determine Robo4 signalling pathways, we generated transgenic
mice expressing a truncated Robo4 lacking the cytoplasmic domain (Robo4DeltaCD).
Robo4DeltaCD expression is sufficient to prevent permeability, and inhibits OIR
revascularization and wound healing in Robo4-/- mice. Mechanistically, Robo4 does
not affect Slit2 signalling, but Robo4 and Robo4DeltaCD counteract Vegfr2-Y949
(Y951 in human VEGFR2) phosphorylation by signalling through the endothelial
UNC5B receptor. We conclude that Robo4 inhibits angiogenesis and vessel
permeability independently of its cytoplasmic domain, while activating VEGFR2
Y951 via ROBO4 inhibition might accelerate tissue revascularization in
retinopathy of prematurity and in diabetic patients.
PMID- 27882936
TI - The Apaf-1 apoptosome induces formation of caspase-9 homo- and heterodimers with
distinct activities.
AB - According to dogma, initiator caspases are activated through proximity-induced
homodimerization, but some studies infer that during apoptosis caspase-9 may
instead form a holoenzyme with the Apaf-1 apoptosome. Using several biochemical
approaches, including a novel site-specific crosslinking technique, we provide
the first direct evidence that procaspase-9 homodimerizes within the apoptosome,
markedly increasing its avidity for the complex and inducing selective
intramolecular cleavage at Asp-315. Remarkably, however, procaspase-9 could also
bind via its small subunit to the NOD domain in Apaf-1, resulting in the
formation of a heterodimer that more efficiently activated procaspase-3.
Following cleavage, the intersubunit linker (and associated conformational
changes) in caspase-9-p35/p12 inhibited its ability to form homo- and
heterodimers, but feedback cleavage by caspase-3 at Asp-330 removed the linker
entirely and partially restored activity to caspase-9-p35/p10. Thus, the
apoptosome mediates the formation of caspase-9 homo- and heterodimers, both of
which are impacted by cleavage and contribute to its overall function.
PMID- 27882937
TI - EZH2 mediates lidamycin-induced cellular senescence through regulating p21
expression in human colon cancer cells.
AB - Lidamycin (LDM) is a novel member of the enediyne antibiotics identified in China
with potent antitumor activity. However, it remains unclear whether LDM has
potential molecular targets that may affect its antitumor activity. Enhancer of
zeste homolog 2 (EZH2) functions as a histone lysine methyltransferase and
mediates trimethylation on histone 3 lysine 27 (H3K27me3). High EZH2 level is
found to be positively correlated with the aggressiveness, metastasis and poor
prognosis of cancer. Here, we aim to study the role of EZH2 in LDM-induced
senescence, as well as in the cytotoxicity of LDM in human colon cancer cells.
LDM is found to be relatively more potent in inhibiting the colon cancer cells
harboring high EZH2 level and induces irreversible cellular senescence at IC50
dose range, as evidenced by senescence-associated beta-galactosidase staining,
cell cycle arrest and molecular changes of senescence regulators including p21 in
HCT116 and SW620 cells. More importantly, LDM is found to markedly inhibit EZH2
expression at both protein and mRNA levels upon the induction of p21 and cellular
senescence. LDM also selectively inhibits EZH2 expression as compared with other
histone lysine methyltransferases. Knockdown of p21 with siRNAs abolishes LDM
induced senescence, whereas EZH2 knockdown markedly increases p21 expression and
causes senescent phenotype. Enrichment of both EZH2 and H3K27me3 levels in the
p21 promoter region is reduced by LDM. Moreover, EZH2 overexpression reduces
cellular senescence, p21 expression and DNA damage response upon LDM exposure.
LDM also demonstrates potent antitumor efficacy in xenografted animal models.
Collectively, our work provides first demonstration that EZH2 may mediate, at
least partially, the senescence-inducing effects of LDM by regulating p21
expression and DNA damage effect. Thus, EZH2 may serve as a potential target and
biomarker to indicate the clinical efficacy of the potent enediyne antitumor
drug.
PMID- 27882938
TI - Neutral sphingomyelinase (SMPD3) deficiency disrupts the Golgi secretory pathway
and causes growth inhibition.
AB - Systemic loss of neutral sphingomyelinase (SMPD3) in mice leads to a novel form
of systemic, juvenile hypoplasia (dwarfism). SMPD3 deficiency in mainly two
growth regulating cell types contributes to the phenotype, in chondrocytes of
skeletal growth zones to skeletal malformation and chondrodysplasia, and in
hypothalamic neurosecretory neurons to systemic hypothalamus-pituitary
somatotropic hypoplasia. The unbiased smpd3-/- mouse mutant and derived smpd3-/-
primary chondrocytes were instrumental in defining the enigmatic role underlying
the systemic and cell autonomous role of SMPD3 in the Golgi compartment. Here we
describe the unprecedented role of SMPD3. SMPD3 deficiency disrupts homeostasis
of sphingomyelin (SM), ceramide (Cer) and diacylglycerol (DAG) in the Golgi SMPD3
SMS1 (SM-synthase1) cycle. Cer and DAG, two fusogenic intermediates, modify the
membrane lipid bilayer for the initiation of vesicle formation and transport.
Dysproteostasis, unfolded protein response, endoplasmic reticulum stress and
apoptosis perturb the Golgi secretory pathway in the smpd3-/- mouse. Secretion of
extracellular matrix proteins is arrested in chondrocytes and causes skeletal
malformation and chondrodysplasia. Similarly, retarded secretion of proteo
hormones in hypothalamic neurosecretory neurons leads to hypothalamus induced
combined pituitary hormone deficiency. SMPD3 in the regulation of the protein
vesicular secretory pathway may become a diagnostic target in the etiology of
unknown forms of juvenile growth and developmental inhibition.
PMID- 27882939
TI - Direct regulation of FOXK1 by C-jun promotes proliferation, invasion and
metastasis in gastric cancer cells.
AB - Forkhead box (FOX) K1 is a member of the FOX transcription factor superfamily.
High FOXK1 expression is associated with several cancers. However, whether FOXK1
expression contributes to gastric cancer (GC) development and progression remains
unknown. We analyzed the FOXK1 promoter using the Promo software and found
several binding sequence transcription factors, including c-jun. However, the
molecular mechanism by which FOXK1 affects the c-jun-mediated malignant phenotype
is poorly understood. Here, we found that FOXK1 protein expression was higher in
8/10 (80.0%) fresh cancer tissues compared with that in adjacent normal tissues.
FOXK1 overexpression enhanced the proliferation, migration and invasion of GC
cells. Moreover, FOXK1 expression was stimulated by transforming growth factor
beta1 (TGF-beta1). FOXK1 acted as a potential epithelial-to-mesenchymal
transition (EMT) inducer by stimulating vimentin expression and inducing the loss
of E-cadherin in stable FOXK1-transfected cells. The results of promoter reporter
and chromatin immunoprecipitation assays demonstrated that c-jun directly binds
to and activates the human FOXK1 gene promoter. A positive correlation was
observed between the expression patterns of FOXK1 and c-jun in GC cells and
tissue. FOXK1 and c-jun expression were correlated with tumor progression and
represented significant predictors of overall survival in GC patients. However,
the siRNA-mediated repression of c-jun in FOXK1-overexpressing cells reversed
EMT, as well as the proliferative and metastatic phenotypes. In vivo, c-jun
promoted FOXK1-mediated proliferation and metastasis via orthotopic implantation.
The evidence presented here suggests that FOXK1-directed regulation by c-jun
promote the development and progression of human GC.
PMID- 27882941
TI - TGF-beta signaling controls FSHR signaling-reduced ovarian granulosa cell
apoptosis through the SMAD4/miR-143 axis.
AB - Follicle-stimulating hormone receptor (FSHR) and its intracellular signaling
control mammalian follicular development and female infertility. Our previous
study showed that FSHR is downregulated during follicular atresia of porcine
ovaries. However, its role and regulation in follicular atresia remain unclear.
Here, we showed that FSHR knockdown induced porcine granulosa cell (pGC)
apoptosis and follicular atresia, and attenuated the levels of intracellular
signaling molecules such as PKA, AKT and p-AKT. FSHR was identified as a target
of miR-143, a microRNA that was upregulated during porcine follicular atresia.
miR-143 enhanced pGC apoptosis by targeting FSHR, and reduced the levels of
intracellular signaling molecules. SMAD4, the final molecule in transforming
growth factor (TGF)-beta signaling, bound to the promoter and induced significant
downregulation of miR-143 in vitro and in vivo. Activated TGF-beta signaling
rescued miR-143-reduced FSHR and intracellular signaling molecules, and miR-143
induced pGC apoptosis. Overall, our findings offer evidence to explain how TGF
beta signaling influences and FSHR signaling for regulation of pGC apoptosis and
follicular atresia by a specific microRNA, miR-143.
PMID- 27882940
TI - MDM2 prevents spontaneous tubular epithelial cell death and acute kidney injury.
AB - Murine double minute-2 (MDM2) is an E3-ubiquitin ligase and the main negative
regulator of tumor suppressor gene p53. MDM2 has also a non-redundant function as
a modulator of NF-kB signaling. As such it promotes proliferation and
inflammation. MDM2 is highly expressed in the unchallenged tubular epithelial
cells and we hypothesized that MDM2 is necessary for their survival and
homeostasis. MDM2 knockdown by siRNA or by genetic depletion resulted in demise
of tubular cells in vitro. This phenotype was completely rescued by concomitant
knockdown of p53, thus suggesting p53 dependency. In vivo experiments in the
zebrafish model demonstrated that the tubulus cells of the larvae undergo cell
death after the knockdown of mdm2. Doxycycline-induced deletion of MDM2 in
tubular cell-specific MDM2-knockout mice Pax8rtTa-cre; MDM2f/f caused acute
kidney injury with increased plasma creatinine and blood urea nitrogen and sharp
decline of glomerular filtration rate. Histological analysis showed massive
swelling of renal tubular cells and later their loss and extensive tubular
dilation, markedly in proximal tubules. Ultrastructural changes of tubular
epithelial cells included swelling of the cytoplasm and mitochondria with the
loss of cristae and their transformation in the vacuoles. The pathological
phenotype of the tubular cell-specific MDM2-knockout mouse model was completely
rescued by co-deletion of p53. Tubular epithelium compensates only partially for
the cell loss caused by MDM2 depletion by proliferation of surviving tubular
cells, with incomplete MDM2 deletion, but rather mesenchymal healing occurs. We
conclude that MDM2 is a non-redundant survival factor for proximal tubular cells
by protecting them from spontaneous p53 overexpression-related cell death.
PMID- 27882943
TI - Metadherin facilitates podocyte apoptosis in diabetic nephropathy.
AB - Apoptosis, one of the major causes of podocyte loss, has been reported to have a
vital role in diabetic nephropathy (DN) pathogenesis, and understanding the
mechanisms underlying the regulation of podocyte apoptosis is crucial. Metadherin
(MTDH) is an important oncogene, which is overexpressed in most cancers and
responsible for apoptosis, metastasis, and poor patient survival. Here we show
that the expression levels of Mtdh and phosphorylated p38 mitogen-activated
protein kinase (MAPK) are significantly increased, whereas those of the microRNA
30 family members (miR-30s) are considerably reduced in the glomeruli of DN rat
model and in high glucose (HG)-induced conditionally immortalized mouse podocytes
(MPC5). These levels are positively correlated with podocyte apoptosis rate. The
inhibition of Mtdh expression, using small interfering RNA, but not Mtdh
overexpression, was shown to inhibit HG-induced MPC5 apoptosis and p38 MAPK
pathway, and Bax and cleaved caspase 3 expression. This was shown to be similar
to the effects of p38 MAPK inhibitor (SB203580). Furthermore, luciferase assay
results demonstrated that Mtdh represents the target of miR-30s. Transient
transfection experiments, using miR-30 microRNA (miRNA) inhibitors, led to the
increase in Mtdh expression and induced the apoptosis of MPC5, whereas the
treatment with miR-30 miRNA mimics led to the reduction in Mtdh expression and
apoptosis of HG-induced MPC5 cells in comparison with their respective controls.
Our results demonstrate that Mtdh is a potent modulator of podocyte apoptosis,
and that it represents the target of miR-30 miRNAs, facilitating podocyte
apoptosis through the activation of HG-induced p38 MAPK-dependent pathway.
PMID- 27882942
TI - Emerging roles of extracellular vesicles in neurodegenerative disorders: focus on
HIV-associated neurological complications.
AB - Exosomes are membrane-enriched extracellular vesicles with a proposed diameter in
the range of 30-100 nm. They are released during both normal homeostasis as well
as under pathological conditions by most cell types. In recent years, there has
been robust interest in the study of these vesicles as conduits for the delivery
of information between cells in both analogous as well as disparate tissues.
Their ability to transport specialized cargo including signaling mediators,
proteins, messenger RNA and miRNAs characterizes these vesicles as primary
facilitators of cell-to-cell communication and regulation. Exosomes have also
been demonstrated to have important roles in the field of cancer biology and
metastasis. More recently, their role in several neurodegenerative disorders has
been gaining increased momentum as these particles have been shown to promote the
spread of toxic factors such as amyloid beta and prions, adding further validity
to their role as important regulators of disease pathogenesis. This review
briefly summarizes current findings and thoughts on exosome biology in the
context of neurodegenerative disorders and the manipulation of these particles
for the development of potential therapeutic strategies.
PMID- 27882944
TI - GRK6 regulates ROS response and maintains hematopoietic stem cell self-renewal.
AB - G protein-coupled receptor kinases (GRKs) are critically involved in immune
response through regulation of cytokine receptors in mature leukocytes, but their
role in hematopoiesis is largely unknown. Here, we demonstrate that GRK6 knockout
(GRK6-/-) mice exhibit lymphocytopenia, loss of the hematopoietic stem cell (HSC)
and multiple progenitor populations. GRK6 deficiency leads to compromised
lymphoid differentiation, largely owing to the impairment of HSC self-renewal.
Transcriptome and proteomic analysis suggest that GRK6 is involved in reactive
oxygen species signaling. GRK6 could interact with DNA-PKcs (DNA-dependent
protein kinase, catalytic subunit) and regulate its phosphorylation. Moreover,
reactive oxygen species scavenger alpha-lipoic acid administration could
partially rescue the loss of HSC in GRK6-/- mice. Our work demonstrates the
importance of GRK6 in regulation of HSC self-renewal and reveals its potential
role in participation of stress response.
PMID- 27882945
TI - Adiponectin reduces ER stress-induced apoptosis through PPARalpha transcriptional
regulation of ATF2 in mouse adipose.
AB - Adiponectin is a cytokine produced predominantly by adipose tissue and correlates
with glucose and lipid homeostasis. However, the effects of adiponectin on
endoplasmic reticulum (ER) stress and apoptosis of adipose tissue remain elusive.
In this study, we found that tunicamycin-induced ER stress increased serum free
fatty acid (FFA) and impaired glucose tolerance, elevated the mRNA levels of
GRP78, Chop, ATF2 and caspase 3, but reduced adiponectin mRNA level in white
adipose tissue. Moreover, ER stress-triggered adipocyte apoptosis by increasing
cellular FFA level and Ca2+ level. Further analysis revealed that adiponectin
alleviated ER stress-induced adipocyte apoptosis by elevating peroxisome
proliferator-activated receptor alpha (PPARalpha) mRNA level. Our data also
confirmed that adiponectin reduced early apoptotic cells and blocked the
mitochondrial apoptosis pathway by activating the AdipoR1/AMP-activated protein
kinase (AMPK) signal pathway. In addition, PPARalpha bound to ATF2 promoter
region and inhibited transcription of ATF2. The inhibition of adipocyte apoptosis
by adiponectin was correlated with transcriptional suppression of ATF2.
Furthermore, adiponectin inhibited ER stress-induced apoptosis by activating the
AMPK/PKC pathway. In summary, our data demonstrate adiponectin inhibited ER
stress and apoptosis of adipocyte in vivo and in vitro by activating the
AMPK/PPARalpha/ATF2 pathway. Our study establishes that adiponectin is an
important adipocytokine for preventing and treating obesity.
PMID- 27882946
TI - ER stress inhibitor attenuates hearing loss and hair cell death in Cdh23erl/erl
mutant mice.
AB - Hearing loss is one of the most common sensory impairments in humans. Mouse
mutant models helped us to better understand the mechanisms of hearing loss.
Recently, we have discovered that the erlong (erl) mutation of the cadherin23
(Cdh23) gene leads to hearing loss due to hair cell apoptosis. In this study, we
aimed to reveal the molecular pathways upstream to apoptosis in hair cells to
exploit more effective therapeutics than an anti-apoptosis strategy. Our results
suggest that endoplasmic reticulum (ER) stress is the earliest molecular event
leading to the apoptosis of hair cells and hearing loss in erl mice. We also
report that the ER stress inhibitor, Salubrinal (Sal), could delay the
progression of hearing loss and preserve hair cells. Our results provide evidence
that therapies targeting signaling pathways in ER stress development prevent hair
cell apoptosis at an early stage and lead to better outcomes than those targeting
downstream factors, such as tip-link degeneration and apoptosis.
PMID- 27882947
TI - Arginase 2 promotes neurovascular degeneration during ischemia/reperfusion
injury.
AB - Retinal ischemia is a major cause of visual impairment and blindness and is
involved in various disorders including diabetic retinopathy, glaucoma, optic
neuropathies and retinopathy of prematurity. Neurovascular degeneration is a
common feature of these pathologies. Our lab has previously reported that the
ureahydrolase arginase 2 (A2) is involved in ischemic retinopathies. Here, we are
introducing A2 as a therapeutic target to prevent neurovascular injury after
retinal ischemia/reperfusion (I/R) insult. Studies were performed with mice
lacking both copies of A2 (A2-/-) and wild-type (WT) controls (C57BL6J). I/R
insult was conducted on the right eye and the left eye was used as control.
Retinas were collected for analysis at different times (3 h-4 week after injury).
Neuronal and microvascular degeneration were evaluated using NeuN staining and
vascular digests, respectively. Glial activation was evaluated by glial
fibrillary acidic protein expression. Necrotic cell death was studied by
propidium iodide labeling and western blot for RIP-3. Arginase expression was
determined by western blot and quantitative RT-PCR. Retinal function was
determined by electroretinography (ERG). A2 mRNA and protein levels were
increased in WT I/R. A2 deletion significantly reduced ganglion cell loss and
microvascular degeneration and preserved retinal morphology after I/R. Glial
activation, reactive oxygen species formation and cell death by necroptosis were
significantly reduced by A2 deletion. ERG showed improved positive scotopic
threshold response with A2 deletion. This study shows for the first time that
neurovascular injury after retinal I/R is mediated through increased expression
of A2. Deletion of A2 was found to be beneficial in reducing neurovascular
degeneration after I/R.
PMID- 27882949
TI - SUMO-specific protease 1 protects neurons from apoptotic death during transient
brain ischemia/reperfusion.
AB - SUMO-specific protease 1 (SENP1) deconjugates SUMO from modified proteins.
Although post-ischemic activation of SUMO conjugation was suggested to be
neuroprotective against ischemia/reperfusion (I/R) injury, the function of SENP1
in this process remained unclear. Here we show that transient middle cerebral
artery occlusion in mice followed by 6, 12 and 24 h reperfusion significantly
enhanced SENP1 levels in the affected brain area, independent of transcription.
Consistent with the increase in SENP1, the levels of SUMO1-conjugated proteins
were decreased by I/R in cortical neurons of control littermate mice, but
unchanged in that of animals with conditional ablation of SENP1 gene from adult
principal neurons, the SENP1flox/flox:CamKIIalpha-Cre (SENP1 cKO) mice. The SENP1
cKO mice exhibited a significant increase in infarct volume in the cerebral
cortex and more severe motor impairment in response to I/R as compared with the
control littermates. Cortical neurons from I/R-injured SENP1 cKO mice became more
apoptotic than that from control littermates, as indicated by both TUNEL staining
and caspase-3 activation. Overexpression of SENP1 in somatosensory cortices of
adult wild-type (WT) mice suppressed I/R-induced neuronal apoptosis. We conclude
that SENP1 plays a neuroprotective role in I/R injury by inhibiting apoptosis
through decreasing SUMO1 conjugation. These findings reveal a novel mechanism of
neuroprotection by protein desumoylation, which may help develop new therapies
for mitigating brain injury associated with ischemic stroke.
PMID- 27882952
TI - Biochemistry: A fast way to convert carbon.
PMID- 27882948
TI - The non-canonical Wnt receptor Ryk regulates hematopoietic stem cell repopulation
in part by controlling proliferation and apoptosis.
AB - The development of blood and immune cells requires strict control by various
signaling pathways in order to regulate self-renewal, differentiation and
apoptosis in stem and progenitor cells. Recent evidence indicates critical roles
for the canonical and non-canonical Wnt pathways in hematopoiesis. The non
canonical Wnt pathway is important for establishment of cell polarity and cell
migration and regulates apoptosis in the thymus. We here investigate the role of
the non-canonical Wnt receptor Ryk in hematopoiesis and lymphoid development. We
show that there are dynamic changes in Ryk expression during development and in
different hematopoietic tissues. Functionally, Ryk regulates NK cell development
in a temporal fashion. Moreover, Ryk-deficient mice show diminished, but not
absent self-renewal of hematopoietic stem cells (HSC), via effects on mildly
increased proliferation and apoptosis. Thus, Ryk deficiency in HSCs from fetal
liver reduces their quiescence, leading to proliferation-induced apoptosis and
decreased self-renewal.
PMID- 27882953
TI - Genomics: DNA reveals history of maize.
PMID- 27882951
TI - Trump: keep climate plans to boost jobs.
PMID- 27882950
TI - Neutralization mechanism of a highly potent antibody against Zika virus.
AB - The rapid spread of Zika virus (ZIKV), which causes microcephaly and Guillain
Barre syndrome, signals an urgency to identify therapeutics. Recent efforts to
rescreen dengue virus human antibodies for ZIKV cross-neutralization activity
showed antibody C10 as one of the most potent. To investigate the ability of the
antibody to block fusion, we determined the cryoEM structures of the C10-ZIKV
complex at pH levels mimicking the extracellular (pH8.0), early (pH6.5) and late
endosomal (pH5.0) environments. The 4.0 A resolution pH8.0 complex structure
shows that the antibody binds to E proteins residues at the intra-dimer
interface, and the virus quaternary structure-dependent inter-dimer and inter
raft interfaces. At pH6.5, antibody C10 locks all virus surface E proteins, and
at pH5.0, it locks the E protein raft structure, suggesting that it prevents the
structural rearrangement of the E proteins during the fusion event-a vital step
for infection. This suggests antibody C10 could be a good therapeutic candidate.
PMID- 27882955
TI - Plant biology: Rapid recovery boosts plant yields.
PMID- 27882956
TI - Trump: unlikely to deter clean energy.
PMID- 27882958
TI - Ecology: Ants farm plants in Fiji.
PMID- 27882959
TI - Materials science: Polymers make charge flow easy.
PMID- 27882957
TI - Mirazon Lahr et al. reply.
PMID- 27882960
TI - Astrophysics: Homing in on a fast radio burst.
PMID- 27882961
TI - Trump: China could take lead on climate.
PMID- 27882965
TI - Biochemistry: Catalyst clicks drugs together.
PMID- 27882966
TI - Plant science: A war over water when bacteria invade leaves.
PMID- 27882964
TI - Bacteria establish an aqueous living space in plants crucial for virulence.
AB - High humidity has a strong influence on the development of numerous diseases
affecting the above-ground parts of plants (the phyllosphere) in crop fields and
natural ecosystems, but the molecular basis of this humidity effect is not
understood. Previous studies have emphasized immune suppression as a key step in
bacterial pathogenesis. Here we show that humidity-dependent, pathogen-driven
establishment of an aqueous intercellular space (apoplast) is another important
step in bacterial infection of the phyllosphere. Bacterial effectors, such as
Pseudomonas syringae HopM1, induce establishment of the aqueous apoplast and are
sufficient to transform non-pathogenic P. syringae strains into virulent
pathogens in immunodeficient Arabidopsis thaliana under high humidity.
Arabidopsis quadruple mutants simultaneously defective in a host target (AtMIN7)
of HopM1 and in pattern-triggered immunity could not only be used to reconstitute
the basic features of bacterial infection, but also exhibited humidity-dependent
dyshomeostasis of the endophytic commensal bacterial community in the
phyllosphere. These results highlight a new conceptual framework for
understanding diverse phyllosphere-bacterial interactions.
PMID- 27882968
TI - Population genetics: Clues to shy sharks in seawater DNA.
PMID- 27882971
TI - Genomics: Epidemics marked indigenous DNA.
PMID- 27882967
TI - Senturk et al. reply.
PMID- 27882973
TI - The evolving quality of frictional contact with graphene.
AB - Graphite and other lamellar materials are used as dry lubricants for macroscale
metallic sliding components and high-pressure contacts. It has been shown
experimentally that monolayer graphene exhibits higher friction than multilayer
graphene and graphite, and that this friction increases with continued sliding,
but the mechanism behind this remains subject to debate. It has long been
conjectured that the true contact area between two rough bodies controls
interfacial friction. The true contact area, defined for example by the number of
atoms within the range of interatomic forces, is difficult to visualize directly
but characterizes the quantity of contact. However, there is emerging evidence
that, for a given pair of materials, the quality of the contact can change, and
that this can also strongly affect interfacial friction. Recently, it has been
found that the frictional behaviour of two-dimensional materials exhibits traits
unlike those of conventional bulk materials. This includes the abovementioned
finding that for few-layer two-dimensional materials the static friction force
gradually strengthens for a few initial atomic periods before reaching a constant
value. Such transient behaviour, and the associated enhancement of steady-state
friction, diminishes as the number of two-dimensional layers increases, and was
observed only when the two-dimensional material was loosely adhering to a
substrate. This layer-dependent transient phenomenon has not been captured by any
simulations. Here, using atomistic simulations, we reproduce the experimental
observations of layer-dependent friction and transient frictional strengthening
on graphene. Atomic force analysis reveals that the evolution of static friction
is a manifestation of the natural tendency for thinner and less-constrained
graphene to re-adjust its configuration as a direct consequence of its greater
flexibility. That is, the tip atoms become more strongly pinned, and show greater
synchrony in their stick-slip behaviour. While the quantity of atomic-scale
contacts (true contact area) evolves, the quality (in this case, the local
pinning state of individual atoms and the overall commensurability) also evolves
in frictional sliding on graphene. Moreover, the effects can be tuned by pre
wrinkling. The evolving contact quality is critical for explaining the time
dependent friction of configurationally flexible interfaces.
PMID- 27882972
TI - Emergent phenomena induced by spin-orbit coupling at surfaces and interfaces.
AB - Spin-orbit coupling (SOC) describes the relativistic interaction between the spin
and momentum degrees of freedom of electrons, and is central to the rich
phenomena observed in condensed matter systems. In recent years, new phases of
matter have emerged from the interplay between SOC and low dimensionality, such
as chiral spin textures and spin-polarized surface and interface states. These
low-dimensional SOC-based realizations are typically robust and can be exploited
at room temperature. Here we discuss SOC as a means of producing such
fundamentally new physical phenomena in thin films and heterostructures. We put
into context the technological promise of these material classes for developing
spin-based device applications at room temperature.
PMID- 27882974
TI - United Kingdom: Illness should not curtail PhD funding.
PMID- 27882977
TI - Correction.
PMID- 27882975
TI - Ephrin Bs and canonical Reelin signalling.
PMID- 27882978
TI - Nanoscience: Flexible graphene strengthens friction.
PMID- 27882980
TI - Developmental biology: 'Mini-guts' made with nerves.
PMID- 27882976
TI - Doped polymer semiconductors with ultrahigh and ultralow work functions for ohmic
contacts.
AB - To make high-performance semiconductor devices, a good ohmic contact between the
electrode and the semiconductor layer is required to inject the maximum current
density across the contact. Achieving ohmic contacts requires electrodes with
high and low work functions to inject holes and electrons respectively, where the
work function is the minimum energy required to remove an electron from the Fermi
level of the electrode to the vacuum level. However, it is challenging to produce
electrically conducting films with sufficiently high or low work functions,
especially for solution-processed semiconductor devices. Hole-doped polymer
organic semiconductors are available in a limited work-function range, but hole
doped materials with ultrahigh work functions and, especially, electron-doped
materials with low to ultralow work functions are not yet available. The key
challenges are stabilizing the thin films against de-doping and suppressing
dopant migration. Here we report a general strategy to overcome these limitations
and achieve solution-processed doped films over a wide range of work functions
(3.0-5.8 electronvolts), by charge-doping of conjugated polyelectrolytes and then
internal ion-exchange to give self-compensated heavily doped polymers. Mobile
carriers on the polymer backbone in these materials are compensated by covalently
bonded counter-ions. Although our self-compensated doped polymers superficially
resemble self-doped polymers, they are generated by separate charge-carrier
doping and compensation steps, which enables the use of strong dopants to access
extreme work functions. We demonstrate solution-processed ohmic contacts for high
performance organic light-emitting diodes, solar cells, photodiodes and
transistors, including ohmic injection of both carrier types into polyfluorene
the benchmark wide-bandgap blue-light-emitting polymer organic semiconductor. We
also show that metal electrodes can be transformed into highly efficient hole-
and electron-injection contacts via the self-assembly of these doped
polyelectrolytes. This consequently allows ambipolar field-effect transistors to
be transformed into high-performance p- and n-channel transistors. Our strategy
provides a method for producing ohmic contacts not only for organic
semiconductors, but potentially for other advanced semiconductors as well,
including perovskites, quantum dots, nanotubes and two-dimensional materials.
PMID- 27882979
TI - Contesting the massacre at Nataruk.
PMID- 27882982
TI - Microbiome: Low-fibre diet puts gut at risk.
PMID- 27882981
TI - Trump: threats to space science?
PMID- 27882983
TI - The power of big data must be harnessed for medical progress.
PMID- 27882984
TI - Immigrant and minority scientists shaken by Trump win.
PMID- 27882985
TI - Brazil's scientists battle to escape 20-year funding freeze.
PMID- 27882986
TI - First Middle Eastern X-ray factory readies for action.
PMID- 27882987
TI - Fire up the atom forge.
PMID- 27882988
TI - Rock core from dinosaur-killing impact reveals how enormous craters form.
PMID- 27882989
TI - US Cancer Moonshot must strike a balance between research and prevention.
PMID- 27882990
TI - Malaria vaccine, peatland protection and a string of satellites.
PMID- 27882991
TI - Print flexible solar cells.
PMID- 27882992
TI - Middle East X-ray factory is a source of hope.
PMID- 27882993
TI - Geneticists hope to unlock secrets of bats' complex sounds.
PMID- 27882994
TI - Stand firm on hormone disruptors.
PMID- 27882995
TI - The sparrow with four sexes.
PMID- 27882996
TI - CRISPR gene-editing tested in a person for the first time.
PMID- 27882997
TI - Development of a validated algorithm for the diagnosis of paediatric asthma in
electronic medical records.
AB - An accurate estimation of the prevalence of paediatric asthma in Alberta and
elsewhere is hampered by uncertainty regarding disease definition and diagnosis.
Electronic medical records (EMRs) provide a rich source of clinical data from
primary-care practices that can be used in better understanding the occurrence of
the disease. The Canadian Primary Care Sentinel Surveillance Network (CPCSSN)
database includes cleaned data extracted from the EMRs of primary-care
practitioners. The purpose of the study was to develop and validate a case
definition of asthma in children 1-17 who consult family physicians, in order to
provide primary-care estimates of childhood asthma in Alberta as accurately as
possible. The validation involved the comparison of the application of a
theoretical algorithm (to identify patients with asthma) to a physician review of
records included in the CPCSSN database (to confirm an accurate diagnosis). The
comparison yielded 87.4% sensitivity, 98.6% specificity and a positive and
negative predictive value of 91.2% and 97.9%, respectively, in the age group 1-17
years. The algorithm was also run for ages 3-17 and 6-17 years, and was found to
have comparable statistical values. Overall, the case definition and algorithm
yielded strong sensitivity and specificity metrics and was found valid for use in
research in CPCSSN primary-care practices. The use of the validated asthma
algorithm may improve insight into the prevalence, diagnosis, and management of
paediatric asthma in Alberta and Canada.
PMID- 27883000
TI - Corrigendum: Exposure to Sound Vibrations Lead to Transcriptomic, Proteomic and
Hormonal Changes in Arabidopsis.
PMID- 27882998
TI - Dopamine and Opioid Neurotransmission in Behavioral Addictions: A Comparative PET
Study in Pathological Gambling and Binge Eating.
AB - Although behavioral addictions share many clinical features with drug addictions,
they show strikingly large variation in their behavioral phenotypes (such as in
uncontrollable gambling or eating). Neurotransmitter function in behavioral
addictions is poorly understood, but has important implications in understanding
its relationship with substance use disorders and underlying mechanisms of
therapeutic efficacy. Here, we compare opioid and dopamine function between two
behavioral addiction phenotypes: pathological gambling (PG) and binge eating
disorder (BED). Thirty-nine participants (15 PG, 7 BED, and 17 controls) were
scanned with [11C]carfentanil and [18F]fluorodopa positron emission tomography
using a high-resolution scanner. Binding potentials relative to non-displaceable
binding (BPND) for [11C]carfentanil and influx rate constant (Ki) values for
[18F]fluorodopa were analyzed with region-of-interest and whole-brain voxel-by
voxel analyses. BED subjects showed widespread reductions in [11C]carfentanil
BPND in multiple subcortical and cortical brain regions and in striatal
[18F]fluorodopa Ki compared with controls. In PG patients, [11C]carfentanil BPND
was reduced in the anterior cingulate with no differences in [18F]fluorodopa Ki
compared with controls. In the nucleus accumbens, a key region involved in reward
processing, [11C]Carfentanil BPND was 30-34% lower and [18F]fluorodopa Ki was 20%
lower in BED compared with PG and controls (p<0.002). BED and PG are thus
dissociable as a function of dopaminergic and opioidergic neurotransmission.
Compared with PG, BED patients show widespread losses of mu-opioid receptor
availability together with presynaptic dopaminergic defects. These findings
highlight the heterogeneity underlying the subtypes of addiction and indicate
differential mechanisms in the expression of pathological behaviors and responses
to treatment.
PMID- 27882999
TI - Activation of Ventral Tegmental Area 5-HT2C Receptors Reduces Incentive
Motivation.
AB - Obesity is primarily due to food intake in excess of the body's energetic
requirements, intake that is not only associated with hunger but also the
incentive value of food. The 5-hydroxytryptamine 2C receptor (5-HT2CR) is a
target for the treatment of human obesity. Mechanistically, 5-HT2CRs are
positioned to influence both homeostatic feeding circuits within the hypothalamus
and reward circuits within the ventral tegmental area (VTA). Here we investigated
the role of 5-HT2CRs in incentive motivation using a mathematical model of
progressive ratio (PR) responding in mice. We found that the 5-HT2CR agonist
lorcaserin significantly reduced both ad libitum chow intake and PR responding
for chocolate pellets and increased c-fos expression in VTA 5-HT2CR expressing
gamma-aminobutyric acid (GABA) neurons, but not 5-HT2CR expressing dopamine (DA)
neurons. We next adopted a chemogenetic approach using a 5-HT2CRCRE line to
clarify the function of subset of 5-HT2C receptor expressing VTA neurons in the
modulation of appetite and food-motivated behavior. Activation of VTA 5-HT2C
receptor expressing neurons significantly reduced ad libitum chow intake, operant
responding for chocolate pellets, and the incentive value of food. In contrast,
chemogenetic inhibition of VTA 5-HT2C receptor expressing neurons had no effect
on the feeding behavior. These results indicate that activation of the
subpopulation of 5-HT2CR neurons within the VTA is sufficient to significantly
reduce homeostatic feeding and effort-based intake of palatable food, and that
this subset has an inhibitory role in motivational processes. These findings are
relevant to the treatment of obesity.
PMID- 27883001
TI - Psoriasis.
AB - Psoriasis is a chronic, immune-mediated disorder with cutaneous and systemic
manifestations and substantial negative effects on patient quality of life.
Psoriasis has a strong, albeit polygenic, genetic basis. Whereas approximately
half of the accountable genetic effect of psoriasis maps to the major
histocompatibility complex, >70 other loci have been identified, many of which
implicate nuclear factor-kappaB, interferon signalling and the IL-23-IL-23
receptor axis. Psoriasis pathophysiology is characterized by abnormal
keratinocyte proliferation and immune cell infiltration in the dermis and
epidermis involving the innate and adaptive immune systems, with important roles
for dendritic cells and T cells, among other cells. Frequent comorbidities are
rheumatological and cardiovascular in nature, in particular, psoriatic arthritis.
Current treatments for psoriasis include topical agents, photo-based therapies,
traditional systemic drugs and biologic agents. Treatments can be used in
combination or as monotherapy. Biologic therapies that target specific disease
mediators have become a mainstay in the treatment of moderate-to-severe disease,
whereas advances in the treatment of mild-to-moderate disease have been limited.
PMID- 27883004
TI - Psoriasis.
PMID- 27883002
TI - A randomised open-label cross-over study of inhaler errors, preference and time
to achieve correct inhaler use in patients with COPD or asthma: comparison of
ELLIPTA with other inhaler devices.
AB - Errors in the use of different inhalers were investigated in patients naive to
the devices under investigation in a multicentre, single-visit, randomised, open
label, cross-over study. Patients with chronic obstructive pulmonary disease
(COPD) or asthma were assigned to ELLIPTA vs DISKUS (Accuhaler), metered-dose
inhaler (MDI) or Turbuhaler. Patients with COPD were also assigned to ELLIPTA vs
Handihaler or Breezhaler. Patients demonstrated inhaler use after reading the
patient information leaflet (PIL). A trained investigator assessed critical
errors (i.e., those likely to result in the inhalation of significantly reduced,
minimal or no medication). If the patient made errors, the investigator
demonstrated the correct use of the inhaler, and the patient demonstrated inhaler
use again. Fewer COPD patients made critical errors with ELLIPTA after reading
the PIL vs: DISKUS, 9/171 (5%) vs 75/171 (44%); MDI, 10/80 (13%) vs 48/80 (60%);
Turbuhaler, 8/100 (8%) vs 44/100 (44%); Handihaler, 17/118 (14%) vs 57/118 (48%);
Breezhaler, 13/98 (13%) vs 45/98 (46%; all P<0.001). Most patients (57-70%) made
no errors using ELLIPTA and did not require investigator instruction. Instruction
was required for DISKUS (65%), MDI (85%), Turbuhaler (71%), Handihaler (62%) and
Breezhaler (56%). Fewer asthma patients made critical errors with ELLIPTA after
reading the PIL vs: DISKUS (3/70 (4%) vs 9/70 (13%), P=0.221); MDI (2/32 (6%) vs
8/32 (25%), P=0.074) and significantly fewer vs Turbuhaler (3/60 (5%) vs 20/60
(33%), P<0.001). More asthma and COPD patients preferred ELLIPTA over the other
devices (all P?0.002). Significantly, fewer COPD patients using ELLIPTA made
critical errors after reading the PIL vs other inhalers. More asthma and COPD
patients preferred ELLIPTA over comparator inhalers.
PMID- 27883005
TI - Surgery: Single vs bilateral artery grafts.
PMID- 27883006
TI - Dyslipidaemia: Apo-AI infusion after acute MI.
PMID- 27883003
TI - Opportunities to develop the professional role of community pharmacists in the
care of patients with asthma: a cross-sectional study.
AB - There are many indications in Australia and globally that asthma management is
suboptimal. Ideally, patients need to proactively self-manage the condition with
the support of health professionals. Community pharmacists are a highly
accessible resource for patients but currently provide inconsistent services.
General practitioners also face many barriers to the provision of chronic disease
management for asthma patients. The aim of this research was to characterise
patients with asthma who present to community pharmacy. The objective was to
identify opportunities to develop the role of pharmacists in the context of the
primary healthcare setting and in view of the needs of the patients they
routinely encounter. The results of a comprehensive survey of 248 patients
recruited from community pharmacies indicated there was discordance between
patient perceptions of asthma control and actual asthma control. Almost half the
patients surveyed had poorly controlled asthma, whereas almost three quarters
perceived their asthma to be well or completely controlled. Fewer than 20% of
patients were utilising written asthma action plans, and issues around quality
use of medicines were identified. The significance of the incongruent perceptions
regarding asthma control is that patients are unlikely to proactively seek
intervention and support from healthcare professionals. Community pharmacists
provide a significant opportunity to address these issues by direct intervention.
There is scope to investigate pharmacists preparing written asthma action plans
for patients, using software to monitor medication adherence and prescribe on
going medication. To maximise the potential of pharmacists, barriers to practice
need to be identified and addressed.
PMID- 27883007
TI - Coronary artery disease: Nature versus nurture in coronary atherosclerosis.
PMID- 27883008
TI - Habitat-driven variation in mycorrhizal communities in the terrestrial orchid
genus Dactylorhiza.
AB - Orchid species are critically dependent on mycorrhizal fungi for completion of
their life cycle, particularly during the early stages of their development when
nutritional resources are scarce. As such, orchid mycorrhizal fungi play an
important role in the population dynamics, abundance, and spatial distribution of
orchid species. However, less is known about the ecology and distribution of
orchid mycorrhizal fungi. In this study, we used 454 amplicon pyrosequencing to
investigate ecological and geographic variation in mycorrhizal associations in
fourteen species of the orchid genus Dactylorhiza. More specifically, we tested
the hypothesis that variation in orchid mycorrhizal communities resulted
primarily from differences in habitat conditions where the species were growing.
The results showed that all investigated Dactylorhiza species associated with a
large number of fungal OTUs, the majority belonging to the Tulasnellaceae,
Ceratobasidiaceae and Sebacinales. Mycorrhizal specificity was low, but
significant variation in mycorrhizal community composition was observed between
species inhabiting different ecological habitats. Although several fungi had a
broad geographic distribution, Species Indicator Analysis revealed some fungi
that were characteristic for specific habitats. Overall, these results indicate
that orchid mycorrhizal fungi may have a broad geographic distribution, but that
their occurrence is bounded by specific habitat conditions.
PMID- 27883010
TI - Measles virus induces persistent infection by autoregulation of viral
replication.
AB - Natural infection with measles virus (MV) establishes lifelong immunity.
Persistent infection with MV is likely involved in this phenomenon, as non
replicating protein antigens never induce such long-term immunity. Although MV
establishes stable persistent infection in vitro and possibly in vivo, the
mechanism by which this occurs is largely unknown. Here, we demonstrate that MV
changes the infection mode from lytic to non-lytic and evades the innate immune
response to establish persistent infection without viral genome mutation. We
found that, in the persistent phase, the viral RNA level declined with the
termination of interferon production and cell death. Our analysis of viral
protein dynamics shows that during the establishment of persistent infection, the
nucleoprotein level was sustained while the phosphoprotein and large protein
levels declined. The ectopic expression of nucleoprotein suppressed viral
replication, indicating that viral replication is self-regulated by nucleoprotein
accumulation during persistent infection. The persistently infected cells were
able to produce interferon in response to poly I:C stimulation, suggesting that
MV does not interfere with host interferon responses in persistent infection. Our
results may provide mechanistic insight into the persistent infection of this
cytopathic RNA virus that induces lifelong immunity.
PMID- 27883009
TI - Bumble bee parasite strains vary in resistance to phytochemicals.
AB - Nectar and pollen contain diverse phytochemicals that can reduce disease in
pollinators. However, prior studies showed variable effects of nectar chemicals
on infection, which could reflect variable phytochemical resistance among
parasite strains. Inter-strain variation in resistance could influence
evolutionary interactions between plants, pollinators, and pollinator disease,
but testing direct effects of phytochemicals on parasites requires elimination of
variation between bees. Using cell cultures of the bumble bee parasite Crithidia
bombi, we determined (1) growth-inhibiting effects of nine floral phytochemicals
and (2) variation in phytochemical resistance among four parasite strains. C.
bombi growth was unaffected by naturally occurring concentrations of the known
antitrypanosomal phenolics gallic acid, caffeic acid, and chlorogenic acid.
However, C. bombi growth was inhibited by anabasine, eugenol, and thymol. Strains
varied >3-fold in phytochemical resistance, suggesting that selection for
phytochemical resistance could drive parasite evolution. Inhibitory
concentrations of thymol (4.53-22.2 ppm) were similar to concentrations in Thymus
vulgaris nectar (mean 5.2 ppm). Exposure of C. bombi to naturally occurring
levels of phytochemicals-either within bees or during parasite transmission via
flowers-could influence infection in nature. Flowers that produce antiparasitic
phytochemicals, including thymol, could potentially reduce infection in Bombus
populations, thereby counteracting a possible contributor to pollinator decline.
PMID- 27883011
TI - Glass-Forming Tendency of Molecular Liquids and the Strength of the
Intermolecular Attractions.
AB - When we cool down a liquid below the melting temperature, it can either
crystallize or become supercooled, and then form a disordered solid called glass.
Understanding what makes a liquid to crystallize readily in one case and form a
stable glass in another is a fundamental problem in science and technology. Here
we show that the crystallization/glass-forming tendencies of the molecular
liquids might be correlated with the strength of the intermolecular attractions,
as determined from the combined experimental and computer simulation studies. We
use van der Waals bonded propylene carbonate and its less polar structural analog
3-methyl-cyclopentanone to show that the enhancement of the dipole-dipole forces
brings about the better glass-forming ability of the sample when cooling from the
melt. Our finding was rationalized by the mismatch between the optimal
temperature range for the nucleation and crystal growth, as obtained for a
modeled Lennard-Jones system with explicitly enhanced or weakened attractive part
of the intermolecular 6-12 potential.
PMID- 27883013
TI - Thermally induced magnetic relaxation in square artificial spin ice.
AB - The properties of natural and artificial assemblies of interacting elements,
ranging from Quarks to Galaxies, are at the heart of Physics. The collective
response and dynamics of such assemblies are dictated by the intrinsic dynamical
properties of the building blocks, the nature of their interactions and
topological constraints. Here we report on the relaxation dynamics of the
magnetization of artificial assemblies of mesoscopic spins. In our model nano
magnetic system - square artificial spin ice - we are able to control the
geometrical arrangement and interaction strength between the magnetically
interacting building blocks by means of nano-lithography. Using time resolved
magnetometry we show that the relaxation process can be described using the
Kohlrausch law and that the extracted temperature dependent relaxation times of
the assemblies follow the Vogel-Fulcher law. The results provide insight into the
relaxation dynamics of mesoscopic nano-magnetic model systems, with adjustable
energy and time scales, and demonstrates that these can serve as an ideal
playground for the studies of collective dynamics and relaxations.
PMID- 27883014
TI - First in-flight synchrotron X-ray absorption and photoemission study of carbon
soot nanoparticles.
AB - Many studies have been conducted on the environmental impacts of combustion
generated aerosols. Due to their complex composition and morphology, their
chemical reactivity is not well understood and new developments of analysis
methods are needed. We report the first demonstration of in-flight X-ray based
characterizations of freshly emitted soot particles, which is of paramount
importance for understanding the role of one of the main anthropogenic
particulate contributors to global climate change. Soot particles, produced by a
burner for several air-to-fuel ratios, were injected through an aerodynamic lens,
focusing them to a region where they interacted with synchrotron radiation. X-ray
photoelectron spectroscopy and carbon K-edge near-edge X-ray absorption
spectroscopy were performed and compared to those obtained for supported samples.
A good agreement is found between these samples, although slight oxidation is
observed for supported samples. Our experiments demonstrate that NEXAFS
characterization of supported samples provides relevant information on soot
composition, with limited effects of contamination or ageing under ambient
storage conditions. The highly surface sensitive XPS experiments of airborne soot
indicate that the oxidation is different at the surface as compared to the bulk
probed by NEXAFS. We also report changes in soot's work function obtained at
different combustion conditions.
PMID- 27883012
TI - Immune signatures of protective spleen memory CD8 T cells.
AB - Memory CD8 T lymphocyte populations are remarkably heterogeneous and differ in
their ability to protect the host. In order to identify the whole range of
qualities uniquely associated with protective memory cells we compared the gene
expression signatures of two qualities of memory CD8 T cells sharing the same
antigenic-specificity: protective (Influenza-induced, Flu-TM) and non-protective
(peptide-induced, TIM) spleen memory CD8 T cells. Although Flu-TM and TIM express
classical phenotypic memory markers and are polyfunctional, only Flu-TM protects
against a lethal viral challenge. Protective memory CD8 T cells express a unique
set of genes involved in migration and survival that correlate with their unique
capacity to rapidly migrate within the infected lung parenchyma in response to
influenza infection. We also enlighten a new set of poised genes expressed by
protective cells that is strongly enriched in cytokines and chemokines such as
Ccl1, Ccl9 and Gm-csf. CCL1 and GM-CSF genes are also poised in human memory CD8
T cells. These immune signatures are also induced by two other pathogens
(vaccinia virus and Listeria monocytogenes). The immune signatures associated
with immune protection were identified on circulating cells, i.e. those that are
easily accessible for immuno-monitoring and could help predict vaccines efficacy.
PMID- 27883015
TI - MR Vascular Fingerprinting in Stroke and Brain Tumors Models.
AB - In this study, we evaluated an MRI fingerprinting approach (MRvF) designed to
provide high-resolution parametric maps of the microvascular architecture (i.e.,
blood volume fraction, vessel diameter) and function (blood oxygenation)
simultaneously. The method was tested in rats (n = 115), divided in 3 models:
brain tumors (9 L, C6, F98), permanent stroke, and a control group of healthy
animals. We showed that fingerprinting can robustly distinguish between healthy
and pathological brain tissues with different behaviors in tumor and stroke
models. In particular, fingerprinting revealed that C6 and F98 glioma models have
similar signatures while 9 L present a distinct evolution. We also showed that it
is possible to improve the results of MRvF and obtain supplemental information by
changing the numerical representation of the vascular network. Finally, good
agreement was found between MRvF and conventional MR approaches in healthy
tissues and in the C6, F98, and permanent stroke models. For the 9 L glioma
model, fingerprinting showed blood oxygenation measurements that contradict
results obtained with a quantitative BOLD approach. In conclusion, MR vascular
fingerprinting seems to be an efficient technique to study microvascular
properties in vivo. Multiple technical improvements are feasible and might
improve diagnosis and management of brain diseases.
PMID- 27883016
TI - Sexual display complexity varies non-linearly with age and predicts breeding
status in greater flamingos.
AB - The long-lived greater flamingo (Phoenicopterus roseus) is famous for performing
conspicuous group displays during which adults try to acquire a new mate each
year with varying success. We examined variation in the sexual display complexity
(SDC) of wild flamingos aged between 4 and 37 yrs. SDC was defined as the product
of richness (the number of different display movements) and versatility (the
number of transitions between movements) within a 5 min behavioral sequence. In
both sexes, date in the pairing season had a linear and positive effect on SDC,
whereas age had a quadratic effect, with SDC increasing until about age 20yrs,
and declining afterwards. SDC better explained pairing patterns than age, and
positively influenced the probability of becoming a breeder. Our results thus
support the idea that SDC is an honest signal of individual quality and further
suggest that senescence in display could be an overlooked aspect of reproductive
decline in species with no or weak pair bonding.
PMID- 27883017
TI - Differential neural encoding of sensorimotor and visual body representations.
AB - Sensorimotor processing specifically impacts mental body representations. In
particular, deteriorated somatosensory input (as after complete spinal cord
injury) increases the relative weight of visual aspects of body parts'
representations, leading to aberrancies in how images of body parts are mentally
manipulated (e.g. mental rotation). This suggests that a sensorimotor or visual
reference frame, respectively, can be relatively dominant in local (hands) versus
global (full-body) bodily representations. On this basis, we hypothesized that
the recruitment of a specific reference frame could be reflected in the
activation of sensorimotor versus visual brain networks. To this aim, we directly
compared the brain activity associated with mental rotation of hands versus full
bodies. Mental rotation of hands recruited more strongly the supplementary motor
area, premotor cortex, and secondary somatosensory cortex. Conversely, mental
rotation of full-bodies determined stronger activity in temporo-occipital
regions, including the functionally-localized extrastriate body area. These
results support that (1) sensorimotor and visual frames of reference are used to
represent the body, (2) two distinct brain networks encode local or global bodily
representations, and (3) the extrastriate body area is a multimodal region
involved in body processing both at the perceptual and representational level.
PMID- 27883018
TI - Cytotoxic and genotoxic potential of food-borne nitriles in a liver in vitro
model.
AB - Isothiocyanates are the most intensively studied breakdown products of
glucosinolates from Brassica plants and well recognized for their pleiotropic
effects against cancer but also for their genotoxic potential. However, knowledge
about the bioactivity of glucosinolate-borne nitriles in foods is very poor. As
determined by GC-MS, broccoli glucosinolates mainly degrade to nitriles as
breakdown products. The cytotoxicity of nitriles in human HepG2 cells and primary
murine hepatocytes was marginal as compared to isothiocyanates. Toxicity of
nitriles was not enhanced in CYP2E1-overexpressing HepG2 cells. In contrast, the
genotoxic potential of nitriles was found to be comparable to isothiocyanates.
DNA damage was persistent over a certain time period and CYP2E1-overexpression
further increased the genotoxic potential of the nitriles. Based on actual in
vitro data, no indications are given that food-borne nitriles could be relevant
for cancer prevention, but could pose a certain genotoxic risk under conditions
relevant for food consumption.
PMID- 27883019
TI - 17-oxo-DHA displays additive anti-inflammatory effects with fluticasone
propionate and inhibits the NLRP3 inflammasome.
AB - Chronic obstructive pulmonary disease (COPD) is characterized by reduced lung
function associated with increased local and systemic inflammatory markers, such
as TNFalpha and IL-1beta. Glucocorticoids are used to treat this chronic disease,
however their efficacy is low and new drugs are very much required. 17-oxo-DHA is
a cyclooxygenase-2-dependent, electrophilic, alpha,beta-unsaturated keto
derivative of docosahexaenoic acid with anti-inflammatory properties. We
evaluated the action of 17-oxo-DHA alone or in combination with the steroid
fluticasone propionate (FP) in peripheral blood mononuclear cells (PBMCs) from
COPD patients and healthy individuals exposed to lipopolysaccharide. We show that
PBMCs from COPD patients released higher levels of TNFalpha and IL-1beta compared
to controls. 17-oxo-DHA displayed strong anti-inflammatory effects. The addition
of 17-oxo-DHA in combination with FP showed enhanced anti-inflammatory effects
through the modulation of transcriptional and post-transcriptional mechanisms. 17
oxo-DHA, but not FP, was able to suppress the release of mature IL-1beta through
inhibition of the NLRP3 inflammasome. Furthermore, 17-oxo-DHA inhibited
inflammasome-dependent degradation of the glucocorticoid receptor (GR). Our
findings suggest that 17-oxo-DHA in combination with FP or other steroids might
achieve higher therapeutic efficacy than steroids alone. Combined treatment might
be particularly relevant in those conditions where increased inflammasome
activation may lead to GR degradation and steroid-unresponsive inflammation.
PMID- 27883020
TI - CHFR negatively regulates SIRT1 activity upon oxidative stress.
AB - SIRT1, the NAD+-dependent protein deacetylase, controls cell-cycle progression
and apoptosis by suppressing p53 tumour suppressor. Although SIRT1 is known to be
phosphorylated by JNK1 upon oxidative stress and subsequently down-regulated, it
still remains elusive how SIRT1 stability and activity are controlled. Here, we
have unveiled that CHFR functions as an E3 Ub-ligase of SIRT1, responsible for
its proteasomal degradation under oxidative stress conditions. CHFR interacts
with and destabilizes SIRT1 by ubiquitylation and subsequent proteolysis. Such
CHFR-mediated SIRT1 inhibition leads to the increase of p53 acetylation and its
target gene transcription. Notably, CHFR facilitates SIRT1 destabilization when
SIRT1 is phosphorylated by JNK1 upon oxidative stress, followed by prominent
apoptotic cell death. Meanwhile, JNK inhibitor prevents SIRT1 phosphorylation,
leading to elevated SIRT1 protein levels even in the presence of H2O2. Taken
together, our results indicate that CHFR plays a crucial role in the cellular
stress response pathway by controlling the stability and function of SIRT1.
PMID- 27883021
TI - Identification of aberrant tRNA-halves expression patterns in clear cell renal
cell carcinoma.
AB - Small non-coding RNAs (sncRNA; <200 nt) regulate various cellular processes and
modify gene expression. Under nutritional, biological or physiochemical stress
some mature sncRNAs (e.g. tRNAs) are cleaved into halves (30-50 nt) and smaller
fragments (18-22 nt); the significance and functional role of these tRNA
fragments is unknown, but their existence has been linked to carcinogenesis. We
used small RNA sequencing to determine the expression of sncRNAs. Subsequently
the findings were validated for miR-122-5p, miR-142-3p and 5'tRNA4-Val-AAC using
qPCR. We identified differential expression of 132 miRNAs (upregulated: 61,
downregulated: 71) and 32 tRNAs (upregulated: 13, downregulated: 19). Read length
analysis showed that miRNAs mapped in the 20-24 nt fraction, whereas tRNA reads
mapped in the 30-36 nt fraction instead the expected size of 73-95 nt thereby
indicating cleavage of tRNAs. Overexpression of miR-122-5p and miR-142-3p as well
as downregulation of 5'tRNA4-Val-AAC was validated in an independent cohort of
118 ccRCC and 74 normal renal tissues. Furthermore, staging and grading was
inversely correlated with the 5'tRNA4-Val-AAC expression. Serum levels of miR-122
5p, miR-142-3p and 5'tRNA4-Val-AAC did not differ in ccRCC and control subjects.
In conclusion, 5' cleavage of tRNAs occurs in ccRCC, but the exact functional
implication of tRNA-halve deregulation remains to be clarified.
PMID- 27883024
TI - Lower Vitamin D Metabolites Levels Were Associated With Increased Coronary Artery
Diseases in Type 2 Diabetes Patients in India.
AB - The purpose of the present study was to measure six vitamin D metabolites and to
find the association between vitamin D deficiency and coronary artery diseases in
diabetes (T2DM_CAD). Four groups [control (n = 50), type 2 diabetes (T2DM, n =
71), coronary artery diseases (CAD, n = 28), T2DM_CAD (n = 38)] of total 187
subjects were included in the study. Six vitamin D metabolites (D2, D3, 25(OH)D2,
25(OH)D3, 1,25(OH)2D2, 1,25(OH)2D3), total 25(OH)D and total 1,25(OH)2D were
measured by UPLC/APCI/HRMS method in these subjects. Although all the vitamin D
metabolites were significantly decreased in T2DM_CAD as compared to both control
and T2DM subjects (p < 0.05), only two metabolites i.e., 25(OH)D3 and total
25(OH)D were significantly (p < 0.05) decreased in the T2DM subjects as compared
with the control subjects (p < 0.05). Vitamin D3, 1,25(OH)2D2, 25(OH)D, and
1,25(OH)2D levels were significantly decreased in T2DM_CAD subjects as compared
with CAD subjects (p < 0.05). Further, multiple logistic regression analysis
revealed that total 25(OH)D and total 1,25(OH)2D can be used to predict T2DM (OR
0.82.95% CI 0.68-0.99; p = 0.0208) and T2DM with CAD (OR 0.460, 95% CI 0.242
0.874; p = 0.0177), respectively. Our data concludes that lower concentration of
1,25(OH)2D is associated with type 2 diabetes coexisting with coronary artery
diseases in South Indian subjects.
PMID- 27883022
TI - High expression of GNA13 is associated with poor prognosis in hepatocellular
carcinoma.
AB - Guanine nucleotide binding protein alpha 13 (GNA13) has been found to play
critical roles in the development of several human cancers. However, little is
known about GNA13 expression and its clinical significance in hepatocellular
carcinoma (HCC). In our study, GNA13 was reported to be significantly up
regulated in HCC tissues, and this was correlated with several
clinicopathological parameters, including tumor multiplicity (P = 0.004), TNM
stage (P = 0.002), and BCLC stage (P = 0.010). Further Cox regression analysis
suggested that GNA13 expression was an independent prognostic factor for overall
survival (P = 0.014) and disease-free survival (P = 0.005). Moreover, we found
that overexpression of GNA13 couldn't promote cell proliferation in vitro, but
could significantly increase the invasion ability of HCC cells. Together, our
study demonstrates GNA13 may be served as a prognostic biomarker for HCC patients
after curative hepatectomy, in which high expression of GNA13 suggests poor
prognosis of HCC patients.
PMID- 27883023
TI - A comparison of the performance of molecularly imprinted polymer nanoparticles
for small molecule targets and antibodies in the ELISA format.
AB - Here we show that molecularly imprinted polymer nanoparticles, prepared in
aqueous media by solid phase synthesis with immobilised L-thyroxine, glucosamine,
fumonisin B2 or biotin as template, can demonstrate comparable or better
performance to commercially produced antibodies in enzyme-linked competitive
assays. Imprinted nanoparticles-based assays showed detection limits in the pM
range and polymer-coated microplates are stable to storage at room temperature
for at least 1 month. No response to analyte was detected in control experiments
with nanoparticles imprinted with an unrelated template (trypsin) but prepared
with the same polymer composition. The ease of preparation, high affinity of
solid-phase synthesised imprinted nanoparticles and the lack of requirement for
cold chain logistics make them an attractive alternative to traditional
antibodies for use in immunoassays.
PMID- 27883025
TI - MathIOmica: An Integrative Platform for Dynamic Omics.
AB - Multiple omics data are rapidly becoming available, necessitating the use of new
methods to integrate different technologies and interpret the results arising
from multimodal assaying. The MathIOmica package for Mathematica provides one of
the first extensive introductions to the use of the Wolfram Language to tackle
such problems in bioinformatics. The package particularly addresses the necessity
to integrate multiple omics information arising from dynamic profiling in a
personalized medicine approach. It provides multiple tools to facilitate
bioinformatics analysis, including importing data, annotating datasets, tracking
missing values, normalizing data, clustering and visualizing the classification
of data, carrying out annotation and enumeration of ontology memberships and
pathway analysis. We anticipate MathIOmica to not only help in the creation of
new bioinformatics tools, but also in promoting interdisciplinary investigations,
particularly from researchers in mathematical, physical science and engineering
fields transitioning into genomics, bioinformatics and omics data integration.
PMID- 27883027
TI - Disturbed phospholipid homeostasis in endoplasmic reticulum initiates tri-o
cresyl phosphate-induced delayed neurotoxicity.
AB - Tri-o-cresyl phosphate (TOCP) is a widely used organophosphorus compound, which
can cause a neurodegenerative disorder, i.e., organophosphate-induced delayed
neurotoxicity (OPIDN). The biochemical events in the initiation of OPIDN were not
fully understood except for the essential inhibition of neuropathy target
esterase (NTE). NTE, located in endoplasmic reticulum (ER), catalyzes the
deacylation of phosphatidylcholine (PC) and lysophosphatidylcholine (LPC) to
glycerophosphocholine (GPC). The present study aims to study the changes of ER
phospholipids profile as well as levels of important intermediates of
phospholipid synthesis such as diacylglycerol (DAG) and phosphatidic acid (PA) at
the initiation stage of OPIDN. Hens are the most commonly used animal models of
OPIDN. The spinal cord phospholipidomic profiles of hens treated by TOCP were
studied by using HPLC-MS-MS. The results revealed that TOCP induced an increase
of PC, LPC, and sphingomyelin (SM) levels and a decrease of GPC,
phosphatidylethanolamine (PE), lysophosphatidylethanolamine (LPE),
lysophosphatidylserine (LPS), phosphatidylglycerol (PG), and phosphatidylinositol
(PI) levels., Levels of DAG and PA were also decreased. Pretreatment with
phenylmethylsulfonyl fluoride (PMSF) 24 h before TOCP administration prevented
OPIDN and restored the TOCP-induced changes of phospholipids except GPC. Thus,
the disruption of ER phospholipid homeostasis may contribute to the initiation of
organophosphate-induced delayed neurotoxicity.
PMID- 27883028
TI - Ultra-wideband filtering of spoof surface plasmon polaritons using deep
subwavelength planar structures.
AB - Novel ultra-wideband filtering of spoof surface plasmon polaritons (SPPs) is
proposed in the microwave frequency using deep subwavelength planar structures
printed on thin and flexible dielectric substrate. The proposed planar SPPs
waveguide is composed of two mirror-oriented metallic corrugated strips, which
are further decorated with parallel-arranged slots in the main corrugated strips.
This compound structure provides deep subwavelength field confinement as well as
flexible parameters when employed as a plasmonic waveguide, which is potential to
construct miniaturization. Using momentum and impedance matching technology, we
achieve a smooth conversion between the proposed SPPs waveguide and the
conventional transmission line. To verify the validity of the design, we
fabricate a spoof SPPs filter, and the measured results illustrate excellent
performance, in which the reflection coefficient is less than -10 dB within the
3 dB passband from 1.21 GHz to 7.21 GHz with the smallest insertion loss of 1.23
dB at 2.21 GHz, having very good agreements with numerical simulations. The ultra
wideband filter with low insertion loss and high transmission efficiency
possesses great potential in modern communication systems.
PMID- 27883029
TI - Helicity within the vortex filament model.
AB - Kinetic helicity is one of the invariants of the Euler equations that is
associated with the topology of vortex lines within the fluid. In superfluids,
the vorticity is concentrated along vortex filaments. In this setting, helicity
would be expected to acquire its simplest form. However, the lack of a core
structure for vortex filaments appears to result in a helicity that does not
retain its key attribute as a quadratic invariant. By defining a spanwise vector
to the vortex through the use of a Seifert framing, we are able to introduce
twist and henceforth recover the key properties of helicity. We present several
examples for calculating internal twist to illustrate why the centreline helicity
alone will lead to ambiguous results if a twist contribution is not introduced.
Our choice of the spanwise vector can be expressed in terms of the tangential
component of velocity along the filament. Since the tangential velocity does not
alter the configuration of the vortex at later times, we are able to recover a
similar equation for the internal twist angle to that of classical vortex tubes.
Our results allow us to explain how a quasi-classical limit of helicity emerges
from helicity considerations for individual superfluid vortex filaments.
PMID- 27883026
TI - Inhibition of lysophosphatidic acid receptors 1 and 3 attenuates atherosclerosis
development in LDL-receptor deficient mice.
AB - Lysophosphatidic acid (LPA) is a natural lysophospholipid present at high
concentrations within lipid-rich atherosclerotic plaques. Upon local accumulation
in the damaged vessels, LPA can act as a potent activator for various types of
immune cells through its specific membrane receptors LPA1/3. LPA elicits
chemotactic, pro-inflammatory and apoptotic effects that lead to atherosclerotic
plaque progression. In this study we aimed to inhibit LPA signaling by means of
LPA1/3 antagonism using the small molecule Ki16425. We show that LPA1/3
inhibition significantly impaired atherosclerosis progression. Treatment with
Ki16425 also resulted in reduced CCL2 production and secretion, which led to less
monocyte and neutrophil infiltration. Furthermore, we provide evidence that
LPA1/3 blockade enhanced the percentage of non-inflammatory, Ly6Clow monocytes
and CD4+ CD25+ FoxP3+ T-regulatory cells. Finally, we demonstrate that LPA1/3
antagonism mildly reduced plasma LDL cholesterol levels. Therefore,
pharmacological inhibition of LPA1/3 receptors may prove a promising approach to
diminish atherosclerosis development.
PMID- 27883031
TI - Transition from glass- to gel-like states in clay at a liquid interface.
AB - Colloidal clay in water suspensions are known to exhibit a multitude of bulk
phases depending on initial colloidal concentration and ionic strength, and
examples of this include repulsive Wigner colloidal glasses at low ionic strength
and attractive gels at higher ionic strength due to screened electrostatic forces
by the electrolyte. From confocal Raman microscopy combined with elasticity
measurements, we infer that clay trapped at quasi two-dimensional interfaces
between oil and water also exhibit confined glass-like or gel-like states. The
results can be important for the preparation of particles stabilized colloidal
emulsions or colloidal capsules, and a better understanding of this phenomenon
may lead to new emulsion or encapsulation technologies.
PMID- 27883032
TI - Thermodynamic origin of instability in hybrid halide perovskites.
AB - Degradation of hybrid halide perovskites under the influence of environmental
factors impairs future prospects of using these materials as absorbers in solar
cells. First principle calculations can be used as a guideline in search of new
materials, provided we can rely on their predictive capabilities. We show that
the instability of perovskites can be captured using ab initio total energy
calculations for reactants and products augmented with additional thermodynamic
data to account for finite temperature effects. Calculations suggest that the
instability of CH3NH3PbI3 in moist environment is linked to the aqueous
solubility of the CH3NH3I salt, thus making other perovskite materials with
soluble decomposition products prone to degradation. Properties of NH3OHPbI3,
NH3NH2PbI3, PH4PbI3, SbH4PbI3, CsPbBr3, and a new hypothetical SF3PbI3 perovskite
are studied in the search for alternative solar cell absorber materials with
enhanced chemical stability.
PMID- 27883030
TI - Spatial Quantitation of Drugs in tissues using Liquid Extraction Surface Analysis
Mass Spectrometry Imaging.
AB - Liquid extraction surface analysis mass spectrometry imaging (LESA-MSI) has been
shown to be an effective tissue profiling and imaging technique, producing robust
and reliable qualitative distribution images of an analyte or analytes in tissue
sections. Here, we expand the use of LESA-MSI beyond qualitative analysis to a
quantitative analytical technique by employing a mimetic tissue model previously
shown to be applicable for MALDI-MSI quantitation. Liver homogenate was used to
generate a viable and molecularly relevant control matrix for spiked drug
standards which can be frozen, sectioned and subsequently analyzed for the
generation of calibration curves to quantify unknown tissue section samples. The
effects of extraction solvent composition, tissue thickness and solvent/tissue
contact time were explored prior to any quantitative studies in order to optimize
the LESA-MSI method across several different chemical entities. The use of a
internal standard to normalize regional differences in ionization response across
tissue sections was also investigated. Data are presented comparing quantitative
results generated by LESA-MSI to LC-MS/MS. Subsequent analysis of adjacent tissue
sections using DESI-MSI is also reported.
PMID- 27883033
TI - Detecting and utilizing minority phases in heterogeneous catalysis.
AB - Highly active phases in carbon monoxide oxidation are known, however they are
transient in nature. Here, we determined for the first time the structure of such
a highly active phase on platinum nanoparticles in an actual reactor. Unlike
generally assumed, the surface of this phase is virtually free of adsorbates and
co-exists with carbon-monoxide covered and surface oxidized platinum.
Understanding the relation between gas composition and catalyst structure at all
times and locations within a reactor enabled the rational design of a reactor
concept, which maximizes the amount of the highly active phase and minimizes the
amount of platinum needed.
PMID- 27883034
TI - Questioning the Mpemba effect: hot water does not cool more quickly than cold.
AB - The Mpemba effect is the name given to the assertion that it is quicker to cool
water to a given temperature when the initial temperature is higher. This
assertion seems counter-intuitive and yet references to the effect go back at
least to the writings of Aristotle. Indeed, at first thought one might consider
the effect to breach fundamental thermodynamic laws, but we show that this is not
the case. We go on to examine the available evidence for the Mpemba effect and
carry out our own experiments by cooling water in carefully controlled
conditions. We conclude, somewhat sadly, that there is no evidence to support
meaningful observations of the Mpemba effect.
PMID- 27883035
TI - Telomeres are elongated in older individuals in a hibernating rodent, the edible
dormouse (Glis glis).
AB - Telomere shortening is thought to be an important biomarker for life history
traits such as lifespan and aging, and can be indicative of genome integrity,
survival probability and the risk of cancer development. In humans and other
animals, telomeres almost always shorten with age, with more rapid telomere
attrition in short-lived species. Here, we show that in the edible dormouse (Glis
glis) telomere length significantly increases from an age of 6 to an age of 9
years. While this finding could be due to higher survival of individuals with
longer telomeres, we also found, using longitudinal measurements, a positive
effect of age on the rate of telomere elongation within older individuals. To our
knowledge, no previous study has reported such an effect of age on telomere
lengthening. We attribute this exceptional pattern to the peculiar life-history
of this species, which skips reproduction in years with low food availability.
Further, we show that this "sit tight" strategy in the timing of reproduction is
associated with an increasing likelihood for an individual to reproduce as it
ages. As reproduction could facilitate telomere attrition, this life-history
strategy may have led to the evolution of increased somatic maintenance and
telomere elongation with increasing age.
PMID- 27883036
TI - The mevalonate pathway regulates primitive streak formation via protein
farnesylation.
AB - The primitive streak in peri-implantation embryos forms the mesoderm and endoderm
and controls cell differentiation. The metabolic cues regulating primitive streak
formation remain largely unknown. Here we utilised a mouse embryonic stem (ES)
cell differentiation system and a library of well-characterised drugs to identify
these metabolic factors. We found that statins, which inhibit the mevalonate
metabolic pathway, suppressed primitive streak formation in vitro and in vivo.
Using metabolomics and pharmacologic approaches we identified the downstream
signalling pathway of mevalonate and revealed that primitive streak formation
requires protein farnesylation but not cholesterol synthesis. A tagging-via
substrate approach revealed that nuclear lamin B1 and small G proteins were
farnesylated in embryoid bodies and important for primitive streak gene
expression. In conclusion, protein farnesylation driven by the mevalonate pathway
is a metabolic cue essential for primitive streak formation.
PMID- 27883037
TI - Ground states of a Bose-Einstein Condensate in a one-dimensional laser-assisted
optical lattice.
AB - We study the ground-state behavior of a Bose-Einstein Condensate (BEC) in a Raman
laser-assisted one-dimensional (1D) optical lattice potential forming a
multilayer system. We find that, such system can be described by an effective
model with spin-orbit coupling (SOC) of pseudospin (N-1)/2, where N is the number
of layers. Due to the intricate interplay between atomic interactions, SOC and
laser-assisted tunnelings, the ground-state phase diagrams generally consist of
three phases-a stripe, a plane wave and a normal phase with zero-momentum,
touching at a quantum tricritical point. More important, even though the single
particle states only minimize at zero-momentum for odd N, the many-body ground
states may still develop finite momenta. The underlying mechanisms are
elucidated. Our results provide an alternative way to realize an effective spin
orbit coupling of Bose gas with the Raman-laser-assisted optical lattice, and
would also be beneficial to the studies on SOC effects in spinor Bose systems
with large spin.
PMID- 27883038
TI - Anti-high mobility group box-1 (HMGB1) antibody attenuates delayed cerebral
vasospasm and brain injury after subarachnoid hemorrhage in rats.
AB - Although delayed cerebral vasospasm (DCV) following subarachnoid hemorrhage (SAH)
is closely related to the progression of brain damage, little is known about the
molecular mechanism underlying its development. High mobility group box-1 (HMGB1)
plays an important role as an initial inflammatory mediator in SAH. In this
study, an SAH rat model was employed to evaluate the effects of anti-HMGB1
monoclonal antibody (mAb) on DCV after SAH. A vasoconstriction of the basilar
artery (BA) associated with a reduction of nuclear HMGB1 and its translocation in
vascular smooth muscle cells were observed in SAH rats, and anti-HMGB1 mAb
administration significantly suppressed these effects. Up-regulations of
inflammation-related molecules and vasoconstriction-mediating receptors in the BA
of SAH rats were inhibited by anti-HMGB1 mAb treatment. Anti-HMGB1 mAb attenuated
the enhanced vasocontractile response to thrombin of the isolated BA from SAH
rats and prevented activation of cerebrocortical microglia. Moreover, locomotor
activity and weight loss recovery were also enhanced by anti-HMGB1 mAb
administration. The vasocontractile response of the BA under SAH may be induced
by events that are downstream of responses to HMGB1-induced inflammation and
inhibited by anti-HMGB1 mAb. Anti-HMGB1 mAb treatment may provide a novel
therapeutic strategy for DCV and early brain injury after SAH.
PMID- 27883042
TI - Two novel viruses associated with the Apis mellifera pathogenic mite Varroa
destructor.
AB - Varroa destructor infestation of Apis mellifera colonies carries and/or promotes
replication of honey bee viruses like the Deformed wing virus, the Varroa
destructor virus-1, the Acute bee paralysis virus, the Israeli acute bee
paralysis virus and the Kashmir bee virus that have been well described and
characterized; but viruses exclusively associated with Varroa were not found. To
look for viruses that may associate with- or infect V. destructor we performed
deep sequencing (RNA-seq) of RNA extracted from honey bees and mites in Varroa
infested untreated colonies. Comparative bioinformatic analysis of the two
separate contig-assemblies generated from the sequences' reads annotated using
Blastx enabled identification of new viruses unique to Varroa and absent in A.
mellifera: an Iflavirus and a virus with homology to Ixodes scapularis associated
virus 2, that we named Varroa destructor virus 2 (VDV-2) and 3(VDV-3),
respectively. We validated these findings sequencing the mite- and honey bee
viromes and in separate mites and honey bees randomly sampled. The complete
genomes of VDV-2 and VDV-3 bear 9576 nucleotides and 4202 nucleotides,
respectively. Phylogenetic analysis of VDV-3 suggests that it belongs to a new
group of viruses. Our results open venues for investigating the pathogenicity of
these V. destructor viruses.
PMID- 27883039
TI - Structural and functional probing of PorZ, an essential bacterial surface
component of the type-IX secretion system of human oral-microbiomic Porphyromonas
gingivalis.
AB - Porphyromonas gingivalis is a member of the human oral microbiome abundant in
dysbiosis and implicated in the pathogenesis of periodontal (gum) disease. It
employs a newly described type-IX secretion system (T9SS) for secretion of
virulence factors. Cargo proteins destined for secretion through T9SS carry a
recognition signal in the conserved C-terminal domain (CTD), which is removed by
sortase PorU during translocation. Here, we identified a novel component of T9SS,
PorZ, which is essential for surface exposure of PorU and posttranslational
modification of T9SS cargo proteins. These include maturation of enzyme
precursors, CTD removal and attachment of anionic lipopolysaccharide for
anchorage in the outer membrane. The crystal structure of PorZ revealed two beta
propeller domains and a C-terminal beta-sandwich domain, which conforms to the
canonical CTD architecture. We further documented that PorZ is itself transported
to the cell surface via T9SS as a full-length protein with its CTD intact,
independently of the presence or activity of PorU. Taken together, our results
shed light on the architecture and possible function of a novel component of the
T9SS. Knowledge of how T9SS operates will contribute to our understanding of
protein secretion as part of host-microbiome interactions by dysbiotic members of
the human oral cavity.
PMID- 27883040
TI - Effects of MeJA on Arabidopsis metabolome under endogenous JA deficiency.
AB - Jasmonates (JAs) play important roles in plant growth, development and defense.
Comprehensive metabolomics profiling of plants under JA treatment provides
insights into the interaction and regulation network of plant hormones. Here we
applied high resolution mass spectrometry based metabolomics approach on
Arabidopsis wild type and JA synthesis deficiency mutant opr3. The effects of
exogenous MeJA treatment on the metabolites of opr3 were investigated. More than
10000 ion signals were detected and more than 2000 signals showed significant
variation in different genotypes and treatment groups. Multivariate statistic
analyses (PCA and PLS-DA) were performed and a differential compound library
containing 174 metabolites with high resolution precursor ion-product ions pairs
was obtained. Classification and pathway analysis of 109 identified compounds in
this library showed that glucosinolates and tryptophan metabolism, amino acids
and small peptides metabolism, lipid metabolism, especially fatty acyls
metabolism, were impacted by endogenous JA deficiency and exogenous MeJA
treatment. These results were further verified by quantitative reverse
transcription PCR (RT-qPCR) analysis of 21 related genes involved in the
metabolism of glucosinolates, tryptophan and alpha-linolenic acid pathways. The
results would greatly enhance our understanding of the biological functions of
JA.
PMID- 27883041
TI - Interaction between beta-hexachlorocyclohexane and ADIPOQ genotypes contributes
to the risk of type 2 diabetes mellitus in East Chinese adults.
AB - Growing evidence links environmental exposure to hexachlorocyclohexanes (HCHs) to
the risk of type 2 diabetes mellitus (T2DM), and ADIPOQ that encodes adiponectin
is considered as an important gene for T2DM. However, the role of ADIPOQ-HCH
interaction on T2DM risk remains unclear. Thus, a paired case-control study was
conducted in an East Chinese community. A total of 1446 subjects, including 723
cases and 723 controls matched on age, gender and residence, were enrolled, and 4
types of HCH isomers were measured in serum samples using GC-MS/MS. Additionally,
4 candidate ADIPOQ SNPs (rs182052, rs266729, rs6810075, and rs16861194) were
genotyped by TaqMan assay, and plasma adiponectin was measured using ELISA. No
associations between 4 SNPs and T2DM risk were found, but T2DM risk significantly
increased with serum levels of beta-HCH (P < 0.001). Furthermore, the synergistic
interaction between beta-HCH and rs182052 significantly increased T2DM risk (OR I
additive model = 2.20, OR I-recessive model = 2.13). Additionally, individuals
carrying only rs182052 (A allele) with high levels of beta-HCH had significant
reduction in adiponectin levels (P = 0.016). These results indicate that the
interaction between rs182052 and beta-HCH might increase the risk of T2DM by
jointly decreasing the adiponectin level and potentially trigger T2DM
development.
PMID- 27883043
TI - Acceleration toward polarization singularity inspired by relativistic E*B drift.
AB - The relativistic trajectory of a charged particle driven by the Lorentz force is
different from the classical one, by velocity-dependent relativistic acceleration
term. Here we show that the evolution of optical polarization states near the
polarization singularity can be described in analogy to the relativistic dynamics
of charged particles. A phase transition in parity-time symmetric potentials is
then interpreted in terms of the competition between electric and magnetic
'pseudo'-fields applied to polarization states. Based on this Lorentz pseudo
force representation, we reveal that zero Lorentz pseudo-force is the origin of
recently reported strong polarization convergence to the singular state at the
exceptional point. We also demonstrate the deterministic design of achiral and
directional eigenstates at the exceptional point, allowing an anomalous linear
polarizer which operates orthogonal to forward and backward waves. Our results
linking parity-time symmetry and relativistic electrodynamics show that previous
PT-symmetric potentials for the polarization singularity with a chiral eigenstate
are the subset of optical potentials for the E*B "polarization" drift.
PMID- 27883044
TI - Predicting the location of the hip joint centres, impact of age group and sex.
AB - Clinical gait analysis incorporating three-dimensional motion analysis plays a
key role in planning surgical treatments in people with gait disability. The
position of the Hip Joint Centre (HJC) within the pelvis is thus critical to
ensure accurate data interpretation. The position of the HJC is determined from
regression equations based on anthropometric measurements derived from relatively
small datasets. Current equations do not take sex or age into account, even
though pelvis shape is known to differ between sex, and gait analysis is
performed in populations with wide range of age. Three dimensional images of 157
deceased individuals (37 children, 120 skeletally matured) were collected with
computed tomography. The location of the HJC within the pelvis was determined and
regression equations to locate the HJC were developed using various
anthropometrics predictors. We determined if accuracy improved when age and sex
were introduced as variables. Statistical analysis did not support
differentiating the equations according to sex. We found that age only modestly
improved accuracy. We propose a range of new regression equations, derived from
the largest dataset collected for this purpose to date.
PMID- 27883045
TI - Acidic mammalian chitinase is a proteases-resistant glycosidase in mouse
digestive system.
AB - Chitinases are enzymes that hydrolyze chitin, a polymer of beta-1, 4-linked N
acetyl-D-glucosamine (GlcNAc). Chitin has long been considered as a source of
dietary fiber that is not digested in the mammalian digestive system. Here, we
provide evidence that acidic mammalian chitinase (AMCase) can function as a major
digestive enzyme that constitutively degrades chitin substrates and produces
(GlcNAc)2 fragments in the mouse gastrointestinal environment. AMCase was
resistant to endogenous pepsin C digestion and remained active in the mouse
stomach extract at pH 2.0. The AMCase mRNA levels were much higher than those of
four major gastric proteins and two housekeeping genes and comparable to the
level of pepsinogen C in the mouse stomach tissues. Furthermore, AMCase was
expressed in the gastric pepsinogen-synthesizing chief cells. The enzyme was also
stable and active in the presence of trypsin and chymotrypsin at pH 7.6, where
pepsin C was completely degraded. Mouse AMCase degraded polymeric colloidal and
crystalline chitin substrates in the gastrointestinal environments in presence of
the proteolytic enzymes. Thus, AMCase can function as a protease-resistant major
glycosidase under the conditions of stomach and intestine and degrade chitin
substrates to produce (GlcNAc)2, a source of carbon, nitrogen and energy.
PMID- 27883046
TI - Holding-on: co-evolution between infant carrying and grasping behaviour in
strepsirrhines.
AB - The origin and evolution of manual grasping remain poorly understood. The ability
to cling requires important grasping abilities and is essential to survive in
species where the young are carried in the fur. A previous study has suggested
that this behaviour could be a pre-adaptation for the evolution of fine
manipulative skills. In this study we tested the co-evolution between infant
carrying in the fur and manual grasping abilities in the context of food
manipulation. As strepsirrhines vary in the way infants are carried (mouth vs.
fur), they are an excellent model to test this hypothesis. Data on food
manipulation behaviour were collected for 21 species of strepsirrhines. Our
results show that fur-carrying species exhibited significantly more frequent
manual grasping of food items. This study clearly illustrates the potential novel
insights that a behaviour (infant carrying) that has previously been largely
ignored in the discussion of the evolution of primate manipulation can bring.
PMID- 27883047
TI - Highly expressed ribosomal protein L34 indicates poor prognosis in osteosarcoma
and its knockdown suppresses osteosarcoma proliferation probably through
translational control.
AB - Osteosarcoma has devastating health implications on children and adolescents.
However, due to its low incidence and high tumor heterogeneity, it is hard to
achieve any further improvements in therapy and overall survival. Ribosomal
protein L34 (RPL34) has been increasingly recognized to promote the proliferation
of malignant cells, but its role in osteosarcoma has not been investigated. In
this study, real-time quantitative PCR (RT-qPCR) and immunohistochemistry
revealed that RPL34 was highly expressed in osteosarcoma tissues when compared to
adjacent tissues and normal bone tissues. Survival analysis showed that high
expression of RPL34 predicted a poor prognosis for osteosarcoma patients.
Knockdown of RPL34 in Saos-2 cells via lentivirus-mediated small interfering RNA
(siRNA) significantly inhibited cell proliferation, induced cell apoptosis and
G2/M phase arrest. Moreover, screening of transcription factors using University
of California Santa Cruz (UCSC) Genome Browser, protein-protein interaction (PPI)
network analysis, Gene Ontology (GO) and pathway enrichment analysis revealed
that MYC participates in the transcriptional regulation of RPL34, which interacts
with the subunits of eukaryotic translation initiation factor 3 (eIF3) and
probably involves the translational control of growth-promoting proteins. Our
findings suggest that RPL34 plays an important role in the proliferation of
osteosarcoma cells.
PMID- 27883050
TI - Reduction of randomness in seismic noise as a short-term precursor to a volcanic
eruption.
AB - Ambient seismic noise is characterized by randomness incurred by the random
position and strength of the noise sources as well as the heterogeneous
properties of the medium through which it propagates. Here we use ambient noise
data recorded prior to the 1996 Gjalp eruption in Iceland in order to show that a
reduction of noise randomness can be a clear short-term precursor to volcanic
activity. The eruption was preceded on 29 September 1996 by a Mw ~5.6 earthquake
that occurred in the caldera rim of the Bardarbunga volcano. A significant
reduction of randomness started occurring 8 days before the earthquake and 10
days before the onset of the eruption. This reduction was observed even at
stations more than 100 km away from the eruption site. Randomness increased to
its previous levels 160 minutes after the Bardarbunga earthquake, during which
time aftershocks migrated from the Bardarbunga caldera to a site near the Gjalp
eruption fissure. We attribute this precursory reduction of randomness to the
lack of higher frequencies (>1 Hz) in the noise wavefield caused by high
absorption losses as hot magma ascended in the upper crust.
PMID- 27883049
TI - mQC: A Heuristic Quality-Control Metric for High-Throughput Drug Combination
Screening.
AB - Quality control (QC) metrics are critical in high throughput screening (HTS)
platforms to ensure reliability and confidence in assay data and downstream
analyses. Most reported HTS QC metrics are designed for plate level or single
well level analysis. With the advent of high throughput combination screening
there is a need for QC metrics that quantify the quality of combination response
matrices. We introduce a predictive, interpretable, matrix-level QC metric, mQC,
based on a mix of data-derived and heuristic features. mQC accurately reproduces
the expert assessment of combination response quality and correctly identifies
unreliable response matrices that can lead to erroneous or misleading
characterization of synergy. When combined with the plate-level QC metric, Z',
mQC provides a more appropriate determination of the quality of a drug
combination screen. Retrospective analysis on a number of completed combination
screens further shows that mQC is able to identify problematic screens whereas
plate-level QC was not able to. In conclusion, our data indicates that mQC is a
reliable QC filter that can be used to identify problematic drug combinations
matrices and prevent further analysis on erroneously active combinations as well
as for troubleshooting failed screens. The R source code of mQC is available at
http://matrix.ncats.nih.gov/mQC.
PMID- 27883048
TI - Proteomic profile of the Bradysia odoriphaga in response to the microbial
secondary metabolite benzothiazole.
AB - Benzothiazole, a microbial secondary metabolite, has been demonstrated to possess
fumigant activity against Sclerotinia sclerotiorum, Ditylenchus destructor and
Bradysia odoriphaga. However, to facilitate the development of novel microbial
pesticides, the mode of action of benzothiazole needs to be elucidated. Here, we
employed iTRAQ-based quantitative proteomics analysis to investigate the effects
of benzothiazole on the proteomic expression of B. odoriphaga. In response to
benzothiazole, 92 of 863 identified proteins in B. odoriphaga exhibited altered
levels of expression, among which 14 proteins were related to the action
mechanism of benzothiazole, 11 proteins were involved in stress responses, and 67
proteins were associated with the adaptation of B. odoriphaga to benzothiazole.
Further bioinformatics analysis indicated that the reduction in energy
metabolism, inhibition of the detoxification process and interference with DNA
and RNA synthesis were potentially associated with the mode of action of
benzothiazole. The myosin heavy chain, succinyl-CoA synthetase and Ca+
transporting ATPase proteins may be related to the stress response. Increased
expression of proteins involved in carbohydrate metabolism, energy production and
conversion pathways was responsible for the adaptive response of B. odoriphaga.
The results of this study provide novel insight into the molecular mechanisms of
benzothiazole at a large-scale translation level and will facilitate the
elucidation of the mechanism of action of benzothiazole.
PMID- 27883052
TI - Electrical Switching in Semiconductor-Metal Self-Assembled VO2 Disordered
Metamaterial Coatings.
AB - As a strongly correlated metal oxide, VO2 inspires several highly technological
applications. The challenging reliable wafer-scale synthesis of high quality
polycrystalline VO2 coatings is demonstrated on 4" Si taking advantage of the
oxidative sintering of chemically vapor deposited VO2 films. This approach
results in films with a semiconductor-metal transition (SMT) quality approaching
that of the epitaxial counterpart. SMT occurs with an abrupt electrical
resistivity change exceeding three orders of magnitude with a narrow hysteresis
width. Spatially resolved infrared and Raman analyses evidence the self-assembly
of VO2 disordered metamaterial, compresing monoclinic (M1 and M2) and rutile (R)
domains, at the transition temperature region. The M2 mediation of the M1-R
transition is spatially confined and related to the localized strain
stabilization of the M2 phase. The presence of the M2 phase is supposed to play a
role as a minor semiconducting phase far above the SMT temperature. In terms of
application, we show that the VO2 disordered self-assembly of M and R phases is
highly stable and can be thermally triggered with high precision using short
heating or cooling pulses with adjusted strengths. Such a control enables an
accurate and tunable thermal control of the electrical switching.
PMID- 27883053
TI - Measuring intratumor heterogeneity by network entropy using RNA-seq data.
AB - Intratumor heterogeneity (ITH) is observed at different stages of tumor
progression, metastasis and reouccurence, which can be important for clinical
applications. We used RNA-sequencing data from tumor samples, and measured the
level of ITH in terms of biological network states. To model complex
relationships among genes, we used a protein interaction network to consider gene
gene dependency. ITH was measured by using an entropy-based distance metric
between two networks, nJSD, with Jensen-Shannon Divergence (JSD). With nJSD, we
defined transcriptome-based ITH (tITH). The effectiveness of tITH was extensively
tested for the issues related with ITH using real biological data sets. Human
cancer cell line data and single-cell sequencing data were investigated to verify
our approach. Then, we analyzed TCGA pan-cancer 6,320 patients. Our result was in
agreement with widely used genome-based ITH inference methods, while showed
better performance at survival analysis. Analysis of mouse clonal evolution data
further confirmed that our transcriptome-based ITH was consistent with genetic
heterogeneity at different clonal evolution stages. Additionally, we found that
cell cycle related pathways have significant contribution to increasing
heterogeneity on the network during clonal evolution. We believe that the
proposed transcriptome-based ITH is useful to characterize heterogeneity of a
tumor sample at RNA level.
PMID- 27883054
TI - Castable Bulk Metallic Glass Strain Wave Gears: Towards Decreasing the Cost of
High-Performance Robotics.
AB - The use of bulk metallic glasses (BMGs) as the flexspline in strain wave gears
(SWGs), also known as harmonic drives, is presented. SWGs are unique, ultra
precision gearboxes that function through the elastic flexing of a thin-walled
cup, called a flexspline. The current research demonstrates that BMGs can be cast
at extremely low cost relative to machining and can be implemented into SWGs as
an alternative to steel. This approach may significantly reduce the cost of SWGs,
enabling lower-cost robotics. The attractive properties of BMGs, such as
hardness, elastic limit and yield strength, may also be suitable for extreme
environment applications in spacecraft.
PMID- 27883051
TI - Lipid Coated Microbubbles and Low Intensity Pulsed Ultrasound Enhance
Chondrogenesis of Human Mesenchymal Stem Cells in 3D Printed Scaffolds.
AB - Lipid-coated microbubbles are used to enhance ultrasound imaging and drug
delivery. Here we apply these microbubbles along with low intensity pulsed
ultrasound (LIPUS) for the first time to enhance proliferation and chondrogenic
differentiation of human mesenchymal stem cells (hMSCs) in a 3D printed poly
(ethylene glycol)-diacrylate (PEG-DA) hydrogel scaffold. The hMSC proliferation
increased up to 40% after 5 days of culture in the presence of 0.5% (v/v)
microbubbles and LIPUS in contrast to 18% with LIPUS alone. We systematically
varied the acoustic excitation parameters-excitation intensity, frequency and
duty cycle-to find 30 mW/cm2, 1.5 MHz and 20% duty cycle to be optimal for hMSC
proliferation. A 3-week chondrogenic differentiation results demonstrated that
combining LIPUS with microbubbles enhanced glycosaminoglycan (GAG) production by
17% (5% with LIPUS alone), and type II collagen production by 78% (44% by LIPUS
alone). Therefore, integrating LIPUS and microbubbles appears to be a promising
strategy for enhanced hMSC growth and chondrogenic differentiation, which are
critical components for cartilage regeneration. The results offer possibilities
of novel applications of microbubbles, already clinically approved for contrast
enhanced ultrasound imaging, in tissue engineering.
PMID- 27883056
TI - Authenticity screening of stained glass windows using optical spectroscopy.
AB - Civilized societies should safeguard their heritage as it plays an important role
in community building. Moreover, past technologies often inspire new technology.
Authenticity is besides conservation and restoration a key aspect in preserving
our past, for example in museums when exposing showpieces. The classification of
being authentic relies on an interdisciplinary approach integrating art
historical and archaeological research complemented with applied research. In
recent decades analytical dating tools are based on determining the raw materials
used. However, the traditional applied non-portable, chemical techniques are
destructive and time-consuming. Since museums oftentimes only consent to research
actions which are completely non-destructive, optical spectroscopy might offer a
solution. As a case-study we apply this technique on two stained glass panels for
which the 14th century dating is nowadays questioned. With this research we were
able to identify how simultaneous mapping of spectral signatures measured with a
low cost optical spectrum analyser unveils information regarding the production
period. The significance of this research extends beyond the re-dating of these
panels to the 19th century as it provides an instant tool enabling immediate
answering authenticity questions during the conservation process of stained
glass, thereby providing the necessary data for solving deontological questions
about heritage preservation.
PMID- 27883061
TI - Unravelling the dynamical origin of below- and near-threshold harmonic generation
of H2+ in an intense NIR laser field.
AB - Recently, the study of near- and below- threshold regime harmonics as a potential
source of intense coherent vacuum-ultraviolet radiation has received considerable
attention. However, the dynamical origin of these lower harmonics, particularly
for the molecular systems, is less understood and largely unexplored. Here we
perform the first fully ab initio and high precision 3D quantum study of the
below- and near-threshold harmonic generation of molecules in an intense 800-nm
near-infrared (NIR) laser field. Combining with a synchrosqueezing transform of
the quantum time-frequency spectrum and an extended semiclassical analysis, we
explore in-depth the roles of various quantum trajectories, including short- and
long trajectories, multiphoton trajectories, resonance-enhanced trajectories, and
multiple rescattering trajectories of the below- and near- threshold harmonic
generation processes. Our results shed new light on the dynamical origin of the
below- and near-threshold harmonic generation and various quantum trajectories
for diatomic molecules for the first time.
PMID- 27883055
TI - GapmeR cellular internalization by macropinocytosis induces sequence-specific
gene silencing in human primary T-cells.
AB - Post-transcriptional gene silencing holds great promise in discovery research for
addressing intricate biological questions and as therapeutics. While various gene
silencing approaches, such as siRNA and CRISPR-Cas9 techniques, are available,
these cannot be effectively applied to "hard-to-transfect" primary T-lymphocytes.
The locked nucleic acid-conjugated chimeric antisense oligonucleotide, called
"GapmeR", is an emerging new class of gene silencing molecule. Here, we show that
GapmeR internalizes into human primary T-cells through macropinocytosis.
Internalized GapmeR molecules can associate with SNX5-positive macropinosomes in
T-cells, as detected by super-resolution microscopy. Utilizing the intrinsic self
internalizing capability of GapmeR, we demonstrate significant and specific
depletion (>70%) of the expression of 5 different endogenous proteins with
varying molecular weights (18 kDa Stathmin, 80 kDa PKCepsilon, 180 kDa CD11a, 220
kDa Talin1 and 450 kDa CG-NAP/AKAP450) in human primary and cultured T-cells.
Further functional analysis confirms CG-NAP and Stathmin as regulators of T-cell
motility. Thus, in addition to screening, identifying or verifying critical roles
of various proteins in T-cell functioning, this study provides novel
opportunities to silence individual or multiple genes in a subset of purified
human primary T-cells that would be exploited as future therapeutics.
PMID- 27883062
TI - Genome-wide transcriptome analysis revealed organelle specific responses to
temperature variations in algae.
AB - Temperature is a critical environmental factor that affects microalgal growth.
However, microalgal coping mechanisms for temperature variations are unclear.
Here, we determined changes in transcriptome, total carbohydrate, total fatty
acid methyl ester, and fatty acid composition of Tetraselmis sp. KCTC12432BP, a
strain with a broad temperature tolerance range, to elucidate the tolerance
mechanisms in response to large temperature variations. Owing to unavailability
of genome sequence information, de novo transcriptome assembly coupled with BLAST
analysis was performed using strand specific RNA-seq data. This resulted in
26,245 protein-coding transcripts, of which 83.7% could be annotated to putative
functions. We identified more than 681 genes differentially expressed, suggesting
an organelle-specific response to temperature variation. Among these, the genes
related to the photosynthetic electron transfer chain, which are localized in the
plastid thylakoid membrane, were upregulated at low temperature. However, the
transcripts related to the electron transport chain and biosynthesis of
phosphatidylethanolamine localized in mitochondria were upregulated at high
temperature. These results show that the low energy uptake by repressed
photosynthesis under low and high temperature conditions is compensated by
different mechanisms, including photosystem I and mitochondrial oxidative
phosphorylation, respectively. This study illustrates that microalgae tolerate
different temperature conditions through organelle specific mechanisms.
PMID- 27883063
TI - On-demand Hydrogen Production from Organosilanes at Ambient Temperature Using
Heterogeneous Gold Catalysts.
AB - An environmentally friendly ("green"), H2-generation system was developed that
involved hydrolytic oxidation of inexpensive organosilanes as hydrogen storage
materials with newly developed heterogeneous gold nanoparticle catalysts. The
gold catalyst functioned well at ambient temperature under aerobic conditions,
providing efficient production of pure H2. The newly developed size-selective
gold nanoparticle catalysts could be separated easily from the reaction mixture
containing organosilanes, allowing an on/off-switchable H2-production by the
introduction and removal of the catalyst. This is the first report of an on/off
switchable H2-production system employing hydrolytic oxidation of inexpensive
organosilanes without requiring additional energy.
PMID- 27883066
TI - Meteotsunamis in the Laurentian Great Lakes.
AB - The generation mechanism of meteotsunamis, which are meteorologically induced
water waves with spatial/temporal characteristics and behavior similar to seismic
tsunamis, is poorly understood. We quantify meteotsunamis in terms of
seasonality, causes, and occurrence frequency through the analysis of long-term
water level records in the Laurentian Great Lakes. The majority of the observed
meteotsunamis happen from late-spring to mid-summer and are associated primarily
with convective storms. Meteotsunami events of potentially dangerous magnitude
(height > 0.3 m) occur an average of 106 times per year throughout the region.
These results reveal that meteotsunamis are much more frequent than follow from
historic anecdotal reports. Future climate scenarios over the United States show
a likely increase in the number of days favorable to severe convective storm
formation over the Great Lakes, particularly in the spring season. This would
suggest that the convectively associated meteotsunamis in these regions may
experience an increase in occurrence frequency or a temporal shift in occurrence
to earlier in the warm season. To date, meteotsunamis in the area of the Great
Lakes have been an overlooked hazard.
PMID- 27883060
TI - Environmental Intervention as a Therapy for Adverse Programming by Ancestral
Stress.
AB - Ancestral stress can program stress sensitivity and health trajectories across
multiple generations. While ancestral stress is uncontrollable to the filial
generations, it is critical to identify therapies that overcome transgenerational
programming. Here we report that prenatal stress in rats generates a
transgenerationally heritable endocrine and epigenetic footprint and elevated
stress sensitivity which can be alleviated by beneficial experiences in later
life. Ancestral stress led to downregulated glucocorticoid receptor and
prefrontal cortex neuronal densities along with precocious development of anxiety
like behaviours. Environmental enrichment (EE) during adolescence mitigated
endocrine and neuronal markers of stress and improved miR-182 expression linked
to brain-derived neurotrophic factor (BDNF) and neurotrophin-3 (NT-3) regulation
in stressed lineages. Thus, EE may serve as a powerful intervention for adverse
transgenerational programming through microRNA-mediated regulation of BDNF and NT
3 pathways. The identification of microRNAs that mediate the actions of EE
highlights new therapeutic strategies for mental health conditions and
psychiatric disease.
PMID- 27883065
TI - Cycles of circadian illuminance are sufficient to entrain and maintain circadian
locomotor rhythms in Drosophila.
AB - Light at night disrupts the circadian clock and causes serious health problems in
the modern world. Here, we show that newly developed four-package light-emitting
diodes (LEDs) can provide harmless lighting at night. To quantify the effects of
light on the circadian clock, we employed the concept of circadian illuminance
(CIL). CIL represents the amount of light weighted toward the wavelengths to
which the circadian clock is most sensitive, whereas visual illuminance (VIL)
represents the total amount of visible light. Exposure to 12 h:12 h cycles of
white LED light with high and low CIL values but a constant VIL value (conditions
hereafter referred to as CH/CL) can entrain behavioral and molecular circadian
rhythms in flies. Moreover, flies re-entrain to phase shift in the CH/CL cycle.
Core-clock proteins are required for the rhythmic behaviors seen with this LED
lighting scheme. Taken together, this study provides a guide for designing
healthful white LED lights for use at night, and proposes the use of the CIL
value for estimating the harmful effects of any light source on organismal
health.
PMID- 27883057
TI - The Warburg Effect Mediator Pyruvate Kinase M2 Expression and Regulation in the
Retina.
AB - The tumor form of pyruvate kinase M2 (PKM2) undergoes tyrosine phosphorylation
and gives rise to the Warburg effect. The Warburg effect defines a pro-oncogenic
metabolism switch such that cancer cells take up more glucose than normal tissue
and favor incomplete oxidation of glucose, even in the presence of oxygen.
Retinal photoreceptors are highly metabolic and their energy consumption is
equivalent to that of a multiplying tumor cell. In the present study, we found
that PKM2 is the predominant isoform in both rod- and cone-dominant retina, and
that it undergoes a light-dependent tyrosine phosphorylation. We also discovered
that PKM2 phosphorylation is signaled through photobleaching of rhodopsin. Our
findings suggest that phosphoinositide 3-kinase activation promotes PKM2
phosphorylation. Light and tyrosine phosphorylation appear to regulate PKM2 to
provide a metabolic advantage to photoreceptor cells, thereby promoting cell
survival.
PMID- 27883067
TI - All-optical THz wave switching based on CH3NH3PbI3 perovskites.
AB - Hybrid structures of silicon with organic-inorganic perovskites are proposed for
optically controllable switching of terahertz (THz) waves over a broad spectral
range from 0.2 to 2THz. A 532-nm external laser was utilized to generate
photoexcited free carriers at the devices and consequentially to control the
terahertz amplitude modulation, obtaining a depth of up to 68% at a laser
irradiance of 1.5 W/cm2. In addition, we compared the performances from three
types of perovskite devices fabricated via different solution processing methods
and suggested a stable and highly efficient THz switch based on a one-step
processing. By this we demonstrated the possibility of perovskites as THz wave
switching devices in addition to photovoltaics.
PMID- 27883058
TI - Role of ectonucleotide pyrophosphatase/phosphodiesterase 2 in the midline axis
formation of zebrafish.
AB - Lysophosphatidic acid (LPA) is a unique bioactive lysophospholipid that induces
pleiotropic effects in various cell types and organisms by acting on its specific
receptors. LPA is mainly synthetised extracellularly by the ectonucleotide
pyrophosphatase/phosphodiesterase 2/autotaxin (enpp2). Altered LPA signalling is
associated with embryonic abnormalities, suggesting critical roles for LPA during
development. However, the role of LPA signalling during early embryogenesis is
not well established. We demonstrate that enpp2/LPA signalling in the early
zebrafish embryo results in altered axis and midline formation, defects in left
right (L-R) patterning, ciliogenesis of the Kupffer's vesicle (KV), through the
modulation of cell migration during gastrulation in a lpar1-3 Rho/ROCK-dependant
manner. Overall, this study demonstrates an essential role of enpp2/LPA
signalling during early embryogenesis.
PMID- 27883068
TI - "Cerebellar contribution to visuo-attentional alpha rhythm: insights from
weightlessness".
AB - Human brain adaptation in weightlessness follows the necessity to reshape the
dynamic integration of the neural information acquired in the new environment.
This basic aspect was here studied by the electroencephalogram (EEG) dynamics
where oscillatory modulations were measured during a visuo-attentional state
preceding a visuo-motor docking task. Astronauts in microgravity conducted the
experiment in free-floating aboard the International Space Station, before the
space flight and afterwards. We observed stronger power decrease (~ERD: event
related desynchronization) of the ~10 Hz oscillation from the occipital-parietal
(alpha ERD) to the central areas (mu ERD). Inverse source modelling of the
stronger alpha ERD revealed a shift from the posterior cingulate cortex (BA31,
from the default mode network) on Earth to the precentral cortex (BA4, primary
motor cortex) in weightlessness. We also observed significant contribution of the
vestibular network (BA40, BA32, and BA39) and cerebellum (lobule V, VI). We
suggest that due to the high demands for the continuous readjustment of an
appropriate body posture in free-floating, this visuo-attentional state required
more contribution from the motor cortex. The cerebellum and the vestibular
network involvement in weightlessness might support the correction signals
processing necessary for postural stabilization, and the increased demand to
integrate incongruent vestibular information.
PMID- 27883064
TI - The microRNA toolkit of insects.
AB - Is there a correlation between miRNA diversity and levels of organismic
complexity? Exhibiting extraordinary levels of morphological and developmental
complexity, insects are the most diverse animal class on earth. Their
evolutionary success was in particular shaped by the innovation of holometabolan
metamorphosis in endopterygotes. Previously, miRNA evolution had been linked to
morphological complexity, but astonishing variation in the currently available
miRNA complements of insects made this link unclear. To address this issue, we
sequenced the miRNA complement of the hemimetabolan Blattella germanica and
reannotated that of two other hemimetabolan species, Locusta migratoria and
Acyrthosiphon pisum, and of four holometabolan species, Apis mellifera, Tribolium
castaneum, Bombyx mori and Drosophila melanogaster. Our analyses show that the
variation of insect miRNAs is an artefact mainly resulting from poor sampling and
inaccurate miRNA annotation, and that insects share a conserved microRNA toolkit
of 65 families exhibiting very low variation. For example, the evolutionary shift
toward a complete metamorphosis was accompanied only by the acquisition of three
and the loss of one miRNA families.
PMID- 27883059
TI - Hepatic SATB1 induces paracrine activation of hepatic stellate cells and is
upregulated by HBx.
AB - Chronic hepatitis B virus (HBV) infection is a major cause of chronic liver
diseases, but its involvement in hepatic fibrogenesis remains unclear. Special AT
rich binding protein 1 (SATB1) has been implicated in reprogramming chromatin
organization and transcription profiles in many cancers and non-cancer-related
conditions. We found that hepatic SATB1 expression was significantly up-regulated
in fibrotic tissues from chronic hepatitis B virus (HBV)-infected patients and
HBV transgenic (HBV-Tg) mouse model. Knockdown of SATB1 in the liver
significantly alleviated CCl4-induced fibrosis in HBV-Tg mouse model. Moreover,
we suggested HBV encoded x protein (HBx) induced SATB1 expression through
activation of JNK and ERK pathways. Enforced expression of SATB1 in hepatocytes
promoted the activation and proliferation of hepatic stellate cells (HSCs) by
secretion of connective tissue growth factor (CTGF), Interleukin-6 (IL-6) and
platelet derived growth factor-A (PDGF-AA). Our findings demonstrated that HBx
upregulated hepatic SATB1 which exerted pro-fibrotic effects by paracrine
activation of stellate cells in HBV-related fibrosis.
PMID- 27883070
TI - pH-responsive Virus-like Nanoparticles with Enhanced Tumour-targeting Ligands for
Cancer Drug Delivery.
AB - Multifunctional nanocarriers harbouring specific targeting moieties and with pH
responsive properties offer great potential for targeted cancer therapy. Several
synthetic drug carriers have been studied extensively as drug delivery systems
but not much information is available on the application of virus-like
nanoparticles (VLNPs) as multifunctional nanocarriers. Here, we describe the
development of pH-responsive VLNPs, based on truncated hepatitis B virus core
antigen (tHBcAg), displaying folic acid (FA) for controlled drug delivery. FA was
conjugated to a pentadecapeptide containing nanoglue bound on tHBcAg
nanoparticles to increase the specificity and efficacy of the drug delivery
system. The tHBcAg nanoparticles loaded with doxorubicin (DOX) and polyacrylic
acid (PAA) demonstrated a sustained drug release profile in vitro under tumour
tissue conditions in a controlled manner and improved the uptake of DOX in
colorectal cancer cells, leading to enhanced antitumour effects. This study
demonstrated that DOX-PAA can be packaged into VLNPs without any modification of
the DOX molecules, preserving the pharmacological activity of the loaded DOX. The
nanoglue can easily be used to display a tumour-targeting molecule on the
exterior surface of VLNPs and can bypass the laborious and time-consuming genetic
engineering approaches.
PMID- 27883069
TI - Quality of Life and Cost-Effectiveness of Radiofrequency Ablation versus Open
Surgery for Benign Thyroid Nodules: a retrospective cohort study.
AB - This study is to compare the health-related quality of life (HRQoL) and cost
effectiveness of radiofrequency ablation (RFA) and open thyroidectomy (OT) for
benign thyroid nodules (BTNs) treatment. HRQoL and utility were assessed for 404
BTN patients immediately before treatments (RFA:OT = 137:267) and at 6-month
visit. A cost-effectiveness analysis was performed from societal perspective in
the China context. Resource use (hospitalization, sick leaves) was collected. We
used the net monetary benefit approach and computed cost-effectiveness
acceptability curves for RFA and OT. Sensitivity analyses of costs of RFA were
performed. At 6-month visit, patients treated with RFA had significantly better
HRQoL than patients treated with OT on general health (68.5 versus 66.7, P =
0.029), vitality (71.3 versus 67.5, P < 0.001) and mental health (80.9 versus
79.3, P = 0.038). RFA was more effective than OT in terms of quality-adjusted
life-years (QALYs; 0.01QALY/patient) but more expensive (US$823/patient). The
probability that RFA would be cost effective at a US$50,000/QALY threshold was
15.5% in China, and it would be increased to 88.4% when price of the RFA device
was lowered by 30%. RFA exhibited a significant improvement of HRQoL relative to
OT, but is unlikely to be cost effective at its current price in short time.
PMID- 27883073
TI - The preparation and characterization of a novel sphingan WL from marine
Sphingomonas sp. WG.
AB - Sphingans, a group of structurally closely related bacterial exopolysaccharides
produced by members of the genus Sphingomonas, can be applied in a variety of
industries such as food, cement, and personal care applications due to their high
viscosity. A high sphingan-producing-bacterium, Sphingomonas sp. WG can secret
large quantity of sphingan designated as WL. To enhance the production of WL, a
three-stage control strategy was applied and the highest WL production can reach
33.3 g/L. The rheological analysis showed that the aqueous solution of WL had
high viscosity, typical shearing-thinning behavior and great stability to high
temperature, a wide range of pH (1 to 14), and high salinity. WL was composed
principally of carbohydrate with 6.52% O-acyl groups. The carbohydrate portion of
WL contained about 13% glucuronic acid and some neutral sugars including mannose,
glucose and rhamnose in the molar ratio of 1:2.28:2.12. Partial acid hydrolysis
of WL produced a new oligosaccharide WL-1. Structural resolution revealed that WL
1 consisted of alpha-L-Rha-(1->4)-beta-L-Rha-(1->4)-beta-D-Glc-(1->3)-alpha-D-Glc
with beta-D-Man substituent at the third glucose residue and carboxyl and O-acyl
groups. These findings will broaden the applications of this novel sphingan in
food, ink, oil and other industries.
PMID- 27883077
TI - TGF beta receptor II interacting protein-1, an intracellular protein has an
extracellular role as a modulator of matrix mineralization.
AB - Transforming growth factor beta receptor II interacting protein 1 (TRIP-1), a
predominantly intracellular protein is localized in the ECM of bone. TRIP-1 lacks
a signal peptide, therefore, in this study, we provide evidence that
intracellular TRIP-1 can be packaged and exported to the ECM via exosomes.
Overexpression of TRIP-1 in MC3T3-E1 cells resulted in increased matrix
mineralization during differentiation and knockdown resulted in reduced effects.
In vivo function of TRIP-1 was studied by an implantation assay performed using
TRIP-1 overexpressing and knockdown cells cultured in a 3-dimmensional scaffold.
After 4 weeks, the subcutaneous tissues from TRIP-1 overexpressing cells showed
higher calcium and phosphate deposits, arranged collagen fibrils and increased
expression of Runx2 and alkaline phosphatase. Nucleation studies on demineralized
and deproteinized dentin wafer is a powerful tool to determine the functional
role of noncollagenous proteins in matrix mineralization. Using this system, we
provide evidence that TRIP-1 binds to Type-I collagen and can promote
mineralization. Surface plasmon resonance analysis demonstrated that TRIP-1 binds
to collagen with KD = 48 MUM. SEM and TEM analysis showed that TRIP-1 promoted
the nucleation and growth of calcium phosphate mineral aggregates. Taken
together, we provide mechanistic insights of this intracellular protein in matrix
mineralization.
PMID- 27883072
TI - Non-RVD mutations that enhance the dynamics of the TAL repeat array along the
superhelical axis improve TALEN genome editing efficacy.
AB - Transcription activator-like effector (TALE) nuclease (TALEN) is widely used as a
tool in genome editing. The DNA binding part of TALEN consists of a tandem array
of TAL-repeats that form a right-handed superhelix. Each TAL-repeat recognises a
specific base by the repeat variable diresidue (RVD) at positions 12 and 13.
TALEN comprising the TAL-repeats with periodic mutations to residues at positions
4 and 32 (non-RVD sites) in each repeat (VT-TALE) exhibits increased efficacy in
genome editing compared with a counterpart without the mutations (CT-TALE). The
molecular basis for the elevated efficacy is unknown. In this report, comparison
of the physicochemical properties between CT- and VT-TALEs revealed that VT-TALE
has a larger amplitude motion along the superhelical axis (superhelical motion)
compared with CT-TALE. The greater superhelical motion in VT-TALE enabled more
TAL-repeats to engage in the target sequence recognition compared with CT-TALE.
The extended sequence recognition by the TAL-repeats improves site specificity
with limiting the spatial distribution of FokI domains to facilitate their
dimerization at the desired site. Molecular dynamics simulations revealed that
the non-RVD mutations alter inter-repeat hydrogen bonding to amplify the
superhelical motion of VT-TALE. The TALEN activity is associated with the inter
repeat hydrogen bonding among the TAL repeats.
PMID- 27883075
TI - Direct electrical control of IgG conformation and functional activity at
surfaces.
AB - We have devised a supramolecular edifice involving His-tagged protein A and
antibodies to yield surface immobilized, uniformly oriented, IgG-type, antibody
layers with Fab fragments exposed off an electrode surface. We demonstrate here
that we can affect the conformation of IgGs, likely pushing/pulling
electrostatically Fab fragments towards/from the electrode surface. A potential
difference between electrode and solution acts on IgGs' charged aminoacids
modulating the accessibility of the specific recognition regions of Fab fragments
by antigens in solution. Consequently, antibody-antigen affinity is affected by
the sign of the applied potential: a positive potential enables an effective
capture of antigens; a negative one pulls the fragments towards the electrode,
where steric hindrance caused by neighboring molecules largely hampers the
capture of antigens. Different experimental techniques (electrochemical quartz
crystal microbalance, electrochemical impedance spectroscopy, fluorescence
confocal microscopy and electrochemical atomic force spectroscopy) were used to
evaluate binding kinetics, surface coverage, effect of the applied electric field
on IgGs, and role of charged residues on the phenomenon described. These findings
expand the concept of electrical control of biological reactions and can be used
to gate electrically specific recognition reactions with impact in biosensors,
bioactuators, smart biodevices, nanomedicine, and fundamental studies related to
chemical reaction kinetics.
PMID- 27883080
TI - Construction of a Miniaturized Chromatic Acclimation Sensor from Cyanobacteria
with Reversed Response to a Light Signal.
AB - Cyanobacteria harbor unique photoreceptors, designated as cyanobacteriochromes
(CBCRs). In this study, we attempted to engineer the chromatic acclimation sensor
CcaS, a CBCR derived from the cyanobacterium Synechocystis sp. PCC 6803. The wild
type CcaS induces gene expression under green light illumination and represses it
under red light illumination. We focused on the domain structure of CcaS, which
consists of an N-terminal transmembrane helix; a GAF domain, which serves as the
sensor domain; a linker region (L1); two PAS domains; a second linker region
(L2); and a C-terminal histidine kinase (HK) domain. Truncated versions of the
photoreceptor were constructed by removing the L1 linker region and the two PAS
domains, and fusing the GAF and HK domains with a truncated linker region. Thus
constructed "miniaturized CcaSs" were grouped into four distinct categories
according to their responses toward green and red light illumination, with some
showing improved gene regulation compared to the wild type. Remarkably, one of
the miniaturized CcaSs induced gene expression under red light and repressed it
under green light, a reversed response to the light signal compared to wild type
CcaS. These characteristics of engineered photoreceptors were discussed by
analyzing the CcaS structural model.
PMID- 27883071
TI - Mint3/Apba3 depletion ameliorates severe murine influenza pneumonia and
macrophage cytokine production in response to the influenza virus.
AB - Influenza virus (IFV) infection is a common cause of severe pneumonia. Studies
have suggested that excessive activation of the host immune system including
macrophages is responsible for the severe pathologies mediated by IFV infection.
Here, we focused on the X11 protein family member Mint3/Apba3, known to promote
ATP production via glycolysis by activating hypoxia inducible factor-1 (HIF-1) in
macrophages, and examined its roles in lung pathogenesis and anti-viral defence
upon IFV infection. Mint3-deficient mice exhibited improved influenza pneumonia
with reduced inflammatory cytokines/chemokine levels and neutrophil infiltration
in the IFV-infected lungs without alteration in viral burden, type-I interferon
production, or acquired immunity. In macrophages, Mint3 depletion attenuated NF
kappaB signalling and the resultant cytokine/chemokine production in response to
IFV infection by increasing IkappaBalpha and activating the cellular energy
sensor AMPK, respectively. Thus, Mint3 might represent one of the likely
therapeutic targets for the treatment of severe influenza pneumonia without
affecting host anti-viral defence through suppressing macrophage
cytokine/chemokine production.
PMID- 27883083
TI - Refractive Index and Absorption Attribution of Highly Absorbing Brown Carbon
Aerosols from an Urban Indian City-Kanpur.
AB - Atmospheric aerosols influence Earth's radiative balance, having both warming and
cooling effects. Though many aerosols reflect radiation, carbonaceous aerosols
such as black carbon and certain organic carbon species known as brown carbon
have the potential to warm the atmosphere by absorbing light. Black carbon
absorbs light over the entire solar spectrum whereas brown carbon absorbs near-UV
wavelengths and, to a lesser extent, visible light. In developing countries, such
as India, where combustion sources are prolific, the influence of brown carbon on
absorption may be significant. In order to better characterize brown carbon, we
present experimental and modeled absorption properties of submicron aerosols
measured in an urban Indian city (Kanpur). Brown carbon here is found to be
fivefold more absorbing at 365 nm wavelength compared to previous studies.
Results suggest ~30% of total absorption in Kanpur is attributed to brown carbon,
with primary organic aerosols contributing more than secondary organics. We
report the spectral brown carbon refractive indices along with an experimentally
constrained estimate of the influence of aerosol mixing state on absorption. We
conclude that brown carbon in Kanpur is highly absorbing in nature and that the
mixing state plays an important role in light absorption from volatile species.
PMID- 27883076
TI - A CRISPR-Cas9 Assisted Non-Homologous End-Joining Strategy for One-step
Engineering of Bacterial Genome.
AB - Homologous recombination-mediated genome engineering has been broadly applied in
prokaryotes with high efficiency and accuracy. However, this method is limited in
realizing larger-scale genome editing with numerous genes or large DNA fragments
because of the relatively complicated procedure for DNA editing template
construction. Here, we describe a CRISPR-Cas9 assisted non-homologous end-joining
(CA-NHEJ) strategy for the rapid and efficient inactivation of bacterial gene (s)
in a homologous recombination-independent manner and without the use of selective
marker. Our study show that CA-NHEJ can be used to delete large chromosomal DNA
fragments in a single step that does not require homologous DNA template. It is
thus a novel and powerful tool for bacterial genomes reducing and possesses the
potential for accelerating the genome evolution.
PMID- 27883074
TI - Adipose Stem Cells Display Higher Regenerative Capacities and More Adaptable
Electro-Kinetic Properties Compared to Bone Marrow-Derived Mesenchymal Stromal
Cells.
AB - Adipose stem cells (ASCs) have recently emerged as a more viable source for
clinical applications, compared to bone-marrow mesenchymal stromal cells (BM
MSCs) because of their abundance and easy access. In this study we evaluated the
regenerative potency of ASCs compared to BM-MSCs. Furthermore, we compared the
dielectric and electro-kinetic properties of both types of cells using a novel
Dielectrophoresis (DEP) microfluidic platform based on a printed circuit board
(PCB) technology. Our data show that ASCs were more effective than BM-MSCs in
promoting neovascularization in an animal model of hind-limb ischemia. When
compared to BM-MSCs, ASCs displayed higher resistance to hypoxia-induced
apoptosis, and to oxidative stress-induced senescence, and showed more potent
proangiogenic activity. mRNA expression analysis showed that ASCs had a higher
expression of Oct4 and VEGF than BM-MSCs. Furthermore, ASCs showed a remarkably
higher telomerase activity. Analysis of the electro-kinetic properties showed
that ASCs displayed different traveling wave velocity and rotational speed
compared to BM-MSCs. Interestingly, ASCs seem to develop an adaptive response
when exposed to repeated electric field stimulation. These data provide new
insights into the physiology of ASCs, and evidence to their potential superior
potency compared to marrow MSCs as a source of stem cells.
PMID- 27883079
TI - A parasite-derived 68-mer peptide ameliorates autoimmune disease in murine models
of Type 1 diabetes and multiple sclerosis.
AB - Helminth parasites secrete molecules that potently modulate the immune responses
of their hosts and, therefore, have potential for the treatment of immune
mediated human diseases. FhHDM-1, a 68-mer peptide secreted by the helminth
parasite Fasciola hepatica, ameliorated disease in two different murine models of
autoimmunity, type 1 diabetes and relapsing-remitting immune-mediated
demyelination. Unexpectedly, FhHDM-1 treatment did not affect the proliferation
of auto-antigen specific T cells or their production of cytokines. However, in
both conditions, the reduction in clinical symptoms was associated with the
absence of immune cell infiltrates in the target organ (islets and the brain
tissue). Furthermore, after parenteral administration, the FhHDM-1 peptide
interacted with macrophages and reduced their capacity to secrete pro
inflammatory cytokines, such as TNF and IL-6. We propose this inhibition of
innate pro-inflammatory immune responses, which are central to the initiation of
autoimmunity in both diseases, prevented the trafficking of autoreactive
lymphocytes from the periphery to the site of autoimmunity (as opposed to
directly modulating their function per se), and thus prevented tissue
destruction. The ability of FhHDM-1 to modulate macrophage function, combined
with its efficacy in disease prevention in multiple models, suggests that FhHDM-1
has considerable potential as a treatment for autoimmune diseases.
PMID- 27883078
TI - Impaired Endothelial Nitric Oxide Synthase Homodimer Formation Triggers
Development of Transplant Vasculopathy - Insights from a Murine Aortic
Transplantation Model.
AB - Transplant vasculopathy (TV) represents a major obstacle to long-term graft
survival and correlates with severity of ischemia reperfusion injury (IRI). Donor
administration of the nitric oxide synthases (NOS) co-factor tetrahydrobiopterin
has been shown to prevent IRI. Herein, we analysed whether tetrahydrobiopterin is
also involved in TV development. Using a fully allogeneic mismatched (BALB/c to
C57BL/6) murine aortic transplantation model grafts subjected to long cold
ischemia time developed severe TV with intimal hyperplasia (alpha-smooth muscle
actin positive cells in the neointima) and endothelial activation (increased P
selectin expression). Donor pretreatment with tetrahydrobiopterin significantly
minimised these changes resulting in only marginal TV development. Severe TV
observed in the non-treated group was associated with increased protein oxidation
and increased occurrence of endothelial NOS monomers in the aortic grafts already
during graft procurement. Tetrahydrobiopterin supplementation of the donor
prevented all these early oxidative changes in the graft. Non-treated allogeneic
grafts without cold ischemia time and syngeneic grafts did not develop any TV. We
identified early protein oxidation and impaired endothelial NOS homodimer
formation as plausible mechanistic explanation for the crucial role of IRI in
triggering TV in transplanted aortic grafts. Therefore, targeting endothelial NOS
in the donor represents a promising strategy to minimise TV.
PMID- 27883082
TI - Alpha band disruption in the AD-continuum starts in the Subjective Cognitive
Decline stage: a MEG study.
AB - The consideration of Subjective Cognitive Decline (SCD) as a preclinical stage of
AD remains still a matter of debate. Alpha band alterations represent one of the
most significant changes in the electrophysiological profile of AD. In
particular, AD patients exhibit reduced alpha relative power and frequency. We
used alpha band activity measured with MEG to study whether SCD and MCI elders
present these electrophysiological changes characteristic of AD, and to determine
the evolution of the observed alterations across AD spectrum. The total sample
consisted of 131 participants: 39 elders without SCD, 41 elders with SCD and 51
MCI patients. All of them underwent MEG and MRI scans and neuropsychological
assessment. SCD and MCI patients exhibited a similar reduction in alpha band
activity compared with the no SCD group. However, only MCI patients showed a
slowing in their alpha peak frequency compared with both SCD and no SCD. These
changes in alpha band were related to worse cognition. Our results suggest that
AD-related alterations may start in the SCD stage, with a reduction in alpha
relative power. It is later, in the MCI stage, where the slowing of the spectral
profile takes place, giving rise to objective deficits in cognitive functioning.
PMID- 27883081
TI - V(D)J recombination process and the Pre-B to immature B-cells transition are
altered in Fanca-/- mice.
AB - B-lymphocytes in the bone marrow (BM) must generate a functional B-cell receptor
and overcome the negative selection induced by reactivity with autoantigens. Two
rounds of DNA recombination are required for the production of functional
immunoglobulin heavy (Ig-HCs) and light (LCs) chains necessary for the
continuation of B-lymphocyte development in the BM. Both rounds depend on the
joint action of recombination activating gene-1 (RAG-1) and RAG-2 endonucleases
with the DNA non-homologous end-joining pathway. Loss of the FANC gene leads to
the chromosome breakage and cancer predisposition syndrome Fanconi anemia.
Because the FANC proteins are involved in certain aspects of the recombination
process, we sought to determine the impact of the FANC pathway on the Ig
diversification process using Fanca-/- mice. In this work we demonstrated that
Fanca-/- animals have a mild B-cell differentiation defect characterized by a
specific alteration of the IgM- to IgM+ transition of the B220low B-cell
population. Pre-B cells from Fanca-/- mice show evidence of impaired kLC
rearrangement at the level of the Vk-Jk junction. Furthermore, Fanca-/- mice
showed a skewed Vkappa gene usage during formation of the LCs Vk-Jk junctions.
Therefore, the Fanca protein appears as a yet unidentified factor involved in the
primary diversification of Ig.
PMID- 27883085
TI - Phenotypic and functional characterization of the major lymphocyte populations in
the fruit-eating bat Pteropus alecto.
AB - The unique ability of bats to act as reservoir for viruses that are highly
pathogenic to humans suggests unique properties and functional characteristics of
their immune system. However, the lack of bat specific reagents, in particular
antibodies, has limited our knowledge of bat's immunity. Using cross-reactive
antibodies, we report the phenotypic and functional characterization of T cell
subsets, B and NK cells in the fruit-eating bat Pteropus alecto. Our findings
indicate the predominance of CD8+ T cells in the spleen from wild-caught bats
that may reflect either the presence of viruses in this organ or predominance of
this cell subset at steady state. Instead majority of T cells in circulation,
lymph nodes and bone marrow (BM) were CD4+ subsets. Interestingly, 40% of spleen
T cells expressed constitutively IL-17, IL-22 and TGF-beta mRNA, which may
indicate a strong bias towards the Th17 and regulatory T cell subsets.
Furthermore, the unexpected high number of T cells in bats BM could suggest an
important role in T cell development. Finally, mitogenic stimulation induced
proliferation and production of effector molecules by bats immune cells. This
work contributes to a better understanding of bat's immunity, opening up new
perspectives of therapeutic interventions for humans.
PMID- 27883084
TI - Nucleoside-Diphosphate-Kinase of P. gingivalis is Secreted from Epithelial Cells
In the Absence of a Leader Sequence Through a Pannexin-1 Interactome.
AB - Nucleoside-diphosphate-kinases (NDKs) are leaderless, multifunctional enzymes.
The mode(s) of NDK secretion is currently undefined, while extracellular
translocation of bacterial NDKs is critical for avoidance of host pathogen
clearance by opportunistic pathogens such as Porphyromonas gingivalis. P.
gingivalis-NDK during infection inhibits extracellular-ATP (eATP)/P2X7-receptor
mediated cell death in gingival epithelial cells (GECs) via eATP hydrolysis.
Furthermore, depletion of pannexin-1-hemichannel (PNX1) coupled with P2X7
receptor blocks the infection-induced eATP release in GECs, and P. gingivalis-NDK
impacts this pathway. Ultrastructural and confocal microscopy of P. gingivalis-co
cultured GECs or green-fluorescent-protein (GFP)-P. gingivalis-NDK transfected
GECs revealed a perinuclear/cytoplasmic localization of NDK. eATP stimulation
induced NDK recruitment to the cell periphery. Depletion of PNX1 by siRNA or
inhibition by probenecid resulted in significant blocking of extracellular NDK
activity and secretion using ATPase and ELISA assays. Co-immunoprecipitation
coupled Mass-spectrometry method revealed association of P. gingivalis-NDK to the
myosin-9 motor molecule. Interestingly, inhibition of myosin-9, actin, and lipid
rafts, shown to be involved in PNX1-hemichannel function, resulted in marked
intracellular accumulation of NDK and decreased NDK secretion from infected GECs.
These results elucidate for the first time PNX1-hemichannels as potentially main
extracellular translocation pathway for NDKs from an intracellular pathogen,
suggesting that PNX1-hemichannels may represent a therapeutic target for chronic
opportunistic infections.
PMID- 27883088
TI - Meta-adaptation in the auditory midbrain under cortical influence.
AB - Neural adaptation is central to sensation. Neurons in auditory midbrain, for
example, rapidly adapt their firing rates to enhance coding precision of common
sound intensities. However, it remains unknown whether this adaptation is fixed,
or dynamic and dependent on experience. Here, using guinea pigs as animal models,
we report that adaptation accelerates when an environment is re-encountered-in
response to a sound environment that repeatedly switches between quiet and loud,
midbrain neurons accrue experience to find an efficient code more rapidly. This
phenomenon, which we term meta-adaptation, suggests a top-down influence on the
midbrain. To test this, we inactivate auditory cortex and find acceleration of
adaptation with experience is attenuated, indicating a role for cortex-and its
little-understood projections to the midbrain-in modulating meta-adaptation.
Given the prevalence of adaptation across organisms and senses, meta-adaptation
might be similarly common, with extensive implications for understanding how
neurons encode the rapidly changing environments of the real world.
PMID- 27883087
TI - Inter-annual maintenance of the fine-scale genetic structure in a biennial plant.
AB - Within plant populations, space-restricted gene movement, together with
environmental heterogeneity, can result in a spatial variation in gene
frequencies. In biennial plants, inter-annual flowering migrants can homogenize
gene frequencies between consecutive cohorts. However, the actual impact of these
migrants on spatial genetic variation remains unexplored. Here, we used 10
nuclear microsatellite and one plastid genetic marker to characterize the spatial
genetic structure within two consecutive cohorts in a population of the biennial
plant Erysimum mediohispanicum (Brassicaceae). We explored the maintenance of
this structure between consecutive flowering cohorts at different levels of
complexity, and investigated landscape effects on gene flow. We found that
cohorts were not genetically differentiated and showed a spatial genetic
structure defined by a negative genetic-spatial correlation at fine scale that
varied in intensity with compass directions. This spatial genetic structure was
maintained when comparing plants from different cohorts. Additionally, genotypes
were consistently associated with environmental factors such as light
availability and soil composition, but to a lesser extent compared with the
spatial autocorrelation. We conclude that inter-annual migrants, in combination
with limited seed dispersal and environmental heterogeneity, play a major role in
shaping and maintaining the spatial genetic structure among cohorts in this
biennial plant.
PMID- 27883089
TI - Hydrogen Sulfide and/or Ammonia Reduces Spermatozoa Motility through AMPK/AKT
Related Pathways.
AB - A number of emerging studies suggest that air pollutants such as hydrogen sulfide
(H2S) and ammonia (NH3) may cause a decline in spermatozoa motility. The impact
and underlying mechanisms are currently unknown. Boar spermatozoa (in vitro) and
peripubertal male mice (in vivo) were exposed to H2S and/or NH3 to evaluate the
impact on spermatozoa motility. Na2S and/or NH4Cl reduced the motility of boar
spermatozoa in vitro. Na2S and/or NH4Cl disrupted multiple signaling pathways
including decreasing Na+/K+ ATPase activity and protein kinase B (AKT) levels,
activating Adenosine 5'-monophosphate (AMP)-activated protein kinase (AMPK) and
phosphatase and tensin homolog deleted on chromosome ten (PTEN), and increasing
reactive oxygen species (ROS) to diminish boar spermatozoa motility. The increase
in ROS might have activated PTEN, which in turn diminished AKT activation. The
ATP deficiency (indicated by reduction in Na+/K+ ATPase activity), transforming
growth factor (TGFbeta) activated kinase-1 (TAK1) activation, and AKT
deactivation stimulated AMPK, which caused a decline in boar spermatozoa
motility. Simultaneously, the deactivation of AKT might play some role in the
reduction of boar spermatozoa motility. Furthermore, Na2S and/or NH4Cl declined
the motility of mouse spermatozoa without affecting mouse body weight gain in
vivo. Findings of the present study suggest that H2S and/or NH3 are adversely
associated with spermatozoa motility.
PMID- 27883092
TI - Spatial mapping of lichen specialized metabolites using LDI-MSI: chemical ecology
issues for Ophioparma ventosa.
AB - Imaging mass spectrometry techniques have become a powerful strategy to assess
the spatial distribution of metabolites in biological systems. Based on auto
ionisability of lichen metabolites using LDI-MS, we herein image the distribution
of major secondary metabolites (specialized metabolites) from the lichen
Ophioparma ventosa by LDI-MSI (Mass Spectrometry Imaging). Such technologies
offer tremendous opportunities to discuss the role of natural products through
spatial mapping, their distribution patterns being consistent with previous
chemical ecology reports. A special attention was dedicated to miriquidic acid,
an unexpected molecule we first reported in Ophioparma ventosa. The analytical
strategy presented herein offers new perspectives to access the sharp
distribution of lichen metabolites from regular razor blade-sectioned slices.
PMID- 27883093
TI - A finger on the pulse.
PMID- 27883086
TI - An In Silico study of TiO2 nanoparticles interaction with twenty standard amino
acids in aqueous solution.
AB - Titanium dioxide (TiO2) is probably one of the most widely used nanomaterials,
and its extensive exposure may result in potentially adverse biological effects.
Yet, the underlying mechanisms of interaction involving TiO2 NPs and
macromolecules, e.g., proteins, are still not well understood. Here, we perform
all-atom molecular dynamics simulations to investigate the interactions between
TiO2 NPs and the twenty standard amino acids in aqueous solution exploiting a
newly developed TiO2 force field. We found that charged amino acids play a
dominant role during the process of binding to the TiO2 surface, with both basic
and acidic residues overwhelmingly preferred over the non-charged counterparts.
By calculating the Potential Mean Force, we showed that Arg is prone to direct
binding onto the NP surface, while Lys needs to overcome a ~2 kT free energy
barrier. On the other hand, acidic residues tend to form "water bridges" between
their sidechains and TiO2 surface, thus displaying an indirect binding. Moreover,
the overall preferred positions and configurations of different residues are
highly dependent on properties of the first and second solvation water. These
molecular insights learned from this work might help with a better understanding
of the interactions between biomolecules and nanomaterials.
PMID- 27883094
TI - ?
PMID- 27883096
TI - ?
PMID- 27883097
TI - ?
PMID- 27883095
TI - ?
PMID- 27883098
TI - ?
PMID- 27883099
TI - A meaningless doctors' strike?
PMID- 27883101
TI - ?
PMID- 27883090
TI - Sphingosine 1-phosphate lyase ablation disrupts presynaptic architecture and
function via an ubiquitin- proteasome mediated mechanism.
AB - The bioactive lipid sphingosine 1-phosphate (S1P) is a degradation product of
sphingolipids that are particularly abundant in neurons. We have shown previously
that neuronal S1P accumulation is toxic leading to ER-stress and an increase in
intracellular calcium. To clarify the neuronal function of S1P, we generated
brain-specific knockout mouse models in which S1P-lyase (SPL), the enzyme
responsible for irreversible S1P cleavage was inactivated. Constitutive ablation
of SPL in the brain (SPLfl/fl/Nes) but not postnatal neuronal forebrain
restricted SPL deletion (SPLfl/fl/CaMK) caused marked accumulation of S1P. Hence,
altered presynaptic architecture including a significant decrease in number and
density of synaptic vesicles, decreased expression of several presynaptic
proteins, and impaired synaptic short term plasticity were observed in
hippocampal neurons from SPLfl/fl/Nes mice. Accordingly, these mice displayed
cognitive deficits. At the molecular level, an activation of the ubiquitin
proteasome system (UPS) was detected which resulted in a decreased expression of
the deubiquitinating enzyme USP14 and several presynaptic proteins. Upon
inhibition of proteasomal activity, USP14 levels, expression of presynaptic
proteins and synaptic function were restored. These findings identify S1P
metabolism as a novel player in modulating synaptic architecture and plasticity.
PMID- 27883091
TI - Mycobacterium tuberculosis-triggered Hippo pathway orchestrates CXCL1/2
expression to modulate host immune responses.
AB - Mycobacterium tuberculosis (Mtb) pathogenesis encompasses a plethora of finely
regulated alterations within the host which eventually coin the outcome of
infection. Chemokines are important components in directing immune cell
recruitment to the site of infection, and shaping the disease progression. Here,
we demonstrate that Hippo (mammalian sterile 20-like 1 and 2 kinases, MST1/2, in
mammals), is activated during mycobacterial infection in a toll-like receptor
(TLR) 2-interleukin receptor-1 associated kinases (IRAK1/4)-dependent manner. Mtb
triggered Hippo signaling modulates the expression and secretion of chemokines
(CXCL1 and CXCL2); as silencing MST1/2 compromised the ability of Mtb to furnish
the same. Further insight into the mechanism of Hippo-mediated regulation of
chemokines revealed the role for a non-canonical Hippo effector interferon (IFN)
regulatory factor (IRF) 3 in the process and marked the effect to be independent
of LATS1. Alongside their ability to guide directed recruitment of immune cells,
we have uncovered a paracrine role for Hippo-mediated secretion of CXCL1 and
CXCL2 in the production of anti-microbial peptides (beta-defensins), iNOS, NOX2
and pro-inflammatory molecules during mycobacterial infection of the host. This
study highlights the involvement of TLR2-IRAK1/4-MST1/2-IRF3 axis in Mtb
triggered modulation of chemokines and identifies Hippo signaling as a novel
regulator of host-mycobacterial interactions.
PMID- 27883100
TI - [Discrimination is rarely a golden mean].
PMID- 27883103
TI - [Gout - new approaches to diagnostics and treatment].
AB - An attack of gout is extremely painful. Recurrent attacks of arthritis in one or
more joints or tendon sheaths accompanied by intense pain are typical of this
commonly occurring condition. As these symptoms indicate acute inflammation due
to uric acid crystal deposition, good diagnostics are essential to enable
initiation of drug treatment which removes the crystals deposited in tissue.
PMID- 27883102
TI - Patients with burn injuries admitted to Norwegian hospitals - a population-based
study.
AB - BACKGROUND: The objective of this article is to elucidate the scope of burn
injuries in Norway, on the basis of those patients who had sustained a burn
injury that caused hospitalisation through a calendar year. MATERIAL AND METHOD:
The article is based on data retrieved from the Norwegian Patient Registry on
patients discharged from Norwegian hospitals in 2012 with a burn injury as their
main diagnosis, supplemented with activity data for children admitted to the Burn
Unit, Haukeland University Hospital, Bergen, during the period 2013 - 15.
RESULTS: In 2012, altogether 620 people (12.4/100 000 inhabitants) were
hospitalised with burn injuries. Of these patients, 393 (63.4 %) were men. A
total of 375 patients (60 %) were hospitalised more than once, and 124 (20 %)
were admitted to more than one hospital. Altogether 367 patients (59 %) were
hospitalised for less than eight days. Average hospitalisation time for the group
as a whole was 11.3 days (SD 18.8 days). Many of the burn-injured patients were
young: the average age was 27.4 years (SD 26.0 years). As many as 183 patients
(30 %) were less than three years old. Children in this age group were admitted
for burn injuries 12 times more frequently than children >= 5 years and adults.
INTERPRETATION: We found no definite reduction in burn injuries as a cause for
admission to Norwegian hospitals in 2012 when compared to results from previous
studies for the period 1992 - 2007. There ought to be a major potential for more
effective prevention of burn injuries in the age group < 3 years, in which
scalding (78 %) and contact with hot surfaces (most often stoves) (17 %) are the
main mechanisms of injury.
PMID- 27883104
TI - [Radiation-induced cataracts].
AB - The dose limit for the lens of the eye for occupationally exposed workers that is
stipulated in the Norwegian Regulation on radiation protection is based on
outdated threshold doses for radiation-induced cataracts. Recent studies have
shown that injuries may occur at significantly lower radiation doses than
previously assumed. The results from the new studies will impact upon future
legislation and recommendations regarding radiation hygiene for personnel in x
ray laboratories and operating theatres who may be exposed to significant
radiation doses in the course of their work.
PMID- 27883105
TI - [An unusual sports injury].
PMID- 27883106
TI - The woman who did not recognise her own face.
PMID- 27883107
TI - [A man in his 40s with diabetes, enlarged lacrimal gland and kidney failure].
PMID- 27883108
TI - [More than just good stories].
PMID- 27883109
TI - New reference charts for weight-related body measurements in children.
PMID- 27883110
TI - [Prodrugs].
PMID- 27883111
TI - ?
PMID- 27883112
TI - ?
PMID- 27883113
TI - ?
PMID- 27883114
TI - ?
PMID- 27883115
TI - Lifitegrast: First LFA-1/ICAM-1 antagonist for treatment of dry eye disease.
AB - Dry eye disease is an extremely common condition affecting millions worldwide.
The underlying pathophysiological mechanism is thought to be localized
inflammation of the ocular surface resulting in the localization of T cells at
this surface followed by their activation and subsequent liberation of cytokines.
This effect on T cells results from the binding of lymphocyte function-associated
antigen-1 (LFA-1) located on T cells to intercellular adhesion molecule 1 (ICAM
1) expressed on inflamed epithelium and endothelium, and on T cells. Lifitegrast
is a T-cell integrin antagonist designed to mimic ICAM-1, thus blocking the
interaction of LFA-1 and ICAM-1. Lifitegrast enters the systemic circulation to a
limited extent thus reducing the likelihood of unwanted systemic reactions.
Clinical trials in over 2,500 subjects with dry eye disease have shown that 5.0%
lifitegrast given by ocular instillation causes a significant reduction in
objective and subjective signs and symptoms of the disease. These beneficial
effects are associated with a relatively low incidence of unwanted effects,
almost all local in nature. In light of these findings, lifitegrast was approved
by the Food and Drug Administration (FDA) in 2016 for the treatment of dry eye
disease, the first drug with this mechanism of action to be so approved.
PMID- 27883116
TI - Zabofloxacin for chronic bronchitis.
AB - Treatment of lower respiratory tract infection poses as an ongoing challenge
among respiratory tract diseases. Bacterial infections are causes of acute
exacerbations in chronic bronchitis and indications for antibacterial therapy.
Several antibiotics were applied to treat bacterial infections in chronic
bronchitis, among them fluoroquinolones are considered potent, broad-spectrum
agents with excellent tissue penetration. This monograph focuses on zabofloxacin,
a novel fluoroquinolone agent recently approved and launched in South Korea, and
summarizes the drug's antibacterial efficacy, pharmacokinetic properties and
toxicity. Recent advances concerning fluoroquinolones in chronic bronchitis will
be discussed, along with a comparison between zabofloxacin and moxifloxacin.
Zabofloxacin has proved to be noninferior to moxifloxacin against major community
acquired Gram-positive and Gram-negative respiratory tract pathogens and found to
be well tolerated in both oral and parenteral administrations. These features can
make it a potential antimicrobial agent in therapy of chronic bronchitis and
other lower respiratory tract infections.
PMID- 27883118
TI - Cachexia.
AB - Cachexia is a multiorgan, multifactorial and often irreversible wasting syndrome
associated with cancer and other serious, chronic illnesses including AIDS,
chronic heart failure, chronic kidney disease and chronic obstructive pulmonary
disease. Treatment of the patient with cachexia is currently targeted to
correcting the two underlying features of the condition: anorexia and metabolic
disturbances. Greater understanding of the mechanisms behind cachexia and muscle
wasting have led to new therapeutic possibilities, however. Several classes of
drugs are under active development for cachexia including drugs acting on hormone
receptors or cytokine receptors, myostatin/activin pathway antagonists, beta
adrenoceptor agonists and cannabinoids. This review will cover the
pathophysiology, epidemiology, diagnosis, treatment, drug candidates under active
development and targets for therapeutic intervention of cachexia.
PMID- 27883117
TI - Combination therapies for improved management of lower urinary tract
symptoms/benign prostatic hyperplasia.
AB - Several urological and non-urological conditions can contribute to the onset of
lower urinary tract symptoms (LUTS), including benign prostatic hyperplasia
(BPH), which is one of the main underlying causes in male patients. Six
pharmacological classes (alpha-adrenoceptor blockers [ABs], 5alpha-reductase
inhibitors [5ARIs], phytotherapeutics, antimuscarinics [AMs], beta3-adrenoceptor
agonists and phosphodiesterase type 5 inhibitors [PDE5Is]) are available, alone
or in combination, for the treatment of male LUTS. The aim of this review is to
summarize the latest evidence on combination medical treatments for male patients
with LUTS/BPH. Standard combinations include AB + 5ARI (for patients with
increased prostate volume who are at risk for BPH progression); AB + PDE5I (for
patients with concomitant erectile dysfunction); and AB + AM or beta3 agonist
(for patients with persistent storage symptoms and not at risk for acute urinary
retention). Other possible multidrug treatments have been proposed in preliminary
studies, but further randomized controlled trials are needed to determine whether
these putative strategies will eventually be considered a new standard for
patients with LUTS/BPH. The possibility of tailoring BPH treatment according to
different patient characteristics and expectations, using two or more drugs,
seems a promising path in the field of LUTS/BPH management; however, physicians
should consider the risk of increasing costs without proven long-term efficacy
with most of these combination treatments.
PMID- 27883122
TI - Two-dimensional optical spectroscopy of homo- and heterodimers.
AB - We theoretically study the two-dimensional (2D) spectroscopy of molecular dimers.
The spectra are first analyzed within an electronic level model. It is
demonstrated how the angular average over molecular orientations influences the
features of the spectra. Methods to calculate angular averaged vibronic spectra
within perturbation theory are compared. We systematically document changes in
the 2D-spectra upon going from homo- to heterodimers. In particular, it is
demonstrated that large-amplitude off-diagonal peaks appear for heterodimers even
in the limit of vanishing coupling. This is related to the difference in the
excitation energies of the two different monomers. For homodimers with equal
monomer excitation energies, such peaks become diagonal peaks and thus cannot be
isolated.
PMID- 27883119
TI - GTCBio's Precision Medicine Conference (July 7-8, 2016 - Boston, Massachusetts,
USA).
AB - GTCBio's Precision Medicine Conference met this year to outline the many steps
forward that precision medicine and individualized genomics has made and the
challenges it still faces in technological, modeling, and standards development,
interoperability and compatibility advancements, and methods of economic and
societal adoption. The conference was split into four sections, 'Overcoming
Challenges in the Commercialization of Precision Medicine', 'Implementation of
Precision Medicine: Strategies & Technologies', 'Integrating & Interpreting
Personal Genomics, Big Data, & Bioinformatics' and 'Incentivizing Precision
Medicine: Regulation & Reimbursement', with this report focusing on the final two
subjects.
PMID- 27883123
TI - A study of trap and recombination centers in MAPbI3 perovskites.
AB - Trapping and recombination processes in thin films of CH3NH3PbI3 (MAPbI3) were
studied by means of transient photoconductivity measurements and theoretical
simulations of the relaxation curves resulting from the photocurrent
measurements; in particular, the influence of temperature as well as of the
sample temperature and intensity of illumination and pressure inside the
measurement system on the photoconductivity response, were studied. The
experimental curves of photocurrent were analyzed using the real part of the
Fourier transform. The study revealed that the photocurrent of the MAPbI3 films,
measured at atmospheric pressure, is mainly governed by surface related processes
induced by chemisorption and desorption of oxygen, whereas the photocurrent
resulting from measurements performed in a vacuum is mainly governed by bulk
related processes. It was found that, in general, the photocurrent response is
affected by both trap assisted fast recombination processes and traps whose
activation process is delayed, with the contribution in the intensity of the
photocurrent of the first process being greater that of the second one. Evidence
that the MAPbI3 film exhibits a deep trap state at around 459 meV attributed to
trap assisted recombination was found; furthermore, the MAPbI3 films present
shallow trap states at 129 and 24 meV that correspond to trap states whose
activation process is delayed.
PMID- 27883125
TI - Surface induced vibrational modes in the fluorescence spectra of PTCDA adsorbed
on the KCl(100) and NaCl(100) surfaces.
AB - We report a combined experiment-theory study on low energy vibrational modes in
fluorescence spectra of perylene-3,4,9,10-tetracarboxylic acid dianhydride
(PTCDA) molecules. Using very low coverages, isolated molecules were adsorbed on
terrace sites or at sites located at residual steps on (100) oriented alkali
halide films (KCl and NaCl). The low energy modes couple to the optical
transition only because the PTCDA molecule is geometrically distorted (C2v) upon
adsorption on the surface; they would be absent for the parent planar (D2h) PTCDA
molecule. The modes differ in number and energy for molecules adsorbed on regular
terrace sites and molecules adsorbed at step edge sites. Modes appearing for step
edge sites have the character of frustrated rotations. Their coupling to the
optical transition is a consequence of the further reduced symmetry of the step
edge sites. We find a larger number of vibrational modes on NaCl than on KCl. We
explain this by the stronger electrostatic bonding of the PTCDA on NaCl compared
to KCl. It causes the optical transition to induce stronger changes in the
molecular coordinates, thus leading to larger Franck-Condon factors and thus
stronger coupling. Our results demonstrate how optical spectroscopy can be used
to gain information on adsorption sites of molecules at low surface
concentrations.
PMID- 27883126
TI - Proton diffusion dynamics along a diol as a proton-conducting wire in a photo
amphiprotic model system.
AB - We investigated the dynamics of excited-state proton transfer (ESPT) of photo
amphiprotic 7-hydroxyquinoline (7HQ) in the presence of a hydrogen (H)-bond
bridging diol in a polar aprotic medium. The formation of 1 : 1 H-bonded
complexes of 7HQ with various diols of different alkane chain lengths was
revealed using steady-state electronic spectroscopy. With femtosecond-resolved
fluorescence spectroscopy, cyclic H-bonded 1 : 1 complexes were found to undergo
facile ESPT from the acidic enol to the basic imine group of 7HQ via the H-bond
bridge. Through quantum chemical calculations, we found that the proton-transfer
rate of the well-configured H-bonded complex correlated with the intramolecular H
bond length of a H-bond wiring diol molecule. Noncyclic, singly H-bonded 7HQ with
a diol molecule was observed to undergo ESPT once another diol molecule diffuses
to the noncyclic complex and accomplishes the formation of a reactive cyclic H
bonded 7HQ-(diol)2 complex, which was evidenced by the observation that the
overall proton-transfer rate constant decreases when a longer-chain diol was used
as the bridging wire part. The kinetic isotope effect on the proton relay was
investigated to confirm that the nature of the activation barrier for the proton
diffusion along the wire is isotope-sensitive proton tunnelling, while for the
non-cyclic configuration, the isotope-insensitive H-bond bridge formation is a
prerequisite for ESPT.
PMID- 27883127
TI - Enhanced perovskite morphology and crystallinity for high performance perovskite
solar cells using a porous hole transport layer from polystyrene nanospheres.
AB - : Organic-inorganic metal halide perovskites have led to remarkable advancements
in emerging photovoltaics. The rapid increase in the power conversion efficiency
(PCE) of PSCs has been mainly achieved by improving perovskite morphology and
crystallinity. Herein, we report a simple and effective means to improve
perovskite grain sizes using a porous hole transport layer (i.e. , PEDOT: PSS in
this work). We used polystyrene nanospheres as a sacrificial template to
fabricate the porous-PEDOT:PSS. The growth of the CH3NH3PbI3 perovskite film on
the porous-PEDOT:PSS substrate yields a dramatic improvement in crystallinity and
an enhancement in perovskite grain sizes. When the porous structure was applied
as a hole transport layer in PSCs with planar heterojunction structures, the
efficiency was significantly enhanced from 15.33% for the planar device to
17.32%. This simple method for enhancing perovskite morphology and crystallinity
paves the way for its application to other device architectures for enhanced
photovoltaic performance.
PMID- 27883129
TI - Platinum complexes as light promoted anticancer agents: a redefined strategy for
controlled activation.
AB - Site-specific delivery and amenable activation of prodrugs are indispensible
criteria for designing novel anticancer agents. Platinum based drugs vanguard the
chemotherapeutic regimes and over the years significant attention has been paid
to achieve more efficacious drugs with fewer adverse effects. The switch from
platinum(ii) drugs to the inert platinum(iv) analogues proved advantageous but
the new prodrugs still suffered from unspecific cytotoxic actions. Thus the
photoactivation of an inert platinum prodrug specifically within neoplastic cells
provided the desired spatio-temporal control over drug activation by means of
illumination, thereby limiting the cytotoxic events to only at the targeted
tumors. This article collates research on platinum complexes which exhibit
potential light mediated anticancer effects and provides insights into the
underlying mechanisms of activation. Fine tuning of the coordination sphere
results in dramatic alteration of the redox and spectral properties of both
ground and excited states and the cellular properties of the molecules. This
concise article highlights the various light promoted strategies employed to
attain a controlled release of active platinum(ii) and/or reactive oxygen species
such as photoreduction, photocaging, photodissociation and photosensitization.
Such dual action photoactive metal complexes with improved aqueous solubility and
versatility are promising candidates for combination therapy which is likely to
be the future of anticancer research.
PMID- 27883128
TI - Modeling the archetype cysteine protease reaction using dispersion corrected
density functional methods in ONIOM-type hybrid QM/MM calculations; the
proteolytic reaction of papain.
AB - A proteolytic reaction of papain with a simple peptide model substrate N
methylacetamide has been studied. Our aim was twofold: (i) we proposed a
plausible reaction mechanism with the aid of potential energy surface scans and
second geometrical derivatives calculated at the stationary points, and (ii) we
investigated the applicability of the dispersion corrected density functional
methods in comparison with the popular hybrid generalized gradient approximations
(GGA) method (B3LYP) without such a correction in the QM/MM calculations for this
particular problem. In the resting state of papain the ion pair and neutral forms
of the Cys-His catalytic dyad have approximately the same energy and they are
separated by only a small barrier. Zero point vibrational energy correction
shifted this equilibrium slightly to the neutral form. On the other hand, the
electrostatic solvation free energy corrections, calculated using the Poisson
Boltzmann method for the structures sampled from molecular dynamics simulation
trajectories, resulted in a more stable ion-pair form. All methods we applied
predicted at least a two elementary step acylation process via a zwitterionic
tetrahedral intermediate. Using dispersion corrected DFT methods the thioester S
C bond formation and the proton transfer from histidine occur in the same
elementary step, although not synchronously. The proton transfer lags behind (or
at least does not precede) the S-C bond formation. The predicted transition state
corresponds mainly to the S-C bond formation while the proton is still on the
histidine Ndelta atom. In contrast, the B3LYP method using larger basis sets
predicts a transition state in which the S-C bond is almost fully formed and the
transition state can be mainly featured by the Ndelta(histidine) to N(amid)
proton transfer. Considerably lower activation energy was predicted (especially
by the B3LYP method) for the next amide bond breaking elementary step of acyl
enzyme formation. Deacylation appeared to be a single elementary step process in
all the methods we applied.
PMID- 27883130
TI - Nanobubbles, cavitation, shock waves and traumatic brain injury.
AB - Collapse of bubbles, microscopic or nanoscopic, due to their interaction with the
impinging pressure wave produces a jet of particles moving in the direction of
the wave. If there is a surface nearby, the high-speed jet particles hit it, and
as a result damage to the surface is produced. This cavitation effect is well
known and intensely studied in case of microscopic sized bubbles. It can be quite
damaging to materials, including biological tissues, but it can also be
beneficial when controlled, like in case of sonoporation of biological membranes
for the purpose of drug delivery. Here we consider recent simulation work
performed to study collapse of nanobubbles exposed to shock waves, in order to
understand the detailed mechanism of the cavitation induced damage to soft
materials, such as biological membranes. We also discuss the connection of the
cavitation effect with the traumatic brain injury caused by blasts. Specifically,
we consider possible damage to model membranes containing lipid bilayers,
bilayers with embedded ion channel proteins like the ones found in neural cells
and also protein assemblies found in the tight junction of the blood brain
barrier.
PMID- 27883131
TI - Photo-induced magnetization and first-principles calculations of a two
dimensional cyanide-bridged Co-W bimetal assembly.
AB - A two-dimensional cyanide-bridged Co-W bimetal assembly, (H5O2+)[Co(4
bromopyridine)2{W(CN)8}], was prepared. A synchrotron radiation (SR) X-ray single
crystal measurement shows that the crystal structure is monoclinic in the P21/c
space group. Magnetic and spectroscopic measurements show that this assembly
takes Co(S = 0)-WIV(S = 0) in the temperature range of 2-390 K. Such a wide
temperature range Co-WIV phase has not been reported so far. First-principles
calculations show that the band gap is composed of a WIV valence band and a CoIII
conduction band. 785 nm light irradiation causes photo-induced magnetization with
a Curie temperature of 27 K and a coercive field of 2000 Oe. The crystal
structure of the photo-induced phase was determined to have larger lattice
constants in the two-dimensional layer (bc-plane) by 3% compared to the original
phase, which is due to the expansion of the distance of Co-N. The photo-induced
phase returns to the original phase upon thermal treatment. First-principles
calculations, and magnetic, and optical measurements prove that this
photomagnetism is caused by the optical charge-transfer-induced spin transition
from Co(S = 0)-WIV(S = 0) to Co(S = 3/2)-WV(S = 1/2).
PMID- 27883133
TI - Na2.32Co1.84(SO4)3 as a new member of the alluaudite family of high-voltage
sodium battery cathodes.
AB - Electrochemical energy storage has recently seen tremendous emphasis being placed
on the large-scale (power) grid storage. Sodium-ion batteries are capable of
achieving this goal with economic viability. In a recent breakthrough in sodium
ion battery research, the alluaudite framework (Na2Fe2(SO4)3) has been reported,
with the highest Fe3+/Fe2+ redox potential (ca. 3.8 V, Barpanda, et al., Nat.
Commun., 2014, 5, 4358). Exploring this high-voltage sodium insertion system, we
report the discovery of Na2+2xCo2-x(SO4)3 (x = 0.16) as a new member of the
alluaudite class of cathode. Stabilized by low-temperature solid-state synthesis
(T <= 350 degrees C), this novel Co-based compound assumes a monoclinic
structure with C2/c symmetry, which undergoes antiferromagnetic ordering below
10.2 K. Isotypical to the Fe-homologue, it forms a complete family of solid
solution Na2+2x(Fe1-yCoy)2-x(SO4)3 [y = 0-1]. Ab initio DFT analysis hints at
potential high voltage operation at 4.76-5.76 V (vs. Na), depending on the degree
of desodiation involving a strong participation of the oxygen sub-lattice. With
the development of safe organic electrolytes, Na2+2xCo2-x(SO4)3 can work as a
cathode material (~5 V) for sodium-ion batteries.
PMID- 27883132
TI - Microfluidic PDMS on paper (POP) devices.
AB - In this paper, we propose a generalized concept of microfluidic
polydimethylsiloxane (PDMS) on paper (POP) devices, which combines well the
merits of paper chips and PDMS chips. First, we optimized the conditions for
accurate PDMS spatial patterning on paper, based on screen printing and a high
temperature enabled superfast curing technique, which enables PDMS patterning to
an accuracy of tens of microns in less than ten seconds. This, in turn, makes it
available for seamless, reversible and reliable integration of the resulting
paper layer with other PDMS channel structures. The integrated POP devices allow
for both porous paper and smooth channels to be spatially defined on the devices,
greatly extending the flexibility for designers to be able to construct powerful
functional structures. To demonstrate the versatility of this design, a prototype
POP device for the colorimetric analysis of liver function markers, serum
protein, alkaline phosphatase (ALP) and aspartate aminotransferase (AST), was
constructed. On this POP device, quantitative sample loading, mixing and
multiplex analysis have all been realized.
PMID- 27883134
TI - Non-fluorescent quantification of single mRNA with transient absorption
microscopy.
AB - Single molecule detection is confounded by the background signals from the
biological environment, such as autofluorescence, Rayleigh scattering, or
turbidity in cells and tissues. In this article, we report on the utilization of
gold nanoparticles (AuNPs) as an orthogonal probe for non-fluorescence detection
of single molecules with a transient absorption microscopy (TAM). The developed
system and concepts were validated by quantitative evaluation of human epidermal
receptor 2 (Her2) mRNA in cancer cells and tissues at single copy sensitivity.
Results from TAM suggest that the average number of Her2 copies in SK-BR-3 and
MCF-7 breast cancer cells is 203.19 +/- 80.48, and 11.29 +/- 4.47, respectively.
Furthermore, TAM offers excellent signal-to-noise ratio in detecting mRNA in
clinical tissues, indicating a significantly higher expression of Her2 genes in
breast cancer tissues than that of normal tissues. Our single cell quantification
TAM strategy was validated with a fluorescence in situ hybridization approach.
Our demonstration shows that TAM has the potential to provide a new dimension in
biomarker quantification at single molecule sensitivity in turbid biological
environments providing a strong basis for clinical monitoring.
PMID- 27883135
TI - Synthesis of MnO2 nanoparticles and their effective utilization as UV protectors
for outdoor high voltage polymeric insulators used in power transmission lines.
AB - Polymeric outdoor insulators derived from polydimethyl siloxane (PDMS) are
replacing conventional ceramic insulators in high voltage power transmission
lines because of their improved electrical, mechanical and hydrophobic
performance. Major impediments like failure of polymeric insulators due to
natural aging by UV radiation from sunlight and electrical tracking have limited
their usage. Herein, it is demonstrated about the usage of manganese dioxide
based nanoparticles as an effective agent to prevent the UV accelerated aging of
polymeric insulators. MnO2 nanoparticles of different shapes and dimension were
synthesized using a single step wet chemical reaction between KMnO4 and methyl
acetate. Namely, 2D delta-MnO2 nanosheets, 1D alpha-MnO2 nanowires and 3D alpha
MnO2 nanorods were formed. These nanoparticles were extensively characterized by
various techniques. In the scope of the study, the delta-MnO2 (10-5 S cm-1; 1
MHz) nanosheet demonstrated the lowest electrical AC conductivity and a higher
band gap compared to the 1D (10-4 S cm-1; 1 MHz) and 3D variety (10-4 S cm-1; 1
MHz). Owing to the lower electrical conductivity of the delta-MnO2 nanosheet, it
was further incorporated at different filler volumes in the polymeric matrix
(blend of polydimethyl siloxane/ethylene vinyl acetate) as a UV protector
material for the polymer based high voltage composite polymeric insulator. The UV
protection ability, induced by the delta-MnO2 nanosheet, was achieved without
adversely affecting other properties of the formulated insulator compound
material. The optimum properties of the composite were found to be obtained at 3
phr (three parts of delta-MnO2 nanosheet per hundred parts of polymer) loading of
the nanosheet. The current work will promise to pave a new pathway for the
generation of UV resistant high voltage power transmission line insulator
materials. It would be interesting in the future to study the effect of
incorporation of manganese dioxide based nanosheets on the UV resistant
properties of different polymeric matrices.
PMID- 27883136
TI - Continuous micro-vortex-based nanoparticle manipulation via focused surface
acoustic waves.
AB - Despite increasing demand in the manipulation of nanoscale objects for next
generation biological and industrial processes, there is a lack of methods for
reliable separation, concentration and purification of nanoscale objects.
Acoustic methods have proven their utility in contactless manipulation of
microscale objects mainly relying on the acoustic radiation effect, though the
influence of acoustic streaming has typically prevented manipulation at smaller
length scales. In this work, however, we explicitly take advantage of the strong
acoustic streaming in the vicinity of a highly focused, high frequency surface
acoustic wave (SAW) beam emanating from a series of focused 6 MUm substrate
wavelength interdigital transducers patterned on a piezoelectric lithium niobate
substrate and actuated with a 633 MHz sinusoidal signal. This streaming field
serves to focus fluid streamlines such that incoming particles interact with the
acoustic field similarly regardless of their initial starting positions, and
results in particle displacements that would not be possible with a travelling
acoustic wave force alone. This streaming-induced manipulation of nanoscale
particles is maximized with the formation of micro-vortices that extend the width
of the microfluidic channel even with the imposition of a lateral flow, occurring
when the streaming-induced flow velocities are an order of magnitude larger than
the lateral one. We make use of this acoustic streaming to demonstrate the
continuous and differential focusing of 100 nm, 300 nm and 500 nm particles.
PMID- 27883137
TI - The effect of Mo doping on the charge separation dynamics and photocurrent
performance of BiVO4 photoanodes.
AB - Doping with electron-rich elements in BiVO4 photoanodes has been demonstrated as
a desirable approach for improving their carrier mobility and charge separation
efficiency. However, the effect of doping and dopant concentration on the carrier
dynamics and photoelectrochemical performance remains unclear. In this work, we
examined the effects of Mo doping on the charge separation dynamics and
photocurrent performance in BiVO4 photoanodes. We show that the photocurrent of
BiVO4 photoanodes increases with increasing concentration of the Mo dopant, which
can be attributed to both the improved carrier mobility resulting from increased
electron density and charge separation efficiency due to the diminishing of trap
states upon Mo doping. The effect of doping on the electronic structure, carrier
dynamics and photocurrent performance of BiVO4 photoanodes resulting from W and
Mo dopants was also compared and discussed in this study. The knowledge gained
from this work will provide important insights into the optimization of the
carrier mobility and charge separation efficiency of BiVO4 photoanodes by
controlling the dopants and their concentrations.
PMID- 27883138
TI - Characterization of size-dependent mechanical properties of tip-growing cells
using a lab-on-chip device.
AB - Quantification of mechanical properties of tissues, living cells, and cellular
components is crucial for the modeling of plant developmental processes such as
mechanotransduction. Pollen tubes are tip-growing cells that provide an ideal
system to study the mechanical properties at the single cell level. In this
article, a lab-on-a-chip (LOC) device is developed to quantitatively measure the
biomechanical properties of lily (Lilium longiflorum) pollen tubes. A single
pollen tube is fixed inside the microfluidic chip at a specific orientation and
subjected to compression by a soft membrane. By comparing the deformation of the
pollen tube at a given external load (compressibility) and the effect of turgor
pressure on the tube diameter (stretch ratio) with finite element modeling, its
mechanical properties are determined. The turgor pressure and wall stiffness of
the pollen tubes are found to decrease considerably with increasing initial
diameter of the pollen tubes. This observation supports the hypothesis that tip
growth is regulated by a delicate balance between turgor pressure and wall
stiffness. The LOC device is modular and adaptable to a variety of cells that
exhibit tip-growth, allowing for the straightforward measurement of mechanical
properties.
PMID- 27883139
TI - Clustered localization of EGFRvIII in glioblastoma cells as detected by high
precision localization microscopy.
AB - For receptor tyrosine kinases supramolecular organization on the cell membrane is
critical for their function. Super-resolution fluorescence microscopy techniques
have offered new opportunities for the analysis of single receptor localization.
Here, we analysed the cluster formation of the epidermal growth factor receptor
variant III (EGFRvIII), a deletion variant which is expressed in glioblastoma.
The constitutively activated variant EGFRvIII is expressed in cells with an egfr
gene amplification and is thought to enhance the tumorigenic potential especially
of glioblastoma cells. Due to the lack of an adequate model system, it is still
unclear how endogenous EGFRvIII expression alters cellular signalling and if it
is organized in clusters like the wild type receptor. We have recently described
the establishment of two pairs of iso-genetic cell lines (BS153 and DKMG),
displaying endogenous EGFRvIII expression or not. Using these cell lines we
investigated single receptor localization of EGFRvIII by high precision
localization microscopy. Cluster analysis revealed that EGFRvIII is present in
clusters on the surface of the cells, with about 60% or even more receptor
molecules being assembled in clusters of approximately 100 nm in diameter whereby
the cluster definition was iteratively determined. The signal to signal distance
may indicate dimer formation while signal quantification indicates 1 * 106-5 *
106 EGFRvIII molecules per cell. Altogether, these data give unique insights into
the membrane surface localization of EGFRvIII in glioblastoma cells. These
insights will help to unveil the function of this tumour associated receptor
variant which might lead to a better understanding of glioblastoma and therefore
could lead to improved therapy approaches.
PMID- 27883141
TI - Asymmetric cycloaddition reactions catalysed by diarylprolinol silyl ethers.
AB - Cycloaddition reactions are among the most important tools for the construction
of cyclic compounds in organic synthesis, since these reactions are vital to
access natural products and biologically active compounds. Organocatalysis plays
an increasingly pivotal role in these reactions, often allowing several
stereocenters to be selectively created and integrated in the target molecule.
Among the large number of efficient types of organocatalysts available, the
diarylprolinol silyl ethers have been established as one of the most frequently
used in aminocatalysis allowing for novel activation modes and reaction concepts.
In this review, we will focus on the different activation modes made available by
the diarylprolinol silyl ether system with the aim of highlighting their
applicability in asymmetric cycloadditions for the assembly of complex molecular
architectures.
PMID- 27883142
TI - A scalable in situ surfactant-free synthesis of a uniform MnO/graphene composite
for highly reversible lithium storage.
AB - A novel MnO/graphene composite, used as an anode for lithium ion intercalation,
was prepared via an in situ surfactant-free facile method by taking advantage of
the byproduct Mn ion in the conventional fabrication of graphene oxide. The as
fabricated lithium ion batteries exhibited a long-term stable reversible capacity
(603 mA h g-1 after 350 cycles at 1 A g-1 based on the composite, hereinafter the
same) and superior rate performance (400 mA h g-1 at 3 A g-1).
PMID- 27883143
TI - The role of stoichiometry in superconducting Nb1-betaSnbeta: electronic and
vibrational properties from ab initio calculations.
AB - Using first principles calculations based on density functional theory, the
electronic, vibrational and superconducting properties of compounds with
different stoichiometry ratios in the A15 phase have been studied. To this
purpose, the lambda mass enhancement parameter, which determines the
superconducting critical temperature through the Allen-Dynes modification of the
McMillan formula, has been explicitly calculated in the context of the density
functional perturbation theory that allows the calculation of the matrix elements
of the electron-phonon interactions at different compositions related to the Sn
content. Our results provide a better understanding of the inhomogeneous
composition of one of the most widely employed low-temperature superconductors,
evidencing the electronic properties and the phonon modes that are responsible
for the critical temperature degradation as the Sn concentration is varied.
PMID- 27883144
TI - Robust synthesis of F-BODIPYs.
AB - A protocol is established for the high-yielding synthesis of F-BODIPYs involving
non-anhydrous reagents and not requiring precautions to exclude moisture. This
simple and robust strategy simply requires a second addition of NEt3 and
BF3.OEt2, midway through the reaction period. The ratio and amounts of NEt3 and
BF3.OEt2 used in each aliquot are critical to success (6 : 9 for each aliquot).
The protocol can be completed using bench-dry apparatus, without need to achieve
and maintain anhydrous conditions or solvents.
PMID- 27883140
TI - Single-molecule fluorescence resonance energy transfer in molecular biology.
AB - Single-molecule fluorescence resonance energy transfer (smFRET) is a powerful
technique for studying the conformation dynamics and interactions of individual
biomolecules. In this review, we describe the concept and principle of smFRET,
illustrate general instrumentation and microscopy settings for experiments, and
discuss the methods and algorithms for data analysis. Subsequently, we review
applications of smFRET in protein conformational changes, ion channel open-close
properties, receptor-ligand interactions, nucleic acid structure regulation,
vesicle fusion, and force induced conformational dynamics. Finally, we discuss
the main limitations of smFRET in molecular biology.
PMID- 27883146
TI - Tunable doping of graphene by using physisorbed self-assembled networks.
AB - One current key challenge in graphene research is to tune its charge carrier
concentration, i.e., p- and n-type doping of graphene. An attractive approach in
this respect is offered by controlled doping via well-ordered self-assembled
networks physisorbed on the graphene surface. We report on tunable n-type doping
of graphene using self-assembled networks of alkyl-amines that have varying chain
lengths. The doping magnitude is modulated by controlling the density of the
strong n-type doping amine groups on the surface. As revealed by scanning
tunneling and atomic force microscopy, this density is governed by the length of
the alkyl chain which acts as a spacer within the self-assembled network. The
modulation of the doping magnitude depending on the chain length was demonstrated
using Raman spectroscopy and electrical measurements on graphene field effect
devices. This supramolecular functionalization approach offers new possibilities
for controlling the properties of graphene and other two-dimensional materials at
the nanoscale.
PMID- 27883148
TI - Dual-affinity peptide mediated inter-protein recognition.
AB - We present for the first time an enhanced interaction affinity between an
abundant soluble protein (human serum albumin) and a membrane protein (chemokine
receptor 4) mediated by a dual-affinity peptide E5.
PMID- 27883150
TI - Metal-free radical thiolations mediated by very weak bases.
AB - Aromatic thioethers and analogous heavier chalcogenides were prepared by reaction
of arene-diazonium salts with disulfides in the presence of the cheap and weak
base NaOAc. The mild and practical reaction conditions (equimolar reagents, DMSO,
r.t., 8 h) tolerate various functional groups (e.g. Br, Cl, NO2, CO2R, OH, SCF3,
furans). Mechanistic studies indicate the operation of a radical aromatic
substitution mechanism via aryl, acetyloxyl, thiyl, and dimsyl radicals.
PMID- 27883152
TI - A resettable and reprogrammable biomolecular keypad lock with dual outputs based
on glucose oxidase-Au nanoclusters-Prussian blue nanocomposite films on an
electrode surface.
AB - In this work, electrochromic Prussian blue (PB) films were electrodeposited on
the surface of indium tin oxide (ITO) electrodes, and a dispersion mixture of
glucose oxidase (GOD), chitosan (CS) and gold nanoclusters (AuNCs) was then cast
on the PB surface to form CS-AuNC-GOD/PB nanocomposite film electrodes. The blue
PB component in the films could be changed into its colourless reduced form of
Prussian white (PW) upon application of -0.2 V. The addition of glucose to the
solution would produce H2O2 with the help of GOD in the films and oxygen in the
solution, which could oxidize PW back to PB. In the meantime, the fluorescence
emission signal of the AuNCs in the films was greatly influenced by the form of
PB/PW. Based on these properties, the amperometric current, fluorescence
intensity and UV-vis absorbance of the film electrodes demonstrated potential-
and glucose-sensitive ON-OFF behaviors. Thus, a 2-input/3-output biomolecular
logic gate system with 3 different types of output signals and a 2-to-1 encoder
were developed. Furthermore, a resettable and reprogrammable 3-input biomolecular
keypad lock was established with fluorescence intensity and UV-vis absorbance as
dual outputs, which greatly enhanced the security level of the keypad lock. This
work reported for the first time an enzyme-based keypad lock with dual outputs,
which might open a new avenue to design more complicated biomolecular keypad lock
systems.
PMID- 27883153
TI - Organocatalyzed asymmetric Michael addition by an efficient bifunctional
carbohydrate-thiourea hybrid with mechanistic DFT analysis.
AB - A series of thiourea based bifunctional organocatalysts having d-glucose as a
core scaffold were synthesized and examined as catalysts for the asymmetric
Michael addition reaction of aryl/alkyl trans-beta-nitrostyrenes over
cyclohexanone and other Michael donors having active methylene. Excellent
enantioselectivities (<95%), diastereoselectivities (<99%), and yields (<99%)
were attained under solvent free conditions using 10 mol% of 1d0. The obtained
results were explained through DFT calculations using the B3LYP/6
311G(d,p)//B3LYP/6-31G(d) basic set. The QM/MM calculations revealed the role of
cyclohexanone as a solvent as well as reactant in the rate determining step
imparting 31.91 kcal mol-1 of energy towards the product formation.
PMID- 27883154
TI - The effect of the nanofibrous Al2O3 aspect ratio on Fischer-Tropsch synthesis
over cobalt catalysts.
AB - A series of nanofibrous alumina materials with diameters of 4-6 nm and with
different aspect ratios ranging from 3 to 16 were prepared. Cobalt impregnated
catalysts were prepared by means of incipient wetness impregnation on alumina
nanofibers while the 'rearranged' catalysts were prepared by using
ultrasonication assistance to mix the fibers with the Co3O4 nanoparticles. The
effects of the alumina nanofiber aspect ratios on the Co catalyst structure and
performance for Fischer-Tropsch synthesis were studied. The pore size of the two
series of catalysts increased as the aspect ratio of the alumina nanofiber
increased. For impregnated catalysts, large Co3O4 particles were formed on the
external surface of the alumina support when the aspect ratio was 3 and 5, while
the crystallite sizes of Co3O4 increased from 13.3 nm to 15.6 nm with the
increase of the aspect ratio from 7 to 16. The four 'rearranged' catalysts
possessed similar and homogeneously dispersed Co3O4 crystallites of 9.5 nm. As
expected the reduction behavior of the two series of catalysts was primarily
influenced by the Co3O4 crystallite size and structure. The FT data of the two
series of catalysts indicate that dispersed Co catalysts on alumina nanofibers
with large aspect ratios having large inter-crystallite pores significantly
improve the catalyst activity and C5+ selectivity. The FT data of the
'rearranged' catalysts strongly demonstrated that the internal mass transfer of
reactants and products increased with a decrease in inter-crystallite pore size,
resulting in a decrease of C5+ selectivity and C3 olefin/paraffin ratio, and an
increase of CH4 selectively, while the CO consumption rate was little altered.
Furthermore, catalytic stability tests showed that the alumina nanofibers with
larger aspect ratios inhibited Co migration and coalescence in the matrices of
the nanofibrous alumina, and this significantly enhanced the stability of the
catalyst. The Cop/Al2O3-16 catalyst possessing uniformly distributed cobalt,
improved reducibility and large pores is the preferred choice to generate high
catalytic activity, stability and C5+ selectivity.
PMID- 27883155
TI - In situ integration of CoFe alloy nanoparticles with nitrogen-doped carbon
nanotubes as advanced bifunctional cathode catalysts for Zn-air batteries.
AB - Electrochemical catalysis of O2-incorporated reactions is a promising strategy
for metal-air batteries. The performance of metal-air batteries is determined by
the catalytic activities of the oxygen reduction reaction (ORR) and oxygen
evolution reaction (OER). Therefore, developing efficient catalysts with superior
activities for the ORR and OER is of great significance to expand the application
range of metal-air batteries. Herein, CoFe alloy nanoparticles adhered to the
inside wall of nitrogen doped carbon nanotubes (CoFe@NCNTs) are synthesized and
can function as a Janus particle to efficiently catalyze the ORR and OER with
desirable activities in 0.1 M KOH solution. Specifically, the CoFe@NCNTs present
an onset potential of 0.95 V and a half-wave potential of 0.84 V as an ORR
catalyst. When used as an air-cathode catalyst for a Zn-air battery, the
CoFe@NCNTs cathode performs better than a Pt/C cathode, showing a high open
circuit potential of 1.45 V, a maximum power density of 150 mW cm-2 and an
average specific capacity of 808 mA h gzn-1 at current densities from 2 mA cm-2
to 10 mA cm-2.
PMID- 27883156
TI - Synthesis of the biological repeating unit of Streptococcus pneumoniae serotype
23F capsular polysaccharide.
AB - An efficient synthesis of the 3-aminopropyl glycoside of the biological repeating
unit of Streptococcus pneumoniae serotype 23F capsular polysaccharide (CPS) was
accomplished. The synthetic target contained a tetrasaccharide with a
phosphoglycerol branch. Its oligosaccharide backbone was assembled via linear
glycosylation, and the challenging beta-rhamnosyl linkage in its structure was
achieved stereospecifically through naphthylmethyl-assisted intramolecular
aglycon delivery (IAD). The remaining 1,2-trans glycosylation reactions were
executed in excellent yields and stereoselectivity based on neighboring group
participation. The phosphoglycerol branch was installed by the phosphoramidite
method with benzylidene-protected glycerol 2-phosphoramidite as the substrate.
Eventually, the target molecule was synthesized from monosaccharide building
blocks in 17 longest linear steps and a 3.85% overall yield. In addition, the
synthetic target also contained a free amino group at its reducing end,
facilitating its conjugation with other molecules for various biological studies
and applications.
PMID- 27883158
TI - Fast or slow-foods? Describing natural variations in oral processing
characteristics across a wide range of Asian foods.
AB - The structural properties of foods have a functional role to play in oral
processing behaviours and sensory perception, and also impact on meal size and
the experience of fullness. This study adopted a new approach by using
behavioural coding analysis of eating behaviours to explore how a range of food
textures manifest as the microstructural properties of eating and expectations of
fullness. A selection of 47 Asian foods were served in fixed quantities to a
panel of participants (N = 12) and their eating behaviours were captured via web
camera recordings. Behavioural coding analysis was completed on the recordings to
extract total bites, chews and swallows and cumulative time of the food spent in
the mouth. From these measurements a series of microstructural properties
including average bite size (g), chews per bite, oro-sensory exposure time
(seconds) and average eating rate (g min-1) were derived per food. The sensory
and macronutrient properties of each food were correlated with the microstructure
of eating to compare the differences in eating behaviour on a gram for gram
basis. There were strong relationships between the perceived food textural
properties and its eating behaviours and a food's total water content was the
best predictor of its eating rate. Foods that were eaten at a slower eating rate,
with smaller bites and more chews per bite were rated as higher in the expected
fullness. These relationships are important as oral processing behaviours and
beliefs about the potential satiating value of food influence portion decisions
and moderate meal size. These data support the idea that naturally occurring
differences in the food structure and texture could be used to design meals that
slow the rate of eating and maximise fullness.
PMID- 27883159
TI - Melatonin and hydroxytyrosol-rich wines influence the generation of DNA oxidation
catabolites linked to mutagenesis after the ingestion of three types of wine by
healthy volunteers.
AB - The Mediterranean Diet (MD) has been proved to exert benefits with respect to the
maintenance of the redox balance, and wine is a representative component.
Bioactive compounds such as polyphenols, melatonin and hydroxytyrosol act as
radical scavengers and regulate the oxidation status of organisms. Oxidative
damage to DNA yields a large range of end products. The repair of oxidized DNA
entails the removal of the useless bases and/or nucleotides as well as the
release of circulating nucleotides and nucleosides. The current research aims to
elucidate, for the first time, the DNA protection against oxidative stress
provided by three types of red wine - relating it to the intake of bioactive
compounds - after the intake of a serving of red wine/must by 18 healthy female
volunteers during a short term double-blind, crossover and placebo-controlled
study. The novelty of our work is to describe the importance of melatonin and
hydroxytyrosol and its metabolites (from gut microflora) in comparison with
polyphenols in a red wine matrix (excluding colon derivatives). The results show
that the intake of red wine and must secondarily reduces oxidative stress and
carcinogenesis due to their content of homovanillic acid, as measured by
decreases in the plasmatic concentration of 8-hydroxy-2'deoxyguanosine, 8
hydroxyguanine, and 8-nitroguanosine. Moreover, the intake of wine appears to
exert vasodilatory effects, mediated by the action of nitric oxide and increased
plasma guanosine-3'-5'-cyclic monophosphate plasmatic levels, owing to the intake
of wines higher in melatonin and homovanillic acid. Therefore, the results
obtained in the present study revealed that polyphenols, despite being the major
compounds in the red wine matrix, are not the most effective compounds protecting
DNA from oxidative attack.
PMID- 27883160
TI - Highly-repeatable generation of very small nanoparticles by pulsed-laser ablation
in liquids of a high-speed rotating target.
AB - By irradiating a cylindrical silver target rotated at a high-speed within the
range 300-2400 rpm (lateral speed 0.16-1.25 m s-1) in pure water, we prepare
ligand-free Ag nanoparticles (NPs) with a size of 4 +/- 2 nm which are likely to
be primary particles. Usually, the generation of NPs showing such a small size
requires either a laser post-treatment and/or chemical additives. As the rotation
rate of the target is increased, calculated 3D flow patterns revealed different
hydrodynamic regimes which clearly influence the ablation rate and repeatability
of the process as well as the colloidal properties. In addition to revealing the
importance of fluid dynamics in pulsed-laser ablations in liquids, this study
provides a way for producing in one step pure NPs with sizes below 5 nm which are
suitable for applications in catalysis.
PMID- 27883161
TI - [Is it necessary to prescribe antibiotics in impacted third molar surgical
removal?: comparative study between prescribing patterns].
AB - OBJECTIVE: To assess whether there is a significant difference in infection rate
after surgery tooth extraction in two different hospitals from Norway and Spain
where different surgical antimicrobial prophylaxis protocols are applied.
METHODS: An analytical observational study was conducted, retrospective cohorts
type, analyzing healthy patients with no risk factors, who were third molar tooth
operated in maxillofacial services of two different hospitals: St. Olav in
Trondheim (Norway) and Clinico San Carlos in Madrid (Spain). The collected
variables were: age, number of tooth removed, anesthesia type, and observations
about the course of the operation registered in the clinical history. To assess
the development of postoperative infection, patient's data of those who chose the
hospital as the place to remove the suture thread were collected in Norway,
whereas in Spain a telephone survey was conducted to determine the course of the
operation months later. RESULTS: In St. Olav Hospital 11.1% of patients operated
received antibiotic regimen after surgery, while in Hospital San Carlos were
100%. The infection rate was 15% in St.Olav Hospital and 7.5% in Hospital San
Carlos. These differences were no statistically significant. CONCLUSIONS: The
routine administration of antibiotics to healthy patients with no risk factors
undergoing impacted third molar surgical removal is a common clinical practice
which it does not seem to be justified.
PMID- 27883162
TI - Late aortic silver graft re-infection due to Streptococcus milleri group
(Streptococcus anginosus). Case report and literature review.
PMID- 27883163
TI - [First clinical isolate of Mycobacterium tuberculosis exhibiting resistance to
rifampicin and sensibility to isoniazid in the Elche's Health Department-General
Hospital(1998-2015)].
PMID- 27883165
TI - "Move on Against Frailty": Time to Raise Awareness about Frailty and Prevention
of Disability in the Community.
PMID- 27883164
TI - Sarcopenia Trials in Specific Diseases: Report by the International Conference on
Frailty and Sarcopenia Research Task Force.
AB - Muscle atrophy occurs as a consequence of a number of conditions, including
cancer, chronic obstructive pulmonary disease (COPD), diabetes mellitus, heart
failure, and other chronic diseases, where it is generally a predictor of poor
survival. It also occurs as a consequence of disuse and an age-related loss of
muscle mass and strength (sarcopenia). The aims of the 2016, International
Conference on Frailty and Sarcopenia Research (ICFSR) Task Force were to examine
how these specific chronic conditions have been employed in treatment trials thus
far and how future trials using these patient groups might be designed for
efficient identification of effective sarcopenia interventions. Functional
limitations assessed as gait speed, distance walked over a set time period, or
other attributes of physical performance have been suggested as outcome measures
in sarcopenia trials. Indeed, such measures have already been used successfully
in a number of trials aimed at preventing disability in older adults.
PMID- 27883167
TI - Frailty Is a Geriatric Syndrome Characterized by Multiple Impairments: A
Comprehensive Approach Is Needed.
AB - OBJECTIVE: To evaluate the prevalence of frailty and the associated
multimorbidity and functional impairments among community-dwelling middle-aged
and elderly people in Taiwan. DESIGN: a cross-sectional study. SETTING:
communities in I-Lan County of Taiwan. PARTICIPANTS: 1839 community-dwelling
people aged 50 years and older. INTERVENTION: None. MEASUREMENTS: Frailty defined
by Fried's criteria, Charlson's comorbidity index (CCI), Functional Autonomy
Measurement System (SMAF), Center for Epidemiologic Studies Depression Scale (CES
D), Mini-Nutrition Assessment (MNA), Mini-Mental State Examination (MMSE), and
Short Form-12 quality of life questionnaire. RESULTS: Overall, 1839 subjects
(mean age: 63.9+/-9.3 years, 47.5% males) participated in this study and men were
more likely to have higher educational level, more smoking and alcohol drinking
habit. The prevalence of frailty was 6.8% in this study, while pre-frailty was
40.5% and 53.7% of all participants were robust. Compared to subjects with
different frailty status, age, education year, alcohol drinking, hypertension,
diabetes mellitus, hyperlipidemia, CCI, walking speed, handgrip strength, score
of SMAF, CES-D, MNA, MMSE, quality of life were significantly different between
groups (P all< 0.05). Older age, poorer physical function, poorer cognitive
function, poorer nutritional status, more depressive symptoms, higher CCI and
poorer quality of life were all independently associated with frailty.
CONCLUSIONS: Frailty was not simply a geriatric syndrome, but the combination of
multiple geriatric syndromes. Further study is needed to evaluate the clinical
benefits of intervention programs for community-dwelling middle-aged and older
people to reverse frailty and its associated functional impairments.
PMID- 27883166
TI - Effect of Low-Dose Rapamycin on Senescence Markers and Physical Functioning in
Older Adults with Coronary Artery Disease: Results of a Pilot Study.
AB - Rapamycin, an mTOR inhibitor affects senescence through suppression of senescence
associated secretory phenotype (SASP). We studied the safety and feasibility of
low-dose rapamycin and its effect on SASP and frailty in elderly undergoing
cardiac rehabilitation (CR). 13 patients; 6 (0.5mg), 6 (1.0mg), and 1 patient
received 2mg oral rapamycin (serum rapamycin <6ng/ml) daily for 12 weeks. Median
age was 73.9+/-7.5 years and 12 were men. Serum interleukin-6 decreased (2.6 vs
4.4 pg/ml) and MMP-3 (26 vs 23.5 ng/ml) increased. Adipose tissue expression of
mRNAs (arbitrary units) for MCP-1 (3585 vs 2020, p=0.06), PPAR-gamma (1257 vs
1166), PAI-1 (823 vs 338, p=0.08) increased, whereas interleukin-8 (163 vs 312),
TNF-alpha (75 vs 94) and p16 (129 vs 169) decreased. Cellular senescence
associated beta galactosidase activity (2.2% vs 3.6%, p=0.18) tended to decrease.
We observed some correlation between some senescence markers and physical
performance but no improvement in frailty with rapamycin was noted.
(NCT01649960).
PMID- 27883168
TI - Exercise Interventions for Preserving Physical Function Among Cancer Survivors in
Middle to Late Life.
AB - The purpose of this review was to evaluate randomized controlled trials aiming to
preserve the functional status, i.e. physical capabilities, of middle-aged and
older cancer survivors through a structured, physical exercise intervention. The
study team performed a thorough search of the literature using six online
databases. This literature search limited included studies to randomized
controlled trials which implemented a structured physical activity intervention
for middle- and older-aged adults diagnosed with cancer. Studies were also
required include at least one objective measure of physical function as a
dependent outcome. This literature search yielded thirty-eight studies. The
majority of the literature reviewed was successful in improving several
functional outcomes including time needed to rise from a chair or distance
covered during the six-minute walk test. A large number of published trials also
suggest that exercise is effective in decreasing fatigue. However, a lack of
trials investigating outcomes in older populations (>= 65 years) was noted in
this review. The results of this review suggest that a structured exercise
program may be physically beneficial for middle-aged to older cancer survivors.
Particularly, such interventions could preserve the functional status of cancer
patients and, consequently, improve their long-term health outcomes. Future
implications include further investigation into strictly older cancer patient
populations, as outcomes related to exercise might differ between older and
middle-aged adults.
PMID- 27883169
TI - Association between Continuous Wearable Activity Monitoring and Self-Reported
Functioning in Assisted Living Facility and Nursing Home Residents.
AB - BACKGROUND: Physical functioning is a key factor in independent living, and its
preclinical state assessment and monitoring during the subject's normal life
would be beneficial. OBJECTIVES: The aim of the study is to analyse associations
between ambulatory measured physical activity behaviour and sleep patterns (wrist
actigraphy) and self-reported difficulties in performing activities of daily
living. Participants, setting and design: 36 residents in assisted living
facilities and nursing homes (average age=80.4+/-9.0 years) without dementia in
free living conditions participated. Actigraphic monitoring is integrated with
the facilities' social alarm system. MEASUREMENTS: Indices on activity level,
activity rhythm, sleep pattern and external stimuli response of sleep-wake
behaviours were extracted from the actigraph data and correlated (Spearman rank
order correlation) with activities of daily living measures. Bonferroni
correction for multiple comparisons was applied. RESULTS: Activity level (rho=
0.49, p<0.05) and night-time activity variance (rho=-0.69, p<0.01) had
correlation with the activities of daily living scores. The similarity of subject
wise activity pattern to facility common activities had a trend with activities
of daily living (rho=-0.44, p<0.1). In longitudinal case analysis, sleep and
activity patterns were found to be associated with local weather variables.
CONCLUSIONS: Activity patterns as measured by actigraphy may provide objective
information on older people's behaviour related to functioning state and its
changes in nursing home and assisted living facility settings. However, variance
between individuals was large in this dataset which decreases the reliability of
the results. Furthermore, external stimuli such as weather and facility-related
activities can affect subjects' activity and sleep behaviour and should be
considered in the related studies as well.
PMID- 27883171
TI - Frailty in Postmenopausal African American and Hispanic HIV-Infected Women.
AB - Studies suggest frailty occurs earlier in HIV-infected individuals, but data in
postmenopausal HIV-infected women are lacking. We assessed the prevalence of
frailty and association with anthropometric measures in HIV-infected and
uninfected postmenopausal women. Fried's frailty phenotype was measured in HIV
infected and uninfected Hispanic and African American postmenopausal women
participating in a study of bone metabolism; fat and lean mass were measured by
whole body dual energy x-ray absorptiometry (DXA). Multivariable logistic
regression evaluated frailty risk factors. The study was conducted at Columbia
University Medical Center between 2002 and 2007. The participants were 61 HIV
infected and 27 uninfected Hispanic and African American postmenopausal women.
The study compared prevalence and predictors of frailty in HIV-infected and
uninfected postmenopausal women. Prevalence of frailty tended to be higher among
HIV-infected than uninfected controls (11.5% vs 0% p=0.07). Surprisingly, among
HIV-infected women, total body fat, not lean mass, was associated with frailty in
multivariate analysis. Higher prevalence of frailty in African American and
Hispanic HIV-infected postmenopausal women (11.5%) was similar to the 11%
prevalence reported in minority women who were 10 years older in the general
population. Our data suggest that frailty occurs earlier in HIV-infected
postmenopausal women, but larger longitudinal studies are necessary to confirm
whether musculoskeletal aging is accelerated by HIV infection.
PMID- 27883172
TI - Can an Intervention with Testosterone and Nutritional Supplement Improve the
Frailty Level of Under-Nourished Older People?
AB - OBJECTIVE: To examine whether a testosterone and a high calorie nutritional
supplement intervention can reduce frailty scores in undernourished older people
using multiple frailty tools. DESIGN: Randomized controlled trial.
SETTING/PARTICIPANTS: 53 community-dwelling, undernourished men and women aged
>65 years from South Australia, Victoria and New South Wales. INTERVENTION:
Intervention group received oral testosterone undecanoate and a high calorie
supplement (2108-2416 kJ/day) whereas the control group received placebo
testosterone and low calorie supplement (142-191 kJ/day). MEASUREMENTS: Frailty
was operationalized using three frailty indices (FI-lab, FI-self-report, FI
combined) and the frailty phenotype. RESULTS: There were no significant
differences in changes in frailty scores at either 6 or 12 months follow up
between the two treatment groups for all scales. Participants at the intervention
group were 4.8 times more likely to improve their FI-combined score at both time
points compared to the placebo group. CONCLUSION: A testosterone and a high
calorie nutritional supplement intervention did not improve the frailty levels of
under-nourished older people. Even so, when frailty was measured using a frailty
index combining self-reported and lab data we found that participants who
received the intervention were more likely to show persistent improvement in
their frailty scores.
PMID- 27883173
TI - Clinical application of SNP array analysis in first-trimester pregnancy loss: a
prospective study.
AB - Chromosomal microarray analysis (CMA) has been used routinely in pediatric and
prenatal genetic diagnosis in clinical practice, but it has rarely been applied
to miscarriage analysis. In this study, we conducted a prospective study to
evaluate the feasibility of CMA for genetic diagnosis of first-trimester
miscarriage specimens. We successfully analyzed 551 fresh miscarriage specimens
using single-nucleotide polymorphism (SNP) array. Among the specimens, 2.9%
(16/551) had significant maternal cell contamination and were excluded from the
study. Clinically significant chromosomal abnormalities were identified in 295
(55.1%) cases, including 214 (40%) with aneuploidy, 40 (7.5%) with polyploidy, 19
(3.6%) with partial aneuploidy, 12 (2.2%) with pathogenic
microdeletion/microduplication, and 10 (1.9%) with uniparental isodisomy
(isoUPD). Variants of uncertain significance were obtained in 15 cases (2.8%).
Notably, isoUPD involving a single chromosome (chromosome 22) and two recurrent
copy number variations, 22q11.2 microdeletion and 7q11.23 microdeletion, were
identified as probably to be associated with miscarriage. The frequency and
distribution of genetic aberrations in the spontaneous abortion group was not
significantly different from those in the recurrent miscarriage group. Our study
suggests SNP array is a reliable, robust, and high-resolution technology for
genetic diagnosis of miscarriage in clinical practice.
PMID- 27883174
TI - Maximization of cell viability rather than biocatalyst activity improves whole
cell omega-oxyfunctionalization performance.
AB - It is a common misconception in whole-cell biocatalysis to refer to an enzyme as
the biocatalyst, thereby neglecting the structural and metabolic framework
provided by the cell. Here, the low whole-cell biocatalyst stability, that is,
the stability of specific biocatalyst activity, in a process for the terminal
oxyfunctionalization of renewable fatty acid methyl esters was investigated. This
reaction, which is difficult to achieve by chemical means, is catalyzed by
Escherichia coli featuring the monooxygenase system AlkBGT and the uptake
facilitator AlkL from Pseudomonas putida GPo1. Corresponding products, that is,
terminal alcohols, aldehydes, and acids, constitute versatile bifunctional
building blocks, which are of special interest for polymer synthesis. It could
clearly be shown that extensive dodecanoic acid methyl ester uptake mediated by
high AlkL levels leads to whole-cell biocatalyst toxification. Thus, cell
viability constitutes the primary factor limiting biocatalyst stability and, as a
result, process durability. Hence, a compromise had to be found between low
biocatalyst activity due to restricted substrate uptake and poor biocatalyst
stability due to AlkL-mediated toxification. This was achieved by the fine-tuning
of heterologous alkL expression, which, furthermore, enabled the identification
of the alkBGT expression level as another critical factor determining biocatalyst
stability. Controlled synthesis of AlkL and reduced alkBGT expression finally
enabled an increase of product titers by a factor of 4.3 up to 229 g Lorg-1 in a
two-liquid phase bioprocess setup. Clearly, omega-oxyfunctionalization process
performance was determined by cell viability and thus biocatalyst stability
rather than the maximally achievable specific biocatalyst activity. Biotechnol.
Bioeng. 2017;114: 874-884. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883175
TI - Heads you win, tails you lose: filtration processing for the microscopical
examination of sperm heads.
AB - The sperm head plays a key role in many fertilisation events and determining the
precise location of molecules within the head region is important in
mechanistically dissecting the fertilisation process. Such molecules may be
present in low copy number and many sperm head profiles must be examined to
localise them to particular subcellular structures with confidence. Filtration
has traditionally been used for the purpose of concentrating biological material,
such as free-living cells, spores, and subcellular fractions, and little attempt
has been made to extend the procedure to encompass the entire processing
schedule, mainly due to the incompatibility of intermediate dehydrating solvents
with membrane filters. The novel and simple technique of filtration processing
that we describe produced a dense mat of cells, with several sperm heads being
visible in coronal orientation in a high-power field at the light microscopic
level, and allowed positive immunocytochemical staining to be identified with
confidence. This new technique exploits the low viscosity of LR White acrylic
resin to allow the entire processing procedure to be undertaken in the filtration
apparatus. In contrast, conventional techniques for preparing free-living cells,
namely pre-embedding in a supportive matrix prior to processing, and
centrifugation at each stage of the processing procedure, proved suboptimal,
partly due to the final concentration that could be achieved, but mainly due to
the random orientation of cells that these techniques afforded.
PMID- 27883170
TI - MACVIA-LR (Fighting Chronic Diseases for Active and Healthy Ageing in Languedoc
Roussillon): A Success Story of the European Innovation Partnership on Active and
Healthy Ageing.
AB - The Region Languedoc Roussillon is the umbrella organisation for an
interconnected and integrated project on active and healthy ageing (AHA). It
covers the 3 pillars of the European Innovation Partnership on Active and Healthy
Ageing (EIP on AHA): (A) Prevention and health promotion, (B) Care and cure, (C)
and (D) Active and independent living of elderly people. All sub-activities (poly
pharmacy, falls prevention initiative, prevention of frailty, chronic respiratory
diseases, chronic diseases with multimorbidities, chronic infectious diseases,
active and independent living and disability) have been included in MACVIA-LR
which has a strong political commitment and involves all stakeholders (public,
private, patients, policy makers) including CARSAT-LR and the Eurobiomed cluster.
It is a Reference Site of the EIP on AHA. The framework of MACVIA-LR has the
vision that the prevention and management of chronic diseases is essential for
the promotion of AHA and for the reduction of handicap. The main objectives of
MACVIA-LR are: (i) to develop innovative solutions for a network of Living labs
in order to reduce avoidable hospitalisations and loss of autonomy while
improving quality of life, (ii) to disseminate the innovation. The three years of
MACVIA-LR activities are reported in this paper.
PMID- 27883176
TI - miR-429 is involved in regulation of NF-kappaBactivity by targeting IKKbeta and
suppresses oncogenic activity in cervical cancer cells.
AB - Dysregulation of microRNAs (miRNAs) can contribute to tumorigenesis in cancers.
In this study, we found that miR-429 was downregulated in cervical cancer (CC)
tissues and suppressed cell viability and proliferation while promoting apoptosis
in CC cells. IKKbeta was a novel target gene of miR-429 and ectopic expression of
IKKbeta abrogated the phenotypes induced by miR-429. When IKKbeta was
downregulated by miR-429, nuclear factor kappaB (NF-kappaB) pathway activation,
interleukin-6 (IL-6), and interferon-beta (IFN-beta) production were decreased in
CC cells. These findings indicate that miR-429 is involved in regulation of the
NF-kappaB pathway by targeting IKKbeta and functions as a tumor suppressor in
cervical carcinogenesis.
PMID- 27883177
TI - Feasibility of clinical detection of cervical dysplasia using angle-resolved low
coherence interferometry measurements of depth-resolved nuclear morphology.
AB - This study sought to establish the feasibility of using in situ depth-resolved
nuclear morphology measurements for detection of cervical dysplasia. Forty
enrolled patients received routine cervical colposcopy with angle-resolved low
coherence interferometry (a/LCI) measurements of nuclear morphology. a/LCI scans
from 63 tissue sites were compared to histopathological analysis of co-registered
biopsy specimens which were classified as benign, low-grade squamous
intraepithelial lesion (LSIL), or high-grade squamous intraepithelial lesion
(HSIL). Results were dichotomized as dysplastic (LSIL/HSIL) versus non-dysplastic
and HSIL versus LSIL/benign to determine both accuracy and potential clinical
utility of a/LCI nuclear morphology measurements. Analysis of a/LCI data was
conducted using both traditional Mie theory based processing and a new hybrid
algorithm that provides improved processing speed to ascertain the feasibility of
real-time measurements. Analysis of depth-resolved nuclear morphology data
revealed a/LCI was able to detect a significant increase in the nuclear diameter
at the depth bin containing the basal layer of the epithelium for dysplastic
versus non-dysplastic and HSIL versus LSIL/Benign biopsy sites (both p < 0.001).
Both processing techniques resulted in high sensitivity and specificity (>0.80)
in identifying dysplastic biopsies and HSIL. The hybrid algorithm demonstrated a
threefold decrease in processing time at a slight cost in classification
accuracy. The results demonstrate the feasibility of using a/LCI as an adjunctive
clinical tool for detecting cervical dysplasia and guiding the identification of
optimal biopsy sites. The faster speed from the hybrid algorithm offers a
promising approach for real-time clinical analysis.
PMID- 27883178
TI - Genotype-phenotype correlation in 44 Czech, Slovak, Croatian and Serbian patients
with mucopolysaccharidosis type II.
AB - Mucopolysaccharidosis type II (Hunter syndrome, MPS II, OMIM 309900) is an X
linked lysosomal storage disorder caused by deficiency of iduronate-2-sulfatase
(IDS). We analyzed clinical and laboratory data from 44 Slavic patients with this
disease. In total, 21 Czech, 7 Slovak, 9 Croatian and 7 Serbian patients (43 M/1
F) were included in the study (median age 11.0 years, range 1.2-43 years). Birth
prevalence ranged from 1:69,223 (Serbia) to 1:192,626 (Czech Rep.). In the
majority of patients (71%), the disease manifested in infancy. Cognitive
functions were normal in 10 patients. Four, six and 24 patients had mild,
moderate, and severe developmental delay, respectively, typically subsequent to
developmental regression (59%). Residual enzyme activity showed no predictive
value, and estimation of glycosaminoglycans (GAGs) had only limited importance
for prognosis. Mutation analysis performed in 36 families led to the
identification of 12 novel mutations, eight of which were small
deletions/insertions. Large deletions/rearrangements and all but one small
deletion/insertion led to a severe phenotype. This genotype-phenotype correlation
was also identified in six cases with recurrent missense mutations. Based on
patient genotype, the severity of the disease may be predicted with high
probability in approximately half of MPS II patients.
PMID- 27883180
TI - Mitochondrial reactive oxygen species suppress humoral immune response through
reduction of CD19 expression in B cells in mice.
AB - Reactive oxygen species (ROS) are implicated in the modulation of diverse
processes including immune responses. To evaluate the effects of metabolic ROS
produced by mitochondria on B-cell function and development, we created
transgenic (Tg) mice expressing a phosphorylation-defective mutant of succinate
dehydrogenase A in B cells (bSDHAY215F ). Splenic B cells in male, but not
female, bSDHAY215F mice produced three times more ROS than those in the control
mice, and had decreased production of IgM, IgG1 , and IgG3 , and affinity
maturation of IgG1 against T-cell-dependent antigens. Following immunization, the
male bSDHAY215F mice further displayed suppressed germinal center (GC) formation,
and proliferation of GC B cells. Signaling analysis revealed defects in the
intrinsic BCR responses, such as activation of Lyn, Btk, and PLCgamma2, thus
resulting in reduced intracellular Ca2+ mobilization. Notably, the expression
levels of B-cell co-receptor CD19 and its interaction with Lyn after BCR ligation
were significantly reduced in B cells from male bSDHAY215F mice. These results
suggest that mitochondrial ROS suppress humoral immune responses through
reduction of CD19 expression and resultant BCR signaling in B cells. Therefore, B
cell immunity may be more labile to oxidative stress in male mice than in female
mice.
PMID- 27883179
TI - Evidence that an internal schema adapts swallowing to upper airway requirements.
AB - KEY POINTS: To swallow food and liquid safely, airway protection is essential.
Upward and forward movements of the hyoid and larynx in the neck during
swallowing vary in magnitude between individuals. In healthy human adults, hyoid
and laryngeal movements during swallowing were scaled by differences in initial
upper airway area before swallowing. Individuals increased laryngeal elevation
during swallowing in response to increased airway opening before swallowing. We
show that when upper airway protection requirements change, individuals use an
internal sensorimotor scaling system to adapt movements to maintain swallow
safety. ABSTRACT: Hyoid and laryngeal movements contribute to laryngeal vestibule
closure and upper oesophageal sphincter opening during swallowing. Evidence of an
internal sensorimotor scaling system allowing individuals to achieve these
functional goals is lacking. In speech, speakers adjust their articulatory
movement magnitude according to the movement distance required to reach an
articulatory target for intelligible speech. We investigated if swallowing is
similar in that movement amplitude may be scaled by the functional goal for
airway protection during swallowing, rather than by head and neck size. We
hypothesized that healthy individuals adapt to their own anatomy by adjusting hyo
laryngeal movements to achieve closure of the upper airway. We also investigated
if individuals would automatically compensate for changes in their initial hyo
laryngeal positions and area when head position was changed prior to swallowing.
Videofluoroscopy was performed in 31 healthy adults. Using frame-by-frame motion
analysis, anterior and superior hyoid and laryngeal displacement, and hyo
laryngeal area were measured prior to and during swallowing. Kinematic
measurements during swallowing were examined for relationships with pharyngeal
neck length, and initial hyo-laryngeal positions, length and area before
swallowing. During swallowing, individuals altered laryngeal elevation magnitude
to exceed hyoid elevation based on hyo-laryngeal length before swallowing.
Anterior laryngeal displacement was related to initial larynx distance from the
spine, while hyoid elevation was predicted by pharyngeal neck length and initial
hyoid distance from the mandible prior to the swallow. In conclusion, individuals
automatically adapt hyo-laryngeal movement during swallowing based on targets
required for closing the hyo-laryngeal area for safe swallowing.
PMID- 27883182
TI - The benefit of thresholding carbon layers in electron tomographic tilt series by
intensity downshifting.
AB - When performing electron tomography, tilt series of images are often acquired
from samples that contain unwanted carbonaceous material, such as an embedding
resin, a thin carbon support film or hydrocarbon contamination. The presence of
such layers can introduce artefacts in reconstructions, obscuring features of
interest. Here, we illustrate the benefit of preprocessing a high-angle annular
dark-field tomographic tilt series by thresholding unwanted low-density materials
using a simple intensity downshifting procedure. The resulting tomograms have
fewer artefacts and segmentation can be performed more accurately. We present two
representative examples taken from studies of catalyst nanoparticles and amyloid
plaque core material from the human brain.
PMID- 27883181
TI - WONOEP appraisal: Imaging biomarkers in epilepsy.
AB - Neuroimaging offers a wide range of opportunities to obtain information about
neuronal activity, brain inflammation, blood-brain barrier alterations, and
various molecular alterations during epileptogenesis or for the prediction of
pharmacoresponsiveness as well as postoperative outcome. Imaging biomarkers were
examined during the XIII Workshop on Neurobiology of Epilepsy (XIII WONOEP)
organized in 2015 by the Neurobiology Commission of the International League
Against Epilepsy (ILAE). Here we present an extended summary of the discussed
issues and provide an overview of the current state of knowledge regarding the
biomarker potential of different neuroimaging approaches for epilepsy.
PMID- 27883183
TI - Effect of nanoclay concentration level on the electrical properties of
polypropylene under electron irradiation in a SEM.
AB - For studying the electrical properties (charge trapping, transport and secondary
electron emission) of the polypropylene-based nanocomposites with different
contents of natural clay, the specimens were submitted to electron irradiation of
a scanning electron microscope. A device, suitably mounted on the sample holder
of the scanning electron microscope, was used to measure two currents (i.e.
leakage and displacement currents) induced in the polypropylene-based
nanocomposites (polymer nanocomposites) under electron irradiation. The evolution
of trapped charge during irradiation for each type of studied polymer
nanocomposites is deduced. The amount of trapped charge at the steady state is
also determined by measuring the change of secondary electron image size
associated to the electron trajectory simulation. It is found, surprisingly, that
not only the leakage current increases as a function of clay loading level but
also trapped charge. However, this could be related to the increase of
conductivity in one hand and to proliferation of interfaces between nanoparticles
and neighbouring materials on the other hand. These two processes play crucial
role in controlling the carrier transport (through polymer nanocomposites or/and
along its surface) closely related to the charge storage and leakage current.
Additional experiment using dielectric spectroscopy were performed to show the
effect of clay concentration in changing the dielectric relaxation behaviour and
to evidence the existence of interfaces between nanoparticles and polymer. The
secondary electron emission during electron irradiation is also studied through
the total electron yield that is deduced by correlating the measured leakage and
displacement currents.
PMID- 27883184
TI - Epigenetics in Reactive and Reparative Cardiac Fibrogenesis: The Promise of
Epigenetic Therapy.
AB - Epigenetic changes play a pivotal role in the development of a wide spectrum of
human diseases including cardiovascular diseases, cancer, diabetes, and
intellectual disabilities. Cardiac fibrogenesis is a common pathophysiological
process seen during chronic and stress-induced accelerated cardiac aging. While
adequate production of extracellular matrix (ECM) proteins is necessary for post
injury wound healing, excessive synthesis and accumulation of extracellular
matrix protein in the stressed or injured hearts causes decreased or loss of
lusitropy that leads to cardiac failure. This self-perpetuating deposition of
collagen and other matrix proteins eventually alter cellular homeostasis; impair
tissue elasticity and leads to multi-organ failure, as seen during pathogenesis
of cardiovascular diseases, chronic kidney diseases, cirrhosis, idiopathic
pulmonary fibrosis, and scleroderma. In the last 25 years, multiple studies have
investigated the molecular basis of organ fibrosis and highlighted its multi
factorial genetic, epigenetic, and environmental regulation. In this minireview,
we focus on five major epigenetic regulators and discuss their central role in
cardiac fibrogenesis. Additionally, we compare and contrast the epigenetic
regulation of hypertension-induced reactive fibrogenesis and myocardial
infarction-induced reparative or replacement cardiac fibrogenesis. As microRNAs
one of the major epigenetic regulators-circulate in plasma, we also advocate
their potential diagnostic role in cardiac fibrosis. Lastly, we discuss the
evolution of novel epigenetic-regulating drugs and predict their clinical role in
the suppression of pathological cardiac remodeling, cardiac aging, and heart
failure. J. Cell. Physiol. 232: 1941-1956, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883185
TI - Water dynamics of Ser-His-Glu-Cys-Asn powder and effects of moisture absorption
on its chemical properties.
AB - BACKGROUND: This study has elucidated moisture dynamics in the soybean peptide,
Ser-His-Glu-Cys-Asn (SHECN) powder by using dynamic vapor sorption (DVS) and
nuclear magnetic resonance (NMR). We also tried to investigate the effects of
moisture absorption on the biological activity and chemical properties of SHECN
with some effective methods such as mid-infrared (MIR) spectroscopy and gas
chromatography-mass spectrometry (GC-MS). RESULTS: DVS results showed that the
moisture absorption of SHECN could reach a maximum of 33%, and the SHECN powder
after synthesis actually existed in a trihydrate state of SHECN.3H2 O. Low-field
NMR revealed that three water proportions including strong combined water,
binding water and bulk water were involved in SHECN moisture absorption and
absored water dominantly existed in the form of combined water. Magnetic
resonance imaging (MRI) and MIR spectroscopy results indicated that moisture
absorption could change the morphology and structure of SHECN. After moisture
absorption at 50% and 75% relative humidity, 19 volatiles were identified by GC
MS analysis. Additionally, this study showed that a part of reductive groups in
SHECN was oxidized and its antioxidant ability declined significantly (P < 0.05)
after moisture absorption. CONCLUSION: Water absorbed into SHECN powder can
significantly change its microstructure and cause its activity to decrease. We
must prevent SHECN from absorbing moisture during storage because the water can
accelerate the oxidation of samples and promote microbial reactions. (c) 2016
Society of Chemical Industry.
PMID- 27883186
TI - Lactate at the crossroads of metabolism, inflammation, and autoimmunity.
AB - For a long time after its discovery at the beginning of the 20th century, lactate
was considered a waste product of cellular metabolism. Starting in the early
'90s, however, lactate has begun to be recognized as an active molecule capable
of modulating the immune response. Inflammatory sites, including in rheumatoid
arthritis (RA) synovitis, are characterized by the accumulation of lactate, which
is partly responsible for the establishment of an acidic environment. We have
recently reported that T cells sense lactate via the expression of specific
transporters, leading to inhibition of their motility. Importantly, this "stop
migration signal" is dependent upon lactate's interference with intracellular
metabolic pathways, specifically glycolysis. Furthermore, lactate promotes the
switch of CD4+ T cells to an IL-17+ subset, and reduces the cytolytic capacity of
CD8+ T cells. These phenomena might be responsible for the formation of ectopic
lymphoid structures and autoantibody production in inflammatory sites such as in
RA synovitis, Sjogren syndrome salivary glands, and multiple sclerosis plaques.
Here, we review the roles of lactate in the modulation of the inflammatory immune
response.
PMID- 27883187
TI - Molybdenum and phosphorus limitation of moss-associated nitrogen fixation in
boreal ecosystems.
AB - Biological nitrogen fixation (BNF) performed by moss-associated cyanobacteria is
one of the main sources of new nitrogen (N) input in pristine, high-latitude
ecosystems. Yet, the nutrients that limit BNF remain elusive. Here, we tested
whether this important ecosystem function is limited by the availability of
molybdenum (Mo), phosphorus (P), or both. BNF in dominant mosses was measured
with the acetylene reduction assay (ARA) at different time intervals following Mo
and P additions, in both laboratory microcosms with mosses from a boreal spruce
forest and field plots in subarctic tundra. We further used a 15 N2 tracer
technique to assess the ARA to N2 fixation conversion ratios at our subarctic
site. BNF was up to four-fold higher shortly after the addition of Mo, in both
the laboratory and field experiments. A similar positive response to Mo was found
in moss colonizing cyanobacterial biomass. As the growing season progressed,
nitrogenase activity became progressively more P limited. The ARA : 15 N2 ratios
increased with increasing Mo additions. These findings show that N2 fixation
activity as well as cyanobacterial biomass in dominant feather mosses from boreal
forests and subarctic tundra are limited by Mo availability.
PMID- 27883188
TI - Structural organization and tooth development in a Homo aff. erectus juvenile
mandible from the Early Pleistocene site of Garba IV at Melka Kunture, Ethiopian
highlands.
AB - OBJECTIVES: The immature partial mandible GAR IVE from the c. 1.7 Ma old Garba IV
site at Melka Kunture (Upper Awash Basin, Ethiopia), the earliest human
representative from a mountain-like environment, represents one of the oldest
early Homo specimens bearing a mixed dentition. Following its first description
(Condemi, ), we extended the analytical and comparative record of this specimen
by providing unreported details about its inner morphology, tooth maturational
pattern and age at death, crown size, and tooth tissue proportions. MATERIALS AND
METHODS: The new body of quantitative structural information and virtual imaging
derives from a medical CT record performed in 2013. RESULTS: Compared to the
extant human condition and to some fossil representatives of comparable
individual age, the GAR IVE mandible reveals absolutely and relatively thick
cortical bone. Crown size of the permanent lateral incisor and the canine fit the
estimates of H. erectus s.l., while the dm2 and the M1 more closely approach
those of H. habilis-rudolfensis. Molar crown pulp volumes are lower than reported
in other fossil specimens and in extant humans. The mineralization sequence of
the permanent tooth elements is represented four times in our reference sample of
extant immature individuals (N = 795). CONCLUSIONS: The tooth developmental
pattern displayed by the immature individual from Garba IV falls within the range
of variation of extant human populations and is also comparable with that of
other very young early fossil hominins. Taken together, the evidence presented
here for mandibular morphology and dental development suggest GAR IVE is a robust
2.5- to 3.5-year old early Homo specimen.
PMID- 27883189
TI - Family Correlates of False Belief Understanding in Early Childhood: A Meta
Analysis.
AB - This meta-analysis seeks to integrate findings from 25 years of research on
family correlates of young children's false belief understanding (FBU). Using
data from 93 studies of 3- to 7-year-old children, we examined the correlations
between FBU and four of the most widely studied family factors: parental
socioeconomic status, number of siblings, parental mental-state talk, and mind
mindedness. FBU exhibited modest associations with each family variable and these
associations held even when individual differences in verbal ability were taken
into account. Moderator analyses revealed key child-related factors (e.g., age,
gender) as well as methodological factors that amplified or attenuated the
relations between FBU and each family variable. Crucially, available longitudinal
data highlight the importance of family factors in the development of FBU.
PMID- 27883191
TI - Shyness and Social Conflict Reduce Young Children's Social Helpfulness.
AB - This study examined social influences on 3-year-old children's decisions to help
an experimenter gain another person's attention (N = 32). Children were slower to
help the experimenter when the target had previously expressed disinterest in
attending to her. Shy children were less likely to support the experimenter's
attempts to communicate with the target; however, this association was not
influenced by children's knowledge of the target's disinterest, and there was no
relation between shyness and children's support for a separate physical goal.
Therefore, young children's decisions to act helpfully incorporate consideration
for others beyond a focal person with an unmet need, and they are further
constrained by children's own comfort with the actions required to help.
PMID- 27883190
TI - Environmental control of carbon allocation matters for modelling forest growth.
AB - We aimed to evaluate the importance of modulations of within-tree carbon (C)
allocation by water and low-temperature stress for the prediction of annual
forest growth with a process-based model. A new C allocation scheme was
implemented in the CASTANEA model that accounts for lagged and direct
environmental controls of C allocation. Different approaches (static vs dynamic)
to modelling C allocation were then compared in a model-data fusion procedure,
using satellite-derived leaf production estimates and biometric measurements at
c. 104 sites. The modelling of the environmental control of C allocation
significantly improved the ability of CASTANEA to predict the spatial and year-to
year variability of aboveground forest growth along regional gradients. A
significant effect of the previous year's water stress on the C allocation to
leaves and wood was reported. Our results also are consistent with a prominent
role of the environmental modulation of sink demand in the wood growth of the
studied species. Data available at large scales can inform forest models about
the processes driving annual and seasonal C allocation. Our results call for a
greater consideration of C allocation drivers, especially sink-demand
fluctuations, for the simulations of current and future forest productivity with
process-based models.
PMID- 27883192
TI - A call to action to reshape evidence synthesis and use for nutrition policy.
PMID- 27883193
TI - Auxin transport in the evolution of branching forms.
AB - Contents 545 I. 545 II. 546 III. 546 IV. 548 V. 548 VI. 549 VII. 549
Acknowledgements 549 References 549 SUMMARY: Branching is one of the most
striking aspects of land plant architecture, affecting resource acquisition and
yield. Polar auxin transport by PIN proteins is a primary determinant of
flowering plant branching patterns regulating both branch initiation and branch
outgrowth. Several lines of experimental evidence suggest that PIN-mediated polar
auxin transport is a conserved regulator of branching in vascular plant
sporophytes. However, the mechanisms of branching and auxin transport and
relationships between the two are not well known outside the flowering plants,
and the paradigm for PIN-regulated branching in flowering plants does not fit
bryophyte gametophytes. The evidence reviewed here suggests that divergent auxin
transport routes contributed to the diversification of branching forms in
distinct land plant lineages.
PMID- 27883194
TI - Matworld - the biogeochemical effects of early life on land.
AB - Contents 531 I. 531 II. 532 III. 534 IV. 535 V. 535 VI. 535 Acknowledgements 536
References 536 SUMMARY: There is growing evidence that life has been on land for
billions of years. Microbial mats fuelled by oxygenic photosynthesis were
probably present in terrestrial habitats from c. 3.0 billion yr ago (Ga) onwards,
creating localized 'oxygen oases' under a reducing atmosphere, which left a
characteristic oxidative weathering signal. After the Great Oxidation c. 2.4 Ga,
the now oxidizing atmosphere masked that redox signal, but ancient soils record
the mobilization of phosphorus and other elements by organic acids in weathering
profiles. Evidence for Neoproterozoic 'greening of the land' and intensification
of weathering c. 0.85-0.54 Ga is currently equivocal. However, the mid-Palaeozoic
c. 0.45-0.4 Ga shows global atmospheric changes consistent with increased
terrestrial productivity and intensified weathering by the first land plants.
PMID- 27883196
TI - Grifolin derivatives from Albatrellus ovinus as TRPV1 receptor blockers for
cosmetic applications.
AB - OBJECTIVE: Blocking the TRPV1 receptor is an interesting approach for the
treatment of sensitive skin. Here we investigated the potential of grifolin
derivatives from Albatrellus ovinus to act as TRPV1 receptor blockers and their
potential to serve as cosmetic active ingredients. METHODS: Binding
characteristics of grifolin derivatives from Albatrellus ovinus were determined
in competitive and functional in vitro assays to achieve IC50 values. The TRPV1
receptor was activated in vivo with capsaicin and noxious heat to investigate
skin reddening, microcirculation, skin sensations and heat pain thresholds.
RESULTS: Grifolin derivatives extracted from Albatrellus ovinus proved to inhibit
the TRPV1 receptor in vitro and in vivo. Besides suppression of the TRPV1
receptor activity upon chemical stimulation with capsaicin, thermal activation
was shown to be inhibited as well by application of cosmetic formulations
containing 3% Albatrellus ovinus extract. The reduction of stinging and burning
sensations as well as reduction of reddening and microcirculation upon irritation
with capsaicin or thermal stress proved efficacy in vivo. CONCLUSION: Grifolin
derivatives from Albatrellus ovinus are able to serve as fungal-derived TRPV1
receptor blockers with capability to serve as a cosmetic active ingredient on
sensitive skin.
PMID- 27883195
TI - The Use of Cardiac Orienting Responses as an Early and Scalable Biomarker of
Alcohol-Related Neurodevelopmental Impairment.
AB - BACKGROUND: Considered the leading cause of developmental disabilities worldwide,
fetal alcohol spectrum disorders (FASD) are a global health problem. To take
advantage of neural plasticity, early identification of affected infants is
critical. The cardiac orienting response (COR) has been shown to be sensitive to
the effects of prenatal alcohol exposure and is an inexpensive, easy to
administer assessment tool. The purpose of this study was to evaluate the COR
effectiveness in assessing individual risk of developmental delay. METHODS: As
part of an ongoing longitudinal cohort study in Ukraine, live-born infants of
women with some to heavy amounts of alcohol consumption in pregnancy were
recruited and compared to infants of women who consumed low or no alcohol. At 6
and 12 months, infants were evaluated with the Bayley Scales of Infant
Development-II. CORs were also collected during a habituation/dishabituation
learning paradigm. Using a supervised logistic regression classifier, we compared
the predictive utility of the COR indices to that of the 6-month Bayley scores
for identification of developmental delay based on 12-month Bayley scores. Heart
rate collected at each second (Standard COR) was compared to key features (Key
COR) extracted from the response. RESULTS: Negative predictive values (NPV) were
85% for Standard COR, 82% for Key COR, and 77% for the Bayley, and positive
predictive values (PPV) were 66% for Standard COR, 62% for Key COR, and 43% for
the Bayley. CONCLUSIONS: Predictive analysis based on the COR resulted in better
NPV and PPV than the 6-month Bayley score. As the resources required to obtain a
Bayley score are substantially more than in a COR-based paradigm, the findings
are suggestive of its utility as an early scalable screening tool based on the
COR. Further work is needed to test its long-term predictive accuracy.
PMID- 27883197
TI - Cultivating Community Psychology for Future Generations: Symbiosis, Synergy, and
Separation.
AB - As we near the fiftieth anniversary of the founding of a community psychology
division of the American Psychological Association, there are reasons to be
concerned about the sustainability of the field. This commentary proposes a need
for deliberate, systematic efforts to cultivate settings that can sustain the
field. A framework for outreach to build symbiotic relationships and synergistic
collaborations with persons who do not identify as community psychologists is
proposed. Simultaneously, a strategy of separation from other disciplines may be
needed in some circumstances to conserve settings that sustain the field. Finding
a balance in these strategies is necessary to cultivate community psychology for
future generations.
PMID- 27883198
TI - Reflections on a Community Psychology Setting and the Future of the Field.
AB - The 50th anniversary of the Swampscott Conference offers an opportunity to
reflect on a community psychology setting, The Consultation Center at Yale, that
was formed in response to the 1963 Community Mental Health Act and the 1965
Swampscott Conference. The Center has flourished as a community psychology
setting for practice, research, and training for 39 of the 50 years since
Swampscott. Its creation and existence over this period offers an opportunity for
reflection on the types of settings needed to sustain the field into the future.
PMID- 27883199
TI - Hepcidin detects iron deficiency in Sri Lankan adolescents with a high burden of
hemoglobinopathy: A diagnostic test accuracy study.
AB - Anemia affects over 800 million women and children globally. Measurement of
hepcidin as an index of iron status shows promise, but its diagnostic performance
where hemoglobinopathies are prevalent is unclear. We evaluated the performance
of hepcidin as a diagnostic test of iron deficiency in adolescents across Sri
Lanka. We selected 2273 samples from a nationally representative cross-sectional
study of 7526 secondary schoolchildren across Sri Lanka and analyzed associations
between hepcidin and participant characteristics, iron indices, inflammatory
markers, and hemoglobinopathy states. We evaluated the diagnostic accuracy of
hepcidin as a test for iron deficiency with estimation of the AUCROC ,
sensitivity/specificity at each hepcidin cutoff, and calculation of the Youden
Index to find the optimal threshold. Hepcidin was associated with ferritin, sTfR,
and hemoglobin. The AUCROC for hepcidin as a test of iron deficiency was 0.78;
hepcidin outperformed Hb and sTfR. The Youden index-predicted cutoff to detect
iron deficiency (3.2 ng/mL) was similar to thresholds previously identified to
predict iron utilization and identify deficiency in African populations. Neither
age, sex, nor alpha- or beta-thalassemia trait affected diagnostic properties of
hepcidin. Hepcidin pre-screening would prevent most iron-replete thalassemia
carriers from receiving iron whilst still ensuring most iron deficient children
were supplemented. Our data indicate that the physiological relationship between
hepcidin and iron status transcends specific populations. Measurement of hepcidin
in individuals or populations could establish the need for iron interventions.
Am. J. Hematol. 92:196-203, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883201
TI - Effect of treatment with 5-lipoxygenase inhibitor VIA-2291 (atreleuton) on
coronary plaque progression: a serial CT angiography study.
AB - BACKGROUND: Inflammation has a key role in the process of atherosclerosis.
Production of leukotrienes by 5-lipoxygenase has been linked to atherosclerotic
plaques and cardiovascular events. HYPOTHESIS: In this study, a selective 5-LO
inhibitor will slow plaque progression using serial cardiac computed tomographic
angiography (CCTA). METHODS: Patients with recent acute coronary syndrome (ACS)
were prospectively assigned to one of 3 VIA-2291 doses (25 mg, 50 mg, 100 mg) or
placebo by oral administration. All groups underwent CCTA at baseline and at 6
months' follow-up. Plaque types such as low-attenuation plaque (LAP), fibro-fatty
tissue (FF), fibro-calcified plaque (FC), and dense calcium plaque (DC) were
measured based upon predefined density threshold, and changes from baseline CCTA
were analyzed. RESULTS: The final analysis included 54 patients (age, 56 +/- 9
years; 85.1% male) with CCTA at baseline and 24 weeks. Evaluating on treatment
VIA-2291 (all 3 doses, n = 37) demonstrated significant reductions in plaque
progression compared with placebo (n = 17). VIA-2291 significantly reduced LAP
(5.9 +/- 20.7 mm3 vs -9.7 +/- 33.3 mm3 ), FF (11.1 mm3 +/- 13.3 mm3 vs -0.9 +/-
2.7 mm3 ), and FC (-0.1 +/- 6.22 mm3 vs -14.3 +/- 6.2 mm3 ; all P < 0.05) and
retarded the progression of DC (3.9 +/- 3.2 mm3 vs 0.2 +/- 0.4 mm3 ) compared
with placebo. CONCLUSIONS: VIA-2291 resulted in slowed plaque progression
compared with placebo across different plaque subtypes in patients with recent
ACS (http://ClinicalTrials.gov NCT00358826).
PMID- 27883200
TI - A new way to estimate disease prevalence from random partial-mouth samples.
AB - AIM: Standard partial-mouth estimators of chronic periodontitis (CP) that define
an individual's disease status solely in terms of selected sites underestimate
prevalence. This study proposes an improved prevalence estimator based on
randomly sampled sites and evaluates its accuracy in a well-characterized
population cohort. METHODS: Importantly, this method does not require
determination of disease status at the individual level. Instead, it uses a
statistical distributional approach to derive a prevalence formula from randomly
selected periodontal sites. The approach applies the conditional linear family of
distributions for correlated binary data (i.e. the presence or absence of disease
at sites within a mouth) with two simple working assumptions: (i) the probability
of having disease is the same across all sites; and (ii) the correlation of
disease status is the same for all pairs of sites within the mouth. RESULTS:
Using oral examination data from 6793 participants in the Arteriolosclerosis Risk
in Communities study, the new formula yields CP prevalence estimates that are
much closer than standard partial mouth estimates to full mouth estimates.
CONCLUSIONS: Resampling of the cohort shows that the proposed estimators give
good precision and accuracy for as few as six tooth sites sampled per individual.
PMID- 27883202
TI - The role of platelets in inflammatory immune responses in generalized aggressive
periodontitis.
AB - AIM: To investigate the relationship between inflammatory markers and platelet
size in generalized aggressive periodontitis (GAgP). MATERIAL AND METHODS:
Periodontal, inflammatory and platelet indices were compared between 59 GAgP
patients and 59 healthy subjects. Gingival biopsies from five patients and five
healthy subjects were examined by immunohistochemistry and electron microscopy.
Changes in patient periodontal and platelet indices were re-evaluated at 3 months
after periodontal therapy. RESULTS: Platelet size was decreased significantly in
GAgP patients compared to healthy subjects (p <= 0.003). Weak negative
correlations between platelet size and periodontal parameters were found in GAgP
patients (p <= 0.025). Platelet aggregates and adhesion to the endothelium or
leucocytes were found in venules and connective tissues of gingival biopsies from
GAgP patients. Mean platelet volume (MPV) and platelet large cell ratio increased
after periodontal therapy in GAgP patients (p <= 0.038). The increase in MPV was
related to the decrease in bleeding index in GAgP patients after periodontal
therapy (p < 0.001; r = 0.357). CONCLUSION: Platelet size was reduced in GAgP
patients compared to healthy controls, possibly due to the consumption of large
platelets at sites of periodontal inflammation. Platelets may be involved in host
responses to periodontal infection in GAgP.
PMID- 27883203
TI - Impact of platform switching on inter-proximal bone levels around 8.5 mm implants
in the posterior region; 5-year results from a randomized clinical trial.
AB - AIM: To assess the medium-term results of 8.5 mm implants supplied with a
conventional platform-matched implant-abutment connection or a platform-switched
design. MATERIALS AND METHODS: Eighty patients with one or more missing teeth in
the maxillary or mandibular posterior zone were randomly assigned for treatment
with implants with a conventional (control group) or platform-switched (test
group) implant-abutment connection. Follow-up visits were conducted 1 month, 1
year and 5 years after functional loading. Inter-proximal bone loss, assessed
with standardized peri-apical radiographs, clinical parameters, survival of
implants and satisfaction of patients were the outcome parameters studied.
RESULTS: After 5 years of loading, five of the 80 patients were lost to follow
up. The inter-proximal bone loss in the test group (0.38 +/- 0.61 mm) was
comparable to the bone loss in the control group (0.41 +/- 0.47 mm; p = 0.201).
Remarkably, bone loss has not progressed compared to the 1-year results. Implant
survival, clinical parameters and satisfaction of the patients were favourable
and comparable for the test and control group. CONCLUSION: The 5-years results
showed that inter-proximal bone resorption was minor and comparable around
platform-matched and platform-switched implants, and implant survival, peri
implant health and patients' satisfaction were favourable.
PMID- 27883204
TI - Mindfulness Moderates the Association Between Perceived Burdensomeness and
Suicide Ideation in Adults With Elevated Depressive Symptoms.
AB - A conceptual model in which the associations between perceived burdensomeness and
suicide ideation, and between thwarted belongingness and suicide ideation, are
moderated by mindfulness was examined. Participants were 218 undergraduates (mean
age = 20.81) with moderate-to-severe depressive symptoms. Mindfulness
significantly moderated the association between perceived burdensomeness and
suicide ideation; participants with thoughts of burdensomeness experienced lower
levels of suicide ideation if they were high, as opposed to low, in mindfulness.
Mindfulness did not significantly moderate the association between thwarted
belongingness and suicide ideation. Implications for intervention and elaboration
of the interpersonal psychological theory of suicide are discussed.
PMID- 27883205
TI - Root and root canal morphology of the permanent dentition in a Caucasian
population: a cone-beam computed tomography study.
AB - AIM: To investigate in vivo the number of roots, the configuration of the root
canal system and the root canal splitting and merging levels in the permanent
dentition of a Caucasian population. METHODOLOGY: A total of 11 892 teeth were
inspected in cone-beam computed tomography examinations that were collected from
a pre-existing database. The number of roots was identified, and canal
configurations were classified according to Vertucci's classification and its
supplemental configurations. In addition, the merging and separation positions
along the length of the root canal were identified. The Z-test was used to
analyse the differences between independent groups. A value of P < 0.05 was
considered significant. RESULTS: The majority of tooth types had considerable
variation in the number of roots and root canal configuration types. Radix
entomolaris and paramolaris were rare occurrences. The mesiobuccal root of the
maxillary first and second molars had two root canals in 71% and 44% of cases,
respectively. Nearly 30% of the mandibular incisors had two root canals. Root
canal merging and splitting appeared more often in mandibular teeth. Merging was
more common in the middle third of the root canal in the maxillary teeth and in
the middle and apical thirds in mandibular teeth. Root canal splitting did not
exhibit a tendency, and their position along the length of the root canal varied
from tooth to tooth. CONCLUSION: Clinicians must be aware that each tooth may
display several types of root canal configuration. However, a greater variability
in root canal configuration was found in maxillary second premolars and in the
mesiobuccal roots of maxillary molars. Main root canal merging and splitting may
also be expected to occur at any level of the root canal.
PMID- 27883206
TI - Diffuse pulmonary extramedullary hematopoiesis in myelofibrosis diagnosed with
technetium-99m sulfur colloid bone marrow scintigraphy and single photon emission
computerized tomography/CT.
PMID- 27883207
TI - Interpersonal-Psychological Theory, Alexithymia, and Personality Predict Suicide
Ideation among Maladjusted Soldiers in Taiwan.
AB - This case-control study enrolled 226 maladjusted soldiers and 229 controls to
investigate the impact of the interpersonal-psychological theory of suicide,
alexithymia, personality, and childhood trauma on suicide risk among Taiwanese
soldiers. Assessments included the Toronto Alexithymia Scale, Eysenck Personality
Inventory, Mini-International Neuropsychiatric Interview, and Brief Symptom
Rating Scale. In addition to thwarted belongingness and perceived burdensomeness,
other risks included less extraversion with higher neuroticism, higher
alexithymia, poor academic performance, domestic violence, and life-threatening
events. Our study demonstrates the interaction of the interpersonal-psychological
theory and other suicide risk factors in Taiwanese soldiers.
PMID- 27883208
TI - The association between dentition status and sarcopenia in Japanese adults aged
>=75 years.
AB - Sarcopenia is an age-related loss of muscle mass and muscle strength or physical
performance. There are limited data on the association between oral health and
sarcopenia. To test the hypothesis that impaired dentition status was associated
with sarcopenia, we conducted a cross-sectional study. A total of 272 community
dwelling Japanese adults aged >=75 years for whom data were available from
comprehensive health examinations conducted in 2015 were included in this study.
During dental examination, the number of natural teeth and occluding pairs of
natural teeth was counted. In denture wearers, the fit of the removable dentures
was also evaluated. The criteria proposed by the Asian Working Group for
Sarcopenia were used to define sarcopenia. A multivariable logistic regression
model was used to evaluate the association between dentition status and the
presence of sarcopenia. The prevalence of sarcopenia was 25.7% (70/272). Compared
to individuals with >=10 occluding pairs of natural teeth, those with no
occluding pairs of natural teeth had significantly higher risk of having
sarcopenia (adjusted odds ratio, 3.37; 95% confidence interval, 1.07-10.61),
after adjusting for possible confounders. In addition, compared to individuals
with well-fitting dentures, those with ill-fitting dentures had significantly
higher risk of having sarcopenia (adjusted odds ratio, 5.07; 95% confidence
interval, 1.59-16.19). Our findings suggest that impaired dentition status is
significantly associated with sarcopenia among community-dwelling Japanese adults
aged >=75 years. Future longitudinal studies with larger, more diverse
populations are necessary to validate our findings.
PMID- 27883209
TI - Tongue-to-palate resistance training improves tongue strength and oropharyngeal
swallowing function in subacute stroke survivors with dysphagia.
AB - Tongue function can affect both the oral and pharyngeal stages of the swallowing
process, and proper tongue strength is vital for safe oropharyngeal swallowing.
This trial investigated the effect of tongue-to-palate resistance training (TPRT)
on tongue strength and oropharyngeal swallowing function in stroke with dysphagia
patients. This trial was performed using a 4-week, two-group, pre-post-design.
Participants were allocated to the experimental group (n = 18) or the control
group (n = 17). The experimental group performed TPRT for 4 weeks (5 days per
week) and traditional dysphagia therapy, whereas the control group performed
traditional dysphagia therapy on the same schedule. Tongue strength was measured
using the Iowa Oral Performance Instrument. Swallowing function was measured
using the videofluoroscopic dysphagia scale (VDS) and penetration-aspiration
scale (PAS) based on a videofluoroscopic swallowing study. Experimental group
showed more improved in the tongue strength (both anterior and posterior regions,
P = 0.009, 0.015). In addition, the experimental group showed more improved
scores on the oral and pharyngeal phase of VDS (P = 0.029, 0.007), but not on the
PAS (P = 0.471), compared with the control group. This study demonstrated the
effectiveness of TPRT in increasing tongue muscle strength and improving
swallowing function in patients with post-stroke dysphagia. Therefore, we
recommend TPRT as an easy and simple rehabilitation strategy for improving
swallowing in patients with dysphagia.
PMID- 27883210
TI - Rationale and design of the BAYES (Interatrial Block and Yearly Events) registry.
AB - The prevalence of interatrial block (IAB) is high in the elderly, particularly in
those with heart disease. Despite this high prevalence-and the association of IAB
with the risk of atrial fibrillation (AF), stroke, and cognitive decline-little
information exists about the prognosis of older patients with IAB. P-wave
duration and morphology are associated with risk of developing AF, stroke, and
cognitive decline in elderly patients with structural heart disease. The aim of
the Interatrial Block and Yearly Events (BAYES) registry is to assess the impact
of IAB on the risk of AF and stroke during 3 years of follow-up. A series of 654
ambulatory patients age >=70 years with heart disease from 35 centers will be
included in 3 similar-size groups of patients. Group A: normal P-wave duration
(<120 ms); Group B: partial IAB (P-wave duration >=120 ms without biphasic
[plus/minus] morphology in the inferior leads II, III, and aVF); and Group C:
advanced IAB (P-wave duration >=120 ms with biphasic [plus/minus] morphology in
the inferior leads II, III, and aVF). Patients will be managed according to
current recommendations. The 2 primary endpoints are defined as (1) AF duration
>5 minutes and documented in any form of electrocardiographic recording; and (2)
stroke. Results from this study might significantly improve the knowledge of IAB
and its impact on the outcome of elderly patients with heart disease and could
open the door to the use of anticoagulation therapy in some elderly patients with
IAB.
PMID- 27883211
TI - Clinical and Histological changes after ridge preservation with two xenografts:
preliminary results from a multicentre randomized controlled clinical trial.
AB - OBJECTIVES: To evaluate and compare clinical and histological changes after ridge
preservation procedures with those of spontaneous healing. MATERIALS AND METHODS:
Ninety patients were enrolled in the present randomized controlled clinical trial
and underwent single-tooth extraction in the premolar/molar areas. Thirty sites
were grafted with collagenated cortico-cancellous (coll), 30 sites with cortical
(cort) porcine bone and 30 sites underwent natural healing. Primary (vertical and
horizontal bone changes after 3 months) and secondary outcomes (histomorphometric
after 3 months) were evaluated at implant placement. RESULTS: The vertical bone
changes at the grafted sockets were significantly (p < 0.0001) lower (0.30 mm for
cort group and 0.57 mm for coll group) when compared to non-grafted sockets (2.10
mm for nat group). Moreover, the width reduction of the coll (0.93 mm) and cort
(1.33 mm) groups was significantly lower (p < 0.0001) than the non-grafted group
(3.60 mm). The analysis of subgroups attested that when premolar and molar sites
were compared, the buccal bone loss appeared to be dependent both on tooth
position and grafting material employed. CONCLUSION: The ridge preservation
procedures had significantly better outcomes when compared to natural healing.
The biomaterials did not differ for maintenance of bone width; even though, the
bone height seemed to be better preserved with the cortical porcine bone.
PMID- 27883212
TI - A retrospective study on periodontal disease progression in private practice.
AB - BACKGROUND: Only a handful of studies have assessed tooth loss risk in chronic
periodontitis patients following active therapy and factors associated with it.
AIMS: The aim of this retrospective study was to assess tooth loss in a cohort of
chronic periodontitis patients undergoing maintenance care in a UK private
practice setting. MATERIALS AND METHODS: One hundred chronic periodontitis
patients treated with active periodontal therapy were followed up in supportive
periodontal therapy (SPT) for at least 5 years. Tooth loss rates and the effect
of patient and tooth factors on tooth loss were assessed. Existing patient-based
prognosis systems and a novel tooth-based prognosis system were tested for their
association with tooth loss. RESULTS: Excluding third molars, 34 teeth were
extracted during SPT, with an overall average tooth loss of 0.06
teeth/patient/year (0.02 for periodontal reasons). Multivariable analysis showed
that age, patient prognosis based on the Periodontal Risk Assessment system,
tooth prognosis, furcation involvement and previous endodontic therapy were
significantly associated with tooth loss during SPT. CONCLUSION: Good overall
stability and a small tooth loss rate were observed in this cohort of chronic
periodontitis cases under SPT in private practice. Patient-based and tooth-based
prognosis systems may be used to estimate the risk of tooth loss.
PMID- 27883213
TI - Oxidative Stress and Amyloid Toxicity: Insights From Yeast.
AB - Alzheimer's disease is the most common neurodegenerative disorder. One of the
factors that promotes neurodegeneration is the accumulation of senile plaques
formed by Abeta peptide. In this paper, it was analyzed that if oxidative stress
is cause or consequence of amyloid cascade and the role of antioxidant defense
system in this process, using S. cerevisiae (with a multicopy plasmid containing
the Abeta1-42 sequence) as experimental model. Cells grown on glycerol were more
tolerant than when grown on glucose, strengthening the role of the antioxidant
defense system against Abeta accumulation. Antioxidant defense deficiency did not
change the pattern of amyloid aggregation. On the other hand, the presence of
Abeta increased the level of intracellular oxidation and induced the activity of
catalase, superoxide dismutase, and aconitase. Peroxissomal catalase deficient
cells (Deltacta1), were more sensitive to Abeta toxicity than the wild type
strain, while mitochondrial superoxide dismutase (Sod2) deficient cells displayed
the highest frequency of petites. Besides, Abeta alters the oxygen consumption
and the activity of complex III and IV. Taken together, our results point out
that the Abeta toxicity mechanism involves an oxidative stress induction by
increasing ROS production into the mitochondria, where Cta1 and Sod2 play a
crucial role in the regulation of the redox balance. J. Cell. Biochem. 118: 1442
1452, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883214
TI - Psychiatric, Demographic, and Brain Morphological Predictors of Relapse After
Treatment for an Alcohol Use Disorder.
AB - BACKGROUND: Relapse in alcohol use disorders (AUD) is related to a complex
interplay among multiple biological, psychiatric, psychological, and psychosocial
factors, which may change dynamically during and after treatment. At treatment
entry for AUD, morphological abnormalities in anterior frontal regions and the
insula have been observed in those who ultimately relapse following treatment.
The goal of this study was to determine whether anterior frontal and insula
measures of brain thickness, surface area, and volume predict posttreatment
drinking status (i.e., relapser or abstainer) over an extended period after
outpatient treatment for AUD, while concurrently considering common psychiatric,
psychological, and psychosocial factors previously associated with relapse.
METHODS: Alcohol-dependent individuals (n = 129) were followed for 18 months
after treatment to determine posttreatment drinking status (abstainers [n = 47]
or relapsers [n = 82]). Brain morphometrics were derived from FreeSurfer.
Receiver operating characteristic (ROC) curve analysis was used to identify the
regional brain thickness, surface area, and volume (all scaled to intracranial
volume), demographic, psychiatric, other substance use (e.g., cigarette smoking),
and alcohol consumption variables, obtained at entry into treatment, that best
predicted posttreatment drinking status. Survival analyses determined variables
that were related to duration of abstinence after treatment. RESULTS: ROC
analyses indicated that mood disorders, education, and volumes of the right
caudal anterior cingulate cortex (ACC), right rostral ACC, and total right
frontal gray matter were significant predictors of posttreatment drinking status.
Among relapsers, survival analyses showed smokers and individuals with a comorbid
medical condition relapsed earlier after treatment. Additionally, a greater
frequency of smokers relapsed within 6 months of AUD treatment. CONCLUSIONS:
Results reinforce that relapse in AUD is a function of multiple biological,
psychiatric, psychological, and psychosocial factors. Effective treatment of
depressive disorders and cigarette smoking concurrent with AUD-focused
interventions may promote better treatment outcomes.
PMID- 27883216
TI - Long Non-Coding RNA Uc.187 Is Upregulated in Preeclampsia and Modulates
Proliferation, Apoptosis, and Invasion of HTR-8/SVneo Trophoblast Cells.
AB - Among the preeclampsia-related long non-cording RNAs (lncRNAs) screened with a
gene chip in our preliminary study, uc.187 attracted our attention because of its
high conservation across different species and significant positive correlation
with preeclampsia (PE). The literature and bioinformatics analysis suggested that
lncRNA uc.187 might be associated with cell growth, invasion, and apoptosis. The
expression of uc.187 in severe preeclamptic placentas (n = 31) and normal
placentas (n = 18) was evaluated by real-time reverse transcription polymerase
chain reaction (qRT-PCR). We constructed a silencing lentivirus vector (uc.187
siRNA) to explore the biological function of uc.187 in the development and
progression of HTR-8/SVneo trophoblast cells in vitro. Furthermore, we utilized
CCK8 analysis, a transwell invasion assay, and flow cytometry to determine the
role of uc.187 in the proliferation, invasion, and apoptosis of HTR-8/SVneo
trophoblast cells. The proteins related to proliferation (PCNA, Ki67), invasion
(MMP-2/-9 and TIMP-1), and apoptosis (caspase-3, Bcl-2) were evaluated with a
Western blot assay. The results showed that there was an obvious upregulation of
uc.187 expression in preeclamptic placental tissues. In addition, uc.187
silencing enhanced cell proliferation and invasion and reduced the cellular
apoptotic response. Taken together, our findings suggest for the first time that
abnormal expression of lncRNA uc.187 may lead to the aberrant biological behavior
of HTR-8/SVneo cells. Therefore, we propose uc.187 as a novel lncRNA molecule
that might contribute to the development of PE and might represent a potential
diagnostic and therapeutic target for this disease. J. Cell. Biochem. 118: 1462
1470, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883215
TI - Effects of concomitant immunomodulators on the pharmacokinetics, efficacy and
safety of adalimumab in patients with Crohn's disease or ulcerative colitis who
had failed conventional therapy.
AB - BACKGROUND: Adalimumab is approved for use in patients with moderate to severe
Crohn's disease (CD) or ulcerative colitis (UC) who have not achieved disease
control with conventional therapies including corticosteroids and/or
immunomodulators (IMM). AIM: To analyse six studies that examined efficacy,
pharmacokinetics and safety of combination IMM/adalimumab therapy, compared with
adalimumab monotherapy in patients with inadequate disease control on
conventional therapy. METHODS: Patients with moderate to severe CD or UC from
randomised, double-blind, placebo-controlled trials were analysed. Adalimumab was
added to background therapy; patients were categorised as receiving adalimumab
monotherapy (CD induction, n = 245, maintenance, n = 185; UC induction, n = 213,
maintenance, n = 157) or combination therapy (CD induction, n = 139, maintenance,
n = 139; UC induction, n = 140, maintenance, n = 100) according to baseline
immunomodulator use. Efficacy was reported for the intent-to-treat populations
from each study, with remission defined as CD activity index <150 for CD and Mayo
score <=2 with no subscore >1 for UC. Safety was assessed via adverse events.
RESULTS: The proportions of patients achieving remission were similar for
adalimumab monotherapy and immunomodulator combination therapy in all studies.
Median adalimumab concentrations at week 4 or 8 were numerically but not
significantly higher with adalimumab combination therapy vs. monotherapy in the
CD and UC studies respectively. Incidence and rate of adverse events was similar
for adalimumab monotherapy and combination therapy. CONCLUSIONS: Post hoc
analysis of six randomised, controlled trials demonstrated no efficacy benefits
with immunomodulator/adalimumab combination therapy, compared with adalimumab
monotherapy in CD and UC patients with inadequate disease control on conventional
therapy; the safety of the two treatment approaches was comparable.
PMID- 27883217
TI - Nitric oxide is involved in methane-induced adventitious root formation in
cucumber.
AB - Our previous studies revealed that methane (CH4 ) induces adventitious rooting in
cucumber. However, the corresponding molecular mechanism is still elusive. In
this work, we discovered that CH4 triggered the accumulation of nitric oxide (NO)
and thereafter cucumber adventitious rooting, mimicking the inducing effects of
sodium nitroprusside (SNP) and NONOate (two NO-releasing compounds). Above
mentioned responses were sensitive to NO scavenger(s), showing that the
accumulation of NO and adventitious root development were respectively impaired.
Inhibitor test and biochemical analysis suggested that endogenous NO mainly
produced by mammalian NO synthase-like enzyme and diamine oxidases (DAO), might
be required for adventitious root formation elicited by CH4 . Molecular evidence
confirmed that CH4 -mediated induction of several marker genes responsible for
adventitious root development, including CsDNAJ-1, CsCDPK1, CsCDPK5, cell
division-related gene CsCDC6, and two auxin signaling genes, CsAux22D-like and
CsAux22B-like, was casually dependent on NO signaling. The possible involvement
of S-nitrosylation during the mentioned CH4 responses was preliminarily
illustrated. Taken together, through pharmacological, anatomical and molecular
approaches, it is suggested that NO might be involved in CH4 -induced cucumber
adventitious rooting, and CH4 -eliciated NO-targeted proteins might be partially
modulated at transcriptional and post-translational levels. Our work may increase
the understanding of the mechanisms underlying CH4 -elicited root organogenesis
in higher plants.
PMID- 27883218
TI - Tyrosine Phosphorylation of the Pioneer Transcription Factor FoxA1 Promotes
Activation of Estrogen Signaling.
AB - The pioneer transcription factor FoxA1 plays an important role in estrogen
signaling by opening closed chromatin and promoting recruitment of the estrogen
receptor to its target regions in DNA. In this study, we analyzed tyrosine
phosphorylation of FoxA1 by the non-receptor-type tyrosine kinase c-Abl. c-Abl
was shown to phosphorylate FoxA1 at multiple sites, especially in the N- and C
terminal regions. Tyr429 and Tyr464 were identified as the major phosphorylation
sites in the FoxA1 C-terminal region. The phosphomimetic and nonphosphorylatable
FoxA1 mutants were generated by glutamic acid and phenylalanine substitutions at
these tyrosine residues, respectively. The phosphomimetic FoxA1 promoted the
activation of estrogen signaling, whereas the nonphosphorylatable FoxA1
suppressed its activation. Stimulation with the epidermal growth factor, which
activates c-Abl, enhanced the activation of estrogen signaling. In contrast, the
c-Abl inhibitor imatinib reduced its activation. The phosphomimetic FoxA1 mutant
showed a higher affinity toward histone H3 than the wild-type. These results
suggest that c-Abl-mediated phosphorylation of FoxA1 promotes the activation of
estrogen signaling by inducing its binding to histones. J. Cell. Biochem. 118:
1453-1461, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883220
TI - How long does the volumizing effect of a Zingiber officinale-based lip plumper
last?
AB - OBJECTIVE: Lip plumpers should enhance lip volume. It has been shown that no
noticeable result was obtained after long term use of these products. The present
study has been carried out to assess lip plumpers' short term effectiveness
within 2 h from application. METHODS: Effectiveness was assessed using non
invasive techniques. The effect on vascularisation was analyzed with the
Mexameter MX 16(r) , and the volume enhancing effect was assessed by
anthropometric measures and profilometry analysis from 3D scanning electron
microscope (SEM) images using Alicona's MEX software. Sixty female volunteers
were recruited for the study and the measurements were taken 15, 30, 60, 90 and
120 min after product application. RESULTS: Product application produced a
statistically significant increase of lip vascularisation during the first 15
min, which stayed unchanged until the 30th min, then decreased in intensity. The
volumizing effect was revealed by 3D profilometry analysis only, not by
anthropological measurements. The use of 3D SEM images showed an increase of 0.50
mm in the protrusion of the lip vermilion (MHP parameter) during the first 15 min
from product application. CONCLUSION: Results suggest that the lip plumper
temporarily enhances vasodilation and increases lip volume.
PMID- 27883219
TI - Drugs, Sex, and Condoms: Identification and Interpretation of Race-Specific
Cultural Messages Influencing Black Gay and Bisexual Young Men Living with HIV.
AB - Black gay and bisexual young men carry a disproportionate burden of HIV in the
United States. This study explored Black gay and bisexual young men living with
HIV's identification and interpretation of race-specific cultural messages
regarding substance use, sexual activity, and condom use. A total of 36 Black gay
and bisexual young men living with HIV (ages 16-24, mean = 20.6 years) from four
geographically diverse regions of the United States participated in qualitative
in-depth interviews. Results from this study elucidate the ways in which these
young men interpret various forms of race-specific cultural messages and
experiences regarding substance use, sexual activity, and condom use.
Participants discussed cultural messages and experiences promoting and
discouraging condoms and substance use. Regarding sexual activity, only messages
and experiences promoting sex were reported. Across all three categories,
messages and experiences promoting risk were predominant. Data further revealed
that socially transmitted cultural messages received by young men emanated from
multiple sources, such as family, peers, sexual partners, community/neighborhood,
and the broader society. Race-specific cultural messages and experiences should
be addressed in interventions for this population, and programs should assist
young men in developing a critical consciousness regarding these messages and
experiences in order to promote health and well-being.
PMID- 27883221
TI - Alcohol Exposure Causes Overexpression of Heart Development-Related Genes by
Affecting the Histone H3 Acetylation via BMP Signaling Pathway in Cardiomyoblast
Cells.
AB - BACKGROUND: Abusive alcohol utilization of pregnant woman may cause congenital
heart disease (CHD) of fetus, where alcohol ignites histone H3 hyperacetylation
leading to abnormal development of heart morphogenesis and associated genes.
Knowledge about the regularized upstream genes is little, but bone morphogenetic
protein (BMP) signaling may actively and prominently take part in alteration in
acetylation of histone H3. The supreme objective of this study was to unearth the
involvement of BMP signaling pathway in alcohol-driven hyperacetylation of
histone H3 in cardiomyoblast cells. METHODS: Cardiomyoblast cells (H9c2 cells)
were addicted with alcohol (100 mM) for 24 hours. Dorsomorphin (5 MUM) was used
for the inhibition of BMP signaling pathway. We detected the phosphorylation
activity of SMAD1/5/8, mRNA expression, histone acetyltransferases (HAT)/histone
deacetylase (HDAC) activity, and acetylation of histone H3. RESULTS: Following
alcohol exposure, phosphorylation of SMAD1/5/8 and HAT activities was increased
to a significant extent, while histone H3 acetylation and expression of heart
development-related genes were also increased. The said phenomenon influenced by
alcohol was reverted upon dorsomorphin treatment to the cells without effecting
HDAC activity. CONCLUSIONS: The data clearly identified that BMP-mediated histone
H3 acetylation of heart development-related genes might be one of the possible
cellular mechanisms to control alcohol-induced expression of heart development
related genes. Dorsomorphin, on the other hand, may modulate alcohol-induced
hyperacetylation of histone H3 through BMP targeting, which could be a potential
way to block CHD.
PMID- 27883223
TI - Mannitol biosynthesis in algae: more widespread and diverse than previously
thought.
PMID- 27883224
TI - Basic Fibroblast Growth Factor Regulates REX1 Expression Via IL-6 In Stem Cells
Isolated From Human Exfoliated Deciduous Teeth.
AB - Basic fibroblast growth factor (bFGF) regulates pluripotent marker expression and
cellular differentiation in various cell types. However, the mechanism by which
bFGF regulates REX1 expression in stem cells, isolated from human exfoliated
deciduous teeth (SHEDs) remains unclear. The aim of the present study was to
investigate the regulation of REX1 expression by bFGF in SHEDs. SHEDs were
isolated and characterized. Their mRNA and protein expression levels were
determined using real-time polymerase chain reaction and enzyme-linked
immunosorbent assay, respectively. In some experiments, chemical inhibitors were
added to the culture medium to impede specific signaling pathways. Cells isolated
from human exfoliated deciduous tooth dental pulp tissue expressed mesenchymal
stem cell surface markers (CD44, CD73, CD90, and CD105). These cells
differentiated into osteogenic and adipogenic lineages, when appropriately
induced. Treating SHEDs with bFGF induced REX1 mRNA expression and this effect
was attenuated by pretreatment with FGFR or Akt inhibitors. Cycloheximide
pretreatment also inhibited the bFGF-induced REX1 expression, implying the
involvement of intermediate molecule(s). Further, the addition of an IL-6
neutralizing antibody attenuated the bFGF-induced REX1 expression by SHEDs. In
conclusion, bFGF enhanced REX1 expression by SHEDs via the FGFR and Akt signaling
pathways. Moreover, IL-6 participated in the bFGF-induced REX1 expression in
SHEDs. J. Cell. Biochem. 118: 1480-1488, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883222
TI - Alcohol Misuse and Co-Occurring Mental Disorders Among New Soldiers in the U.S.
Army.
AB - BACKGROUND: Problem drinking that predates enlistment into military service may
contribute to the overall burden of alcohol misuse in the Armed Forces; however,
evidence bearing on this issue is limited. This study examines prevalence and
correlates of alcohol misuse among new U.S. Army soldiers. METHODS: Cross
sectional survey data were collected from soldiers reporting for basic combat
training. The survey retrospectively assessed lifetime alcohol consumption and
substance abuse/dependence, enabling estimation of the prevalence of lifetime
binge drinking and heavy drinking in a sample of 30,583 soldiers and of probable
alcohol use disorder (AUD) among 26,754 soldiers with no/minimal lifetime use of
other drugs. Co-occurrence of mental disorders and other adverse outcomes with
binge drinking, heavy drinking, and AUD was examined. Discrete-time survival
analysis, with person-year the unit of analysis and a logistic link function, was
used to estimate associations of AUD with subsequent onset of mental disorders
and vice versa. RESULTS: Weighted prevalence of lifetime binge drinking was 27.2%
(SE = 0.4) among males and 18.9% (SE = 0.7) among females; respective estimates
for heavy drinking were 13.9% (SE = 0.3) and 9.4% (SE = 0.4). Among soldiers with
no/minimal drug use, 9.5% (SE = 0.2) of males and 7.2% (SE = 0.5) of females had
lifetime AUD. Relative to no alcohol misuse, binge drinking, heavy drinking, and
AUD were associated with increased odds of all mental disorders and other adverse
outcomes under consideration (adjusted odds ratios [AORs] = 1.5 to 4.6; ps <
0.001). Prior mental disorders and suicidal ideation were associated with onset
of AUD (AORs = 2.3 to 2.8; ps < 0.001), and prior AUD was associated with onset
of mental disorders and suicidal ideation (AORs = 2.0 to 3.2, ps < 0.005).
CONCLUSIONS: Strong bidirectional associations between alcohol misuse and mental
disorders were observed in a cohort of soldiers beginning Army service. Conjoint
recognition of alcohol misuse and mental disorders upon enlistment may provide
opportunities for risk mitigation early in a soldier's career.
PMID- 27883225
TI - Computational Screening and Exploration of Disease-Associated Genes in
Alzheimer's Disease.
AB - Alzheimer's is a neurodegenerative disease affecting large populations worldwide
characterized mainly by progressive loss of memory along with various other
symptoms. The foremost cause of the disease is still unclear, however various
mechanisms have been proposed to cause the disease that include amyloid
hypothesis, tau hypothesis, and cholinergic hypothesis in addition to genetic
factors. Various genes have been known to be involved which are APOE, PSEN1,
PSEN2, and APP among others. In the present study, we have used computational
methods to examine the pathogenic effects of non-synonymous single nucleotide
polymorphisms (SNPs) associated with ABCA7, CR1, MS4A6A, CD2AP, PSEN1, PSEN2, and
APP genes. The SNPs were obtained from dbSNP database followed by identification
of deleterious SNPs and prediction of their functional impact. Prediction of
disease-associated mutations was performed and the impact of the mutations on the
stability of the protein was carried out. To study the structural significance of
the computationally prioritized mutations on the proteins, molecular dynamics
simulation studies were carried out. On analysis, the SNPs with IDs rs76282929
ABCA7; CR1 rs55962594; MS4A6A rs601172; CD2AP rs61747098; PSEN1 rs63750231,
rs63750265, rs63750526, rs63750577, rs63750687, rs63750815, rs63750900,
rs63751037, rs63751163, rs63751399; PSEN2 rs63749851; and APP rs63749964,
rs63750066, rs63750734, and rs63751039 were predicted to be deleterious and
disease-associated having significant structural impact on the proteins. The
current study proposes a precise computational methodology for the identification
of disease-associated SNPs. J. Cell. Biochem. 118: 1471-1479, 2017. (c) 2016
Wiley Periodicals, Inc.
PMID- 27883226
TI - The Circadian Gene Clock Regulates Bone Formation Via PDIA3.
AB - The expression patterns of clock-controlled genes (ccgs) are regulated by
circadian rhythm, which is a major regulatory and physiological mechanism tied to
the solar day. Disruptions in circadian rhythm contribute to the development of
cardiovascular diseases, cancer, metabolic syndromes, and aging. It has been
reported that bone remodeling is also regulated by circadian rhythm. However, the
molecular mechanism by which the circadian gene Clock regulates bone remodeling
has yet to be elucidated. Here, we show that Clock mutant mice exhibit a
significant reduction in bone density as well as increased apoptosis. Protein
disulfide isomerase family A member 3 (PDIA3) is a 1,25-dihydroxy-vitamin D3
[1alpha,25(OH)2D3] receptor that can regulate bone formation and apoptosis. Using
luciferase and ChIP assays, we confirmed that Pdia3 is a ccg. Clock activates
Pdia3 transcription by binding the E-box promoter, and transcription is decreased
in ClockDelta19 mutant mice. Forced expression of Pdia3 or of Clock completely
rescues the osteogenic disorders found in the mutant background and inhibits
apoptosis in vivo and in vitro. Furthermore, ablation of PDIA3 via RNA
interference completely blocks the compensatory effect of forced expression of
Clock in osteoblasts. Our results demonstrate that the core circadian gene Clock
regulates bone formation via transcriptional control of 1,2,5(OH)2D3 receptor
PDIA3. (c) 2016 American Society for Bone and Mineral Research.
PMID- 27883228
TI - In grateful recognition of our Editorial Board.
PMID- 27883227
TI - Preterm infants exhibited less pain during a heel stick when they were played the
same music their mothers listened to during pregnancy.
AB - AIM: Playing music during painful procedures has shown inconsistent benefits for
preterm infants. This study observed preterm infants during a heel stick
procedure to assess whether listening to the music their mothers listened to
during pregnancy had any impact on their pain and physiological and behavioural
parameters. METHODS: We randomly exposed 42 preterm infants, with a mean
gestational age of 31.8 +/- 2.79 weeks, to the music their mothers listened to
during pregnancy, recorded lullabies and no music, before, during and after a
heel stick. Pain responses were measured using the Neonatal Pain, Agitation and
Sedation Scale (N-PASS), and physiological and behavioural responses were
recorded by a nurse blinded to the intervention. RESULTS: N-PASS pain scores were
lowest during mothers' music, with a mean of 1.40 (+/-1.28), compared to 2.33 (+/
1.64) for no music and 1.62 (+/-2.27) for the lullabies [F(3/121) = 4.86, p =
0.009]. Physiological parameters were not significantly different between the
conditions. During the mothers' music, infants spent more time in a quiet alert
state, with a significant decrease in their respiratory rates. CONCLUSION: The
music mothers listened to during pregnancy was more beneficial for preterm
infants, as it decreased pain and improved behavioural states during a heel
stick.
PMID- 27883229
TI - What's in a Discussion section? Exploiting 2-dimensionality in the online
world....
PMID- 27883230
TI - Missing heritability: Illegitimate quantitative comparison of holistic and
reductionist data (response to DOI 10.1002/bies.201600084).
PMID- 27883231
TI - Evaluating the credibility of histopathology data in environmental endocrine
toxicity studies.
AB - Agencies responsible for environmental protection are tasked with developing
regulatory guidance that is based on the best available scientific evidence.
Histopathology is a common endpoint in toxicologic bioassays; however, because of
the subjective nature of this endpoint, and the advanced level of specialized
training required for its effective utilization, the reliability of
histopathology data can be inconsistent. Consequently, mechanisms for evaluating
such data on a case-by-case basis are needed. The purposes of the present review
are to describe a methodology that can be used to evaluate the credibility of
histopathology findings and to discuss the results of such assessments as applied
to real-world data collected from the scientific literature. A key outcome of
these efforts was the finding that only 54% of the studies examined contained
histopathology data that were considered to be either highly credible or
credible, whereas data in 46% of those studies were of equivocal, dubious, or no
credibility. In addition, the results indicated that the quality of the data
examined tended to decline during the past 15 yr. The ultimate goals of the
present review are to draw attention to reliability issues that can affect
histopathology results, provide recommendations to improve the quality of this
endpoint, and suggest an approach for the expeditious and judicious use of
histopathology data in the weight-of-evidence determinations required for hazard
and/or risk assessment. This exercise was conducted initially as part of a SETAC
Pellston WorkshopTM entitled "Environmental Hazard and Risk Assessment Approaches
for Endocrine-Active Chemicals (EHRA): Developing Technical Guidance Based on
Case Studies to Support Decision Making" that was held in Pensacola, Florida
(USA) from 31 January to 5 February 2016. Environ Toxicol Chem 2017;36:601-611.
(c) 2016 SETAC.
PMID- 27883232
TI - Primary sources and toxicity of PAHs in Milwaukee-area streambed sediment.
AB - High concentrations of polycyclic aromatic hydrocarbons (PAHs) in streams can be
a significant stressor to aquatic organisms. To understand the likely sources and
toxicity of PAHs in Milwaukee-area streams, streambed sediment samples from 40
sites and parking lot dust samples from 6 sites were analyzed for 38 parent PAHs
and 25 alkylated PAHs. Diagnostic ratios, profile correlations, principal
components analysis, source-receptor modeling, and mass fractions analysis were
used to identify potential PAH sources to streambed sediment samples, and land
use analysis was used to relate streambed sediment PAH concentrations to
different urban-related land uses. On the basis of this multiple lines-of
evidence approach, coal-tar pavement sealant was indicated as the primary source
of PAHs in a majority of streambed sediment samples, contributing an estimated
77% of total PAHs to samples, on average. Comparison with the probable effect
concentrations and (or) the equilibrium partitioning sediment benchmark indicates
that 78% of stream sediment samples are likely to cause adverse effects to
benthic organisms. Laboratory toxicity tests on a 16-sample subset of the
streambed sites using the amphipod Hyalella azteca (28-d) and the midge
Chironomus dilutus (10-d) measured significant reductions in 1 or more biological
endpoints, including survival, in 75% of samples, with H. azteca more responsive
than C. dilutus. Environ Toxicol Chem 2017;36:1622-1635. (c) 2016 The Authors.
Environmental Toxicology and Chemistry Published by Wiley Periodicals, Inc. on
behalf of SETAC.
PMID- 27883234
TI - Stereospecific Synthesis of Fluoroalkenes by Silver-Mediated Fluorination of
Functionalized Alkenylstannanes.
AB - The known procedures for the conversion of alkenylstannanes into the
corresponding fluoroalkenes suffer from largely variable yields and a limited
compatibility with functional groups; most notably, protodestannation becomes a
serious issue whenever protic sites are present in the substrate. Outlined in
this paper is a convenient alternative with a much improved application profile,
which is largely unperturbed by free alcohols and amides of all sorts. Key to
success is the use of F-TEDA-PF6 in combination with non-hygroscopic and bench
stable silver phosphinate (AgOP(O)Ph2 ) that acts as an essentially neutral, non
nucleophilic promotor and effective tin-scavenger at the same time. This new
method opens many opportunities for late-stage fluorination of elaborate
compounds far beyond the scope of the literature procedures, as witnessed by the
preparation of a fluorinated macrolide antibiotic, a fluorinated prostaglandin
derivative, and a set of fluorinated amino acid surrogates and peptide isosteres.
PMID- 27883233
TI - Intranasal Insulin Boosts Gustatory Sensitivity.
AB - Intranasal insulin has been the subject of attention not only with respect to
enhancing memory processes, but also for its anorexic effects, as well as its
effects on olfactory sensitivity. In the present study, the influence of
intranasal insulin on gustatory sensitivity was investigated using intranasal
applications of insulin or placebo in a double-blind manner alongside a control
condition without any application. We hypothesised that, because it mediates
satiety, intranasal insulin alters gustatory sensitivity, whereas placebo
application and the control should not alter gustatory sensitivity. We did not
expect the sensitivity to the different taste solutions to differ. Sweet, salty,
bitter and sour liquids in four concentrations each were sprayed onto the tongue
of healthy male subjects. Additionally, water with no taste was applied to enable
calculation of taste sensitivity in terms of parameter d' of signal detection
theory. The task of the subject was to identify the quality of the respective
tastant. Gustatory sensitivity and blood parameters were evaluated using repeated
measures ANOVAs. Gustatory sensitivity (implying all tastants) improved
significantly after intranasal insulin application compared to the application of
placebo, although it did not reach significance compared to the control
condition. Subjects performed best when detecting the sweet taste and worst when
detecting the bitter taste. The blood parameters glucose, insulin, homeostatic
model assessment and leptin did not differ with respect to insulin or placebo
condition, nor did they differ regarding measurements preceding or following
intranasal application, in confirmation of preserved peripheral euglycaemia
during the experiment. Thus, it can be concluded that the application of
intranasal insulin led to an improved gustatory sensitivity compared to placebo.
PMID- 27883235
TI - Genomewide association study of peanut allergy reproduces association with amino
acid polymorphisms in HLA-DRB1.
AB - BACKGROUND: Genetic variants for IgE-mediated peanut allergy are yet to be fully
characterized and to date only one genomewide association study (GWAS) has been
published. OBJECTIVE: To identify genetic variants associated with challenge
proven peanut allergy. METHODS: We carried out a GWAS comparing 73 infants with
challenge-proven IgE-mediated peanut allergy against 148 non-allergic infants
(all ~ 1 year old). We tested a total of 3.8 million single nucleotide
polymorphisms, as well as imputed HLA alleles and amino acids. Replication was
assessed by de novo genotyping in a panel of additional 117 cases and 380
controls, and in silico testing in two independent GWAS cohorts. RESULTS: We
identified 21 independent associations at P <= 5 * 10-5 but were unable to
replicate these. The most significant HLA association was the previously reported
amino acid variant located at position 71, within the peptide-binding groove of
HLA-DRB1 (P = 2 * 10-4 ). Our study therefore reproduced previous findings for
the association between peanut allergy and HLA-DRB1 in this Australian
population. CONCLUSIONS AND CLINICAL RELEVANCE: Genetic determinants for
challenge-proven peanut allergy include alleles at the HLA-DRB1 locus.
PMID- 27883237
TI - Iron concentration in breast milk normalised within one week of a single high
dose infusion of iron isomaltoside in randomised controlled trial.
AB - AIM: We compared the iron concentration in breast milk after a single high dose
of intravenous iron isomaltoside or daily oral iron for postpartum haemorrhage.
METHODS: In this randomised controlled trial, the women were allocated a single
dose of intravenous 1200 mg iron isomaltoside or oral iron at a mean daily dose
of 70.5 mg. We included 65 women with sufficient breast milk three days after
inclusion - 30 from the intravenous iron group and 35 from the oral iron group -
and collected breast milk and maternal blood samples three days and one week
after allocation. RESULTS: The mean (+/-SD) iron concentration in breast milk in
the intravenous and oral groups was 0.72 +/- 0.27 and 0.40 +/- 0.18 mg/L at three
days (p < 0.001) and 0.47 +/- 0.17 and 0.44 +/- 0.25 mg/L after one week (p =
0.64). Baseline samples were not available that soon after birth. CONCLUSION: A
single high dose of intravenous iron isomaltoside for postpartum haemorrhage led
to a transient increase in the iron concentration in breast milk three days after
treatment compared with oral iron. The difference disappeared one week after
treatment, and mean iron concentrations were within the normal range in all
samples.
PMID- 27883236
TI - Long-term reduction in food allergy susceptibility in mice by combining
breastfeeding-induced tolerance and TGF-beta-enriched formula after weaning.
AB - BACKGROUND: Oral tolerance induction in early life is a promising approach for
food allergy prevention. Its success requires the identification of factors
necessary for its persistence. OBJECTIVES: We aimed to assess in mice duration of
allergy prevention by breastfeeding-induced oral tolerance and whether oral TGF
beta supplementation after weaning would prolong it. METHODS: We quantified
ovalbumin (OVA) and OVA-specific immunoglobulin levels by ELISA in milk from the
EDEN birth cohort. As OVA-specific Ig was found in all samples, we assessed
whether OVA-immunized mice exposed to OVA during lactation could prevent allergic
diarrhoea in their 6- and 13-week-old progeny. In some experiments, a TGF-beta
enriched formula was given after weaning. RESULTS: At 6 weeks, only 13% and 34%
of mice breastfed by OVA-exposed mothers exhibited diarrhoea after six and seven
OVA challenges vs. 44% and 72% in mice breastfed by naive mothers (P = 0.02 and
0.01). Protection was associated with decreased levels of MMCP1 and OVA-specific
IgE (P < 0.0001). At 13 weeks, although OVA-specific IgE remained low (P =
0.001), diarrhoea occurrence increased to 32% and 46% after six and seven OVA
challenges in mice breastfed by OVA-exposed mothers. MMCP1 levels were not
significantly inhibited. Supplementation with TGF-beta after weaning induced a
strong protection in 13-week-old mice breastfed by OVA-exposed mothers compared
with mice breastfed by naive mothers (0%, 13% and 32% of diarrhoea at the fifth,
sixth and seventh challenges vs. 17, 42 and 78%; P = 0.05, 0.0043 and 0.0017).
MMCP1 levels decreased by half compared with control mice (P = 0.02). Prolonged
protection was only observed in mice rendered tolerant by breastfeeding and was
associated with an improved gut barrier. CONCLUSIONS: In mice, prevention of food
allergy by breastfeeding-induced tolerance is of limited duration. Nutritional
intervention by TGF-beta supplementation after weaning could prolong beneficial
effects of breast milk on food allergy prevention.
PMID- 27883238
TI - Striking Doping Effects on Thermal Methane Activation Mediated by the
Heteronuclear Metal Oxides [XAlO4 ].+ (X=V, Nb, and Ta).
AB - The thermal reactivity of the heteronuclear metal-oxide cluster cations [XAlO4
].+ (X=V, Nb, and Ta) towards methane has been studied by using mass spectrometry
in conjunction with quantum mechanical calculations. Experimentally, a hydrogen
atom transfer (HAT) from methane is mediated by all the three oxide clusters at
ambient conditions. However, [VAlO4 ].+ is unique in that this cluster directly
transforms methane into formaldehyde. The absence of this reaction for the Nb and
Ta analogues demonstrates a striking doping effect on the chemoselectivity in the
conversion of methane. Mechanistic aspects of the two reactions have been
elucidated by quantum-chemical calculations. The HAT reactivity can be attributed
to the significant spin density localized at the terminal oxygen atom (Ot.- ) of
the cluster ions, while the ionic/covalent character of the Lewis acid-base unit
[X-Ob ] plays a crucial role for the generation of formaldehyde. The mechanistic
insight derived from this combined experimental/computational investigation may
provide guidance for a more rational design of catalysts.
PMID- 27883240
TI - Diagnosing occupational asthma.
AB - Making an accurate diagnosis of occupational asthma (OA) is, generally,
important. The condition has not only significant health consequences for
affected workers, but also substantial socio-economic impacts for workers, their
employers and wider society. Missing a diagnosis of OA may lead to continued
exposure to a causative agent and progressive worsening of disease; conversely,
diagnosing OA when it is not present may lead to inappropriate removal from
exposure and unnecessary financial and social consequences. While the most
accurate investigation is specific inhalation challenge in an experienced centre,
this is a scarce resource, and in many cases, reliance is on other tests. This
review provides a technical dossier of the diagnostic value of the available
methods which include an appropriate clinical history, the use of specific
immunology and measurement of inflammatory markers, and various methods of
relating functional changes in airway calibre to periods at work. It is
recommended that these approaches are used iteratively and in judicious
combination, in cognizance of the individual patient's circumstances and
requirements. Based on available evidence, a working diagnostic algorithm is
proposed that can be adapted to the suspected agent, purpose of diagnosis and
available resources. For better or worse, many of the techniques - and their
interpretation - are available only in specialized centres and where there is
room for doubt, referral to such a centre is probably wise. Accordingly, the
implementation or development of such specialized centres with appropriate
equipment and expertise should greatly improve the diagnostic evaluation of work
related asthma.
PMID- 27883239
TI - Individually dosed omalizumab: an effective treatment for severe peanut allergy.
AB - BACKGROUND: Treatment with omalizumab has shown a positive effect on food
allergies, but no dosages are established. Basophil allergen threshold
sensitivity (CD-sens) can be used to objectively measure omalizumab treatment
efficacy and correlates with the outcome of double-blind placebo-controlled food
challenge to peanut. OBJECTIVE: To evaluate whether individualized omalizumab
treatment monitored by CD-sens could be an effective intervention for suppression
of allergic reactions to peanut. METHODS: Severely peanut allergic adolescents (n
= 23) were treated with omalizumab for 8 weeks, and CD-sens was analysed before
and after. Based on whether CD-sens was suppressed after 8 weeks, the patients
either were subject to a peanut challenge or received eight more weeks with
increased dose of omalizumab, followed by peanut challenge or another 8-week
cycle of omalizumab. IgE and IgE-antibodies to peanut and its components were
analysed before treatment. RESULTS: After individualized omalizumab treatment (8
24 weeks), all patients continued with an open peanut challenge with no (n = 18)
or mild (n = 5) objective allergic symptoms. Patients (n = 15) needing an
elevated omalizumab dose (ED) to suppress CD-sens had significantly higher CD
sens values at baseline 1.49 (0.44-20.5) compared to those (n = 8) who managed
with normal dose (ND) 0.32 (0.24-5.5) (P < 0.01). Median ratios for Ara h 2 IgE
ab/IgE were significantly higher in the ED group (17%) compared to the ND group
(11%). CONCLUSIONS AND CLINICAL RELEVANCE: Individually dosed omalizumab,
monitored by CD-sens, is an effective and safe treatment for severe peanut
allergy. The ratio of IgE-ab to storage protein Ara h 2/IgE as well as CD-sens to
peanut may predict the need of a higher omalizumab dose. Clinical trials numbers:
EudraCT; 2012-005625-78, ClinicalTrials.gov; NCT02402231.
PMID- 27883241
TI - Neutrophil autophagy and extracellular DNA traps contribute to airway
inflammation in severe asthma.
AB - BACKGROUND: Autophagy and neutrophil extracellular DNA traps (NETs) are
implicated in asthma; however, their roles in asthma pathogenesis have not been
elucidated. OBJECTIVES: We compared autophagy and NET production levels from
peripheral blood neutrophils (PBNs) of patients with severe asthma (SA) and non
severe asthma (NSA). Additionally, we investigated the inflammatory effects of
NETs on human airway epithelial cells (AECs) and peripheral blood eosinophils
(PBEs). METHODS: Peripheral blood neutrophils from patients with SA (n = 30) and
NSA (n = 38) were treated with interleukin (IL)-8 (100 ng/mL). Autophagy (light
chain 3-II expression) and NET production levels were evaluated by Western blot,
immunofluorescence microscopy, and PicoGreen assay. The effects of NETs on AECs
were assessed by investigating cell death, cell detachment, expression of
occludin and claudin-1, and IL-8 production; the effects of NETs on PBEs were
examined by investigating the activation and release of eosinophil cationic
protein (ECP) and eosinophil-derived neurotoxin (EDN). RESULTS: Untreated and IL
8-treated PBNs from the SA group produced higher autophagy and NET levels
compared with those from the NSA group (P < 0.01). IL-8 increased autophagy and
NET levels in PBNs from the SA group, but not from the NSA group. NET levels were
correlated with autophagy levels in PBNs (P < 0.001). IL-8-induced NET production
levels negatively were correlated with FEV1/FVC (r = -0.700, P = 0.016). NETs
induced cell death, detachment, degradation of occludin and claudin-1, and IL-8
production from AECs. Higher levels of NET-induced ECP and EDN were released from
PBEs in SA compared with NSA groups. CONCLUSIONS AND CLINICAL RELEVANCE:
Neutrophil autophagy and NETs could enhance asthma severity by damaging airway
epithelium and triggering inflammatory responses of AECs and PBEs. Modulating
neutrophil autophagy and NET production may be a new target therapy for SA.
PMID- 27883243
TI - Alternative Mechanistic Strategy for Enzyme Catalysis in a Ni-Dependent Lactate
Racemase (LarA): Intermediate Destabilization by the Cofactor.
AB - Recently, a lactate racemase was discovered as a new Ni-dependent enzyme with a
unique tethered NAD-like cofactor. We report the first computational study aimed
at deciphering the previously unclear role of the Ni-tethered cofactor in
reactions of the lactate racemase. Our calculations revealed that the cofactor
increases the dehydrogenation barriers. The formation of a metastable NADH-like
pyruvate intermediate and two nearby histidine bases are proposed as the key
factors in the racemization reaction. Such destabilization of intermediates by
the cofactor is uncommon in enzymatic catalysis. This result provides new insight
into the design of a reactive metal-tethered NADH-like complex for synthetic
hydrogenations.
PMID- 27883244
TI - A Redox-Switchable Germylene and its Ligating Properties in Selected Transition
Metal Complexes.
AB - The synthesis, structure, and full characterization of a redox-switchable
germylene based on a [3]ferrocenophane ligand arrangement, [Fc(NMes)2 Ge] (4), is
presented. The mesityl (Mes)-substituted title compound is readily available from
Fc(NHMes)2 (2) and Ge{N(SiMe3 )2 }2 , or from the dilithiated, highly air- and
moisture-sensitive compound Fc(NLiMes)2 ?3 Et2 O (3) and GeCl2 . Cyclic
voltammetry studies are provided for 4, confirming the above-mentioned view of a
redox-switchable germylene metalloligand. Although several 1:1 RhI and IrI
complexes of 4 (5-7) are cleanly formed in solution, all attempts to isolate them
in pure form failed due to stability problems. However, crystalline solids of
[Mo(kappa1 Ge-4)2 (CO)4 ] (8) and [W(kappa1 Ge-4)2 (CO)4 ] (9) were isolated and
fully characterized by common spectroscopic techniques (8 by X-ray diffraction).
DFT calculations were performed on a series of model compounds to elucidate a
conceivable interplay between the metal atoms in neutral and cationic bimetallic
complexes of the type [Rh(kappa1 E-qE)(CO)2 Cl]0/+ (qE=[Fc(NPh)2 E] with E=C, Si,
Ge). The bonding characteristics of the coordinated Fc-based metalloligands
(qE/qE+ ) are strongly affected upon in silico oxidation of the calculated
complexes. The calculated Tolman electronic parameter (TEP) significantly
increases by approximately 20 cm-1 (E=C) to 25 cm-1 (E=Si, Ge) upon oxidation.
The change in the ligand-donating abilities upon oxidation can mainly be
attributed to Coulombic effects, whereas an orbital-based interaction appears to
have only a minor influence.
PMID- 27883245
TI - A Prediction of Proton-Catalyzed Hydrogenation of Ketones in Lewis Basic Solvent
through Facile Splitting of Hydrogen Molecules.
AB - A ketone's carbonyl carbon is electrophilic and harbors a part of the lowest
unoccupied molecular orbital of the carbonyl group, resembling a Lewis acidic
center; under the right circumstances it exhibits very useful chemical
reactivity, although the natural electrophilicity of the ketone's carbonyl carbon
is often not strong enough on its own to produce such reactivity. Quantum
chemical calculations predict that a proton shared between a ketone and the Lewis
basic solvent molecule (dioxane or THF) activates carbonyl carbon to the point of
enabling a facile heterolytic splitting of H2 . Proton-catalyzed hydrogenation of
a ketone in Lewis basic solvent is the result. The mechanism involves the
interaction of H2 with the enhanced Lewis acidity of a carbonyl carbon and the
free Lewis basic solvent molecule polarizes H2 and enables the hydride-type
attack on carbonyl carbon, which is very strongly influenced by the proton shared
between a ketone and solvent. The hydride-type attack on carbon is reminiscent of
the splitting of H2 by singlet carbenes except that, in this case, a Lewis base
from the surrounding environment (solvent) is necessary for polarization of H2
and acceptance of the proton resulting from the heterolytic splitting of H2 .
PMID- 27883242
TI - sFlt-1/PlGF for prediction of early-onset pre-eclampsia: STEPS (Study of Early
Pre-eclampsia in Spain).
AB - OBJECTIVE: A high ratio of soluble fms-like tyrosine kinase-1 (sFlt-1) to
placental growth factor (PlGF) has been linked to pre-eclampsia (PE). We
evaluated the sFlt-1/PlGF ratio as a predictive marker for early-onset PE in
women at risk of PE. METHODS: This prospective, Spanish, multicenter study
included pregnant women with a risk factor for PE, including intrauterine growth
restriction, PE, eclampsia or hemolysis, elevated liver enzymes and low platelet
count syndrome in previous pregnancy, pregestational diabetes or abnormal uterine
artery Doppler. The primary objective was to show that the sFlt-1/PlGF ratio at
20, 24 and 28 weeks' gestation was predictive of early-onset PE (< 34 + 0 weeks).
Serum sFlt-1 and PlGF were measured at 20, 24 and 28 weeks. Multivariate logistic
regression was used to develop a predictive model. RESULTS: A total of 819 women
were enrolled, of which 729 were suitable for analysis. Of these, 78 (10.7%)
women developed PE (24 early onset and 54 late onset). Median sFlt-1/PlGF ratio
at 20, 24 and 28 weeks was 6.3 (interquartile range (IQR), 4.1-9.3), 4.0 (IQR,
2.6-6.3) and 3.3 (IQR, 2.0-5.9), respectively, for women who did not develop PE
(controls); 14.5 (IQR, 5.5-43.7), 18.4 (IQR, 8.2-57.9) and 51.9 (IQR, 11.5-145.6)
for women with early-onset PE; and 6.7 (IQR, 4.6-9.9), 4.7 (IQR, 2.8-7.2) and 6.0
(IQR, 3.8-10.5) for women with late-onset PE. Compared with early-onset PE, the
sFlt-1/PlGF ratio was significantly lower in controls (P < 0.001 at each
timepoint) and in women with chronic hypertension (P < 0.001 at each timepoint),
gestational hypertension (P < 0.001 at each timepoint) and late-onset PE (P <
0.001 at each timepoint). A prediction model for early-onset PE was developed,
which included the sFlt-1/PlGF ratio plus mean arterial pressure, being parous
and previous PE, with areas under the receiver-operating characteristics curves
of 0.86 (95% CI, 0.77-0.95), 0.91 (95% CI, 0.85-0.97) and 0.93 (95% CI, 0.86
0.99) at 20, 24 and 28 weeks, respectively, and was superior to models using the
sFlt-1/PlGF ratio alone or uterine artery mean pulsatility index. CONCLUSIONS:
The sFlt-1/PlGF ratio can improve prediction of early-onset PE for women at risk
of this condition. Copyright (c) 2017 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 27883246
TI - Intraoperative ultrasound-guided laparoscopic ovarian-tissue-preserving surgery
for recurrent borderline ovarian tumor.
PMID- 27883247
TI - 2-Methoxyestradiol-Mediated Induction of Frzb Contributes to Cell Death and
Autophagy in MG63 Osteosarcoma Cells.
AB - Osteosarcoma is a bone tumor that mainly affects children and adolescents.
Although its pathogenesis is still not fully understood, activation of Wnt
signaling has been implicated in the development and metastasis of osteosarcoma.
In this report, we have investigated the effect of the anti-tumor compound, 2
methoxyestradiol (2-ME) on Wnt antagonist frizzled-related protein b (Frzb), also
known as secreted frizzled-related protein (sFRP)3 in human osteosarcoma (MG63)
cells. Our results show that 2-ME treatment induces Frzb gene promoter activity,
and increases Frzb mRNA and protein levels in osteosarcoma cells. In addition, 2
ME treatment regulates downstream Wnt signaling, increasing the cytoplasmic
levels of beta-catenin, and blocking beta-catenin-mediated Wnt activation in
osteosarcoma cells. 2-ME-mediated induction of Frzb protein expression is
specific to osteosarcoma cells, as it does not affect Frzb expression in normal
primary human osteoblasts. Furthermore, 2-ME-induced apoptosis and autophagy are
blocked in osteosarcoma cells transfected with Frzb siRNAs. Taken together, these
studies demonstrate that Frzb protein plays an important role in 2-ME-mediated
anti-tumor mechanisms in osteosarcoma cells. J. Cell. Biochem. 118: 1497-1504,
2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883248
TI - Pyogranulomatous Pancarditis with Intramyocardial Bartonella henselae San Antonio
2 (BhSA2) in a Dog.
PMID- 27883249
TI - N-acetyl transferase 2/environmental factors and their association as a
modulating risk factor for sporadic colon and rectal cancer.
AB - OBJECTIVES: The aim of this study was to evaluate the association between
environmental factors and colon or rectal cancer after adjusting for N-acetyl
transferase 2 (NAT2) phenotypes. METHODS: Ninety-six patients with sporadic colon
cancer, 54 with sporadic rectal cancer and 162 control subjects were genotyped
for NAT2-T341C, G590A, G857A, A845C, and C481T using sequencing and PCR-RFLP
analysis. RESULTS: The risk for colon cancer was increased in carriers of the
homozygous negative genotypes for NAT2*5C-T341C, NAT2*6B-G590A, NAT2*7B-G857A,
NAT2*18-A845C, and NAT2*5A-C481T. The risk for rectal cancer was increased in
carriers of the homozygous negative genotypes for NAT2*5C-T341C, NAT2*7B-G857A,
and NAT2*5A-C481T. High fried red meat intake associated with NAT2-T341C, G590A,
G857A, A845C, and C481T rapid acetylator allele determines a risk of 2.39
(P=.002), 2.39 (P=.002), 2.37 (P=.002), 2.28 (P=.004), and 2.51 (P=.001),
respectively, for colon cancer, whereas in the case of rectal cancer, the risk
increased to 7.55 (P<.001), 7.7 (P<.001), 7.83 (P<.001), 7.51 (P<.001), and 8.62
(P<.001), respectively. Alcohol consumption associated with the NAT2 -T341C,
G590A, G857A, A845C, and C481T rapid acetylator allele induces a risk of 10.63
(P<.001), 12.04 (P<.001), 9.76 (P<.001), 10.25 (P<.001), and 9.54 (P<.001),
respectively, for colon cancer, whereas the risk for rectal cancer is 9.72
(P<.001), 11.24 (P<.001), 13.07 (P<.001), 10.04 (P<.001), and 9.43 (P<.001),
respectively. Smokers with NAT2-T341C, G590A, G857A, A845C, and C481T rapid
acetylator allele have a risk of 4.87, 4.25, 4.18, 3.81, and 3.82, respectively,
to develop colon cancer. CONCLUSIONS: Fried red meat, alcohol, and smoking
increase the risk of sporadic CRC, especially of colon cancer, in the case of
rapid acetylators for the NAT2 variants.
PMID- 27883251
TI - MiR-892a Promotes Hepatocellular Carcinoma Cells Proliferation and Invasion
Through Targeting CD226.
AB - Our study is aim to investigate the influence of miR-892a on proliferative and
invasive activities of human hepatocellular carcinoma (HCC) cells through
regulating CD226 expression. QRT-PCR was used to detect the expression levels of
miR-892a and CD226 mRNA in HCC tissues and adjacent tissues or HCC cells and
normal cells whereas Western Blot was used to detect the CD226 protein expression
in tissue and cell samples. Then HuH-7 cell line was selected for following
assays and respectively transfected with miR-892a mimics, miR-NC, Plenti-GIII-Ubc
CD226, and Plenti-GIII-Ubc followed by qRT-PCR assay to detect the miR-892a and
CD226 expression. The luciferase reporter assay was conducted to determine if miR
892a directly targeted CD226 and then CCK-8 assay, wound healing assay, Transwell
assay, and flow cytometry were used to detect cell proliferation, migration,
invasion ability, cell cycle, and cell apoptosis. What's more, relationships
between expression levels of miR-892a or CD226 and overall survival (OS) or
disease-free survival (DFS) of HCC patients were investigated based on TCGA
database. MiR-892a was high-expressed in HCC tissues or cells while CD226 was low
expressed. MiR-892a directly targeted CD226 and up-regulating miR-892a expression
could promote proliferative, migrating, and invasive activities of HCC cells.
Different expression levels of miR-892a and CD226 both related to the prognosis
of HCC. MiR-892a promotes hepatocellular carcinoma cells proliferation and
invasion through regulating CD226 expression. J. Cell. Biochem. 118: 1489-1496,
2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883252
TI - Genetic differentiation and adaptive evolution at reproductive loci in incipient
Drosophila species.
AB - Accessory gland proteins (Acps) are part of the seminal fluid of male Drosophila
flies. Some Acps have exceptionally high evolutionary rates and evolve under
positive selection. Proper interactions between Acps and female reproductive
molecules are essential for fertilization. These observations lead to suggestions
that fast evolving Acps could be involved in speciation by promoting reproductive
incompatibilities between emerging species. To test this hypothesis, we used
population genetics data for three sibling species: D. mayaguana, D. parisiena
and D. straubae. The latter two species are morphologically very similar and show
only incipient reproductive isolation. This system allowed us to examine Acp
evolution at different time frames with respect to speciation and reproductive
isolation. Comparing data of 14 Acp loci with data obtained for other genomic
regions, we found that some Acps show extraordinarily high levels of divergence
between D. mayaguana and its two sister species D. parisiena and D. straubae.
This divergence was likely driven by adaptive evolution at several loci. No fixed
nucleotide differences were found between D. parisiena and D. straubae, however.
Nevertheless, some Acp loci did show significant differentiation between these
species associated with signs of positive selection; these loci may be involved
in this early phase of the speciation process.
PMID- 27883250
TI - 3D tract-specific local and global analysis of white matter integrity in
Alzheimer's disease.
AB - Alzheimer's disease (AD) is a chronic neurodegenerative disease characterized by
progressive decline in memory and other aspects of cognitive function. Diffusion
weighted imaging (DWI) offers a non-invasive approach to delineate the effects of
AD on white matter (WM) integrity. Previous studies calculated either some
summary statistics over regions of interest (ROI analysis) or some statistics
along mean skeleton lines (Tract Based Spatial Statistic [TBSS]), so they cannot
quantify subtle local WM alterations along major tracts. Here, a comprehensive WM
analysis framework to map disease effects on 3D tracts both locally and globally,
based on a study of 200 subjects: 49 healthy elderly normal controls, 110 with
mild cognitive impairment, and 41 AD patients has been presented. 18 major WM
tracts were extracted with our automated clustering algorithm-autoMATE (automated
Multi-Atlas Tract Extraction); we then extracted multiple DWI-derived parameters
of WM integrity along the WM tracts across all subjects. A novel statistical
functional analysis method-FADTTS (Functional Analysis for Diffusion Tensor Tract
Statistics) was applied to quantify degenerative patterns along WM tracts across
different stages of AD. Gradually increasing WM alterations were found in all
tracts in successive stages of AD. Among all 18 WM tracts, the fornix was most
adversely affected. Among all the parameters, mean diffusivity (MD) was the most
sensitive to WM alterations in AD. This study provides a systematic workflow to
examine WM integrity across automatically computed 3D tracts in AD and may be
useful in studying other neurological and psychiatric disorders. Hum Brain Mapp
38:1191-1207, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883253
TI - The colostomy impact score: development and validation of a patient reported
outcome measure for rectal cancer patients with a permanent colostomy. A
population-based study.
AB - AIM: The aim was to develop and validate a simple scoring system evaluating the
impact of colostomy dysfunction on quality of life (QOL) in patients with a
permanent stoma after rectal cancer treatment. METHOD: In this population-based
study, 610 patients with a permanent colostomy after previous rectal cancer
treatment during the period 2001-2007 completed two questionnaires: (i) the basic
stoma questionnaire consisting of 22 items about stoma function with one anchor
question addressing the overall stoma impact on QOL and (ii) the European
Organization for Research and Treatment of Cancer Quality of Life Questionnaire
(EORTC QLQ) C30. Answers from half of the cohort were used to develop the score
and subsequently validated on the remaining half. Logistic regression analyses
identified and selected items for the score and multivariate analysis established
the score value allocated to each item. RESULTS: The colostomy impact score
includes seven items with a total range from 0 to 38 points. A score of >= 10
indicates major colostomy impact (Major CI). The score has a sensitivity of 85.7%
for detecting patients with significant stoma impact on QOL. Using the EORTC QLQ
scales, patients with Major CI experienced significant impairment in their QOL
compared to the Minor CI group. CONCLUSION: This new scoring system appears valid
for the assessment of the impact on QOL from having a permanent colostomy in a
Danish rectal cancer population. It requires validation in non-Danish populations
prior to its acceptance as a valuable patient-reported outcome measure for
patients internationally.
PMID- 27883254
TI - The degree of extramural spread of T3 rectal cancer: an appeal to the American
Joint Committee on Cancer.
AB - The T3 category of the TNM classification includes over 60% of all rectal tumours
and encompasses the greatest variance in cancer-specific end-points than any
other T category. The most recent edition of the cancer staging handbook of the
American Joint Committee on Cancer (AJCC) dated 2010 does not divide T3 tumours
into subgroups which reflect cancer-specific outcome more sensitively. The
original aim of the present study was to review the literature to assess the
influence of the degree of extramural extent of T3 rectal cancer on local
recurrence and survival. An article written by the authors was accepted for
publication but was withdrawn immediately after they became aware of the
publication of the 4th edition of the TNM Supplement by the Union for
International Cancer Control dated 2012, which was not accessible by the search
system used. This article dealt with the subdivision of the T3 category although
this was not included in the most up-to-date AJCC guidelines and was stated to be
'entirely optional'. Medline, PubMed and Cochrane Library searches were performed
to identify all studies that investigated the degree of extramural spread and its
relationship to survival and local recurrence. Twenty-two studies were identified
of which 12 assessed the degree of histopathological extramural spread measured
in millimetres. In 18 of the 22 studies the degree of extramural spread was a
statistically significant prognostic factor for survival and local recurrence.
Analysis of the studies indicated that the subdivision of category T3 rectal
cancer into two subgroups of extramural spread <= 5 mm or more than 5 mm resulted
in markedly different survival and local recurrence rates. The data were
insufficient to allow validation of any greater subdivision. Measurement of the
extent of extramural spread by MRI before any treatment agreed with the
histopathological measurement in the surgical specimen to within 1 mm. The extent
of extramural spread in T3 rectal cancer measured in millimetres is a powerful
prognostic factor. A subdivision of T3 into T3a and T3b of less than or equal to
or more than 5 mm appears to give the greatest discrimination of local recurrence
and survival. Preoperative T3 subdivision by MRI has the same sensitivity as
histopathological examination of the resected specimen. Given the clinical need
for the pretreatment classification of the T3 category for oncological management
planning, the evidence strongly indicates that the subdivision of the T3 category
by MRI should be formally considered as part of the TNM staging system for rectal
cancer.
PMID- 27883256
TI - Detecting AGG Interruptions in Male and Female FMR1 Premutation Carriers by
Single-Molecule Sequencing.
AB - The FMR1 gene contains an unstable CGG repeat in its 5' untranslated region.
Premutation alleles range between 55 and 200 repeat units and confer a risk for
developing fragile X-associated tremor/ataxia syndrome or fragile X-associated
primary ovarian insufficiency. Furthermore, the premutation allele often expands
to a full mutation during female germline transmission giving rise to the fragile
X syndrome. The risk for a premutation to expand depends mainly on the number of
CGG units and the presence of AGG interruptions in the CGG repeat. Unfortunately,
the detection of AGG interruptions is hampered by technical difficulties. Here,
we demonstrate that single-molecule sequencing enables the determination of not
only the repeat size, but also the complete repeat sequence including AGG
interruptions in male and female alleles with repeats ranging from 45 to 100 CGG
units. We envision this method will facilitate research and diagnostic analysis
of the FMR1 repeat expansion.
PMID- 27883255
TI - Genome-wide association of functional traits linked with Campylobacter jejuni
survival from farm to fork.
AB - Campylobacter jejuni is a major cause of bacterial gastroenteritis worldwide,
primarily associated with the consumption of contaminated poultry. C. jejuni
lineages vary in host range and prevalence in human infection, suggesting
differences in survival throughout the poultry processing chain. From 7343 MLST
characterised isolates, we sequenced 600 C. jejuni and C. coli isolates from
various stages of poultry processing and clinical cases. A genome-wide
association study (GWAS) in C. jejuni ST-21 and ST-45 complexes identified
genetic elements over-represented in clinical isolates that increased in
frequency throughout the poultry processing chain. Disease-associated SNPs were
distinct in these complexes, sometimes organised in haplotype blocks. The
function of genes containing associated elements was investigated, demonstrating
roles for cj1377c in formate metabolism, nuoK in aerobic survival and oxidative
respiration, and cj1368-70 in nucleotide salvage. This work demonstrates the
utility of GWAS for investigating transmission in natural zoonotic pathogen
populations and provides evidence that major C. jejuni lineages have distinct
genotypes associated with survival, within the host specific niche, from farm to
fork.
PMID- 27883258
TI - Bivalent Display of Dicysteine on Peptide Nucleic Acids for Homogenous DNA/RNA
Detection through in Situ Fluorescence Labelling.
AB - Fluorogenic probes that signal the presence of specific DNA or RNA sequences are
key enabling tools for molecular disease diagnosis and imaging studies. Usually,
at least one fluorophore is attached through covalent bonding to an
oligonucleotide probe. However, the additional conjugation step increases costs.
Here we introduce a method that avoids the requirement for the preparation of
fluorescence-labelled oligonucleotides and provides the opportunity to alter the
fluorogenic reporter dye without resynthesis. The method is based on adjacent
hybridization of two dicysteine-containing peptide nucleic acid (PNA) probes to
form a bipartite tetracysteine motif that binds profluorescent bisarsenical dyes
such as FIAsH, ReAsH or CrAsH. Binding is accompanied by strong increases in
fluorescence emission (with response factors of up to 80-fold and high brightness
up to 50 mL mol-1 cm-1 ). The detection system provides sub-nanomolar limits of
detection and allows discrimination of single nucleotide variations through more
than 20-fold changes in fluorescence intensity. To demonstrate its usefulness,
the FIAsH-based readout of the bivalent CysCys-PNA display was interfaced with a
rolling-circle amplification (RCA) assay used to detect disease-associated
microRNA let-7a.
PMID- 27883257
TI - Determining the binding affinities of prostate-specific antigen to lectins: SPR
and microarray approaches.
AB - Prostate cancer (PCa) is one of the most common newly diagnosed cancers among men
and we focused on its traditional biomarker, prostate-specific antigen (PSA),
using targeted glycomics-based strategies. The aberrant glycosylation pattern of
PSA may serve as a valuable tool for improving PCa diagnosis including its early
stage. In this study, we evaluated the usability of two techniques, surface
plasmon resonance and protein microarray assay, for the study and
characterization of interactions of PSA (both free and complexed) with six
lectins (SNA, ConA, RCA, AAL, WGA and MAA II). The information on the character
of such interactions is important for the application of lectins as prospective
bioreceptors for biomarker glycoprofiling in a follow-up biosensing assays. SPR
as well as established bioanalytical techniques allowed determination of KD
values of PSA-lectin interactions in a more reliable way than protein microarray.
The protein microarray method did not allow accurate quantification of KD values.
However, the features of a microarray approach, such as speed and costs, enabled
the screening and estimation of the nature of lectin-glycan biomarker interaction
in an effective and time-saving way. All of the tested lectins interacted with
commercial PSA standard isolated from healthy persons, except MAA II which
reacted only very weakly.
PMID- 27883259
TI - The association between intensified medical treatment, time to surgery and
ileocolic specimen length in Crohn's disease.
AB - AIM: During the last decade, treatment protocols have changed for patients with
ileocolic Crohn's disease. Anti-tumour necrosis factor (anti-TNF) has become part
of standard medical treatment, usually in a step-up approach. The aim was to
analyse if improved medical treatment has resulted in more limited ileocolic
resections and a longer interval between diagnosis and surgery. METHOD: Patients
undergoing ileocolic resection for Crohn's disease were included (1999-2014).
Patient characteristics were compared to the results of a population-based study
(between 2004 and 2010) previously performed in the catchment area of the present
tertiary referral centre. Time trends were analysed using the Cochrane-Armitage
trend, Spearman's correlation coefficient and linear regression. RESULTS: In
total, 195 patients undergoing ileocolic resection were included. Patient
characteristics were not significantly different from the background cohort,
confirming a representative study group. Sixty-three patients were men (32.3%,
median age at surgery 30.0 years, interquartile range 23.0-40.0). Anti-TNF and
immunomodulator use prior to surgery increased significantly during the study
period (chi2 = 49.1, P < 0.001). Over the years, a significant increase in time
from diagnosis to operation was found (median 39.0 months, interquartile range
12.0-86.0, rho 0.175, P = 0.014). The length of the resected ileum did not change
significantly (median 20.0 cm, interquartile range 12.0-30.0, rho -0.107, P =
0.143). The number of fistulas or postoperative complications that needed re
intervention was not significantly different between the groups with or without
anti-TNF. CONCLUSION: This study demonstrated that over time patients with
ileocolic Crohn's disease who eventually underwent ileocolic resection have been
treated more intensively medically; however, this did not result in reduced
specimen size.
PMID- 27883260
TI - Use of incretin agents and risk of acute and chronic pancreatitis: A population
based cohort study.
AB - AIM: To determine the association between the use of incretin agents (dipeptidyl
peptidase-4 inhibitors and glucagon-like peptide-1 receptor agonists) for the
treatment of type 2 diabetes mellitus (T2DM) and the risk of any, acute and
chronic pancreatitis. RESEARCH DESIGN AND METHODS: A population-based cohort
study was conducted using data from the UK Clinical Practice Research Datalink
(CPRD 2007-2012). A total of 182 428 adult patients with >=1 non-insulin
antidiabetic drug (NIAD) prescription were matched to control subjects without
diabetes. Cox regression was used to estimate adjusted hazard ratios (HRs) and
95% confidence intervals (CIs) of pancreatitis in incretin-users (N = 28 370)
compared with controls and with other NIAD users. Adjustments were made for
lifestyle, disease and drug history. In a sensitivity analysis, a new-user design
was used. RESULTS: Current incretin users had a 1.5-fold increased risk of any
pancreatitis compared with NIAD users (adjusted HR 1.47, 95% CI 1.06-2.04). In
incident current incretin users the risk of any and acute pancreatitis was
increased 2.1- and 2.0-fold compared with NIAD users (adjusted HR 2.12, 95% CI
1.31-3.43 and adjusted HR 1.96, 95% CI 1.13-3.41), whereas there was no increased
risk found for chronic pancreatitis. CONCLUSIONS: Incretin use was associated
with an increased risk of any pancreatitis. Moreover, risk of any and acute
pancreatitis was higher when applying a new-user design. We were not able to
detect an association with chronic pancreatitis, but the number in this subgroup
was small.
PMID- 27883261
TI - Prevention of neural tube defects in Lrp2 mutant mouse embryos by folic acid
supplementation.
AB - BACKGROUND: Neural tube defects (NTDs) are among the most common structural birth
defects in humans and are caused by the complex interaction of genetic and
environmental factors. Periconceptional supplementation with folic acid can
prevent NTDs in both mouse models and human populations. A better understanding
of how genes and environmental factors interact is critical toward development of
rational strategies to prevent NTDs. Low density lipoprotein-related protein 2
(Lrp2) is involved in endocytosis of the folic acid receptor among numerous other
nutrients and ligands. METHODS: We determined the effect of iron and/or folic
acid supplementation on the penetrance of NTDs in the Lrp2null mouse model. The
effects of supplementation on folate and iron status were measured in embryos and
dams. RESULTS: Periconceptional dietary supplementation with folic acid did not
prevent NTDs in Lrp2 mutant embryos, whereas high levels of folic acid
supplementation by intraperitoneal injection reduced incidence of NTDs.
Importantly, Lrp2null/+ dams had reduced blood folate levels that improved with
daily intraperitoneal injections of folate but not dietary supplementation. On
the contrary, iron supplementation had no effect on the penetrance of NTDs in
Lrp2 mutant embryos and negated the preventative effect of folic acid
supplementation in Lrp2null/null mutants. CONCLUSION: Lrp2 is required for folate
homeostasis in heterozygous dams and high levels of supplementation prevents
NTDs. Furthermore, high levels of dietary iron supplementation interfered with
folic acid supplementation negating the positive effects of supplementation in
this model. Birth Defects Research 109:16-26, 2017. (c) 2016 The Authors Birth
Defects Published by Wiley Periodicals, Inc.
PMID- 27883262
TI - Phytochemicals enhance antioxidant enzyme expression to protect against NSAID
induced oxidative damage of the gastrointestinal mucosa.
AB - The gastrointestinal (GI) mucosa provides the first protective barrier for
digested food and xenobiotics, which are easily attacked by toxic substances.
Nonsteroidal anti-inflammatory drugs, including aspirin, diclofenac,
indomethacin, and ketoprofen, are widely used in clinical medicine, but these
drugs may cause oxidative stress, leading to GI damage such as ulcers.
Lansoprazol, omeprazole, and other clinical drugs are widely used to treat
duodenal and gastric ulcers and have been shown to have multiple biological
functions, such as antioxidant activity and the ability to upregulate antioxidant
enzymes in vivo. Therefore, the reduction of oxidative stress may be an effective
curative strategy for preventing and treating nonsteroidal anti-inflammatory drug
induced ulcers of the GI mucosa. Phytochemicals, such as dietary phenolic
compounds, phenolic acids, flavan-3-ols, flavonols, flavonoids, gingerols,
carotenes, and organosulfur, are common antioxidants in fruits, vegetables, and
beverages. A large amount of evidence has demonstrated that natural
phytochemicals possess bioactivity and potential health benefits, such as
antioxidant, anti-inflammatory, and antibacterial benefits, and they can prevent
digestive disease processes. In this review, we summarize the literature on
phytochemicals with biological effects, such as angiogenic, antioxidant,
antiapoptotic, anti-inflammatory, and antiulceration effects, and their related
mechanisms are also discussed.
PMID- 27883263
TI - Cargo selectivity of yeast sorting nexins.
AB - Sorting nexins are PX domain-containing proteins that bind phospholipids and
often act in membrane trafficking where they help to select cargo. However, the
functions and cargo specificities of many sorting nexins are unknown. Here, a
high-throughput imaging screen was used to identify new sorting nexin cargo in
the yeast Saccharomyces cerevisiae. Deletions of 9 different sorting nexins were
screened for mislocalization of a set of green fluorescent protein (GFP)-tagged
membrane proteins found at the plasma membrane, Golgi or endosomes. This
identified 27 proteins that require 1 or more sorting nexins for their correct
localization, 23 of which represent novel sorting nexin cargo. Nine hits whose
sorting was dependent on Snx4, the sorting nexin-containing retromer complex, or
both retromer and Snx3, were examined in detail to search for potential sorting
motifs. We identified cytosolic domains of Ear1, Ymd8 and Ymr010w that conferred
retromer-dependent sorting on a chimeric reporter and identified conserved
residues required for this sorting in a functional assay. This work defined a
consensus sequence for retromer and Snx3-dependent sorting.
PMID- 27883264
TI - Mucosa-associated biohydrogenating microbes protect the simulated colon
microbiome from stress associated with high concentrations of poly-unsaturated
fat.
AB - Polyunsaturated fatty acids (PUFAs) may affect colon microbiome homeostasis by
exerting (specific) antimicrobial effects and/or interfering with mucosal biofilm
formation at the gut mucosal interface. We used standardized batch incubations
and the Mucosal-Simulator of the Human Microbial Intestinal Ecosystem (M-SHIME)
to show the in vitro luminal and mucosal effects of the main PUFA in the Western
diet, linoleic acid (LA). High concentrations of LA were found to decrease
butyrate production and Faecalibacterium prausnitzii numbers dependent on LA
biohydrogenation to vaccenic acid (VA) and stearic acid (SA). In faecal batch
incubations, LA biohydrogenation and butyrate production were positively
correlated and SA did not inhibit butyrate production. In the M-SHIME, addition
of a mucosal environment stimulated biohydrogenation to SA and protected F.
prausnitzii from inhibition by LA. This was probably due to the preference of two
biohydrogenating genera Roseburia and Pseudobutyrivibrio for the mucosal niche.
Co-culture batch incubations using Roseburia hominis and F. prausnitzii validated
these observations. Correlations networks further uncovered the central role of
Roseburia and Pseudobutyrivibrio in protecting luminal and mucosal SHIME
microbiota from LA-induced stress. Our results confirm how cross-shielding
interactions provide resilience to the microbiome and demonstrate the importance
of biohydrogenating, mucosal bacteria for recovery from LA stress.
PMID- 27883266
TI - Silencing of ecdysone receptor, insect intestinal mucin and sericotropin genes by
bacterially produced double-stranded RNA affects larval growth and development in
Plutella xylostella and Helicoverpa armigera.
AB - RNA interference mediated gene silencing, which is triggered by double-stranded
RNA (dsRNA), has become a important tool for functional genomics studies in
various systems, including insects. Bacterially produced dsRNA employs the use of
a bacterial strain lacking in RNaseIII activity and harbouring a vector with dual
T7 promoter sites, which allow the production of intact dsRNA molecules. Here, we
report an assessment of the functional relevance of the ecdysone receptor, insect
intestinal mucin and sericotropin genes through silencing by dsRNA in two
lepidopteran insect pests, Helicoverpa armigera and Plutella xylostella, both of
which cause serious crop losses. Oral feeding of dsRNA led to significant
reduction in transcripts of the target insect genes, which caused significant
larval mortality with various moulting anomalies and an overall developmental
delay. We also found a significant decrease in reproductive potential in female
moths, with a drop in egg laying and compromised egg hatching from treated larvae
as compared to controls. dsRNA was stable in the insect gut and was efficiently
processed into small interfering RNAs (siRNAs), thus accounting for the
phenotypes observed in the present work. The study revealed the importance of
these genes in core insect processes, which are essential for insect development
and survival.
PMID- 27883267
TI - Translocation of Tektin 3 to the equatorial segment of heads in bull spermatozoa
exposed to dibutyryl cAMP and calyculin A.
AB - Tektins (TEKTs) are filamentous proteins associated with microtubules in cilia,
flagella, basal bodies, and centrioles. Five TEKTs (TEKT1, -2, -3, -4, and -5)
have been identified as components of mammalian sperm flagella. We previously
reported that TKET1 and -3 are also present in the heads of rodent spermatozoa.
The present study clearly demonstrates that TEKT2 is present at the acrosome cap
whereas TEKT3 resides just beneath the plasma membrane of the post-acrosomal
region of sperm heads in unactivated bull spermatozoa, and builds on the
distributional differences of TEKT1, -2, and -3 on sperm heads. We also
discovered that hyperactivation of bull spermatozoa by cell-permeable cAMP and
calyculin A, a protein phosphatase inhibitor, promoted translocation of TEKT3
from the post-acrosomal region to the equatorial segment in sperm heads, and that
TEKT3 accumulated at the equatorial segment is lost upon acrosome reaction. Thus,
translocation of TEKT3 to the equatorial segment may be a capacitation- or
hyperactivation-associated phenomenon in bull spermatozoa. Mol. Reprod. Dev. 84:
30-43, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27883265
TI - Genomic approaches to the assessment of human spina bifida risk.
AB - Structural birth defects are a leading cause of mortality and morbidity in
children world-wide, affecting as much as 6% of all live births. Among these
conditions, neural tube defects (NTDs), including spina bifida and anencephaly,
arise from a combination of complex gene and environment interactions that are as
yet poorly understood within human populations. Rapid advances in massively
parallel DNA sequencing and bioinformatics allow for analyses of the entire
genome beyond the 2% of the genomic sequence covering protein coding regions.
Efforts to collect and analyze these large datasets hold promise for illuminating
gene network variations and eventually epigenetic events that increase individual
risk for failure to close the neural tube. In this review, we discuss current
challenges for DNA genome sequence analysis of NTD affected populations, and
compare experience in the field with other complex genetic disorders for which
large datasets are accumulating. The ultimate goal of this research is to find
strategies for optimizing conditions that promote healthy birth outcomes for
individual couples. Birth Defects Research 109:120-128, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27883270
TI - Pharmacovigilance Skills, Knowledge and Attitudes in our Future Doctors - A
Nationwide Study in the Netherlands.
AB - Pharmacovigilance centres monitor the safety of drugs, based on adverse drug
reactions (ADRs) reported by doctors, pharmacists and pharmaceutical companies.
However, the under-reporting of ADRs remains a major problem. Our aim was to
investigate preparedness of future doctors for their role in pharmacovigilance,
by assessing their pharmacovigilance awareness, skills and knowledge. The study
was a nationwide e-survey among medical students (third to sixth year) of all
eight medical schools in the Netherlands. The survey consisted of questions
regarding pharmacovigilance awareness, skills and knowledge. Overall, 874
students provided informed consent and participated (response 12%). Almost all
students (96%) intended to report serious ADRs in their future practice. Almost
half (44%) of the students did not know where to report an ADR, and 78% did not
know which items were necessary for a good-quality ADR report. While more than
78% of the students agreed that pharmacovigilance is an important topic in their
medical education, only 26% found that their current curriculum covered
pharmacovigilance adequately. Although ADR reporting is considered relevant and
important among future doctors, many do not know where and what to report. This
is highly undesirable and should have consequences for pharmacotherapy teaching.
PMID- 27883271
TI - High-throughput downstream process development for cell-based products using
aqueous two-phase systems (ATPS) - A case study.
AB - The availability of preparative-scale downstream processing strategies for cell
based products presents a critical juncture between fundamental research and
clinical development. Aqueous two-phase systems (ATPS) present a gentle,
scalable, label-free, and cost-effective method for cell purification, and are
thus a promising tool for downstream processing of cell-based therapeutics. Here,
the application of a previously developed robotic screening platform that enables
high-throughput cell partitioning analysis in ATPS is reported. In the present
case study a purification strategy for two model cell lines based on high
throughput screening (HTS)-data and countercurrent distribution (CCD)-modeling,
and validated the CCD-model experimentally is designed. The obtained data are
shown an excellent congruence between CCD-model and experimental data, indicating
that CCD-models in combination with HTS-data are a powerful tool in downstream
process development. Finally, the authors are shown that while cell cycle phase
significantly influences cell partitioning, cell type specific differences in
surface properties are the main driving force in charge-dependent separation of
HL-60 and L929 cells. In order to design a highly robust purification process it
is, however, advisable to maintain constant growth conditions.
PMID- 27883269
TI - Oil-Fortified Maize Porridge Increases Absorption of Lumefantrine in Children
with Uncomplicated Falciparum Malaria.
AB - Artemether-lumefantrine (AL) is a first-line treatment for uncomplicated malaria.
Absorption of lumefantrine (LUM) is fat dependent, and in children, intake is
recommended with milk. We investigated whether oil-fortified maize porridge can
be an alternative when milk is not available. In an open-label pharmacokinetic
study, Ugandan children <5 years with uncomplicated Plasmodium falciparum malaria
were randomized to receive standard six-dose AL treatment [one tablet (20 mgA/120
mg LUM) if <15 kg and two tablets if >15 kg] with milk (A) or maize porridge plus
oil (B). Parametric two-sample t-test was used to compare relative oral LUM
bioavailability. The primary end-point was LUM exposure till 8 hr after the first
dose (AUC0-8 hr ). Secondary outcome included day 7 concentrations (d7LUM ), LUM
exposure between days 7 and 28 (AUCd7-28 ) and day 28 PCR-adjusted
parasitological response. Evaluable children (n = 33) included 16 in arm A and 17
in arm B. The AUC0-8 hr was comparable between A and B [geometric mean (95% CI):
6.01 (3.26-11.1) versus 6.26 (4.5-8.43) hr*MUg/mL, p = 0.9]. Less interindividual
variability in AUC0-8 hr was observed in B (p = 0.01), but d7LUM and AUCd7-28
were comparable. Children receiving two tablets had significantly higher exposure
than those receiving one tablet [median d7LUM (505 versus 289 ng/mL, p = 0.02)
and AUCd7-28 (108 versus 41 hr*MUg/mL, p = 0.006)]. One parasitological failure
(d28 recrudescence) was observed. Our findings suggest that oil-fortified maize
porridge can be an alternative to milk in augmenting absorption of LUM. The lower
LUM exposure observed in children dosed with one AL tablet needs further
attention.
PMID- 27883268
TI - Formation and carbon monoxide-dependent dissociation of Allochromatium vinosum
cytochrome c' oligomers using domain-swapped dimers.
AB - The number of artificial protein supramolecules has been increasing; however,
control of protein oligomer formation remains challenging. Cytochrome c' from
Allochromatium vinosum (AVCP) is a homodimeric protein in its native form, where
its protomer exhibits a four-helix bundle structure containing a covalently bound
five-coordinate heme as a gas binding site. AVCP exhibits a unique reversible
dimer-monomer transition according to the absence and presence of CO. Herein,
domain-swapped dimeric AVCP was constructed and utilized to form a tetramer and
high-order oligomers. The X-ray crystal structure of oxidized tetrameric AVCP
consisted of two monomer subunits and one domain-swapped dimer subunit, which
exchanged the region containing helices alphaA and alphaB between protomers. The
active site structures of the domain-swapped dimer subunit and monomer subunits
in the tetramer were similar to those of the monomer subunits in the native
dimer. The subunit-subunit interactions at the interfaces of the domain-swapped
dimer and monomer subunits in the tetramer were also similar to the subunit
subunit interaction in the native dimer. Reduced tetrameric AVCP dissociated to a
domain-swapped dimer and two monomers upon CO binding. Without monomers, the
domain-swapped dimers formed tetramers, hexamers, and higher-order oligomers in
the absence of CO, whereas the oligomers dissociated to domain-swapped dimers in
the presence of CO, demonstrating that the domain-swapped dimer maintains the CO
induced subunit dissociation behavior of native ACVP. These results suggest that
protein oligomer formation may be controlled by utilizing domain swapping for a
dimer-monomer transition protein.
PMID- 27883273
TI - A velocity tracking approach for the data assimilation problem in blood flow
simulations.
AB - Several advances have been made in data assimilation techniques applied to blood
flow modeling. Typically, idealized boundary conditions, only verified in
straight parts of the vessel, are assumed. We present a general approach, on the
basis of a Dirichlet boundary control problem, that may potentially be used in
different parts of the arterial system. The relevance of this method appears when
computational reconstructions of the 3D domains, prone to be considered
sufficiently extended, are either not possible, or desirable, because of
computational costs. On the basis of taking a fully unknown velocity profile as
the control, the approach uses a discretize then optimize methodology to solve
the control problem numerically. The methodology is applied to a realistic 3D
geometry representing a brain aneurysm. The results show that this data
assimilation approach may be preferable to a pressure control strategy and that
it can significantly improve the accuracy associated to typical solutions
obtained using idealized velocity profiles.
PMID- 27883272
TI - Circulating adipocyte-derived exosomal MicroRNAs associated with decreased
insulin resistance after gastric bypass.
AB - OBJECTIVE: Exosomes from obese adipose contain dysregulated microRNAs linked to
insulin signaling, as compared with lean controls, providing a direct connection
between adiposity and insulin resistance. This study tested the hypotheses that
gastric bypass surgery and its subsequent weight loss would normalize adipocyte
derived exosomal microRNAs associated with insulin signaling and the associated
metabolome related to glucose homeostasis. METHODS: African American female
subjects with obesity (N = 6; age: 38.5 +/- 6.8 years; BMI: 51.2 +/- 8.8 kg/m2 )
were tested before and 1 year after surgery. Insulin resistance (HOMA), serum
metabolomics, and global microRNA profiles of circulating adipocyte-derived
exosomes were evaluated via ANCOVA and correlational analyses. RESULTS: One year
postsurgery, patients showed decreased BMI (-18.6 +/- 5.1 kg/m2 ; P < 0.001),
ameliorated insulin resistance (HOMA: 1.94 +/- 0.6 presurgery, 0.49 +/- 0.1
postsurgery; P < 0.001), and altered metabolites including branched chain amino
acids (BCAA). Biological pathway analysis of predicted mRNA targets of 168
surgery-responsive microRNAs (P < 0.05) identified the insulin signaling pathway
(P = 1.27E-10; 52/138 elements), among others, in the data set. The insulin
signaling pathway was also a target of 10 microRNAs correlated to changes in HOMA
(P < 0.05; r > 0.4), and 48 microRNAs correlated to changes in BCAA levels.
CONCLUSIONS: These data indicate that circulating adipocyte-derived exosomes are
modified following gastric bypass surgery and correlate to improved postsurgery
insulin resistance.
PMID- 27883274
TI - Impact of the Chronic Omega-3 Fatty Acids Supplementation in Hemiparkinsonism
Model Induced by 6-Hydroxydopamine in Rats.
AB - Parkinson's disease (PD) is characterized by a progressive degeneration of
dopaminergic neurons in the substantia nigra. The neuronal degeneration may
result from the convergence of a number of different pathogenic factors,
including apoptosis, excitotoxicity and oxidative stress. Many studies emphasize
the importance of omega-3 polyunsaturated fatty acids (omega-3 PUFAs) in vital
processes such as maintenance of the properties of cell membranes and the
participation in signal transduction and biodynamic activity of neuronal
membranes. In this study, the protective effect of omega-3 PUFA administration on
the 6-hydroxydopamine (6-OHDA) model of PD in rats was investigated. omega-3 PUFA
(1.5 and 3.0 g/kg) was orally administered by gavage during 28 consecutive days
to male Wistar rats. On the 4th day, hemiparkinsonism was induced through
intrastriatal injection of 6-OHDA. On the 25th day, the animals were submitted to
behavioural analysis. On the 28th day, after euthanasia, the brain areas were
collected for neurochemical evaluation. omega-3 PUFAs (1.5 and 3.0 g/kg) restored
monoamine and amino acid levels on the striatum from hemiparkinsonian rats,
followed by reduction in the number of apomorphine-induced rotations and
promotion of a partial locomotor recovery. In addition, omega-3 PUFAs (1.5 and
3.0 g/kg) decreased the lipid peroxidation levels and nitrite levels in the brain
areas from hemiparkinsonian rats. Thus, this study suggests that supplementation
with omega-3 PUFAs prevents behavioural and neurochemical disturbances induced by
6-OHDA, presenting a potential neuroprotective action.
PMID- 27883275
TI - Comparative analysis of three human adipocyte size measurement methods and their
relevance for cardiometabolic risk.
AB - OBJECTIVE: To determine whether adipocyte diameters from three measurement
methods are similarly associated with adiposity measurements and cardiometabolic
variables. METHODS: Surgical samples of omental and abdominal subcutaneous
adipose tissue were obtained in a sample of 60 women (age 35-59 years; body mass
index 20.3-41.1 kg/m2 ). Median adipocyte diameter of the main cell population
was determined by collagenase digestion, osmium tetroxide fixation, and
histological analysis. Adiposity and cardiometabolic risk factors were assessed.
RESULTS: Adipocyte diameter was consistently smaller with formalin fixation than
with collagenase digestion, whereas osmium-fixed cells were larger (P < 0.0001,
for all). Median adipocyte diameters derived from all methods were
intercorrelated (r = 0.46-0.83, P < 0.001 for all). Positive associations were
found between adipocyte diameters from all techniques and regional or total
adiposity measurements (P < 0.01 for all). Omental adipocyte diameter was
positively associated with fasting glucose, insulin, and homeostatic model
assessment of insulin resistance (r = 0.30-0.52, P < 0.05 for all), with osmium
fixed cell size as a stronger correlate. Osmium-fixed cell diameter was also a
better correlate of plasma adiponectin and leptin. CONCLUSIONS: Although
measurement techniques generated systematic differences in adipocyte size,
associations with adiposity were only slightly affected by the technique. Osmium
fixation generated stronger associations with cardiometabolic risk factors than
collagenase digestion and histological analysis.
PMID- 27883276
TI - Microporous Organic Polymers Based on Hyper-Crosslinked Coal Tar: Preparation and
Application for Gas Adsorption.
AB - Hyper-crosslinked polymers (HCPs) are promising materials for gas capture and
storage, but high cost and complicated preparation limit their practical
application. In this paper, a new type of HCPs (CTHPs) was synthesized through a
one-step mild Friedel-Crafts reaction with low-cost coal tar as the starting
material. Chloroform was utilized as both solvent and crosslinker to generate a
three-dimensional crosslinked network with abundant micropores. The maximum BET
surface area of the prepared CTHPs could reach up to 929 m2 g-1 . Owing to the
high affinity between the heteroatoms on the coal-tar building blocks and the CO2
molecules, the adsorption capacity of CTHPs towards CO2 reached up to 14.2 wt %
(1.0 bar, 273 K) with a high selectivity (CO2 /N2 =32.3). Furthermore, the
obtained CTHPs could adsorb 1.27 wt % H2 at 1.0 bar and 77.3 K, and also showed
capacity for the capture of high organic vapors at room temperature. In
comparison with other reported porous organic polymers, CTHPs have the advantages
of low-cost, easy preparation, and high gas-adsorption performance, making them
suitable for mass production and practical use in the future.
PMID- 27883277
TI - Application of THz Vibrational Spectroscopy to Molecular Characterization and the
Theoretical Fundamentals: An Illustration Using Saccharide Molecules.
AB - This work illustrates several theoretical fundamentals for the application of THz
vibrational spectroscopy to molecular characterization in the solid state using
two different types of saccharide systems as examples. Four subjects have been
specifically addressed: (1) the qualitative differences in the molecular
vibrational signatures monitored by THz and mid-IR vibrational spectroscopy; (2)
the selection rules for THz vibrational spectroscopy as applied to crystalline
and amorphous systems; (3) a normal mode simulation, using alpha-l-xylose as an
example; and (4) a rigorous mode analysis to quantify the percentage
contributions of the intermolecular and intramolecular vibrations to the normal
mode of interest.
PMID- 27883278
TI - A genetic link between prepregnancy body mass index, postpartum weight retention,
and offspring weight in early childhood.
AB - OBJECTIVE: The effects of maternal prepregnancy body mass index (BMI) and
gestational weight gain (GWG) on maternal and offspring obesity traits, as well
as the maternal and offspring genetic contribution to GWG and postpartum weight
retention, were examined. METHODS: Blood samples from mothers (n = 608) and
offspring (n = 541) were genotyped for 83 BMI-associated SNPs and 47 waist-to-hip
ratio (WHR)-associated SNPs. Linear regression and mixed-effects regression
models were performed to examine clinical epidemiological and genetic
associations with unweighted and weighted BMI and WHR genetic risk scores (GRS).
RESULTS: Prepregnancy BMI was positively associated with offspring weight and BMI
Z-score from birth to 5 years. GWG was positively associated with maternal
postpartum weight retention at 1 and 5 years and with offspring weight Z-score
from birth to 5 years old. The maternal unweighted BMI GRS was associated with
prepregnancy BMI, postpartum weight retention at 5 years, and offspring weight Z
score from birth to 5 years old, but not associated with GWG. Both maternal and
offspring unweighted WHR GRSs were negatively associated with GWG. CONCLUSIONS:
Maternal BMI-associated SNPs may contribute to the genetic link between
prepregnancy BMI variation, long-term postpartum weight retention, and offspring
birth weight and longitudinal weight. Maternal and offspring WHR-associated SNPs
may contribute to GWG variation.
PMID- 27883279
TI - Fast Track to Molar-Mass Distributions of Technical Lignins.
AB - Technical lignins (waste products obtained from wood pulping or biorefinery
processes) have so far required lengthy analysis procedures and different eluents
for molar-mass analysis by gel permeation chromatography (GPC). This challenge
has become more pressing recently since attempts to utilize lignins have
increased, leading to skyrocketing numbers of samples to be analyzed. A new
approach, which uses the eluent DMSO/LiBr (0.5 % w/v) and converts lignosulfonate
salts into their acidic form before analysis, overcomes these limitations by
enabling measurement of all kinds of lignins (kraft, organosolv, soda,
lignosulfonates) in the same size-exclusion chromatography (SEC) system without
the necessity of prior time-consuming derivatization steps. In combination with
ultra-performance liquid chromatography (UPLC), analysis times are shortened to
one tenth of classical lignin GPC. The new approach is presented, along with a
comparison of GPC and UPLC methods and a critical discussion of the analytical
parameters.
PMID- 27883280
TI - Phase I study of irinotecan for previously treated lung cancer patients with the
UGT1A1*28 or *6 polymorphism: Results of the Lung Oncology Group in Kyushu
(LOGIK1004A).
AB - BACKGROUND: Various polymorphisms have been detected in the UDP
glucuronosyltransferase 1A ( UGT1A ) gene, and UGT1A1 *28 and UGT1A1 *6 have
important effects on the pharmacokinetics of irinotecan and the risk of severe
toxicities during irinotecan therapy. This study was conducted to determine the
maximum tolerated dose (MTD) of irinotecan chemotherapy according to the UGT1A1
genotype in previously treated lung cancer patients with the UGT1A1 *28 or UGT1A1
*6 polymorphism. METHODS: The eligibility criteria were as follows: lung cancer
patients that had previously been treated with anticancer agents other than
irinotecan, possessed the UGT1A1 *28 or UGT1A1 *6 polymorphism (group A included
*28/*28, *6/*6, and *28/*6, and group B included *28 /- and *6 /-), were aged
<=75 years old, had a performance score of 0-1, and exhibited adequate bone
marrow function. The patients were scheduled to receive irinotecan on days 1, 8,
15, 22, 29, and 36. RESULTS: Four patients were enrolled in this trial. Two
patients were determined to be ineligible. The remaining two patients, who
belonged to group B, received an initial irinotecan dose of 60 mg/m2 , but did
not complete the planned treatment because of diarrhea and leukopenia. Thus, in
group B patients, 60 mg/m2 was considered to be the MTD of irinotecan. The study
was terminated in group A because of poor case recruitment. CONCLUSIONS: The MTD
of irinotecan for previously treated lung cancer patients that are heterozygous
for the UGT1A1 * 28 or UGT1A1 * 6 gene polymorphism is 60 mg/m2 .
PMID- 27883282
TI - Obesity Week 2016 Annual Meeting.
PMID- 27883283
TI - Usefulness of Transthoracic Echocardiography Parameters and Brain Natriuretic
Peptide as Mortality Predictors in Hospitalized Acutely Poisoned Patients: A
Prospective Observational Study.
AB - Acute poisonings represent a common cause of morbidity and mortality worldwide.
The prognostic utility of the transthoracic echocardiography (TTE) parameters
combined with brain natriuretic peptide (BNP) in acute poisoning with different
xenobiotics, upon admission in the hospital, was not evaluated. This prospective
observational cohort study included 229 acutely poisoned non-diabetic adults,
with a median age of 44 years (range 18-90 years), 50.7% women, with an in
hospital mortality rate of 8.7%. Univariate logistic regression analysis showed
that age, the left ventricle kinetic abnormalities, the E-wave deceleration time
(EDT) and BNP correlated significantly with mortality in acutely poisoned
patients. Multivariate logistic regression showed that only EDT [odds ratio (OR)
3.44, 95% confidence interval (CI) 1.54-7.69, p 0.003], BNP (OR 1.61, 95% CI:
1.02-2.55, p 0.04) and age (OR 2.66, 95% CI: 1.23-5.76, p 0.013) are predictive
for mortality. The receiver-operating characteristic (ROC) analysis proved EDT
[area under the ROC curve (AUC), 0.85; CI: 0.76-0.94; p 0.001], BNP (AUC, 0.83;
CI: 0.75-0.91; p 0.001) and age (AUC, 0.82; CI: 0.74-0.90; p 0.001) as indicators
for fatalities. In hospitalized patients acutely intoxicated with
undifferentiated poisons, EDT as a parameter of left ventricle diastolic function
and BNP are useful to early predict mortality.
PMID- 27883281
TI - Severe mortality impact of the 1957 influenza pandemic in Chile.
AB - INTRODUCTION: Epidemiological studies of the 1957 influenza pandemic are scarce,
particularly from lower-income settings. METHODS: We analyzed the spatial
temporal mortality patterns of the 1957 influenza pandemic in Chile, including
detailed age-specific mortality data from a large city, and investigated risk
factors for severe mortality impact across regions. RESULTS: Chile exhibited two
waves of excess mortality in winter 1957 and 1959 with a cumulative excess
mortality rate of 12 per 10 000, and a ~10-fold mortality difference across
provinces. High excess mortality rates were associated with high baseline
mortality (R2 =41.8%; P=.02), but not with latitude (P>.7). Excess mortality
rates increased sharply with age. Transmissibility declined from R=1.4-2.1 to
R=1.2-1.4 between the two pandemic waves. CONCLUSIONS: The estimated A/H2N2
mortality burden in Chile is the highest on record for this pandemic-about three
to five times as severe as that experienced in wealthier nations. The global
impact of this pandemic may be substantially underestimated from previous studies
based on high-income countries.
PMID- 27883285
TI - Time- and strain-specific downregulation of intestinal EPAS1 via miR-148a by
Bifidobacterium bifidum.
AB - SCOPE: Bifidobacteria play a role in intestinal homeostasis but molecular
mechanisms remain underinvestigated. The aim of this study was to assess if
probiotic Bifidobacterium strains alter expression of intestinal microRNA and
downstream target gene response. METHODS AND RESULTS: The expression of miR-148a
and its validated target endothelial PAS domain protein 1 (EPAS1) was analyzed in
Caco-2 cells and mice cecum in response to Bifidobacterium bifidum MIMBb75, B.
bifidum NCC390, or Bifidobacterium longum NCC2705. In vitro, exposure to B.
bifidum MIMBb75, but not to B. bifidum NCC390 or B. longum NCC2705, increased the
expression of miR-148a after 1 and 4 h (p < 0.01), but not after 24 h. In vivo,
B. bifidum MIMBb75 administration to C57BL/6J mice increased miR-148a expression
in the cecum after 2 but not 14 days (p < 0.05). The increase in miR-148a was
accompanied by a decrease in EPAS1 expression in Caco-2 cells and cecum (p <
0.05). Silencing of miR-148a reversed B. bifidum MIMBb75 dependent downregulation
of EPAS1. CONCLUSION: This study shows an early response of intestinal cells to
B. bifidum MIMBb75 through miR-148a modulation. This brings a new concept of
strain- and time-dependent bifidobacteria-host crosstalk via microRNA. Probiotic
B. bifidum MIMBb75 may help attenuating EPAS1 overexpression associated with
intestinal inflammation.
PMID- 27883284
TI - Novel biomarkers of nasopharyngeal carcinoma metastasis risk identified by
reverse phase protein array based tumor profiling with consideration of plasma
Epstein-Barr virus DNA load.
AB - PURPOSE: In patients with Epstein-Barr virus (EBV) associated nasopharyngeal
carcinoma (NPC), intertumor heterogeneity causes interpatient heterogeneity in
the risk of distant metastasis. We aimed to identify novel biomarkers of
metastasis risk using reverse phase protein array (RPPA) profiling of NPC
patients at risk for metastasis and considering plasma EBV DNA load. EXPERIMENTAL
DESIGN: A total of 98 patients with NPC with and without metastasis after
treatment, matched with respect to clinical parameters, are enrolled. Total
protein expression is measured by RPPA, and protein functions are analyzed by
pathway bioinformatics. RESULTS: The RPPA analysis revealed a profile of 70
proteins that are differentially expressed in metastatic and nonmetastatic
tumors. Plasma EBV DNA load after treatment correlated with protein expression
level better than plasma EBV DNA load before treatment did. The biomarkers of NPC
metastasis identified by proteomics regulate signaling pathways involved in cell
cycle progression, apoptosis, and epithelial-mesenchymal transition. The authors
identified 26 biomarkers associated with 5-year distant failure-free survival in
univariate analysis; five biomarkers remained significant in multivariate
analysis. CONCLUSIONS AND CLINICAL RELEVANCE: A comprehensive RPPA profiling
study is warranted to identify novel metastasis-related biomarkers and further
examine the activation state of signaling proteins to improve estimation of
metastasis risk for patients with EBV-associated NPC.
PMID- 27883286
TI - Influenza in Poland in 2013 and 2013/2014 epidemic season
AB - OBJECTIVE: Analysis of epidemiological situation of influenza in Poland in 2013
and 2013/14 epidemic season in reference to previous years and seasons. MATERIAL
AND METHODS: Analysis was based on: 1) data collected within influenza routine
surveillance system in Poland, including data published in annual bulletins
"Infectious diseases and poisonings in Poland" as well as unpublished data
gathered in the Department of Epidemiology of the NIPH-NIH; 2) data collected
within influenza system - Sentinel, and beyond this system, concerning results of
virological tests carried out in 2013/14 epidemic season in the Department of
Influenza Research, National Influenza Center in the NIPHNIH and/or laboratories
of provincial sanitary and epidemiological stations which are gathered in the
National Influenza Center. RESULTS: Compared to 2012, the number of influenza and
influenza-like cases increased more than twofold in 2013 in Poland. A total of 3
164 405 cases were reported. Incidence was 8 218.7 per 100,000 population (33
733.2 in 0-4 age group). As many as 0.45% of patients were referred to hospitals.
According to the data of the Central Statistical Office, 115 deaths due to
influenza were notified. Based on the data of the sanitary inspection (incomplete
data), the percentage of population vaccinated against influenza was 2.4% (7.7%
of persons aged more than 64 years). A total of 2 780 945 cases were registered
in 2013/14 epidemic season. Its peak was reported in March 2014. Incidence was 7
224.0 per 100,000 population (35 172.8 in 0-4 age group). Compared to 2012/13
epidemic season, it was lower by 8.0%. Incidence rates ranged from 29 339.6 in
pomorskie voivodeship to 1 306.5 in lubuskie voivodeship. Nearly a half of all
cases (48.7%) were registered in children and adolescents up to 15 years. As many
as 0.34% of patients were referred to hospitals (0.87% of persons aged more than
64 years). From the data of the Central Statistical Office transpires that 8
deaths due to influenza were reported in epidemic season. Type A influenza
subtype H3N2 predominated in all influenza cases. Human respiratory syncytial
virus (RSV) was the dominant agent in other viral infections of respiratory
tract. Antigenic analysis of influenza strains showed their affinity with vaccine
strains of the vaccine recommended for this epidemic season. CONCLUSIONS: In
spite of slow improvements, influenza and influenza-like surveillance system in
Poland is still not homogeneous and stable enough. It hinders the assessment of
current epidemiological situation. For instance, there are difficulties in
determining what is the effect of modifications in the surveillance on the
increase in the number of influenza and influenza-like cases observed in Poland
in recent years. A low percentage of population vaccinated against influenza
(with a decreasing trend beginning from 2005) suggests a necessity of revising
the methods of promotion and organization of these vaccines used so far. One of
the public health priorities should be to increase the percentage of vaccinated
population up to the average level in EU countries.
PMID- 27883288
TI - Corrigendum.
PMID- 27883287
TI - Definition, classification and retrospective analysis of photostimulable phosphor
image artefacts and errors in intraoral dental radiography.
AB - OBJECTIVES: To detect and determine image error and artefact types in intraoral
radiographs obtained with photostimulable phosphor (PSP) technology, place them
in an appropriate classification and retrospectively analyze the PSP-specific
image errors and artefacts. The causes and solutions of PSP-specific errors and
artefacts have also been discussed. METHODS: The radiographic database of Ondokuz
Mayis University, Faculty of Dentistry, Department of Dentomaxillofacial
Radiology, was used for this study. Different types of image errors and artefacts
observed on intraoral radiographs during 2014-15 were detected and defined. A
total of 2100 intraoral radiographs were individually evaluated for the
distribution of PSP-specific image artefacts. RESULTS: There were 34 image error
and artefact types detected and classified into 4 groups according to the
causative factors. The most common PSP-specific image artefacts were found in
fading with a ratio of 44.1% for the ambient light-related group, peeling of the
plate borders with a ratio of 53.4% for the PSP plate-related group and straight
line with a ratio of 42.2% for the scanner-related group. CONCLUSIONS: The
determination and definition of the image errors and artefacts with clarification
of their causes and solutions are important for the improvement of radiographic
quality and the reduction of the retake ratio.
PMID- 27883289
TI - Should CYP2D6 be genotyped when treating with tamoxifen?
PMID- 27883290
TI - Behavioral evidence for a differential modulation of semantic processing and
lexical production by aging: a full linear mixed-effects modeling approach.
AB - The effect of normal aging on lexical production and semantic processing was
evaluated in 72 healthy participants. Four tasks were used, picture naming (PN),
picture categorization (PC), numerical judgment (NJ), and color judgment (CJ).
The dependence of reaction time (RT) and correct responses with age was accounted
by mixed-effects models. Participants underwent neuropsychological testing for
verbal, executive, and memory functions. The RTs increase significantly with age
for all tasks. After parceling out the non-specific cognitive decline, as
reflected by the NJ task, the RT for the PN task decreases with age. Behavioral
data were interpreted in relation with neuropsychological scores. Our results
suggest that (a) naming becomes more automatic and semantic processing slightly
more difficult with age, and (b) a non-specific general slowdown of cognitive
processing occurs with age. Lexical production remained unaltered, based on
compensatory automatic processes. This study also suggests a possible slowdown of
semantic processing, even in normal aging.
PMID- 27883291
TI - Pharmacogenomics of second-line drugs used for treatment of unresponsive or
relapsed osteosarcoma patients.
AB - Second-line treatment of high-grade osteosarcoma (HGOS) patients is based on
different approaches and chemotherapy protocols, which are not yet standardized.
Although several drugs have been used in HGOS second-line protocols, none of them
has provided fully satisfactory results and the role of rescue chemotherapy is
not well defined yet. This article focuses on the drugs that have most frequently
been used for second-line treatment of HGOS, highlighting the present knowledge
on their mechanisms of action and resistance and on gene polymorphisms with
possible impact on treatment sensitivity or toxicity. In the near future,
validation of the so far identified candidate genetic biomarkers may constitute
the basis for tailoring treatment by taking the patients' genetic background into
account.
PMID- 27883293
TI - Psychological mislabeling of chronic pain: lessons from migraine in the 20th
century.
AB - Starting with Freud, psychoanalytically oriented practitioners described a
complex of unconscious conflicts, desires and personality traits they believed to
be the primary cause of a wide range of medical disorders. This was the
psychogenic model. With the advance of basic research and pharmacotherapy, the
psychogenic model was gradually replaced by a biopsychobehavioral model. This
model treats chronic pain as a biologically based disorder that can be influenced
by psychological factors and lifestyle. The present paper argues that many
patients with chronic pain may not be significantly impacted by psychological
factors, and that for those who are, cognitive-behavioral therapy is the
treatment of choice.
PMID- 27883294
TI - Drug discovery beyond the rule of 5 - Opportunities and challenges.
PMID- 27883292
TI - IL1A rs1800587 associates with chronic noncrisis pain in sickle cell disease.
AB - AIM: Pain is prevalent in sickle cell disease (SCD) patients who display great
heterogeneity in pain severity and frequency. Hypothesizing that inflammatory
factors are involved in the pathogenesis of SCD pain, we focused on the IL1A C/T
polymorphism rs1800587 that is an SNP located in a cis-transcriptional regulatory
region. METHODS: We genotyped IL1A rs1800587 and performed association studies
with phenotype data obtained by a multidimensional pain assessment tool using the
PAINReportIt(r) Questionnaire. RESULTS: Each T allele was associated with a 3.9
increase in composite pain index score (p = 0.04) as determined by multiple
linear regression. CONCLUSION: IL1A rs1800587 may influence chronic pain in SCD.
PMID- 27883296
TI - Adjuvant trastuzumab: a 10-year overview of its benefit.
AB - INTRODUCTION: Anti-HER2 targeted therapy is one of the key advances in the
treatment of breast cancer that have occurred in the last 20 years. In the
adjuvant setting, the use of trastuzumab has led to prolonged and sustained
survival benefit with very little toxicity as also confirmed by the 10-year
follow-up results from the pivotal trials. Despite the survival improvement,
several key issues are not entirely resolved in this field. These issues have led
to multiple research efforts in de-escalating or escalating the standard
treatment with chemotherapy and 1 year of adjuvant trastuzumab. Areas covered: In
this paper, we present an in depth overview on the state of the art on these key
issues of refining decision-making in adjuvant anti-HER2 therapy. Expert
commentary: Despite many important research efforts in the field, chemotherapy
plus trastuzumab for a total duration of 1 year remains the standard of care.
However, recent data showed that besides standard anthracycline- and taxane-based
cytotoxic therapy, alternative chemotherapy regimens can now be proposed to
patients with small tumors without nodal involvement and to women at high-risk of
developing cardiotoxicity. Of note, besides HER2 itself, biomarkers predicting
patients who may truly benefit from anti-HER2 agents are still lacking.
PMID- 27883295
TI - Identification of novel SNPs associated with risk and prognosis in patients with
castration-resistant prostate cancer.
AB - AIM: Metabolism and transport play major roles in life-long exposure to
endogenous and exogenous carcinogens. We therefore explored associations between
polymorphisms in absorption, distribution, metabolism and elimination genes and
the risk and prognosis of castration-resistant prostate cancer (CRPC). MATERIALS
& METHODS: A total of 634 genotypes were tested in 74 patients using the
Affymetrix DMETv1.0 platform. RESULTS: No relation to risk was found. Three SNPs
were associated with CRPC prognosis in Caucasians: ABCB11 rs7602171G>A (p =
0.003; n = 30; hazard ratio [HR]: 0.307), GSTP1 rs1799811C>T (p = 0.001; n = 38;
HR: 0.254) and SLC5A6 rs1395 (p = 0.004; n = 35; HR: 3.15). Two other
polymorphisms among Caucasians were associated with interesting trends: ABCB4
rs2302387C>T (p = 0.039) and ABCC5 rs939339A>G (p = 0.018). CONCLUSION: This
exploratory study is the first to show that polymorphisms in several absorption,
distribution, metabolism and elimination genes may be associated with CRPC
prognosis.
PMID- 27883297
TI - Patients' perception of Parkinson's disease-associated pain following initiation
of rotigotine: a multicenter non-interventional study.
AB - OBJECTIVES: To evaluate Parkinson's disease (PD)-associated pain as perceived by
the patients (subjective characterization), and how this may change following
initiation of rotigotine transdermal patch. METHODS: SP1058 was a non
interventional study conducted in routine clinical practice in Germany and
Austria in patients experiencing PD-associated pain (per the physician's
assessment). Data were collected at baseline (ie, before rotigotine initiation)
and at a routine visit after >=25 days (-3 days allowed) of treatment on a
maintenance dose of rotigotine (end of study [EoS]). Pain perception was assessed
using the 12-item Pain Description List of the validated German Pain
Questionnaire (each item ranked 0 = 'not true' to 3 = 'very true'). Primary
effectiveness variable: change from baseline to EoS in the sum score of the 4
'affective dimension' items of the Pain Description List. Secondary effectiveness
variables: change from baseline to EoS in Unified Parkinson's Disease Rating
Scale (UPDRS) II, III, and II+III scores, and Parkinson's Disease Questionnaire
(PDQ-8) total score (PD-related quality-of-life). Other variables included scores
of the eight 'sensory dimension' items of the Pain Description List. RESULTS: Of
93 enrolled patients (mean [SD] age: 71.1 [9.0] years; male: 48 [52%]), 77 (83%)
completed the study, and 70 comprised the full analysis set. The mean (SD) change
from baseline in the sum score of the four 'affective dimension' items was -1.3
(2.8) indicating a numerical improvement (baseline: 3.9 [3.4]). In the 'sensory
dimension', pain was mostly perceived as 'pulling' at baseline (49/70 [70%]);
'largely true'/'very true'). Numerical improvements were observed in all UPDRS
scores (mean [SD] change in UPDRS II+III: -5.3 [10.5]; baseline: 36.0 [15.9]),
and in PDQ-8 total score (-2.0 [4.8]; baseline: 10.7 [5.9]). Adverse drug
reactions were consistent with dopaminergic stimulation and transdermal
administration. CONCLUSION: The perception of the 'affective dimension' of PD
associated pain numerically improved in patients treated with rotigotine.
ClinicalTrials.gov identifier: NCT01606670;
https://clinicaltrials.gov/ct2/show/NCT01606670?term=NCT01606670&rank=1.
PMID- 27883298
TI - How Should Physicians Refer When Referral Options are Limited for Transgender
Patients?
AB - Transgender people encounter many barriers to health care, and recommendations
about where their treatment would best be offered can promote or thwart good
care. This case examines the care setting from the perspective of a patient whose
experiences with specialists have been negative. We argue that an ethos of harm
reduction and informed consent, with a strong emphasis on continuity of care
within a primary care setting, should guide questions about how to refer
transgender patients to caregivers and to good care settings.
PMID- 27883300
TI - Should Psychiatrists Prescribe Gender-Affirming Hormone Therapy to Transgender
Adolescents?
AB - Gender-affirming hormone therapy is a safe and effective way to improve quality
of life and mental health outcomes for transgender adolescents. Access to this
treatment is limited, with the most vulnerable transgender people experiencing
the greatest gaps in care. Because some psychiatrists help transgender patients
receive needed medical interventions, we analyze the ethical values they must
balance when deciding whether to provide hormone therapy to patients who seek it.
PMID- 27883299
TI - Should Mental Health Screening and Psychotherapy Be Required Prior to Body
Modification for Gender Expression?
AB - Some people want to modify their bodies through hormonal and surgical treatments
in order to resolve gender dysphoria, the distress they experience when their
bodies do not align with their gender identity. The World Professional
Association for Transgender Health (WPATH) offers guidelines to clinicians
regarding treatment of people wanting to modify their bodies for this reason.
Prior to these modifications, WPATH advises that mental health screening is
needed and that psychotherapy is recommended though not a requirement. In fact,
these advisories allow clinicians some freedom in applying the standards to
specific cases. Although some variation from the WPATH Standards of Care can be
clinically acceptable, informed consent remains an essential component of
clinical encounters involving body modifications.
PMID- 27883301
TI - What's in a Guideline? Developing Collaborative and Sound Research Designs that
Substantiate Best Practice Recommendations for Transgender Health Care.
AB - Transgender medicine presents a particular challenge for the development of
evidence-based guidelines, due to limitations in the available body of evidence
as well as the exclusion of gender identity data from most public health
surveillance activities. The guidelines that have been published are often based
on expert opinion, small studies, and data gathered outside the US. The existence
of guidelines, however, helps legitimate the need for gender-affirming medical
and surgical interventions. Research conducted on transgender populations should
be grounded in gender-affirming methodologies and focus on key areas such as
health outcomes after gender-affirming interventions.
PMID- 27883302
TI - Affirmative and Responsible Health Care for People with Nonconforming Gender
Identities and Expressions.
AB - Although recent changes in health care delivery have improved routine and gender
affirming care for transgender people, common approaches to care are still often
based on a binary (i.e., male/female) gender framework that can make patients
with gender-nonconforming (GNC) identities and expressions feel marginalized.
Binary representation perpetuates invisibility, discrimination, and victimization
and subsequent poorer health-among GNC patients. In response, clinicians and
health care systems should extend their efforts to provide gender-affirming and
responsible care to GNC people. This article reviews terminology related to
gender, the limited research-and necessary directions for future research-on GNC
communities, and provides strategies for health care professionals and systems to
ensure provision of gender-affirming and responsible care to GNC patients.
PMID- 27883303
TI - Transgender Reproductive Choice and Fertility Preservation.
AB - Increasing numbers of young transgender people are now using medical technologies
to achieve a physical gender transition. However, the procedures of physical
gender transition might cause temporary or permanent sterility. Thus many
transgender people are now using fertility preservation technologies.
Nonetheless, they can experience dilemmas in making reproductive and family
building decisions and face challenges in gaining access to and utilizing
fertility preservation services. Based on qualitative research conducted with
transgender men and women who used reproductive technologies for preserving their
fertility before or during their physical transition, this paper contributes to
the discourse of reproductive choice by the inclusion of transgender people's
experience.
PMID- 27883304
TI - Transgender Rights as Human Rights.
AB - Arguments to support transgender rights often rely on "born that way" arguments,
which assert that gender identity is innate, immutable, and unassociated with
choice. These arguments are vulnerable to attack on several grounds, including on
the basis of emerging scientific data. Stronger support for transgender rights
arises from human rights arguments.
PMID- 27883305
TI - Understanding Transgender and Medically Assisted Gender Transition: Feminism as a
Critical Resource.
AB - Feminism has fought the trivialization of women's experiences, championed women's
security, and insisted on respect for women's choices. In so doing, feminism has
developed important perspectives on the complicated connections between what
gender means as it plays itself in people's lives, and the inequalities of power
and authority that structure much of human experience. Here, I put a few of these
perspectives into contact with an issue where the interactions of gender and
power are squarely in play: medicine's role in assisting gender transitioning
generally and, specifically, the enduring controversy between medicine and many
transgender people about the pathologization of transgender and the role of
clinicians as gatekeepers to gender-transition interventions.
PMID- 27883306
TI - Lessons from a Transgender Patient for Health Care Professionals.
AB - It is not uncommon for transgender patients to avoid sharing information about
their identity and medical history with health care professionals, due to past
negative experiences within health care settings. Professionals who show
sensitivity to the topic and express care about health record documentation can
increase a transgender patient's trust. There are many opportunities to increase
transgender health literacy, including consultation, conferences, webinars,
books, and articles focused on transgender health care. It's critical for
professionals to listen closely to individual patients' stated needs. This
article shares one transgender patient's encounters and experiences within health
care settings and offers lessons on how health care professionals can be more
inclusive, respectful, and responsive to the needs of transgender patients.
PMID- 27883307
TI - Informed Consent in the Medical Care of Transgender and Gender-Nonconforming
Patients.
AB - Informed consent as a model of care has evolved as an alternative to the standard
model of care recommended by the World Professional Association for Transgender
Health's Standards of Care, version 7, which emphasizes the importance of mental
health professionals' role in diagnosing gender dysphoria and in assessing the
appropriateness and readiness for gender-affirming medical treatments. By
contrast, the informed consent model for gender-affirming treatment seeks to
acknowledge and better support the patient's right to, and capability for,
personal autonomy in choosing care options without the required involvement of a
mental health professional. Clinicians' use of the informed consent model would
enable them both to attain a richer understanding of transgender and gender
nonconforming patients and to deliver better patient care in general.
PMID- 27883308
TI - Response to "Ethical and Clinical Dilemmas in Using Psychotropic Medications
During Pregnancy".
PMID- 27883309
TI - Likely impact of pre-exposure prophylaxis on HIV epidemics among men who have sex
with men.
AB - Rapid developments in the field of HIV pre-exposure prophylaxis (PrEP) with
antiretrovirals offer a promise to bring HIV transmission among gay and other men
who have sex with men (MSM) to zero by 2030. This review evaluates studies, which
modelled the impact of PrEP on HIV diagnoses, and discusses the progress towards
PrEP implementation. Studies in English, conducted after 2010 among MSM in
countries of the Organization for Economic Cooperation and Development (OECD)
were reviewed. Six modelling studies were included, three of which had been
conducted outside the US. None of the published models showed that PrEP alone can
reduce HIV diagnoses to zero and eliminate HIV transmission by 2030. However,
PrEP in combination with other biomedical interventions can reduce HIV diagnoses
on the population level by ~95%. Other upcoming biomedical prevention strategies
may strengthen combination prevention. Access to PrEP remains limited, even in
the OECD countries. Modelling studies can assist governments with decision-making
about PrEP implementation and add urgency to the implementation of PrEP. More
work is needed on modelling of the impact of PrEP on HIV diagnoses trends outside
the US where PrEP implementation is in its early stages.
PMID- 27883310
TI - Daily diary study of adult men's and women's event-level sexual motivations and
sexual behaviour.
AB - : Background Understanding people's sexual motivations has long been of public
health and health promotion interest. We used daily diaries to examine how adult
men's and women's event-specific affective sexual motivations were linked to the
types and combinations of sexual behaviours chosen in a given sexual event.
METHODS: Adult men (n=156) and women (n=192) completed thrice-daily electronic
diaries assessing individual- and partner-specific attributes and non-coital or
coital sexual behaviours. Sexual motivations were: interest in sex, feeling in
love with partner, wanted to have sex and partner wanted to have sex. The outcome
variable was: sexual behaviour type (no sex, one vaginal sex event, one vaginal
sex event+any other sex types, multiple vaginal sex events, any other sex types).
Mixed-effect multinomial logistic regression modelled the influence of each
sexual motivation on sexual behaviour type (Stata; all p<0.05). 'No sex' was the
referent in all models; all models controlled for gender. RESULTS: Participants
contributed 14856 total partner-associated diary entries. Most (54%; women:
56.5%, men: 51.2%) were associated with no sex; when sex occurred, the most
common behaviour type was one vaginal sex event (13.1%) for women and other sex
types (16.4%) for men. Wanting to have sex or perceiving a partner wanted to have
sex were the strongest predictors of sexual behaviour type, and were associated
with a greater number of reported sexual behaviours. CONCLUSIONS: Event-specific
sexual motivations are associated with the choice to have sex, and with variation
in the chosen sexual behaviours.
PMID- 27883311
TI - HIV testing self-efficacy is associated with higher HIV testing frequency and
perceived likelihood to self-test among gay and bisexual men.
AB - : Background Regular testing of individuals at higher-risk of HIV is central to
current prevention strategies. The aim of the present study was to examine the
extent to which confidence in one's perceived ability to undertake various
aspects of HIV testing and self-testing (self-efficacy) affected HIV testing
outcomes. We assessed factors, including self-efficacy, associated with HIV
testing frequency and the likelihood to self-test among gay and bisexual men
(GBM). METHODS: Participants were HIV-negative GBM at an increased risk of HIV
(more than five partners or condomless anal intercourse in the previous 3 months)
enrolled in a randomised controlled trial of HIV self-testing. The baseline
questionnaire captured data regarding sociodemographic characteristics, HIV
and/or sexually transmissible infection testing history, sexual behaviour,
beliefs and attitudes towards HIV and eight items capturing confidence in HIV
testing ability that were combined as a single broad measure of HIV testing self
efficacy (alpha=0.81). Factors associated with three or more HIV tests in the
past year and the likelihood of self-testing in the future were determined using
logistic regression. RESULTS: Of 354 GBM, 34% reported three or more HIV tests in
the past year, and 64% reported being 'very likely' to self-test. Factors
independently associated with three or more HIV tests in the past year were:
higher self-efficacy (adjusted odds ratio (aOR) 1.08 per unit increase; 95%
confidence interval (CI) 1.02-1.14; P=0.004); >10 male partners in the past 6
months (aOR 1.79; 95% CI 1.05-3.04; P=0.031) and higher optimism regarding the
effects of HIV treatments on HIV transmission (aOR 1.14; 95% CI 1.00-1.29;
P=0.044). Only higher self-efficacy was independently associated with reporting a
greater likelihood to self-test in the future (aOR 1.10; 95% CI 1.05-1.15; P <
0.001). CONCLUSIONS: Improving self-efficacy by enhancing GBM's knowledge and
experience may lead to higher testing frequency. The self-efficacy measure used
in the present study could be useful in identifying GBM likely to face
difficulties with HIV testing and self-testing.
PMID- 27883313
TI - What Should be the Appropriate Referral to the Nephrologists - Do we have the
Data?
PMID- 27883314
TI - Diagnostic and Surgical Approach to Prenatally Detected Urinary Tract Anomalies.
AB - Regular ultrasound examinations carried out in the second trimester of pregnancy
help in detecting many anomalies in the fetal urinary tract. Their percentage
ranges from 1% to 3% of all controlled pregnancies. There is a wide spectrum of
anomalies that affect the urinary tract, but the most significant are:
uretero/hydronephrosis (unilateral or bilateral), kidney agenesis, dysplastic
kidney, polycystic and multicystic kidneys, anomalies of ascent, anomalies of
kidney rotation or fusion, bladder exstrophy, posterior urethra valve etc. Many
of these anomalies do not have impact either on urine flow or on kidney function
and hence they can be qualified rather as a condition than as a disease. At the
same time, most of the hydronephroses that are seen prenatally are being resolved
spontaneously, and they are not detected neither presented postnatally as
uretero/hydronephroses of unobstructed type and do not require surgical
treatment. Only one tenth of these anomalies are subject to active surgical
treatment. Therefore, the assessment of these conditions should be done by a
specialized team, who will make adequate therapeutic decisions based on clinical
guidelines, as well as will advise the parents on the future clinical
implications of the detected anomaly.
PMID- 27883312
TI - Time-Course Analysis of Gene Expression During the Saccharomyces cerevisiae
Hypoxic Response.
AB - Many cells experience hypoxia, or low oxygen, and respond by dramatically
altering gene expression. In the yeast Saccharomyces cerevisiae, genes that
respond are required for many oxygen-dependent cellular processes, such as
respiration, biosynthesis, and redox regulation. To more fully characterize the
global response to hypoxia, we exposed yeast to hypoxic conditions, extracted RNA
at different times, and performed RNA sequencing (RNA-seq) analysis. Time-course
statistical analysis revealed hundreds of genes that changed expression by up to
550-fold. The genes responded with varying kinetics suggesting that multiple
regulatory pathways are involved. We identified most known oxygen-regulated genes
and also uncovered new regulated genes. Reverse transcription-quantitative PCR
(RT-qPCR) analysis confirmed that the lysine methyltransferase EFM6 and the
recombinase DMC1, both conserved in humans, are indeed oxygen-responsive. Looking
more broadly, oxygen-regulated genes participate in expected processes like
respiration and lipid metabolism, but also in unexpected processes like amino
acid and vitamin metabolism. Using principle component analysis, we discovered
that the hypoxic response largely occurs during the first 2 hr and then a new
steady-state expression state is achieved. Moreover, we show that the oxygen
dependent genes are not part of the previously described environmental stress
response (ESR) consisting of genes that respond to diverse types of stress. While
hypoxia appears to cause a transient stress, the hypoxic response is mostly
characterized by a transition to a new state of gene expression. In summary, our
results reveal that hypoxia causes widespread and complex changes in gene
expression to prepare the cell to function with little or no oxygen.
PMID- 27883315
TI - The Need for Accurate Risk Prediction Models for Road Mapping, Shared Decision
Making and Care Planning for the Elderly with Advanced Chronic Kidney Disease.
AB - As people age, chronic kidney disease becomes more common, but it rarely leads to
end-stage kidney disease. When it does, the choice between dialysis and
conservative care can be daunting, as much depends on life expectancy and
personal expectations of medical care. Shared decision making implies adequately
informing patients about their options, and facilitating deliberation of the
available information, such that decisions are tailored to the individual's
values and preferences. Accurate estimations of one's risk of progression to end
stage kidney disease and death with or without dialysis are essential for shared
decision making to be effective. Formal risk prediction models can help, provided
they are externally validated, well-calibrated and discriminative; include
unambiguous and measureable variables; and come with readily applicable equations
or scores. Reliable, externally validated risk prediction models for progression
of chronic kidney disease to end-stage kidney disease or mortality in frail
elderly with or without chronic kidney disease are scant. Within this paper, we
discuss a number of promising models, highlighting both the strengths and
limitations physicians should understand for using them judiciously, and
emphasize the need for external validation over new development for further
advancing the field.
PMID- 27883316
TI - To Accept or Refuse Patient's Gift in Money? and How? - Case Report with Review.
AB - This report describes a (rare) situation when a patient's first gift to a young
doctor was in money. This happened in very specific circumstances - in a refugee
camp during the War in Croatia. The data are taken from a large study on gifts,
conducted on a representative sample of Croatian general practitioners (GPs), N =
265, from 2358 in total. Pro and contra factors are discussed, considering
tradition and customs, but also a lack of knowledge of young doctors in handling
gifts in general. The intention of this report is primarily educative, with
review of (scarce) literature, and recommendations, where the generally accepted
rules might have exceptions.
PMID- 27883317
TI - Somatoform Disorders - A Pediatric Experience.
AB - Somatization in children consists of the persistent experience and complaints of
somatic distress that cannot be fully explained by a medical diagnosis. Working
at the Psychophysiological Department at the University Clinic we are dealing
with more than 100 children per year manifesting this kind of disorders. The aim
of this article is to summarize some specific characteristics of the somatoform
disorder in a group of 243 children, mean age 10.31 (+/- 2.75) years for both
genders, selected randomly. The used psychometric instruments are: CBCL, EPQ for
children, and MMPI-201 for mothers. The obtained results showed high scores for
somatization, extroversion and accentuated anxiety for children; as well as a
typical Hs-Hy personality profile for mothers. The treatment with cognitive
behavior therapy and biofeedback showed very positive outcome.
PMID- 27883318
TI - Maternal Lipids May Predict Fetal Growth in Type 2 Diabetes Mellitus and
Gestational Diabetes Mellitus Pregnancies.
AB - AIM: During diabetic pregnancy, complex metabolic changes occur in the lipid
profile. The aim of the study was to determine the predictive values of maternal
serum lipid levels on large-for-gestational age newborns during the third
trimester in pregnancies of women with type 2 diabetes mellitus (DM2) and
gestational diabetes mellitus (GDM). MATERIAL AND METHODS: Data of forty three
pregnancies of women with DM2 and two hundred women with GDM were analyzed. The
analysis encompassed the following parameters: age, body mass index (BMI), lipid
parameters, HbA1c in first, second and third trimester of pregnancy, preeclampsia
and baby birth weight. RESULTS: DM2 and GDM groups showed statistically
significant differences in the following variables: total lipids, triglycerides,
total cholesterol, BMI, age, baby birth weight, incidence of SGA and preterm
delivery (9.4 +/- 2.3 vs. 11.0 +/- 2.3 mmol/L, 2.4 +/- 1.4 vs. 3.4 +/- 1.6
mmol/L, 5.5 +/- 1.2 vs. 6.4 +/- 1.4 mmol/L, 30.6 +/- 5.4 vs. 26.9 +/- 5.2 kg/m2,
34 +/- 7.8 vs. 31.5 +/- 5.6 years, 3183 +/- 972 vs. 3533 +/- 699 g., 20% vs.
7.5%, 27.9 vs. 14%, respectively, p < 0.05). Linear multiple regression analysis
demonstrated that triglycerides, LDL-C and total cholesterol were independent
predictors of LGA (p < 0.05). CONCLUSION: Triglycerides and LDL-C in the third
trimester of pregnancy are independent predictors for fetal macrosomia in DM2 and
GDM pregnancies. Thus, the maternal serum triglycerides and LDL-C levels
determined in the maternal blood taken in the third trimester of pregnancy may
indentify women who will give birth to LGA newborns.
PMID- 27883319
TI - The Effects of Hormonal Therapy and Exercise on Bone Turnover in Postmenopausal
Women: A Randomised Double-Blind Pilot Study.
AB - INTRODUCTION: Hormone replacement therapy (HRT) and walking were investigated
independently and in combination, to determine which treatment provided most
effect on bone turnover in postmenopausal women. METHODS: Using a randomised
double-blind pilot study, 10 subjects received HRT (transdermal estradiol, 50
MUg/day and oral MPA 5 mg/day) and 12 received placebo for 20 weeks. Following a
baseline period of treatment, both groups undertook a graduated walking regimen,
which increased in intensity, duration and frequency parameters from weeks 8-20.
Measurements of aerobic capacity, female sex hormones, bone formation markers
[osteocalcin (OC) and bone alkaline phosphatase (BAP)] and bone resorption
markers [deoxypyridinoline (DPD) and pyridinoline (PYR)] were measured at
baseline (T1), week 8 (T2) and week 20 (T3). RESULTS: Age, time of postmenopause,
weight or body mass index were no different between each groups. The HRT group
had significantly higher estradiol levels compared with the placebo group at T2
and T3. FSH and LH levels were significantly reduced following HRT. DPD and PYR
were significantly reduced from baseline levels at T2 and T3 with HRT. No
significant changes occurred in OC or BAP levels with either HRT or walking.
Walking did not change bone turnover markers in either the HRT or placebo group.
CONCLUSION: HRT reduces bone resorption, however, walking alone at the intensity
and duration prescribed, or the combination of HRT and walking, provided no
additional benefit after menopause. Therefore, HRT, but not walking is an
effective treatment in reducing bone turnover in postmenopause women.
PMID- 27883320
TI - Successful Kidney Transplantation in a Patient with Multiple Perioperative Renal
Transplant Complications. Case Report.
AB - Kidney transplantations have become common surgical procedures that are
associated with high success rates. Nevertheless, the detection, accurate
diagnosis and timely management of the perioperative surgical complications
sometimes require multidisciplinary team approach for some of the complications
may result in significant morbidity, risk of graft loss and/or mortality of the
recipient. A case of a 24-year old male patient that developed a number of
different surgical complications is reported. The complications included venous
graft thrombosis, urinary fistula, wound infection, wound dehiscence and a
completely exteriorized transplanted kidney. Despite the various complications
and, accordingly, a couple of revisions, finally the patient was discharged with
a regular kidney function.
PMID- 27883321
TI - Body Size and Outcomes in Dialysis and Transplant Patients - Does it Matter?
AB - The terminologies of "body size", "body mass index (BMI)", "body weight", "large
BMI" and "obesity" are controversial for defining the effects of "adiposity" or
"fat excess" on the outcomes of dialysis and transplant patients. However,
probably these terminologies will be continued to be used in the future as well,
because of being older and simpler terminologies. In the general population
obesity is a powerful risk factor for cardiovascular morbidity and mortality,
while, it conferred a survival advantage to dialysis patients. However, this may
be a oversimplification, since obesity may still be a risk factor in non
sarcopenic hemodialysis patients. Obesity is associated with early post
transplant adverse effects (i.e. delayed graft function, graft failure, wound
infections, also transplant costs) and unfavorable graft and patient survival.
However, thanks to safer immunosuppressive protocols, recently graft and patient
survival is similar in obese as those of the non-obese patients. On the other
hand, morbid obesity may still be a cause of unfavorable patient and graft
survival. Since obese transplant recipients have better life expectancy as
compared to wait-listed hemodialysis patients, they should be transplanted as
well, while morbidly obese patients should be asked to lose weight before being
placed in the waiting lists.
PMID- 27883322
TI - Molecular Biology and Genetic Mechanisms in the Progression of the Malignant Skin
Melanoma.
AB - Malignant skin melanoma is a tumor deriving from transformed skin melanocytes as
a result of complex interactions between genetic and environmental factors. This
melanoma has a potential to metastasize early and very often it is resistant to
the existing modalities of the systemic therapy. As in any other neoplasms,
certain types of melanoma may skip certain stages of progression. The progression
from one stage to another is accompanied by specific biological changes. Several
key changes in the melanoma tumorogenesis influence the regulation of the cell
proliferation and vitality, including the RAS-RAF-ERK, PI3K-AKT, and
p16INK4/CDK4/RB pathways. A key role in the dissreguarity of the RAS-RAF-ERK
(MAPK) pathway in the malignant melanoma development have been demonstrated by
many studies. To date, the molecular genetic alterations during melanoma
development have been partially known. In the pathogenesis of the malignant
melanoma, there are mutations of various genes such as NRAS, BRAF, and PTEN and
mutations and deletions of CDKN2A. In the past years, great advance has been made
in the insights of the molecular aspects of the melanoma pathogenesis. However,
this field yet poses a challenge to discover new details about the melanoma
molecular characteristics. The research results are focused towards the
improvement of the melanoma patients prognosis by introducing personalized
targeted therapy.
PMID- 27883323
TI - Association of Single-Nucleotide Polymorhism C3435T in the ABCB1 Gene with Opioid
Sensitivity in Treatment of Postoperative Pain.
AB - BACKGROUND: The minimal effective analgesic concentration of opioids required for
satisfactory analgesia may differ significantly among the patients. Genetic
factors may contribute to the variable response to opioids by affecting their
pharmacokinetics or pharmacodynamics. METHODS: Ninety nine patients undergoing
abdominal surgery with colorectal anastomosis because of colorectal carcinoma
were enrolled in the present study. C34535T was genotyped in all subjects and the
patients were divided into three groups according to their genotype: CC-wild type
homozygous, CT-mutant heterozygous and TT-mutant homozygous. Intravenous
fentanyl, patient controlled analgesia was provided postoperatively for pain
control in the first 24 hour after surgery. Opioid consumption, pain scores and
the adverse side effects were evaluated. RESULTS: Our main result is that the
patients in the CC genotype group consumed significantly more fentanyl (375.0 MUg
+/- 43.1) than the patients in the TT group (295.0 MUg +/- 49.1) and the CT
(356.4 MUg +/- 41.8) group in the treatment of postoperative pain. The patients
in the TT group had lower VAS scores at 6h, 12h, 18 h and 24h postoperatively.
There were no significant differences in the side effects among the three groups
regarding the vomiting and the sedation score. The patients in the TT group had
more frequently nausea score 1, than the patients in the other two groups.
CONCLUSION: Our study indicates that the C3435T SNPs of the ABCB1 gene is
associated with differences in the opioid sensitivity. The ABCB1 polymorphism may
serve as an important genetic predictor to guide the acute pain therapy in
postoperative patients.
PMID- 27883324
TI - Hormone Therapy Reduces Bone Resorption but not Bone Formation in Postmenopausal
Athletes.
AB - INTRODUCTION: Independently, hormone therapy and exercise have well-established
protective effects on bone parameters. The combined effects of hormone therapy
and exercise, however, are less clear. We, therefore, examined the effects of
hormone therapy on bone turnover markers in postmenopausal women undergoing
regular high intensity exercise. METHODS: In a randomised, double blind study,
postmenopausal athletes competing at Masters level, received either hormone
therapy (50 MUg transdermal oestradiol, 5 mg MPA, n = 8) or placebo (n = 7) for
20 weeks. Women were tested before and after treatment for plasma concentrations
of oestradiol, FSH, LH, and serum bone formation marker -osteocalcin (OC); and
urine bone resorption markers-pyridinoline (PYD) and deoxypyridinoline (DPD).
RESULTS: As a result of treatment with hormone therapy there were significant
reductions in levels of FSH (73.3 +/- 13.7 to 48.6 +/- 10.5 mmol/L, p = 0.01) and
bone resorption markers (PYD, 81.9 +/- 7.7 to 57.8 +/- 3.7 nmol/mmol Cr, p =
0.001, and DPD, 18.5 +/- 3.1 to 11.8 +/- 2.1 nmol/mmol Cr, p = 0.01). Oestradiol
and bone formation markers were not significantly altered as a result of hormone
therapy. There were no changes to any variables with placebo treatment.
CONCLUSION: Hormone therapy reduced bone resorption, but not bone formation, in
postmenopausal athletes. These favorable reductions in bone turnover; therefore,
provide an effective treatment in combination with high intensity exercise to
further reduce the subsequent risk of osteoporosis and associated fractures.
PMID- 27883325
TI - Solitary Fibrous Tumor of the Pancreas: A Case Report and Review of the
Literature.
AB - Pancreas is an extremely rare abdominal localization of the solitary fibrous
tumor (SFT). It usually grows asymptomatically for a long time before a diagnosis
can be made on the basis of symptoms and/or mechanical complications. Due to the
rarity and nonspecific clinical presentation, this entity is diagnostically
challenging. We present a 47-year-old man with a history of progressive
epigastric pain for the last two weeks, and jaundice, who was admitted to
hospital for further investigation. Cystadenocarcinoma was suspected based on the
radiologic findings, and a pancreatoduodenectomy was performed. The removed
portion of the pancreas contained a 3.5 * 2 * 1.8 cm well-circumscribed, but not
encapsulated white tumor mass with smooth cut surface, cystic component and duct
dilatation within the tumor and within the adjacent pancreatic tissue. Based on
the histology and immunostaining profile, a diagnosis of the solitary fibrous
tumor was made. One week post-operatively, the patient died due to surgical
complications. Microscopic and immunohistochemical examinations are necessary for
accurate diagnosis of cystic SFT of the pancreas. Because there is limited data
regarding the biological behavior of SFT with extra-pleural localization the
authors recommend clinical follow-up for SFT treatment if the criteria of
malignancy are not met.
PMID- 27883326
TI - Renal Replacement Therapy in Patients with Heart and Kidney Failure.
AB - The incidence of chronic kidney disease (CKD) in patients with chronic heart
failure (CHF) is high as CKD and CHF share underlying risk factors such as
arterial hypertension, diabetes mellitus and atherosclerosis. Cardiac failure
leads to renal hypoperfusion and dysfunction and then fluid overload and need for
aggressive diuretic therapy. However, development of diuretic resistance
represents a significant problem in the management of these patients. The role of
Renal Replacement Therapy (RRT) is important for patients who do not response to
conservative management of fluid overload facilitating the failing heart to
restore function. According to the guidelines, venovenous isolated
Ultrafiltration (UF) is indicated for patients with refractory congestion not
responding to medical therapy with loop diuretics and infusion of dopamine. A
systematic review of randomized controlled trials on the effect of UF vs. IV
furosemide for decompensated heart failure showed a benefit of UF on total body
weight loss and on readmissions due to heart failure in patients with
decompensated heart failure and CKD. Peritoneal dialysis (PD) can provide
efficient ultrafiltration and sodium extraction in volume overloaded patients
followed by decline of hospitalization days, decrease of body weight and
improvement of LVEF in patients with refractory heart failure. The continuous
draw of ultrafiltrate is followed by a lesser risk of abrupt hypotension and
better preservation of the residual kidney function. This represents a
significant advantage of PD over intermittent UF by dialysis. In conclusion,
application of UF by dialysis and PD is followed by significant total body weight
loss, reduced need for hospital readmissions and better quality of life. PD has a
higher probability of preservation of residual kidney function and can be used by
patients at home.
PMID- 27883327
TI - The Role of Serum Coagulation Factors in the Differential Diagnosis of Patients
with Pneumonia and Parapneumonic Effusion.
AB - The aim of this study was to identify the participations of the serum
coagulations and fibrinolysis factors that contribute to the differential
diagnosis of the patients with community-acquired pneumonia (CAP) without
effusion, uncomplicated parapneumonic effusion (UCPPE) and complicated
parapneumonic effusion (CPPE). The coagulations system is fundamental for the
maintenance of homeostasis, and contributes to the inflammatory process
responsible for CAP and the parapneumonic effusion. The factors of coagulations
and fibrinolysis participate in the cellular proliferation and migration as in
the synthesis of the inflammatory mediators. We evaluated the laboratory profile
of coagulations and fibrinolysis in the serum of 148 patients with CAP without
effusion, 50 with UCPPE and 44 with CPPE. We determined the test of the
coagulation cascade which measures the time elapsed from the activation of the
coagulation cascade at different points to the fibrin generation. As a
consequence, there is an activation of the fibrinolytic system with the increased
D-dimer levels measured in the plasma in the three groups. The patients were with
mean age +/- SD (53,82 +/- 17,5) min - max 18-93 years. A significantly higher
number of thrombocytes was in the group with CPPE with median 412 * 109/L (rank
323-513 * 109/L). The extended activation of the prothrombin time (aPTT) was
significantly higher in the same group of patients with median of 32 sec. (rank
30-35 sec). The mean D-dimer plasma level was 3266,5 +/- 1292,3 ng/ml in patients
with CPPE, in CAP without effusion 1646,6 +/- 1204 ng/ml and in UCPPE 1422,9 +/-
970 ng/ml. The coagulations system and the fibrinolysis play important role in
the development and pathophysiology of CAP and the parapneumonic effusions.
PMID- 27883328
TI - Factors Associated with Lethal Outcome in Patients with Severe Form of Influenza.
AB - INTRODUCTION: Clinical manifestations of influenza range from relatively mild and
self-limiting respiratory infections to severe clinical manifestations with
significant morbidity and mortality. The awareness of predictive indicators for
the lethal outcome of influenza is of particular significance in making timely
and exact decision for adequate treatment. The aim of this study was to identify
the factors in patients with a severe form of influenza, resulting in lethal
outcome. MATERIALS AND METHODS: The investigation was a prospective group
comparison conducted at the University Clinic for Infectious Diseases in Skopje,
R. Macedonia in the period from January 01, 2012 to January 01, 2015. The study
included adult patients with a severe form of influenza who were further
categorized into a group of either survived patients or a group of deceased
patients. Demographic, clinical and biochemical data were noted in all patients
included in the study on admission. The variables of the univariate analysis that
showed a significant difference in terms of the outcome were used for creating
multivariate logistic and regression analysis of the outcome as dependent
factors. The independent predictors for lethal outcome in severe cases of
influenza were identified by using logistic regression. RESULTS: The study
included 87 patients with a severe form of clinical and laboratory confirmed
influenza. The patients were divided in two groups: survived (n = 75) and
deceased (n = 75). The overall mortality was 13.79%. Multivariate analysis
conducted on admission to hospital identified cardiovascular comorbid diseases (p
= 0.014), urea values higher than 8.3 U/L (p = 0.045) and SAPS score (p = 0.048)
as independent predictors of the outcome in patients with severe form of
influenza. Influenza patients with cardiovascular diseases had 2.024 times
greater risk of death from influenza in comparison to the patients having
influenza without history of such a disease (OR = 2.024 95% CI 1.842-17.337).
Patients with serum urea values higher than 8.3 U/L had 1.89 times higher chance
of death compared to patients with normal values (OR = 1.89 95% CI 1.091-11.432).
The increase of the SAPS score in one point increased the chance of death in
patients with influenza by 1.2% (OR = 1.12 95% CI 1.01-2.976). The ROC analysis
indicated that cardiovascular diseases, increased urea values and SAPS score in
combination act as a good prognostic model for the fatal outcome. The global
authenticity of this predictive model to foresee lethal outcome amounts to 80%,
sensitivity being 82%, and specificity 70%. CONCLUSION: Cardiovascular diseases,
increased values of urea over 8.3 mmol/l and SAPS score are independent
predictive indicators for lethal outcome in severe influenza. Early
identification of the outcome predictors in patients with severe influenza will
allow implementation of adequate medical treatment and will contribute to
decreasing of mortality in patients with severe form of influenza.
PMID- 27883329
TI - How to Recognize and Avoid Potential, Possible, or Probable Predatory Open-Access
Publishers, Standalone, and Hijacked Journals.
AB - INTRODUCTION AND AIM: The Internet has enabled an easy method to search through
the vast majority of publications and has improved the impact of scholarly
journals. However, it can also pose threats to the quality of published articles.
New publishers and journals have emerged so-called open-access potential,
possible, or probable predatory publishers and journals, and so-called hijacked
journals. It was our aim to increase the awareness and warn scholars, especially
young researchers, how to recognize these journals and how to avoid submission of
their papers to these journals. METHODS: Review and critical analysis of the
relevant published literature, Internet sources and personal experience,
thoughts, and observations of the authors. RESULTS: The web blog of Jeffrey
Beall, University of Colorado, was greatly consulted. Jeffrey Beall is a Denver
academic librarian who regularly maintains two lists: the first one, of
potential, possible, or probable predatory publishers and the second one, of
potential, possible, or probable predatory standalone journals. Aspects related
to this topic presented by other authors have been discussed as well. CONCLUSION:
Academics should bear in mind how to differentiate between trustworthy and
reliable journals and predatory ones, considering: publication ethics, peer
review process, international academic standards, indexing and abstracting,
preservation in digital repositories, metrics, sustainability, etc.
PMID- 27883330
TI - Effects of 17beta-estradiol (E2) on aqueous organisms and its treatment problem:
a review.
AB - Natural estrogens, estrone (E1), 17beta-estradiol (E2) and estriol (E3) are
endocrine disrupting chemicals (EDCs) that are discharged consistently and
directly into surface waters with wastewater treatment plants (WWPTs) effluents,
disposal sludges and in storm-water runoff. The most common and highest potential
natural estrogen that causes estrogen activity in wastewater influent is E2. This
review describes and attempts to summarize the main problems involved in the
removal of E2 from WWTP by traditional processes, which fundamentally rely on
activated sludge and provide an insufficient treatment for E2, as well as
advanced oxidation processes (AOPs) that are applied in tertiary section
treatment works. Biological processes affect and play an important role in the
degradation of E2. However, some investigations have reported that operations
that rely on high retention times have low efficiencies. Although advanced
treatment technologies are available, their cost and operational considerations
do not make them sustainable solutions. Therefore, E2 is still being released
into aqueous areas, as shown in this study that investigates results from
different countries. E2 is present on the watch list of substances in the Water
Framework Directive (WFD) of the European Union since 2013 and the minimum
acceptable concentration of it is 0.4 ng/L.
PMID- 27883331
TI - Effects of altered RTN3 expression on BACE1 activity and Alzheimer's neuritic
plaques.
AB - Reticulon 3 (RTN3), which is a member of the reticulon family of proteins, has a
biochemical function of shaping tubular endoplasmic reticulum. RTN3 has also been
found to interact with beta-site amyloid precursor protein cleaving enzyme 1
(BACE1), which initiates the generation of beta-amyloid peptides (Abeta) from
amyloid precursor protein. Abeta is the major proteinaceous component in neuritic
plaques, which constitute one of the major pathological features in brains of
Alzheimer's disease (AD) patients. Mice deficient in or overexpressing RTN3 have
altered amyloid deposition through effects on BACE1 expression and activity. In
this review, we will summarize the current findings concerning the role of RTN3
in AD pathogenesis and demonstrate that RTN3 protein levels act as age-dependent
modulators of BACE1 activity and Abeta deposition during the pathogenic
progression of AD.
PMID- 27883332
TI - Effect of signal acquisition method on the fetal heart rate analysis with phase
rectified signal averaging.
AB - Phase rectified signal averaging (PRSA) is increasingly used for fetal heart rate
(FHR) monitoring, both with traces acquired with external Doppler
cardiotocography (D-FHR), and with transabdominal fetal electrocardiography (ta
FHR). However, it is unclear to what extend the acquisition method influences the
PRSA analysis, whether results from using one acquisition method are comparable
to those based on FHR acquired by the other method, and if not, which should be
the preferred method. To address these questions, we applied PRSA analysis to 28
antepartum synchronous recordings of the FHR using simultaneously D-FHR and ta
FHR. The data included late-onset intrauterine growth restricted (IUGR) fetuses
(n = 20) and non-IUGR fetuses (n = 8), all of them at gestation ?34 weeks.
PRSA analysis depends on two parameters intrinsic to the algorithm, T and S. We
analyzed the data using parameters that included all values adopted by other
researchers previously (derived from a literature search in PubMed and Google
Scholar). T and S were adjusted for the difference in acquisition techniques. We
found that the correlation between PRSA analysis based on D-FHR and ta-FHR
decreased with decreasing values of the PRSA parameters T and S. Therefore, the
acquisition technique affects PRSA values for high resolution PRSA (low values of
T and S). In conclusion, for low resolution PRSA, the results from both
acquisition methods are comparable. Because ta-FHR signals provide beat to beat
data and thus capture more subtle differences in the heart rate variation than D
FHR signals (pre-processed by commercial monitors), we assumed that ta-FHR may
provide potentially valuable extra information compared to D-FHR. However, no
parameter settings or acquisition method seemed to have a diagnostic value for
identifying the late-onset IUGR babies in our dataset.
PMID- 27883333
TI - Design of a simple coronary impedance simulator for the in vitro study of the
complex coronary hemodynamics.
AB - Several novel approaches were recently developed to treat aortic root
pathologies. The alteration induced by some of these approaches to the
biomechanics of the aortic root could possibly affect the coronary perfusion,
compromising the procedural outcome. In this scenario, the need to replicate in
vitro the coronary flow pattern in physiological and pathological conditions is
becoming crucial for the functional assessment of novel devices and techniques.
This article describes the design of an easy-to-use, left-and-right coronary
impedance simulator, coupled with native aortic roots for in vitro pulsatile
tests. Experiments were performed in order to assess the performances of the
coronary impedance simulator when coupled with healthy aortic valves (cardiac
output: 3.8 +/- 0.26 l min-1; mean systemic pressure: 95 +/- 1.3 mmHg; mean
coronary flow rate: 272 +/- 13.4 ml min-1) or with regurgitant valves (cardiac
output: 1.9 +/- 0.24 l min-1; mean systemic pressure of 45 +/- 3.3 mmHg; mean
coronary flow rate:149 +/- 21.9 ml min-1). The acute systemic response to valve
regurgitation was also replicated, with increased beat rate and afterload, aimed
at restoring the systemic pressure (cardiac output: 2.5 +/- 0.23 l min-1; mean
systemic pressure of 109 +/- 6.1 mmHg; mean coronary flow rate: 262 +/- 35.5
ml min-1). In the test conditions, the system was able to replicate in vitro the
main determinants of the coronary circulation with physiological left/right
coronary flow rate repartition, and a realistic interaction between coronary and
systemic hemodynamics. The coronary simulator appears to be a suitable platform
to study and optimize the interactions between novel approaches to aortic valve
pathology and the coronary perfusion.
PMID- 27883334
TI - Effect of cation dopants in zirconia on interfacial properties in nickel/zirconia
systems: an atomistic modeling study.
AB - Cation doping is often used to stabilize the cubic or tetragonal phase of
zirconia for enhanced thermomechanical and electrochemical properties. In the
present paper we report a combined density functional theory (DFT) and molecular
dynamics study of the effect of Sc, Y, and Ce dopants on properties of
Ni/[Formula: see text] interfaces and nickel sintering. First, we develop an MD
model that is based on DFT data for various nickel/zirconia interfaces. Then, we
employ the model to simulate Ni nanoparticles coalescing on a zirconia surface.
The results show the possibility of particle migration by means of fast sliding
over the surface when the work of separation is small (<[Formula: see text]). The
sliding observed for the O-terminated Ni(1 1 1)/[Formula: see text](1 1 1)
interface is not affected by dopants in zirconia because the work of separation
of the doped interface stays small. The most pronounced effect of the dopants is
observed for the Zr-terminated Ni(1 1 1)/[Formula: see text](1 1 1) interface,
which possesses a large work of separation ([Formula: see text]) and thus
restricts the sliding mechanism of Ni nanoparticle migration. DFT calculations
for the interface revealed that dopants with a smaller covalent radius result in
a larger energy barriers for Ni diffusion. We analyze this effect and discuss how
it can be used to suppress nickel sintering by using the dopant selection.
PMID- 27883343
TI - Interhemispheric connectivity estimated from EEG time-correlation analysis in
preterm infants with normal follow-up at age of five.
AB - Brain connectivity is associated with axonal connections between brain
structures. Our goal was to quantify the interhemispheric neuronal connectivity
in healthy preterm infants by automated quantitative EEG time-correlation
analysis. As with advancing postmenstrual age (PMA, gestational age + postnatal
age) the neuronal connectivity between left and right hemisphere increases, we
expect to observe changes in EEG time-correlation with age. Thirty-six
appropriate-for-gestational age preterm infants (PMA between 27-37 weeks) and
normal neurodevelopmental follow-up at 5 years of age were included. Of these, 22
infants underwent 3-8 repeated EEG recordings at weekly intervals. The reduced 10
20 EEG electrode system for newborns was used with five sets of bipolar channels:
central-temporal, frontal polar-temporal, frontal polar-central, temporal
occipital and central-occipital. We performed EEG time-correlation analysis
between homologous channels of the brain hemispheres to identify interhemispheric
similarity in EEG signal shape. For each 8 s epoch of the EEG the time
correlation values and the corresponding lag times were calculated for homologous
channels on both hemispheres. In all channels, the median correlation value
decreased significantly (between -40% and -60% decrease) from 27 to 37 weeks
PMA, for gestational maturation. For the postnatal maturation only the central
temporal channel showed a significantly decreasing trend. In contrast, the median
lag time showed no uniform change with PMA. The decreasing median correlation
values in all homologous channels indicate a decrease in similarity in signal
shape with advancing PMA. This finding may reflect greater functional
differentiation of cortical areas in the developing preterm brain and may be
explained by the increase of complex neural networks with excitatory and
inhibitory circuitries.
PMID- 27883344
TI - Analysis of non-EEG evidence for preparatory activity in the human brain: pre
contraction impedance changes in skeletal muscles.
AB - Precontraction impedance changes (PICs) are known to occur during isometric
contractions of the human forearm finger flexors. Without reliance on
electroencephalogical data these are construed as evidence for the construction
of a 'template of instructions' in the brain for muscle contractions to be
performed once a 'go' instruction is received, as widely expected from EEG
measurements A model is proposed to explain earlier impedance results, based on a
PIC-associated time-dependent inhibitory constraint and a modified role for the
'go' command. A principal result is that (for appropriate choice of parameters)
the time difference between occurrence of a PIC and the onset of force generation
can be independent of the timing of the 'go' command, as has in fact been
reported earlier. Independent of the detailed model, it is argued that there is
no reproducible relationship between the time of occurrence of a PIC and the
emergence of force, even for repeat tests on the same subject. However, we
propose that results for a wide range of circumstances are nevertheless governed
by a common probability distribution, based on data for 1200 PICs in a cohort of
11 healthy young subjects.
PMID- 27883346
TI - [Effect of physical activity on longevity].
AB - Multiple population studies have reported a positive correlation between higher
levels of physical activity (PA) and longer lifespan. It has been generally
accepted that it occurs due to PA having a direct effect on longevity. However,
this idea is negated by experiments on animal models and an observational study
on a twin cohort published recently by Karvinen et al. This unique study includes
a pairwise comparison of monozygotic twins discordant for PA, therefore
eliminating any influence of genetic factors on both mortality and tendency to
take up exercise. The intriguing lack of differences in lifespan in such pairs
implies that PA is not an important life prolonging factor. This discovery casts
doubt on the validity of PA recommendations found in numerous medical guidelines.
Nevertheless, the mentioned results apply only to the plain PA - longevity
relation. They do not consider health benefits of PA, for which solid evidence
exists. In particular, PA clearly reduces the risk of obesity-related diseases.
This may indirectly yet significantly affect the length and quality of life, even
if the direct relationship between PA and lifespan will be proven false in
further research.
PMID- 27883345
TI - Filtering for productive activity changes outcomes in step-based monitoring among
children.
AB - Wearable activity monitors are increasingly prevalent in health research, but
there is as yet no data-driven study of artefact removal in datasets collected
from typically developing children across childhood. Here, stride count data were
collected via a commercially available activity monitor (StepWatch), which
employs an internal filter for sub-threshold accelerations, but does not post
process supra-threshold activity data. We observed 428 typically-developing
children, ages 2-15, wearing the StepWatch for 5 consecutive days. We developed a
minimum per-minute stride-count below which the data outputted from the StepWatch
could be considered 'idle' and not 'productive'. We found that a threshold stride
count of 10 steps per minute captured 90% of samples in a weighted average among
isolated non-zero stride-count samples offset by inactivity. This threshold did
not vary by age, gender, or by an age-gender interaction. Filtering the activity
data according to this threshold reduced overall stride count by 8-10% by age
group, from 8177 +/- 2659 to 7432 +/- 2641 strides per day. The impact on
number of bouts per day decreased from an overall average of 79.3 +/- 17.2 to
72.7 +/- 12.1; this effect varied by age group. This study delivers the first
data-driven estimate of a minimum activity threshold in step- or stride units
that may extend to other studies. We conclude that the impact of production-idle
filtering on activity data is substantial and suggests a possible impetus for re
contextualizing extant studies and guidelines reported without such filtering.
PMID- 27883347
TI - [Adalimumab as induction therapy for Crohn's disease - one center study].
AB - : Adalimumab is a subcutaneously administered recombinant fully human monoclonal
antibody targeting tumor necrosis factor alpha. It has been approved for use in
Poland to treat patients with Crohn's disease under the program of Polish
National Health Found since 2010. AIM: The aim of this study was to evaluate the
efficacy of adalimumab monotherapy for inducing clinical remission in patients
with active Crohn's disease . The primary outcome assessment was the reduction in
score to 150 or below on the Crohn's Disease Activity Index (CDAI) at 12 weeks
and the secondary one was the reduction in DeltaCDAI of at least 100 points.
MATERIALS AND METHODS: From January 2011 to December 2015 we treated 68 patients
with active Crohn's disease (mean CDAI score 359). All the patients came from
region of Silesia, an area with the same environment conditions. The patients
were given adalimumab (Humira, AbbVie) subcutaneously at a dose of 160 mg at week
0, 80 mg at week 4 and 40 mg every two weeks thereafter. RESULTS: Twenty eight
patients (41%) had a clinical remission at week 12 (CDAI <=150) and 33 patients
(49%) had a DeltaCDAI response. During the 12-week of induction therapy infection
with Clostridium difficile occurred in 4 patients and one patient died of a
severe CMV infection. CONCLUSIONS: Adalimumab is effective as induction therapy
for patients with moderate-to-severe Crohn's disease, however in individual cases
serious infections including CMV infection can occur. A potential predictive
factors for response can be female gender, non-smoking status and high CRP level
at baseline.
PMID- 27883348
TI - [Cognitive emotion regulation of patients qualified for implantation of heart
rhythm control device].
AB - : The aim of the artificial heart stimulation is not only saving lives, but also
improvement of the quality of life of patients with cardiac arrhythmias. One of
the key dimensions of quality of life is psychological functioning. Until now,
little research assess this dimension in patients before the implantation of the
heart rhythm control device. AIM: The aim of the study was to assess the severity
of depression and anxiety and the frequency of the used cognitive emotion
regulation strategies and to examine the relationship between them. MATERIALS AND
METHODS: The study group consisted of 60 people qualified for pacemaker
implantation (42 PM patients and 18 ICD): 15 women and 45 men ranging in age from
43 to 85. To assess cognitive emotion regulation strategies Cognitive Emotion
Regulation Questionnaire was used, and to assess the severity of depression and
anxiety - Mood Assessment Questionnaire. RESULTS: Patients with PM more often
than patients with ICD use the strategy of Positive Reappraisal (U = 231.50, p =
0.045). There were no statistically significant differences in the frequency of
use of other strategies and severity of depression and anxiety. In PM patients
there are negative correlations between the severity of depression and anxiety
and the use of Acceptance ( tau = -0.380), a Positive Reappraisal ( tau =
0.278), Positive Refocusing ( tau = -0.366) and between the level of anxiety and
Putting into Perspective ( tau = -0.402). In ICD patients there was a positive
relationship between anxiety and Cathastrophizing ( tau = 0.324). CONCLUSIONS:
The severity of depression and anxiety, and emotion regulation strategies in
patients qualified for PM implantation in comparison with patients qualified for
ICD implantation are similar. Both groups of patients show a good adaptation of
the psychological.
PMID- 27883349
TI - [The education influence on effects of rehabilitation in patients after stroke].
AB - : Patients after stroke face a new situation where some educational and
pedagogical actions should be reinitiated. Stroke often causes a break away from
the previous lifestyle. It the acute phase it excludes the possibility of
employment or performance of household duties that were carried out before or
indulging in previously preferred ways of spending free time. Patients often
abandon the habits that they developed before stroke, inclusive of hygienic
habits. Therefore, it is an important objective of rehabilitation to reinstate in
stroke patients behaviours characteristic of their peers, which would mark the
beginning of their own care for health. The pedagogic and educational activities
should lead to a transformation in the patient. This could be one of the factors
in facilitating the patient's return to previous forms of activity. AIM: The aim
of this study was to analyze progress in patient's rehabilitation and
satisfaction, to assess impact of health education on higher satisfaction and
better knowledge in stroke patients as well as on their recovery. Another aim was
to assess the factors that maximize the patients' chances of returning to the
labor market. MATERIALS AND METHODS: The study involved 30 patients after stroke,
8 women and 22 men, over 40 years of age, who underwent either early or late
rehabilitation, the type of which affected the time of treatment. The minimal
duration of the patient's stay was 21 days, in which time an individually
tailored way of education, rehabilitation, treatment and care was implemented.
The study used a questionnaire and the Bartel and the Rankin scales. The subject
of the analysis consisted of 22 questions that were based on hypotheses. They
assessed the facts, the sources of information, knowledge and subjective feelings
of the patients concerning the education carried out by the rehabilitation team
and its impact on the patients' rehabilitation. RESULTS: A highly significant
(p<0,01) improvement to patients' health and an increase in their knowledge were
observed in the rehabilitation. CONCLUSIONS: During the rehabilitation patients
gained a significant improvement to their health, which resulted in more
independence in daily living. The implemented health education has an effect on
the scope of the patients' knowledge during and after rehabilitation, which
translates into a higher satisfaction from the patient's education. The acquired
knowledge and skills increase the chances of the patient to return to activities
and participation in social life at a satisfactory level. The members of the
rehabilitation team, especially the physicians, play an important role in health
education of patients.
PMID- 27883350
TI - Loeffler endocarditis in young woman - a case report.
AB - Loeffler endocarditis is a rare acquired endocardial and myocardial disease
characterized by a sharp decrease in the compliance of either or both ventricles
with an acute diastolic dysfunction and massive mural thrombosis. This disease is
presented in the classification of cardiomyopathies and is a variant of
restrictive cardiomyopathy. Today Loeffler endocarditis is considered as a
manifestation of hypereosinophilic syndrome with predominant heart involvement.
The life-time diagnosis of myocardial injury due to eosinophilic infiltration is
rare, or it is diagnosed at the stage of necrotizing endomyocarditis, when the
treatment is no longer effective. A number of issues regarding the individual
aspects of the pathogenesis of hypereosinophilic syndrome and Loeffler
endocarditis are still not fully understood, as well as the long-term prospects
for the use of drugs for the treatment of hypereosinophilic syndrome, especially
in young and middle-aged persons. Loeffler endocarditis can be suspected in the
presence of hypereosinophilia on the background of causeless (unexplainable)
hypertrophy of the left ventricle or both ventricles. The article includes a case
of the life-time diagnosis of this disease in a young woman with the
retrospective analysis of the early stages of the disease, echocardiographic and
radiologic imaging at the advanced stage of the disease and quite successful
treatment option for this disease.
PMID- 27883351
TI - [Rivaroxaban-resistant right ventricular thrombus, successfully treated with
vitamin K antagonist in a patient with dilated cardiomyopathy].
AB - : Rivaroxaban, a selective inhibitor of active factor X belongs to the group of
direct-acting oral anticoagulants (DOAC), more and more often replacing vitamin K
antagonists (VKA) in venous thromboembolic disease and nonvalvular atrial
fibrillation. Attempts are also being made to use DOAC to treat locally formed
intracardiac thrombi, mainly in the left atrium and its appendage, in atrial
fibrillation and in heart failure. Rarely diagnosed local right ventricular
thrombus (RVT) may be a complication of dilated cardiomyopathy (DCM). CASE
REPORT: The authors present a case of a 40-year-old male with DCM and RVT located
in the apex, which was imaged in echocardiography, magnetic resonance and
multislice computed tomography. During treatment with rivaroksaban (2x15 mg: 4
weeks; 1x20 mg: 4 months) diminishing of RVT was not observed. After 2 months of
VKA use complete resolution of RVT was noted. The case presented is probably the
first described RVT treated with rivaroxaban. The authors conclude that in some
cases, anticoagulation with VKA may be more effective than DOAC in intracardiac
thrombi therapy, especially when it is meticulously monitored. Overlapping effect
on RVT due to anticoagulants use with a different mechanism of action cannot be
excluded.
PMID- 27883352
TI - [Long-term prognosis in patients with vasovagal syncope after pacemaker
implantation].
AB - Patients with syncope, without presence of a structural or primary electrical
disease, have a very good prognosis. On the other hand, recurring syncope has a
negative impact on quality of life and involves a real risk of physical injuries.
Vasovagal syncope usually requires no medical therapy and the most commonly
recommended instruction include avoidance of fainting triggers, exercise that
mainly provoke leg muscles tension, increase salt consumption and drink indicated
amount of fluids. In the case cardioinhibitory type of vasovagal syncope the
pacemaker implantation can consider. Unfortunately, not all patients benefit from
this treatment. Medical society clearly highlights that proper qualification of
VVS patients is the most important factor for cardiostimulator implantation. This
article aims to summarize the most important research and guidelines concerning
cardiac pacing for patients with vasovagal syncope.
PMID- 27883354
TI - [The impact of the consumption of fiber and milk on the development of colorectal
carcinoma].
AB - Colorectal carcinoma (CRC) is a diet-related disease. The high incidence of CRC
is related to the excessive consumption of certain foods and a westernized
lifestyle of contemporary societies. Obesity and low physical activity remain
significant risk factors for CRC development. Molecular pathogenesis of CRC is
fairly well recognized, which contributes to the formulation of hypotheses and
conducting research on the participation of nutritional factors in the CRC
development. This contribution remains diverse and for many food components a
protective effect on colorectal carcinogenesis has been demonstrated. The aim of
this review is to present a relationship between consumption of two selected
components of the diet - fiber and milk - and the development of colorectal
carcinoma, based on the review of literature.
PMID- 27883353
TI - [Microscopic colitis - a frequent cause of chronic diarrhea].
AB - Chronic diarrhea is a very common problem in the general population. It requires
a physician to differentiate its causes and depending on its etiology referring
the patient to a hospital for diagnosis and subsequent treatment. One of the
causes of chronic diarrhea may be microscopic colitis, which is characterized by
the presence of clinical symptoms without endoscopic or radiological
abnormalities. Diagnosis is based on a histopathological examination of the colon
and thus clinical suspicion of the disease is so important for further diagnosis
and treatment, which is primarily based on the use of topical steroids such as
budesonide.
PMID- 27883355
TI - [Patient - doctor relationship from perspective of the Karpman drama triangle].
AB - Patients' confidence in doctors has been decreased for last years despite
successes of Polish medicine. It seems to be related to particular conditions of
patient - doctor relationship and patient's negative emotions may frequently
burden it from the beginning. They may allow an interpersonal game, the Drama
Triangle, to appear in the relationship. 3 persons are typically involved in the
game: a victim, a persecutor and a rescuer. All of them neither feel guilty about
the situation nor their activities are aimed at solving the crucial problem. It
maintains continuation of the game. Both patient and doctor are capable to attend
the game as any of the person mentioned above. Authors of the article think
frameworks of organization of the health care system should permit doctors not
only to tackle main disease but also to devote time individually tailored to
patient's emotional problems.
PMID- 27883357
TI - Corrigendum.
PMID- 27883359
TI - The Contributions of Dr. Alfred Gysi.
AB - This article is a historical overview of Dr. Alfred Gysi's contributions to the
profession in the areas of denture tooth and articulator design. His
understanding of occlusion and mandibular movement resulted in denture tooth
designs and occlusal concepts still in widespread use.
PMID- 27883358
TI - Negative pressure wound therapy inhibits inflammation and upregulates activating
transcription factor-3 and downregulates nuclear factor-kappaB in diabetic
patients with foot ulcerations.
AB - BACKGROUND: Negative pressure wound therapy (NPWT) is one of the most important
treatments for diabetic foot, but the underlying mechanisms of its benefits still
remain elusive. This study aims to evaluate the inflammatory signals involved in
the effects of negative pressure therapy on diabetic foot ulcers. METHODS: We
enrolled 22 patients with diabetic foot ulceration, 11 treated with NPWT and the
other 11 treated with traditional debridement. All patients were treated and
observed for 1 week. Granulation tissues were harvested and analyzed in both
groups, and then were histologically and immunohistochemically analyzed. Enzyme
linked immunosorbent assay, Western blot analysis, and real-time PCR were
performed to evaluate the expression of interleukin-6 (IL-6), tumor necrosis
factor alpha (TNF-alpha), inducible nitric oxide synthase (iNOS), nuclear factor
kappaB (NF-kappaB) p65, Ik B-alpha, and activating transcription factor-3 (ATF
3). RESULTS: After 7 days of treatment, NPWT could obviously promote diabetic
wound healing because of the mild inflammation and the dense cell-deposited
matrix. Meanwhile, NPWT significantly decreased the expression of TNF-alpha, IL
6, and iNOS (all P < .05). The result of Western blotting and real-time PCR
indicated that NPWT obviously decreased the level of Ik B-alpha and NF-kappaB
p65, and increased the level of ATF-3 (all P < .05). CONCLUSION: NPWT exerts an
anti-inflammatory effect, possibly through the suppression of proinflammatory
enzymes and cytokines resulting from Ik B-alpha inhibition and ATF-3 activation,
which may prevent the activation of the NF-kappaB pathway in human diabetic foot
wounds.
PMID- 27883356
TI - A human brain microphysiological system derived from induced pluripotent stem
cells to study neurological diseases and toxicity.
AB - Human in vitro models of brain neurophysiology are needed to investigate
molecular and cellular mechanisms associated with neurological disorders and
neurotoxicity. We have developed a reproducible iPSC-derived human 3D brain
microphysiological system (BMPS), comprised of differentiated mature neurons and
glial cells (astrocytes and oligodendrocytes) that reproduce neuronal-glial
interactions and connectivity. BMPS mature over eight weeks and show the critical
elements of neuronal function: synaptogenesis and neuron-to-neuron (e.g.,
spontaneous electric field potentials) and neuronal-glial interactions (e.g.,
myelination), which mimic the microenvironment of the central nervous system,
rarely seen in vitro before. The BMPS shows 40% overall myelination after 8 weeks
of differentiation. Myelin was observed by immunohistochemistry and confirmed by
confocal microscopy 3D reconstruction and electron microscopy. These findings are
of particular relevance since myelin is crucial for proper neuronal function and
development. The ability to assess oligodendroglial function and mechanisms
associated with myelination in this BMPS model provide an excellent tool for
future studies of neurological disorders such as multiple sclerosis and other
demyelinating diseases. The BMPS provides a suitable and reliable model to
investigate neuron-neuroglia function as well as pathogenic mechanisms in
neurotoxicology.
PMID- 27883360
TI - Bigger and Brighter Fluorenes: Facile pi-Expansion, Brilliant Emission and
Sensing of Nitroaromatics.
AB - pi-Expanded butterfly-like 2D fluorenes and 3D spirobifluorenes 1-5 were
synthesized via a DDQ-mediated oxidative cyclization strategy with a high
regioselectivity. Through structural modification via pi-expansion, it was
possible to achieve near-ultraviolet absorption, bright-blue emission, very high
near-unity fluorescence quantum yields in solution as well as in film states, and
deep-lying HOMO energy levels with excellent thermal stabilities. Furthermore,
these electron-rich compounds displayed a notable behavior towards sensing of
nitroaromatic explosives, such as picric acid, up to a detection limit of 0.2
ppb.
PMID- 27883362
TI - A risk factor-based model for upper aerodigestive tract cancers in India:
predicting and validating the receiver operating characteristic curve.
AB - BACKGROUND: A study was conducted to develop and validate a screening model using
risk scores to identify individuals at high risk for developing upper
aerodigestive tract (UADT) cancers in an Indian population. METHODS: A hospital
based case-control study (n = 480) was conducted in Pune, India. We assessed risk
factors for UADT cancers by administering a questionnaire through face-to-face
interviews. We developed a risk factor model based on the statistically
significant risk factors in multiple logistic regression. A total, single risk
score was calculated per individual based on the adjusted odds ratio for each of
their risk factors. Standard receiver operator characteristic curve was plotted
for the total score and the presence of UADT cancers. The stratification ability
of the model was determined using the c-statistic. The optimal criterion value
was determined at the point on curve at which the Youden's index was maximal.
Confidence intervals were calculated by bootstrapping. RESULTS: Total risk score
for each individual ranged from 0 to 26. Area under the receiver operating
characteristic curve (95.8; P < 0.001) suggests strong predictive ability. A risk
score criterion value of <=10 produced optimal sensitivity (93.5%), specificity
(71.1%), false-positive rate (28.8%), false-negative rate (6.4%), positive
predictive value (74.8%), and negative predictive value (96.6%). CONCLUSION: This
risk factor-based model has the potential of satisfactorily screening and
detection of UADT cancers at its early stage in a high-risk population like
India. The identified at-risk individuals can then be targeted for clinical
examination and for focused preventive/treatment measures at the hospital.
PMID- 27883361
TI - Adaptation to divergent larval diets in the medfly, Ceratitis capitata.
AB - Variation in diet can influence the timing of major life-history events and can
drive population diversification and ultimately speciation. Proximate responses
of life histories to diet have been well studied. However, there are scant
experimental data on how organisms adapt to divergent diets over the longer term.
We focused on this omission by testing the responses of a global pest, the
Mediterranean fruitfly, to divergent selection on larval diets of different
nutritional profiles. Tests conducted before and after 30 generations of
nutritional selection revealed a complex interplay between the effects of novel
larval dietary conditions on both plastic and evolved responses. There were
proximate-only responses to the larval diet in adult male courtship and the
frequency of copulation. Males on higher calorie larval diets consistently
engaged in more bouts of energetic courtship. In contrast, following selection,
larval development time, and egg to adult survival showed evidence of evolved
divergence between diet regimes. Adult body size showed evidence for adaptation,
with flies being significantly heavier when reared on their "own" diet. The
results show the multifaceted responses of individuals to dietary selection and
are important in understanding the extreme generalism exhibited by the medfly.
PMID- 27883364
TI - Determination of S-methyl-L-methionine (SMM) from Brassicaceae Family Vegetables
and Characterization of the Intestinal Transport of SMM by Caco-2 Cells.
AB - The objectives of the current study were to determine S-methyl-L-methionine (SMM)
from various Brassicaceae family vegetables by using validated analytical method
and to characterize the intestinal transport mechanism of SMM by the Caco-2
cells. The SMM is well known to provide therapeutic activity in peptic ulcers.
The amount of SMM from various Brassicaceae family vegetables ranged from 89.08
+/- 1.68 MUg/g to 535.98 +/- 4.85 MUg/g of dry weight by using validated ultra
performance liquid chromatography-electrospray ionization-mass spectrometry
method. For elucidating intestinal transport mechanism, the cells were incubated
with or without transport inhibitors, energy source, or a metabolic inhibitor.
Phloridzin and verapamil as inhibitors of sodium glucose transport protein
(SGLT1) and P-glycoprotein, respectively, were not responsible for cellular
uptake of SMM. Glucose and sodium azide were not affected by the cellular
accumulation of SMM. The efflux ratio of SMM was 0.26, implying that it is not
effluxed through Caco-2 cells. The apparent coefficient permeability (Papp ) of
SMM was 4.69 * 10-5 cm/s, indicating that it will show good oral absorption in in
vivo.
PMID- 27883363
TI - Sexual selection shapes development and maturation rates in Drosophila.
AB - Explanations for the evolution of delayed maturity usually invoke trade-offs
mediated by growth, but processes of reproductive maturation continue long after
growth has ceased. Here, we tested whether sexual selection shapes the rate of
posteclosion maturation in the fruit fly Drosophila melanogaster. We found that
populations maintained for more than 100 generations under a short generation
time and polygamous mating system evolved faster posteclosion maturation and
faster egg-to-adult development of males, when compared to populations kept under
short generations and randomized monogamy that eliminated sexual selection. An
independent assay demonstrated that more mature males have higher fitness under
polygamy, but this advantage disappears under monogamy. In contrast, for females
greater maturity was equally advantageous under polygamy and monogamy.
Furthermore, monogamous populations evolved faster development and maturation of
females relative to polygamous populations, with no detectable trade-offs with
adult size or egg-to-adult survival. These results suggest that a major aspect of
male maturation involves developing traits that increase success in sexual
competition, whereas female maturation is not limited by investment in traits
involved in mate choice or defense against male antagonism. Moreover, rates of
juvenile development and adult maturation can readily evolve in opposite
directions in the two sexes, possibly implicating polymorphisms with sexually
antagonistic pleiotropy.
PMID- 27883365
TI - Educational interventions for the management of cancer-related fatigue in adults.
AB - BACKGROUND: Cancer-related fatigue is reported as the most common and distressing
symptom experienced by patients with cancer. It can exacerbate the experience of
other symptoms, negatively affect mood, interfere with the ability to carry out
everyday activities, and negatively impact on quality of life. Educational
interventions may help people to manage this fatigue or to cope with this
symptom, and reduce its overall burden. Despite the importance of education for
managing cancer-related fatigue there are currently no systematic reviews
examining this approach. OBJECTIVES: To determine the effectiveness of
educational interventions for managing cancer-related fatigue in adults. SEARCH
METHODS: We searched the Cochrane Central Register of Controlled Trials
(CENTRAL), and MEDLINE, EMBASE, CINAHL, PsycINFO, ERIC, OTseeker and PEDro up to
1st November 2016. We also searched trials registries. SELECTION CRITERIA: We
included randomised controlled trials (RCTs) of educational interventions focused
on cancer-related fatigue where fatigue was a primary outcome. Studies must have
aimed to evaluate the effect of educational interventions designed specifically
to manage cancer-related fatigue, or to evaluate educational interventions
targeting a constellation of physical symptoms or quality of life where fatigue
was the primary focus. The studies could have compared educational interventions
with no intervention or wait list controls, usual care or attention controls, or
an alternative intervention for cancer-related fatigue in adults with any type of
cancer. DATA COLLECTION AND ANALYSIS: Two review authors independently screened
studies for inclusion and extracted data. We resolved differences in opinion by
discussion. Trial authors were contacted for additional information. A third
independent person checked the data extraction. The main outcome considered in
this review was cancer-related fatigue. We assessed the evidence using GRADE and
created a 'Summary of Findings' table. MAIN RESULTS: We included 14 RCTs with
2213 participants across different cancer diagnoses. Four studies used only
'information-giving' educational strategies, whereas the remainder used mainly
information-giving strategies coupled with some problem-solving, reinforcement,
or support techniques. Interventions differed in delivery including: mode of
delivery (face to face, web-based, audiotape, telephone); group or individual
interventions; number of sessions provided (ranging from 2 to 12 sessions); and
timing of intervention in relation to completion of cancer treatment (during or
after completion). Most trials compared educational interventions to usual care
and meta-analyses compared educational interventions to usual care or attention
controls. Methodological issues that increased the risk of bias were evident
including lack of blinding of outcome assessors, unclear allocation concealment
in over half of the studies, and generally small sample sizes. Using the GRADE
approach, we rated the quality of evidence as very low to moderate, downgraded
mainly due to high risk of bias, unexplained heterogeneity, and imprecision.There
was moderate quality evidence of a small reduction in fatigue intensity from a
meta-analyses of eight studies (1524 participants; standardised mean difference
(SMD) -0.28, 95% confidence interval (CI) -0.52 to -0.04) comparing educational
interventions with usual care or attention control. We found low quality evidence
from twelve studies (1711 participants) that educational interventions had a
small effect on general/overall fatigue (SMD -0.27, 95% CI -0.51 to -0.04)
compared to usual care or attention control. There was low quality evidence from
three studies (622 participants) of a moderate size effect of educational
interventions for reducing fatigue distress (SMD -0.57, 95% CI -1.09 to -0.05)
compared to usual care, and this could be considered clinically significant.
Pooled data from four studies (439 participants) found a small reduction in
fatigue interference with daily life (SMD -0.35, 95% CI -0.54 to -0.16; moderate
quality evidence). No clear effects on fatigue were found related to type of
cancer treatment or timing of intervention in relation to completion of cancer
treatment, and there were insufficient data available to determine the effect of
educational interventions on fatigue by stage of disease, tumour type or group
versus individual intervention.Three studies (571 participants) provided low
quality evidence for a reduction in anxiety in favour of the intervention group
(mean difference (MD) -1.47, 95% CI -2.76 to -0.18) which, for some, would be
considered clinically significant. Two additional studies not included in the
meta-analysis also reported statistically significant improvements in anxiety in
favour of the educational intervention, whereas a third study did not. Compared
with usual care or attention control, educational interventions showed no
significant reduction in depressive symptoms (four studies, 881 participants, SMD
-0.12, 95% CI -0.47 to 0.23; very low quality evidence). Three additional trials
not included in the meta-analysis found no between-group differences in the
symptoms of depression. No between-group difference was evident in the capacity
for activities of daily living or physical function when comparing educational
interventions with usual care (4 studies, 773 participants, SMD 0.33, 95% CI
0.10 to 0.75) and the quality of evidence was low. Pooled evidence of low quality
from two of three studies examining the effect of educational interventions
compared to usual care found an improvement in global quality of life on a 0-100
scale (MD 11.47, 95% CI 1.29 to 21.65), which would be considered clinically
significant for some.No adverse events were reported in any of the studies.
AUTHORS' CONCLUSIONS: Educational interventions may have a small effect on
reducing fatigue intensity, fatigue's interference with daily life, and general
fatigue, and could have a moderate effect on reducing fatigue distress.
Educational interventions focused on fatigue may also help reduce anxiety and
improve global quality of life, but it is unclear what effect they might have on
capacity for activities of daily living or depressive symptoms. Additional
studies undertaken in the future are likely to impact on our confidence in the
conclusions.The incorporation of education for the management of fatigue as part
of routine care appears reasonable. However, given the complex nature of this
symptom, educational interventions on their own are unlikely to optimally reduce
fatigue or help people manage its impact, and should be considered in conjunction
with other interventions. Just how educational interventions are best delivered,
and their content and timing to maximise outcomes, are issues that require
further research.
PMID- 27883366
TI - A Model Practice Template for Hydrotherapy in Labor and Birth.
PMID- 27883368
TI - Challenges in Research and Practice in Residential Long-Term Care.
PMID- 27883367
TI - A drop in the circulating concentrations of soluble receptor for advanced
glycation end products is associated with seroconversion to autoantibody
positivity but not with subsequent progression to clinical disease in children en
route to type 1 diabetes.
AB - BACKGROUND: Advanced glycation end products (AGEs) and their interaction with the
receptor for AGEs (RAGE) have been studied for their role in the pathogenesis and
complications of type 1 diabetes. Decreased concentrations of soluble RAGE
(sRAGE) have been reported in acute autoimmune inflammation. We set out to
analyze the changes in sRAGE concentration during preclinical diabetes in
children seroconverting to islet autoantibody positivity. METHODS: We measured
serum concentrations of sRAGE in 168 children who progressed to clinical disease
and 43 children who turned positive for at least 2 diabetes-associated
autoantibodies but remained nondiabetic. We analyzed the sRAGE before
seroconversion in the first autoantibody-positive sample and annually thereafter
until the diagnosis of type 1 diabetes or end of follow-up. RESULTS: Both groups
had similar sRAGE before seroconversion, but subsequently, sRAGE concentrations
were lower (P < .001) in the progressors. The progressors had significantly
higher sRAGE concentrations before than after seroconversion (P < .001). The
nonprogressors did not experience a similar decrease. The sRAGE concentrations
remained stable after seroconversion in both groups. CONCLUSIONS: These data
indicate that sRAGE may be involved in the initiation of beta-cell autoimmunity
but not in the progression from beta-cell autoimmunity to clinical disease.
PMID- 27883369
TI - Acinetobacter Prosthetic Joint Infection Treated with Debridement and High-Dose
Tigecycline.
AB - Prosthesis retention is not recommended for multidrug-resistant Acinetobacter
prosthetic joint infection due to its high failure rate. Nevertheless, replacing
the prosthesis implies high morbidity and prolonged hospitalization. Although
tigecycline is not approved for the treatment of prosthetic joint infection due
to multidrug resistant Acinetobacter baumannii, its appropriate use may preclude
prosthesis exchange. Since the area under the curve divided by the minimum
inhibitory concentration is the best pharmacodynamic predictor of its efficacy,
we used tigecycline at high dose, in order to optimize its efficacy and achieve
implant retention in 3 patients who refused prosthesis exchange. All patients
with prosthetic joint infections treated at our Institution are prospectively
registered in a database. Three patients with early prosthetic joint infection of
total hip arthroplasty due to multidrug resistant A. baumannii were treated with
debridement, antibiotics and implant retention, using a high maintenance dose of
tigecycline (100 mg every 12 hours). The cases were retrospectively reviewed. All
patients signed informed consent for receiving off-label use of tigecycline.
Tigecycline was well tolerated, allowing its administration at high maintenance
dose for a median of 40 days (range 30-60). Two patients were then switched to
minocycline at standard doses for a median of 3.3 months in order to complete
treatment. Currently, none of the patients showed relapse. Increasing the dose of
tigecycline could be considered as a means to better attain pharmacodynamic
targets in patients with severe or difficult-to-treat infections. Tigecycline at
high maintenance dose might be useful when retention of the implant is attempted
for treatment for prosthetic joint infections due to multidrug resistant
Acinetobacter. Although this approach might be promising, off-label use of
tigecycline should be interpreted cautiously until prospective data are
available. Tigecycline is probably under-dosed for the treatment of implant and
biofilm associated infections.
PMID- 27883370
TI - Epstein-Barr Virus Associated Hemophagocytic Syndrome after Scrub Typhus
Infection.
AB - There have been a small number of cases of scrub typhus-associated hemophagocytic
syndrome (HPS), most of which were treated successfully using adequate
antibiotics. Here, we report a case of Epstein-Barr virus (EBV)-associated HPS
after scrub typhus infection that was not improved using antirickettsial
treatment. A 73-year-old male who had been diagnosed with scrub typhus according
to an eschar and a positive serology was transferred to our institution because
of a persistent fever despite 7-day doxycycline therapy. Physical and laboratory
data showed hepatosplenomegaly, bicytopenia, hyperferritinemia, and
hypofibrinogenemia. A bone marrow examination (BM) revealed hypercellular marrow
with hemophagocytosis and histiocyte infiltration. EBV was detected in BM
aspirates using polymerase chain reaction. After a diagnosis of HPS was made, the
patient was treated successfully using high-dose steroids.
PMID- 27883371
TI - Severe Fever with Thrombocytopenia Syndrome Presenting with Hemophagocytic
Lymphohistiocytosis.
AB - Severe fever with thrombocytopenia syndrome (SFTS) is an emerging tick-borne
disease caused by the newly discovered SFTS Bunyavirus, and there have been no
case reports of SFTS patients presenting with hemophagocytic lymphohistiocytosis
(HLH) in the English literature. We report a case of SFTS presenting with HLH in
a 73-year-old immunocompetent male farmer. Although the patient had poor
prognostic factors for SFTS, such as old age and central nervous system symptoms,
he recovered fully with supportive care.
PMID- 27883372
TI - A Case of Transverse Myelitis Caused by Varicella Zoster Virus in an
Immunocompetent Older Patient.
AB - Varicella zoster virus (VZV) is a human neurotropic alphaherpesvirus that causes
chickenpox (varicella) in children. VZV reactivation may lead to neurological
complications, including transverse myelitis. However, transverse myelitis caused
by VZV reactivation is rare in immunocompetent patients. Herein, we report a case
of transverse myelitis caused by VZV in an immunocompetent older patient, and
confirmed this case by polymerase chain reaction. A 79-year-old woman visited our
service with complaints of weakness in the right lower leg, generalized vesicular
eruptions, and throbbing pain in the right flank for ten days. Spine MRI showed
transverse myelitis in the thoracic spine at level T4-T11. The patient was
treated with acyclovir and her neurological functions improved, except for
sensory impairment below level T10. For older patients, early and aggressive
antiviral treatment against VZV may be necessary even though these patients are
immunocompetent.
PMID- 27883373
TI - Clinical Impact of Mixed Respiratory Viral Infection in Children with Adenoviral
Infection.
AB - BACKGROUND: Although adenovirus (ADV) infection occurs steadily all year round in
Korea and the identification of respiratory viral coinfections has been
increasing following the introduction of multiplex real-time polymerase chain
reaction tests, the clinical impact of viral coinfection in children with ADV
infection has rarely been reported. MATERIALS AND METHODS: Medical records of
children diagnosed with ADV infection were retrospectively reviewed. The enrolled
children were divided into two groups based on the identified respiratory
viruses: ADV group and coinfection group. Clinical and laboratory parameters were
compared between the two groups. RESULTS: In total, 105 children (60 males and 45
females) with a median age of 29 months (range: 0-131 months) diagnosed with an
ADV infection were enrolled. Fever (99.0%) was by far the most frequent symptom,
followed by respiratory (82.9%), and gastrointestinal (22.9%) symptoms. Upper and
lower respiratory tract infections were diagnosed in 56 (53.3%), and 32 (30.5%)
children, respectively. Five (4.8%) children received oxygen therapy, and no
child died due to ADV infection. Coinfection was diagnosed in 32 (30.5%)
children, with rhinovirus (46.9%), and respiratory syncytial virus (21.9%) being
the most frequent. The proportions of children younger than 24 months (P <0.001),
with underlying medical conditions (P = 0.020), and diagnosed with lower
respiratory tract infection (P = 0.011) were significantly higher in the
coinfection group than in the ADV group. In a multivariate analysis, only the
younger age was significantly associated with coinfection (P <0.001). Although
more children in the coinfection group received oxygen therapy (P = 0.029), the
duration of fever and hospitalization was not significantly different between the
two groups. CONCLUSION: Respiratory viral coinfection with ADV occurred more
frequently in children younger than 24 months of age compared with children aged
24 months or older. Respiratory viral coinfection may increase the severity of
ADV infection, however, appropriate therapy prevented prolonged hospitalization
and poor prognosis due to coinfection.
PMID- 27883374
TI - Association between Body Mass Index and Sputum Culture Conversion among South
Korean Patients with Multidrug Resistant Tuberculosis in a Tuberculosis Referral
Hospital.
AB - BACKGROUND: Multidrug-resistant tuberculosis (MDR-TB) is an important global
health problem. Furthermore, the time to identify a positive sputum culture is an
important risk factor for the spread of tuberculosis, and several factors can
predict a prolonged time to culture conversion. Moreover, the relationship
between poor nutritional status and infectious disease is clearly established.
Therefore, the present study aimed to investigate the association between body
mass index (BMI) and sputum culture conversion within 3 months among patients
with MDR-TB. MATERIALS AND METHODS: We retrospectively evaluated 218 patients
with MDR-TB who were treated at a large tuberculosis referral hospital in South
Korea between January 2005 and December 2010. The outcome of interest was defined
as sputum culture conversion within 3 months, and we analyzed the association
between BMI and this outcome. RESULTS: Among the 218 patients, 53 patients
(24.3%) had a low BMI (<18.5 kg/m2). In the multivariate Cox proportional-hazards
regression analysis, failure to achieve sputum culture conversion within 3 months
was independently associated with having a low BMI (hazard ratio [HR]: 1.741, 95%
confidence interval [CI]: 1.006-3.013; P = 0.047) and a positive sputum smear at
the initiation of therapy (HR: 8.440, 95% CI: 1.146-62.138, P = 0.036).
CONCLUSION: Low BMI (<18.5 kg/m2) was an independent risk factor for failure to
achieve sputum culture conversion within 3 months among patients with MDR-TB.
PMID- 27883375
TI - Analysis of Risk Factors for Severe Acute Respiratory Infection and Pneumonia and
among Adult Patients with Acute Respiratory Illness during 2011-2014 Influenza
Seasons in Korea.
AB - BACKGROUND: The World Health Organization recommends the surveillance of
influenza-like illness (ILI) and severe acute respiratory infection (SARI) to
respond effectively to both seasonal influenza epidemics and pandemics. In Korea,
the "Hospital-based Influenza Morbidity and Mortality (HIMM)" surveillance system
has been operated to monitor ILI and SARI occurrences. MATERIALS AND METHODS: A
multi-center prospective observational study was conducted. Adult patients with
acute respiratory infection (ARI) were enrolled during the 2011-12, 2012-2013,
and 2013-2014 influenza seasons at the 10 university hospitals using the HIMM
surveillance system. With respect to SARI and pneumonia development, risk
profiles were analyzed in patients with ARI in Korea. RESULTS: A total of 5,459
cases were eligible for this analysis. Among 5,459 cases with ARI, 2,887 cases
(52.9%) were identified that they had influenza infection. Among enrolled cases,
750 cases belonged to the SARI group, while 4,709 cases belonged to the non-SARI
group. With respect to pneumonia development, 317 cases were accompanied by
pneumonia, and 5,142 cases were not. Multivariate analyses revealed that the
following factors were associated with an increased risk of SARI: Old age (>=65
years) (odds ratio [OR] 2.69, 95% confidence interval [CI] 2.2-3.32), chronic
heart disease (CHD) (OR 2.24, 95% CI 1.68-2.98), cerebrovascular disease (CVD)
(OR 1.49, 95% CI 1.05-2.10), chronic obstructive pulmonary disease (COPD) (OR
2.34, 95% CI 1.48-3.69), asthma (OR 2.33, 95% CI 1.62-3.36), chronic kidney
disease (CKD) (OR 2.62, 95% CI 1.73-3.99), chronic liver disease (OR 1.71, 95% CI
1.04-2.81), and autoimmune diseases (OR 2.53, 1.57-4.08). Multivariate analyses
revealed that the following factors were independent risk factors for pneumonia
development: Old age (>=65 years) (OR 5.71, 95% CI 4.10-7.94), CHD (OR 1.54, 95%
CI 1.07-2.22), COPD (OR 2.34, 95% CI 1.48-3.69), asthma (OR 2.33, 95% CI 1.62
3.36), CKD (OR 2.62, 95% CI 1.73-3.99), immunocompromised conditions (OR 3.12,
95% CI 1.47-6.62), and autoimmune diseases (OR 3.35, 95% CI 1.79-6.27). The risk
of SARI and pneumonia was increased by the number of concurrent chronic medical
conditions. CONCLUSION: The risk of SARI and pneumonia development among adult
patient with ARI was significantly increased by the presence or number of
concurrent chronic medical conditions in Korea.
PMID- 27883376
TI - Sensitivity of the Cytomegalovirus Antigenemia Assay to Diagnose Cytomegalovirus
Retinitis.
AB - BACKGROUND: Cytomegalovirus (CMV) retinitis is one of the most important tissue
invasive CMV diseases in immunocompromised patients. Since 1980, non-invasive
diagnostic methods, notably the CMV antigenemia assay, have been widely used as
adjunct tests to diagnose tissue-invasive CMV diseases. However, there are
limited data on the diagnostic value of the CMV antigenemia assay for diagnosing
CMV retinitis. MATERIALS AND METHODS: We performed a retrospective review of all
cases of CMV retinitis at Asan Medical Center, Seoul, South Korea over a 9-year
period. The diagnosis of CMV retinitis was made by experienced ophthalmologists
according to medical history and an ophthalmoscopic appearance of typical
retinopathy, together with absence of an alternative diagnosis. RESULTS: We
analyzed 44 patients with CMV retinitis (affecting 57 eyes) for whom the CMV
antigenemia assay was performed. Of the 44 patients, 31 (70%) were HIV-uninfected
and 13 (30%) were HIV-infected. The overall sensitivity of the CMV antigenemia
assay was 66% (95% confidence interval [CI] 50-80%). The test's sensitivity
showed a non-significant trend towards being higher in HIV-infected patients than
in HIV-uninfected patients (sensitivity 85% vs 58%, respectively, P = 0.16). In a
subgroup analysis of the 35 patients without other concurrent tissue-invasive CMV
disease, the sensitivity of the CMV antigenemia assay was 57% (95% CI 40-74%).
CONCLUSIONS: The CMV antigenemia assay has limited value as a non-invasive
diagnostic adjunct test for CMV retinitis. Therefore, the results of the assay
need to be interpreted in the context of underlying disease, clinical
presentation, and ophthalmoscopic findings.
PMID- 27883377
TI - Borrelia burgdorferi - morphological structure and motility as adaptation for
transmission and survival in the habitat of a tick-vertebrate setup
AB - Lyme borreliosis is a multisystem chronic disease caused by Borrelia burgdorferi
sensu lato (s.l.) spirochete transmitted by Ixodes. This bacterium has a
remarkable ability to survive in tick-vertebrate setup. Its infection causes
diagnostic and clinical difficulties. It was distinguished as a separate disease
entity over 30 years ago. Observations made by Steere et al. proved to be a
milestone since they found correlation between the occurrence of skin and joint
lesions with tick bites. Further studies showed that the disease affects not only
joints and skin, but also nervous and circulatory systems. Shortly afterwards, an
etiological factor was identified - spirochete isolated by W. Burgdorfer (from
ticks) as well as Steer and Benach (from blood). Research conducted by other
authors confirmed that the spirochete named after its discoverer (Borrelia
burgdorferi) is a common etiological factor for disease entities classified as
Lyme borreliosis. The high incidence of Lyme borreliosis among the residents of
endemic areas, along with diagnostic and therapeutic difficulties, make it a
serious academic, clinical and social problem. The present article elaborates on
bacterium structure and selected mechanisms facilitating the colonisation of
particular hosts. Knowledge of those processes might be useful in understanding
complex pathogenesis of lesions occurring in Lyme disease.
PMID- 27883378
TI - Wild animals as reservoirs of Anaplasma phagocytophilum for humans
AB - Anaplasma phagocytophilum is a tick-transmitted obligate-intracellular gram
negative bacteria that causes emerging human zoonosis. A. phagocytophilum is
transmitted by Ixodid ticks. Recent studies suggest that wild animals may be
reservoirs of A. phagocytophilum for humans. The organism infects and survives
within neutrophils. The infection diagnosis is based on the detection of morulae
within granulocytes of peripheral blood, results of serological tests and
detection of the DNA of A. phagocytophilum using specific polymerase chain
reaction assays (PCR). A. phagocytophilum in most cases is transmitted to people
by tick bites, but sometimes direct contact with infected blood may cause human
granulocytic anaplasmosis (HGA). The possibility of infection should be taken
into consideration at each occurrence of heavy disease symptoms after people come
into contact with ticks.
PMID- 27883379
TI - Epidemiological and clinical features of tick-borne encephalitis in north-western
region in Belarus
AB - THE PURPOSE OF THE STUDY: to present epidemiological features and clinical
manifestations of TBE in patients residing in Grodno region. MATERIALS AND
METHODS: A retrospective analysis of 124 case histories of patients with TBE in
"Grodno Regional Infectious Clinical Hospital" in 2010 - 2014. RESULTS:
Epidemiological features of tick-borne encephalitis are the prevalence of the
transmission mechanism of contamination (57.3%), involvement of residents of
Grodno and Grodno region (54.2%) of middle age (46.6+/-3.5 years) in the epidemic
process. Clinical features of the illness in 124 patients were the prevalence of
moderate forms of the illness (72%) in the form of meningeal (34.6%) and common
infectious (29%) clinical cases. CONCLUSIONS: Over the last 5 years in Grodno
region an increased incidence of tick-borne encephalitis exceeding republican
indices has been established. Among clinical cases there is an increase in severe
forms of neuroinfections and mixed tick-borne.
PMID- 27883411
TI - Acute liver failure during treatment of interferon alpha 2a chronic hepatitis B
and coinfection of parvovirus B19
AB - Parvovirus B19 infection is associated with a broad spectrum of clinical
manifestations among which some are well known but others remain controversial.
The role of this infection as a cause of acute hepatitis or exacerbation of
chronic liver disease requires discussion regarding its significance in a
strategy of prevention and treatment of patients with chronic hepatitis. Clinical
importance of this infection in patients with chronic hepatitis B treatedwith
pegylated interferon alpha 2a is still unclear but exactly in this population
significant complications during treatment may arise. Parvovirus B19 infection is
not rare among persons with chronic hepatitis B, therefore searching for co
infection should be placed in standard diagnostic procedures especially in case
of exacerbation of chronic hepatitis, pancytopaenia or anaemia of unknown origin.
Pegylated interferon alpha 2a still remains a gold standard of therapy of
patients with chronic hepatitis B according to European (EASL) and Polish
guidelines. We present a case of 35 years old woman treated with pegylated
interferon alpha 2a who developed acute liver failure in 23rd week of chronic
hepatitis B therapy. An exacerbation of hepatitis with encephalopathy and
pancytopaenia have been observed. Parvovirus B19 and HBV co-infection does not
increase the frequency of liver function abnormalities in patients with chronic
hepatitis B. Further investigations should be done to describe the natural course
of co-infection with parvovirus B19 and HBV and to establish possible association
between parvovirus B19 infection and chronic hepatitis B and also the influence
of interferon alpha 2a on the infections course.
PMID- 27883412
TI - Prolonged hospitalization of patients with hospital acquired pneumoniae in the
intensive care unit - morbidity, mortality and costs of
AB - BACKGROUND: The objective of this study was to determine epidemiological rates of
hospital-acquired pneumonia (HAP) in the Polish ICU and direct costs associated
with excess length of stay incurred by the hospital. MATERIAL AND METHODS: The
studied data concern patients hospitalized in the years 2010-2012 in a 9-bed ICU
of a multi-profile hospital in the Malopolskie Province. Centers for Disease
Control and Prevention diagnostic criteria were the basis for the development of
definitions of infections. RESULTS: HAP developed in 34 patients, of which 94.1%
were cases associated with the use of mechanical ventilation. Cumulative
incidence of HAP was 5.74%, and incidence density amounted to 3.000/00. Median
length of stay of patients with HAP amounted to 36 days and this value was more
than twenty percent higher than in the case of other forms of infection (27
days), and almost three times higher than in patients without infections (14
days). Annually, costs associated with the excess hospitalization of patients
with this form of infection should be evaluatedat over PLN 300,000 (72.290?) per
hospital; average per patient is PLN 29,106 (7013?). CONCLUSIONS: HAP is one of
the most common hospital-acquired infections in intensive care units. It is
connected with the greatest additional costs for hospital comparing to other
forms of HAIs. For patients, the occurrence of HAP in ICU patients involves the
highest risk of death. Detailed, targeted studies concerning financial burden of
HAI in Poland should be performed.
PMID- 27883872
TI - Evidence-based review, not change in usage patterns, should drive Medicare
Benefit Schedule (MBS) disinvestment decisions.
PMID- 27883873
TI - Doctors' attitudes regarding not for resuscitation orders.
AB - Objectives The aims of the present study were to investigate doctors' attitudes
regarding the discussion and writing of not for resuscitation (NFR) orders and to
identify potential barriers to the completion of these orders. Methods A
questionnaire-based convenience study was undertaken at a tertiary hospital.
Likert scales and open-ended questions were directed to issues surrounding the
discussion, timing, understanding and writing of NFR orders, including legal and
personal considerations. Results Doctors thought the presence of an NFR order
both should and does alter care delivered by nursing staff, particularly delivery
of pain relief, nursing observations and contacting the medical emergency team.
Eighty-five per cent of doctors believed they needed somebody else's consent to
write an NFR order (seeking of consent is not a requirement in most Australian
jurisdictions). Conclusion There are complex barriers to the writing and
implementation of NFR orders, including doctors' knowledge around the need for
consent when cardiopulmonary resuscitation is likely to be futile or excessively
burdensome. Doctors also believed that NFR orders result in changes to goals-of
care, suggesting a confounding of NFR orders with palliative care. Furthermore,
doctors are willing to write NFR orders where there is clear medical indication
and the patient is imminently dying, but are otherwise reliant on patients and
family to initiate discussion. What is known about the topic? Hospitalised
elderly patients, in the absence of an NFR order, are known to have poor survival
and outcomes following resuscitation. Further, Australian data on the prevalence
of NFR forms show that only a minority of older in-patients have a written NFR
order in their history. In Australian hospitals, NFR orders are completed by
doctors. What does this paper add? To our knowledge, the present study is the
first in Australia to qualitatively analyse doctors' reasons to writing NFR
orders. The open-text nature of this questioning has been important in eliciting
doctors' responses without hypothesis guessing bias. Further, we add to the
literature on the breadth of considerations doctors may encounter with regard to
NFR orders. What are the implications for practitioners? The findings indicate
the issues impeding decision making around cardiopulmonary resuscitation relate
to poor knowledge of the law, particularly around the issue of consent and
confounding NFR orders with provision of palliative care. Such barriers to the
completion of NFR orders expose elderly in-patients to futile and burdensome
resuscitation events. The findings suggest consideration be given to education
and training materials to inform doctors about jurisdictional law regarding
resuscitation documentation, support decision making around cardiopulmonary
resuscitation and promote goals-of-care discussions on admission.
PMID- 27883874
TI - Structured interdisciplinary bedside rounds do not reduce length of hospital stay
and 28-day re-admission rate among older people hospitalised with acute illness:
an Australian study.
AB - Objective Structured interdisciplinary bedside rounds (SIBR) are being
implemented across many hospitals in Australia despite limited evidence of their
effectiveness. This study evaluated the effect of SIBR on two interconnected
outcomes, namely length of stay (LOS) and 28-day re-admission. Methods In the
present before-after study of 3644 patients, twice-weekly SIBR were implemented
on two aged care wards. Although weekly case conferences were shortened during
SIBR, all other practices remained unchanged. Demographic, medical and frailty
measures were considered in appropriate analyses. Results There was no
significant difference in median (interquartile range) LOS before and during SIBR
(8 (5-15) vs 8 (4-15) days respectively; P=0.51). In an adjusted analysis, SIBR
had no effect on LOS (hazard ratio 0.97; 95% confidence interval 0.90-1.05). The
presence of dementia or delirium, or the ability to speak English, did not modify
the effect of SIBR (P>0.05 for all). Similarly, SIBR had no effect on 28-day re
admission rates (20.3% vs 19.0% before and during SIBR respectively; P=0.36).
Conclusions Although ineffective interdisciplinary communication is associated
with negative outcomes for patients and healthcare services, models of care that
aim to improve communication are not necessarily effective in reducing LOS or
early re-admission. Clinical services implementing SIBR are encouraged to
independently evaluate their effects. What is known about the topic? Ineffective
interdisciplinary communication may harm patients and increase LOS. Only two
publications have evaluated the implementation of SIBR, a new model of care that
aims to improve interdisciplinary communication and collaboration. One paper
reported that SIBR reduced unadjusted LOS and in-hospital mortality, whereas the
other found that SIBR improved teamwork, communication and staff efficiency. What
does this paper add? The effect of SIBR among acutely unwell older people on aged
care wards is unknown. The present study is the first to evaluate the effects of
SIBR in this population. It shows that the implementation of SIBR did not reduce
LOS or early re-admission, and suggests that existing communication strategies
may have weakened the effects of SIBR. What are the implications for
practitioners? Policies and practice that promote the addition of communication
strategies, such as SIBR, may not be effective in all patient populations. More
research is needed to determine whether SIBR reduce these and other outcomes,
particularly for services with weaker communication frameworks and protocols.
PMID- 27883875
TI - A case of urinary tract infection caused by Raoultella planticola after a
urodynamic study.
AB - Here we report the case of a patient who developed urinary tract infection after
a urodynamic study. The causative agent was Raoultella planticola, a rare
opportunistic pathogen that usually invades immunocompromised patients. While a
urinary tract infection with R. planticola has been previously described, this is
the first report in which an R. planticola infection developed after a urodynamic
study. We postulate that the mechanism of infection was direct invasion of the
urinary tract from contaminated urodynamic study equipment. Here, we discuss the
role played by isotonic solutions in facilitating bacterial reproduction.
PMID- 27883877
TI - Surgical Management of Progressive Hemifacial Atrophy With De-Epithelialized
Profunda Artery Perforator Flap: A Case Report.
AB - Progressive hemifacial atrophy (PHA) is a rare disorder characterized by slow,
unilateral atrophy of the soft tissues and bones of the craniofacial region. The
defect becomes more pronounced with age, leading to esthetic and functional
deficits. However, the proper timing and method of surgical reconstruction are
still debated. The correction of this defect markedly influencing the quality of
life of the patient can be achieved with less invasive to more invasive surgical
approaches. A 21-year-old female patient with hemifacial atrophy and extensive
alopecia presented to our clinic. Considering the body type and the expectations
of the patient, a profunda artery perforator flap was applied for the
reconstruction and esthetic improvement of the facial region. The facial
asymmetry attenuated after the reconvalescence period. This case shows that in
the up-to-date surgical treatment of severe PHA, the use of microvascular free
flaps may provide a better approach when trying to achieve an acceptable esthetic
result. This is the first time that a profunda artery perforator flap was used to
restore facial asymmetry caused by PHA.
PMID- 27883876
TI - Comprehensive Genomic Profiling of Central Giant Cell Lesions Identifies
Clinically Relevant Genomic Alterations.
AB - PURPOSE: Comprehensive genomic profiling (CGP) can simultaneously detect
clinically relevant genomic alterations (CRGAs) in hundreds of cancer-related
genes and direct treatment toward patient-specific therapy options for many
tumors. This pilot study aimed to use CGP to describe CRGAs present in central
giant cell lesions (CGCLs) to characterize any possible underlying genomic
drivers of CGCLs. MATERIALS AND METHODS: With institutional review board
approval, electronic medical records were searched for patients with
histologically confirmed CGCLs who underwent biopsy at Mayo Clinic from 2000
through 2014. Clinical characteristics were recorded from the medical records. At
least 50 ng of DNA was extracted from formalin-fixed paraffin-embedded archival
CGCL specimens by use of hybridization-capture, adaptor ligation-based libraries
targeting all exons from 315 cancer-related genes plus select introns from 28
genes commonly rearranged in cancer. Samples were sequenced to high, uniform
coverage and assessed for all 4 classes of genomic alterations: base
substitutions, small insertions and deletions, rearrangements, and copy number
alterations. RESULTS: Of 8 CGCL specimens, 3 (37.5%) harbored CRGAs, including
base substitutions in BRAF, GNAS, and KRAS that are predicted to be oncogenic. In
1 sample, focal high-level amplification of the MITF gene was detected.
Rearrangement in the PDGFRB gene was identified in a fourth sample, although the
significance of this alteration is uncertain. CONCLUSIONS: This pilot study shows
that a relatively high frequency of CRGAs (37.5%) can be identified in CGCLs by
use of CGP. Furthermore, 25% of CGCLs analyzed had somatic mutations predicted to
activate the mitogen-activated protein kinase signaling pathway, suggesting it
may be a driver of the aggressive behavior of these lesions. On the basis of this
study, genomic profiling of a larger cohort of CGCLs to validate these
observations, as well as correlate mutations with aggressive versus nonaggressive
biological behavior and therapeutic responses, appears warranted.
PMID- 27883878
TI - Effect of the Postpartum Hospital Environment on the Attainment of Mothers' and
Fathers' Goals.
AB - OBJECTIVE: To describe mothers' and fathers' goals in the postpartum period and
how the hospital environment enables or hinders the attainment of these goals.
DESIGN: A qualitative descriptive design was used to explore participants'
perceptions. SETTING: Mothers and fathers were recruited on the postpartum unit
of an urban hospital. PARTICIPANTS: Ten mothers and eight fathers participated.
METHODS: Semistructured interviews were conducted with mothers and fathers
individually. Data analysis occurred concurrently with data collection and
informed subsequent interviews. RESULTS: Mothers and fathers expressed similar
goals: developing parenting competence and fulfilling personal needs. Their
narratives indicated that the organizational environment with the mission to
promote and provide baby-friendly and family-centered care and the human
environment of responsive nursing were closely aligned with their goals. In
contrast, the routines of the unit and the physical environment were not
consistent with parents' goals or the mission of the organization. CONCLUSION:
Nurses have important advocacy roles in ensuring that all dimensions of the
postpartum environment support the ability of parents to attain their goals and
align with the philosophy of care of the organization.
PMID- 27883880
TI - The 40th Anniversary and Counting of the Nurses' Health Study.
PMID- 27883879
TI - Challenging Expected Patterns of Weight Loss in Full-Term Breastfeeding Neonates
Born by Cesarean.
AB - OBJECTIVE: To determine the mean weight loss (MWL) of newborns and to examine the
effect of weight loss > 7% on exclusive breastfeeding rates. DESIGN: A secondary
analysis from a retrospective case-control study of maternal hydration and
neonatal weight. SETTING: A Level III maternity hospital in the Northeast region
of the United States. PARTICIPANTS: A total of 286 women and their term
breastfeeding newborns born by cesarean. METHODS: Data were collected by chart
review from birth through Days 3 or 4. Newborns who lost more than 7% of birth
weight by Day 3 were included in the case group, and newborns who lost 7% or less
by Day 3 were included in the control group. A significance level of .05 was used
for all statistical analysis. RESULTS: Day 3 MWL for all newborns was 8% (n =
286, 7.9% +/- 2.2%). MWL for the control group (n = 121) was 6% (5.93% +/-
1.31%), and MWL for the case group (n = 165) was over 9% (9.35% +/- 1.38%).
Predominately breastfed newborns were still losing weight on Day 4 (MWL = 7.58%).
Newborns who lost 7% or less had little change in exclusive breastfeeding, from
87% to 80% by Day 4. In contrast, exclusive breastfeeding in newborns who lost
more than 7% dropped markedly, from 90% to 53% (p < .001). CONCLUSION: Weight
loss of more than 7% appears to be a normal phenomenon among full-term newborns.
When weight loss reached 7%, formula supplementation increased markedly.
Predominately breastfed newborns are most at risk for continued weight loss after
hospital discharge.
PMID- 27883882
TI - Editorial Comment.
PMID- 27883883
TI - Commendation for Interdisciplinary Peer Review.
PMID- 27883881
TI - Sofosbuvir and Daclatasvir Anti-Viral Therapy Fails to Clear HEV Viremia and
Restore Reactive T Cells in a HEV/HCV Co-Infected Liver Transplant Recipient.
PMID- 27883884
TI - Principles of Systems Biology, No. 11.
AB - This month: AI that learns patterns and facts, new protein-RNA and protein
protein relationships, engineering signaling and metabolism, and more variants of
Cas9.
PMID- 27883885
TI - Commendation for Exposing Key Advantage of Organ Chip Approach.
PMID- 27883886
TI - CHO Cells Can Make More Protein.
AB - A new comprehensive genome-scale metabolic model of Chinese hamster ovary cells
identifies pathways for improving biopharmaceutical protein production.
PMID- 27883887
TI - Signaling Tug-of-War Delivers the Whole Message.
AB - How do cells transmit biochemical signals accurately? It turns out, pushing and
pulling can go a long way.
PMID- 27883888
TI - Personalized Disease Models on a Chip.
AB - Organs-on-chips are beginning to serve as a useful platform for individualized
disease models in a way that minimizes patient-to-patient variability.
PMID- 27883889
TI - Inferring Cell-State Transition Dynamics from Lineage Trees and Endpoint Single
Cell Measurements.
AB - As they proliferate, living cells undergo transitions between specific
molecularly and developmentally distinct states. Despite the functional
centrality of these transitions in multicellular organisms, it has remained
challenging to determine which transitions occur and at what rates without
perturbations and cell engineering. Here, we introduce kin correlation analysis
(KCA) and show that quantitative cell-state transition dynamics can be inferred,
without direct observation, from the clustering of cell states on pedigrees
(lineage trees). Combining KCA with pedigrees obtained from time-lapse imaging
and endpoint single-molecule RNA-fluorescence in situ hybridization (RNA-FISH)
measurements of gene expression, we determined the cell-state transition network
of mouse embryonic stem (ES) cells. This analysis revealed that mouse ES cells
exhibit stochastic and reversible transitions along a linear chain of states
ranging from 2C-like to epiblast-like. Our approach is broadly applicable and may
be applied to systems with irreversible transitions and non-stationary dynamics,
such as in cancer and development.
PMID- 27883891
TI - Analysis of Cell Lineage Trees by Exact Bayesian Inference Identifies Negative
Autoregulation of Nanog in Mouse Embryonic Stem Cells.
AB - Many cellular effectors of pluripotency are dynamically regulated. In principle,
regulatory mechanisms can be inferred from single-cell observations of effector
activity across time. However, rigorous inference techniques suitable for noisy,
incomplete, and heterogeneous data are lacking. Here, we introduce stochastic
inference on lineage trees (STILT), an algorithm capable of identifying
stochastic models that accurately describe the quantitative behavior of cell fate
markers observed using time-lapse microscopy data collected from proliferating
cell populations. STILT performs exact Bayesian parameter inference and
stochastic model selection using a particle-filter-based algorithm. We use STILT
to investigate the autoregulation of Nanog, a heterogeneously expressed core
pluripotency factor, in mouse embryonic stem cells. STILT rejects the possibility
of positive Nanog autoregulation with high confidence; instead, model predictions
indicate weak negative feedback. We use STILT for rational experimental design
and validate model predictions using novel experimental data. STILT is available
for download as an open source framework from
http://www.imsb.ethz.ch/research/claassen/Software/stilt---stochastic-inference
on-lineage-trees.html.
PMID- 27883892
TI - Vimentin Intermediate Filaments Template Microtubule Networks to Enhance
Persistence in Cell Polarity and Directed Migration.
PMID- 27883890
TI - A Consensus Genome-scale Reconstruction of Chinese Hamster Ovary Cell Metabolism.
AB - Chinese hamster ovary (CHO) cells dominate biotherapeutic protein production and
are widely used in mammalian cell line engineering research. To elucidate
metabolic bottlenecks in protein production and to guide cell engineering and
bioprocess optimization, we reconstructed the metabolic pathways in CHO and
associated them with >1,700 genes in the Cricetulus griseus genome. The genome
scale metabolic model based on this reconstruction, iCHO1766, and cell-line
specific models for CHO-K1, CHO-S, and CHO-DG44 cells provide the biochemical
basis of growth and recombinant protein production. The models accurately predict
growth phenotypes and known auxotrophies in CHO cells. With the models, we
quantify the protein synthesis capacity of CHO cells and demonstrate that common
bioprocess treatments, such as histone deacetylase inhibitors, inefficiently
increase product yield. However, our simulations show that the metabolic
resources in CHO are more than three times more efficiently utilized for growth
or recombinant protein synthesis following targeted efforts to engineer the CHO
secretory pathway. This model will further accelerate CHO cell engineering and
help optimize bioprocesses.
PMID- 27883894
TI - An examination of the construct and predictive validity of the self-reported
speeding behavior model.
AB - The present study deals with the problem of speeding behavior on rural roads. The
purpose of the paper is to examine the construct validity and the internal
consistency and reliability of a questionnaire that measures the determinants of
speeding behavior. In addition, it aimed to test the predictive validity of a
modified theoretical framework of a theory of planned behavior (TPB) in relation
to speeding behavior. A total of 546 car drivers from five local communities in
the Republic of Srpska successfully completed the questionnaire after reading the
scenario. The principal component analysis revealed seven components interpreted
as: personal norm, perceived behavioral control, affective attitude toward
speeding, subjective norm, habit, descriptive norm, and cognitive attitude toward
speeding. A speeding behavior model was developed by structural equation
modeling. Personal norm, subjective norm, and affective attitudes were shown to
be important variables within the modified TPB in understanding speeding
behavior. Overall, the present findings provide significant support for the
concept of the modified theoretical framework of TPB in relation to speeding
behavior on rural roads. Implications for a speeding behavior model and
interventions are discussed.
PMID- 27883893
TI - Circadian alterations during early stages of Alzheimer's disease are associated
with aberrant cycles of DNA methylation in BMAL1.
AB - INTRODUCTION: Circadian alterations are prevalent in Alzheimer's disease (AD) and
may contribute to cognitive impairment, behavioral symptoms, and
neurodegeneration. Epigenetic mechanisms regulate the circadian clock, and
changes in DNA methylation have been reported in AD brains, but the pathways that
mediate circadian deregulation in AD are incompletely understood. We hypothesized
that aberrant DNA methylation may affect circadian rhythms in AD. METHODS: We
investigated DNA methylation, transcription, and expression of BMAL1, a positive
regulator of the circadian clock, in cultured fibroblasts and brain samples from
two independent cohorts of aging and AD. RESULTS: DNA methylation modulated
rhythmic expression of clock genes in cultured fibroblasts. Moreover, rhythmic
methylation of BMAL1 was altered in AD brains and fibroblasts and correlated with
transcription cycles. DISCUSSION: Our results indicate that cycles of DNA
methylation contribute to the regulation of BMAL1 rhythms in the brain. Hence,
aberrant epigenetic patterns may be linked to circadian alterations in AD.
PMID- 27883895
TI - Disentangling the roles of safety climate and safety culture: Multi-level effects
on the relationship between supervisor enforcement and safety compliance.
AB - Despite increasing attention to contextual effects on the relationship between
supervisor enforcement and employee safety compliance, no study has yet explored
the conjoint influence exerted simultaneously by organizational safety climate
and safety culture. The present study seeks to address this literature
shortcoming. We first begin by briefly discussing the theoretical distinctions
between safety climate and culture and the rationale for examining these
together. Next, using survey data collected from 1342 employees in 32 Italian
organizations, we found that employee-level supervisor enforcement,
organizational-level safety climate, and autocratic, bureaucratic, and
technocratic safety culture dimensions all predicted individual-level safety
compliance behaviors. However, the cross-level moderating effect of safety
climate was bounded by certain safety culture dimensions, such that safety
climate moderated the supervisor enforcement-compliance relationship only under
the clan-patronage culture dimension. Additionally, the autocratic and
bureaucratic culture dimensions attenuated the relationship between supervisor
enforcement and compliance. Finally, when testing the effects of technocratic
safety culture and cooperative safety culture, neither safety culture nor climate
moderated the relationship between supervisor enforcement and safety compliance.
The results suggest a complex relationship between organizational safety culture
and safety climate, indicating that organizations with particular safety cultures
may be more likely to develop more (or less) positive safety climates. Moreover,
employee safety compliance is a function of supervisor safety leadership, as well
as the safety climate and safety culture dimensions prevalent within the
organization.
PMID- 27883896
TI - The Importance of Planning in Motor Learning.
AB - The addition of differentiating follow-through motions can facilitate
simultaneous learning of multiple motor skills that would otherwise interfere
with each other. In this issue of Neuron, Sheahan and colleagues (2016)
demonstrate that it is the preparation, not execution, of different follow
through movements that separates motor memories and reduces interference.
PMID- 27883897
TI - hnRNPA2/B1 Function in Neurodegeneration: It's a Gain, Not a Loss.
AB - hnRNPA2B1 is linked to several neurodegenerative disorders, yet its roles in the
CNS have been largely unknown. In this issue of Neuron, Martinez et al. (2016)
present the first transcriptome-wide analysis of hnRNPA2B1 targets and function
in the nervous system.
PMID- 27883898
TI - Autophagosome Formation by Endophilin Keeps Synapses in Shape.
AB - Soukup et al. (2016), in this issue of Neuron, and Murdoch et al. (2016), in Cell
Reports, reveal an unexpected function for the endocytic protein endophilin in
autophagosome formation at synapses: preventing neurodegeneration and ataxia.
PMID- 27883899
TI - Cut Your Losses: Spastin Mediates Branch-Specific Axon Loss.
AB - In this issue of Neuron, Brill et al. (2016) demonstrate that, during synapse
elimination in the developing neuromuscular junction, branch-specific microtubule
destabilization results in arrested axonal transport and induces axon branch
loss. This process is mediated in part by the neurodegeneration-associated,
microtubule-severing protein spastin.
PMID- 27883900
TI - Primate Neurons Flex Their Musclin.
AB - Sensory experience evokes long-lasting changes in neural circuits through
activity-dependent gene expression. Ataman et al. (2016) report in Nature that
primates evolved novel transcriptional responses to neuronal activity, including
induction of musclin/osteocrin (OSTN), which may regulate specialized aspects of
primate neural circuits.
PMID- 27883903
TI - NR1H3 p.Arg415Gln Is Not Associated to Multiple Sclerosis Risk.
PMID- 27883904
TI - Enabling an Open Data Ecosystem for the Neurosciences.
PMID- 27883905
TI - Molecularly Defined Circuitry Reveals Input-Output Segregation in Deep Layers of
the Medial Entorhinal Cortex.
PMID- 27883901
TI - Tapping the Brakes: Cellular and Synaptic Mechanisms that Regulate Thalamic
Oscillations.
AB - Thalamic oscillators contribute to both normal rhythms associated with sleep and
anesthesia and abnormal, hypersynchronous oscillations that manifest behaviorally
as absence seizures. In this review, we highlight new findings that refine
thalamic contributions to cortical rhythms and suggest that thalamic oscillators
may be subject to both local and global control. We describe endogenous thalamic
mechanisms that limit network synchrony and discuss how these protective brakes
might be restored to prevent absence seizures. Finally, we describe how intrinsic
and circuit-level specializations among thalamocortical loops may determine their
involvement in widespread oscillations and render subsets of thalamic nuclei
especially vulnerable to pathological synchrony.
PMID- 27883902
TI - Circuit Mechanisms of Sensorimotor Learning.
AB - The relationship between the brain and the environment is flexible, forming the
foundation for our ability to learn. Here we review the current state of our
understanding of the modifications in the sensorimotor pathway related to
sensorimotor learning. We divide the process into three hierarchical levels with
distinct goals: (1) sensory perceptual learning, (2) sensorimotor associative
learning, and (3) motor skill learning. Perceptual learning optimizes the
representations of important sensory stimuli. Associative learning and the
initial phase of motor skill learning are ensured by feedback-based mechanisms
that permit trial-and-error learning. The later phase of motor skill learning may
primarily involve feedback-independent mechanisms operating under the classic
Hebbian rule. With these changes under distinct constraints and mechanisms,
sensorimotor learning establishes dedicated circuitry for the reproduction of
stereotyped neural activity patterns and behavior.
PMID- 27883907
TI - Bimodal concentration-dependent reactivity pattern of a glycosyl donor: Is the
solution structure involved?
AB - Changes in concentration (0.001-0.1 M) of an arabinofuranosyl donor (1) have been
shown to modulate the temperature T at which activation of 1 occurs (from -23
degrees C to +7 degrees C), the reaction time (from 1.5 h to 3 days) and the
yield of the disaccharide formed (from 14% to 82%). At concentrations exceeding
0.01 M, these parameters, as well as the specific optical rotation of the
solution of 1, virtually do not depend on concentration suggesting formation of
reacting species (supramers) of glycosyl donor with similar structures, hence
reactivities, but considerably different from those formed in more dilute
solutions. The found critical concentration (0.01 M) separates two concentration
ranges of reaction solutions corresponding to two types of solution structure
that are featured by the presence of fundamentally different supramers of
glycosyl donor, which have distinct chemical properties. These results allow a
fresh look at the problems of reactivity of chemical compounds and selectivity of
the reactions in which they participate.
PMID- 27883908
TI - Scalable preparation, characterization, and application of alkali-treated starch
as a new organic base catalyst.
AB - Preparation, characterization, and application of alkali starch (AS) given by dry
co-grinding of starch and alkali is described in this work. Grinding using a
mortar (agate) and pestle or, more conveniently, a ball mill has been found to be
satisfactory for the preparation of the AS. The AS products were characterized by
scanning electron microscopy (SEM) and Fourier transform infrared spectroscopy
(FTIR) and x-ray fluorescence (XRF) analyses. The base capacities of ASs were
4.25-4.45 mmol/g, respectively. AS is a low cost and easy to handle base catalyst
that showed promising catalytic performance in the synthesis of a
dihydroquinazoline-based antibacterial drug that involves tandem hydration or
decarboxylative amidation, imination, and Aza-Michael reactions.
PMID- 27883906
TI - Infant food applications of complex carbohydrates: Structure, synthesis, and
function.
AB - Professional health bodies such as the World Health Organization (WHO), the
American Academy of Pediatrics (AAP), and the U.S. Department of Health and Human
Services (HHS) recommend breast milk as the sole source of food during the first
year of life. This position recognizes human milk as being uniquely suited for
infant nutrition. Nonetheless, most neonates in the West are fed alternatives by
6 months of age. Although inferior to human milk in most aspects, infant formulas
are able to promote effective growth and development. However, while breast-fed
infants feature a microbiota dominated by bifidobacteria, the bacterial flora of
formula-fed infants is usually heterogeneous with comparatively lower levels of
bifidobacteria. Thus, the objective of any infant food manufacturer is to prepare
a product that results in a formula-fed infant developing a breast-fed infant
like microbiota. The goal of this focused review is to discuss the structure,
synthesis, and function of carbohydrate additives that play a role in governing
the composition of the infant microbiome and have other health benefits.
PMID- 27883909
TI - Anti-inflammatory effect of a fatty acid mixture with high omega-9:omega-6 ratio
and low omega-6:omega-3 ratio on rats submitted to dental extraction.
AB - OBJECTIVE: To evaluate the anti-inflammatory effect of pretreatment for three
days with a fatty acid mixture with high omega-9:omega-6 ratio and low omega
6:omega-3 ratio on rats submitted to dental extraction. MATERIAL AND METHODS:
Thirty-two male Wistar rats (270-310g) were randomly distributed in four groups
(n=8/group): the sham control group and the negative control group received
saline; the high omega-6/low omega-9 group received isolipid fatty acid with high
omega-6:omega-3 ratio and low omega-9:omega-6 ratio; the high omega-3/low omega-6
group received fatty acid with low omega-6:omega-3 ratio and high omega-9:omega-6
ratio. Saline and oils were administered by gavage for 4days before exodontia and
3days after surgery, followed by euthanasia. Masseter edema was evaluated
clinically and tissue samples were submitted to osteoclast count (H&E),
myeloperoxidase assay, and western blotting (tumor necrosis factor-alpha and
interleukin-1beta). RESULTS: In the high omega-3/low omega-6 group, a significant
decrease was observed in masseter edema (p<0.0001), myeloperoxidase (p<0.0001),
osteoclasts (p=0.0001) and TNF-alpha expression (p<0.0001), but not in IL-1beta
expression. CONCLUSION: The ingestion of fatty acid with high omega-9:omega-6
ratio and low omega-6:omega-3 ratio significantly reduced inflammatory response
in rats submitted to dental extraction.
PMID- 27883910
TI - Influence of reduced glutathione on end-joining of DNA double-strand breaks:
Cytogenetical and molecular approach.
AB - Radiation induced DNA double-strand breaks (DSB) are the major initial lesions
whose misrejoining may lead to exchange aberrations. However, the role of
glutathione (GSH), a major cellular thiol, in regulating cell's sensitivity to
DNA damaging agents is not well understood. Influence of endogenous GSH on the
efficiency of X-rays and bleomycin (Blem) induced DNA DSBs end-joining has been
tested here cytogenetically, in human lymphocytes and Hct116 cells. In another
approach, oligomeric DNA (75bp) containing 5'-compatible and non-compatible
overhangs mimicking the endogenous DSB were for rejoining in presence of cell
free extracts from cells having different endogenous GSH levels. Frequency of
aberrations, particularly exchange aberrations, was significantly increased when
Blem was combined with radiation. The exchange aberration frequency was further
enhanced when combined treatment was given at 4 degrees C since DNA lesions are
poorly repaired at 4 degrees C so that a higher number of DNA breaks persist and
interact when shifted from 4 degrees C to 37 degrees C. The exchange aberrations
increased further when the combined treatment was given to Glutathione-ester (GE)
pre-treated cells, indicating more frequent rejoining of DNA lesions in presence
of higher cellular GSH. This is further supported by the drastic reduction in
frequency of exchange aberrations but significant increase in incidences of
deletions when combined treatment was given to GSH-depleted cells. End-joining
efficiency of DNA DSBs with compatible ends was better than for non-compatible
ends. End-joining efficiency of testicular and MCF7 cell extracts was better than
that of lungs and Hct116 cells. Cell extract made from GE-treated MCF-7 cells
provided more efficient end-joining than from untreated and GSH-depleted cells.
However, direct addition of GSH to the cell-free extracts showed considerable
reduction in end-joining efficiency. Present data indicate that higher endogenous
GSH favours rejoining of DNA DSBs (both restitution and illegitimate reunion)
which in turn produce more exchange aberrations.
PMID- 27883911
TI - Examining the relationship between rapid automatized naming and arithmetic
fluency in Chinese kindergarten children.
AB - Rapid automatized naming (RAN) has been found to predict mathematics. However,
the nature of their relationship remains unclear. Thus, the purpose of this study
was twofold: (a) to examine how RAN (numeric and non-numeric) predicts a
subdomain of mathematics (arithmetic fluency) and (b) to examine what processing
skills may account for the RAN-arithmetic fluency relationship. A total of 160
third-year kindergarten Chinese children (83 boys and 77 girls, mean
age=5.11years) were assessed on RAN (colors, objects, digits, and dice),
nonverbal IQ, visual-verbal paired associate learning, phonological awareness,
short-term memory, speed of processing, approximate number system acuity, and
arithmetic fluency (addition and subtraction). The results indicated first that
RAN was a significant correlate of arithmetic fluency and the correlations did
not vary as a function of type of RAN or arithmetic fluency tasks. In addition,
RAN continued to predict addition and subtraction fluency even after controlling
for all other processing skills. Taken together, these findings challenge the
existing theoretical accounts of the RAN-arithmetic fluency relationship and
suggest that, similar to reading fluency, multiple processes underlie the RAN
arithmetic fluency relationship.
PMID- 27883913
TI - Water contamination with heavy metals and trace elements from Kilembe copper mine
and tailing sites in Western Uganda; implications for domestic water quality.
AB - The mining and processing of copper in Kilembe, Western Uganda, from 1956 to 1982
left over 15 Mt of cupriferous and cobaltiferous pyrite dumped within a mountain
river valley, in addition to mine water which is pumped to the land surface. This
study was conducted to assess the sources and concentrations of heavy metals and
trace elements in Kilembe mine catchment water. Multi-element analysis of trace
elements from point sources and sinks was conducted which included mine tailings,
mine water, mine leachate, Nyamwamba River water, public water sources and
domestic water samples using ICP-MS. The study found that mean concentrations (mg
kg-1) of Co (112), Cu (3320), Ni (131), As (8.6) in mine tailings were
significantly higher than world average crust and were being eroded and
discharged into water bodies within the catchment. Underground mine water and
leachate contained higher mean concentrations (MUg L-1) of Cu (9470), Co (3430)
and Ni (590) compared with background concentrations (MUg L-1) in un contaminated
water of 1.9, 0.21 and 0.67 for Cu, Co and Ni respectively. Over 25% of household
water samples exceeded UK drinking water thresholds for Al of 200 MUg L-1, Co
exceeded Winsconsin (USA drinking) water thresholds of 40 MUg L-1 in 40% of
samples while Fe in 42% of samples exceeded UK thresholds of 200 MUg L-1. The
study however found that besides mining activities, natural processes of
geological weathering also contributed to Al, Fe, and Mn water contamination in a
number of public water sources.
PMID- 27883914
TI - Association of outdoor air pollution and indoor renovation with early childhood
ear infection in China.
AB - BACKGROUND: Otitis media (OM) is a common infection in early childhood with
repeated attacks that lead to long-term complications and sequelae, but its risk
factors still remain unclear. OBJECTIVE: To examine the risk of childhood OM for
different indoor and outdoor air pollutants during different timing windows, with
a purpose to identify critical windows of exposure and key components of air
pollution in the development of OM. METHODS: We conducted a retrospective cohort
study of 1617 children aged 3-4 years in Changsha, China (2011-2012). Children's
life-time prevalence of OM and exposure to indoor air pollution related to home
renovation activities were surveyed by a questionnaire administered by the
parents. Children's exposure to outdoor air pollution, including nitrogen dioxide
(NO2), sulfur dioxide (SO2), and particulate matter with an aerodynamic diameter
<= 10 MUm (PM10), was estimated using the measured concentrations at municipal
monitoring stations. The odds ratio (OR) and 95% confidence interval (CI) of
childhood OM for prenatal and postnatal exposure to indoor and outdoor air
pollution were examined by using logistic regression model. RESULTS: Life-time
prevalence of OM in preschool children (7.3%) was associated not only with
prenatal exposure to industrial air pollutant with adjusted OR (95% CI) = 1.44
(1.09-1.88) for a 27 MUg/m3 increase in SO2 but also with postnatal exposure to
indoor renovations with OR (95% CI) = 1.62 (1.05-2.49) for new furniture and 1.81
(1.12-2.91) for redecoration, particularly in girls. Combined exposure to outdoor
SO2 and indoor renovation significantly increased OM risk. Furthermore, we found
that exposure to outdoor SO2 and indoor renovation were significantly associated
with the onset but not repeated attacks of OM. CONCLUSION: Prenatal exposure to
outdoor industrial air pollution and postnatal exposure to indoor renovation are
independently associated with early childhood OM in China and may cause the OM
onset.
PMID- 27883912
TI - Development of potential candidate reference materials for drugs in bottom
sediment, cod and herring tissues.
AB - Regular use of a reference material and participation in a proficiency testing
program can improve the reliability of analytical data. This paper presents the
preparation of candidate reference materials for the drugs metoprolol,
propranolol, carbamazepine, naproxen, and acenocoumarol in freshwater bottom
sediment and cod and herring tissues. These reference materials are not available
commercially. Drugs (between 7 ng/g and 32 ng/g) were added to the samples, and
the spiked samples were freeze-dried, pulverized, sieved, homogenized, bottled,
and sterilized by gamma-irradiation to prepare the candidate materials.
Procedures for extraction and liquid chromatography coupled with tandem mass
spectrometry were developed to determine the drugs of interest in the studied
material. Each target drug was quantified using two analytical procedures, and
the results obtained from these two procedures were in good agreement with each
other. Stability and homogeneity assessments were performed, and the relative
uncertainties due to instability (for an expiration date of 12 months) and
inhomogeneity were 10-25% and 4.0-6.8%, respectively. These procedures will be
useful in the future production of reference materials.
PMID- 27883915
TI - Novel mesoporous FeAl bimetal oxides for As(III) removal: Performance and
mechanism.
AB - In this study, novel mesoporous FeAl bimetal oxides were successfully
synthesized, characterized, and employed for As(III) removal. Batch experiments
were conducted to investigate the effects of Fe/Al molar ratio, dosage, and
initial solution pH values on As(III) removal. The results showed that the FeAl
bimetal oxide with Fe/Al molar ratio 4:1 (shorten as FeAl-4) can quickly remove
As(III) from aqueous solution in a wide pH range. The FeAl-4 before and after
reaction with As(III) was characterized by X-ray diffraction (XRD), scanning
electron microscopy (SEM), energy dispersive spectroscopy (EDS), transmission
electron microscopy (TEM), high-resolution transmission electron microscopy (HR
TEM) and selected area electron diffraction (SAED), Brunauer-Emmett-Teller (BET)
surface area measurement, and X-ray photoelectron spectroscopy (XPS). The BET
results showed that the original FeAl-4 with a high surface area of 223.9 m2/g
was a mesoporous material. XPS analysis indicated that the surface of FeAl-4
possessed a high concentration of M-OH (where M represents Fe and Al), which was
beneficial to the immobility of As(III). The excellent performance of FeAl-4
makes it a potentially attractive material for As(III) removal from aqueous
solution.
PMID- 27883917
TI - Osmotic versus conventional membrane bioreactors integrated with reverse osmosis
for water reuse: Biological stability, membrane fouling, and contaminant removal.
AB - This study systematically compares the performance of osmotic membrane bioreactor
- reverse osmosis (OMBR-RO) and conventional membrane bioreactor - reverse
osmosis (MBR-RO) for advanced wastewater treatment and water reuse. Both systems
achieved effective removal of bulk organic matter and nutrients, and almost
complete removal of all 31 trace organic contaminants investigated. They both
could produce high quality water suitable for recycling applications. During OMBR
RO operation, salinity build-up in the bioreactor reduced the water flux and
negatively impacted the system biological treatment by altering biomass
characteristics and microbial community structure. In addition, the elevated
salinity also increased soluble microbial products and extracellular polymeric
substances in the mixed liquor, which induced fouling of the forward osmosis (FO)
membrane. Nevertheless, microbial analysis indicated that salinity stress
resulted in the development of halotolerant bacteria, consequently sustaining
biodegradation in the OMBR system. By contrast, biological performance was
relatively stable throughout conventional MBR-RO operation. Compared to
conventional MBR-RO, the FO process effectively prevented foulants from
permeating into the draw solution, thereby significantly reducing fouling of the
downstream RO membrane in OMBR-RO operation. Accumulation of organic matter,
including humic- and protein-like substances, as well as inorganic salts in the
MBR effluent resulted in severe RO membrane fouling in conventional MBR-RO
operation.
PMID- 27883918
TI - Enhancement of bromate formation by pH depression during ozonation of bromide
containing water in the presence of hydroxylamine.
AB - This work investigated the fate of bromate formation during ozonation in the
presence of hydroxylamine (HA). Results indicated that pH depression, as a
commonly feasible control strategy for bromate formation during ozonation,
unexpectedly enhanced the bromate formation during ozonation in the presence of
HA. A dramatically high level of bromate was observed at acidic pH in the
ozone/HA process. The scavenging experiments demonstrated the essential role of
OH produced in the reaction of ozone with HA in bromate formation. In the
process, OH mainly oxidizes bromide to Br, which is further oxidized by ozone and
eventually converts to bromate. Further investigations suggested that the
unexpected enhancement on bromate formation by pH depression can be mainly
ascribed to the pH-dependent ozone decay, OH exposures and formation rate of Br.
As pH decreased from 7 to 5, the reduced OH scavenging capacity of HA led to
higher OH exposures, which contributed to the enhancement of bromate formation.
As pH decreased from 5 to 3, the enhanced formation rate of Br largely augmented
the formation of bromate. In addition, the ozone decay slowed down by pH
depression provided more available ozone for the oxidation of the formed Br to
bromate. The enhanced effect of pH depression on bromate formation was still
observed in the real water samples in the ozone/HA process. Accordingly, pH
depression might be avoided to control the bromate formation during ozonation in
the presence of HA.
PMID- 27883916
TI - Hydrogen sulfide ameliorates learning memory impairment in APP/PS1 transgenic
mice: A novel mechanism mediated by the activation of Nrf2.
AB - Beta-amyloid (Abeta) plaques and oxidative stress are associated with the
pathogenesis of Alzheimer's disease (AD). Hydrogen sulfide (H2S) has been
recognized as a cytoprotectant, which improves learning memory impairment and
exerts antioxidant effects in neurodegenerative disorders, including AD. The
experiment was projected to explore the effects of H2S on cognitive deficits,
Abeta levels and possible antioxidant mechanisms. Here, APP/PS1 transgenic mice
were injected sodium hydrosulfide (NaHS, a H2S donor, 2.8mg/kg) once a day for
three months. It was found that APP/PS1 transgenic mice exhibited cognitive
deficits and a large number of senile plaques, along with neurons decrease and
Abeta increase. However, intraperitoneal (i.p.) injection of NaHS improved
learning memory deficits, decreased the number of senile plaques, Abeta1-40 and
Abeta1-42 levels, suppressed neurons loss, together with up-regulated the levels
of cystathionine-beta-synthase (CBS) and 3-mercaptopyruvate-sulfurtransferase
(3MST). Furthermore, the protein levels of beta-amyloid precursor (APP) and beta
secretase 1 (BACE1) were dramatically restrained after administration of H2S. In
addition, H2S exerted antioxidant effects via up-regulation nuclear factor
erythroid-2-related factor 2 (Nrf2), heme oxygenase-1(HO-1) and glutathione S
transferase (GST). Taken together, these findings suggest that H2S ameliorates
learning memory impairment, decreases the number of senile plaques in APP/PS1
mice possibly through inhibition of Abeta production and activation of
Nrf2/antioxidant response element (ARE) pathway.
PMID- 27883919
TI - Bayesian belief network modelling of chlorine disinfection for human pathogenic
viruses in municipal wastewater.
AB - Chlorine disinfection of biologically treated wastewater is practiced in many
locations prior to environmental discharge or beneficial reuse. The effectiveness
of chlorine disinfection processes may be influenced by several factors, such as
pH, temperature, ionic strength, organic carbon concentration, and suspended
solids. We investigated the use of Bayesian multilayer perceptron (BMLP) models
as efficient and practical tools for compiling and analysing free chlorine and
monochloramine virus disinfection performance as a multivariate problem.
Corresponding to their relative susceptibility, Adenovirus 2 was used to assess
disinfection by monochloramine and Coxsackievirus B5 was used for free chlorine.
A BMLP model was constructed to relate key disinfection conditions (CT, pH,
turbidity) to observed Log Reduction Values (LRVs) for these viruses at constant
temperature. The models proved to be valuable for incorporating uncertainty in
the chlor(am)ination performance estimation and interpolating between operating
conditions. Various types of queries could be performed with this model including
the identification of target CT for a particular combination of LRV, pH and
turbidity. Similarly, it was possible to derive achievable LRVs for combinations
of CT, pH and turbidity. These queries yielded probability density functions for
the target variable reflecting the uncertainty in the model parameters and
variability of the input variables. The disinfection efficacy was greatly
impacted by pH and to a lesser extent by turbidity for both types of
disinfections. Non-linear relationships were observed between pH and target CT,
and turbidity and target CT, with compound effects on target CT also evidenced.
This work demonstrated that the use of BMLP models had considerable ability to
improve the resolution and understanding of the multivariate relationships
between operational parameters and disinfection outcomes for wastewater
treatment.
PMID- 27883920
TI - Nano-exploration of organic conditioning film formed on polymeric surfaces
exposed to drinking water.
AB - Adsorption of organic macromolecules onto surfaces in contact with waters forms a
so-called conditioning film and induces modifications of the surface properties.
Here, we characterized conditioning films formed onto two hydrophobic materials
(used as pipe liner) and immersed for 24 h in tap water. Using combination of
atomic force microscopy (AFM), and chemical force microscopy (CFM), we detected
some changes in roughness and hydrophilic/hydrophobic balance of the surface of
the tested coupons, and also the deposition of numerous organic polymers (few
millions/cm2) randomly distributed on the surface. The maximum molecular
extension of these organic polymers was in the range of 250-1250 nm according to
the tested materials. Systematic analysis of the force curves with the
theoretical models (WLC and FJC) allowed determining the proportion of rupture
events related to the unfolding of both polysaccharide and polypeptide segments,
which represented 75-80% and 20-25% of the analyzed curves, respectively. The
number of autochthonous drinking water bacteria, which attached to the material
within the same period of time was 10000-folds lower than the detected number of
polymers attached to the surface. Even in drinking water systems with relatively
low organic matter (dissolved organic carbon < 1.1 mg/L), the potential of
formation of a conditioning biofilm is important.
PMID- 27883921
TI - How well is microlitter purified from wastewater? - A detailed study on the
stepwise removal of microlitter in a tertiary level wastewater treatment plant.
AB - Wastewater treatment plants (WWTPs) can offer a solution to reduce the point
source input of microlitter and microplastics into the environment. To evaluate
the contributing processes for microlitter removal, the removal of microlitter
from wastewater during different treatment steps of mechanical, chemical and
biological treatment (activated sludge) and biologically active filter (BAF) in a
large (population equivalent 800 000) advanced WWTP was examined. Most of the
microlitter was removed already during the pre-treatment and activated sludge
treatment further decreased the microlitter concentration. The overall retention
capacity of studied WWTP was over 99% and was achieved after secondary treatment.
However, despite of the high removal performance, even an advanced WWTP may
constitute a considerable source of microlitter and microplastics into the
aquatic environment given the large volumes of effluent discharged constantly.
The microlitter content of excess sludge, dried sludge and reject water were also
examined. According to the balance analyses, approximately 20% of the microlitter
removed from the process is recycled back with the reject water, whereas 80% of
the microlitter is contained in the dried sludge. The study also looked at easy
microlitter sampling protocol with automated composite samplers for possible
future monitoring purposes.
PMID- 27883922
TI - Technical and environmental evaluation of an integrated scheme for the co
treatment of wastewater and domestic organic waste in small communities.
AB - A technical and environmental evaluation of an innovative scheme for the co
treatment of domestic wastewater and domestic organic waste (DOW) was undertaken
by coupling an upflow anaerobic sludge blanket (UASB), a sequencing batch reactor
(SBR) and a fermentation reactor. Alternative treatment configurations were
evaluated with different waste collection practices as well as various schemes
for nitrogen and phosphorus removal. All treatment systems fulfilled the required
quality of the treated effluent in terms of chemical oxygen demand (COD) and
total suspended solids (TSS) concentrations. However, only the configurations
performing the short-cut nitrification/denitrification with biological phosphorus
removal met the specifications for water reuse. The environmental assessment
included the analysis of impacts on climate change (CC), freshwater
eutrophication (FE) and marine eutrophication (ME). A functional unit (FU) of
2000 people receiving treatment services was considered. The most relevant
sources of environmental impacts were associated to the concentration of
dissolved methane in the UASB effluent that is emitted to the atmosphere in the
SBR process (accounting for 41% of impacts in CC), electricity consumption,
mainly for aeration in the SBR (representing 14% of the impacts produced in CC),
and the discharge of the treated effluent in receiving waters (contributing 98%
and 57% of impacts in FE and ME, respectively). The scheme of separate waste
collection together with biological nitrogen removal and phosphorus uptake via
nitrite was identified as the best configuration, with good treated effluent
quality and environmental impacts lower than those of the other examined
configurations.
PMID- 27883923
TI - Gilles de la Tourette syndrome is associated with hypermethylation of the
dopamine D2 receptor gene.
AB - Several lines of evidence support a "dopaminergic hypothesis" in the
pathophysiology of Gilles de la Tourette syndrome (TS). The aim of this study was
to investigate for the first time epigenetic changes in DNA methylation in
different dopamine genes in adult patients with TS. We included 51 well
characterized adult patients with TS (41 males, 10 females, mean age = 35 +/-
12.6 years, range, 18-71 years) and compared results with data from a group of 51
sex- and age-matched healthy controls. Bisulfite sequencing was used to measure
peripheral DNA methylation of the dopamine transporter (DAT), the dopamine D2
receptor (DRD2), and the catechol-O-methyltransferase (COMT) genes. Compared to
healthy controls, patients with TS showed significantly elevated methylation
level of the DRD2 gene that positively correlated with tic severity. In contrast,
DAT methylation was lower in more severely affected patients. Our results provide
evidence for a role of altered epigenetic regulation of dopaminergic genes in the
pathophysiology of TS. While DRD2 hypermethylation seems to be directly related
to the neurobiology of TS that may lead to dopaminergic dysfunction resulting in
enhanced thalamo-cortical movement-stimulating activity, DAT hypomethylation
might reflect a secondary mechanism in order to compensate for increased
dopaminergic signal transduction due to DRD2 hypermethylation. In addition, it
can be speculated that spontaneous fluctuations of tics may be caused by short
term alterations of methylation levels of dopaminergic genes resulting in dynamic
changes of tonic/phasic dopaminergic signaling in the striatum and thalamo
cortical output pathways.
PMID- 27883924
TI - Physiological effects of epigallocatechin-3-gallate (EGCG) on energy expenditure
for prospective fat oxidation in humans: A systematic review and meta-analysis.
AB - Green tea catechins (GTCs) are known to improve fat oxidation (FOX) during
fasted, rested and exercise conditions wherein epigallocatechin-3-gallate (EGCG)
is thought to be the most pharmacologically active and has been studied
extensively. From the available data of randomized controlled trials (RCTs) on
EGCG, we carried out a systematic review and meta-analysis to elucidate whether
EGCG consumption indeed increase energy expenditure (EE) and promote FOX. A
systematic review of the literature was conducted using electronic databases
(PubMed, Embase, Cochrane Library, CINAHL, JICST, JSTPLUS, and JMEDPLUS and
others) and eight RCTs were included. RCTs were reviewed using Preferred
Reporting Items for Systematic Reviews and Meta-Analyses guidelines and
methodological quality was assessed. After data extraction, results were
aggregated using fixed- and random-effect approaches and expressed to quantify
the relationship between the dose of EGCG for respiratory quotient (RQ), EE and
rate of FOX to compare the EGCG and placebo treatments. The meta-analysis results
of verities of studies in terms of dose and length of duration revealed that EGCG
supplementation provided significant mean difference (MD) when compared with
placebo for RQ [MD: -0.02; 95% confidence intervals (95% CI), -0.04 to 0.00;
I2=67%; P=.01] and EE [MD: 158.05 kJ/day; 95% CI, 4.72 to 311.38; I2=0%; P=.04]
in fixed-effect approach. Changes in FOX did not reach the level of statistical
significance. Meta-analyses of EGCG influence on the body mass index, waist
circumference and total body fat mass (TBFM) were also examined and their impact
on the promotion of FOX is reported. Effect of EGCG doses was also systematically
reviewed. Finding showed that EGCG intake moderately accelerates EE and reduces
RQ. The analyses revealed that the EGCG resulted in difference in RQ and EE but
the effect on the other measures of energy metabolism was relatively mild.
Possibly, EGCG alone has the potential to increase metabolic rate at 300 mg dose.
Collectively, the outcome supports the findings that EGCG has an effect on
metabolic parameters. However, the large prospective trials are needed to confirm
the findings.
PMID- 27883925
TI - Factors associated with failure of oncology drugs in late-stage clinical
development: A systematic review.
AB - BACKGROUND: We aimed to describe the reasons for failure of experimental
anticancer drugs in late-stage clinical development. MATERIAL AND METHODS: We
searched the PharmaProjects database
(https://citeline.com/products/pharmaprojects/) for anticancer drugs discontinued
between 01/01/2009 and 06/30/2014. Drug programs that reached phase III trials,
but never gained Food and Drug Administration (FDA) approval were compared to 37
anti-cancer drugs achieving FDA approval in this time period. RESULTS: Forty-two
drugs fit our criteria for development failures. These failed drugs (49%
targeted, 23% cytotoxics, and 28% other) were tested in 43 cancer indications
(drug programs). Only 16% (7/43) of failed drug programs adopted a biomarker
driven rationale for patient selection versus 57% (21/37) of successful drug
programs (P<0.001). Phase II trial information was available in 32 of 43 failed
drug programs and in 32 of 37 successful programs. Nine of the 32 trials (28%) of
failed drugs versus 28 of 32 trials (87%) of successful drugs (P<0.001) achieved
proof of concept (single agent response rate (RR) ?20% or combination therapy
showing a ?20% RR increase above the median historical RR without the
experimental agent (with a minimal absolute increase of 5%) or a randomized phase
II trial showing significance (P?0.05) for its primary outcome). No pattern of
study sites, trial design or funding characteristics emerged from the failed drug
analysis. CONCLUSION: For drugs that reached Phase III, lack of a biomarker
driven strategy and failure to attain proof of concept in phase II are potential
risk factors for later discontinuation, especially for targeted agents.
PMID- 27883926
TI - Application of genetically engineered Salmonella typhimurium for interferon-gamma
induced therapy against melanoma.
AB - Salmonella have been experimentally used as anti-cancer agents, because they show
selective growth in tumours. In this study, we genetically modified attenuated
Salmonella typhimurium to express and secrete interferon-gamma (IFN-gamma) as a
tumouricidal agent to enhance the therapeutic efficacy of Salmonella. IFN-gamma
was fused to the N-terminal region (residues 1-160) of SipB (SipB160) for
secretion from bacterial cells. Attenuated S. typhimurium expressing recombinant
IFN-gamma (S. typhimurium (IFN-gamma)) invaded the melanoma cells and induced
cytotoxicity. Subcutaneous administration of S. typhimurium (IFN-gamma) also
efficiently inhibited tumour growth and prolonged the survival of C57BL/6 mice
bearing B16F10 melanoma compared with administration of phosphate-buffered saline
(PBS), unmodified S. typhimurium or S. typhimurium expressing empty vector (S.
typhimurium [Vec]) in a natural killer (NK) cell-dependent manner. Moreover,
genetically modified Salmonella, including S. typhimurium (IFN-gamma), showed
little toxicity to normal tissues with no observable adverse effects. However, S.
typhimurium (IFN-gamma)-mediated tumour suppression was attributed to direct
killing of tumour cells rather than to stable anti-tumour immunity. Collectively,
these results suggest that tumour-targeted therapy using S. typhimurium (IFN
gamma) has potential for melanoma treatment.
PMID- 27883928
TI - Graduate entry nurses' initial perspectives on nursing: Content analysis of open
ended survey questions.
AB - BACKGROUND: Graduate entry nursing courses offer individuals with prior degrees
the opportunity to gain nursing qualifications and facilitate career change.
While it is known that accelerated graduate entry courses are increasingly
popular, the perceptions of nursing held by such individuals and the influence
this has on those seeking to enter the profession are less clearly understood.
OBJECTIVE: To explore graduate entry nursing students' perceptions of nursing on
entering their pre-registration course. DESIGN: A descriptive design utilising
cross-section survey with two open-ended questions: What do you believe the role
of the nurse is? What things have influenced that view? were asked. Demographic
data were analysed using descriptive frequencies, while the two open-ended
questions were analysed using summative content analysis. SETTING: One university
based postgraduate graduate entry nursing course in Australia PARTICIPANTS: Eight
cohorts (n=286) commencing students with prior degrees other than nursing.
RESULTS: The course attracts students from diverse backgrounds. Exposure to
nursing and nurses, either as a consumer of health care or other health care
role, plays a primary role in influencing career change. However, similar to
those found with school leavers, there remains much misinformation about nurses'
roles for students in these courses. Most identify the role of caring in nursing.
For some, media representations are the only information sources. DISCUSSION:
Graduate entry courses offer opportunities to attract new nurses and contribute
to addressing workforce shortages. However, there is still a lack of knowledge of
nursing roles among students on entry. More work is required by the profession to
ensure nursing is accurately and positively represented to the community.
PMID- 27883927
TI - Randomized pilot trial of a cognitive-behavioral alcohol, self-harm, and HIV
prevention program for teens in mental health treatment.
AB - Adolescents with mental health conditions represent a high-risk group for
substance use, deliberate self-harm (DSH), and risky sexual behavior. Mental
health treatment does not uniformly decrease these risks. Effective prevention
efforts are needed to offset the developmental trajectory from mental health
problems to these behaviors. This study tested an adjunctive cognitive-behavioral
family-based alcohol, DSH, and HIV prevention program (ASH-P) for adolescents in
mental healthcare. A two group randomized design was used to compare ASH-P to an
assessment only control (AO-C). Participants included 81 adolescents and a
parent. Assessments were completed at pre-intervention as well as 1, 6, and 12
months post-enrollment, and included measures of family-based mechanisms and high
risk behaviors. ASH-P relative to AO-C was associated with greater improvements
in most family process variables (perceptions of communication and parental
disapproval of alcohol use and sexual behavior) as well as less DSH and greater
refusal of sex to avoid a sexually transmitted infection. It also had a moderate
(but non-significant) effect on odds of binge drinking. No differences were found
in suicidal ideation, alcohol use, or sexual intercourse. ASH-P showed initial
promise in preventing multiple high-risk behaviors. Further testing of prevention
protocols that target multiple high-risk behaviors in clinical samples is
warranted.
PMID- 27883929
TI - Happy to help/happy to be here: Identifying components of successful clinical
placements for undergraduate nursing students.
AB - INTRODUCTION: The clinical placement learning environment is a critical component
of nursing education where Australian nursing students spend a minimum of 800h.
Identifying components of successful clinical placements for undergraduate
nursing students is therefore paramount. PURPOSE: To assess nursing students'
views of the learning environment during clinical placement with an emphasis on
the pedagogical atmosphere, leadership style of the ward manager, and premises of
nursing on the unit or ward. MATERIAL AND METHODS: The study used Clinical
Learning Environment, Supervision and nurse teacher (CLES+T) questionnaire to
examine 150 final year undergraduate students' perceptions of the clinical
placement learning environment. The questionnaire was anonymous and completed by
the students at the end of their clinical placement. The statistical program SPSS
v22 was used. Principal components analysis (PCA) for data reduction was run on
the 42-question section of the first dimension ('pedagogical atmosphere on the
ward') of the questionnaire that measured the perceptions of the learning
environment of the clinical placement of the 150 final-year undergraduate nursing
students. The comments sections of the factors were subjected to interpretive
content analysis to create the themes for the two components. RESULTS: Principle
Component Analysis revealed two components that had eigenvalues greater than one:
'Happy to Help' Component 1 and 'Happy to be Here' Component 2. These components
were statistically significant (p<0.0005), using Bartlett's Test of Sphericity
indicating that the data was likely factorizable. These components scored higher
than any other related factors. CONCLUSIONS: Student nurses value a welcoming
workplace where staff and educators are happy to help and have a positive
attitude to student presence on the wards. More than any other factors these ward
based factors appear to have the strongest influence on student satisfaction.
PMID- 27883930
TI - Reconciling community-based Indigenous research and academic practices: Knowing
principles is not always enough.
AB - Historically, Indigenous health research in Canada has failed to engage
Indigenous peoples and communities as primary stakeholders of research evidence.
Increasingly, research ethics and methodologies are being positioned as tools for
Indigenous self-determination. In response, mainstream institutions have
developed new ethical principles for research involving Indigenous people. While
these transformations are necessary steps towards re-orienting research
practices, they are not prescriptive. In this paper, we make visible three
dilemmas from a case study in which Indigenous health research frameworks
provided limited guidance or were unclear about how to balance community
priorities with Indigenous research principles. We also discuss the strategies
used to resolve each of these dilemmas. We draw examples from a project that
examined the lived experiences of children and youth living with FASD and their
caregivers. This project was conducted in collaboration with Sheshatshiu Innu
First Nation, an Indigenous community in Labrador, Canada. In doing so, we argue
that knowing the key guiding principles in Indigenous health research is not
always enough, and that the 'real-world' context of practices and relationships
can lead to conflicts that are not easily resolved with adherence to these
principles.
PMID- 27883931
TI - Disassembly of amphiphilic small molecular prodrug with fluorescence switch
induced by pH and folic acid receptors for targeted delivery and controlled
release.
AB - We develop a new type of pH-responsive amphiphilic small molecular prodrug by
conjugating folic acid with anti-tumour doxorubicin via a hydrazone bond. This
prodrug is featured by high and precise drug loading (55.4wt%), which can self
assemble into micellar nanoparticles in neutral environment while disassemble in
the presence of tumour cells expressing folic acid receptors or the acidic
tumoral endosomal environment. The prodrug nanoparticles can effectively improve
anticancer efficacy due to the features of pH-triggered drug release and targeted
delivery. Moreover, in vitro cell study further indicated that the resulting
prodrug nanoparticles had enhanced cytotoxicity for folic-acid-positive cells
(HeLa) compared to folic-acid-negative cells (MCF-7). More importantly, the
induced disassembly of prodrug nanoparticles can "switch on" the inherent
fluorescence of the internalized doxorubicin drug in the tumour microenvironment,
which can be used for the detection of tumour cells. We believe that this
strategy can pave a new way for designing small molecular drug delivery systems
and facilitate tumour diagnosis and treatment simultaneously.
PMID- 27883932
TI - Biological response of human suture mesenchymal cells to Titania nanotube-based
implants for advanced craniosynostosis therapy.
AB - Titania nanotubes (TNTs) engineered on titanium (Ti) surfaces (i.e. TNT/Ti) and
loaded with specific drugs have been recognised as a promising solution for
localised therapeutic delivery to address several medical problems not feasible
with conventional drug administration. We propose the use of TNT/Ti protein
releasing implants to treat paediatric craniofacial abnormality in
craniosynostosis caused by premature fusion of cranial sutures. In this study, we
have analysed the biological response of human suture mesenchymal cells (SMCs),
extracted from two different patients undergoing craniofacial reconstruction
surgery, at the TNT/Ti implant surface. The experimental groups included large
diameter TNT/Ti implants, with and without biopolymer surface coating (Chitosan
and Pluronic-F127) while the controls comprised of flat Ti disc and tissue
culture plastic. The non-loaded implant surfaces and the cellular interactions at
the implant-cell interface were characterised using scanning electron microscopy
(SEM). The SMC adhesion, viability and proliferation were determined by MTT assay
and manual cell counting at day 1 and day 3 of cell incubation. SEM showed
significant reduction in initial attachment and adhesion of SMCs at TNT-cell
biointerface compared with the control Ti discs. Subsequent cell proliferation
results also revealed a decrease in the number of viable cells on the TNT
surfaces. The nanotopography and structural features along with the surface
chemistry dictated the cellular response, with nanotubular surfaces (with and
without polymer coating) impeding cell adhesion and proliferation. Our findings
hold promise for the use of TNT-based cranial implants as a delivery system to
prevent sutural bone growth for advanced craniosynostosis therapy.
PMID- 27883933
TI - Microbiome, metabolites and host immunity.
AB - In the intestine, the microbial genomes and repertoire of biochemical reactions
outnumber those of the host and significantly contribute to many aspects of the
host's health, including metabolism, immunity, development and behavior, while
microbial community imbalance is associated with disease. The crosstalk between
the host and its microbiome occurs in part through the secretion of metabolites,
which have a profound effect on host physiology. The immune system constantly
scans the intestinal microenvironment for information regarding the metabolic
state of the microbiota as well as the colonization status. Recent studies have
uncovered a major role for microbial metabolites in the regulation of the immune
system. In this review, we summarize the central findings of how microbiota
modulated metabolites control immune development and activity.
PMID- 27883934
TI - The application of half-life in clinical decision making: Comparison of the
pharmacokinetics of extended-release topiramate (USL255) and immediate-release
topiramate.
AB - OBJECTIVE: For extended-release drugs with multi-compartment kinetics, such as
topiramate, effective half-life (t1/2eff) may be a more clinically relevant
parameter than elimination half-life (t1/2z). Using topiramate as a real-life
example, the objective was to compare these half-life values for immediate- and
extended-release topiramate (TPM-IR and USL255, respectively) to understand how
drug pharmacokinetics may impact drug dosing recommendations. METHODS: The t1/2z
and t1/2eff for USL255 and TPM-IR were compared using data from a phase I study
(N=36) of 200mg USL255 administered once daily (QD) or TPM-IR twice daily (BID);
effect of sampling duration on t1/2z was investigated. To further explore the
relationship between half-life and dosing, steady-state PK was simulated for
USL255 and TPM-IR. RESULTS: As previously reported, mean t1/2z was similar
between USL255 (80.2h) and TPM-IR (82.8h); TPM-IR t1/2z was ~4 times longer than
reported in the Topamax label (21h). In contrast, USL255 displayed a 1.5 fold
longer t1/2eff (55.7 vs 37.1h for TPM-IR). When t1/2z was calculated from 48 to
336h, values ranged from 28.8 to 82.8h. Simulated steady-state PK profiles of
USL255 QD exhibited reduced plasma fluctuations during a dosing interval vs TPM
IR QD or BID. SIGNIFICANCE: As expected for the same moiety, t1/2z of USL255 and
TPM-IR were similar; however, the longer t1/2eff for USL255 better approximates
differences in recommend dosing (QD USL255 vs BID TPM-IR). Further, sampling
duration impacted t1/2z, diminishing its predictive value for determining dose
regimens; sampling-time differences may also explain t1/2z discrepancy between
TPM-IR here versus Topamax label. As expected, steady-state simulations confirm
that although TPM-IR has a long t1/2z, taking TPM-IR QD would lead to large
plasma fluctuations. These data demonstrate that t1/2z may be less clinically
meaningful than t1/2eff, and using t1/2z for some drugs may lead to erroneous
conclusions regarding dosing regimens.
PMID- 27883935
TI - Liquid fructose in Western-diet-fed mice impairs liver insulin signaling and
causes cholesterol and triglyceride loading without changing calorie intake and
body weight.
AB - BACKGROUND/OBJECTIVES: Liquid fructose associates with prevalence of type 2
diabetes mellitus and obesity. Intervention studies suggest that metabolically
unfit individuals are more responsive than healthy individuals to liquid
fructose. We determined whether mice consuming an obesogenic Western diet were
more responsive than chow-fed mice to the alterations induced by liquid fructose
supplementation (LFS). METHODS: C57BL/6N mice were fed chow or Western diet+/-ad
libitum 15% fructose solution for 12 weeks. Food and liquid intake and body
weight were monitored. Plasma analytes and liver lipids, histology and the
expression of genes related to lipid handling, endoplasmic reticulum stress,
inflammation and insulin signaling were analyzed. RESULTS: Western diet increased
energy intake, visceral adipose tissue (vWAT), body weight, plasma and liver
triglycerides and cholesterol, and inflammatory markers in vWAT vs. chow-fed
mice. LFS did not change energy intake, vWAT or body weight. LFS significantly
increased plasma and liver triglycerides and cholesterol levels only in Western
diet-fed mice. These changes associated with a potentiation of the increased
liver expression of PPARgamma and CD36 that was observed in Western-fed mice and
related to the increased liver mTOR phosphorylation induced by LFS. Furthermore,
LFS in Western-diet-fed mice induced the largest reduction in liver IRS2 protein
and a significant decrease in whole-body insulin sensitivity. CONCLUSIONS: LFS in
mice, in a background of an unhealthy diet that already induces fatty liver
visceral fat accretion and obesity, increases liver lipid burden, hinders hepatic
insulin signaling and diminishes whole-body insulin sensitivity without changing
energy intake.
PMID- 27883936
TI - Relationship between FGF21 and UCP1 levels under time-restricted feeding and high
fat diet.
AB - Fibroblast growth factor 21 (FGF21) exhibits a circadian oscillation, and its
induction is critical during fasting. When secreted by liver and skeletal muscle,
FGF21 enhances thermogenic activity in brown adipose tissue (BAT) by utilizing
uncoupling protein 1 (UCP1) to dissipate energy as heat. Recently, it has been
reported that UCP1 is not required for FGF21-mediated reduction in body weight or
improvements in glucose homeostasis. As the relationship between FGF21 and UCP1
induction in tissues other than BAT is less clear, we tested the effect of
restricted feeding (RF) and high dietary fat on FGF21 circadian expression and
its correlation with UCP1 expression in liver and white adipose tissue (WAT).
High dietary fat disrupted Fgf21 mRNA circadian oscillation but increased its
levels in WAT. RF led to increased liver FGF21 protein levels, whereas those of
UCP1 decreased. In contrast, WAT FGF21 protein levels increased under high-fat
diet, whereas those of UCP1 decreased under RF. In summary, FGF21 exhibits
circadian oscillation, which is disrupted with increased dietary fat. The
relationship between FGF21 and UCP1 levels depends on the tissue and the cellular
energy status.
PMID- 27883937
TI - Atorvastatin protected from paraquat-induced cytotoxicity in alveolar macrophages
via down-regulation of TLR-4.
AB - The current study designed to clarify the mechanism of paraquat-induced
cytotoxicity and protective effects of Atorvastatin on freshly isolated alveolar
macrophages (AMs). AMs were collected via bronchoalveolar lavage and exposed to
various concentrations of paraquat in the presence and absence of atorvastatin
for 24h. Cell viability, myeloperoxidase activity; nitric oxide generation and
total antioxidant capacity were assessed. Expression of TLR-4 at mRNA and protein
levels were studied by using PCR and western blot methods Atorvastatin enhanced
the paraquat-reduced cell viability and reduced the paraquat-induced
myeloperoxidase activity and nitric oxide production. Moreover, atorvastatin down
regulated by 60% the paraquat up-regulated expression of TLR-4 at protein and
mRNA level. Our results suggest that, AMs in vitro model could be a novel
cytological tool for studies on paraquat poisoning and therapy regimens.
Additionally, atorvastatin cytoprotective effects on paraquat-induced
cytotoxicity partly attribute to its anti-myeloperoxidase, antioxidant
properties, which might be regulated via TLR-4 expression.
PMID- 27883939
TI - Is enhanced biodiversity protection conflicting with ambitious bioenergy targets
in eastern Finland?
AB - The study describes how qualitative stakeholder feedback can be used in
quantitative scenarios to simulate forest resource use under alternative
management objectives. In earlier studies in the region of eastern Finland,
stakeholders did not see a possible conflict between increased bioenergy use and
nature conservation; this finding is contrary to the results of other studies.
The aim of this study was to test with a quantitative modelling approach whether
the stakeholder expectation holds and whether forest management in eastern
Finland can simultaneously increase biomass utilization and biodiversity
protection. Prior to this study, three alternative scenarios on forest resource
use were created in a participatory stakeholder process, involving a broad range
of stakeholders, with half of them being from research and education. In the
current study, a large-scale forest resource planning model (MELA) and a
sustainability impact assessment tool (ToSIA) were used to simulate the different
alternative scenarios and present the results back to the stakeholders in order
to evaluate them. The scenarios were evaluated by stakeholders using multi
criteria analysis. In a survey, the stakeholders indicated that biodiversity,
employment, recreational value and greenhouse gas emissions were the most
important indicators to them, whereas growing stock, amount of harvested
roundwood, energy wood and protected forest area were considered less important.
Of the created scenarios, the scenario combining bioenergy and biodiversity
targets was the most preferred by the stakeholders as it performed well on those
indicators that were identified by stakeholders as the most important. In this
scenario, the area of protected forest and bioenergy production were increased
simultaneously. With this study we offer a framework for evaluating different
alternatives for future land use. The framework helps to identify key issues that
are important to the stakeholders so that they can be taken into consideration in
future land-use planning. In addition, the results confirm the stakeholder
expectation that by protecting more forests while simultaneously increasing the
mobilization of potentially available wood resources, both targets can be met
without compromising too much other forest functions such as timber production
and recreation.
PMID- 27883938
TI - Pretreatment of activated human CD8 T cells with IL-12 leads to enhanced TCR
induced signaling and cytokine production.
AB - During the immune response to pathogens and autoantigens, CD8T cells are exposed
to numerous inflammatory agents including the cytokine IL-12. Previous studies
have focused on how IL-12 regulates T cell functions when present during or after
the activation of the T cell receptor (TCR). However, recent studies suggest that
prior exposure to IL-12 also alters the TCR responsiveness of murine T cells.
Whether similar phenomena occur in human activated CD8T cells and the mechanisms
mediating these effects remain unexplored. In this study, we observed that
pretreatment of human activated CD8T cells with IL-12 results in increased
cytokine mRNA and protein production following subsequent TCR challenge. The
potentiation of TCR-mediated cytokine release was transient and required low
doses of IL-12 for at least 24h. Mechanistically, prior exposure to IL-12
increased the TCR induced activation of select MAPKs and AKT without altering the
activation of more proximal TCR signaling molecules, suggesting that the IL-12
mediated changes in TCR signaling are responsible for the increased production of
cytokines. Our data suggest that prior treatment with IL-12 potentiates human
CD8T cell responses at sites of infection and inflammation, expanding our
understanding of the function of this clinically important cytokine.
PMID- 27883940
TI - Descriptive and multivariate analyses of four Tunisian wastewater treatment
plants: A comparison between different treatment processes and their efficiency
improvement.
AB - This study was undertaken to evaluate the performance of four wastewater
treatment plants/processes over a 4 year period. The wastewater flow evolution,
energy consumption, and quality indicator parameters (BOD5, COD and TSS) at the
inlet and outlet sites of the plants were determined. In comparing three domestic
WWTPs with different wastewater treatment processes, the multivariate analyses
(RDA and ANOVA) showed that although the Agareb plant received the highest
pollution load, it displayed a high level of removal efficiency especially for
COD, BOD, TSS, TKN and NH4+. It also revealed that the fluctuations in the
wastewater composition and its contamination by varied industrial discharge could
lead to the decrease in performance of the WWTP with activated sludge process as
observed for the Southern Sfax plant. However, the electrolysis of the outlet
water of Southern Sfax plant showed a significant improvement in COD removal.
PMID- 27883941
TI - Smallholder farmers' behavioural intentions towards sustainable agricultural
practices.
AB - The introduction of sustainable practices is considered a win-win strategy for
low-income countries because of its potential to simultaneously improve food
security and address environmental issues. Despite the numerous studies that
focus on the adoption of technological innovations, little work has been done on
the socio-psychological behaviour of farmers with regard to sustainable
practices. This study investigates smallholder farmers' intentions towards two
practices: minimum tillage and row planting. The decomposed theory of planned
behaviour is used as a theoretical framework to analyse the intentions. The
findings reveal that attitudes and normative issues positively explain farmers'
intentions to adopt both practices. Perceived control also has a positive
significant effect on the intention to apply minimum tillage. When the intention
is formed, farmers are expected to carry out their intention when opportunities
arise. Moreover, perceived usefulness, social capital, and perceived ease of
operation are also significant predictors of farmers' attitudes. Furthermore,
social capital and training are factors that positively affect the normative
issue, which in turn also positively mediates the relationship between training,
social capital and intention. Finally, it is shown that neither the perceived
resources nor information from the media significantly affect farmers'
intentions. This paper thus confirms that social capital, personal efficacy,
training and perceived usefulness play significant roles in the decision to adopt
sustainable practices. In addition, willingness to adopt seems to be limited by
negative attitudes and by weak normative issues. Therefore, to improve adoption
of sustainable practices by smallholder farmers, attention should be given to
socio-psychological issues. This could lead to improvements in farm productivity
and enhance the livelihoods of smallholders.
PMID- 27883943
TI - Targeted therapy for breast cancer and molecular mechanisms of resistance to
treatment.
AB - In recent years, clinical trials investigating new drugs and therapeutic
combinations have led to promising advances in breast cancer therapy. Subtyping
breast cancers into hormone receptor (HR) positive, epidermal growth factor
receptor (HER2) positive, and triple negative breast cancer (TNBC) is currently
the basis of diagnosing and treating this disease. In addition to endocrine and
HER2-targeted therapies in their respective subtypes, evidence from recent
preclinical studies have shown several targetable pathways that overcome
resistance in the clinical setting. The mTOR inhibitor everolimus and the CDK4/6
inhibitor palbociclib have been approved in HR-positive metastatic breast cancer
(MBC) due to improved disease-free survival (DFS). Adding pertuzumab to
trastuzumab in combination with taxanes further improves DFS in HER2-positive
breast cancer. Targeted therapy to the heterogeneous group of TNBC is needed in
combination with chemotherapy. However, patient selection and predictive
biomarker development remains a big challenge for targeted therapy development in
TNBC.
PMID- 27883942
TI - Dye degradation by green heterogeneous Fenton catalysts prepared in presence of
Camellia sinensis.
AB - This work describes the synthesis and characterization of supported green iron
catalysts, prepared with Camellia sinensis tea extract, and their application in
heterogeneous Fenton degradation of pollutant dyes. The influence of the catalyst
synthesis conditions in the iron and organic content were investigated by X-ray
fluorescence and thermogravimetric analyses. Irregular, chain-like nanoparticles,
in the size range of 20-100 nm, capped by polyphenolic natural compounds, were
visualized by TEM micrographs. TEM-EDS revealed a high iron content in the
nanoparticles as well as a high carbon content all over the catalyst surface,
indicating the coverage by the polyphenolic compounds of the tea. X-ray powder
diffraction revealed the amorphous nature of the nanoparticles, tentatively
ascribed to iron(II)/(III) oxides and oxohydroxides composites. The Fenton
degradation of different dyes was successfully accomplished, leading to complete
decolourization in less than 3 h of reaction. Influence of hydrogen peroxide
concentration, catalyst dosage, pH, temperature and catalyst support, were
investigated. The catalysts prepared with black tea over silica furnished the
higher iron contents and were the most actives for dye degradation.
PMID- 27883944
TI - Allocative and implementation efficiency in HIV prevention and treatment for
people who inject drugs.
AB - BACKGROUND: Estimated global new HIV infections among people who inject drugs
(PWID) remained stable over the 2010-2015 period and the target of a 50%
reduction over this period was missed. To achieve the 2020 UNAIDS target of
reducing adult HIV infections by 75% compared to 2010, accelerated action in
scaling up HIV programs for PWID is required. In a context of diminishing
external support to HIV programs in countries where most HIV-affected PWID live,
it is essential that available resources are allocated and used as efficiently as
possible. METHODS: Allocative and implementation efficiency analysis methods were
applied. Optima, a dynamic, population-based HIV model with an integrated program
and economic analysis framework was applied in eight countries in Eastern Europe
and Central Asia (EECA). Mathematical analyses established optimized allocations
of resources. An implementation efficiency analysis focused on examining
technical efficiency, unit costs, and heterogeneity of service delivery models
and practices. RESULTS: Findings from the latest reported data revealed that
countries allocated between 4% (Bulgaria) and 40% (Georgia) of total HIV
resources to programs targeting PWID - with a median of 13% for the eight
countries. When distributing the same amount of HIV funding optimally, between 9%
and 25% of available HIV resources would be allocated to PWID programs with a
median allocation of 16% and, in addition, antiretroviral therapy would be scaled
up including for PWID. As a result of optimized allocations, new HIV infections
are projected to decline by 3-28% and AIDS-related deaths by 7-53% in the eight
countries. Implementation efficiencies identified involve potential reductions in
drug procurement costs, service delivery models, and practices and scale of
service delivery influencing cost and outcome. A high level of implementation
efficiency was associated with high volumes of PWID clients accessing a drug harm
reduction facility. CONCLUSION: A combination of optimized allocation of
resources, improved implementation efficiency and increased investment of non-HIV
resources is required to enhance coverage and improve outcomes of programs for
PWID. Increasing efficiency of HIV programs for PWID is a key step towards
avoiding implicit rationing and ensuring transparent allocation of resources
where and how they would have the largest impact on the health of PWID, and
thereby ensuring that funding spent on PWID becomes a global best buy in public
health.
PMID- 27883945
TI - Recent advances in the treatment of lower-risk non-del(5q) myelodysplastic
syndromes (MDS).
AB - Patients with lower-risk myelodysplastic syndromes (MDS) are affected primarily
by symptoms of chronic anemia and fatigue rather than progression to acute
myeloid leukemia. Severe thrombocytopenia, although less common in lower-risk
MDS, is associated with increased risk of bleeding. For anemic patients, the
principal aim of treatment is to improve anemia and decrease red blood cell
transfusions. For transfusion-dependent patients with lower-risk MDS without
chromosome 5q deletion [non-del(5q) MDS], there are limited effective treatments.
Erythropoiesis-stimulating agents (ESAs) are generally first-line therapy,
yielding frequent responses with a median duration of 18-24 months.
Immunosuppressive therapy or allogeneic stem cell transplantation are restricted
to select patients. New strategies for ESA-refractory or relapsed patients
include lenalidomide, alone or in combination with ESAs; oral azacitidine; and
new molecules such as the activin receptor type II ligand traps luspatercept and
sotatercept. In thrombocytopenic patients, thrombopoietin receptor agonists are
under evaluation. While trials to evaluate these treatment strategies are
underway, efforts are needed to optimize therapies through better patient
selection and response prediction as well as integrating molecular and genetic
data into clinical practice. We provide an overview of current treatment
approaches for lower-risk non-del(5q) MDS and explore promising directions for
future research.
PMID- 27883946
TI - Pattern and risk of developing alcohol use disorders, illegal substance use and
psychiatric disorders after early onset of alcohol use: Results of the Thai
National Mental Health Survey 2013.
AB - INTRODUCTION: Although underage drinking is a global concern, little is known
about the relationship between age at first drink and development of various
psychiatric comorbidities. METHODS: A secondary data analysis was done among 2928
lifetime drinkers from the Thai National Mental Health Survey 2013. Age at first
drink, and onset of related psychiatric outcomes were inquired. Survival analysis
using Cox regression was performed to estimate the risk over time for psychiatric
problems across age ranges at first drink. RESULTS: Two-thirds of male and one
third of female drinkers were considered underage at drinking onset. Substance
use and abuse developed earlier (<5 years) than alcohol use disorders (AUDs) and
other outcomes (mostly >10 years). Those who started drinking before age 15 years
were more likely to develop use of cannabis (HR=4.75; 95% CI 2.73, 8.24), club
drugs (HR=2.88; 95% CI 1.46, 5.71) and inhalants (HR=6.46; 95% CI% 1.64, 25.37),
compared to those who were 20 years or older at drinking onset. Using age as an
alternative time-scale, those aged <15years at drinking onset were significantly
more likely to experience AUDs, psychotic symptoms, intermittent explosive
disorder and panic disorder. However, the early onset drinkers were less likely
to develop depression compared to those who started at age 20 years and over.
CONCLUSION: Premature alcohol consumption tends to be a gateway to various
serious consequences. Efforts of such drinking age policy and interventions are
needed to address vulnerable young populations.
PMID- 27883947
TI - Social cognitive predictors of treatment outcome in cannabis dependence.
AB - BACKGROUND: Drug-related outcomes expectancies and refusal self-efficacy are core
components of Social Cognitive Theory. Both predict treatment outcome in alcohol
use disorders. Few studies have reported expectancies and refusal self-efficacy
in cannabis dependence. None have examined both, although both constructs are key
targets in Cognitive-Behavioural Therapy (CBT). This study tests the predictive
role of expectancies and refusal self-efficacy in treatment outcome for cannabis
dependence. DESIGN: Outpatients completed a comprehensive assessment when
commencing cannabis treatment and predictors of treatment outcome were tested.
SETTING: A university hospital alcohol and drug outpatient clinic. PARTICIPANTS:
221 cannabis-dependent patients participated in a 6-week CBT program where the
goal was abstinence. MEASUREMENTS: Cannabis Expectancy Questionnaire and Cannabis
Refusal Self-Efficacy Questionnaire, cannabis dependence severity [Severity of
Dependence Scale], psychological distress [General Health Questionnaire] at
baseline; the timeline follow-back procedure at baseline and each session.
FINDINGS: Patients reporting lower confidence in their ability to resist cannabis
during high negative affect (emotional relief refusal self-efficacy) had a lower
likelihood of abstinence (p=0.004), more days of use (p<0.001), and larger amount
used (p<0.001). Negative cannabis expectancies predicted greater likelihood of
abstinence (p=0.024). Higher positive expectancies were associated with lower
emotional relief self-efficacy, mediating its association with outcome (p<0.001).
CONCLUSIONS: Emotional relief refusal self-efficacy and negative expectancies are
predictive of better treatment outcomes for cannabis dependence. Positive
expectancies may indirectly predict poorer outcome because of a negative
association with self-efficacy, but this conclusion remains tentative as
directionality could not be established.
PMID- 27883948
TI - Prevalence, sociodemographic correlates and DSM-5 substance use disorders and
other psychiatric disorders among sexual minorities in the United States.
AB - PURPOSE: The purpose of this study was to present current nationally
representative data on the prevalences, sociodemographic correlates and risk of
DSM-5 substance use disorders and other psychiatric disorders among sexual
minorities (SMs) relative to heterosexuals, and among SMs by gender. METHODS:
Data were derived from the 2012-2013 National Epidemiologic Survey on Alcohol and
Related Conditions-III. RESULTS: In the general noninstitutionalized population,
1.5%, 1.3% and 0.5% of individuals self-identified as gay/lesbian, bisexual and
not sure sexual orientations. Men were more likely to report gay/lesbian
orientation than women (1.8% vs. 1.2%). Women were more likely than men to report
bisexual (1.8% vs. 0.8%) and not sure (0.6% vs. 0.4%) sexual orientations.
Sociodemographic characteristics varied across sexual orientation and gender.
Relative to heterosexuals, disparities in substance use and psychiatric disorders
were found across sexual orientations, especially among bisexual women. Greater
rates of specific psychiatric disorders were also demonstrated by women reporting
bisexual and not sure orientations relative to lesbian women, with fewer
differences in rates of psychopathology among SM men. CONCLUSIONS: Despite
growing acceptance of SMs and SM rights over the past decade, substantial mental
health disparities exist among these subgroups of the U.S. noninstitutionalized
population, especially among bisexual women. More research is needed to
understand these mental health disparities, while considering nuances of multiple
intersecting minority identities and unique contextual factors. FINDINGS:
underscore the importance of advancing future population-based research that
includes detailed information on the health and well-being of SMs in the United
States.
PMID- 27883951
TI - Survey of adaptive biasing potentials: comparisons and outlook.
AB - Adaptive biasing potentials are becoming a standard tool of the trade for
problems in chemistry, material science, biology, and drug discovery. These
methods are easy to use, easy to distribute, reliable, and make otherwise
impossible simulations possible. In this review we survey a number of adaptive
bias potentials, and take a critical look at how they work. The biases fall into
two basic classes, each having distinct attributes and levels of complexity. The
vantage point from which the biases are discussed has only emerged in the last
couple of years, and allows for a unified treatment of all the biases. We
conclude with remarks about computational efficiency of the biases, which is
largely overlooked in the current literature.
PMID- 27883949
TI - Nicotine levels, withdrawal symptoms, and smoking reduction success in real world
use: A comparison of cigarette smokers and dual users of both cigarettes and E
cigarettes.
AB - INTRODUCTION: To evaluate how experienced dual users used cigarettes and e
cigarettes in real-world use and under different levels of cigarette
availability. METHODS: Dual users (cigarettes+e-cigarettes; n=74) and a smoke
only group (just cigarettes; n=74) engaged in a 26-day study with two ad lib use
intervals, a week of 75% cigarette reduction and three days of 100% cigarette
reduction. After a week of ad lib use of products, all participants were asked to
reduce smoking by 75% (dual users were free to use their e-cigarettes as they
wished), followed by another week of ad lib use. All participants were then asked
to reduce smoking by 100% (cessation) for three days. Primary outcomes were
biological samples (carbon monoxide, urinary nicotine and cotinine). Participants
also provided real-time reports of product use, craving, and withdrawal symptoms
using a smartphone app. RESULTS: Dual users did not smoke fewer cigarettes than
smoke-only participants during ad lib periods, but quadrupled their use of e
cigarettes during smoking reduction periods. Dual users were significantly more
likely to maintain 100% reduction (97.1% vs. 81.2%). Amongst women, dual use was
associated with higher nicotine levels and withdrawal suppression. DISCUSSION:
Among a group of experienced dual users, e-cigarettes helped maintain smoking
reduction and reduced some withdrawal symptoms, although both withdrawal symptoms
and nicotine levels varied as a function of gender.
PMID- 27883950
TI - The effects of social pressure and emotional expression on the cone of gaze in
patients with social anxiety disorder.
AB - BACKGROUND AND OBJECTIVES: Patients with social anxiety disorder suffer from
pronounced fears in social situations. As gaze perception is crucial in these
situations, we examined which factors influence the range of gaze directions
where mutual gaze is experienced (the cone of gaze). METHODS: The social stimulus
was modified by changing the number of people (heads) present and the emotional
expression of their faces. Participants completed a psychophysical task, in which
they had to adjust the eyes of a virtual head to gaze at the edge of the range
where mutual eye-contact was experienced. RESULTS: The number of heads affected
the width of the gaze cone: the more heads, the wider the gaze cone. The
emotional expression of the virtual head had no consistent effect on the width of
the gaze cone, it did however affect the emotional state of the participants.
Angry expressions produced the highest arousal values. Highest valence emerged
from happy faces, lowest valence from angry faces. CONCLUSION: These results
suggest that the widening of the gaze cone in social anxiety disorder is not
primarily mediated by their altered emotional reactivity. Implications for gaze
assessment and gaze training in therapeutic contexts are discussed. LIMITATIONS:
Due to interindividual variability, enlarged gaze cones are not necessarily
indicative of social anxiety disorder, they merely constitute a correlate at the
group level.
PMID- 27883952
TI - Recent applications of metabolomics to advance microbial biofuel production.
AB - Biofuel production from plant biomass is a promising source of renewable energy
[1]. However, efficient biofuel production involves the complex task of
engineering high-performance microorganisms, which requires detailed knowledge of
metabolic function and regulation. This review highlights the potential of mass
spectrometry-based metabolomic analysis to guide rational engineering of biofuel
producing microbes. We discuss recent studies that apply knowledge gained from
metabolomic analyses to increase the productivity of engineered pathways,
characterize the metabolism of emerging biofuel producers, generate novel
bioproducts, enable utilization of lignocellulosic feedstock, and improve the
stress tolerance of biofuel producers.
PMID- 27883953
TI - Thermogravimetric pyrolysis kinetics of bamboo waste via Asymmetric Double
Sigmoidal (Asym2sig) function deconvolution.
AB - Thermogravimetric kinetic of bamboo waste (BW) pyrolysis has been studied using
Asymmetric Double Sigmoidal (Asym2sig) function deconvolution. Through
deconvolution, BW pyrolytic profiles could be separated into three reactions
well, each of which corresponded to pseudo hemicelluloses (P-HC), pseudo
cellulose (P-CL), and pseudo lignin (P-LG) decomposition. Based on Friedman
method, apparent activation energy of P-HC, P-CL, P-LG was found to be
175.6kJ/mol, 199.7kJ/mol, and 158.4kJ/mol, respectively. Energy compensation
effects (lnk0,z vs. Ez) of pseudo components were in well linearity, from which
pre-exponential factors (k0) were determined as 6.22E+11s-1 (P-HC), 4.50E+14s-1
(P-CL) and 1.3E+10s-1 (P-LG). Integral master-plots results showed pyrolytic
mechanism of P-HC, P-CL, and P-LG was reaction order of f(alpha)=(1-alpha)2,
f(alpha)=1-alpha and f(alpha)=(1-alpha)n(n=6-8), respectively. Mechanism of P-HC
and P-CL could be further reconstructed to n-th order Avrami-Erofeyev model of
f(alpha)=0.62(1-alpha)[-ln(1-alpha)]-0.61(n=0.62) and f(alpha)=1.08(1-alpha)[
ln(1-alpha)]0.074 (n=1.08). Two-steps reaction was more suitable for P-LG
pyrolysis.
PMID- 27883954
TI - Feasibility of using a microalgal-bacterial consortium for treatment of toxic
coke wastewater with concomitant production of microbial lipids.
AB - This study examined the feasibility of using an algal-bacterial process for
removal of phenol and NH4+-N from differently diluted coke wastewater with
simultaneous production of biomass. Under illumination, microalgal-bacterial
(MSB) cultures performed complete phenol degradation at all dilutions of coke
wastewater while sole microalgal culture (MSA) degraded a maximum of 27.3% of
phenol (initial concentration: 24.0mgL-1) from 5-fold diluted wastewater.
Furthermore, the MSB culture had the highest rate of NH4+-N removal (8.3mgL-1d-1)
and fatty acid production (20mgL-1d-1) which were 2.3- and 1.5-fold higher than
those observed in the MSA cultures, probably due to decreases in toxic organic
pollutants. Multivariate analyses indicated that co-cultivation of activated
sludge was directly correlated with the elevated removals of phenol and NH4+-N.
In the presence of sludge, adequate dilution of the coke wastewater can maximize
the effect of bacteria on NH4+-N removal and biomass production.
PMID- 27883955
TI - Prospects for energy recovery during hydrothermal and biological processing of
waste biomass.
AB - Thermochemical and biological processes represent promising technologies for
converting wet biomasses, such as animal manure, organic waste, or algae, to
energy. To convert biomass to energy and bio-chemicals in an economical manner,
internal energy recovery should be maximized to reduce the use of external heat
and power. In this study, two conversion pathways that couple hydrothermal
liquefaction with anaerobic digestion or catalytic hydrothermal gasification were
compared. Each of these platforms is followed by two alternative processes for
gas utilization: 1) combined heat and power; and 2) combustion in a boiler. Pinch
analysis was applied to integrate thermal streams among unit processes and
improve the overall system efficiency. A techno-economic analysis was conducted
to compare the feasibility of the four modeled scenarios under different market
conditions. Our results show that a systems approach designed to recover internal
heat and power can reduce external energy demands and increase the overall
process sustainability.
PMID- 27883957
TI - Effect of freezing prior to aging on myoglobin redox forms and CIE color of beef
from Nellore and Aberdeen Angus cattle.
AB - The objective of this study was to evaluate the effect of freezing prior to wet
aging on the color of Nellore and Aberdeen Angus cattle meat. Samples of the
Longissimus thoracis muscle were subjected to two treatments: conventional aging
(0, 7, 14 and 21days); and freezing (-20 degrees C for 40days) followed by
thawing and aging. Freezing promoted (P<0.05) formation of metmyoglobin during
aging, especially in Nellore beef. Frozen meats showed (P<0.05) lower lightness
(L*) values and higher redness (a*), chroma (C*) and hue angle (h*) values at the
first day of storage, deteriorating quickly with aging time. The color of the
Nellore meat was less (P<0.05) stable to freezing, being lighter, yellower and
less red than Angus meat. The results suggest that color stability in vacuum
packed beef is reduced by freezing prior to aging and that reduction depends on
the animal breed.
PMID- 27883956
TI - Efficacy of BRAF Inhibitors in Asian Metastatic Melanoma Patients: Potential
Implications of Genomic Sequencing in BRAF-Mutated Melanoma.
AB - BACKGROUND: The BRAF inhibitors vemurafenib and dabrafenib are currently the
standard treatment for metastatic melanoma with BRAF V600 mutations. However,
given the rarity of noncutaneous melanoma, including acral and mucosal subtypes,
the efficacy of BRAF inhibitors for this subset of patients has not been
extensively investigated. Acquired resistance generally appears 6 to 8 months
after treatment with a BRAF inhibitor, and the mechanism of resistance is not
well established. METHODS: We examined treatment outcomes for patients diagnosed
with metastatic melanoma and treated with BRAF inhibitors at Samsung Medical
Center between April 2013 and December 2015. We analyzed genomic alterations in
selected patients using targeted sequencing. RESULTS: Twenty-seven patients with
a median age of 49 years (range 23-82 years) with metastatic melanoma and treated
with a BRAF inhibitor were identified. Of these patients, 19 (70.3%) had
noncutaneous melanoma, including acral and mucosal melanoma. All patients had
BRAFV600E mutations. The median progression-free survival of all patients was 9.2
months (95% confidence interval, 1.6-16.7), and the objective response rate was
78.9% in the mucosal/acral melanoma group and 75.0% in the cutaneous melanoma
group. Three (11.1%) patients achieved complete response, and 19 (70.4%) showed a
partial response. Targeted sequencing in five patients demonstrated NF1 mutations
in three patients who did not respond to BRAF inhibitors. CONCLUSION: BRAF
inhibitors were an effective therapeutic option for Korean patients with
metastatic melanoma harboring a BRAF V600 mutation regardless of melanoma subtype
(acral/mucosa versus cutaneous).
PMID- 27883958
TI - Antimicrobial activity and bioguided fractionation of Rumex tingitanus extracts
for meat preservation.
AB - : This study was undertaken to investigate the antibacterial and antifungal
activities of Rumex tingitanus leaves extracts as well as the identification of
bioactive components and their performance in meat preservation. Total phenolics
and flavonoids showed the highest content of phenolics and flavonoids in the
ethyl acetate fraction (Rt EtOAcF). For antimicrobial efficacy, leaves extract
and derived fraction were tested for their capacity to inhibit bacterial and
fungal proliferation in vitro and in vivo. The ethyl acetate fraction showed the
most potent antibacterial and antifungal activities compared to the others
extracts. Thus, the efficacy of this extract to inhibit the proliferation of
Listeria monocytogenes in minced beef meat model was examined. This fraction
eradicates the L. monocytogenes population in meat in a concentration- and time
dependent manner. A bio-guided purification of the Rt EtOAc fraction resulted in
the isolation of the compound responsible for the observed antimicrobial
activity. This compound was identified as luteolin by analysis of spectroscopic
data. CHEMICAL COMPOUNDS ISOLATED IN THIS ARTICLE: Luteolin (PubChem CID:
5280445); p-iodonitrotetrazolium chloride (PubChem CID: 64957); Amphotericin B
(PubChem CID: 5280965); Gentamicin and (PubChem CID: 6419933); Hexane (PubChem
CID: 8058); Methanol (PubChem CID: 887); Ethanol (PubChem CID: 702);
Dimethylsulfoxide (PubChem CID: 679); Quercetin (PubChem CID: 5280343); Gallic
acid (PubChem CID: 370).
PMID- 27883959
TI - Evaluation of the effectiveness of non-irradiated and chlorine-free packaging for
fresh beef preservation.
AB - This study evaluates the potential of using non-irradiated barrier-shrink bags
containing ethylene-vinyl alcohol copolymer (EVOH), polyamide (PA) and ethylene
ionomer in their structures to preserve vacuum-packaged fresh beef as an
alternative to traditional gamma-ray cross-linked bags containing polyvinylidene
chloride (PVDC). Boneless beef rib eye roll cuts were vacuum-packed in an
industrial processing plant using EVOH 44% mol, EVOH 32% mol and a control PVDC
barrier shrink bags. The cuts were evaluated during storage at 0.5 degrees C. The
EVOH films presented similar performance compared to control PVDC barrier shrink
bags related to bacteria growth and purge loss. Packages with EVOH 32% mol film
presented better performance than control bag with respect to the meat sensorial
attributes, including fewer bubbles and better adhesion. EVOH 44% mol bags
presented the highest rate of colour loss. The EVOH 32% mol non-irradiated and
chlorine-free film is as effective for the preservation of fresh beef as
traditional PVDC-irradiated shrink bags.
PMID- 27883960
TI - A dual-potential electrochemiluminescence ratiometric sensor for sensitive
detection of dopamine based on graphene-CdTe quantum dots and self-enhanced
Ru(II) complex.
AB - A novel dual-potential ratiometric electrochemiluminescence (ECL) sensor was
designed for detecting dopamine (DA) based on graphene-CdTe quantum dots (G-CdTe
QDs) as the cathodic emitter and self-enhanced Ru(II) composite (TAEA-Ru) as the
anodic emitter. TAEA-Ru was prepared by linking ruthenium(II) tris(2,2'-bipyridyl
4,4'-dicarboxylato) with tris(2-aminoethyl)amine. Firstly, 3
aminopropyltriethoxysilane founctionalized G-CdTe QDs was used as the substrate
for capturing target DA via the specific recognition of the diol of DA to the
oxyethyl group of APTES. Then, Cu2O nanocrystals supported TAEA-Ru was further
bound by the strong interaction between amino groups of DA and carboxyl groups of
the Cu2O-TAEA-Ru. With the increase in DA concentration, the loading of Cu2O-TAEA
Ru at the electrode increased. As a result, the anodic ECL signal from TAEA-Ru
increased, and the cathodic ECL signal from G-CdTe QDs/O2 system decreased
correspondingly. Such a decrease was resulted from the ECL resonance energy
transfer (RET) from G-CdTe QDs to TAEA-Ru as well as the dual quenching effects
of Cu2O to G-CdTe QDs, namely the ECL-RET from G-CdTe QDs to Cu2O and the
consumption of coreactant O2 by Cu2O. Based on the ratio of two ECL signals, the
determination of DA was achieved with a linear range from 10.0 fM to 1.0nM and a
detection limit low to 2.9 fM (S/N=3). The combination of G-CdTe QDs/O2 and TAEA
Ru would break the limitation of the same coreatant shared in previous ECL
ratiometric systems and provide a potential application of ECL ratiometric sensor
in the detection of biological small molecules with the assistance of the dual
molecular recognition strategy.
PMID- 27883961
TI - Molecular visualizing and quantifying immune-associated peroxynitrite fluxes in
phagocytes and mouse inflammation model.
AB - Reactions of peroxynitrite (ONOO-) with biomolecules can lead to cytotoxic and
cytoprotective events. Due to the difficulty of directly and unambiguously
measuring its levels, most of the beneficial effects associated with ONOO- in
vivo remain controversial or poorly characterized. Recently, optical imaging has
served as a powerful noninvasive approach to studying ONOO- in living systems.
However, ratiometric probes for ONOO- are currently lacking. Herein, we report
the design, synthesis, and biological evaluation of F482, a novel fluorescence
indicator that relies on ONOO--induced diene oxidation. The remarkable
sensitivity, selectivity, and photostability of F482 enabled us to visualize
basal ONOO- in immune-stimulated phagocyte cells and quantify its generation in
phagosomes by high-throughput flow cytometry analysis. With the aid of in vivo
ONOO- imaging in a mouse inflammation model assisted by F482, we envision that
F482 will find widespread applications in the study of the ONOO- biology
associated with physiological and pathological processes in vitro and in vivo.
PMID- 27883962
TI - Maternal care and affective behavior in female offspring: Implication of the
neurosteroid/GABAergic system.
AB - In female rats, the proestrus phase of the estrous cycle is associated with
decreased levels of anxiety-like and depressive-like behavior relative to the
metestrus phase. Progesterone likely modulate these behaviors, in part through
the influence of its metabolite, allopregnanolone (THP) on hippocampal GABAAR
subunit expression. As natural variations in maternal care have been found to
influence both progesterone levels at proestrus and anxiety-like behavior in
female offspring, we sought to investigate the importance of maternal care and
the estrous cycle on affective behavior in female rats that had received Low or
High levels of licking/grooming (LG) during early life. Subjects were tested for
anxiety-like behavior in the elevated plus maze at proestrus or metestrus or for
estrous cycle-dependent changes in depressive-like anhedonic behavior with a
saccharin preference test. GABAAR subunit expression, and THP levels in the
dorsal hippocampus and in plasma were also evaluated. Estrous cycle phase
influenced saccharine preference and hippocampal THP level in both phenotypes.
Low LG animals showed higher levels of hedonic behavior and anxiety-like
behavior, irrespective of estrous cycle phase, as well as lower THP levels within
the dorsal hippocampus when compared to High LG animals. Only High LG animals
showed positive correlations between hippocampal THP levels and GABAAR subunit
expression, suggesting a relative insensitivity to THP's modulation of these
receptor subunits in Low LG offspring. These findings suggest that natural
variations in maternal care influence anxiety-like and hedonic behavior through
the modulation of the neurosteroid/GABAergic system.
PMID- 27883963
TI - Hypothalamic-pituitary-adrenal axis activity and cognition in major depression:
The role of remission status.
AB - OBJECTIVES: Neuropsychological deficits and hypothalamic-pituitary-adrenal (HPA)
axis dysfunction have been described in major depressive disorder (MDD). We
conducted an exploratory study to investigate the role of remission status in the
relationship between HPA axis and cognition in MDD. METHODS: Ninety-seven MDD
patients (44 remitted, 53 non-remitted) and 97 healthy controls (HC) were
evaluated. We measured verbal and visual memory, working memory, processing
speed, attention, and executive function. Three HPA axis measures were assessed:
cortisol awakening response (CAR), diurnal cortisol slope, and cortisol
suppression ratio with 0.25mg of dexamethasone (DSTR). Multiple linear regression
analyses were performed to study the relationship between cortisol measures and
cognition while controlling for potential confounders. We conducted an overall
analysis in all participants to compare both MDD-remitted and MDD non-remitted
groups with respect to HC. Another analysis including MDD patients only was used
to explore a moderating effect by remission status. RESULTS: MDD patients showed
poorer cognitive performance compared with HC, without significant differences
between remitters and non-remitters. Cortisol measures did not differ between
remitters and non-remitters. Although most HPA axis measures were not associated
with cognitive dysfunction, we found significant associations between cognitive
performance in MDD-remitters and cortisol measures for visual memory, processing
speed and executive function. A significant moderating effect for remission
status was found between cortisol diurnal slope (but neither CAR nor DSTR) and
performance in processing speed or executive function. CONCLUSIONS: Remission
status in MDD appears to moderate the association between some cognitive domains
(processing speed and executive function) and HPA axis activity.
PMID- 27883964
TI - Sex-specific association between functional neuropeptide S receptor gene (NPSR1)
variants and cortisol and central stress responses.
AB - The brain neuropeptide S (NPS) system has recently generated substantial interest
and may be of major relevance for central stress regulation. The NPS receptor
(NPSR1) is highly expressed in the limbic system, exogenous NPS exerts pronounced
anxiolytic and fear-attenuating effects in rodents and extensive close crosstalk
between the NPS system and the hypothalamic-pituitary-adrenal (HPA) axis has been
demonstrated. In humans, associations between NPSR1 variants and anxiety and
panic disorder, as well as amygdala responsiveness to fear- relevant faces and
prefrontal cortex activity in a fear conditioning paradigm have been reported.
Moreover, a NPSR1 sequence variant was found to be associated with cortisol
stress responses in males.Here, we performed a haplotype-based analysis covering
three functional NPSR1 single nucleotide polymorphisms in the promoter
(rs2530547), in exon 3 (rs324981) and exon 6 (rs727162) in 277 healthy subjects
who were exposed to the Trier Social Stress Test (TSST). A significant sex
specific association with salivary cortisol responses to acute psychosocial
stress was detected for the common TTC haplotype 2 (frequency of about 20%). In
an additional study using an imaging genetics approach, 65 healthy subjects were
exposed to a stress paradigm for scanner environments ("ScanSTRESS"). We found a
significant and, again, sex-specific interaction between rs324981 (whose minor T
allele is harbored by haplotype 2) and the neural stress response in a cluster
close to the parahippocampal gyrus (whole brain corrected). Moreover, as in the
TSST sample, NPSR1 variation was associated with salivary cortisol responses (on
a trend level) in a sex-specific way.In summary, our preliminary findings in two
independent cohorts exposed to different stress paradigms suggest that the NPS
system significantly influences acute stress responses and that sequence
variation in NPSR1 may contribute to sex differences in stress regulation.
PMID- 27883965
TI - Frequency-independent characteristics of high-frequency oscillations in epileptic
and non-epileptic regions.
AB - OBJECTIVE: The purpose of the presented study is to determine whether there are
frequency-independent high-frequency oscillation (HFO) parameters which may
differ in epileptic and non-epileptic regions. METHODS: We studied 31 consecutive
patients with medically intractable focal (temporal and extratemporal) epilepsies
who were examined by either intracerebral or subdural electrodes. Automated
detection was used to detect HFO. The characteristics (rate, amplitude, and
duration) of HFO were statistically compared within three groups: the seizure
onset zone (SOZ), the irritative zone (IZ), and areas outside the IZ and SOZ
(nonSOZ/nonIZ). RESULTS: In all patients, fast ripples (FR) and ripples (R) were
significantly more frequent and shorter in the SOZ than in the nonSOZ/nonIZ
region. In the group of patients with favorable surgical outcomes, the relative
amplitude of FR was higher in the SOZ than in the IZ and nonIZ/nonSOZ regions; in
patients with poor outcomes, the results were reversed. The relative amplitude of
R was significantly higher in the SOZ, with no difference between patients with
poor and favorable surgical outcomes. CONCLUSIONS: FR are more frequent, shorter,
and have higher relative amplitudes in the SOZ area than in other regions. The
study suggests a worse prognosis in patients with higher amplitudes of FR outside
the SOZ. SIGNIFICANCE: Various HFO parameters, especially of FR, differ in
epileptic and non-epileptic regions. The amplitude and duration may be as
important as the frequency band and rate of HFO in marking the seizure onset
region or the epileptogenic area and may provide additional information on
epileptogenicity.
PMID- 27883966
TI - Influence of temperature, water activity and incubation time on fungal growth and
production of ochratoxin A and zearalenone by toxigenic Aspergillus tubingensis
and Fusarium incarnatum isolates in sorghum seeds.
AB - The major objective of this study was to describe the effect of water activity
and temperature on radial growth and production of ochratoxin A (OTA) and
zearalenone (ZEA) on sorghum grains of three Aspergillus tubingensis and three
Fusarium incarnatum isolates. The water activity range was 0.91-0.99 aw for F.
incarnatum isolates and 0.88-0.99 aw for A. tubingensis isolates. Temperatures of
incubation were 15, 25 and 37 degrees C for both species. Mycotoxin production
was determined after 7, 14, 21 and 28days depending on the growth rate of the six
isolates. Maximum growth rates (mm/day) were observed at 37 degrees C and 0.99 aw
for A. tubingensis isolates and at 0.99 aw and 25 degrees C for F. incarnatum
isolates. A. tubingensis was able to grow at 15 degrees C only at the highest aw
levels (0.97 and 0.99 aw). However, at this temperature F. incarnatum grew at
0.94 aw. Optimum ochratoxin A production was observed at 0.97 aw*37 degrees C
whereas optimal conditions for ZEA production varied from one isolate to another.
Moreover, isolates of F. incarnatum from Tunisia do not require high aw and
temperature levels to yield maximum levels of ZEA. In general, our results showed
that there is no correlation between the growth and production of ZEA in the case
of F. incarnatum. This is the first study on the water activity and temperature
effect on growth rate and ZEA production of F. incarnatum. Our results show that
sorghum grains not only support growth but also OTA and ZEA production by A.
tubingensis and F. incarnatum, respectively.
PMID- 27883967
TI - Case report of migration of 2 ventriculoperitoneal shunt catheters to the
scrotum: Use of an inguinal incision for retrieval, diagnostic laparoscopy and
hernia repair.
AB - BACKGROUD: Ventriculoperitoneal shunts are commonly used in the treatment of
hydrocephalus, and catheter migration to various body sites has been reported.
Pediatric and general surgeons are asked on occasion to assist with
intraabdominal access for these shunts, particularly when there may be extensive
adhesions or other complicating factors. METHODS: We describe a case in which an
old shunt catheter was never removed from the abdomen, and it migrated through an
inguinal hernia into the scrotum. The catheter became entangled and fibrosed to
the testicle. A second and more recent shunt catheter was also in the scrotum. A
single incision in the inguinal region was used to remove both shunt catheters,
repair the inguinal hernia and perform diagnostic laparoscopy to assist in
placing a new ventriculoperitoneal shunt. RESULTS: Prompt surgical removal is
recommended for catheters remaining in the abdomen after ventriculoperitoneal
shunt malfunction. These catheters may cause injury to the testicle, or possibly
other intraabdominal organs. General or pediatric surgical consultation should be
obtained for lost catheters or inguinal hernias. CONCLUSION: In the case of an
inguinal hernia containing a fractured shunt catheter, the hernia sac can be used
to remove the catheter, repair the hernia and gain laparoscopic access to the
abdomen to assist with shunt placement.
PMID- 27883968
TI - Vitamin D and outcomes in adult critically ill patients. A systematic review and
meta-analysis of randomized trials.
AB - PURPOSE: Low vitamin D blood levels are associated with high mortality in
critically ill patients. There is controversy about vitamin D supplementation in
this population. The objective of this meta-analysis was to evaluate if vitamin D
administration reduces mortality in critically ill patients. MATERIALS AND
METHODS: Online databases were searched up to September 1st, 2016 for randomized
placebo-controlled trials on the use of vitamin D in adult patients with critical
illness. The primary end point was mortality among trials with low risk of bias.
The secondary end points were length of hospital stay, length of intensive care
unit stay, length of mechanical ventilation, and adverse events. RESULTS: Seven
studies published between 2011 and 2016, for a total of 716 patients, were
included in the analysis. Vitamin D administration was associated with
significantly lower mortality compared with placebo (101/320 [32%] in the vitamin
D group vs 123/307 [40%] in the placebo group; odds ratio, 0.70 [95% confidence
interval, 0.50 to 0.98]; P=.04; I2=0%). No differences in adverse events and
other secondary end points were found. CONCLUSIONS: In critically ill patients,
vitamin D administration might be associated with a reduction in mortality
without significant adverse events. A large multicenter randomized trial should
conclusively confirm these findings.
PMID- 27883969
TI - Drug-drug interactions in the intensive care unit: Do they really matter?
AB - PURPOSE: To describe prevalence and patterns of potential drug-drug interactions
(pDDIs) in the intensive care unit (ICU), occurrence of adverse drug events
(ADEs), and agreement between different compendia and intensivists' perceptions.
METHODS: A cross-sectional study. Drug profiles of all adult patients from 2
academic ICUs were screened on day 3 upon admission. We identified pDDIs using 3
compendia (Stockley's, Micromedex, and Epocrates) and documented their mechanism
of action, clinical consequences, severity, level of evidence, and management.
Medical records were searched to identify ADEs potentially related to major
pDDIs. Agreement between information sources (compendia, intensivists) was
evaluated. RESULTS: We identified 1120 pDDIs among 275 patients. Median number of
pDDIs per patient was 3.0 (interquartile range, 1-6), with 79% of patients
presenting with at least 1 pDDI. Major pDDIs were detected in 18% of patients,
with potentially related to ADEs in 4% of patients. Only 13% of all pDDIs were
documented simultaneously in all 3 compendia. Different information sources
(compendia, clinicians) showed "no" to "fair" agreement. CONCLUSIONS: Potential
drug-drug interactions occurred in most ICU patients, contrasting with low rates
of potentially related ADEs, which may have been underestimated. Sources of
information are inconsistent, challenging the identification of pDDIs.
PMID- 27883970
TI - Association between dengue fever incidence and meteorological factors in
Guangzhou, China, 2005-2014.
AB - This study aims to (1) investigate the associations between climatic factors and
dengue; and (2) identify the susceptible subgroups. De-identified daily dengue
cases in Guangzhou for 2005-2014 were obtained from the Chinese Center for
Disease Control and Prevention. Weather data were downloaded from the China
Meteorological Data Sharing Service System. Distributed lag non-linear models
(DLNM) were used to graphically demonstrate the three-dimensional temperature
dengue association. Generalised estimating equation models (GEE) with piecewise
linear spline functions were used to quantify the temperature-dengue
associations. Threshold values were estimated using a broken-stick model. Middle
aged and older people, people undertaking household duties, retirees, and those
unemployed were at high risk of dengue. Reversed U-shaped non-linear associations
were found between ambient temperature, relative humidity, extreme wind velocity,
and dengue. The optimal maximum temperature (Tmax) range for dengue transmission
in Guangzhou was 21.6-32.9 degrees C, and 11.2-23.7 degrees C for minimum
temperature (Tmin). A 1 degrees C increase of Tmax and Tmin within these ranges
was associated with 11.9% and 9.9% increase in dengue at lag0, respectively.
Although lag effects of temperature were observed for up to 141 days for Tmax and
150 days for Tmin, the maximum lag effects were observed at 32 days and 39 days
respectively. Average relative humidity was negatively associated with dengue
when it exceeded 78.9%. Maximum wind velocity (>10.7m/s) inhibited dengue
transmission. Climatic factors had significant impacts on dengue in Guangzhou.
Lag effects of temperature on dengue lasted the local whole epidemic season. To
reduce the likely increasing dengue burden, more efforts are needed to strengthen
the capacity building of public health systems.
PMID- 27883971
TI - 2,3,7,8-tetrachlorodibenzo-p-dioxin and the viral infection.
AB - Exposure to 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD), a widespread highly toxic
environmental contaminant, suppresses immune response and leads to an increased
susceptibility to infectious agents. In particular, several studies have provided
evidence that TCDD decreases resistance to numerous viruses. Indeed, in vivo and
in vitro investigations showed that the presence of TCDD is able to interfere
with the replication of both human and animal viruses, such as influenza A
viruses, coxsackie virus B3, immunodeficiency virus type-1 (HIV-1),
cytomegalovirus (CMV), herpes simplex II, and bovine herpesvirus 1. Moreover,
TCDD could induce an exacerbation of latent infection produced by HIV-1, CMV or
Epstein-Barr virus. In this review, we first describe the general effects of TCDD
exposure on mammalian cells, then we focus on its influence on the viral
infections. Overall, the available data support the concept that TCDD exposure
may act as an additional risk factor in promoting of viral diseases.
PMID- 27883973
TI - Left ventricular adaptation after TAVI evaluated by conventional and speckle
tracking echocardiography.
AB - OBJECTIVE: Our study aimed to evaluate myocardial adaption and outcome after
transfemoral aortic valve implantation (TAVI TF) for severe aortic stenosis by LV
ejection fraction (LVEF) and speckle tracking analysis. METHODS AND RESULTS: From
168 patients who underwent TAVI TF between 2010 and 2013 in our institution, n=95
with a follow-up period >=3months were included and grouped (G) according to
baseline left ventricular ejection fraction (LVEF; G1: LVEF<40%, G2: 40%-55%, G3:
>55%). LV function was evaluated using conventional and speckle-tracking based
parameters. Mortality was tracked up to 60months. Aortic valve orifice diameter
and mean aortic gradient improved significantly after TAVI. LV dimensions and
LVEF remained largely unchanged. Systolic function significantly improved in G1
(LVEF, p<0.001, global longitudinal strain [GLS], p=0.02) but deteriorated in G3
(LVEF, p=0.004, GLS, p=0.03). It did not change in G2. Diastolic function
parameters improved significantly only in G3. Changes of LVEF and GLS were also
statistically significant between G1 and G3 (LVEF p<0.0001, GLS p=0.004).
Patients exhibited a higher survival rate with an LVEF increase >8% than with
LVEF deterioration >8% (p=0.04). GLS changes had no significant impact on
mortality. CONCLUSION: In patient with aortic stenosis and LVEF impairment at
baseline, TAVI results in an improved LVEF, while patients with a high LVEF at
baseline experience a normalization of LVEF after TAVI. Independent of the
baseline value, a deterioration of LVEF leads to a significant increase in
mortality.
PMID- 27883974
TI - Is undersized self-expandable prosthesis a valuable selection for transcatheter
aortic valve replacement in high risk bicuspid aortic valve stenosis? Report of
two successful cases.
PMID- 27883972
TI - Rheumatic heart disease in a developing country: Incidence and trend (Monastir;
Tunisia: 2000-2013).
AB - BACKGROUND: The penicillin therapy of beta hemolytic streptococcal pharyngitis
has aided in the decrease of rheumatic heart disease (RHD) in developing
countries. Tunisia is an endemic area, however, and incidence of RHD is weakly
documented. We aimed at establishing the standardized incidence rate (SIR) of RHD
in Monastir governorate and at determining RHD prevalence among hospitalized
patients in two cardiology departments. METHODS: From the regional register of
Monastir Hospital morbidity, we have selected newly diagnosed patients with RHD,
residents of Monastir, and hospitalized to the 2 cardiology departments between
2000 and 2013 (2001 not included). FINDINGS: We studied 676 newly admitted
patients. We estimate 1060 to be the number of new annual RHD cases in Tunisia.
The SIR per 105 person-years was 10.97, being 9.3 in men and 19.1 in women,
respectively. We have notified a negative trend of crude incidence rate/105
Inhabitants (Inh) (CIR) (r=-0.23, p<10-3), and a strong positive correlation
between age and CIR/105 Inh (r=0.989, p<10-4). RHD lethality was 1%. We have
registered 728 hospitalizations for RHD, representing 2.5% of all cardiology
hospitalizations [95% CI: 2.3-2.7%], with a prevalence for 13.3% for women aged
15-29years. The median hospital stay was 9days (IQR: 5-15). CONCLUSION: Our
results confirm the RHD incidence decrease, consistent with epidemiological
transition in Tunisia. We have also emphasized on the close trend of RHD with age
and the predominance of RHD among women especially at the procreation age.
PMID- 27883975
TI - Evaluation of current practices in transcatheter aortic valve implantation: The
WRITTEN (WoRldwIde TAVI ExperieNce) survey.
AB - BACKGROUND: Transcatheter aortic valve implantation (TAVI) has been adopted
worldwide as the standard treatment for severe aortic stenosis in symptomatic
patients at prohibitive or high surgical risk, but there are still several areas
where consensus and evidence are lacking. The purpose was to obtain a global view
of current practice related to TAVI with the potential to identify the main areas
of consensus and divergence between centers. METHODS: An online questionnaire was
distributed in centers performing TAVI including a total of 59 questions
concerning pre-procedural evaluation, procedural practices and post-procedural
management. RESULTS: The survey was completed by 250 centers (with a cumulative
experience of nearly 70,000 TAVI) from 38 different countries. Heart team
meetings and surgical risk scores were routinely performed in most (>95%)
centers, but frailty (44%) and quality of life (28%) assessments were less
frequently performed. General anesthesia remained the most frequent type of
anesthesia (60% of centers), and significant variability was detected in the
examinations for residual aortic regurgitation assessment during the procedure
and in post-procedural ECG monitoring and temporary pacemaker implementation
(from none to >=72h post-TAVI). Dual antiplatelet therapy duration post-TAVI was
highly variable (1, 3, and >=6months in 14%, 41% and 32% of centers,
respectively) and lack of consensus in antithrombotic regimen was observed in
patients with atrial fibrillation requiring anticoagulation therapy
(anticoagulation alone, anticoagulation+aspirin, anticoagulation+clopidogrel, and
triple therapy in 28%, 37%, 26% and 4% of centers, respectively). CONCLUSIONS:
The WRITTEN survey provided extensive data on current TAVI-related practice and
identified important differences between centers in key aspects of pre-, intra-,
and post-operative management. This highlights the urgent need for further
studies and evidence-based data to guide multiple aspects of the TAVI field.
PMID- 27883976
TI - Prognostic value of liver dysfunction assessed by MELD-XI scoring system in
patients undergoing transcatheter aortic valve implantation.
AB - BACKGROUND: There are limited data regarding the influence of liver dysfunction
on outcomes of transcatheter aortic valve implantation (TAVI). Model for End
stage Liver Disease eXcluding International normalized ratio (MELD-XI) score,
which was originally developed for patients with cirrhosis awaiting liver
transplantation, has been reported as a predictor of heart disease. The aim of
this study was to investigate the prognostic value of MELD-XI score for patients
undergoing TAVI. METHODS: Data from the prospectively maintained Optimized
transCathEter vAlvular iNtervention (OCEAN-TAVI) multicenter registry were
collected in 749 patients who underwent TAVI between October 2013 and August
2015. MELD-XI score was calculated as follows: 11.76*Ln (creatinine)+5.11*Ln
(total bilirubin)+9.44. Patients were categorized based on MELD-XI score>10 or
<=10, and compared with regard to clinical characteristics and outcomes of TAVI.
RESULTS: Higher MELD-XI score was associated with lower 30-day survival (95.6% vs
98.5%, P=0.03). Kaplan-Meier analysis revealed that higher MELD-XI score also was
associated with lower 6-month survival (P<0.01). Multivariate Cox regression
analysis showed that MELD-XI score was an independent predictor of 6-month
cumulative mortality. Receiver operating characteristic analysis revealed that
MELD-XI score showed better accuracy in predicting 6-month mortality compared
with Logistic European System for Cardiac Operative Risk Evaluation, European
System for Cardiac Operative Risk Evaluation II, and Society of Thoracic Surgeons
scores (area under the curve=0.67, 0.58, 0.57, and 0.60, respectively).
CONCLUSION: Evaluation of liver dysfunction according to MELD-XI score provides
additional risk information for patients undergoing TAVI.
PMID- 27883977
TI - Age-related changes of thoracic aorta geometry used to predict the risk for acute
type B dissection.
AB - AIMS: Risk models that use a single aortic diameter threshold have failed to
successfully predict acute type B aortic dissection (TBAD). We sought to identify
meaningful age-indexed anatomical variables to predict TBAD risk. METHODS AND
RESULTS: A geometric deformable model, consisting of virtual elastic balloons
that inflate inside a vessel lumen, was developed to quantify thoracic aorta
geometry. In the presence of TBAD, true and total artery lumen morphology were
assessed. A stepwise logistic model was built to predict TBAD risk. Initial
covariates included age, gender, body mass index and all anatomic variables not
directly related to the dissected segment. Patients with acute TBAD (n=34, 62+/
12years old, 57% male gender) were compared with subjects with symptoms of
dissection, but with a subsequent negative diagnosis (n=51, 62+/-12years old, 76%
male gender). Patient risk factors did not differ between groups. Most aortic
anatomical variables were age-dependent. Aortic size was larger in every segment
of the dissected with respect to non-dissected aortas (p<0.001). Variables
entering the TBAD risk prediction model were aortic arch diameter, thoracic aorta
length and age (predictability=0.9764, r=0.85), confirmed by a bootstrap internal
validation. In dissected aortas, the true lumen volume was correlated to age
(r=0.72). CONCLUSIONS: TBAD probability increases with a larger aortic arch
diameter and a longer thoracic aorta, whereas threshold values increase with age.
The aortic morphology was age-dependent. After dissection, true lumen volume
correlated to age. The use of threshold values indexed to age should be
encouraged to better prevent and eventually treat TBAD.
PMID- 27883978
TI - Outcomes of borderline rheumatic heart disease: A prospective cohort study.
AB - CONTEXT AND AIMS: The advent of systematic screening for rheumatic heart disease
(RHD) by echocardiography in endemic regions has led to a new entity: borderline
RHD. The pathogenicity and natural history of borderline RHD needs to be
addressed. The aim of this study was to assess the outcomes of children detected
by echocardiography as having borderline RHD. METHODS: Schoolchildren in 4th
grade (i.e., aged 9-10years) who were prospectively echo-screened for RHD (2012
2014) in Noumea, New Caledonia, were asked to participate. Children with
borderline RHD according to consistent independent review by two cardiologists
were included and followed-up in 2015. RESULTS: Among the 8684 schoolchildren
screened, 49 were diagnosed with borderline RHD according to the Cardiologist
clinically involved in the child's management plan. After independent review by
two cardiologists, 25 children were consistently diagnosed with borderline RHD
and included in the follow-up study. Overall, inter-observer agreement was
moderate with diagnostic kappa values of 0.63 (95% CI 0.45-0.78). After a median
follow-up of 23months (IQR (20.5-33.0), 15 children (60.0%) had stability of
valvular lesions, 8 (32.0%) had normal findings according to the WHF criteria.
Two children (8.0%) had definite RHD on the follow-up echocardiogram, but no
clinical events or audible pathological murmur during the study period. No factor
could be identified as prognostic of either stability or progression.
CONCLUSIONS: Borderline RHD diagnosed by systematic screening in high-risk
populations remains mostly unchanged at 2years follow-up. Diagnosis of borderline
RHD may require two reviewers for consistency.
PMID- 27883979
TI - Low NT-proBNP levels: An early sign for the diagnosis of ischemic heart failure.
AB - BACKGROUND: N-terminal pro-brain natriuretic peptide (NT-proBNP) is seen to be
mostly elevated in patients with acute heart failure (AHF). However, cases of AHF
presenting with low NT-proBNP levels have been reported. In this study designed
to investigate the factors associated with low NT-proBNP levels in AHF patients,
we discovered that etiology and related factors have an influence on NT-proBNP
levels. METHODS: In this study, 154 AHF patients met the study criteria (117 men,
median age 74years; left ventricular ejection fraction [LVEF] 46+/-13%; New York
Heart Association [NYHA] classes II-IV). We analyzed the different clinical
variables of patients based on plasma NT-proBNP levels. In addition, we
identified the differences in NT-proBNP levels between ischemic and non-ischemic
etiologies, as well as the relationships between time from symptom onset to ED
visit and NT-proBNP levels. RESULTS: The group with low NT-proBNP levels showed
an ischemic association, higher LVEF, lower NYHA class and shorter time from
symptom onset to ED visit. Plasma NT-proBNP levels were lower in the ischemic
group than in the non-ischemic group (P<0.01). Meanwhile, NT-proBNP levels were
relatively low in patients during early phases of AHF hospitalization and
increased with time from symptom onset to ED visit (P<0.01). CONCLUSION: We
inferred that low NT-proBNP levels may infer the ischemic etiology especially in
patients with normal LVEF in the early phases of AHF hospitalization.
PMID- 27883980
TI - Progression of coronary artery calcium in Japanese American men and white men in
the ERA JUMP study.
AB - BACKGROUND: Progression of coronary artery calcium (CAC) is associated with
increased risk of coronary heart disease (CHD) and is reported to be greater in
whites than blacks, Hispanics, and Chinese in the US. Our objective was to
compare progression of CAC between Japanese Americans and whites. METHODS:
Population-based sample of 303 Japanese American men and 310 white men aged 40
49years, free of clinical cardiovascular disease at baseline, were examined for
CAC at baseline (2004-2007) and follow-up (2008-2013). Progression of CAC was
defined as change in coronary calcium scores (CCS) in participants with baseline
CCS>0 and incident CAC in participants with baseline CCS=0. Multiple linear
regression and relative risk regression were used to compare change in CCS scores
and incident CAC between the two races, respectively. RESULTS: Japanese American
men had significantly greater annual change in CCS than white men (median
[interquartile range]: 11.3 Agatston units [1.4, 24.9] vs 2.5 [-0.22, 14.5]) in
the unadjusted analyses. After adjusting for cardiovascular risk factors and
follow-up time, change in CCS (beta+/-CI) and incidence rate ratio of CAC was
similar in Japanese American men and white men: -0.12 (-0.34, 0.15) and (0.87
[95% CI: 0.20, 3.9]), respectively. CONCLUSIONS: In contrast to previously
reported greater progression of CAC in whites than other races, we found a
similar progression of CAC in Japanese American men as white men. Our study
identifies Japanese American men as a target group for prevention of CHD. Large
prospective studies are warranted to confirm these findings.
PMID- 27883981
TI - Staging of third molar development in relation to chronological age of 5-16 year
old Indian children.
AB - BACKGROUND: The usefulness of the developmental status of the third molar has
been studied in assessment of the chronological age of adolescents in whom the
development of the other permanent teeth is nearly complete. However, little is
known about the timing and pattern of third-molar development in the Indian
population. AIM: This study aimed to stage the third molar development in
relation to chronological age of 5-16year old Indian children. DESIGN: In this
cross-sectional observational study, the status of third molar development in
relation to chronological age of 1139 Indian children aged 5-16 years was
evaluated radiographically, using Orhan's modification of Demirjian's method.
RESULTS: The frequency of occurrence of the third molars varied from 47% to 70%.
Crypt formation, crown completion and root completion occurred as early as 5.4,
8.7 and 15.0 years, respectively. No significant differences based on gender or
side were observed in third-molar development (p>0.05). For most stages,
maxillary third molars were slightly more advanced than their mandibular
counterparts (p>0.05). CONCLUSION: Considering the high degree of variability
observed in third molar genesis and development, the usefulness of this tooth in
age determination studies may be very limited in the age group studied.
PMID- 27883982
TI - Exocranial surfaces for sex assessment of the human cranium.
AB - Determination of sex is one of the most important and challenging disciplines in
biological anthropology. Creating a robust tool for sexing crania is crucial for
forensic anthropology, especially in this period of migration, travel, and
globalization, when different populations are mixed together in one region. Many
different approaches to sex estimation using the skull have been published;
however, population specificity and oscillation of variable sexual dimorphism
typically reduces their effectiveness. The aim of this study was to create a
robust classifier using virtual anthropology without the use of a CT scanner. The
entire cranial surface was analyzed using coherent point drift-dense
correspondence analysis and classification was performed using a support vector
machine with a radial kernel, minimizing subjective error. The study sample
consisted of 103 CT scans of a recent southern French population. Virtual scans
of 52 males and 51 females (age from 18 to 92) were analyzed using 3D software
systems (Rapidform, Avizo, Morphome3cs) and innovative approaches in geometric
morphometrics. Leave-one-out crossvalidation was also applied. Sex differences in
shape and form were displayed by colour scale maps. The whole cranial surface was
significantly different between males and females in size (form). Sexual
dimorphism was significantly lower in senile skulls. The most exclusive areas
were the supraorbital region, orbits, cheek bones, nasal apertures, mastoids, and
external occipital protuberances. The method provided a high level of
classification accuracy (90.3%) in sexing male and female skulls and is a
valuable tool for sex determination.
PMID- 27883983
TI - Loss and replacement of small particles on the contact surfaces of footwear
during successive exposures.
AB - On the contact surfaces of footwear loosely, moderately and strongly held
particle fractions were separated and analyzed in an effort to detect different
particle signals. Three environmental exposure sites were chosen to have
different, characteristic particle types (soil minerals). Shoes of two types
(work boots and tennis shoes) were tested, accumulating particles by walking 250m
in each environment. Some shoes were exposed to only one environment; others were
exposed to all three, in one of six different sequences. Sampling methods were
developed to separate particles from the contact surface of the shoe based on how
tightly they were held to the sole. Loosely held particles were removed by
walking on paper, moderately held particles were removed by electrostatic
lifting, and the most tightly held particles were removed by moist swabbing. The
resulting numbers and types of particles were determined using forensic
microscopy. Particle profiles from the different fractions were compared to test
the ability to objectively distinguish the order of exposure to the three
environments. Without exception, the samples resulting from differential sampling
are dominated by the third site in the sequential footwear exposures. No
noticeable differences are seen among the differential samplings of the loosely,
moderately and strongly held particles: the same overwhelming presence of the
third site is seen. It is clear from these results (1) that the third (final)
exposure results in the nearly complete removal of any particles from prior
exposures, and (2) that under the experimental conditions loosely, moderately and
strongly held particles are affected similarly, without any detectable enrichment
of the earlier exposures among the more tightly held particles. These findings
have significant implications for casework, demonstrating that particles on the
contact surfaces of footwear are rapidly lost and replaced.
PMID- 27883984
TI - Car speed estimation based on cross-ratio using video data of car-mounted camera
(black box).
AB - This paper proposes several methods for using footages of car-mounted camera (car
black box) to estimate the speed of the car with the camera, or the speed of
other cars. This enables estimating car velocities directly from recorded
footages without the need of specific physical locations of cars shown in the
recorded material. To achieve this, this study collected 96 cases of black box
footages and classified them for analysis based on various factors such as travel
circumstances and directions. With these data, several case studies relating to
speed estimation of camera-mounted car and other cars in recorded footage while
the camera-mounted car is stationary, or moving, have been conducted.
Additionally, a rough method for estimating the speed of other cars moving
through a curvilinear path and its analysis results are described, for practical
uses. Speed estimations made using cross-ratio were compared with the results of
the traditional footage-analysis method and GPS calculation results for camera
mounted cars, proving its applicability.
PMID- 27883985
TI - A systematic review of passive exposure to cannabis.
AB - Passive exposure to cannabis smoke may induce effects on behavior and psychomotor
skills, and have legal consequences, including the risk of being falsely
considered as a cannabis user. This can become a concern, especially in
occupational contexts or when driving vehicles. In order to enable a
differentiation between a passive and an active exposure to cannabis and to limit
the likeliness to be detected positive following passive exposure, this review
identified specific biomarkers of passive exposure in urine, blood, oral fluid,
hair, and sebum. Out of 958 papers identified on passive exposure to cannabis, 21
were selected. Although positive tests had been observed in all matrices
following extremely high passive exposure, some distinctive features were
observed in each matrix compared to cannabis active use. More specifically, in
everyday life conditions, 11-nor-delta-9-THC-carboxylic acid (THC-COOH) urinary
level should be detected below the positivity threshold used to confirm active
smoking of cannabis, especially after normalization to creatinine level.
Measuring delta-9-tetrahydrocannabinol (THC) and THC-COOH in blood is an
appropriate alternative for appraising passive exposure as low and very low
concentrations of THC and THC-COOH, respectively, should be measured. In hair,
oral fluid (OF) and sweat/sebum emulsion, no THCCOOH should be detected. Its
presence in hair argues for regular cannabis consumption and in OF or sweat for
recent consumption. The experts should recommend to persons who have to
demonstrate abstinence from cannabis to avoid heavily smoky and unventilated
environments.
PMID- 27883986
TI - A model of free-living gait: A factor analysis in Parkinson's disease.
AB - INTRODUCTION: Gait is a marker of global health, cognition and falls risk. Gait
is complex, comprised of multiple characteristics sensitive to survival, age and
pathology. Due to covariance amongst characteristics, conceptual gait models have
been established to reduce redundancy and aid interpretation. Previous models
have been derived from laboratory gait assessments which are costly in equipment
and time. Body-worn monitors (BWM) allow for free-living, low-cost and continuous
gait measurement and produce similar covariant gait characteristics. A BWM gait
model from both controlled and free-living measurement has not yet been
established, limiting utility. METHODS: 103 control and 67 PD participants
completed a controlled laboratory assessment; walking for two minutes around a
circuit wearing a BWM. 89 control and 58 PD participants were assessed in free
living, completing normal activities for 7 days wearing a BWM. Fourteen gait
characteristics were derived from the BWM, selected according to a previous
model. Principle component analysis derived factor loadings of gait
characteristics. RESULTS: Four gait domains were derived for both groups and
conditions; pace, rhythm, variability and asymmetry. Domains totalled 84.84% and
88.43% of variance for controlled and 90.00% and 93.03% of variance in free
living environments for control and PD participants respectively. Gait
characteristic loading was unambiguous for all characteristics apart from gait
variability which demonstrated cross-loading for both groups and environments.
The model was highly congruent with the original model. CONCLUSIONS: The
conceptual gait models remained stable using a BWM in controlled and free-living
environments. The model became more discrete supporting utility of the gait model
for free-living gait.
PMID- 27883987
TI - Changes in lumbopelvic rhythm during trunk extension in adolescent soccer
players.
AB - Many adolescent athletes experience low back pain (LBP). Its causative factors
include lower limb muscle tightness and hip-spine incoordination. Hip-spine
coordination [or lumbopelvic rhythm, LPR] can be used to assess lower-limb and
spine functions. We assessed the presence/absence of LBP in adolescent soccer
players before and after a six-month period and divided them into four groups: no
LBP both before and after the period (NBP group); LBP before but not after (PN
group); LBP after but not before (NP group); and LBP both before and after (LBP
group). We used a 3D motion analysis system during trunk extension to measure the
lumbar spine and hip ranges of motion (ROMs). On comparing the results obtained
before and after the six-month period, lumbar spine ROM decreased in the NP
group, hip ROM increased in the LBP group. From before to after the period, the
linear prediction indicated that, when the hip extends by 1 degrees , the lumbar
spine extends by 3.5 degrees -3.2 degrees for the PN group and by 3.4 degrees
2.8 degrees for the NP group. The NP group extended their lumbar spine
excessively compared with the hip before the period, which could cause LBP, but
decreased the extension after the period. Lumbar extension relative to hip
extension decreased in the PN group, which could decrease excessive load on the
lumbar spine and eliminate LBP. These findings suggest that to prevent LBP in
adolescent soccer players, it is important to restrict lumbar spine extension
relative to hip extension.
PMID- 27883988
TI - Real-time feedback to improve gait in children with cerebral palsy.
AB - Real-time feedback may be useful for enhancing information gained from clinical
gait analysis of children with cerebral palsy (CP). It may also be effective in
functional gait training, however, it is not known if children with CP can adapt
gait in response to real-time feedback of kinematic parameters. Sixteen children
with cerebral palsy (age 6-16; GMFCS I-III), walking with a flexed-knee gait
pattern, walked on an instrumented treadmill with virtual reality in three
conditions: regular walking without feedback (NF), feedback on hip angle (FH) and
feedback on knee angle (FK). Clinically relevant gait parameters were calculated
and the gait profile score (GPS) was used as a measure of overall gait changes
between conditions. All children, except one, were able to improve hip and/or
knee extension during gait in response to feedback, with nine achieving a
clinically relevant improvement. Peak hip extension improved significantly by
5.1+/-5.9 degrees (NF: 8.9+/-12.8 degrees , FH: 3.8+/-10.4 degrees , p=0.01).
Peak knee extension improved significantly by 7.7+/-7.1 degrees (NF: 22.2+/-12.0
degrees , FK: 14.5+/-12.7 degrees , p<0.01). GPS did not change between
conditions due to increased deviations in other gait parameters. Responders to
feedback were shown to have worse initial gait as measured by GPS (p=0.005) and
functional selectivity score (p=0.049). In conclusion, ambulatory children with
CP show adaptability in gait and are able to respond to real-time feedback,
resulting in significant and clinically relevant improvements in peak hip and
knee extension. These findings show the potential of real-time feedback as a tool
for functional gait training and advanced gait analysis in CP.
PMID- 27883990
TI - Force-distance curves on lubricant films: An approach to the characterization of
the shape of the AFM tip.
AB - Force-distance curves have been acquired with an atomic force microscope on
perfluorpolyether films. It is shown that curves acquired on films of different
thickness, at different rates and with different dwell times can be overlapped by
rescaling the time or distance axis. When the time or distance axis is rescaled,
the force depends only on the surface tension of perfluorpolyether and on
geometrical properties of the tip (aperture and perimeter of the tip at a given
distance from the apex). Hence, curves acquired with the same tip overlap. By
comparing curves acquired at different rates the dynamics of tip-wetting can be
investigated. Furthermore, rescaled force-distance curves have been matched with
the perimeter of cross sections of the tip, i.e. with the perimeter of the three
phase contact line. Such measurements pave the way for a non-destructive
investigation of the tip shape.
PMID- 27883989
TI - Recombinant anthrax protective antigen: Observation of aggregation phenomena by
TEM reveals specific effects of sterols.
AB - Negatively stained transmission electron microscope images are presented that
depict the aggregation of recombinant anthrax protective antigen (rPA83 monomer
and the PA63 prepore oligomer) under varying in vitro biochemical conditions.
Heat treatment (50 degrees C) of rPA83 produced clumped fibrils, but following
heating the PA63 prepore formed disordered aggregates. Freeze-thaw treatment of
the PA63 prepore generated linear flexuous aggregates of the heptameric
oligomers. Aqueous suspensions of cholesterol microcrystals were shown to bind
small rPA83 aggregates at the edges of the planar bilayers. With PA63 a more
discrete binding of the prepores to the crystalline cholesterol bilayer edges
occurs. Sodium deoxycholate (NaDOC) treatment of rPA83 produced quasi helical
fibrillar aggregate, similar but not identical to that produced by heat
treatment. Remarkably, NaDOC treatment of the PA63 prepores induced
transformation into pores, with a characteristic extended beta-barrel. The PA63
pores aggregated as dimers, that aggregated further as angular chains and closed
structures in higher NaDOC concentrations. The significance of the sterol
interaction is discussed in relation to its likely importance for PA action in
vivo.
PMID- 27883991
TI - A comparative morphological study of the epiandrous apparatus in mygalomorph
spiders (Araneae, Mygalomorphae).
AB - Many adult male spiders have silk glands which are not associated with the
spinnerets. They occur on the anterior margin of the genital furrow and are used
during the building of the sperm web and sperm droplet induction. These
epiandrous glands exit the body through ducts which lead to specialized spigots.
In the taxon Mygalomorphae, the presence of epiandrous spigots is just reported
for a couple of species but their morphology has not been investigated. In this
paper we provide a detailed study of the ultrastructure morphology of eighteen
species belonging to eight families using light and scanning electron microscopy
(SEM). We describe and present images of the epiandrous spigots above the genital
opening. Also, we compare the morphology of spigots between families and describe
the epiandrous glands through histology.
PMID- 27883992
TI - Precuneal Thickness and Depression in Parkinson Disease.
AB - BACKGROUND: Depression-related gray matter changes in Parkinson disease (PD)
patients have been reported, although studies investigating cortical thickness in
early-stage disease are lacking. OBJECTIVE: We aimed to evaluate cortical changes
related to depression in early-stage PD patients with an extensive
neuropsychological evaluation. METHODS: 17 PD patients and 22 healthy controls
underwent a 1.5-T brain MR protocol, and voxel-wise differences in cortical
thickness among patients with (n = 6) and without (n = 11) depression and
controls were evaluated using FreeSurfer software. RESULTS: Cortical thickness
was increased in the precuneus bilaterally in PD patients with depression
compared to the other groups (number of vertices >100; p < 0.001, uncorrected)
with a direct correlation with the Beck Depression Inventory score (p < 0.001,
uncorrected). CONCLUSION: Precuneal cortical thickening is evident in PD patients
with mild-moderate depression even in the early stages of the disease. This
finding may reflect the early involvement of this region in the development of PD
related depression.
PMID- 27883993
TI - Stimulation of the Nonneuronal Cholinergic System by Highly Diluted Acetylcholine
in Keratinocytes.
AB - The physiological effects of acetylcholine on keratinocytes depend on the
presence of nicotinic and muscarinic receptors. The role of nonneuronal
acetylcholine in keratinocytes could have important clinical implications for
patients with various skin disorders such as nonhealing wounds. In order to
evaluate the efficacy of highly diluted acetylcholine solutions obtained by
sequential kinetic activation, we aimed to investigate the effects of these
solutions on normal human keratinocytes. Two different concentrations (10 fg/mL
and 1 pg/mL) and formulations (kinetically activated and nonkinetically
activated) of acetylcholine were used to verify keratinocyte viability,
proliferation, and migration and the intracellular pathways involved using MTT,
crystal violet, wound healing, and Western blot compared to 147 ng/mL
acetylcholine. The activated formulations (1 pg/mL and 10 fg/mL) revealed a
significant capacity to increase migration, cell viability, and cell
proliferation compared to 147 ng/mL acetylcholine, and these effects were more
evident after a single administration. Sequential kinetic activation resulted in
a statistically significant decrease in reactive oxygen species production
accompanied by an increase in mitochondrial membrane potential and a decrease in
oxygen consumption compared to 147 ng/mL acetylcholine. The M1 muscarinic
receptor was involved in these effects. Finally, the involvement of ERK/mitogen
activated protein kinases (MAPK) and KI67 confirmed the effectiveness of the
single treatment on cell proliferation. The intracellular pathways of calcium
were investigated as well. Our results indicate for the first time that highly
diluted and kinetically activated acetylcholine seems to play an active role in
an in vitro model of wound healing. Moreover, the administration of acetylcholine
within the physiological range may not only be effective but is also likely to be
safe.
PMID- 27883994
TI - Monitoring Huntington's Disease Mortality across a 30-Year Period: Geographic and
Temporal Patterns.
AB - BACKGROUND: Huntington's disease (HD) is a progressive neurodegenerative
condition characterized by chorea, dystonia, behavioral disturbances and
cognitive decline. The aim of this study is to assess temporal and spatial
changes on mortality attributable to HD over 30 years in Spain. METHODS: HD data
were extracted from the nationwide mortality registry for the period 1984-2013.
Annual and 5-year gender- and age-specific rates adjusted for the standard
European population were calculated. Geographic analysis was performed by
districts from 1999 through 2013, and then estimated standardized mortality
ratios (SMRs) and smoothed SMRs. RESULTS: There were 1,556 HD-related deaths
across the study period. An increasing trend in age-adjusted HD mortality was in
evidence, specifically from 1994 through 1998. On a year-by-year basis, age
adjusted mortality rates increased from 0.076 per 100,000 population in 1984 to
0.157 in 2013. Geographical differences among districts were evident in specific
areas and in the southwest of Spain with a significantly higher HD mortality
risk. CONCLUSION: HD mortality rising trends in Spain might be attributable to
improvements in diagnosis leading to a rise in prevalence. Geographical
variability in HD mortality could be related to regional differences in disease
prevalence, health-care disparities, or other factors which call for in-depth
assessment in future studies.
PMID- 27883995
TI - Natural Terpenes Influence the Activity of Antibiotics against Isolated
Mycobacterium tuberculosis.
AB - OBJECTIVE: In this study, we aimed to describe the influence of natural terpenes
on the antimycobacterial activity of first-line tuberculostatic drugs against
isolated Mycobacterium tuberculosis. MATERIALS AND METHODS: The natural terpenes
used in this study were R-limonene, S-limonene, myrcene, sabinene, alpha-pinene,
and beta-elemene. The values of the minimum inhibitory concentration (MIC) for
these terpenes, as well as for combinations of terpenes with tuberculostatic
antibiotics (ethambutol, isoniazid, and rifampicin), were determined using a tube
log2 dilution method in the range of 125-0.059 ug/mL. RESULTS: S-limonene had a
strong synergistic effect with all tested antibiotics (MIC decreased from 16 to
0.475 ug/mL for ethambutol, from 16 to 0.237 ug/mL for rifampicin, and from 32 to
0.475 ug/mL for isoniazid). Combinations of myrcene, R-limonene, beta-elemene,
and sabinene with tuberculostatic antibiotics resulted in a decreased MIC of the
antibiotics (from 3.9 to 0.475 ug/mL for ethambutol, from 15 to 0.475 ug/mL for
isoniazid, and from 0.475 to 0.237 ug/mL for rifampicin) while combinations of
alpha-pinene with ethambutol and isoniazid resulted in increased MIC values (from
16 to 125 ug/mL for ethambutol, and from 32 to 125 ug/mL for isoniazid).
Rifampicin had a synergistic increase in activity with all the tested compounds.
CONCLUSIONS: Our study showed that terpenes enhance the activity of
tuberculostatic antibiotics.
PMID- 27883996
TI - Phototherapy with Narrow-Band UVB in Adult Guttate Psoriasis: Results and Patient
Assessment.
AB - BACKGROUND: Acute guttate psoriasis (AGP) is a distinctive clinical entity with
good response to treatment with narrow-band ultraviolet B (NB-UVB). OBJECTIVE: To
investigate the results of NB-UVB phototherapy in adult patients with adult
guttate psoriasis. MATERIAL AND METHODS: We carried out a prospective, open, and
observational study. Patients over 18 years with more than 5% of body surface
area affected were included. The PASI was assessed prior to and after treatment.
The follow-up period was 18 months. After treatment, patients completed a simple
questionnaire to assess their overall impression of the treatment. RESULTS: The
67 adult patients with AGP included in this study had an initial PASI of 8.55 (SD
5.03). Patients were treated with a mean of 19.9 sessions (SD 13.5) and mean
doses of 14 mJ/cm2 (SD 10.5). Of the 67 patients, 52 achieved PASI90 with 96.15%
of PASI reduction, and of these, 46 (88%) maintained PASI90 during the 18 months
of follow-up. Patients were very satisfied with the treatment. DISCUSSION: AGP is
a defined clinical entity with a variable course. Phototherapy with NB-UVB
appears to be a very good option for treatment of AGP because of the good results
obtained and patient satisfaction.
PMID- 27883997
TI - CBT4BN: A Randomized Controlled Trial of Online Chat and Face-to-Face Group
Therapy for Bulimia Nervosa.
AB - OBJECTIVE: Although cognitive-behavioral therapy (CBT) represents the first-line
evidence-based psychotherapy for bulimia nervosa (BN), most individuals seeking
treatment do not have access to this specialized intervention. We compared an
Internet-based manualized version of CBT group therapy for BN conducted via a
therapeutic chat group (CBT4BN) to the same treatment conducted via a traditional
face-to-face group therapy (CBTF2F). METHOD: In a two-site, randomized,
controlled noninferiority trial, we tested the hypothesis that CBT4BN would not
be inferior to CBTF2F. A total of 179 adult patients with BN (2.6% males)
received up to 16 sessions of group CBT over 20 weeks in either CBT4BN or CBTF2F,
and outcomes were compared at the end of treatment and at the 12-month follow-up.
RESULTS: At the end of treatment, CBT4BN was inferior to CBTF2F in producing
abstinence from binge eating and purging. However, by the 12-month follow-up,
CBT4BN was mostly not inferior to CBTF2F. Participants in the CBT4BN condition,
but not CBTF2F, continued to reduce their binge-eating and purging frequency from
the end of treatment to the 12-month follow-up. CONCLUSIONS: CBT delivered online
in a group chat format appears to be an efficacious treatment for BN, although
the trajectory of recovery may be slower than face-to-face group therapy. Online
chat groups may increase accessibility of treatment and represent a cost
effective approach to service delivery. However, barriers in service delivery
such as state-specific license and ethical guidelines for online therapists need
to be addressed.
PMID- 27883998
TI - The Non-Ergot Derived Dopamine Agonist Quinagolide as an Anti-Endometriotic
Agent.
AB - AIM: The study aimed to investigate the efficacy of a dopamine agonist,
quinagolide, on experimentally induced endometriosis in a rat model. METHODS:
Twenty female Wistar rats were used in this experiment. Endometriosis was
surgically induced by transplantation of autologous endometrial tissue. A second
laparotomy was performed 4 weeks after the first one to assess the pre-treatment
implant volumes, and peritoneal lavage with saline solution was performed to
assess the peritoneal cytokine levels. Rats were randomized to treatment with
quinagolide or saline. At the end of the treatment period, a third laparotomy was
performed to compare pre- and post-treatment implant volumes and cytokine levels
within the groups. Implants were excised to compare glandular tissue (GT) and
stromal tissue (ST) scores between the groups. RESULTS: In the quinagolide group,
post-treatment volume was statistically significantly reduced compared with pre
treatment volume (p = 0.01). There were significant decreases in interleukin-6
(IL-6) and vascular endothelial growth factor (VEGF) levels in peritoneal fluid
samples in quinagolide-treated rats when compared to pre-treatment levels (p =
0.03 and p < 0.01). Histopathologically, both GT and ST scores were significantly
lower in the quinagolide group compared to the control group (p = 0.01 and p =
0.02). CONCLUSIONS: Quinagolide caused a significant regression in endometriotic
implants and it also significantly reduced the levels of IL-6 and VEGF in
peritoneal fluid.
PMID- 27884000
TI - Epigenetic Interactions and the Brain-Body Communication.
PMID- 27883999
TI - Low Prevalence of Nodules in Rheumatoid Arthritis Patients in Kuwait: A
Description and a Comparison of Patients from the Kuwait Registry for Rheumatic
Diseases.
AB - OBJECTIVES: To describe the prevalence of rheumatoid nodules (RN) in patients
with rheumatoid arthritis (RA) and to compare their features with those of
patients without RN. SUBJECTS AND METHODS: Adult RA patients (n = 952) in the
Kuwait Registry for Rheumatic Diseases from February 2013 to December 2015 were
evaluated for RN. Demographic and serological features and disease activity and
severity were obtained from the registry. RESULTS: Of the 952 RA patients, 22
(2.3%) had RN and 930 (97.7%) did not. Age, sex, disease duration, smoking, and
family history of an autoimmune rheumatic disease were similar. Obesity was more
prevalent in the RN group, i.e. 11 (50%) vs. 326 (35.1%), p = 0.016. There was no
difference in rheumatoid factor (RF) or anti-cyclic citrullinated peptide
antibody positivity. Patients with RN had more sicca symptoms, i.e. 8 (36.4%) vs.
152 (16.3%), p = 0.025, a higher mean score on the visual analogue scale pain (3
+/- 2.9 vs. 2 +/- 2.7, p < 0.001), more tender joints (6.4 +/- 8.8 vs. 4.2 +/-
7.2, p = 0.001), a higher patient global assessment of disease activity (3.3 +/-
2.7 vs. 2.3 +/- 2.7, p < 0.001), and more deformities, i.e. 3 (13.6%) vs. 74
(8%), p = 0.034. The mean health assessment questionnaire score in RN patients
was 1.1 versus 0.9 in patients without RN (p = 0.08). Patients with RN had a low
disease activity (means: disease activity score [DAS-28], 3.02; clinical disease
activity index, 7.7; and simple disease activity index, 10.4), similar to the
other group. While the rates of methotrexate treatment were comparable, biologic
therapy was administered more in patients with RN (i.e. 15 [68.2%] vs. 478
[51.4%], p < 0.001). CONCLUSION: In Kuwait, the prevalence of RN is low among RA
patients. Patients with and without RN are similar in terms of demographics and
serologic features, except for more obesity. However, patients with RN have more
sicca symptoms, joint deformities, and painful and tender joints. Disease
activity scores are low with more frequent biologic therapy.
PMID- 27884001
TI - Effects of the Integrative Mind-Body Intervention on Depression, Sleep
Disturbances and Plasma IL-6.
PMID- 27884002
TI - Depression following Acute Coronary Syndrome: Time-Specific Interactions between
Stressful Life Events, Social Support Deficits, and 5-HTTLPR.
PMID- 27884003
TI - Alexithymia as a Moderator of Treatment Outcomes in a Randomized Controlled Trial
on Brief Psychodynamic Interpersonal Psychotherapy for Patients with
Multisomatoform Disorder.
PMID- 27884005
TI - The Journal's Appreciation.
PMID- 27884004
TI - Precapillary Pulmonary Hypertension and Sleep-Disordered Breathing: Is There a
Link?
AB - Among patients with sleep apnea the reported prevalence of precapillary pulmonary
hypertension (PH) has varied largely, depending on patient selection, disease
definition, and associated conditions, in particular chronic pulmonary disease.
However, in the absence of comorbidities, PH seems to be rare in patients with
sleep apnea. Conversely, sleep-related breathing disorders have been commonly
found in patients with PH and they have been associated with an impaired quality
of life. Since sleep-related breathing disorders may affect the pulmonary
circulation and vice versa, patients with sleep-related breathing disorders
should be evaluated for risk factors, symptoms and clinical signs of PH and right
ventricular heart failure and patients with PH should be evaluated for sleep
apnea. Therapeutic options for patients with sleep apnea and PH may include
supplemental oxygen, drugs and positive pressure ventilation. Both nocturnal
oxygen administration and acetazolamide have been shown to improve sleep apnea in
patients with PH. In addition, oxygen therapy also improved exercise performance.
Further studies are needed to corroborate the efficacy of these and other
treatments.
PMID- 27884006
TI - Current Psychosomatic Practice.
AB - Psychosomatic research has advanced over the past decades in dealing with complex
biopsychosocial phenomena and may provide new effective modalities of patient
care. Among psychosocial variables affecting individual vulnerability, course,
and outcome of any medical disease, the role of chronic stress (allostatic
load/overload) has emerged as a crucial factor. Assessment strategies include the
Diagnostic Criteria for Psychosomatic Research. They are presented here in an
updated version based on insights derived from studies carried out so far and
encompass allostatic overload, type A behavior, alexithymia, the spectrum of
maladaptive illness behavior, demoralization, irritable mood, and somatic
symptoms secondary to a psychiatric disorder. Macroanalysis is a helpful tool for
identifying the relationships between biological and psychosocial variables and
the individual targets for medical intervention. The personalized and holistic
approach to the patient includes integration of medical and psychological
therapies in all phases of illness. In this respect, the development of a new
psychotherapeutic modality, Well-Being Therapy, seems to be promising. The growth
of subspecialties, such as psychooncology and psychodermatology, drives towards
the multidisciplinary organization of health care to overcome artificial
boundaries. There have been major transformations in health care needs in the
past decades. From psychosomatic medicine, a land of innovative hypotheses and
trends, many indications for changes in the current practice of medicine are now
at hand. The aim of this critical review is to outline current and potential
clinical applications of psychosomatic methods.
PMID- 27884008
TI - Left-Ventricular Plication Reduces Wall Stress and Cardiomyocyte Hypertrophy in a
Rat Model of Ischemic Cardiomyopathy.
AB - BACKGROUND: The indications of left-ventricular plication (LVP) are
controversial, although several studies have reported favorable outcomes in heart
failure patients. The aim of this study was to assess left-ventricular (LV) wall
stress and myocardial remodeling after LVP in a rat model of myocardial
infarction (MI). METHODS: Sixteen rats underwent LVP by excluding the LV anterior
wall scar 4 weeks after ligation of the left anterior descending artery. After 4
weeks, LV wall stress was assessed using transthoracic echocardiography and an LV
catheter. Gene expression of the wall stress markers, atrial natriuretic factor
(ANF) and brain natriuretic peptide (BNP), were evaluated via reverse
transcription polymerase chain reaction. Cardiomyocyte area and myocardial
fibrosis were also examined through histological examinations. These parameters
were compared to those in 16 rats that underwent coronary artery ligation but not
LVP. RESULTS: We noted that the LV end-diastolic dimension was smaller (9.9 +/-
0.3 vs. 11.2 +/- 0.2 mm, p < 0.05) and fractional shortening was greater (25 +/-
2 vs. 15 +/- 1%, p < 0.05) in LVP rats than in sham rats. Moreover, systolic wall
stress was lower in LVP rats (71 +/- 7 vs. 111 +/- 9 * 103 dyn/cm2, p < 0.05).
Myocardial ANF and BNP expression levels were lower in LVP rats (2.6 +/- 0.3 vs.
4.4 +/- 0.5 and 1.0 +/- 0.1 vs. 1.5 +/- 0.2 arbitrary units, respectively; p <
0.05). Cardiomyocyte area was significantly decreased in LVP rats (556 +/- 15 vs.
670 +/- 28 MUm2, p = 0.003) and was correlated with LV wall stress (r = 0.669, p
= 0.002). The reduction in myocardial fibrosis after LVP was not significant.
CONCLUSION: LVP reduced LV wall stress and cardiomyocyte hypertrophy in a rat
model of MI.
PMID- 27884009
TI - Motivation for Psychological Treatment Predicts Favorable Outcomes in Multimodal
Interdisciplinary Treatment for Chronic Somatoform Pain.
PMID- 27884010
TI - Less Neutrophil Extracellular Trap Formation in Term Newborns than in Adults.
AB - BACKGROUND: Newborns are prone to infections, which are independent predictors of
neonatal mortality and morbidity. Neutrophil extracellular traps (NETs) are
structures composed of chromatin and antimicrobial molecules that capture and
kill pathogens. NETs may play an important role in the innate immune system and,
thus, might be associated with impaired neonatal immune function. OBJECTIVES:
This study aimed to compare NET formation between term neonates and healthy
adults. We additionally investigated the effects of gestational age, birth
weight, mode of delivery, gender, and perinatal infections. METHODS: We collected
cord blood from 57 term infants (mean gestational age, 39.1 weeks) and 9 late
preterm infants (35 weeks), and peripheral blood from 18 healthy adult donors.
Neutrophils were isolated, and then NET formation was induced using three
different stimulants: N-formylmethionine-leucyl-phenylalanine, phorbol 12
myristate 13-acetate (PMA), or lipopolysaccharide. NETs were
immunohistochemically stained and analyzed with regard to NET percentage and NET
area. RESULTS: With all three stimuli, healthy term infants showed a lower NET
percentage than the adult control group (p < 0.0001 each). The groups also
differed in NET area, but the significance level was lower. Following PMA
stimulation, we observed greater reductions in NET percentage and NET area in
preterm than term infants. CONCLUSIONS: The lower NET formation observed in term
infants compared to adults likely contributes to the reduced neonatal immune
response. NET formation appeared to be even further decreased in late preterm
neonates. There remains a need for further investigations of NET formation in
more immature preterm infants.
PMID- 27884011
TI - Gastroduodenal Lipomatosis in Familial Multiple Lipomatosis.
AB - OBJECTIVE: To present a case of gastroduodenal lipomatosis associated with
familial multiple lipomatosis (FML). CLINICAL PRESENTATION AND INTERVENTION: A 58
year-old male presented with FML that manifested as multiple, painless,
subcutaneous lipomas on his body; his mother had subcutaneous lipoma without a
diagnosis of gastroduodenal lipomatosis. His lipid profile was normal. Abdominal
computed tomography showed multiple, submucosal, polypoid lesions (of uniform
density) of fat in the stomach and duodenum, and a small, similar lesion in the
ileum. CONCLUSION: This case shows that gastrointestinal lipomatosis can manifest
as FML.
PMID- 27884012
TI - Gut Microbiota, Bacterial Translocation, and Interactions with Diet:
Pathophysiological Links between Major Depressive Disorder and Non-Communicable
Medical Comorbidities.
AB - BACKGROUND: Persistent low-grade immune-inflammatory processes, oxidative and
nitrosative stress (O&NS), and hypothalamic-pituitary-adrenal axis activation are
integral to the pathophysiology of major depressive disorder (MDD). The
microbiome, intestinal compositional changes, and resultant bacterial
translocation add a new element to the bidirectional interactions of the gut
brain axis; new evidence implicates these pathways in the patho-aetiology of MDD.
In addition, abnormalities in the gut-brain axis are associated with several
chronic non-communicable disorders, which frequently co-occur in individuals with
MDD, including but not limited to irritable bowel syndrome (IBS), chronic fatigue
syndrome (CFS), obesity, and type 2 diabetes mellitus (T2DM). METHODS: We
searched the PubMed/MEDLINE database up until May 1, 2016 for studies which
investigated intestinal dysbiosis and bacterial translocation (the 'leaky gut')
in the pathophysiology of MDD and co-occurring somatic comorbidities with an
emphasis on IBS, CFS, obesity, and T2DM. RESULTS: The composition of the gut
microbiota is influenced by several genetic and environmental factors (e.g.
diet). Several lines of evidence indicate that gut-microbiota-diet interactions
play a significant pathophysiological role in MDD and related medical
comorbidities. Gut dysbiosis and the leaky gut may influence several pathways
implicated in the biology of MDD, including but not limited to immune activation,
O&NS, and neuroplasticity cascades. However, methodological inconsistencies and
limitations limit comparisons across studies. CONCLUSIONS: Intestinal dysbiosis
and the leaky gut may constitute a key pathophysiological link between MDD and
its medical comorbidities. This emerging literature opens relevant preventative
and therapeutic perspectives.
PMID- 27884013
TI - Guidelines for Growth Hormone and Insulin-Like Growth Factor-I Treatment in
Children and Adolescents: Growth Hormone Deficiency, Idiopathic Short Stature,
and Primary Insulin-Like Growth Factor-I Deficiency.
AB - BACKGROUND/AIMS: On behalf of the Drug and Therapeutics, and Ethics Committees of
the Pediatric Endocrine Society, we sought to update the guidelines published in
2003 on the use of growth hormone (GH). Because idiopathic short stature (ISS)
remains a controversial indication, and diagnostic challenges often blur the
distinction between ISS, GH deficiency (GHD), and primary IGF-I deficiency
(PIGFD), we focused on these three diagnoses, thereby adding recombinant IGF-I
therapy to the GH guidelines for the first time. METHODS: This guideline was
developed following the GRADE approach (Grading of Recommendations, Assessment,
Development, and Evaluation). RESULTS: This guideline provides recommendations
for the clinical management of children and adolescents with growth failure from
GHD, ISS, or PIGFD using the best available evidence. CONCLUSION: The taskforce
suggests that the recommendations be applied in clinical practice with
consideration of the evolving literature and the risks and benefits to each
individual patient. In many instances, careful review highlights areas that need
further research.
PMID- 27884014
TI - Biology, Social Environment, and Personalized Medicine.
PMID- 27884015
TI - Joseph-Frederic-Benoit Charriere - How to Explain His Success as One of the Most
Famous Surgical Instrument Makers Regarding His Life from Childhood to Death.
AB - INTRODUCTION: We use the name 'Charriere' every day as a unit of measurement.
This article explains his success as one of the most famous instrument makers who
ever lived. MATERIALS AND METHODS: A review of the literature was completed using
PubMed. Additionally, material of historical sources like the museum of Bulle was
used. RESULTS: Joseph-Frederic-Benoit Charriere was born in Switzerland in 1803.
At the age of 13, he moved to Paris and learned the profession of a cutler. In
1820, he took over the workshop of his teacher Vincent. Dupuytren was one of the
most important people in Charriere's professional life. Charriere specialized in
the fabrication of surgical instruments of such high quality that he was very
sought after by famous surgeons. In 1837, Charriere went to Sheffield, England,
to learn more about working with metals and alloys. In 1851, he was inducted into
the Legion of Honour. Charriere died in 1876 in Paris. CONCLUSIONS: The patronage
of Dupuytren was a very important supporting factor in Charriere's professional
life, but mainly his great courage, the willingness to learn from others, his
creativity and technical fantasy and his curiosity and broad interest in a lot of
different fields explain his unmatched professional success.
PMID- 27884020
TI - ?
PMID- 27884019
TI - ?
PMID- 27884016
TI - Expression profiling of budding cells in colorectal cancer reveals an EMT-like
phenotype and molecular subtype switching.
AB - BACKGROUND: Tumour budding, described as the presence of single cells or small
clusters of up to five tumour cells at the invasive margin, is established as a
prognostic marker in colorectal carcinoma. In the present study, we aimed to
investigate the molecular signature of tumour budding cells and the corresponding
tumour bulk. METHODS: Tumour bulk and budding areas were microdissected and
processed for RNA-sequencing. As little RNA was obtained from budding cells, a
special low-input mRNA library preparation protocol was used. Gene expression
profiles of budding as compared with tumour bulk were investigated for
established EMT signatures, consensus molecular subtype (CMS), gene set
enrichment and pathway analysis. RESULTS: A total of 296 genes were
differentially expressed with an FDR <0.05 and a twofold change between tumour
bulk and budding regions. Genes that were upregulated in the budding signature
were mainly involved in cell migration and survival while downregulated genes
were important for cell proliferation. Supervised clustering according to an
established EMT gene signature categorised budding regions as EMT-positive,
whereas tumour bulk was considered EMT-negative. Furthermore, a shift from CMS2
(epithelial) to CMS4 (mesenchymal) was observed as tumour cells transit from the
tumour bulk to the budding regions. CONCLUSIONS: Tumour budding regions are
characterised by a phenotype switch compared with the tumour bulk, involving the
acquisition of migratory characteristics and a decrease in cell proliferation. In
particular, most tumour budding signatures were EMT-positive and switched from an
epithelial subtype (CMS2) in the tumour bulk to a mesenchymal subtype (CMS4) in
budding cells.
PMID- 27884017
TI - The p53 family orchestrates the regulation of metabolism: physiological
regulation and implications for cancer therapy.
AB - The p53 family of transcription factors is essential to counteract tumour
formation and progression. Although previously this was exclusively associated
with the ability of the p53 family to induce cell cycle arrest and apoptosis, an
increasing number of reports have now indisputably demonstrated that the tumour
suppressive functions of the p53 family members also rely on their ability to
control and regulate cellular metabolism and maintain cellular oxidative
homeostasis. Here, we review how each p53 family member, including p63 and p73,
controls metabolic pathways in physiological conditions, and how these mechanisms
could be exploited to provide anticancer therapeutic opportunities.
PMID- 27884021
TI - ?
PMID- 27884022
TI - ?
PMID- 27884018
TI - Blood transfusion during radical chemo-radiotherapy does not reduce tumour
hypoxia in squamous cell cancer of the head and neck.
AB - BACKGROUND: Patients with head and neck squamous cell carcinoma (HNSCC)
undergoing radical chemo-radiation (CRT) frequently receive transfusion with
packed red cells (PRCT) during radiotherapy on the basis that PRCT increases
tumour oxygenation and overcomes hypoxia-induced radio-resistance. This is likely
to be a significant oversimplification given the fact that tumour hypoxia is the
result of several intrinsic and extrinsic factors, including many that are not
directly related to serum haemoglobin (Hb). Therefore, we have studied the effect
of PRCT on tumour oxygenation in a prospective cohort of patients who developed
low Hb during radical CRT for HNSCC. METHODS: This was a prospective study of 20
patients with HNSCC receiving radical CRT undergoing PRCT for Hb<11.5 g dl-1.
Patients underwent pretransfusion and posttransfusion intrinsic susceptibility
weighted (SWI) MRI and dynamic contrast-enhanced (DCE) MRI. Blood samples were
obtained at the time of MRI scanning and two further time points for measuring Hb
and a panel of serum cytokine markers of tumour hypoxia. 3D T2* and Ktrans maps
were calculated from the MRI data for primary tumours and cervical lymph node
metastases. RESULTS: PRCT produced no change (11 patients) or reduced (1 patient)
T2* (tumour oxygenation) in 12 of the 16 (75%) evaluable primary tumours. Three
of the four patients with improved tumour oxygenation progressed or had partial
response following treatment completion. There were variable changes in Ktrans
(tumour perfusion or vessel permeability) following PRCT that were of small
magnitude for most tumours. Pre- and Post-PRCT levels of measured cytokines were
not significantly different. CONCLUSIONS: This study suggests that PRCT during
radical CRT for HNSCC does not improve tumour oxygenation. Therefore, oncologists
should consider changing practice according to NICE and American Association of
Blood Banks guidelines on PRCT for anaemia.
PMID- 27884023
TI - ?
PMID- 27884024
TI - ?
PMID- 27884025
TI - ?
PMID- 27884026
TI - [Awake video laryngoscopy - an alternative to awake fiberoptic intubation?]
AB - INTRODUCTION: Awake video laryngoscopy is a novel option in airway management
that is drawing more and more attention as an alternative to awake endoscopic
guided intubation.Main issues: Intubation under preserved spontaneous breathing
is the safest method to secure the expected difficult airway. In direct
comparisons to awake flexible endoscopic intubation, awake video laryngoscopy
achieves satisfactory intubation times and a high acceptance of patients and
anesthesiologists. Specific cases, in particular very limited mouth opening or
sub-glottic masses, require awake flexible endoscopic intubation. Sufficient
topical anesthesia and a sophisticated sedation protocol are prerequisites for
successful awake video laryngoscopy. CONCLUSION: Awake video laryngoscopy cannot
fully replace flexible endoscopic intubation. It is a useful option, but it must
be considered that this technique is not suitable for all types of airways,
patients or even anesthesiologists. Expertise and adequate practice is mandatory
for both techniques. Careful planning, an appropriate blade design and a high
expertise in video laryngoscopy are crucial for a successful intubation.
PMID- 27884027
TI - [Transfer of critically ill patients between hospitals - fundamentals and
requirements].
AB - Due to the increasingly changed clinical landscape, which leads to a reduction of
clinical facilities in rural regions. This also leads to a centralized clinical
care, in addition to limited care options in the periphery. Therefore the
interhospital transfer is becoming increasingly important. Specialized centers
have become more and more important through this centralization of clinical care.
Not only the number of transports, but also the transport distances have steadily
increased in recent years. It is necessary to differentiate centripetal
transports into the centers of the maximum and centrifugal transports back to
peripheral clinics, weaning devices or rehabilitation facilities. Especially in
the case of the latter, the number of patients still to be transported under
intensive medical conditions has clearly increased.
PMID- 27884028
TI - ?
PMID- 27884029
TI - [Preclinical birth of an extremely premature infant - a case report].
AB - Obstetrical emergencies requiring emergency medical service are very rare. An
extremely premature birth in a preclinical setting is certainly exceptional. In
the following case report, the emergency medical team was unexpectedly faced with
the home birth of a fetus at the 23rd week of gestation. Prematurity at the edge
of viability poses a challenge to first care, equipment, infrastructure,
expertise and clinical ethics. To the authors' knowledge, there is no comparable
case report published so far.
PMID- 27884030
TI - ?
PMID- 27884031
TI - [Postoperative acute kidney injury: confounder or causal link for surgical
outcome?]
AB - Demographic change and increase of complexity of diagnostic and surgical
procedures lead to an increasing relevance of acute kidney injury as
postoperative complication. Postoperative acute kidney injury is associated with
higher mortality, morbidity and treatment costs. It has not yet been
unequivocally proven that postoperative acute kidney injury is in fact causally
linked with worse treatment outcome in surgical patients. This article aims to
give an overview of the phenomenon postoperative acute kidney injury, to discuss
problems of demonstrating causal relations in biomedical research, and to present
arguments for and against the hypothesis that postoperative acute kidney injury
is causally linked to worse surgical outcome.
PMID- 27884032
TI - [Acute kidney injury - remote ischaemic preconditioning: a nephroprotective
strategy?]
AB - Acute kidney injury is a common and serious complication with a huge impact on
morbidity and short- as well as long-term mortality. Sepsis and major surgeries,
especially cardiac surgery, are the most common causes of acute kidney injury.
Slight increases of serum-creatinine levels are associated with adverse outcomes
highlighting the relevance of the need for nephroprotective strategies to reduce
the occurrence of acute kidney injury. Remote ischaemic preconditioning is an
intervention consisting of brief episodes of ischaemia and reperfusion at a
remote site before subsequent ischaemic insults occur. This simple and
uncomplicated method may offer an effective nephroprotective strategy.
PMID- 27884033
TI - [Acute kidney injury - status of biomarkers in perioperative and critically ill
patients].
AB - Acute kidney injury (AKI) impairs short- and long-term prognosis of affected
patients even in case of apparantly mild course and 'full' recovery as measured
by follow-up serum creatinine concentrations. Late or none intervention worsens
prognosis. However, there are modifiable factors potentially contributing to
preserved long-term renal function. Effective treatment is multifactorial and
includes identification and reversal of AKI etiology and generation of a
(micro)environment for optimal renal recovery. Available treatment options for
AKI in perioperative and critically ill patients will be discussed in the setting
of novel kidney biomarkers.
PMID- 27884034
TI - ?
PMID- 27884035
TI - ?
PMID- 27884036
TI - ?
PMID- 27884037
TI - ?
PMID- 27884038
TI - Is There an Association between Body Mass Index and Cervical Length? Implications
for Obesity and Cervical Length Management in Pregnancy.
AB - Objective Obesity and cervical insufficiency are leading causes of morbidity in
pregnancy. We assess the relationship between maternal body mass index (BMI) and
second-trimester cervical length. Methods A secondary analysis of a nested case
control study of women with singleton gestations enrolled from 2006 to 2008. The
primary exposure was first-trimester BMI, categorized per World Health
Organization criteria: normal (18.5 to <= 25 kg/m2), overweight (25 to <= 30
kg/m2), and obese (> 30 kg/m2). The primary outcome was cervical length > 75th
percentile. Results Among 391 pregnant women observed, the median cervical length
was 3.6 cm, and the median BMI was 24.8 kg/m2. In multivariable analyses, after
adjusting for BMI, age, race, parity, smoking, and gestational age at delivery,
women who were overweight (adjusted odds ratio [AOR]: 2.18; 95% CI: 1.20-3.96)
and obese (AOR: 2.83; 95% CI: 1.47-5.43) were more than two times more likely to
have a cervical length > 75th percentile. When cervical length and BMI were
assessed linearly, for each 1.0 kg/m2 increase in BMI, cervical length increased
by 0.25 mm. These results were robust to utilizing different cutoffs of cervical
length and pre-pregnancy BMI. Conclusion This study demonstrates a relationship
between BMI and cervical length suggesting that obesity may be associated with
longer cervical length. These results will need to be replicated in larger
cohorts undergoing universal cervical length assessment.
PMID- 27884039
TI - Clarifying the Links of Conscientiousness With Internalizing and Externalizing
Psychopathology.
AB - OBJECTIVE: Although Conscientiousness/disinhibition plays a substantial role in
internalizing and externalizing psychopathology, the underlying mechanisms are
not well understood. We aim to clarify facet-level associations and to examine
whether (a) impairment mediates the link of Conscientiousness with internalizing
and externalizing symptoms, and (b) demoralization (assessed via Neuroticism)
accounts for their associations. METHOD: A total of 450 participants (Mage = 42;
primarily female and Caucasian) who reported current/recent psychiatric treatment
completed two measures of domain- and facet-level traits (i.e., NEO-PI-3, PID-5),
as well as interview measures of impairment and disorders. Correlation,
regression, and mediation analyses were conducted. RESULTS: Internalizing
disorders (and particularly, the distress disorders) were uniquely associated
with facets related to low self-efficacy, whereas externalizing disorders were
uniquely associated with risk-taking and disregarding rules. For the
internalizing disorders only, these associations were reduced after accounting
for Neuroticism, though associations with distress disorders remained
significant. Impairment mediated the link between Conscientiousness and symptoms
for internalizing disorders, but not consistently for externalizing disorders.
CONCLUSIONS: The internalizing and externalizing disorders are associated with
Conscientiousness due to different facet-level content. Demoralization and
impairment both contribute to the link between internalizing disorders and
Conscientiousness, whereas neither process accounts substantially for the
relation of externalizing disorders with Conscientiousness.
PMID- 27884040
TI - Personality Makes a Difference: Attachment Orientation Moderates Theory of
Planned Behavior Prediction of Cardiac Medication Adherence.
AB - OBJECTIVE: To achieve a comprehensive understanding of patients' adherence to
medication following acute coronary syndrome (ACS), we assessed the possible
moderating role played by attachment orientation on the effects of attitudes,
subjective norms, and perceived behavioral control (PBC), as derived from the
Theory of Planned Behavior (TPB; Ajzen, 1991), on intention and reported
adherence. METHOD: A prospective longitudinal design was employed. During
hospitalization, ACS male patients (N = 106) completed a set of self-report
questionnaires including sociodemographic variables, attachment orientation, and
measures of TPB constructs. Six months post-discharge, 90 participants completed
a questionnaire measuring adherence to medication. RESULTS: Attachment
orientations moderated some of the predictions of the TPB model. PBC predicted
intention and reported adherence, but these associations were found to be
significant only among individuals with lower, as opposed to higher, attachment
anxiety. The association between attitudes and intention was stronger among
individuals with higher, as opposed to lower, attachment anxiety. Only among
individuals with higher attachment avoidance, subjective norms were negatively
associated with intention to take medication. CONCLUSIONS: Cognitive variables
appear to explain both adherence intention and behavior, but differently,
depending on individuals' attachment orientations. Integrating personality and
cognitive models may prove effective in understanding patients' health behaviors.
PMID- 27884041
TI - Follow-up strategies for patients treated for non-metastatic colorectal cancer.
AB - BACKGROUND: It is common clinical practice to follow patients with colorectal
cancer (CRC) for several years following their curative surgery or adjuvant
therapy, or both. Despite this widespread practice, there is considerable
controversy about how often patients should be seen, what tests should be
performed, and whether these varying strategies have any significant impact on
patient outcomes. This is the second update of a Cochrane Review first published
in 2002 and first updated in 2007. OBJECTIVES: To assess the effects of intensive
follow-up for patients with non-metastatic colorectal cancer treated with
curative intent. SEARCH METHODS: For this update, we searched CENTRAL (2016,
Issue 3), MEDLINE (1950 to May 20th, 2016), Embase (1974 to May 20th, 2016),
CINAHL (1981 to May 20th, 2016), and Science Citation Index (1900 to May 20th,
2016). We also searched reference lists of articles, and handsearched the
Proceedings of the American Society for Radiation Oncology (2011 to 2014). In
addition, we searched the following trials registries (May 20th, 2016):
ClinicalTrials.gov and the World Health Organization International Clinical
Trials Registry Platform. We further contacted study authors. No language or
publication restrictions were applied to the search strategies. SELECTION
CRITERIA: We included only randomised controlled trials comparing different
follow-up strategies for participants with non-metastatic CRC treated with
curative intent. DATA COLLECTION AND ANALYSIS: Two authors independently
determined trial eligibility, performed data extraction, and assessed
methodological quality. MAIN RESULTS: We studied 5403 participants enrolled in 15
studies. (We included two new studies in this second update.) Although the
studies varied in setting (general practitioner (GP)-led, nurse-led, or surgeon
led) and "intensity" of follow-up, there was very little inconsistency in the
results.Overall survival: we found no evidence of a statistical effect with
intensive follow-up (hazard ratio (HR) 0.90, 95% confidence interval (CI) 0.78 to
1.02; I2 = 4%; P = 0.41; high-quality evidence). There were 1098 deaths among
4786 participants enrolled in 12 studies.Colorectal cancer-specific survival:
this did not differ with intensive follow-up (HR 0.93, 95% CI 0.78 to 1.12; I2 =
0%; P = 0.45; moderate-quality evidence). There were 432 colorectal cancer deaths
among 3769 participants enrolled in seven studies.Relapse-free survival: we found
no statistical evidence of effect with intensive follow-up (HR 1.03, 95% CI 0.90
to 1.18; I2 = 5%; P = 0.39; moderate-quality evidence). There were 1416 relapses
among 5253 participants enrolled in 14 studies.Salvage surgery with curative
intent: this was more frequent with intensive follow-up (risk ratio (RR) 1.98,
95% CI 1.53 to 2.56; I2 = 31%; P = 0.14; high-quality evidence). There were 457
episodes of salvage surgery in 5157 participants enrolled in 13 studies.Interval
(symptomatic) recurrences: these were less frequent with intensive follow-up (RR
0.59, 95% CI 0.41 to 0.86; I2 = 66%; P = 0.007; moderate-quality evidence). Three
hundred and seventy-six interval recurrences were reported in 3933 participants
enrolled in seven studies.Intensive follow-up did not appear to affect quality of
life, anxiety, nor depression (reported in three studies).Harms from
colonoscopies did not differ with intensive follow-up (RR 2.08, 95% CI 0.11 to
40.17; moderate-quality evidence). In two studies, there were seven colonoscopic
complications in 2112 colonoscopies. AUTHORS' CONCLUSIONS: The results of our
review suggest that there is no overall survival benefit for intensifying the
follow-up of patients after curative surgery for colorectal cancer. Although more
participants were treated with salvage surgery with curative intent in the
intensive follow-up group, this was not associated with improved survival. Harms
related to intensive follow-up and salvage therapy were not well reported.
PMID- 27884042
TI - Valproate for schizophrenia.
AB - BACKGROUND: Many people with schizophrenia do not achieve a satisfactory
treatment response with ordinary antipsychotic drug treatment. In these cases,
various add-on medications are used, and valproate is one of these. OBJECTIVES:
To examine whether:1. valproate alone is an effective treatment for schizophrenia
and schizoaffective psychoses; and2. valproate augmentation of antipsychotic
medication is an effective treatment for the same illnesses. SEARCH METHODS: We
searched the Cochrane Schizophrenia Group's Study-Based Register of Trials (July
2002; February 2007; July 2012; March 04, 2016). We also contacted pharmaceutical
companies and authors of relevant studies in order to identify further trials.
SELECTION CRITERIA: We included all randomised controlled trials comparing
valproate to antipsychotics or to placebo (or no intervention), whether as the
sole agent or as an adjunct to antipsychotic medication for the treatment of
people with schizophrenia or schizophrenia-like psychoses. DATA COLLECTION AND
ANALYSIS: We independently inspected citations and, where possible, abstracts,
ordered papers, and re-inspected and quality-assessed these. At least two review
authors independently extracted data. We analysed dichotomous data using risk
ratio (RR) and its 95% confidence intervals (CI). We analysed continuous data
using mean differences (MD) and their 95% CI. We assessed risk of bias for
included studies and used GRADE (Grading of Recommendations Assessment,
Development and Evaluation) to create a 'Summary of findings' table. MAIN
RESULTS: The 2012 update search identified 19 further relevant studies, most of
which were from China. Thus the review currently includes 26 studies with a total
of 2184 participants. All trials examined the effectiveness of valproate as an
adjunct to antipsychotics. With the exception of two studies, the studies were
small, the participants and personnel were not blinded (neither was outcome
assessment), and most were short-term and incompletely reported.For this update
we prespecified seven main outcomes of interest: clinical response (clinically
significant response, aggression/agitation), leaving the study early
(acceptability of treatment, overall tolerability), adverse events (sedation,
weight gain) and quality of life.Adding valproate to antipsychotic treatment
resulted in more clinically significant response than adding placebo to
antipsychotic drugs (14 RCTs, n = 1049, RR 1.31, 95% CI 1.16 to 1.47, I2 = 12%,
low-quality evidence). However, this effect was removed after excluding open RCTs
in a sensitivity analysis. In terms of acceptability of treatment (measured by
the number of participants leaving the study early due to any reason) valproate
was just as acceptable as placebo (11 RCTs, n = 951, RR 0.76, 95% CI 0.47 to
1.24, I2 = 55%). Also overall tolerability (measured by the number of
participants leaving the study early for adverse events) between valproate and
placebo was similar (6 RCTs, n = 974, RR 1.33, 95% CI 0.90 to 1.97, I2 =
0).Participants in the valproate group were found to be less aggressive than the
control group based on the Modified Overt Aggression Scale (3 RCTs, n = 186, MD
2.55, 95% CI -3.92 to -1.19, I2 = 82%, very low-quality evidence). Participants
receiving valproate more frequently experienced sedation (8 RCTs, n = 770, RR
1.38, 95% CI 1.07 to 1.79, I2 = 0, low-quality evidence) but were no more likely
to gain weight than those receiving placebo (4 RCTs, n = 427, RR 1.17, 95% CI
0.76 to 1.82, I2 = 0, low-quality evidence). No study reported on the important
outcome of quality of life. AUTHORS' CONCLUSIONS: There is limited evidence,
based on a number of trials, that the augmentation of antipsychotics with
valproate may be effective for overall clinical response, and also for specific
symptoms, especially in terms of excitement and aggression. However, this
evidence was entirely based on open RCTs. Moreover, valproate was associated with
a number of adverse events among which sedation and dizziness appeared
significantly more frequently than in the control groups. Further randomised
studies which are blinded are necessary before any clear recommendation can be
made. Ideally these would focus on people with schizophrenia and aggression, on
those with treatment-resistant forms of the illness and on those with
schizoaffective disorders.
PMID- 27884044
TI - Reply.
PMID- 27884043
TI - Anti-inflammatory signaling during ex vivo liver perfusion improves the
preservation of pig liver grafts before transplantation.
PMID- 27884046
TI - Effect of Lycopene and Rosmarinic Acid on Gentamicin Induced Renal Cortical
Oxidative Stress, Apoptosis, and Autophagy in Adult Male Albino Rat.
AB - Gentamicin nephrotoxicity accounts for 10%-15% of all cases of acute renal
failure. Several natural antioxidants were found to be effective against drug
induced toxicity. The possible protective effects of lycopene (Lyc) and
rosmarinic acid (RA) alone or combined on gentamicin (Gen) induced renal cortical
oxidative stress, apoptosis, and autophagy were evaluated. Sixty-three rats were
randomly divided into seven groups named: control, group II received RA 50
mg/kg/day, group III received Lyc 4 mg/kg/day, group IV received Gen 100
mg/kg/day, group V (RA + Gen), group VI (Lyc + Gen), and group VII (RA + Lyc +
Gen). At the end of the experiment, kidney functions were estimated then the
kidneys were sampled for histopathological, immunohistochemistry, and biochemical
studies. Administration of rosmarinic acid and lycopene decreased elevated serum
creatinine, blood urea nitrogen, renal malondialdehyde and immunoexpression of
the proapoptotic protein (Bax), autophagic marker protein (LC3/B), and inducible
nitric oxide synthase (iNOS) induced by gentamicin. They increased reduced
glutathione, glutathione peroxidase, superoxide dismutase, and immunoexpression
of the antiapoptotic protein (Bcl2). They also improved the histopathological
changes induced by gentamicin. The combination therapy of rosmarinic acid and
lycopene shows better protective effects than the corresponding monotherapy. Anat
Rec, 300:1137-1149, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27884045
TI - Retinoic acid signaling regulates Krt5 and Krt14 independently of stem cell
markers in submandibular salivary gland epithelium.
AB - BACKGROUND: Retinoic acid (RA), the active metabolite of vitamin A, has been
demonstrated to be important for growth and branching morphogenesis of mammalian
embryonic salivary gland epithelium. However, it is not known whether RA
functions directly within epithelial cells or in associated tissues that
influence morphogenesis of salivary epithelium. Moreover, downstream targets of
RA regulation have not been identified. RESULTS: Here, we show that canonical RA
signaling occurs in multiple tissues of embryonic mouse salivary glands,
including epithelium, associated parasympathetic ganglion neurons, and
nonneuronal mesenchyme. By culturing epithelium explants in isolation from other
tissues, we demonstrate that RA influences epithelium morphogenesis by direct
action in that tissue. Moreover, we demonstrate that inhibition of RA signaling
represses cell proliferation and expression of FGF10 signaling targets, and
upregulates expression of basal epithelial keratins Krt5 and Krt14. Importantly,
we show that the stem cell gene Kit is regulated inversely from Krt5/Krt14 by RA
signaling. CONCLUSIONS: RA regulates Krt5 and Krt14 expression independently of
stem cell character in developing salivary epithelium. RA, or chemical inhibitors
of RA signaling, could potentially be used for modulating growth and
differentiation of epithelial stem cells for the purpose of re-populating damaged
glands or generating bioengineered organs. Developmental Dynamics 246:135-147,
2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27884047
TI - Childhood obesity prevention cluster randomized trial for Hispanic families:
outcomes of the healthy families study.
AB - BACKGROUND: Obesity prevalence is disproportionately high among Hispanic
children. OBJECTIVES: The Healthy Families Study assessed the efficacy of a
culturally targeted, family-based weight gain prevention intervention for
Hispanic immigrant families with children ages 5-7 years. METHODS: The study used
a two-group, cluster randomized trial design, assigning 136 families (clusters)
to the active intervention (weight gain prevention) and 136 families to attention
control (oral health). The active intervention included a 4-month intensive phase
(eight classes) and an 8-month reinforcement phase (monthly mail/telephone
contact). Children's body mass index z-score (BMI-Z) was the primary outcome.
RESULTS: The BMI-Z growth rate of the active intervention group did not differ
from the attention control group at short-term follow-up (median 6 months; 168
families, 206 children) or long-term follow-up (median 16 months; 142 families,
169 children). Dose response analyses indicated a slower increase in BMI-Z at
short term among overweight/obese children who attended more intervention
classes. Moderate physical activity on weekends increased at short term. Weekend
screen time decreased at short term among those attending at least one class
session. CONCLUSION: Low class attendance likely impacted intention-to-treat
results. Future interventions targeting this population should test innovative
strategies to maximize intervention engagement to produce and sustain effects on
weight gain prevention.
PMID- 27884048
TI - MicroRNA-142 is a multifaceted regulator in organogenesis, homeostasis, and
disease.
AB - Over the past decade, microRNA-142 (miR-142) is emerging as a major regulator of
cell fate decision in the hematopoietic system. However, miR-142 is expressed in
many other tissues, and recent evidence suggests that it may play a more
pleiotropic role during embryonic development. In addition, miR-142 has been
shown to play important functions in disease. miR-142 displays a functional role
in cancer, virus infection, inflammation, and immune tolerance. Both a guide
strand (miR-142-3p) and passenger strand (miR-142-5p) are generated from the miR
142 hairpin. miR-142-3p and -5p display overlapping but also independent target
genes. Loss of function mouse models (genetrap, global knock out [KO], and
conditional KO) have been reported and support the important role of miR-142 in
different biological processes. This review will summarize the abundant
literature already available for miR-142 and will lay the foundation for future
works on this important microRNA. Developmental Dynamics 246:285-290, 2017. (c)
2016 Wiley Periodicals, Inc.
PMID- 27884049
TI - Copper toxicity response influences mesotrophic Synechococcus community
structure.
AB - Picocyanobacteria from the genus Synechococcus are ubiquitous in ocean waters.
Their phylogenetic and genomic diversity suggests ecological niche
differentiation, but the selective forces influencing this are not well defined.
Marine picocyanobacteria are sensitive to Cu toxicity, so adaptations to this
stress could represent a selective force within, and between, 'species', also
known as clades. Here, we compared Cu stress responses in cultures and natural
populations of marine Synechococcus from two co-occurring major mesotrophic
clades (I and IV). Using custom microarrays and proteomics to characterize
expression responses to Cu in the lab and field, we found evidence for a general
stress regulon in marine Synechococcus. However, the two clades also exhibited
distinct responses to copper. The Clade I representative induced expression of
genomic island genes in cultures and Southern California Bight populations, while
the Clade IV representative downregulated Fe-limitation proteins. Copper
incubation experiments suggest that Clade IV populations may harbour stress
tolerant subgroups, and thus fitness tradeoffs may govern Cu-tolerant strain
distributions. This work demonstrates that Synechococcus has distinct adaptive
strategies to deal with Cu toxicity at both the clade and subclade level,
implying that metal toxicity and stress response adaptations represent an
important selective force for influencing diversity within marine Synechococcus
populations.
PMID- 27884050
TI - Taking the sweetness out of the 'Share a Coke' marketing campaign: the influence
of personalized labelling on elementary school children's bottled drink choices.
AB - BACKGROUND: Drink personalization (featuring names on bottle labels) has been
used by soft drink companies to make their drinks attractive to children,
potentially increasing consumption. To date, no publically available research has
evaluated the influence of personalization on children's drink choices.
OBJECTIVES: To determine (i) whether personalizing bottled drinks influences
children's drink choices; (ii) whether it is comparably effective in promoting
healthy and unhealthy drinks and (iii) whether drink choices are affected by self
esteem, body mass index and parental factors. METHODS: Children aged 8-13 years
(N = 404) were randomly assigned to one of three drink labeling conditions: Prime
Healthy, Prime Unhealthy and Control. All participants selected one beverage from
12 options, comprising six healthy and unhealthy drinks. RESULTS: Personalizing
healthy drinks increased choice of healthy drinks (OR, 2.21; 95% CI, 1.24-4.00),
and personalizing unhealthy drinks reduced choice of healthy drinks (OR, 0.35;
95% CI, 0.15-.0.75). Higher self-esteem predicted choosing own-named drinks (OR =
1.08, 95% CI, 1.00-1.18; p = .049). CONCLUSIONS: Children's drink choices are
influenced by personalizing drink bottles. Tighter regulation of this marketing
strategy for soft drinks may reduce children choice of these drinks.
Personalization may also be used to encourage children to choose healthy drinks.
PMID- 27884051
TI - Unexpected interaction between deprotonated biliverdin and alcohols as studied by
ESI-MS.
PMID- 27884052
TI - Model Evaluation of Continuous Data Pharmacometric Models: Metrics and Graphics.
AB - This article represents the first in a series of tutorials on model evaluation in
nonlinear mixed effect models (NLMEMs), from the International Society of
Pharmacometrics (ISoP) Model Evaluation Group. Numerous tools are available for
evaluation of NLMEM, with a particular emphasis on visual assessment. This first
basic tutorial focuses on presenting graphical evaluation tools of NLMEM for
continuous data. It illustrates graphs for correct or misspecified models,
discusses their pros and cons, and recalls the definition of metrics used.
PMID- 27884054
TI - Morphoregulatory pathways in prostate ductal development.
AB - The mouse prostate is a male sex-accessory gland comprised of a branched ductal
network arranged into three separate bilateral lobes: the anterior, dorsolateral,
and ventral lobes. Prostate ductal development is the primary morphogenetic event
in prostate development and requires a complex regulation of spatiotemporal
factors. This review provides an overview of prostate development and the major
genetic regulators and signaling pathways involved. To identify new areas for
further study, we briefly highlight the likely important, but relatively
understudied, role of the extracellular matrix (ECM). Finally, we point out the
potential importance of the ECM in influencing the behavior and prognosis of
prostate cancer. Developmental Dynamics 246:89-99, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27884053
TI - Disability in patients with end-stage liver disease: Results from the functional
assessment in liver transplantation study.
AB - Cirrhosis leads to sarcopenia and functional decline that can severely impact
one's ability to function at home and in society. Self-reported disability scales
to quantify disability-Activities of Daily Living (ADL) and Instrumental
Activities of Daily Living (IADL)-are validated to predict mortality in older
adults. To evaluate disability in liver transplantation (LT) candidates and
quantify its impact on outcomes, consecutive outpatients >=18 years listed for LT
with laboratory Model for End-Stage Liver Disease scores of >=12 at a single high
volume US LT center were assessed for ADLs and IADLs during clinic visits.
Multivariate competing risk models explored the effect of disabilities on wait
list mortality (death or delisting for illness). Of 458 patients, 36% were women,
median (interquartile range [IQR]) age was 60 years (IQR, 54-64 years), and
initial Model for End-Stage Liver Disease-Sodium (MELD-Na) was 17 (IQR 14-20). At
first visit, 31% had lost >= 1 ADL, and 40% had lost >= 1 IADL. The most
prevalent ADL deficits lost were continence (22%), dressing (12%), and
transferring (11%); the most prevalent IADLs lost were shopping (28%), food
preparation (23%), and medication management (22%). After adjustment for age,
MELD-Na, and encephalopathy, dressing (subdistribution hazard ratio [SHR], 1.7;
95% confidence interval [CI], 1.0-2.8; P = 0.04), toileting (SHR, 1.9; 95% CI,
1.1-3.5; P = 0.03), transferring (SHR, 1.9; 95% CI, 1.1-3.0; P = 0.009),
housekeeping (SHR, 1.8; 95% CI, 1.2-3.0; P = 0.009), and laundry (SHR, 2.2; 95%
CI, 1.3-3.5; P = 0.002) remained independent predictors of wait-list mortality.
In conclusion, ADL/IADL deficits are common in LT candidates. LT candidates would
benefit from chronic disease management programs developed to address the impact
of cirrhosis on their daily lives. Liver Transplantation 23 292-298 2017 AASLD.
PMID- 27884055
TI - The Association of Forefoot Varus Deformity with Patellofemoral Cartilage Damage
in Older Adult Cadavers.
AB - Forefoot alignment may contribute to patellofemoral joint (PFJ) osteoarthritis
(OA) via its influence on the closed chain kinematics of the lower limb. The
purpose of this cadaveric study was to investigate the relationship between
forefoot varus and ipsilateral cartilage damage in the medial and lateral PFJ.
Forefoot alignment measurements were obtained from the feet of 25 cadavers (n =
50). Cartilage damage in the medial and lateral PFJ of each knee was scored using
the Outerbridge scale. The relative odds of medial and lateral PFJ cartilage
damage in limbs with forefoot varus and valgus were determined using logistic
regression. The relationship between increasing varus alignment and increasing
odds of medial and lateral PFJ cartilage damage was assessed. Of the 51% of limbs
with forefoot varus, 91.3% had medial, and 78.3% had lateral PFJ cartilage
damage, compared with 54.6% and 68.2% of those with forefoot valgus. The former
also had 3.0 times (95% CI 1.2, 7.7) the odds of medial PFJ damage; no
association was found with lateral damage (OR 1.4, 95% CI 0.7, 3.0). Feet in the
highest tertile of varus alignment had 3.9 times (95% CI 10, 15.3, P = 0.058) the
odds of medial PFJ damage as those in the lowest tertile. The results of this
study suggest a relationship between forefoot varus and medial PFJ cartilage
damage in older adults. As forefoot varus may be modified with foot orthoses,
these findings indicate a potential role for orthoses in the treatment of medial
PFJ OA. Anat Rec, 300:1032-1038, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27884056
TI - Community assets and capabilities to recruit and retain GPs: the Community Apgar
Questionnaire in rural Victoria.
AB - INTRODUCTION: Rural communities continue to experience significant challenges
recruiting and retaining physicians. The Community Apgar Questionnaire (CAQ) was
developed in Idaho in the USA to comprehensively assess the characteristics
associated with successful recruitment and retention of rural physicians. The CAQ
has been utilised and validated across the USA; however, its value in rural
Australia has not been examined. The objective of this study was to use the CAQ
in rural Australia to examine its utility and develop a greater understanding of
the community factors that impact general practitioner (GP) recruitment and
retention. METHOD: The project conducted structured face-to-face interviews with
hospital chief executive officers (CEOs) and directors of clinical services
(DCSs) from 14 of the 21 (76%) health services that agreed to participate in
rural north-eastern Victoria, Australia. The interviews were undertaken to
complete the CAQ, which contains 50 questions centred on factors that influence
physician recruitment and retention. Once completed, CAQs were scored by
assigning quantitative values to a community's strengths and challenges including
the level of importance placed on each factor. As such, the most important
factors in physician recruitment, whether they are advantages or challenges for
that community, were then weighed for their relative importance. Scores were then
combined to create a CAQ score. To ensure reliability and validity of the
results, three additional CAQs were purposefully administered to key general
practices within the region. RESULTS: The 14 rural communities exhibited
cumulative CAQ scores ranging from a high of 387 to a low score of 61. This
suggests the tool was sensitive enough to differentiate between communities that
were high and low performers in terms of physician recruitment. The groups of
factors that had the greatest impact on recruitment and retention were ranked
highest to lowest and included medical support, hospital/community support,
economic, scope of practice and geographic factors. Overall, the highest
individual factors to impact recruitment and retention were perception of
quality, hospital leadership, nursing workforce and transfer arrangements.
Conversely, the lowest factors and challenges to recruitment and retention were
family related, specifically spousal satisfaction and access to schools.
CONCLUSIONS: Hume, in rural Victoria, was the first international site to
implement the CAQ to differentially diagnose a community's relative strengths and
challenges in recruiting and retaining GPs, while supporting health facilities to
prioritise achievable goals to improve long-term retention strategies. It
provided each community with a tailored gap analysis, while confidentially
sharing best practices of other health facilities. Within Hume, open
communication and trust between GPs and health facility leadership and nursing
staff ensures that GPs can feel valued and supported. Possible solutions for GP
recruitment and retention must consider the social, employment and educational
opportunities that are available for spouses and children. Participation in the
program was useful as it helped health facilities ascertain how they were
performing while highlighting areas for improvement.
PMID- 27884057
TI - Patient perceptions of a Virtual Health Room installation in rural Sweden.
AB - INTRODUCTION: The Virtual Health Room (VHR) is an ehealth initiative in the
village of Slussfors in northern Sweden. Construction of VHRs in other locations
is taking place, and the Centre for Rural Medicine in the Vasterbotten County
Council primary care department has implemented a VHR evaluation framework. This
research focuses on evaluation of patient perceptions of the usability of the VHR
and its contribution to their health care. METHODS: Nineteen of the 25 unique
users of the VHR during 2014/15 completed a survey asking about their attitudes
to their own health (using the 13-question version of the Patient Activation
Measure (PAM)), their demographic attributes, and their satisfaction with their
visit to the VHR. RESULTS: Respondents with lower PAM scores were less satisfied
with the technical performance of the VHR, but equally likely to think the VHR
made a good contribution to access to health care. In contrast, older patients
were less likely to value the contribution of the VHR, but no less likely to be
satisfied with its technical performance. There were no relationships between
level of education and distance travelled and perceptions of the VHR.
CONCLUSIONS: The research clearly demonstrated the distinction between technical
performance of an ehealth initiative and its overall contribution to health care
and access. Evaluation frameworks need to consider both aspects of performance.
Transferability of these findings to other settings may depend at least in part
on the nature of the catchment area for the VHR, with the Slussfors catchment
being quite small and the impact of distance on access consequently limited.
PMID- 27884058
TI - Efficiency of silencing RNA for removal of transthyretin V30M in a TTR
leptomeningeal animal model.
AB - Some TTR mutants target the central nervous system (CNS). Familial amyloid
polyneuropathy (FAP) with leptomeningeal involvement has been described in 9% of
transthyretin (TTR) mutations and in valine for methionine at position 30 (V30M)
patients. These individuals present dementia, ataxia, brain hemorrhages and focal
neurological episodes (FNEs). FNEs occurred also in V30M FAP patients with longer
disease duration, who have undergone liver transplant to remove the source of
plasma mutant TTR as a form of treatment. It is thus to expect that as better
treatments for FAP emerge and prolong survival, meningeal-vascular CNS deposition
will increase and need special therapies. Recently, we detected TTR meningeal
vascular deposition in a V30M TTR transgenic mouse model, opening new avenues of
research to investigate selective treatments of this condition. Since pre
clinical studies with TTR siRNA therapeutics were shown to promote clearance of
TTR non-fibrillar deposits in several organs and tissues, we investigated its
effect on TTR meningeal-vascular deposition. We show that systemically
administered TTR siRNA promoted TTR clearance in the extracellular matrix of
meninges and brain blood vessels. Surprisingly, despite the striking decline of
blood TTR, cerebrospinal fluid TTR levels were unaffected. Though this is
reassuring because siRNA will not interfere with the neuroprotective role of TTR
in the CNS, it raises new questions on therapeutical approaches for CNS ATTR.
PMID- 27884059
TI - DNA methylation analysis in rat kidney epithelial cells exposed to 3-MCPD and
glycidol.
AB - 3-Monochloropropane-1,2-diol (3-MCPD) is a well-known food processing contaminant
that has been regarded as a rat carcinogen, which is known to induce Leydig-cell
and mammary gland tumors in males, as well as kidney tumors in both genders. 3
MCPD is highly suspected to be a non-genotoxic carcinogen. 2,3-Epoxy-1-propanol
(glycidol) can be formed via dehalogenation from 3-MCPD. We aimed to investigate
the cytotoxic effects of 3-MCPD and glycidol, then to demonstrate the possible
epigenetic mechanisms with global and gene-specific DNA methylation in rat kidney
epithelial cells (NRK-52E). IC50 value of 3-MCPD was determined as 48 mM and
41.39 mM, whereas IC50 value of glycidol was 1.67 mM and 1.13 mM by MTT and NRU
test, respectively. Decreased global DNA methylation at the concentrations of 100
MUM and 1000 MUM for 3-MCPD and 100 MUM and 500 MUM for glycidol were observed
after 48 h exposure by using 5-methylcytosine (5-mC) ELISA kit. Methylation
changes were detected in promoter regions of c-myc and Rassf1a in 3-MCPD and
glycidol treated NRK-52E cells by using methylation-specific PCR (MSP), whereas
changes on gene expression of c-myc and Rassf1a were observed by using real-time
PCR. However, e-cadherin, p16, VHL and p15 genes were unmethylated in their CpG
promoter regions in response to treatment with 3-MCPD and glycidol. Alterations
in DNA methylation might be key events in the toxicity of 3-MCPD and glycidol.
PMID- 27884060
TI - Is minimal residual disease a convincing tool to determine the treatment duration
of immune checkpoint inhibitors?
PMID- 27884062
TI - Corrigendum.
PMID- 27884061
TI - Embryos cultured in a time-lapse system result in superior treatment outcomes: a
strict matched pair analysis.
AB - A retrospective strict matched-pair analysis of 728 treatment cycles between
January 2011 and September 2014 was performed. A total of 364 treatment cycles,
where all embryos were cultured and examined in EmbryoScope(r), were matched to
treatment cycles where all the embryos were cultured in a standard incubator with
conventional morphological examination. Matching was performed for patient age,
number of oocytes collected, treatment type and date of oocyte collection (+/-
six months). The clinical (CPR), implantation (IR), live birth (LBR) and
miscarriage rates (MR) were calculated and considered significant when p < 0.05
(Chi-square test). CPR, IR and LBR were found to be significantly higher in the
time-lapse system (TLS) group compared to the standard incubation group (CPR =
44.8% versus 36.5%, p = 0.02; IR = 39.3% versus 32.2%, p = 0.03; and LBR = 43.1%
versus 33.8%, p = 0.01). Although there was a 5.5% decrease in the MR for the TLS
group when compared to the standard incubation group, this result was not
statistically significant (18.9% versus 24.4%, p = 0.19). There is a paucity of
well-designed studies to confirm that embryos cultured and examined in TLS can
result in superior treatment outcomes, and this strict-matched pair analysis with
a large cohort of treatment cycles indicates the advantage of using TLS.
PMID- 27884063
TI - Erratum.
PMID- 27884064
TI - Amyloid fibril proteins and amyloidosis: chemical identification and clinical
classification International Society of Amyloidosis 2016 Nomenclature Guidelines.
AB - The Nomenclature Committee of the International Society of Amyloidosis (ISA) met
during the XVth Symposium of the Society, 3 July-7 July 2016, Uppsala, Sweden, to
assess and formulate recommendations for nomenclature for amyloid fibril proteins
and the clinical classification of the amyloidoses. An amyloid fibril must
exhibit affinity for Congo red and with green, yellow or orange birefringence
when the Congo red-stained deposits are viewed with polarized light. While
congophilia and birefringence remain the gold standard for demonstration of
amyloid deposits, new staining and imaging techniques are proving useful. To be
included in the nomenclature list, in addition to congophilia and birefringence,
the chemical identity of the protein must be unambiguously characterized by
protein sequence analysis when possible. In general, it is insufficient to
identify a mutation in the gene of a candidate amyloid protein without confirming
the variant changes in the amyloid fibril protein. Each distinct form of
amyloidosis is uniquely characterized by the chemical identity of the amyloid
fibril protein that deposits in the extracellular spaces of tissues and organs
and gives rise to the disease syndrome. The fibril proteins are designated as
protein A followed by a suffix that is an abbreviation of the parent or precursor
protein name. To date, there are 36 known extracellular fibril proteins in
humans, 2 of which are iatrogenic in nature and 9 of which have also been
identified in animals. Two newly recognized fibril proteins, AApoCII derived from
apolipoprotein CII and AApoCIII derived from apolipoprotein CIII, have been
added. AApoCII amyloidosis and AApoCIII amyloidosis are hereditary systemic
amyloidoses. Intracellular protein inclusions displaying some of the properties
of amyloid, "intracellular amyloid" have been reported. Two proteins which were
previously characterized as intracellular inclusions, tau and alpha-synuclein,
are now recognized to form extracellular deposits upon cell death and thus have
been included in Table 1 as ATau and AalphaSyn.
PMID- 27884065
TI - The efficacy of two formulations of botulinum toxin type A for masseter
reduction: a split-face comparison study.
AB - BACKGROUND: Botulinum toxin type A (BTA) is now extensively used to address
cosmetic concerns. OnabotulinumtoxinA (ONA, Botox; Allergan Inc., Irvine, CA)
received FDA approval for upper face rejuvenation, including glabella frown lines
and crow's-feet lines. The other off-label uses for lower face conditions have
been utilized for contouring purposes, especially masseter hypertrophy. Recently,
a new Daewoong BTA, (NABOTA(r), NBT, Daewoong Pharmaceutical, Seoul, Korea), was
recently introduced. OBJECTIVE: To compare efficacy and safety of ONA and NBT for
masseter reduction. METHODS: Thirty-five subjects with masseter hypertrophy were
randomly injected with 25 units of ONA on one side and 25 units of NBT on the
other side into masseter. Standardized photographic documentation was obtained at
baseline, 1, 3 and 6 months after treatment. The mean volume of masseter was
acquired by using three-dimensional computed tomography (3-D CT) at baseline, 3-,
and 6-month follow-up visits. In addition, patients' satisfaction and side
effects were also record at every follow-up visits. RESULTS: The mean masseter
volume on the sides treated with ONA and NBT at baseline were 21.20 +/- 4.23 cm3
and 21.26 +/- 4.58 cm3, respectively. There was no statistically significant
difference in the mean volume of both sides (p= 0.827). The mean masseter volume
at 3- and 6-month follow-up visits reduced significantly on both ONA and NBT
sides (p< 0.001 and p< 0.001, respectively). However, there was no statistically
significant difference in mean masseter volume when comparing between ONA and NBT
sides at 3 and 6 months after treatment (p= 0.769 and p = 0.346, respectively).
There was also no statistically significant difference in masseter reduction when
compared between ONA and NBT sides evaluated by physicians and patients at each
follow-up visit. No side effect on both sides was reported after injection.
CONCLUSIONS: This study demonstrated that ONA and NBT provided comparable
efficacy and safety for masseter reduction.
PMID- 27884066
TI - Training a model for estimating leukocyte composition using whole-blood DNA
methylation and cell counts as reference.
AB - AIM: Whole-blood DNA methylation depends on the underlying leukocyte composition
and confounding hereby is a major concern in epigenome-wide association studies.
Cell counts are often missing or may not be feasible. Computational approaches
estimate leukocyte composition from DNA methylation based on reference datasets
of purified leukocytes. We explored the possibility to train such a model on
whole-blood DNA methylation and cell counts without the need for purification.
MATERIALS & METHODS: Using whole-blood DNA methylation and corresponding five
part cell counts from 2445 participants from the London Life Sciences Prospective
Population Study, a model was trained on a subset of 175 subjects and evaluated
on the remaining. RESULTS: Correlations between cell counts and estimated cell
proportions were high (neutrophils 0.85, eosinophils 0.88, basophils 0.02,
lymphocytes 0.84, monocytes 0.55) and estimated proportions explained more
variance in whole-blood DNA methylation levels than counts. CONCLUSION: Our model
provided precise estimates for the common cell types.
PMID- 27884067
TI - Psychosocial correlates of safe sex communication between Latina women and their
stable male partners: an integrative review.
AB - Latina women in stable relationships have risks for human immunodeficiency virus
and other sexually transmitted infections. Improving safe sexual communication
(SSC) could enable women to accurately assess and mitigate their risk of
infection within their relationship. Literature to identify psychosocial
correlates that facilitate or inhibit SSC between Latina women and their partners
has not yet been synthesized. The purpose of this study was to conduct an
integrative review and synthesis of empirical and theoretical research that
examines psychosocial correlates of SSC among adult Latina women from the United
States, Latina America, and the Caribbean with stable male partners. A systematic
search of LILACS, EBSCO, and PsychInfo databases was conducted to identify
qualitative and quantitative studies that investigated psychosocial correlates of
SSC among adult Latina women with a stable male partner. Pertinent data were
abstracted and quality of individual studies was appraised. A qualitative
synthesis was conducted following Miles and Huberman's method. Five qualitative
and three quantitative studies meet eligibility criteria. Factors related to SSC
related to three main themes: (1) relationship factors such as length, quality,
and power/control, (2) individual factors including attitudes, beliefs,
background, behaviors, and intrapersonal characteristics, and (3) partner factors
related to partner beliefs and behaviors. The interplay of relationship,
individual, and partner factors should be considered in the assessment of SSC for
Latina women with their stable partners. To inform future interventions and
clinical guidelines, additional research is needed to identify which factors are
most related to SSC for this population, and how comparable experiences are for
Latina women of different subcultures and living in different countries.
PMID- 27884069
TI - Risk factors of incomplete Apgar score and umbilical cord blood gas analysis: a
retrospective observational study.
AB - OBJECTIVE: To investigate whether incomplete umbilical cord blood gas (UCBG)
analysis occurs more often than the incomplete reporting of the Apgar score, and
risk factors associated with the incomplete values. METHODS: A total of 8824
infants born alive after 26 weeks' gestation between January 2009 and April 2013
were included. We extracted data on five-minute Apgar score, UCBG analysis,
gestational age, mode of delivery, time of delivery and multiple pregnancy.
Univariate and multivariable logistic regression analyses were performed.
RESULTS: Five-minute Apgar score was incomplete in 15 cases (0.2%) and UCBG
analysis in 1960 cases (22.2%), p < 0.05. Incomplete UCBG analysis was
significantly more likely to occur in situations with Apgar score below seven
(Odds ratio (OR) 1.68, 95% CI;1.29-2.19), gestational age between 26 to 27 6/7
and 28 to 31 6/7 weeks (OR 3.14, 95% CI; 2.13-4.62 and OR 1.91, 95% CI; 1.57
2.32), cesarean section (OR 1.31, 95% CI; 1.11-1.55), and multiple pregnancy (OR
2.02, 95% CI; 1.69-2.43). Deliveries during night time had a lower risk of
incomplete UCBG analysis (OR 0.78, 95% CI; 0.69-0.88). CONCLUSIONS: Measuring
five-minute Apgar score generated less incomplete data compared with UCBG
analysis. The risk factors associated with incomplete UCBG analysis were noted.
Study outcomes with UCBG analysis as neonatal assessment tool should be
interpreted with caution.
PMID- 27884068
TI - Silver nanoparticle exposure in pregnant rats increases gene expression of
tyrosine hydroxylase and monoamine oxidase in offspring brain.
AB - CONTEXT: Maternal exposure to silver nanoparticles (AgNPs) affects
neurobehavioral reflexes and spatial memory formation in offspring. Although the
transmission of AgNPs into the brain has been reported, its toxic effect on
dopamine metabolism in the brain of offspring has not been studied so far.
OBJECTIVE: The aim of the present study was to investigate the expression levels
of tyrosine hydroxylase (TH) and monoamine oxidase A (MAO-A) genes in the brain
of offspring exposed in utero to various concentrations of AgNPs. MATERIALS AND
METHODS: Time mated pregnant adult rats were assigned into three groups including
control, low dose of AgNPs (0.2 mg/kg) and high dose of AgNPs (2 mg/kg). AgNPs
were subcutaneously (SC) injected at days of 1, 4, 7, 10, 13, 16 and 19 of
pregnancy. Gene expression of TH and MAO-A was analyzed in the brain of offspring
(male and female) at days of 1, 7, 14 and 21 after birth. RESULTS: Administration
of AgNPs to pregnant rats in a time- and dose-dependent manner increased the
expression levels of TH in the brain of male and female pups at all tested days
after birth (p < 0.05). AgNPs had stimulatory effect on MAO-A mRNA expression in
pups only at the age of 7 and 14. Female pups showed the higher level of TH and
MAO-A compared to that in male pups (p < 0.001). DISCUSSION AND CONCLUSIONS:
Results obtained here demonstrated that the exposure of pregnant rats to AgNPs
increases the expression of genes involved in dopamine metabolism in the brain of
offspring.
PMID- 27884070
TI - Association of SP-C gene codon 186 polymorphism (rs1124) and risk of RDS.
AB - BACKGROUND: Respiratory distress syndrome (RDS) is a severe pulmonary disease
that mainly affects preterm neonates. Surfactant-protein genes' polymorphisms
have been mostly evaluated as the candidate contributors in genetics of RDS.
However, the results are diverse in different populations. We aimed at
investigating the association of rs1124 with RDS development. METHOD: Three
hundred and thirty five preterm neonates were enrolled in a multicenter case
control study. Respiratory distress (RD) was scored according to Downes' scoring
system. Genotyping was performed by PCR-RFLP method. RESULT: One hundred and
sixty six neonates showed RDS and 169 did not. Gestational age (GA) was
significantly lower in RDS group compared to the controls. In female preterm
newborns, AA genotype was found more frequently in RDS group. In RDS group, AA
genotype was also associated with milder RD irrespective of gender. In neonates
who were born 28-34 weeks, RD appeared to be more severe in the RDS group and
males. CONCLUSIONS: This is the first report of association of SFTPC rs1124
polymorphism with RDS development in Iranian newborns. The current study suggests
that GA <28-weeks is the most important factor in predisposition to RDS. AA
genotype is also, a predisposing factor for the development of RDS in female
preterm infants.
PMID- 27884071
TI - A pilot study on the motivational effects of an internet-delivered physical
activity behaviour change programme in Nova Scotian cancer survivors.
AB - OBJECTIVE: To examine the effects of an internet-delivered, distance-based
physical activity (PA) behaviour change programme on motivation to perform PA in
cancer survivors. DESIGN: Breast, prostate and colorectal cancer survivors (N =
95) were randomized to either an online Theory of Planned Behaviour (TPB)-based
PA behaviour change programme (UCAN) or usual care. MAIN OUTCOME MEASURES:
Motivational variables from the TPB including intention, planning, attitude,
subjective norm, perceived behavioural control and underlying beliefs. RESULTS:
UCAN had significant negative effects on self-efficacy (-.7; 95% CI = -1.2 to
.1; d = -.53, p = .019), affective attitude (-.4; 95% CI = -.8 to -.0; d = -.45,
p = .044), instrumental attitude (-.5; 95% CI = -.9 to -.1; d = -.43, p = .026)
and confidence to perform PA in bad weather (-.8; 95% CI = -1.6 to -.1; d = -.49,
p = .030), despite health issues (-.7; 95% CI = -1.3 to -.1; d = -.48, p = .031),
despite pain/soreness (-.7; 95% CI = -1.4 to -.1; d = -.52, p = .020), despite
family responsibilities (-1.0; 95% CI = -1.7 to -.3; d = -.62, p = .005) and when
PA is boring (-.8; 95% CI = -1.4 to -.1; d = -.54, p = .016). CONCLUSION: UCAN
had negative effects on motivational variables in cancer survivors. These
findings may be explained by methodological issues related to measuring
motivation and/or the absence of post-intentional constructs.
PMID- 27884072
TI - The biological effect of asbestos exposure is dependent on changes in iron
homeostasis.
AB - Functional groups on the surface of fibrous silicates can complex iron. We tested
the postulate that (1) asbestos complexes and sequesters host cell iron resulting
in a disruption of metal homeostasis and (2) this loss of essential metal results
in an oxidative stress and biological effect in respiratory epithelial cells.
Exposure of BEAS-2B cells to 50 MUg/mL chrysotile resulted in diminished
concentrations of mitochondrial iron. Preincubation of these cells with 200 MUM
ferric ammonium citrate (FAC) prevented significant mitochondrial iron loss
following the same exposure. The host response to chrysotile included increased
expression of the importer divalent metal transporter-1 (DMT1) supporting a
functional iron deficiency. Incubation of BEAS-2B cells with both 200 MUM FAC and
50 MUg/mL chrysotile was associated with a greater cell accumulation of iron
relative to either iron or chrysotile alone reflecting increased import to
correct metal deficiency immediately following fiber exposure. Cellular oxidant
generation was elevated after chrysotile exposure and this signal was diminished
by co-incubation with 200 MUM FAC. Similarly, exposure of BEAS-2B cells to 50
MUg/mL chrysotile was associated with release of the proinflammatory mediators
interleukin (IL)-6 and IL-8, and these changes were diminished by co-incubation
with 200 MUM FAC. We conclude that (1) the biological response following exposure
to chrysotile is associated with complexation and sequestration of cell iron and
(2) increasing available iron in the cell diminished the effects of asbestos
exposure.
PMID- 27884073
TI - Liquid chromatography-high-resolution mass spectrometry for regulated
bioanalysis: bile acid and oligonucleotide quantitation as a reference.
PMID- 27884076
TI - Corrigenda.
PMID- 27884075
TI - SRM-based measurements of proprotein convertase subtilisin/kexin type 9 and
lipoprotein(a) kinetics in nonhuman primate serum.
AB - AIM: PCSK9 and Lp(a) have been identified as potential biomarkers for
cardiovascular disease. The ability to measure protein turnover rates will
provide insights into the dynamic properties of these proteins and lead to better
understanding of their biological roles. We aimed to implement the stable isotope
labeled tracers ([2H3]-leucine) and develop a novel LC-selected reaction
monitoring (SRM) mass spectrometry (MS) method to study the kinetics of PCSK9 and
Lp(a). RESULTS: A sensitive method using immunoaffinity enrichment coupled with
LC-SRM MS was developed to measure the production and degradation rates of PCSK9
and Lp(a) in naive nonhuman primate serum. Comparable results were obtained from
two different routes of tracer administration. CONCLUSION: Immunoaffinity
enrichment coupled with LC-SRM MS demonstrated success in in vivo kinetic
measurements of proteins with relatively slow turnover rate (Lp[a]) or low
abundance (PCSK9) in serum.
PMID- 27884077
TI - From patient to tube: the importance of physiologically relevant quantitative
bioanalytical assays.
AB - Circulating drug concentrations (clinical or preclinical) underly many
interactions between industry and regulators; expressing safety coverage,
pharmacokinetic-pharmacodynamic relationships or defining bioequivalence and
dosing regimens. Accurate and precise measurement of these circulating
concentrations is pivotal to the evolution and validation of any bioanalytical
method that supports regulatory interactions. Since the bioanalyst is presented
with a sub-aliquot of sampled biological matrix, how do they ensure this aliquot
reflects the concentration in the subject at the time of collection? Here we
share experiences from project support (internal and at CROs) that suggests we
need to be ever vigilant translating the needs of bioanalysis with those of
project teams. The simple mantra is for bioanalytical measurements to be
physiologically relevant to the patient.
PMID- 27884074
TI - LC-MS/MS strategies for therapeutic antibodies and investigation into the
quantitative impact of antidrug-antibodies.
AB - AIM: We aimed to establish novel, high-throughput LC-MS/MS strategies for
quantification of monoclonal antibodies in human serum and examine the potential
impact of antidrug antibodies. METHODOLOGY: We present two strategies using a
thermally stable immobilized trypsin. The first strategy uses whole serum
digestion and the second introduces Protein G enrichment to improve the
selectivity. The impact of anti-trastuzumab antibodies on the methods was tested.
CONCLUSION: Whole serum digestion has been validated for trastuzumab (LLOQ 0.25
ug/ml). Protein G enrichment has been validated for trastuzumab (LLOQ 0.1 ug/ml),
bevacizumab (LLOQ 0.1 ug/ml) and adalimumab (LLOQ 0.25 ug/ml). We have shown the
potential for anti-drug antibodies to impact on the quantification and we have
subsequently established a strategy to overcome this impact where total
quantification is desired.
PMID- 27884078
TI - A semi-universal assay platform to quantitate vaccines with potential
applications for biotherapeutics.
AB - AIM: Biologics development often requires multiple immunoassays to evaluate both
assay reagents and potential drug candidates resulting in extensive analytical
development. METHODOLOGY: We developed a semi-universal, 5-layer platform assay
on Gyrolab using secondary antispecies or anti-isotype-specific capture and
detection antibodies. We applied the assay to several multivalent vaccines.
RESULTS: Method performance exhibited a median accuracy of 110%, reproducibility
of 9% CV and intermediate precision of 11% CV. System suitability criteria were
met for 92.5% of the samples and only one out of 31 replicate samples exhibited a
%CV greater than 20%. CONCLUSION: The semi-universal Gyrolab assay allowed assay
development without reagent labeling. The format could also be translated into a
plate-based assay.
PMID- 27884080
TI - Decomposition products of glycidyl esters of fatty acids by heating.
AB - In this study, decomposition products of glycidyl palmitate (GP) of fatty acids
heated at high temperature such as deep frying were investigated. When GP and
tripalmitin (TP) were heated at 180 and 200 degrees C, they were decreased with
heating time. The weight of GP was less than that of TP, although both GP and TP
were converted to polar compounds after heating. The decomposition rate of GP was
higher than TP. Both GP and TP produced considerable amounts of hydrocarbons and
aldehydes during heating. Aldehydes produced from GP and TP included saturated
aldehydes with carbon chain length of 3-10, while hydrocarbons consisted of
carbon chain length of 8-15. It was observed that major hydrocarbons produced
from GP during heating were pentadecane. Moreover, the level of carbon dioxide
(CO2) released from GP was higher than that of TP. It was suggested that fatty
acids in GE might be susceptible to decarboxylation. From these results, GP might
be quickly decomposed to hydrocarbons, aldehydes and CO2 besides polar compounds
by heating, in comparison with TP.
PMID- 27884079
TI - Bypassing nonparallelism of a monoclonal antibody ligand-binding assay by
employment of alternative assay formats.
AB - Determination of concentration-time profiles in cynomolgus monkeys of a
therapeutic monoclonal antibody against a soluble target revealed a substantial
discrepancy between a generic anti-human IgG capture/detection and target
bridging assay with the target bridging assay leading to dose- and time-dependent
underquantification of drug concentrations, lack of parallelism and subsequently
different pharmacokinetic parameters. In contrast, plasma levels derived from a
target capture and an anti-idiotypic antibody bridging assay were in close
concordance with the generic assay and demonstrated parallelism with high
precision across several dilutions. The results provide a practical attempt to
overcome nonparallelism by employing alternative assay formats utilizing tailored
assay reagent combinations in order to obtain unbiased pharmacokinetic data.
PMID- 27884081
TI - A randomized cross over study comparing the efficacy of two mandibular
advancement appliances in the treatment of mild-moderate obstructive sleep apnea.
AB - OBJECTIVE: To compare efficacy, side effects, patient compliance, and preference
between two types of custom-made mandibular advancement appliances (MAAs) in the
treatment of patients with mild to moderate obstructive sleep apnea (OSA).
METHODOLOGY: This prospective, randomized, crossover study of 12 patients with
mild to moderate OSA compared a titratable and a non-titratable MAA. Each patient
was fitted with both appliances in a random order with a washout period of two
weeks. Efficacy, side effects, compliance, and preference were evaluated by a
questionnaire. All patients underwent overnight home sleep recordings prior to
and after the use of each appliance in order to objectively assess sleep quality
in terms of the apnea and hypopnea index (AHI), snoring frequency and oxygen
desaturation index. RESULTS: Treatment successes (relief of symptoms and/or
reduction of AHI to <10/h) were reported with both types of appliances. No
compliance failure was reported, and in most patients, the side effects were
mild, and improved with time. CONCLUSION: Both types of oral appliances were
effective treatments for patients with mild to moderate OSA, with fewer side
effects and higher patient satisfaction.
PMID- 27884082
TI - Enhanced conformational flexibility of the histone-like (HU) protein from
Mycoplasma gallisepticum.
AB - The histone-like (HU) protein is one of the major nucleoid-associated proteins
involved in DNA supercoiling and compaction into bacterial nucleoid as well as in
all DNA-dependent transactions. This small positively charged dimeric protein
binds DNA in a non-sequence specific manner promoting DNA super-structures. The
majority of HU proteins are highly conserved among bacteria; however, HU protein
from Mycoplasma gallisepticum (HUMgal) has multiple amino acid substitutions in
the most conserved regions, which are believed to contribute to its specificity
to DNA targets unusual for canonical HU proteins. In this work, we studied the
structural dynamic properties of the HUMgal dimer by NMR spectroscopy and MD
simulations. The obtained all-atom model displays compliance with the NMR data
and confirms the heterogeneous backbone flexibility of HUMgal. We found that
HUMgal, being folded into a dimeric conformation typical for HU proteins, has a
labile alpha-helical body with protruded beta-stranded arms forming DNA-binding
domain that are highly flexible in the absence of DNA. The amino acid
substitutions in conserved regions of the protein are likely to affect the
conformational lability of the HUMgal dimer that can be responsible for complex
functional behavior of HUMgal in vivo, e.g. facilitating its spatial adaptation
to non-canonical DNA-targets.
PMID- 27884084
TI - Losartan loaded liposomes improve the antitumor efficacy of liposomal paclitaxel
modified with pH sensitive peptides by inhibition of collagen in breast cancer.
AB - The dense collagen network in tumors restricts the penetration of drugs into
tumors. Free losartan could inhibit collagen, but it would cause hypotension at
the dosage of 10 mg/kg/d. In this study, losartan was encapsulated in liposomes
(LST-Lip) and the collagen inhibition ability of LST-Lip was investigated. Our
results showed the blood pressure was not affected by LST-Lip at the dosage of
2.5 mg/kg every other day. The amount of Evans Blue in tumor in LST-Lip group was
1.98 times of that in control group. Confocal laser scanning microscopy images
showed that prior injection of LST-Lip could inhibit collagen and further improve
the tumorous accumulation of liposomes modified with TH peptides
(AGYLLGHINLHHLAHL(Aib)HHIL-NH2) (TH-Lip) in 4T1 tumors. Compared with control
group, the tumor inhibition rate of combined strategy of LST-Lip and paclitaxel
loaded TH-Lip (PTX-TH-Lip) was 41.73%, while that of group only treated with PTX
TH-Lip was 14.94%. Masson's trichrome staining confirmed that collagen was
inhibited in LST-Lip group. Thus, the administration of LST-Lip in advance could
inhibit the collagen in tumors effectively and did not affect the blood pressure,
then PTX-TH-Lip injected subsequently could exert enhanced antitumor efficacy. In
conclusion, this combined strategy might be promising for breast cancer therapy.
PMID- 27884085
TI - The roles of myeloperoxidase in coronary artery disease and its potential
implication in plaque rupture.
AB - Atherosclerosis is the main pathophysiological process underlying coronary artery
disease (CAD). Acute complications of atherosclerosis, such as myocardial
infarction, are caused by the rupture of vulnerable atherosclerotic plaques,
which are characterized by thin, highly inflamed, and collagen-poor fibrous caps.
Several lines of evidence mechanistically link the heme peroxidase
myeloperoxidase (MPO), inflammation as well as acute and chronic manifestations
of atherosclerosis. MPO and MPO-derived oxidants have been shown to contribute to
the formation of foam cells, endothelial dysfunction and apoptosis, the
activation of latent matrix metalloproteinases, and the expression of tissue
factor that can promote the development of vulnerable plaque. As such, detection,
quantification and imaging of MPO mass and activity have become useful in cardiac
risk stratification, both for disease assessment and in the identification of
patients at risk of plaque rupture. This review summarizes the current knowledge
about the role of MPO in CAD with a focus on its possible roles in plaque rupture
and recent advances to quantify and image MPO in plasma and atherosclerotic
plaques.
PMID- 27884083
TI - The globalisation strategies of five Asian tobacco companies: An analytical
framework.
AB - With 30% of the world's smokers, two million deaths annually from tobacco use,
and rising levels of tobacco consumption, the Asian region is recognised as
central to the future of global tobacco control. There is less understanding,
however, of how Asian tobacco companies with regional and global aspirations are
contributing to the global burden of tobacco-related disease and death. This
introductory article sets out the background and rationale for this special issue
on 'The Emergence of Asian Tobacco Companies: Implications for Global Health
Governance'. The article discusses the core questions to be addressed and
presents an analytical framework for assessing the globalisation strategies of
Asian tobacco firms. The article also discusses the selection of the five case
studies, namely as independent companies in Asia which have demonstrated
concerted ambitions to be a major player in the world market.
PMID- 27884086
TI - Predictors of satisfaction in patient with silicone breast implants and its
association with drug intake habits.
AB - BACKGROUND: Satisfaction is an important outcome variable in surgical success.
The purpose of this study is to evaluate predictors of satisfaction in women
submitted to silicone textured breast implant surgery. METHODS: A retrospective
evaluation of women receiving textured silicone breast implants was performed.
Patients were divided in four groups: cosmetic cohort (n = 104), reconstructive
cohort (n = 120), general population control cohort (n = 120) and aesthetic
control cohort (n = 54). Data were collected based on information retrieved from
patient records, a planned consultation and a self-administered structured
questionnaire. RESULTS: Patient satisfaction was influenced by preoperative
information (p = .007), cohort (p < .001), and occurrence of postoperative
complications (p < .001). The degree of satisfaction was also related with drug
intake habits: women using psychotropic drugs were 3-fold more likely to report
poor satisfaction than those that never used these drugs (p < .001). CONCLUSION:
The purpose of the surgery, preoperative information and the occurrence of
postoperative complications have an impact on the degree of satisfaction of women
submitted to silicone breast implant surgery. Women using psychotropic drugs are
more likely to report poor satisfaction.
PMID- 27884088
TI - Physiopathology, clinical diagnosis, and treatment of hyponatremia.
AB - Hyponatremia is the commonest electrolyte disorder encountered in clinical
practice. It develops when the mechanisms regulating water and electrolyte
handling are impaired, which in many instances occur in the setting of concurrent
diseases such as heart failure, liver failure, renal failure etc... Hyponatremia
as an electrolyte disorder has several specificities: when profound it can be
quickly fatal and when moderate it carries a high risk of mortality and
morbidity, but at the same time incorrect treatment of profound hyponatremia can
lead to debilitating neurological disease and it remains unclear if treatment of
moderate hyponatremia is associated with a decrease in mortality and morbidity. A
proper diagnosis is the keystone for an adequate treatment for hyponatremia and
in the last few years many diagnosis algorithms have been developed to aid in the
evaluation of the hyponatremic patient. Also because of the availability of
vasopressin receptor antagonists and the advances made in the research regarding
complications associated with hyponatremia treatment, new treatment
recommendations have been published recently by several panels. This review will
discuss the physiopathology, epidemiology, and clinical manifestations of
hyponatremia and also the diagnosis and the treatment of this disorder with
special emphasis on the complication from overly rapid correction of
hyponatremia.
PMID- 27884087
TI - A protease/peptidase from culture medium of Flammulina velutipes that acts on
arabinogalactan-protein.
AB - Arabinogalactan-proteins (AGPs) are highly diverse plant proteoglycans found on
the plant cell surface. AGPs have large arabinogalactan (AG) moieties attached to
a core-protein rich in hydroxyproline (Hyp). The AG undergoes hydrolysis by
various glycoside hydrolases, most of which have been identified, whereas the
core-proteins is presumably degraded by unknown proteases/peptidases secreted
from fungi and bacteria in nature. Although several enzymes hydrolyzing other Hyp
rich proteins are known, the enzymes acting on the core-proteins of AGPs remain
to be identified. The present study describes the detection of protease/peptidase
activity toward AGP core-proteins in the culture medium of winter mushroom
(Flammulina velutipes) and partial purification of the enzyme by several
conventional chromatography steps. The enzyme showed higher activity toward Hyp
residues than toward proline and alanine residues and acted on core-proteins
prepared from gum arabic. Since the activity was inhibited in the presence of
Pefabloc SC, the enzyme is probably a serine protease.
PMID- 27884089
TI - An animal model of effects of nicotine exposure on endometrial receptivity and
embryo implantation in pregnancy.
AB - OBJECTIVE: This study aims at evaluating the endometrial receptivity in uterus of
pregnant rats exposed to nicotine via examination of integrin expression by
immunohistochemical effect. METHODS: In this study, 16 healthy pregnant rats were
divided into two groups of control and study groups each comprising eight rats.
The rats randomised to study group were given a certain amount of nicotine before
and during the pregnancy. Integrin expression was detected in uterus of all rats
by immunohistochemical staining. The effect of nicotine exposure on embryo
implantation and the endometrial receptivity were immunohistochemically and
pathologically evaluated. RESULTS: Comparison of both groups revealed no
difference in living, viable foetuses. Intensity and universality of
immunohistochemical staining of Integrin beta3 for endometrial epithelium and
endometrial stroma were detected to be identical between the groups. CONCLUSION:
No immunochemical effect was observed on integrin expression, which is a very
important part of receptivity in an animal model created with pregnant rats that
were transdermally exposed to nicotine. Our study demonstrated that the harmful
effect of nicotine use before and pregnancy on implantation is limited at the
level of integrin expression, in a dose-dependent manner and also by considering
the method of administration.
PMID- 27884090
TI - Aloe QDM complex enhances specific cytotoxic T lymphocyte killing in vivo in
metabolic disease mice.
AB - We developed spontaneous diet-induced metabolic disease in mice by feeding them a
high-fat diet for 23 weeks and administered Aloe QDM complex for 16 weeks to
examine its restorative effect on immune disorders and metabolic syndrome. A
series of immune functional assays indicated Aloe QDM complex enhanced lymphocyte
proliferation and antigen-specific immunity as determined by the restored
functions of cytotoxic T lymphocytes (CTL) and IgG production. The elevated serum
TNF-alpha level was also regulated by Aloe QDM complex treatment, which suggested
its complex therapeutic potential. As for metabolic phenotypes, oral
administration of Aloe QDM complex significantly improved diabetic symptoms,
including high fasting glucose levels and glucose tolerance, and distinctly
alleviated lipid accumulation in adipose and hepatic tissue. The simultaneous
restoration of Aloe QDM complex on metabolic syndrome and host immune
dysfunction, especially on the specific CTL killing was first elucidated in our
study.
PMID- 27884091
TI - Whipple's disease.
AB - In recent years, it has become apparent that Tropheryma whipplei not only causes
a chronic multisystemic infection which is often preceded by arthropathies for
many years, well known as 'classical' Whipple's disease, but also clinically
becomes manifest with localized organ affections and acute (transient) infections
in children. T. whipplei is found ubiquitously in the environment and colonizes
in some healthy carriers. In this review, we highlight new aspects of this
enigmatic infectious disorder.
PMID- 27884092
TI - A retrospective analysis of outcomes for primary mediastinal large B-cell
lymphoma treated with RCHOP followed by radiotherapy or front-line autologous
stem cell transplantation.
AB - OBJECTIVES: Our aim was to retrospectively investigate the data from our
institute the response rate and outcome in patients with primary mediastinal B
cell lymphoma (PMBL) who received the rituximab in combination with CHOP (RCHOP)
followed by autologous stem cell transplantation (ASCT) or RCHOP followed by
involved field radiation therapy (IFRT). METHODS: Sixty five patients with PMBL
received RCHOP as first-line chemotherapy between January 2005 and December 2010.
Forty of the 65 patients completed the planned subsequent IFRT after initial
chemotherapy. Thirteen of the 65 patients received the front-line ASCT after
RCHOP. Twelve patients received RCHOP alone. RESULTS: Thirty two of the 40
patients who received the RCHOP followed by IFRT have complete remission (CR) or
CRu (CR/unconfirmed). All patients have CR or CRu after the ASCT. The progression
free survival (PFS) and the estimated overall survival (OS) rate at 5 years for
32 CR/CRu patients in the RCHOP followed by IFRT group were 57 and 65%,
respectively, as compared to RCHOP/ASCT group who were 94 and 100%, respectively.
Twelve patients who received RCHOP alone had the same PFS and OS rate as the 40
patients who received RCHOP/IFRT (5-year PFS:62 vs. 65%, p = 0.068; 5-year OS:57
vs. 67%, p = 0.058). For all 65 patients, the age-adjusted international
prognostic index (aaIPI) score remained the only predictor of a worse outcome.
CONCLUSION: The PFS and OS rate of RCHOP/IFRT were found to be unsatisfied.
RCHOP/ASCT showed a satisfactory PFS and OS rate.
PMID- 27884096
TI - Recognizing that truth is unattainable and attending to the most informative
research evidence.
PMID- 27884095
TI - In pursuit of truth: A critical examination of meta-analyses of cognitive
behavior therapy.
AB - OBJECTIVE: Three recent meta-analyses have made the claim, albeit with some
caveats, that cognitive-behavioral treatments (CBT) are superior to other
psychotherapies, in general or for specific disorders (e.g., social phobia).
METHOD: The purpose of the present article was to examine four issues in meta
analysis that mitigate claims of CBT superiority: (a) effect size, power, and
statistical significance, (b) focusing on disorder-specific symptom measures and
ignoring other important indicators of psychological functioning, (c) problems
inherent in classifying treatments provided in primary studies into classes of
treatments, and (d) the inclusion of problematic trials, which biases the
results, and the exclusion of trials that fail to find differences among
treatments. RESULTS: When these issues are examined, the effects demonstrating
the superiority of CBT are small, nonsignificant for the most part, limited to
targeted symptoms, or are due to flawed primary studies. CONCLUSION: Meta
analytic evidence for the superiority of CBT in the three meta-analysis are
nonexistent or weak.
PMID- 27884093
TI - Socio-economic status and fertility decline: Insights from historical transitions
in Europe and North America.
AB - The timings of historical fertility transitions in different regions are well
understood by demographers, but much less is known regarding their specific
features and causes. In the study reported in this paper, we used longitudinal
micro-level data for five local populations in Europe and North America to
analyse the relationship between socio-economic status and fertility during the
fertility transition. Using comparable analytical models and class schemes for
each population, we examined the changing socio-economic differences in marital
fertility and related these to common theories on fertility behaviour. Our
results do not provide support for the hypothesis of universally high fertility
among the upper classes in pre-transitional society, but do support the idea that
the upper classes acted as forerunners by reducing their fertility before other
groups. Farmers and unskilled workers were the latest to start limiting their
fertility. Apart from these similarities, patterns of class differences in
fertility varied significantly between populations.
PMID- 27884094
TI - Synthesis, characterization, and photocatalytic activity of
sonochemical/hydration-dehydration prepared ZnO rod-like architecture
nano/microstructures assisted by a biotemplate.
AB - ZnO nanoparticles of rod-like architecture have excellent potential to be used in
wastewater treatment as a photocatalyst. They were synthesized by utilizing
sonochemical/hydration- dehydration techniques using glutamine as a biotemplate.
The effects of calcination temperatures, that is, 300 degrees C, 500 degrees C,
and 700 degrees C, on the crystallinity, optical properties, and photocatalytic
activity of synthesized zinc oxide nanoparticles were investigated. X-ray
diffraction (XRD) results indicated that all calcinated samples have a
crystalline hexagonal wurtzite structure. Morphology and elemental compositions
were investigated using field emission-scanning electron microscopy with energy
dispersive X-ray spectroscopy. The XRD and Fourier transform infrared (FTIR)
spectra revealed that the samples were amorphous at 100 degrees C; however, it
changed into a crystalline structure amid the calcination process. Optical
properties were determined using a UV-visible reflection spectrophotometer and
showed abatement in the band gap with increasing annealing temperature. The
progress of the photocatalytic degradation was monitored by a UV-visible
spectrophotometer, while the mineralization ability was estimated by total
organic carbon tests of ZnO-calcinated samples. The effect of various operational
parameters the photocatalytic efficiency and rate of dye degradation was studied.
High photocatalytic degradation of maxilon blue dye (GRL) was found at pH 6.3.
PMID- 27884097
TI - In the pursuit of truth, we should not prioritize correlational over causal
evidence.
PMID- 27884098
TI - Medical Teacher in Ten Minutes.
PMID- 27884099
TI - Medical Teacher Reviewers in 2016.
PMID- 27884101
TI - variancePartition: interpreting drivers of variation in complex gene expression
studies.
AB - BACKGROUND: As large-scale studies of gene expression with multiple sources of
biological and technical variation become widely adopted, characterizing these
drivers of variation becomes essential to understanding disease biology and
regulatory genetics. RESULTS: We describe a statistical and visualization
framework, variancePartition, to prioritize drivers of variation based on a
genome-wide summary, and identify genes that deviate from the genome-wide trend.
Using a linear mixed model, variancePartition quantifies variation in each
expression trait attributable to differences in disease status, sex, cell or
tissue type, ancestry, genetic background, experimental stimulus, or technical
variables. Analysis of four large-scale transcriptome profiling datasets
illustrates that variancePartition recovers striking patterns of biological and
technical variation that are reproducible across multiple datasets. CONCLUSIONS:
Our open source software, variancePartition, enables rapid interpretation of
complex gene expression studies as well as other high-throughput genomics assays.
variancePartition is available from Bioconductor:
http://bioconductor.org/packages/variancePartition .
PMID- 27884103
TI - ampliMethProfiler: a pipeline for the analysis of CpG methylation profiles of
targeted deep bisulfite sequenced amplicons.
AB - BACKGROUND: CpG sites in an individual molecule may exist in a binary state
(methylated or unmethylated) and each individual DNA molecule, containing a
certain number of CpGs, is a combination of these states defining an
epihaplotype. Classic quantification based approaches to study DNA methylation
are intrinsically unable to fully represent the complexity of the underlying
methylation substrate. Epihaplotype based approaches, on the other hand, allow
methylation profiles of cell populations to be studied at the single molecule
level. For such investigations, next-generation sequencing techniques can be
used, both for quantitative and for epihaplotype analysis. Currently available
tools for methylation analysis lack output formats that explicitly report CpG
methylation profiles at the single molecule level and that have suited
statistical tools for their interpretation. RESULTS: Here we present
ampliMethProfiler, a python-based pipeline for the extraction and statistical
epihaplotype analysis of amplicons from targeted deep bisulfite sequencing of
multiple DNA regions. CONCLUSIONS: ampliMethProfiler tool provides an easy and
user friendly way to extract and analyze the epihaplotype composition of reads
from targeted bisulfite sequencing experiments. ampliMethProfiler is written in
python language and requires a local installation of BLAST and (optionally) QIIME
tools. It can be run on Linux and OS X platforms. The software is open source and
freely available at http://amplimethprofiler.sourceforge.net .
PMID- 27884102
TI - Gene expression profile of intramuscular muscle in Nellore cattle with extreme
values of fatty acid.
AB - BACKGROUND: Fatty acid type in beef can be detrimental to human health and has
received considerable attention in recent years. The aim of this study was to
identify differentially expressed genes in longissimus thoracis muscle of 48
Nellore young bulls with extreme phenotypes for fatty acid composition of
intramuscular fat by RNA-seq technique. RESULTS: Differential expression analyses
between animals with extreme phenotype for fatty acid composition showed a total
of 13 differentially expressed genes for myristic (C14:0), 35 for palmitic
(C16:0), 187 for stearic (C18:0), 371 for oleic (C18:1, cis-9), 24 for conjugated
linoleic (C18:2 cis-9, trans11, CLA), 89 for linoleic (C18:2 cis-9,12 n6), and
110 genes for alpha-linolenic (C18:3 n3) fatty acids. For the respective sums of
the individual fatty acids, 51 differentially expressed genes for saturated fatty
acids (SFA), 336 for monounsaturated (MUFA), 131 for polyunsaturated (PUFA), 92
for PUFA/SFA ratio, 55 for omega3, 627 for omega6, and 22 for omega6/omega3 ratio
were identified. Functional annotation analyses identified several genes
associated with fatty acid metabolism, such as those involved in intra and extra
cellular transport of fatty acid synthesis precursors in intramuscular fat of
longissimus thoracis muscle. Some of them must be highlighted, such as: ACSM3 and
ACSS1 genes, which work as a precursor in fatty acid synthesis; DGAT2 gene that
acts in the deposition of saturated fat in the adipose tissue; GPP and LPL genes
that support the synthesis of insulin, stimulating both the glucose synthesis and
the amino acids entry into the cells; and the BDH1 gene, which is responsible for
the synthesis and degradation of ketone bodies used in the synthesis of ATP.
CONCLUSION: Several genes related to lipid metabolism and fatty acid composition
were identified. These findings must contribute to the elucidation of the genetic
basis to improve Nellore meat quality traits, with emphasis on human health.
Additionally, it can also contribute to improve the knowledge of fatty acid
biosynthesis and the selection of animals with better nutritional quality.
PMID- 27884104
TI - Phylogeography reveals an ancient cryptic radiation in East-Asian tree frogs
(Hyla japonica group) and complex relationships between continental and island
lineages.
AB - BACKGROUND: In contrast to the Western Palearctic and Nearctic biogeographic
regions, the phylogeography of Eastern-Palearctic terrestrial vertebrates has
received relatively little attention. In East Asia, tectonic events, along with
Pleistocene climatic conditions, likely affected species distribution and
diversity, especially through their impact on sea levels and the consequent
opening and closing of land-bridges between Eurasia and the Japanese Archipelago.
To better understand these effects, we sequenced mitochondrial and nuclear
markers to determine phylogeographic patterns in East-Asian tree frogs, with a
particular focus on the widespread H. japonica. RESULTS: We document several
cryptic lineages within the currently recognized H. japonica populations,
including two main clades of Late Miocene divergence (~5 Mya). One occurs on the
northeastern Japanese Archipelago (Honshu and Hokkaido) and the Russian Far-East
islands (Kunashir and Sakhalin), and the second one inhabits the remaining range,
comprising southwestern Japan, the Korean Peninsula, Transiberian China, Russia
and Mongolia. Each clade further features strong allopatric Plio-Pleistocene
subdivisions (~2-3 Mya), especially among continental and southwestern Japanese
tree frog populations. Combined with paleo-climate-based distribution models, the
molecular data allowed the identification of Pleistocene glacial refugia and
continental routes of postglacial recolonization. Phylogenetic reconstructions
further supported genetic homogeneity between the Korean H. suweonensis and
Chinese H. immaculata, suggesting the former to be a relic population of the
latter that arose when the Yellow Sea formed, at the end of the last glaciation.
CONCLUSIONS: Patterns of divergence and diversity were likely triggered by
Miocene tectonic activities and Quaternary climatic fluctuations (including
glaciations), causing the formation and disappearance of land-bridges between the
Japanese islands and the continent. Overall, this resulted in a ring-like
diversification of H. japonica around the Sea of Japan. Our findings urge for
important taxonomic revisions in East-Asian tree frogs. First, they support the
synonymy of H. suweonensis (Kuramoto, 1980) and H. immaculata (Boettger, 1888).
Second, the nominal H. japonica (Gunther, 1859) represents at least two species:
an eastern (new taxon A) on the northern Japanese and Russian Far East islands,
and a southwestern species (n. t. B) on southern Japanese islands and possibly
also forming continental populations. Third, these continental tree frogs may
also represent an additional entity, previously described as H. stepheni
Boulenger, 1888 (senior synonym of H. ussuriensis Nikolskii, 1918). A complete
revision of this group requires further taxonomic and nomenclatural analyses,
especially since it remains unclear to which taxon the species-epitheton japonica
corresponds to.
PMID- 27884105
TI - Structural features of DNA that determine RNA polymerase II core promoter.
AB - BACKGROUND: The general structure and action of all eukaryotic and archaeal RNA
polymerases machinery have an astonishing similarity despite the diversity of
core promoter sequences in different species. The goal of our work is to find
common characteristics of DNA region that define it as a promoter for the RNA
polymerase II (Pol II). RESULTS: The profiles of a large number of physical and
structural characteristics, averaged over representative sets of the Pol II
minimal core promoters of the evolutionary divergent species from animals, plants
and unicellular fungi were analysed. In addition to the characteristics defined
at the base-pair steps, we, for the first time, use profiles of the ultrasonic
cleavage and DNase I cleavage indexes, informative for internal properties of
each complementary strand. CONCLUSIONS: DNA of the core promoters of metazoans
and Schizosaccharomyces pombe has similar structural organization. Its mechanical
and 3D structural characteristics have singular properties at the positions of
TATA-box. The minor groove is broadened and conformational motion is decreased in
that region. Special characteristics of conformational behavior are revealed in
metazoans at the region, which connects the end of TATA-box and the transcription
start site (TSS). The intensities of conformational motions in the complementary
strands are periodically changed in opposite phases. They are noticeable, best of
all, in mammals. Such conformational features are lacking in the core promoters
of S. pombe. The profiles of Saccharomyces cerevisiae core promoters
significantly differ: their singular region is shifted down thus pointing to the
uniqueness of their structural organization. Obtained results may be useful in
genetic engineering for artificial modulation of the promoter strength.
PMID- 27884106
TI - SPECtre: a spectral coherence--based classifier of actively translated
transcripts from ribosome profiling sequence data.
AB - BACKGROUND: Active protein translation can be assessed and measured using
ribosome profiling sequencing strategies. Prevailing analytical approaches
applied to this technology make use of sequence fragment length profiling or
reading frame occupancy enrichment to differentiate between active translation
and background noise, however they do not consider additional characteristics
inherent to the technology which limits their overall accuracy. RESULTS: Here, we
present an analytical tool that models the overall tri-nucleotide periodicity of
ribosomal occupancy using a classifier based on spectral coherence. Our software,
SPECtre, examines the relationship of normalized ribosome profiling read coverage
over a rolling series of windows along a transcript relative to an idealized
reference signal without the matched requirement of mRNA-Seq. CONCLUSIONS: A
comparison of SPECtre against previously published methods on existing data shows
a marked improvement in accuracy for detecting active translation and exhibits
overall high accuracy at a low false discovery rate. In addition, SPECtre
performs comparably to a recently published method similarly based on spectral
coherence, however with reduced runtime and memory requirements. SPECtre is
available as an open source software package at https://github.com/mills
lab/spectreok .
PMID- 27884107
TI - Association between changes in cerebral grey matter volume and postoperative
cognitive dysfunction in elderly patients: study protocol for a prospective
observational cohort study.
AB - BACKGROUND: Cognitive decline is frequently observed in elderly patients after
major surgery. The pathophysiology of postoperative cognitive dysfunction (POCD)
remains unclear. The aim of our investigation is to identify potential
associations between brain volume change and POCD in elderly patients undergoing
major surgery. METHODS: This is a prospective observational cohort study approved
by the regional ethics board. We intend to compare specific brain volumes
(hippocampus, lateral ventricle, total grey matter volume, regional cortical
thickness) on magnetic resonance imaging and cognitive functions determined by a
neuropsychological assessment battery in 70 study participants aged >=65 years
before and 3 and 12 months after major noncardiac surgery. Thirty volunteers will
be included as matched nonsurgical controls. The primary endpoint of the study is
the change in hippocampal volume over time in patients with and without POCD. The
secondary endpoint is the correlation between the change in cerebral volume and
cognitive function. We will follow the STROBE guidelines for reporting the
results of observational studies. DISCUSSION: We hypothesize that surgery under
general anesthesia is associated with a loss of cerebral grey matter, and that
the degree of postoperative cognitive dysfunction correlates with the extent of
atrophy in areas of the brain that are relevant for cognitive functions. The
validation of reproducible anatomical biomarkers, such as the specific brain
volumes examined in our cohort, may serve to evaluate the effect of preventive
strategies and treatment interventions for POCD in follow-up studies. TRIAL
REGISTRATION: Clinicaltrials.gov NCT02045004 . Registered 22 January 2014.
Kofam.ch SNCTP000001751. Registered 21 April 2016 (retrospectively registered).
PMID- 27884108
TI - Characterization and management of long runs of homozygosity in parental nucleus
lines and their associated crossbred progeny.
AB - BACKGROUND: In nucleus populations, regions of the genome that have a high
frequency of runs of homozygosity (ROH) occur and are associated with a reduction
in genetic diversity, as well as adverse effects on fitness. It is currently
unclear whether, and to what extent, ROH stretches persist in the crossbred
genome and how genomic management in the nucleus population might impact low
diversity regions and its implications on the crossbred genome. METHODS: We
calculated a ROH statistic based on lengths of 5 (ROH5) or 10 (ROH10) Mb across
the genome for genotyped Landrace (LA), Large White (LW) and Duroc (DU) dams. We
simulated crossbred dam (LA * LW) and market [DU * (LA * LW)] animal genotypes
based on observed parental genotypes and the ROH frequency was tabulated. We
conducted a simulation using observed genotypes to determine the impact of
minimizing parental relationships on multiple diversity metrics within nucleus
herds, i.e. pedigree-(A), SNP-by-SNP relationship matrix or ROH relationship
matrix. Genome-wide metrics included, pedigree inbreeding, heterozygosity and
proportion of the genome in ROH of at least 5 Mb. Lastly, the genome was split
into bins of increasing ROH5 frequency and, within each bin, heterozygosity, ROH5
and length (Mb) of ROH were evaluated. RESULTS: We detected regions showing high
frequencies of either ROH5 and/or ROH10 across both LW and LA on SSC1, SSC4, and
SSC14, and across all breeds on SSC9. Long haplotypes were shared across parental
breeds and thus, regions of ROH persisted in crossbred animals. Averaged across
replicates and breeds, progeny had higher levels of heterozygosity (0.0056 +/-
0.002%) and lower proportion of the genome in a ROH of at least 5 Mb (-0.015 +/-
0.003%) than their parental genomes when genomic relationships were constrained,
while pedigree relationships resulted in negligible differences at the genomic
level. Across all breeds, only genomic data was able to target low diversity
regions. CONCLUSIONS: We show that long stretches of ROH present in the parents
persist in crossbred animals. Furthermore, compared to using pedigree
relationships, using genomic information to constrain parental relationships
resulted in maintaining more genetic diversity and more effectively targeted low
diversity regions.
PMID- 27884109
TI - Cloning, functional expression and characterization of a bifunctional 3
hydroxybutanal dehydrogenase /reductase involved in acetone metabolism by
Desulfococcus biacutus.
AB - BACKGROUND: The strictly anaerobic, sulfate-reducing bacterium Desulfococcus
biacutus can utilize acetone as sole carbon and energy source for growth. Whereas
in aerobic and nitrate-reducing bacteria acetone is activated by carboxylation
with CO2 to acetoacetate, D. biacutus involves CO as a cosubstrate for acetone
activation through a different, so far unknown pathway. Proteomic studies
indicated that, among others, a predicted medium-chain dehydrogenase/reductase
(MDR) superfamily, zinc-dependent alcohol dehydrogenase (locus tag
DebiaDRAFT_04514) is specifically and highly produced during growth with acetone.
RESULTS: The MDR gene DebiaDRAFT_04514 was cloned and overexpressed in E. coli.
The purified recombinant protein required zinc as cofactor, and accepted
NADH/NAD+ but not NADPH/NADP+ as electron donor/acceptor. The pH optimum was at
pH 8, and the temperature optimum at 45 degrees C. Highest specific activities
were observed for reduction of C3 - C5-aldehydes with NADH, such as propanal to
propanol (380 +/- 15 mU mg-1 protein), butanal to butanol (300 +/- 24 mU mg-1),
and 3-hydroxybutanal to 1,3-butanediol (248 +/- 60 mU mg-1), however, the enzyme
also oxidized 3-hydroxybutanal with NAD+ to acetoacetaldehyde (83 +/- 18 mU mg
1). CONCLUSION: The enzyme might play a key role in acetone degradation by D.
biacutus, for example as a bifunctional 3-hydroxybutanal dehydrogenase/reductase.
Its recombinant production may represent an important step in the elucidation of
the complete degradation pathway.
PMID- 27884112
TI - Erratum to: Genetic analysis of intestinal polyp development in Collaborative
Cross mice carrying the Apc Min/+ mutation.
PMID- 27884111
TI - Quantitative genetic analysis of the bTB diagnostic single intradermal
comparative cervical test (SICCT).
AB - BACKGROUND: Bovine tuberculosis (bTB) is a disease of significant economic
importance and is a persistent animal health problem with implications for public
health worldwide. Control of bTB in the UK has relied on diagnosis through the
single intradermal comparative cervical test (SICCT). However, limitations in the
sensitivity of this test hinder successful eradication and the control of bTB
remains a major challenge. Genetic selection for cattle that are more resistant
to bTB infection can assist in bTB control. The aim of this study was to conduct
a quantitative genetic analysis of SICCT measurements collected during bTB herd
testing. Genetic selection for bTB resistance will be partially informed by SICCT
based diagnosis; therefore it is important to know whether, in addition to
increasing bTB resistance, this might also alter genetically the epidemiological
characteristics of SICCT. RESULTS: Our main findings are that: (1) the SICCT test
is robust at the genetic level, since its hierarchy and comparative nature
provide substantial protection against random genetic changes that arise from
genetic drift and from correlated responses among its components due to either
natural or artificial selection; (2) the comparative nature of SICCT provides
effective control for initial skin thickness and age-dependent differences; and
(3) continuous variation in SICCT is only lowly heritable and has a weak
correlation with SICCT positivity among healthy animals which was not
significantly different from zero (P > 0.05). These emerging results demonstrate
that genetic selection for bTB resistance is unlikely to change the probability
of correctly identifying non-infected animals, i.e. the test's specificity, while
reducing the overall number of cases. CONCLUSIONS: This study cannot exclude all
theoretical risks from selection on resistance to bTB infection but the role of
SICCT in disease control is unlikely to be rapidly undermined, with any adverse
correlated responses expected to be weak and slow, which allow them to be
monitored and managed.
PMID- 27884110
TI - Tsetse fly tolerance to T. brucei infection: transcriptome analysis of
trypanosome-associated changes in the tsetse fly salivary gland.
AB - BACKGROUND: For their transmission, African trypanosomes rely on their blood
feeding insect vector, the tsetse fly (Glossina sp.). The ingested Trypanosoma
brucei parasites have to overcome a series of barriers in the tsetse fly
alimentary tract to finally develop into the infective metacyclic forms in the
salivary glands that are transmitted to a mammalian host by the tsetse bite. The
parasite population in the salivary gland is dense with a significant number of
trypanosomes tightly attached to the epithelial cells. Our current knowledge on
the impact of the infection on the salivary gland functioning is very limited.
Therefore, this study aimed to gain a deeper insight into the global gene
expression changes in the salivary glands of Glossina morsitans morsitans in
response to an infection with the T. brucei parasite. A detailed whole
transcriptome comparison of midgut-infected tsetse with and without a mature
salivary gland infection was performed to study the impact of a trypanosome
infection on different aspects of the salivary gland functioning and the
mechanisms that are induced in this tissue to tolerate the infection i.e. to
control the negative impact of the parasite presence. Moreover, a transcriptome
comparison with age-matched uninfected flies was done to see whether gene
expression in the salivary glands is already affected by a trypanosome infection
in the tsetse midgut. RESULTS: By a RNA-sequencing (RNA-seq) approach we compared
the whole transcriptomes of flies with a T. brucei salivary gland/midgut
infection versus flies with only a midgut infection or versus non-infected flies,
all with the same age and feeding history. More than 7500 salivary gland
transcripts were detected from which a core group of 1214 differentially
expressed genes (768 up- and 446 down-regulated) were shared between the two
transcriptional comparisons. Gene Ontology enrichment analysis and detailed gene
expression comparisons showed a diverse impact at the gene transcript level.
Increased expression was observed for transcripts encoding for proteins involved
in immunity (like several genes of the Imd-signaling pathway, serine proteases,
serpins and thioester-containing proteins), detoxification of reactive species,
cell death, cytoskeleton organization, cell junction and repair. Decreased
expression was observed for transcripts encoding the major secreted proteins such
as 5'-nucleotidases, adenosine deaminases and the nucleic acid binding proteins
Tsals. Moreover, expression of some gene categories in the salivary glands were
found to be already affected by a trypanosome midgut infection, before the
parasite reaches the salivary glands. CONCLUSIONS: This study reveals that the T.
brucei population in the tsetse salivary gland has a negative impact on its
functioning and on the integrity of the gland epithelium. Our RNA-seq data
suggest induction of a strong local tissue response in order to control the
epithelial cell damage, the ROS intoxication of the cellular environment and the
parasite infection, resulting in the fly tolerance to the infection. The modified
expression of some gene categories in the tsetse salivary glands by a trypanosome
infection at the midgut level indicate a putative anticipatory response in the
salivary glands, before the parasite reaches this tissue.
PMID- 27884114
TI - Hyperemesis gravidarum and placental dysfunction disorders.
AB - BACKGROUND: Evidence about the consequence of hyperemesis gravidarum (HG) on
pregnancy outcomes is still inconclusive. In this study, we evaluated if
occurrence of hyperemesis gravidarum is associated with placental dysfunction
disorders and neonatal outcomes. METHODS: A prospective cohort study was
conducted in a maternal and child health primary care referral center, Budi
Kemuliaan Hospital and its branch, in Jakarta, Indonesia. 2252 pregnant women
visiting the hospital for regular antenatal care visits from July 2012 until
October 2014 were included at their first clinic visit. For women without, with
mild and with severe hyperemesis, placental dysfunction disorders (gestational
hypertension, preeclampsia (PE), stillbirth, miscarriage), neonatal outcomes
(birth weight, small for gestational age (SGA), low birth weight (LBW), Apgar
score at 5 min, gestational age at delivery) and placental outcomes (placental
weight and placental-weight-to-birth-weight ratio (PW/BW ratio)) were studied.
RESULTS: Compared to newborns of women without hyperemesis, newborns of women
with severe hyperemesis had a 172 g lower birth weight in adjusted analysis
(95%CI -333.26; -10.18; p = 0.04). There were no statistically significant
effects on placental dysfunction disorders or other neonatal outcome measures.
CONCLUSIONS: The results of our study suggest that hyperemesis gravidarum does
not seem to induce placental dysfunction disorders, but does, if severe lead to
lower birth weight.
PMID- 27884113
TI - Disrupting ROS-protection mechanism allows hydrogen peroxide to accumulate and
oxidize Sb(III) to Sb(V) in Pseudomonas stutzeri TS44.
AB - BACKGROUND: Microbial antimonite [Sb(III)] oxidation converts toxic Sb(III) into
less toxic antimonate [Sb(V)] and plays an important role in the biogeochemical
Sb cycle. Currently, little is known about the mechanisms underlying bacterial
Sb(III) resistance and oxidation. RESULTS: In this study, Tn5 transposon
mutagenesis was conducted in the Sb(III)-oxidizing strain Pseudomonas stutzeri
TS44 to isolate the genes responsible for Sb(III) resistance and oxidation. An
insertion mutation into gshA, encoding a glutamate cysteine ligase involved in
glutathione biosynthesis, generated a strain called P. stutzeri TS44-gshA540.
This mutant strain was complemented with a plasmid carrying gshA to generate
strain P. stutzeri TS44-gshA-C. The transcription of gshA, the two superoxide
dismutase (SOD)-encoding genes sodB and sodC as well as the catalase-encoding
gene katE was monitored because gshA-encoded glutamate cysteine ligase is
responsible for the biosynthesis of glutathione (GSH) and involved in the
cellular stress defense system as are superoxide dismutase and catalase
responsible for the conversion of ROS. In addition, the cellular content of total
ROS and in particular H2O2 was analyzed. Compared to the wild type P. stutzeri
TS44 and TS44-gshA-C, the mutant P. stutzeri TS44-gshA540 had a lower GSH content
and exhibited an increased content of total ROS and H2O2 and increased the
Sb(III) oxidation rate. Furthermore, the transcription of sodB, sodC and katE was
induced by Sb(III). A positive linear correlation was found between the Sb(III)
oxidation rate and the H2O2 content (R 2 = 0.97), indicating that the accumulated
H2O2 is correlated to the increased Sb(III) oxidation rate. CONCLUSIONS: Based on
the results, we propose that a disruption of the pathway involved in ROS
protection allowed H2O2 to accumulate. In addition to the previously reported
enzyme mediated Sb(III) oxidation, the mechanism of bacterial oxidation of
Sb(III) to Sb(V) includes a non-enzymatic mediated step using H2O2 as the
oxidant.
PMID- 27884115
TI - Chemical shift MR imaging in the lumbar vertebra: the effect of field strength,
scanner vendors and flip angles in repeatability of signal intensity index
measurement.
AB - BACKGROUND: To evaluate the reproducibility of signal intensity index (SII)
measurements with MRI systems from different vendors and with different field
strengths, and to test the effectiveness of flip angle. METHODS: Thirty-two
healthy volunteers (mean age 35.3 +/- 9.3 years) were enrolled in this ethics
committee-approved study. Chemical shift MR imaging was performed on 1.5- and 3.0
T MR systems from three vendors. Two independent observers measured SII values in
five lumbar segments. Inter- and intraobserver agreement was assessed using the
interclass correlation coefficients (ICCs). Differences of mean SII values
between different field strengths and MR vendors as well as flip angles were
compared by using repeated-measures analysis of variance. Differences of mean SII
values between different flip angles were also compared by using paired-sample t
test. RESULTS: Inter- and intra-observer correlation coefficients showed good
agreement (all ICC > 0.75) when measuring SII values at different MR systems
(ICCs ranging from 0.896 to 0.983) and flip angles (ICCs ranging from 0.824 to
0.983). There were no significant differences in mean SII values measured by
different MR vendors with different field strengths (all p > 0.05 ranging from
0.337 to 0.824). The differences in the mean SII between the four different flip
angles were statistically significant (all p < 0.05 ranging from < 0.001 to
0.004) except the group of flip angle 50 degrees versus 70 degrees (p = 0.116).
CONCLUSION: The SII measurement using chemical shift MR imaging may be comparable
between different MR systems. Also high flip angles showed better stability to
quantitate lumbar fat content.
PMID- 27884117
TI - Erratum to: Hospitalization costs for community-acquired pneumonia in Dutch
elderly: an observational study.
PMID- 27884116
TI - Kidney disease in the elderly: biopsy based data from 14 renal centers in Poland.
AB - BACKGROUND: Longer life expectancy is associated with an increasing prevalence of
kidney disease. Aging itself may cause renal damage, but the spectrum of kidney
disorders that affect elderly patients is diverse. Few studies, mostly form US,
Asia and West Europe found differences in the prevalence of some types of kidney
diseases between elderly and younger patients based on renal biopsy findings,
with varied proportion between glomerulopathies and arterionephrosclerosis as a
dominant injury found. Here, for the first time in Eastern Europe we analyzed
native kidney biopsy findings and their relationship to clinical characteristics
at the time of biopsy in elderly individuals (aged >=65) in comparison to younger
adults (aged 18-64). METHODS: Biopsy and clinical data from 352 patients aged
>=65 were retrospectively identified, analyzed and compared with a control group
of 2214 individuals aged 18-64. All kidney biopsies studied were examined at
Medical University of Warsaw in years 2009-14. RESULTS: In elderly patients the
leading indication for biopsy was nephrotic range proteinuria without hematuria
(34.2%) and the most prevalent pathologic diagnoses were: membranous
glomerulonephritis (MGN) (18.2%), focal segmental glomerulosclerosis (FSGS)
(17.3%) amyloidosis (13.9%) and pauci immune glomerulonephritis (12.8%).
Hypertension and age-related lesions very rarely were found an exclusive or
dominant finding in a kidney biopsy (1.7%) and a cause of proteinuria (1.1%) in
elderly individuals. There were 18.2% diabetics among elderly individuals, and as
much as 75% of them had no morphologic signs of diabetic kidney disease in the
renal biopsy. Amyloidosis, MGN, pauci immune GN, crescentic GN and light and/or
heavy chain deposition disease (LCDD/HCDD) were more frequent whereas IgA
nephropathy (IgAN), lupus nephritis (LN) and thin basement membrane disease
(TBMD) were less common among elderly than in younger patients. CONCLUSIONS:
Proteinuria, a dominating manifestation in elderly patients subjected to kidney
biopsy was most commonly related to glomerulopathies. The relatively high
prevalence of potentially curative kidney diseases in elderly individuals
implicates the importance of renal biopsy in these patients.
PMID- 27884118
TI - Burden of Clostridium difficile-associated disease among patients residing in
nursing homes: a population-based cohort study.
AB - BACKGROUND: Clostridium difficile (C. difficile) infection (CDI) is the leading
cause of nosocomial diarrhea in the United States. This study aimed to examine
the incidence of CDI and evaluate mortality and economic burden of CDI in an
elderly population who reside in nursing homes (NHs). METHODS: This was a
population-based retrospective cohort study focusing on US NHs by linking
Medicare 5% sample, Medicaid, Minimum Data Set (MDS) (2008-10). NH residents aged
>=65 years with continuous enrollment in Medicare and/or Medicaid Fee-for-Service
plan for >=12 months and >=2 quarterly MDS assessments were eligible for the
study. The incidence rate was calculated as the number of CDI episodes by 100,000
person-years. A 1:4 propensity score matched sample of cohorts with and without
CDI was generated to assess mortality and health care costs following the first
CDI. RESULTS: Among 32,807 NH residents, 941 residents had >=1 episode of CDI in
2009, with an incidence of 3359.9 per 100,000 person-years. About 30% CDI
episodes occurred in the hospital setting. NH residents with CDI (vs without CDI)
were more likely to have congestive heart failure, renal disease, cerebrovascular
disease, hospitalizations, and outpatient antibiotic use. During the follow-up
period, the 30-day (14.7% vs 4.3%, P < 0.001), 60-day (22.7% vs 7.5%, P < 0.001),
6-month (36.3% vs 18.3%, P < 0.001), and 1-year mortality rates (48.2% vs 31.1%,
P < 0.001) were significantly higher among the CDI residents vs non-CDI
residents. Total health care costs within 2 months following the first CDI
episode were also significantly higher for CDI residents ($28,621 vs $13,644, P <
0.001). CONCLUSIONS: CDI presents a serious public health issue in NHs.
Mortality, health care utilization, and associated costs were significant
following incident CDI episodes.
PMID- 27884119
TI - Anti-LRP/LR-specific antibody IgG1-iS18 impedes adhesion and invasion of
pancreatic cancer and neuroblastoma cells.
AB - BACKGROUND: Cancer has become a global burden due to its high incidence and
mortality rates, with an estimated 14.1 million cancer cases reported worldwide
in 2012 particularly as a result of metastasis. Metastasis involves two crucial
steps: adhesion and invasion, and the non-integrin receptor; the 37-kDa/67-kDa
laminin receptor precursor/ high affinity laminin receptor (LRP/LR) has been
shown to be overexpressed on the surface of tumorigenic cells, thus being
implicated in the enhancement of these two crucial steps. The current study
investigated the role of LRP/LR on the aggressiveness of pancreatic cancer (AsPC
1) and neuroblastoma (IMR-32) cells with respect to their adhesive and invasive
potential. METHODS: AsPC-1 and IMR-32 cells were utilized as the experimental
cell lines for the study. Cell surface LRP/LR levels were visualised and
quantified on the experimental and control (MCF-7) cell lines via confocal
microscopy and flow cytometry, respectively. Total LRP/LR levels in the cell
lines were assessed by Western blotting and the adhesive and invasive potential
of the above-mentioned cell lines was determined before and after supplementation
with the anti-LRP/LR specific antibody IgG1-iS18. Statistical significance of the
data was confirmed via the use of the two-tailed student's t-test and Pearson's
correlation coefficient. RESULTS: Flow cytometry revealed that AsPC-1 and IMR-32
cells displayed significantly higher cell surface LRP/LR levels in comparison to
the MCF-7 control cell line. However, Western blotting and subsequent
densitometric analysis revealed that all three tumorigenic cell lines displayed
no significant difference in total LRP/LR levels. The treatment of AsPC-1 and IMR
32 cells with IgG1-iS18 caused a significant reduction in the adhesive and
invasive potential of the cells to laminin-1 and through the ECM-like MatrigelTM,
respectively. Pearson's correlation coefficients indicated a high correlation,
thus suggesting a directly proportional relationship between cell surface LRP/LR
levels and the adhesive and invasive potential of AsPC-1 and IMR-32 cells.
CONCLUSION: These findings suggest that through the interference of the LRP/LR
laminin-1 interaction, the anti-LRP/LR specific antibody IgG1-iS18 may act as an
alternative therapeutic tool for the treatment of metastatic pancreatic cancer
and neuroblastoma.
PMID- 27884120
TI - Removal of free light chains in hemodialysis patients without multiple myeloma: a
crossover comparison of three different dialyzers.
AB - BACKGROUND: Immunoglobulin light chains are classified as middle molecule uremic
toxins able to interact with B lymphocyte membranes leading to the activation of
transmembrane signaling. The ensuing impairment of neutrophil function can
contribute to the chronic inflammation state of uremic patients, and the
increased risk of bacterial infections or vascular calcifications. The aim of
this crossover observational study was to assess the difference in free light
chain removal by three different hemodialysis filters in patients not affected by
multiple myeloma. METHODS: Free light chain removal was compared in the
polymethylmethacrylate (PMMA) membrane Filtryzer BK-F, the polyphenylene HFR17
filter and the conventional polysulfone filter F7HPS. Twenty chronic hemodialysis
patients were enrolled: mean age was 67.7 +/- 17.0 years, M/F = 14/6, dialysis
vintage (months) 25.5 +/- 32.0. The patients were randomized into two groups of
treatment lasting 6 weeks each. The dialysis sessions checked were the midweek
sessions and the blood was drawn at times 0, 120' and 240'. Kappa (k) and lambda
(lambda) light chain levels, beta2microglobulin (beta2M), C reactive protein
(CRP) and albumin were checked. RESULTS: K light chain levels were 345.0 +/-
100.0 mg/L, lambda light chains were 121.4 +/- 27.0 mg/L. The values of k light
chains at times 120' and 240' were significantly lower with PMMA and HFR17 than
those obtained with F7. The reduction ratio per session (RRs) for k light chains
was 44.1 +/- 4.3% with HFR17, 55.3 +/- 3.4% with PMMA, 25.7 +/- 8.3% with F7 (p =
0.018). The RRs for lambda light chains was 30.3 +/- 2.9% with HFR17, 37.8 +/-
17.3% with PMMA, 14.0 +/- 3.9% with F7 (p = 0.032). As to beta2M, RRs was 42.4 +/
3.2% with HFR17 vs. 33.9 +/- 2.8% with PMMA vs. 6.3 +/- 1.9% with F7 (p =
0.022). The three filters tested showed no differences in CRP or albumin levels.
CONCLUSION: In terms of light chain and beta2M removal, the PMMA and on-line HFR
filters are similar and both are significantly more effective than the F7 filter
in chronic dialysis patients. TRIAL REGISTRATION: The present trial was
registered retrospectively ( NCT02950389 , 31/10/2016).
PMID- 27884121
TI - Prevalence and risk factors of intestinal protozoan infections: a population
based study in rural areas of Boyer-Ahmad district, Southwestern Iran.
AB - BACKGROUND: Parasitic infections are still a significant health problem in rural
areas in developing countries including Iran. There is no recent population-based
data about the prevalence of human intestinal parasites in most rural areas of
Iran. The current study aimed to determine the prevalence of intestinal protozoan
infection in inhabitants of rural areas of Boyer-Ahmad district, Southwestern
Iran. METHODS: A total of 1025 stool samples were collected from the inhabitant
of 50 randomly selected villages in Boyer-Ahmad Township. The stool samples were
evaluated by parasitological methods including, direct wet-mounting, formalin
ethyl acetate concentration, zinc sulfate floatation, and Trichrome permanent
stain for detection of protozoan infections. Diarrheic samples were further
evaluated with a modified Ziehl-Neelsen staining method for detection of
coccidian parasites. RESULTS: The prevalence of both pathogenic and nonpathogenic
intestinal parasites in the population was 37.5% (385 out of 1025 cases), some
individual with multiple infections. Giardia lamblia was detected in 179
(17.46%), Blastocystis hominis in 182 (17.76%), Entamoeba histolytica/dispar in 9
(0.87%), Endolimax nana in 216 (21.07%), Entamoeba coli in 151 (14.73%),
Ioedamoeba butschlii in 45 (4.39%), Chillomastix mesnili in 22 (2.14%),
Trichomonas hominis in 2 (0.19%) and Dientamoeba fragillis in 2 (0.19%) of cases.
Multivariate logistic regression revealed significant associations between
protozoan infection (pathogenic protozoa) and contact with animals (OR yes/no =
2.22, p < 0.001) and educational status (OR higher/illiterate = 0.40, P = 0.01).
CONCLUSION: Findings of this study demonstrated that protozoan infection rate in
rural areas of southwestern Iran is still high and remained as a challenging
health problem in these areas.
PMID- 27884123
TI - The Spanish version of the Childbirth Experience Questionnaire (CEQ-E):
reliability and validity assessment.
AB - BACKGROUND: The Childbirth Experience Questionnaire (CEQ) was originally designed
to study women's perceptions of labour and birth. The main objective of our study
was to adapt the CEQ to the Spanish context and determine its psychometric
properties. This would provide an opportunity to evaluate women's experiences in
order to improve evidence in the Spanish context as recommended by national
guidelines. METHODS: The CEQ was translated into Spanish using a standard forward
and back translation method (CEQ-E). A convenience sample of 364 women was
recruited from 3 Spanish hospitals; all participants were able to read and write
in Spanish. Mothers with high risk pregnancies or preterm deliveries were
excluded from the study. A self-administered questionnaire on sociodemographic
variables was completed by participants before discharge. Data on childbirth
variables were obtained from maternity records. Between 1 and 3 months postpartum
a postal CEQ-E questionnaire was sent. The CEQ-E structure was examined by a
confirmatory factor analysis of polychoric correlations using a diagonally
weighted least squares estimator. Reliability was assessed using Cronbach's
alpha. Construct validity was conducted by testing differences in CEQ-E scores
between known-groups (to differ on key variables). RESULTS: 226 (62.1%) of the
recruited participants completed the postal questionnaire. The CEQ-E factor
structure was similar to the original one. The Spanish version showed fit
statistics in line with standard recommendations: CFI = 0.97; NNFI = 0.97; RMSEA
= 0.066; SRMS = 0.077. The internal consistency reliability of the CEQ-E was good
for the overall scale (0.88) and for all subscales (0.80, 0.90, 0.76, 0.68 for
"own capacity", "professional support", "perceived safety" and "participation",
respectively) and similar to the original version. Women with a labour duration
<= 12 h, women with a labour not induced, women with a normal birth and
multiparous women showed higher overall CEQ-E scores and "perceived safety"
subscale scores. Women with a labour duration <= 12 h and those with previous
experience of labour obtained higher scores for the "own capacity" and
"participation" subscales. CONCLUSIONS: The results of this study indicate that
the CEQ-E can be considered a valid and reliable measure of women's perceptions
of labour and birth in Spain.
PMID- 27884122
TI - Assessment of copy number variations in 120 patients with Poland syndrome.
AB - BACKGROUND: Poland Syndrome (PS) is a rare congenital disorder presenting with
agenesis/hypoplasia of the pectoralis major muscle variably associated with
thoracic and/or upper limb anomalies. Most cases are sporadic, but familial
recurrence, with different inheritance patterns, has been observed. The genetic
etiology of PS remains unknown. Karyotyping and array-comparative genomic
hybridization (CGH) analyses can identify genomic imbalances that can clarify the
genetic etiology of congenital and neurodevelopmental disorders. We previously
reported a chromosome 11 deletion in twin girls with pectoralis muscle hypoplasia
and skeletal anomalies, and a chromosome six deletion in a patient presenting a
complex phenotype that included pectoralis muscle hypoplasia. However, the
contribution of genomic imbalances to PS remains largely unknown. METHODS: To
investigate the prevalence of chromosomal imbalances in PS, standard cytogenetic
and array-CGH analyses were performed in 120 PS patients. RESULTS: Following the
application of stringent filter criteria, 14 rare copy number variations (CNVs)
were identified in 14 PS patients in different regions outside known common copy
number variations: seven genomic duplications and seven genomic deletions,
enclosing the two previously reported PS associated chromosomal deletions. These
CNVs ranged from 0.04 to 4.71 Mb in size. Bioinformatic analysis of array-CGH
data indicated gene enrichment in pathways involved in cell-cell adhesion, DNA
binding and apoptosis processes. The analysis also provided a number of candidate
genes possibly causing the developmental defects observed in PS patients, among
others REV3L, a gene coding for an error-prone DNA polymerase previously
associated with Mobius Syndrome with variable phenotypes including pectoralis
muscle agenesis. CONCLUSIONS: A number of rare CNVs were identified in PS
patients, and these involve genes that represent candidates for further
evaluation. Rare inherited CNVs may contribute to, or represent risk factors of
PS in a multifactorial mode of inheritance.
PMID- 27884124
TI - Community-based interventions to enhance knowledge, protective attitudes and
behaviors towards canine rabies: results from a health communication intervention
study in Guangxi, China.
AB - BACKGROUND: In China canine rabies poses a serious public health problem in that
human mortality ranks the second highest globally. While rabies health education
interventions are advocated by WHO to be critical components of modern rabies
control and prevention programs, available studies have not adequately
investigated the relative efficacy of their implementation in at-risk
populations. This study aims to measure and compare the effect on knowledge and
protective behavior towards rabies of health education interventions that include
a novel Short Messaging Service via cell phone (SMS) and rabies health
information sessions (IS). METHODS: The study used a between-subject design
involving repeated measures of rabies-related KAP (knowledge, attitude and
practice). A total of 350 randomly selected villagers were randomly allocated
into three intervention (SMS, IS and SMS + IS) and one control group. The content
of SMS and IS covered topics about rabies prevention and route of transmission.
The SMS intervention consisted of ten separate messages delivered three times two
weeks after the pretest; the IS intervention was conducted once immediately after
the pretest. A validated questionnaire was used to capture demographic
information and KAP information. Ordinary Least Squares regression was used to
contrast the effects of interventions. RESULTS: Our results indicate that overall
SMS outperforms IS at improving knowledge and protective behavior against rabies.
Our results suggest that a combined intervention of SMS and IS can result in
higher scores than any of the two in isolation. The impact of SMS, IS and SMS +
IS is greatest on knowledge, followed by attitude and practice scores.
CONCLUSION: This study demonstrated that health communication modes based on SMS,
IS and a combination of the two are all effective to improve rabies-related KAP
in the short term. These findings highlight the potential usefulness of SMS as an
additional tool for public health communication and promotion; further studies
are needed to investigate the long term benefits of these interventions on the
reduction of dog bites and resulting human rabies incidence.
PMID- 27884125
TI - Epidemiology of and risk factors for neonatal candidemia at a tertiary care
hospital in western China.
AB - BACKGROUND: The prevalence and clinical characteristics of neonatal candidemia
are poorly understood in western China. The aim of our study was to evaluate the
epidemiological features of neonatal candidemia in the Liuzhou Maternity and
Child Healthcare Hospital. METHODS: A retrospective case-control study was
conducted between January 2012 and November 2015. Electronic databases were
reviewed and data on Candida species were isolated from blood cultures and
candidemia incidence, risk factors, and mortality were extracted. Univariate and
multivariate logistic regression analysis were performed to identify risk factors
associated with candidemia. RESULTS: During the 4-year period, candidemia was
identified in 69 newborns, for an incidence rate of 13.6 per 1000 admissions.
Prolonged antibiotic therapy duration [odds ratio (OR), 95% confidence incidence
(95% CI) = 1.06, 1.01-1.10], total parenteral nutrition [OR, 95% CI = 6.03, 2.10
17.30] and neurodevelopmental impairment (OR, 95% CI = 7.34, 1.18-45.80) were all
associated with increased odds of candidemia development in infants (P value was
0.010, 0.001, 0.033, respectively). The overall mortality rate was 7.2% in the
candidemia group. CONCLUSIONS: Prolonged duration of antibiotic therapy, presence
of total parenteral nutrition and neurodevelopmental impairment were the major
risk factors associated with neonatal candidemia. This study highlights the
importance of the early detection, diagnosis and treatment of neonatal
candidemia.
PMID- 27884126
TI - Help seeking behavior and onset-to-alarm time in patients with acute stroke: sub
study of the preventive antibiotics in stroke study.
AB - BACKGROUND: Patients with acute stroke often do not seek immediate medical help,
which is assumed to be driven by lack of knowledge of stroke symptoms. We
explored the process of help seeking behavior in patients with acute stroke,
evaluating knowledge about stroke symptoms, socio-demographic and clinical
characteristics, and onset-to-alarm time (OAT). METHODS: In a sub-study of the
Preventive Antibiotics in Stroke Study (PASS), 161 acute stroke patients were
prospectively included in 3 Dutch hospitals. A semi-structured questionnaire was
used to assess knowledge, recognition and interpretation of stroke symptoms. With
in-depth interviews, response actions and reasons were explored. OAT was recorded
and associations with socio-demographic, clinical parameters were assessed.
RESULTS: Knowledge about stroke symptoms does not always result in correct
recognition of own stroke symptoms, neither into correct interpretation of the
situation and subsequent action. In our study population of 161 patients with
acute stroke, median OAT was 30 min (interquartile range [IQR] 10-150 min).
Recognition of one-sided weakness and/or sensory loss (p = 0.046) and adequate
interpretation of the stroke situation (p = 0.003), stroke at daytime (p =
0.002), severe stroke (p = 0.003), calling the emergency telephone number (p =
0.004), and transport by ambulance (p = 0.040) were associated with shorter OAT.
CONCLUSION: Help seeking behavior after acute stroke is a complex process. A
shorter OAT after stroke is associated with correct recognition of one-sided
weakness and/or sensory loss, adequate interpretation of the stroke situation by
the patient and stroke characteristics and logistics of stroke care, but not by
knowledge of stroke symptoms.
PMID- 27884127
TI - Cancer-related fatigue in post-treatment cancer survivors: application of the
common sense model of illness representations.
AB - BACKGROUND: Cancer-related fatigue (CrF) is a common and disruptive symptom that
may be experienced during and after cancer. Research into the subjective
experience of fatigue in this group is required. The common sense model of self
regulation of health and illness (SRM) addresses personal beliefs or mental
representations-whether medically sound or unsubstantiated- that a person holds
about a health issue. The current study assesses if the SRM could be used as a
theoretical framework for organizing the experiences of people with CrF, with a
view to identifying methods to address fatigue in cancer survivors. METHOD: Four
focus groups were held with a total of 18 cancer survivors who reported they
experienced 'significant fatigue or reduced energy.' A thematic analysis was
conducted within the framework of the SRM. RESULTS: Findings were aligned with
the SRM, with participants discussing fatigue with reference to representation,
coping, and appraisal of symptoms. In particular, the wider social context of CrF
was frequently addressed. Perceived inadequacies in support available to those
with lingering fatigue after the completion of cancer treatment were highlighted
by the participants. CONCLUSION: This study explored the subjective experience of
fatigue after cancer using the SRM. CrF should be approached as a complex
psychosocial issue and considered from the patient perspective to facilitate
better understanding and management of symptoms. The SRM is an applicable
framework for identifying modifiable factors that could lead to improved coping
with CrF in post-treatment cancer survivors.
PMID- 27884129
TI - In Vivo optical coherence tomography visualization of intraplaque
neovascularization at the site of coronary vasospasm: a case report.
AB - BACKGROUND: Coronary plaques in patients with coronary vasospastic angina have
been characterized by diffuse intima-media thickening with homogeneous fibrous
tissue, without confluent necrotic tissue. However, coronary vasospasm can
trigger coronary thrombosis, and may play an important role in the pathogenesis
of acute coronary syndromes, though the precise morphological mechanisms
underlying this process remain unclear. CASE PRESENTATION: A 43-year-old man with
a history of multivessel coronary vasospastic angina had been treated with long
acting diltiazem and fluvastatin since 2004. Eleven years later, following 1
month of medication nonadherence, he experienced recurrence of rest angina and
myocardial infarction, with elevated high-sensitivity troponin T. An emergency
coronary angiogram demonstrated no de novo lesions, and the current episode was
diagnosed as intractable sustained coronary spasm-induced anterior myocardial
infarction. Optical coherence tomography imaging revealed the coronary plaque
with homogeneous high-intensity signal, and a clearly visualized intraplaque
neovascular microchannel (NVMC) network. CONCLUSIONS: Neovascularization within a
coronary atheroma is known to accelerate coronary atherosclerosis. The current
case with coronary vasospastic angina highlights the role of NVMC formation in
this process.
PMID- 27884128
TI - A randomised controlled demonstration trial of multifaceted nutritional
intervention and or probiotics: the healthy mums and babies (HUMBA) trial.
AB - BACKGROUND: Maternal obesity is associated with adverse pregnancy outcomes and
has lifelong negative implications for offspring health. The Institute of
Medicine recommends limited gestational weight gain (GWG) in obese women for
optimal maternal and infant outcomes. However, there is a gap regarding an
effective and sustainable intervention strategy to achieve this goal. The aim of
the healthy mums and babies (HUMBA) demonstration trial is to assess whether a
multifaceted nutritional intervention and/or an oral probiotic treatment in obese
pregnant women can reduce excessive GWG and optimise pregnancy outcomes. METHODS
AND DESIGN: The study is a two by two factorial randomised controlled
demonstration trial conducted in Counties Manukau health region, New Zealand, a
multi-ethnic region with a high prevalence of obesity. A total of 220 non
diabetic obese women with a singleton pregnancy will be recruited between 120 and
176 weeks. At recruitment, women are randomised to receive either a culturally
tailored multifaceted dietary intervention or routine dietary advice, and either
an oral probiotic or placebo capsule. Randomisation is undertaken via a web-based
protocol, randomize.net, with a 1:1 ratio using stratification by body mass index
(BMI) category (BMI of 30-34.9 or BMI >=35 kg/m2). The dietary intervention
includes 4 customised nutrition education visits by a trained community health
worker combined with motivational text messaging. Probiotic capsules consist of
Lactobacillus rhamnosus GG and Bifidobacterium lactis BB12 at a dose of 7 * 109
colony-forming units one per day until birth. Probiotic and placebo capsules are
identically pre-packed and labelled by a third party, and are prescribed in a
double blinded fashion. Research assessments are conducted at enrolment, 28
weeks, 36 weeks, at birth and at 5 months post-delivery. The primary outcomes for
the study are proportion of women with excessive GWG and infant birthweight.
DISCUSSION: The HUMBA demonstration trial will assess the efficacy of a
culturally tailored multifaceted dietary intervention and probiotic treatment in
limiting excessive GWG and optimising birthweight in a multiethnic sample of
obese pregnant women. If successful, either one or both of the interventions may
be incorporated into future studies powered to investigate important pregnancy
outcomes. TRIAL REGISTRATION: Australian New Zealand Clinical Trials Registry
registration number: ACTRN12615000400561 , Universal Trial Number: U1111-1155
0409. Date registered: 29th April 2015.
PMID- 27884130
TI - A profile of The Clinical Course of Cognition and Comorbidity in Mild Cognitive
Impairment and Dementia Study (The 4C study): two complementary longitudinal,
clinical cohorts in the Netherlands.
AB - BACKGROUND: Heterogeneous disease trajectories of mild cognitive impairment (MCI)
and dementia are frequently encountered in clinical practice, but there is still
insufficient knowledge to understand the reasons and mechanisms causing this
heterogeneity. In addition to correlates of the disorder, patient characteristics
such as their health status, social environment, comorbidities and frailty may
contribute to variability in trajectories over time. The current paper outlines
the study design and the study population of and provides an overview of the data
collected in the Clinical Course of Cognition and Comorbidity in Mild Cognitive
Impairment (4C-MCI cohort, n = 315) and Dementia (4C-Dementia cohort, n = 331)
Study. METHODS: The two complementary longitudinal cohorts part of the 4C study
began enrolment in March 2010. Participants were prospectively recruited from
three collaborating Dutch Alzheimer Centers, with three annual follow-up
assessments after baseline. Extensive neuropsychological assessments, and
detailed profiling of comorbidities, health and frailty at each follow up were
the key features of the 4C study. As such, the 4C study was designed to study if
and how patients' comorbidities and frailty are associated with the course of MCI
and dementia measured with a comprehensive and multidimensional set of outcomes
including cognition, daily functioning, quality of life, behavioral disturbances,
caregiver burden, institutionalization and death and whether the effects of
medical health and frailty differ between MCI and dementia stages of cognitive
disorders. CONCLUSION: Sampled in a clinical setting, the 4C study complements
population-based studies on neurodegenerative disorders in terms of the type of
assessment (e.g. comorbidity, frailty, and functional status were repeatedly
assessed). The 4C study complements available clinical cohorts of MCI and
dementia patients, because the exclusion criteria were kept to a minimum, to
obtain a sample that is representative for the average patient visiting a memory
clinic.
PMID- 27884131
TI - Improvement of pressure ulcer prevention care in private for-profit residential
care homes: an action research study.
AB - BACKGROUND: A need exits to develop a protocol for preventing pressure ulcers
(PUs) in private for-profit nursing homes in Hong Kong, where the incidence of
PUs is relatively high and which have high proportion of non-professional care
staff. The implementation of such protocol would involve changes in the practice
of care, likely evoking feelings of fear and uncertainty that may become a
barrier to staff adherence. We thus adopted the Systems Model of Action Research
in this study to manage the process of change for improving PU prevention care
and to develop a pressure ulcer prevention protocol for private for-profit
nursing homes. METHODS: A total of 474 residents and care staff who were health
workers, personal care workers, and/or nurses from four private, for-profit
nursing homes in Hong Kong participated in this study. Three cyclic stages and
steps, namely, unfreezing (planning), changing (action), and refreezing (results)
were carried out. During each cycle, focus group interviews, field observations
of the care staff's practices and inspections of the skin of the residents for
pressure ulcers were conducted to evaluate the implementation of the protocol.
Qualitative content analysis was adopted to analyse the data. The data and
methodological triangulation used in this study increased the credibility and
validity of the results. RESULTS: The following nine themes emerged from this
study: prevention practices after the occurrence of PUs, the improper use of
pressure ulcer prevention materials, non-compliance with several prevention
practices, improper prevention practices, the perception that the preventive care
was being performed correctly, inadequate readiness to use the risk assessment
tool, an undesirable environment, the supplying of unfavorable resources, and
various management styles in the homes with or without nurses. At the end of the
third cycle, the changes that were identified included improved compliance with
the revised risk assessment method, the timely and appropriate use of PU
prevention materials, the empowering of staff to improve the quality of PU care,
and improved home management. CONCLUSION: Through the action research approach,
the care staff were empowered and their PU prevention care practices had
improved, which contributed to the decreased incidence of pressure ulcers. A PU
prevention protocol that was accepted by the staff was finally developed as the
standard of care for such homes.
PMID- 27884132
TI - Healthy and productive workers: using intervention mapping to design a workplace
health promotion and wellness program to improve presenteeism.
AB - BACKGROUND: Presenteeism is a growing problem in developed countries mostly due
to an aging workforce. The economic costs related to presenteeism exceed those of
absenteeism and employer health costs. Employers are implementing workplace
health promotion and wellness programs to improve health among workers and reduce
presenteeism. How best to design, integrate and deliver these programs are
unknown. The main purpose of this study was to use an intervention mapping
approach to develop a workplace health promotion and wellness program aimed at
reducing presenteeism. METHODS: We partnered with a large international financial
services company and used a qualitative synthesis based on an intervention
mapping methodology. Evidence from systematic reviews and key articles on
reducing presenteeism and implementing health promotion programs was combined
with theoretical models for changing behavior and stakeholder experience. This
was then systematically operationalized into a program using discussion groups
and consensus among experts and stakeholders. RESULTS: The top health problem
impacting our workplace partner was mental health. Depression and stress were the
first and second highest cause of productivity loss respectively. A multi-pronged
program with detailed action steps was developed and directed at key stakeholders
and health conditions. For mental health, regular sharing focus groups, social
networking, monthly personal stories from leadership using webinars and multi
media communications, expert-led workshops, lunch and learn sessions and manager
and employee training were part of a comprehensive program. Comprehensive,
specific and multi-pronged strategies were developed and aimed at encouraging
healthy behaviours that impact presenteeism such as regular exercise, proper
nutrition, adequate sleep, smoking cessation, socialization and work-life
balance. Limitations of the intervention mapping process included high resource
and time requirements, the lack of external input and viewpoints skewed towards
middle and upper management, and using secondary workplace data of unknown
validity and reliability. CONCLUSIONS: In general, intervention mapping was a
useful method to develop a workplace health promotion and wellness program aimed
at reducing presenteeism. The methodology provided a step-by-step process to
unravel a complex problem. The process compelled participants to think
critically, collaboratively and in nontraditional ways.
PMID- 27884133
TI - Recovering disrupted social capital: insights from Lao DPR rural villagers'
perceptions of local leadership.
AB - BACKGROUND: Social capital is often believed to be one of the key prerequisites
for successful implementation of community-based health programs. In less
developed countries, local leaders are positioned as major players in broad
community health strategies and interventions, and their capacities and roles are
expected to increase in prominence in future community-health-care promotions. In
this study, we examined how local leaders' capacities could be related to social
capital in rural villages in Lao PDR, and thus to villagers' willingness to
participate in community-based health efforts. METHODS: We adopted a qualitative
approach, conducting semi-structured interviews for both individuals and focus
groups. In 2012, 103 people from six villages in the Khoun and Phoukoud districts
participated in the interviews. For the individual interviews, we interviewed 22
mothers who had given birth in the past 5 years. For the focus groups, we
interviewed 30 women (six groups), 30 men (six groups), and 21 senior villagers
(five groups). RESULTS: First, we noted large variations in the levels of
community social capital across villages: four out of six study villages showed a
high level of social capital, while two villages suffered greatly from a low
level of social capital. In search of the reasons for the disrupted social
capital in the latter two villages, interviews revealed that failed leadership,
especially in regard to local resource allocations-lack of transparency and
corrupt practices-were commonly cited reasons for disrupted social capital. The
data also showed that the villagers' mistrust of these failed local leaders
critically reduced their willingness to participate in community-based health
efforts, and especially in those that involved resource mobilization and risk
sharing for healthcare. Finally, we found that good communication skills and
participatory decision-making styles were attributes that rural villagers in Lao
PDR expected of their local leaders. CONCLUSION: This study suggests that failed
local leadership is detrimental to community participation, resource
mobilization, and building communities' social capital. To achieve intended
health care goals through community-based interventions, there is a need to first
support local leadership at all levels through capacity-building and improved
communication within communities.
PMID- 27884135
TI - Online platform for applying space-time scan statistics for prospectively
detecting emerging hot spots of dengue fever.
AB - BACKGROUND: Cases of dengue fever have increased in areas of Southeast Asia in
recent years. Taiwan hit a record-high 42,856 cases in 2015, with the majority in
southern Tainan and Kaohsiung Cities. Leveraging spatial statistics and geo
visualization techniques, we aim to design an online analytical tool for local
public health workers to prospectively identify ongoing hot spots of dengue fever
weekly at the village level. METHODS: A total of 57,516 confirmed cases of dengue
fever in 2014 and 2015 were obtained from the Taiwan Centers for Disease Control
(TCDC). Incorporating demographic information as covariates with cumulative cases
(365 days) in a discrete Poisson model, we iteratively applied space-time scan
statistics by SaTScan software to detect the currently active cluster of dengue
fever (reported as relative risk) in each village of Tainan and Kaohsiung every
week. A village with a relative risk >1 and p value <0.05 was identified as a
dengue-epidemic area. Assuming an ongoing transmission might continuously spread
for two consecutive weeks, we estimated the sensitivity and specificity for
detecting outbreaks by comparing the scan-based classification (dengue-epidemic
vs. dengue-free village) with the true cumulative case numbers from the TCDC's
surveillance statistics. RESULTS: Among the 1648 villages in Tainan and
Kaohsiung, the overall sensitivity for detecting outbreaks increases as case
numbers grow in a total of 92 weekly simulations. The specificity for detecting
outbreaks behaves inversely, compared to the sensitivity. On average, the mean
sensitivity and specificity of 2-week hot spot detection were 0.615 and 0.891
respectively (p value <0.001) for the covariate adjustment model, as the maximum
spatial and temporal windows were specified as 50% of the total population at
risk and 28 days. Dengue-epidemic villages were visualized and explored in an
interactive map. CONCLUSIONS: We designed an online analytical tool for front
line public health workers to prospectively detect ongoing dengue fever
transmission on a weekly basis at the village level by using the routine
surveillance data.
PMID- 27884134
TI - Treatment-emergent depression and anxiety between peginterferon alpha-2a versus
alpha-2b plus ribavirin for chronic hepatitis C.
AB - BACKGROUND: This study investigates differences in depression and anxiety between
patients with chronic hepatitis C who are treated with peginterferon alpha-2a
(PegIFN-alpha-2a) plus ribavirin and those who are treated with peginterferon
alpha-2b (PegIFN-alpha-2b) plus ribavirin. METHODS: In this 24 week, non
randomized, observational, prospective study, 55 patients with chronic hepatitis
C were treated with PegIFN-alpha-2a plus ribavirin (Group 1), and 26 patients
were treated with PegIFN-alpha-2b plus ribavirin (Group 2). All patients
underwent assessment using the Hospital Anxiety and Depression Scale (HADS) at
the baseline and at weeks 4, 12 and 24. Patients with depression scores (HADS-D)
>= 8 and anxiety scores (HADS-A) >= 8 were defined as having depression and
anxiety, respectively. The factors that were associated with depression and
anxiety during the 24 week antiviral treatment were determined. RESULTS: During
the 24 week antiviral treatment, the proportion of patients with depression
significantly increased over time in both groups (Group 1: p = 0.048; Group 2: p
= 0.044). The proportion of patients with anxiety did not significantly change
during the follow-up period in either group. Incidences of depression or anxiety
did not differ significantly between Group 1 and Group 2. A history of alcohol
use disorder was an independent predictor of depression at week 12 (p < 0.001)
and week 24 (p < 0.001), and a poor virological response to treatment was
associated with depression at week 24 (p = 0.029). Patients who had more physical
comorbidities were more likely to suffer from anxiety at week 12 (p = 0.038).
CONCLUSIONS: This study did not identify significant differences in depression or
anxiety between in patients with chronic hepatitis C who underwent a 24 week
antiviral treatment regimen with PegIFN-alpha-2a plus ribavirin and those who
underwent a regiment with PegIFN-alpha-2b plus ribavirin. Future research with
larger samples and a randomized, controlled design are required to verify the
findings in this study. TRIAL REGISTRATION: This clinical study has been
registered at ClinicalTrials.gov. (Trial registration: NCT02943330 ).
PMID- 27884136
TI - Erratum to: Prognostic impact of CXCL16 and CXCR6 in non-small cell lung cancer:
combined high CXCL16 expression in tumor stroma and cancer cells yields improved
survival.
PMID- 27884138
TI - Household dietary diversity and Animal Source Food consumption in Ethiopia:
evidence from the 2011 Welfare Monitoring Survey.
AB - BACKGROUND: It is imperative to track dietary quality and progress in nutritional
outcomes in a population to develop timely interventions. Dietary diversity is a
commonly used proxy to assess dietary quality in low-income countries. This study
identified predictors of household dietary diversity in Ethiopia and pattern of
consumption of animal source food (ASF) among households. METHODS: Secondary data
were analyzed from the 2011 Ethiopian Welfare Monitoring Survey (WMS). This
survey used a structured questionnaire to collect socio-demographic and economic
data. Dietary data were collected using a dietary diversity questionnaire
measuring dietary diversity over the past 1 week. A Household Dietary Diversity
Score (HDDS) was constructed according to the Food and Agricultural Organization
(FAO) guidelines. Consumption of ASFs is described by its distribution among the
regions and by HDDS. Multiple logistic regression analysis was fitted to identify
independent predictors for HDDS. RESULTS: A total of 27,995 households were
included in the analyses. A little over half of the study households (52.2%) had
more than four household members, and 75% of households were male headed. The
mean HHDS was five food groups. Cereals were the most commonly (96%) consumed
food groups. Fish, egg and fruits, on the other hand, were the least consumed
food groups. ASFs were consumed in greater proportion among households with
higher HDDS. Being part of the higher and middle socio economic strata (P <
0.001), literacy (P < 0.01), urban residence (P < 0.01), male headed household (P
< 0.01), larger family size (P <0.01) and owning livestock (P < 0.01) were
positively associated with higher HDDS. CONCLUSIONS: Considering these findings,
nutrition sensitive interventions which address the problem through economic and
educational empowerment and modern technologies supporting agricultural practices
need to be designed to increase both local production and increased consumption.
PMID- 27884137
TI - Early identification in primary health care of people at risk for sick leave due
to work-related stress - study protocol of a randomized controlled trial (RCT).
AB - BACKGROUND: Early identification of persons at risk of sickness absence due to
work-related stress is a crucial problem for society in general, and primary
health care in particular. Tho date, no established method to do this exists.
This project's aim is to evaluate whether systematic early identification of work
related stress can prevent sickness absence. This paper presents the study
design, procedure and outcome measurements, as well as allocation and baseline
characteristics of the study population. METHOD/DESIGN: The study is a two-armed
randomized controlled trial with follow-up at 3, 6 and 12 months. Non-sick-listed
employed women and men, aged 18 to 64 years, who had mental and physical health
complaints and sought care at primary health care centers (PHCC) were eligible to
participate. At baseline work-related stress was measured by the Work Stress
Questionnaire (WSQ), combined with feedback at consultation, at PHCC. The
preventive intervention included early identification of work-related stress by
the WSQ, GP training in the use of WSQ, GP feedback at consultation and finding
suitable preventive measures. A process evaluation was used to explore how to
facilitate future implementation and structural use of the WSQ at the PHCC. The
primary outcome to compare the preventive sick leave intervention by the general
practitioner (GP) versus treatment as usual is sick leave data obtained from the
Swedish Social Insurance Agency register. DISCUSSION: Early screening for sick
leave due to work-related stress makes it possible not only to identify those at
risk for sick leave, but also to put focus on the patient's specific work-related
stress problems, which can be helpful in finding suitable preventive measures.
This study investigates if use of the WSQ by GPs at PHCCs, combined with feedback
at consultation, prevents future sickness absence. TRIAL REGISTRATION:
ClinicalTrials.gov. Identifier: NCT02480855 . Registered 20 May 2015.
PMID- 27884139
TI - Brominated flame retardants in placental tissues: associations with infant sex
and thyroid hormone endpoints.
AB - BACKGROUND: Brominated flame retardants (BFRs) are endocrine disruptors that
bioaccumulate in the placenta, but it remains unclear if they disrupt tissue
thyroid hormone (TH) metabolism. Our primary goal was to investigate associations
between placental BFRs, TH levels, Type 3 deiodinase (DIO3) activity and TH
sulfotransferase (SULT) activities. METHODS: Placenta samples collected from 95
women who delivered term (>37 weeks) infants in Durham, NC, USA (enrolled 2010
2011) were analyzed for polybrominated diphenyl ethers (PBDEs), 2,4,6
tribromophenol (2,4,6-TBP), THs (T4, T3 and rT3), and DIO3 and TH SULT
activities. RESULTS: PBDEs and 2,4,6-TBP were detected in all placenta samples.
PBDEs were higher in placental tissues from male infants compared to female
infants, with 2,4,6-TBP and BDE-209 levels approximately twice as high. Among
male infants, placental BDE-99 and BDE-209 were negatively associated with rT3
placental levels. For female infants, placental BDE-99 and 2,4,6-TBP were
positively associated with T3 concentrations. DIO3 activity was also
significantly higher in placental tissues from male infants compared to females,
while 3,3'-T2 SULT activity was significantly higher in placental tissues from
females compared to males. Among males, several PBDE congeners were positively
correlated with T3 SULT, while BDE-99 was negatively associated with T3 SULT
among females. Associations generally remained after adjustment for potential
confounding by maternal age and gestational age at delivery. CONCLUSIONS: These
results suggest BFRs accumulate in the placenta and potentially alter TH function
in a sex-specific manner, a possible mechanism to explain the sex-dependent
impacts of environmental exposure on children's growth and development. More
research is needed to elucidate the effects of BFRs on placenta function during
pregnancy, as well as the biological consequences of exposure and thyroid
disruption.
PMID- 27884141
TI - Normal patellofemoral kinematic patterns during daily activities in dogs.
AB - BACKGROUND: Patellar abnormalities are a common cause of pain and lameness in
dogs; however, in vivo the relative motion between the femur and patella in dogs
is not well described. The objective of this study was to define normal in vivo
sagittal plane patellofemoral kinematics in three axes of motion using non
invasive methods. We hypothesized patellofemoral alignment in the sagittal plane
would tightly correlate with the femorotibial flexion angle. Six healthy dogs
without orthopedic disease underwent computed tomography (CT) of their hind limbs
to create 3-D models of the patella and femur. Normal stifle joint motion was
captured via flat-panel imaging while each dog performed a series of routine
activities, including sitting, walking, and trotting. The 3-D models of the
patella and femur were digitally superimposed over the radiographic images with
shape-matching software and the precise movement of the patella relative to the
femur was calculated. RESULTS: As the femorotibial joint flexed, the
patellofemoral joint also flexed and the patella moved caudally and distally
within the femoral trochlea during each activity. Patellar flexion and distal
translation during walk and sit were linearly coupled with the femorotibial
flexion angle. Offset was evident while trotting, where patella poses were
significantly different between early and late swing phase (p <= 0.003). Patellar
flexion ranged from 51 to 6 degrees while trotting. The largest flexion angle
(92 degrees ) occurred during sit. The patella traversed the entire proximodistal
length of the femoral trochlea during these daily activities. CONCLUSIONS: Using
single-plane flat-panel imaging, we demonstrated normal in vivo patellofemoral
kinematics is tightly coupled with femorotibial kinematics; however, trot
kinematic patterns did not follow the path defined by walking and stand-to-sit
motions. Our normal data can be used in future studies to help define
patellofemoral joint kinematics in dogs with stifle abnormalities.
PMID- 27884140
TI - Phase II clinical study of valproic acid plus cisplatin and cetuximab in
recurrent and/or metastatic squamous cell carcinoma of Head and Neck-V-CHANCE
trial.
AB - BACKGROUND: Recurrent/metastatic squamous cell carcinoma of the head and neck
(SCCHN) has a poor prognosis and the combination of cisplatin and cetuximab, with
or without 5-fluorouracil, is the gold standard treatment in this stage. Thus,
the concomitant use of novel compounds represents a critical strategy to improve
treatment results. Histone deacetylase inhibitors (HDACi) enhance the activity of
several anticancer drugs including cisplatin and anti-Epidermal Growth Factor
Receptor (anti-EGFR) compounds. Preclinical studies in models have shown that
vorinostat is able to down regulate Epidermal Growth Factor Receptor (EGFR)
expression and to revert epithelial to mesenchimal transition (EMT). Due to its
histone deacetylase (HDAC) inhibiting activity and its safe use as a chronic
therapy for epileptic disorders, valproic acid (VPA) has been considered a good
candidate for anticancer therapy. A reasonable option may be to employ the
combination of cisplatin, cetuximab and VPA in recurrent/metastatic SCCHN taking
advantage of the possible positive interaction between histone deacetylase
inhibitors, cisplatin and/or anti-EGFR. METHOD/DESIGN: V-CHANCE is a phase 2
clinical trial evaluating, in patients with recurrent/metastatic squamous cell
carcinoma of the head and neck never treated with first-line chemotherapy, the
concomitant standard administration of cisplatin (on day 1, every 3 weeks) and
cetuximab (on day 1, weekly), in combination with oral VPA given daily from day
14 with a titration strategy in each patient (target serum level of 50-100
MUg/ml). Primary end point is the objective response rate measured according to
Response Evaluation Criteria in Solid Tumors (RECIST). Sample size, calculated
according to Simon 2 stage minimax design will include 21 patients in the first
stage with upper limit for rejection being 8 responses, and 39 patients in the
second stage, with upper limit for rejection being 18 responses. Secondary
endpoints are time to progression, duration of response, overall survival,
safety. Objectives of the translational study are the evaluation on tumor samples
of markers of treatment efficacy/resistance (i.e. gammaH2AX, p21/WAF, RAD51,
XRCC1, EGFR, p-EGFR, Ki-67) and specific markers of VPA HDAC inhibitory activity
(histones and proteins acetylation, Histone deacetylase isoforms) as well as
valproate test, histones and proteins acetylation of peripheral blood mononuclear
cell, tested on blood samples at baseline and at different time points during
treatment. DISCUSSION: Overall, this study could provide a less toxic and more
effective first-line chemotherapy regimen in patients with recurrent/metastatic
squamous cell carcinoma of the head and neck by demonstrating the feasibility and
efficacy of cisplatin/cetuximab plus valproic acid. Moreover, correlative studies
could help to identify responder patients, and will add insights in the mechanism
of the synergistic interaction between these agents. EUDRACT NUMBER: 2014-001523
69 TRIAL REGISTRATION: ClinicalTrials.gov number, NCT02624128.
PMID- 27884143
TI - The using of a piglets as a model for evaluating the dipyrone hematological
effects.
AB - BACKGROUND: Dipyrone (MET, metamizole) is a non-steroidal anti-inflammatory drug
commonly used both in human and in veterinary medicine. After oral
administration, is broken down rapidly to metabolites which largely retain the
activity of the parent drug. Its metabolites have analgesic, antipyretic and anti
inflammatory effects. RESULTS: The subjects were eight healthy male Large White
post-suckling piglets, weighing between 5.0 to 7.4 kg, of ages 35 +/- 10 days.
The animals were administered MET (100 mg/kg) by an intramuscular (I.M.)
injection. The study calculated the value of several hemorheological parameters.
Significant impact of MET treatment (p < 0.05) was proven in case: activated
partial thromboplastin time; ratio of activated partial thromboplastin time;
hemoglobin; hematocrit; mean corpuscular hemoglobin; mean corpuscular volume; red
blood cells volume; white blood cells volume; prothrombin time index.
CONCLUSIONS: In summation, our observations suggest that a piglet model is useful
for studying the impact of MET on hemorheological parameters.
PMID- 27884142
TI - DNA methylation patterns associated with oxidative stress in an ageing
population.
AB - BACKGROUND: Oxidative stress has been related to type 2 diabetes (T2D) and
cardiovascular disease (CVD), the leading global cause of death. Contributions of
environmental factors such as oxidative stress on complex traits and disease may
be partly mediated through changes in epigenetic marks (e.g. DNA methylation).
Studies relating differential methylation with intermediate phenotypes and
disease endpoints may be useful in identifying additional candidate genes and
mechanisms involved in disease. METHODS: To investigate the role of epigenetic
variation in oxidative stress marker levels and subsequent development of CVD and
T2D, we performed analyses of genome-wide DNA methylation in blood, ten markers
of oxidative stress (total glutathione [TGSH], reduced glutathione [GSH],
oxidised glutathione [GSSG], GSSG to GSH ratio, homocysteine [HCY], oxidised low
density lipoprotein (oxLDL), antibodies against oxLDL [OLAB], conjugated dienes
[CD], baseline conjugated dienes [BCD]-LDL and total antioxidant capacity [TAOC])
and incident disease in up to 966 age-matched individuals. RESULTS: In total, we
found 66 cytosine-guanine (CpG) sites associated with one or more oxidative
stress markers (false discovery rate [FDR] <0.05). These sites were enriched in
regulatory regions of the genome. Genes annotated to CpG sites showed enrichment
in annotation clusters relating to phospho-metabolism and proteins with
pleckstrin domains. We investigated the contribution of oxidative stress
associated CpGs to development of cardiometabolic disease. Methylation variation
at CpGs in the 3'-UTR of HIST1H4D (cg08170869; histone cluster 1, H4d) and in the
body of DVL1 (cg03465880; dishevelled-1) were associated with incident T2D events
during 10 years of follow-up (all permutation p-values <0.01), indicating a role
of epigenetic regulation in oxidative stress processes leading to development or
progression of diabetes. Methylation QTL (meQTL) analysis showed significant
associations with genetic sequence variants in cis at 28 (42%) of oxidative
stress phenotype-associated sites (FDR < 0.05). Integrating cis-meQTLs with
genotype-phenotype associations indicated that genetic effects on oxidative
stress phenotype at one locus (cg07547695; BCL2L11) may be mediated through DNA
methylation. CONCLUSIONS: In conclusion, we report novel associations of DNA
methylation with oxidative stress, some of which also show evidence of a relation
with T2D incidence.
PMID- 27884144
TI - Assessment of serology and spirometry and the combination of both to complement
microbiological isolation for earlier detection of Pseudomonas aeruginosa
infection in children with cystic fibrosis.
AB - BACKGROUND: The aim of this study was to assess whether serology and spirometry
and the combination of both can complement culture-based detection for earlier
recognition of Pseudomonas aeruginosa infection in children with cystic fibrosis.
METHODS: A 4 year longitudinal prospective study that included 67 Slovenian
children with cystic fibrosis with a mean age of 10.5 years was conducted.
Serology, spirometry and a scoring system combining serology and spirometry were
assessed and compared. Infection was confirmed with isolation of Pseudomonas
aeruginosa from respiratory samples. RESULTS: There was a significantly positive
correlation between serology and the combination of serology and spirometry and
Pseudomonas aeruginosa isolation (P < 0.01 for both) and a significantly negative
correlation between spirometry and Pseudomonas aeruginosa isolation (P < 0.05).
An increase in serology for 1 ELISA unit increased the possibility of Pseudomonas
aeruginosa isolation 1.6 times. A fall in FEV1% predicted for 10% increased the
possibility of Pseudomonas aeruginosa isolation 9.8 times. Binary logistic
regression analysis was used to determine the odds ratios and 95% confidence
intervals for all three approaches. Serology had the highest specificity (0.80)
and the combination of serology and spirometry the highest sensitivity (0.90).
Both had a high negative predictive value (0.93 and 0.79 respectively).
CONCLUSION: Using serology and the combination of serology and lung function
measurement can be beneficial for earlier detection of infection with Pseudomonas
aeruginosa in children with cystic fibrosis when done simultaneously with
standard culture-based detection from respiratory samples.
PMID- 27884145
TI - Estimation of the cool executive function using frontal electroencephalogram
signals in first-episode schizophrenia patients.
AB - BACKGROUND: In schizophrenia, executive dysfunction is the most critical
cognitive impairment, and is associated with abnormal neural activities,
especially in the frontal lobes. Complexity estimation using electroencephalogram
(EEG) recording based on nonlinear dynamics and task performance tests have been
widely used to estimate executive dysfunction in schizophrenia. METHODS: The
present study estimated the cool executive function based on fractal dimension
(FD) values of EEG data recorded from first-episode schizophrenia patients and
healthy controls during the performance of three cool executive function tasks,
namely, the Trail Making Test-A (TMT-A), Trail Making Test-B (TMT-B), and Tower
of Hanoi tasks. RESULTS: The results show that the complexity of the frontal EEG
signals that were measured using FD was different in first-episode schizophrenia
patients during the manipulation of executive function. However, no differences
between patients and controls were found in the FD values of the EEG data that
was recorded during the performance of the Tower of Hanoi task. CONCLUSIONS:
These results suggest that cool executive function exhibits little impairment in
first-episode schizophrenia patients.
PMID- 27884148
TI - A framework: make it useful to guide and improve practice of clinical trial
design in smaller populations.
AB - The increased attention to design and analysis of randomised clinical trials in
small populations has triggered thinking regarding the most appropriate design
methods for a particular clinical research question. Decision schemes and
algorithms have been proposed, with varying starting points and foci. Parmar et
al. (BMC Medicine 14:183, 2016) proposed a framework designed to assist the
clinical trial team in design choices during protocol preparation. Herein,
further stimulus is given regarding the extent to which a framework may help
change practice for the better, the careful considerations for changing the usual
error levels applied and the room for innovation in clinical trial design.Please
see related article: http://bmcmedicine.biomedcentral.com/articles/10.1186/s12916
016-0722-3 .
PMID- 27884146
TI - Demographic and clinical profiles of Plasmodium falciparum and Plasmodium vivax
patients at a tertiary care centre in southwestern India.
AB - BACKGROUND: Malaria remains an important cause of morbidity and mortality in
India. Though many comprehensive studies have been carried out in Africa and
Southeast Asia to characterize and examine determinants of Plasmodium falciparum
and Plasmodium vivax malaria pathogenesis, fewer have been conducted in India.
METHODS: A prospective study of malaria-positive individuals was conducted at Goa
Medical College and Hospital (GMC) from 2012 to 2015 to identify demographic,
diagnostic and clinical indicators associated with P. falciparum and P. vivax
infection on univariate analysis. RESULTS: Between 2012 and 2015, 74,571 febrile
individuals, 6287 (8.4%) of whom were malaria positive, presented to GMC. The
total number of malaria cases at GMC increased more than two-fold over four
years, with both P. vivax and P. falciparum cases present year-round. Some 1116
malaria-positive individuals (mean age = 27, 91% male), 88.2% of whom were born
outside of Goa and 51% of whom were construction workers, were enroled in the
study. Of 1088 confirmed malaria-positive patients, 77.0% had P. vivax, 21.0% had
P. falciparum and 2.0% had mixed malaria. Patients over 40 years of age and with
P. falciparum infection were significantly (p < 0.001) more likely to be
hospitalised than younger and P. vivax patients, respectively. While
approximately equal percentages of hospitalised P. falciparum (76.6%) and P.
vivax (78.9%) cases presented with at least one WHO severity indicator, a greater
percentage of P. falciparum inpatients presented with at least two (43.9%, p <
0.05) and at least three (29.9%, p < 0.01) severity features. There were six
deaths among the 182 hospitalised malaria positive patients, all of whom had P.
falciparum. CONCLUSION: During the four year study period at GMC, the number of
malaria cases increased substantially and the greatest burden of severe disease
was contributed by P. falciparum.
PMID- 27884147
TI - Effects of osteopathic treatment on pulmonary function and chronic thoracic pain
after coronary artery bypass graft surgery (OstinCaRe): study protocol for a
randomised controlled trial.
AB - BACKGROUND: Coronary artery bypass graft surgery (CABG) is an effective and
widespread coronary revascularisation technique, nevertheless there are a number
of long-term postoperative complications from which patients can suffer. One year
after CABG surgery pulmonary function is decreased by 12% and 30% of the patients
suffer from chronic thoracic pain. To date and to our knowledge there are no
effective treatments for these conditions. The aim of the present clinical trial
is to explore the effectiveness of osteopathic treatment on these conditions.
METHODS: The study is designed as a randomised controlled trial with two parallel
groups. Group A will receive a standard cardiac rehabilitation programme during
12 weeks and group B will receive the same standard cardiac rehabilitation
programme supplemented with four osteopathic treatments (OT). OT will be
performed at week 4, 5, 8 and 12 after surgery. Three hundred and eight patients
(Group A: n = 154, Group B: n = 154) will be enrolled from the cardiothoracic
surgery department of the Jessa Hospital Hasselt. Blinding will be assured for
the staff of the cardiac rehabilitation centre and outcome assessors. Primary
outcome measure will be the mean difference in change from baseline in slow vital
capacity (SVC) at 12 weeks after surgery between groups. Secondary outcome
measures will be the change from baseline in quality of life, pain, thoracic
stiffness and maximal aerobic capacity at 12 weeks after surgery. A follow-up is
planned 52 weeks after surgery for SVC, quality of life, pain and thoracic
stiffness. Intention to treat analysis will be executed. DISCUSSION: The
OstinCare study has been designed to explore the potential long-term added value
of osteopathic treatment in the management of decreased pulmonary function,
chronic thoracic pain and diminished thoracic mobility after CABG surgery. TRIAL
REGISTRATION: The protocol has been retrospectively registered on
ClinicalTrials.gov ( NCT01714791 ).
PMID- 27884149
TI - Sasa quelpaertensis leaf extract regulates microbial dysbiosis by modulating the
composition and diversity of the microbiota in dextran sulfate sodium-induced
colitis mice.
AB - BACKGROUND: Inflammatory bowel diseases (IBD) are related to a dysfunction of the
mucosal immune system and they result from complex interactions between genetics
and environmental factors, including lifestyle, diet, and the gut microbiome.
Therefore, the effect of Sasa quelpaertensis leaf extract (SQE) on gut microbiota
in a dextran sulfate sodium (DSS)-induced colitis mouse model was investigated
with pyrosequencing of fecal samples. METHODS: Three groups of animals were
examined: i) a control group, ii) a group that was received 2.5% DSS in their
drinking water for 7 days, followed by 7 days of untreated water, and then
another 7 days of 2.5% DSS in their drinking water, and iii) a group that was
presupplemented with SQE (300 mg/kg body weight) by gavage for two weeks prior to
the same DSS treatment schedule described in ii. RESULTS: SQE supplementation
alleviated disease activity scores and shortened colon length compared to the
other two groups. In the DSS group, the proportion of Bacteroidetes increased,
whereas that the proportion of Firmicutes was decreased compared to the control
group. SQE supplementation recovered the proportions of Firmicutes and
Bacteroidetes back to control levels. Moreover, the diversity of microbiota in
the SQE supplementation group higher than that of the DSS group. CONCLUSION: SQE
was found to protect mice from microbial dysbiosis associated with colitis by
modulating the microbial composition and diversity of the microbiota present.
These results provide valuable insight into microbiota-food component
interactions in IBD.
PMID- 27884150
TI - Identifying and prioritizing lower value services from Dutch specialist
guidelines and a comparison with the UK do-not-do list.
AB - BACKGROUND: The term 'lower value services' concerns healthcare that is of little
or no value to the patient and consequently should not be provided routinely, or
not be provided at all. De-adoption of lower value care may occur through
explicit recommendations in clinical guidelines. The present study aimed to
generate a comprehensive list of lower value services for the Netherlands that
assesses the type of care and associated medical conditions. The list was
compared with the NICE do-not-do list (United Kingdom). Finally, the feasibility
of prioritizing the list was studied to identify conditions where de-adoption is
warranted. METHODS: Dutch clinical guidelines (published from 2010 to 2015) were
searched for lower value services. The lower value services identified were
categorized by type of care (diagnostics, treatment with and without medication),
type of lower value service (not routinely provided or not provided at all), and
ICD10 codes (international classification of diseases). The list was prioritized
per ICD10 code, based on the number of lower value services per ICD10 code,
prevalence, and burden of disease. RESULTS: A total of 1366 lower value services
were found in the 193 Dutch guidelines included in our study. Of the lower value
services, 30% covered diagnostics, 29% related to surgical and medical treatment
without drugs primarily, and 39% related to drug treatment. The majority (77%) of
all lower value services was on care that should not be offered at all, whereas
the other 23% recommended on care that should not be offered routinely. ICD10
chapters that included most lower value services were neoplasms and diseases of
the nervous system. Dutch guidelines appear to contain more lower value services
than UK guidelines. The prioritization processes revealed several conditions,
including back pain, chronic obstructive pulmonary disease, and ischemic heart
diseases, where lower value services most likely occur and de-adoption is
warranted. CONCLUSIONS: In this study, a comprehensive list of lower value
services for Dutch hospital care was developed. A feasible method for
prioritizing lower value services was established. Identifying and prioritizing
lower value services is the first of several necessary steps in reducing them.
PMID- 27884151
TI - Unearthing the transition rates between photoreceptor conformers.
AB - BACKGROUND: Obtaining accurate estimates of biological or enzymatic reaction
rates is critical in understanding the design principles of a network and how
biological processes can be experimentally manipulated on demand. In many cases
experimental limitations mean that some enzymatic rates cannot be measured
directly, requiring mathematical algorithms to estimate them. Here, we describe a
methodology that calculates rates at which light-regulated proteins switch
between conformational states. We focus our analysis on the phytochrome family of
photoreceptors found in cyanobacteria, plants and many optogenetic tools.
Phytochrome proteins change between active (P A ) and inactive (P I ) states at
rates that are proportional to photoconversion cross-sections and influenced by
light quality, light intensity, thermal reactions and dimerisation. This work
presents a method that can accurately calculate these photoconversion cross
sections in the presence of multiple non-light regulated reactions. RESULTS: Our
approach to calculating the photoconversion cross-sections comprises three steps:
i) calculate the thermal reversion reaction rate(s); ii) develop search spaces
from which all possible sets of photoconversion cross-sections exist, and; iii)
estimate extinction coefficients that describe our absorption spectra. We confirm
that the presented approach yields accurate results through the use of simulated
test cases. Our test cases were further expanded to more realistic scenarios
where noise, multiple thermal reactions and dimerisation are considered. Finally,
we present the photoconversion cross-sections of an Arabidopsis phyB N-terminal
fragment commonly used in optogenetic tools. CONCLUSIONS: The calculation of
photoconversion cross-sections has implications for both photoreceptor and
synthetic biologists. Our method allows, for the first time, direct comparisons
of photoconversion cross-sections and response speeds of photoreceptors in
different cellular environments and synthetic tools. Due to the generality of our
procedure, as shown by the application to multiple test cases, the
photoconversion cross-sections and quantum yields of any photoreceptor might now,
in principle, be obtained.
PMID- 27884152
TI - Use of self-gated radial cardiovascular magnetic resonance to detect and classify
arrhythmias (atrial fibrillation and premature ventricular contraction).
AB - BACKGROUND: Arrhythmia can significantly alter the image quality of
cardiovascular magnetic resonance (CMR); automatic detection and sorting of the
most frequent types of arrhythmias during the CMR acquisition could potentially
improve image quality. New CMR techniques, such as non-Cartesian CMR, can allow
self-gating: from cardiac motion-related signal changes, we can detect cardiac
cycles without an electrocardiogram. We can further use this data to obtain a
surrogate for RR intervals (valley intervals: VV). Our purpose was to evaluate
the feasibility of an automated method for classification of non-arrhythmic (NA)
(regular cycles) and arrhythmic patients (A) (irregular cycles), and for sorting
of common arrhythmia patterns between atrial fibrillation (AF) and premature
ventricular contraction (PVC), using the cardiac motion-related signal obtained
during self-gated free-breathing radial cardiac cine CMR with compressed sensing
reconstruction (XD-GRASP). METHODS: One hundred eleven patients underwent cardiac
XD-GRASP CMR between October 2015 and February 2016; 33 were included for
retrospective analysis with the proposed method (6 AF, 8 PVC, 19 NA; by recent
ECG). We analyzed the VV, using pooled statistics (histograms) and sequential
analysis (Poincare plots), including the median (medVV), the weighted mean
(meanVV), the total number of VV values (VVval), and the total range (VVTR) and
half range (VVHR) of the cumulative frequency distribution of VV, including the
median to half range (medVV/VVHR) and the half range to total range (VVHR/VVTR)
ratios. We designed a simple algorithm for using the VV results to differentiate
A from NA, and AF from PVC. RESULTS: Between NA and A, meanVV, VVval, VVTR, VVHR,
medVV/VVHR and VVHR/VVTR ratios were significantly different (p values = 0.00014,
0.0027, 0.000028, 5*10-9, 0.002, respectively). Between AF and PVC, meanVV, VVval
and medVV/VVHR ratio were significantly different (p values = 0.018, 0.007,
0.044, respectively). Using our algorithm, sensitivity, specificity, and accuracy
were 93 %, 95 % and 94 % to discriminate between NA and A, and 83 %, 71 %, and 77
% to discriminate between AF and PVC, respectively; areas under the ROC curve
were 0.93 and 0.89. CONCLUSIONS: Our study shows we can reliably detect
arrhythmias and differentiate AF from PVC, using self-gated cardiac cine XD-GRASP
CMR.
PMID- 27884153
TI - Performance evaluation of the touchscreen-based MuseTM Auto CD4/CD4% single
platform system for CD4 T cell numeration in absolute number and in percentage
using blood samples from children and adult patients living in the Central
African Republic.
AB - BACKGROUND: The new microcapillary and fluorescence-based EC IVD-qualified MuseTM
Auto CD4/CD4% single-platform assay (EMD Millipore Corporation, Merck Life
Sciences, KGaA, Darmstadt, Germany) for CD4 T cell numeration in absolute number
and in percentage was evaluated using Central African patients' samples compared
against the reference EC IVD-qualified BD FACSCount (Becton-Dickinson, USA) flow
cytometer. METHODS: EDTA-blood samples from 124 adults, 10 adolescents, 13
children and 3 infants were tested in parallel at 2 reference laboratories in
Bangui. RESULTS: The MuseTM technique was highly reproducible, with low intra-
and inter-run variabilities less than 15%. CD4 T cell counts of MuseTM and BD
FACSCount in absolute number and percentage were highly correlated (r2 = 0.99 and
0.98, respectively). The mean absolute bias between MuseTM and BD FACSCount cells
in absolute number and percentage were -5.91 cells/ul (95% CI -20.90 to 9.08)
with limits of agreement from -77.50 to 202.40 cells/ul, and +1.69 %CD4 (95% CI
+/-1.29 to +2.09), respectively. The percentages of outliers outside the limits
of agreement were nearly similar in absolute number (8%) and percentage (10%).
CD4 T cell counting by MuseTM allowed identifying the majority of individuals
with CD4 T cell <200, <350 or <750 cells/ul corresponding to the relevant
thresholds of therapeutic care, with sensitivities of 95.5-100% and specificities
of 83.9-100%. CONCLUSIONS: The MuseTM Auto CD4/CD4% Assay analyzer is a reliable
alternative flow cytometer for CD4 T lymphocyte enumeration to be used in routine
immunological monitoring according to World Health Organization recommendations
in HIV-infected adults as well as children living in resource-constrained
settings.
PMID- 27884154
TI - Isolation and characterization of adenoviruses infecting endangered golden snub
nosed monkeys (Rhinopithecus roxellana).
AB - BACKGROUND: Adenoviruses are important pathogens with the potential for
interspecies transmission between humans and non-human primates. Although many
adenoviruses have been identified in monkeys, the knowledge of these viruses from
the Colobinae members is quite limited. FINDINGS: We conducted a surveillance of
viral infection in endangered golden snub-nosed monkeys (Rhinopithecus roxellana)
in the subfamily Colobinae in China, and found that 5.1% of sampled individuals
were positive for adenovirus. One of the adenoviruses (SAdV-WIV19) was
successfully isolated and its full-length genome was sequenced. The full-length
genome of WIV19 is 33,562 bp in size, has a G + C content of 56.2%, and encodes
35 putative genes. Sequence analysis revealed that this virus represents a novel
species in the genus Mastadenovirus. Diverse cell lines, including those of human
origin, were susceptible to WIV19. CONCLUSION: We report the first time the
isolation and full-length genomic characterization of an adenovirus from the
subfamily Colobinae.
PMID- 27884155
TI - Long chain polyunsaturated fatty acids (LCPUFAs) and nordihydroguaiaretic acid
(NDGA) modulate metabolic and inflammatory markers in a spontaneous type 2
diabetes mellitus model (Stillman Salgado rats).
AB - BACKGROUND: Diabetes mellitus (DM) is a complex disease with alterations in
metabolic and inflammatory markers. Stillman Salgado rats (eSS) spontaneously
develop type 2 DM by middle age showing progressive impairment of glucose
tolerance with hyperglycemia, hypertriglyceridemia and hyperinsulinemia. We
analyzed the effects of supplementation of omega-3 and omega-6 polyunsaturated
fatty acids (PUFAs) with or without nordihydroguaiaretic acid (NDGA) added, an
antioxidant and lipoxygenase inhibitor, on metabolic and inflammatory parameters
in eSS rats to evaluate whether they can delay development and/or prevent
progression of DM. METHODS: After weaning, eSS rats received, intraperitoneally,
once a month omega-3 (EPA 35% and DHA 40%-6.25 mg/Kg) or omega-6 (90% arachidonic
acid- 6. 25 mg/Kg) for twelve months. Two additional groups of rats received 1.9
mg/kg NDGA added to omega-3 and omega-6 fatty acids. Blood samples were collected
at day 40, and at the end of the 6th month and 12th month of age to determine
plasma triglycerides (TGs), total plasma fatty acids (FA), A1C hemoglobin
(HbA1C), C-reactive protein (CRP), gamma glutamyl transpeptidase (GGT), lipo and
hydro peroxides, nitrites and IL-6 (in plasma and liver, kidney, and pancreas)
and underwent oral glucose tolerance test (OGTT) as well. Wistar and eSS rats
that received saline solution were used as controls. RESULTS: Plasma lipids
profile, TG, fasting and post-prandial blood glucose levels, and glycosylated
HbA1C showed significant improvements in omega-3 and omega-3 + NDGA treated
animals compared to eSS control group. omega-3 and omega-3 + NDGA groups showed
an inverse correlation with fasting blood glucose and showed lower plasma levels
of GGT, TG, and CRP. eSS rats treated with omega-3 LCPUFAs showed reduced level
of inflammatory and oxidative indices in plasma and liver, kidney and pancreas
tissues in comparison with eSS control (non-treated) and omega-6 treated groups.
CONCLUSIONS: eSS rats are a useful model to study type 2 DM pathophysiology and
related inflammatory indices. omega-3 + NDGA supplementation, at the doses
tested, ameliorated inflammatory, metabolic and oxidative stress markers studied.
PMID- 27884156
TI - Targeted myocardial gene expression in failing hearts by RNA sequencing.
AB - BACKGROUND: Myocardial recovery with left ventricular assist device (LVAD)
therapy is highly variable and difficult to predict. Next generation ribonucleic
acid (RNA) sequencing is an innovative, rapid, and quantitative approach to gene
expression profiling in small amounts of tissue. Our primary goal was to identify
baseline transcriptional profiles in non-ischemic cardiomyopathies that predict
myocardial recovery in response to LVAD therapy. We also sought to verify
transcriptional differences between failing and non-failing human hearts.
METHODS: RNA was isolated from failing (n = 16) and non-failing (n = 8) human
hearts. RNA from each patient was reverse transcribed and quantitatively
sequenced on the personal genome machine (PGM) sequencer (Ion torrent) for 95
heart failure candidate genes. Coverage analysis as well as mapping the reads and
alignment was done using the Ion Torrent Browser SuiteTM. Differential expression
analyses were conducted by empirical analysis of digital gene expression data in
R (edgeR) to identify differential expressed genes between failing and non
failing groups, and between responder and non-responder groups respectively.
Targeted cardiac gene messenger RNA (mRNA) expression was analyzed in proportion
to the total number of reads. Gene expression profiles from the PGM sequencer
were validated by performing RNA sequencing (RNAseq) with the Illumina Hiseq2500
sequencing system. RESULTS: The failing sample population was 75% male with an
average age of 50 and a left ventricular ejection fraction (LVEF) of 16%. Myosin
light chain kinase (MYLK) and interleukin (IL)-6 genes expression were
significantly higher in LVAD responders compared to non-responders. Thirty-six
cardiac genes were expressed differentially between failing and non-failing
hearts (23 decreased, 13 elevated). MYLK, Beta-1 adrenergic receptor (ADRB1) and
myosin heavy chain (MYH)-6 expression were among those significantly decreased in
failing hearts compared to non-failing hearts. Natriuretic peptide B (NPPB) and
IL-6 were significantly elevated. Targeted gene expression profiles obtained from
the Ion torrent PGM sequencer were consistent with those obtained from Illumina
HiSeq2500 sequencing system. CONCLUSIONS: Heart failure is associated with a
network of transcriptional changes involving contractile proteins, metabolism,
adrenergic receptors, protein phosphorylation, and signaling factors. Myocardial
MYLK and IL-6 expression are positively correlated with ejection fraction (EF)
response to LVAD placement. Targeted RNA sequencing of myocardial gene expression
can be utilized to predict responders to LVAD therapy and to better characterize
transcriptional changes in human heart failure.
PMID- 27884157
TI - Manual versus Automated moNitoring Accuracy of GlucosE II (MANAGE II).
AB - BACKGROUND: Intravascular continuous glucose monitoring (CGM) may facilitate
glycemic control in the intensive care unit (ICU). We compared the accuracy of a
CGM device (OptiScanner(r)) with a standard reference method. METHODS: Adult
patients who had blood glucose (BG) levels >150 mg/dl and required insertion of
an arterial and central venous catheter were included. The OptiScanner(r) was
inserted into a multiple-lumen central venous catheter. Patients were treated
using a dynamic-scale insulin algorithm to achieve BG values between 80 and 150
mg/dl. The BG values measured by the OptiScanner(r) were plotted against BG
values measured using a reference analyzer. The correlation between the BG values
measured using the two methods and the clinical relevance of any differences were
assessed using the coefficient of determination (r 2) and the Clarke error grid,
respectively; bias was assessed by the mean absolute relative difference (MARD).
Three different standards of glucose monitoring were used to assess accuracy.
Glycemic control was assessed using the time in range (TIR). Six indices of
glycemic variability were calculated. RESULTS: The analysis included 929 paired
samples from 88 patients, monitored for a total of 2584 hours. Reference BG
values ranged between 60 and 484 mg/dl. The r 2 value was 0.89. The percentage of
BG values within zones A and B of the Clarke error grid was 99.9%; the MARD was
7.7%. Using the ISO 15197 standard and Food and Drug Administration and consensus
standards, respectively, 80.4% of measurements were within 15 mg/dl and 88.2%
within 15% of reference values, 40% of measurements were within 7 mg/dl and 72.5%
within 10% of reference values, and 65.2% of measurements were within 10 mg/dl
and 82.7% within 12.5% of reference values. The TIR was slightly lower with the
OptiScanner(r) than with the reference method. The J-index, standard deviation
and maximal glucose change were the indices of glycemic variability least
affected by the measurement device. CONCLUSIONS: Based on the MARD, the
performance of the OptiScanner(r) is adequate for use in ICU patients. Because
recent standards for accuracy were not met, the OptiScanner(r) should not be used
as a sole monitor. The assessment of glycemic variability is influenced by the
time interval between BG determinations. TRIAL REGISTRATION: Clinicaltrials.gov
NCT01720381 . Registered 31 October 2012.
PMID- 27884158
TI - Rapid visualization of latent fingermarks using gold seed-mediated enhancement.
AB - BACKGROUND: Fingermarks are one of the most important and useful forms of
physical evidence in forensic investigations. However, latent fingermarks are not
directly visible, but can be visualized due to the presence of other residues
(such as inorganic salts, proteins, polypeptides, enzymes and human metabolites)
which can be detected or recognized through various strategies. Convenient and
rapid techniques are still needed to provide obvious contrast between the
background and the fingermark ridges and to then visualize latent fingermark with
a high degree of selectivity and sensitivity. RESULTS: In this work, lysozyme
binding aptamer-conjugated Au nanoparticles (NPs) are used to recognize and
target lysozyme in the fingermark ridges, and Au+-complex solution is used as a
growth agent to reduce Au+ from Au+ to Au0 on the surface of the Au NPs. Distinct
fingermark patterns were visualized on a range of professional forensic within 3
min; the resulting images could be observed by the naked eye without background
interference. The entire processes from fingermark collection to visualization
only entails two steps and can be completed in less than 10 min. The proposed
method provides cost and time savings over current fingermark visualization
methods. CONCLUSIONS: We report a simple, inexpensive, and fast method for the
rapid visualization of latent fingermarks on the non-porous substrates using Au
seed-mediated enhancement. Au seed-mediated enhancement is used to achieve the
rapid visualization of latent fingermarks on non-porous substrates by the naked
eye without the use of expensive or sophisticated instruments. The proposed
approach offers faster detection and visualization of latent fingermarks than
existing methods. The proposed method is expected to increase detection
efficiency for latent fingermarks and reduce time requirements and costs for
forensic investigations.
PMID- 27884159
TI - Monoacylglycerol lipase promotes progression of hepatocellular carcinoma via NF
kappaB-mediated epithelial-mesenchymal transition.
AB - BACKGROUND: Monoacylglycerol lipase (MAGL), a critical lipolytic enzyme, has
emerged as a key regulator of tumor progression, yet its biological function and
clinical significance in hepatocellular carcinoma (HCC) is still unknown.
METHODS: In this study, we used a tissue microarray containing samples from 170
HCC patients to evaluate the expression of MAGL and its correlation with other
clinicopathologic characteristics. In addition, we investigated the regulating
effects of MAGL on various HCC lines. Finally, we identified the NF-kappaB
signaling pathway participated in MAGL-mediated epithelial-mesenchymal transition
(EMT) using HCC cell lines with different metastatic potentials. RESULTS: The
expression of MAGL was significantly higher in HCC tumors than in matched
peritumor tissues. Specifically, high MAGL expression was found in tumors with
larger tumor size, microvascular invasion, poor differentiation, or advanced TNM
stage. In addition, the clinical prognosis for the MAGLhigh group was markedly
poorer than that for the MAGLlow group in the 1-, 3-, and 5-year overall survival
times and recurrence rates of HCC patients. MAGL expression was an independent
prognostic factor for both survival and recurrence after curative resection.
Furthermore, the upregulation of MAGL in HCC cells promoted cell growth and
invasiveness abilities, and accompanied by EMT. In contrast, downregulation of
MAGL obviously inhibited these characteristics. Moreover, further investigations
verified that MAGL facilitates HCC progression via NF-kappaB-mediated EMT
process. CONCLUSIONS: Our findings demonstrate MAGL could promote HCC progression
by the induction of EMT and suggest a potential therapeutic target, as well as a
biomarker for prognosis, in patients with HCC.
PMID- 27884162
TI - 'The stars seem aligned': a qualitative study to understand the effects of
context on scale-up of maternal and newborn health innovations in Ethiopia, India
and Nigeria.
AB - BACKGROUND: Donors commonly fund innovative interventions to improve health in
the hope that governments of low and middle-income countries will scale-up those
that are shown to be effective. Yet innovations can be slow to be adopted by
country governments and implemented at scale. Our study explores this problem by
identifying key contextual factors influencing scale-up of maternal and newborn
health innovations in three low-income settings: Ethiopia, the six states of
northeast Nigeria and Uttar Pradesh state in India. METHODS: We conducted 150
semi-structured interviews in 2012/13 with stakeholders from government,
development partner agencies, externally funded implementers including civil
society organisations, academic institutions and professional associations to
understand scale-up of innovations to improve the health of mothers and newborns
these study settings. We analysed interview data with the aid of a common
analytic framework to enable cross-country comparison, with Nvivo to code themes.
RESULTS: We found that multiple contextual factors enabled and undermined
attempts to catalyse scale-up of donor-funded maternal and newborn health
innovations. Factors influencing government decisions to accept innovations at
scale included: how health policy decisions are made; prioritising and funding
maternal and newborn health; and development partner harmonisation. Factors
influencing the implementation of innovations at scale included: health systems
capacity in the three settings; and security in northeast Nigeria. Contextual
factors influencing beneficiary communities' uptake of innovations at scale
included: sociocultural contexts; and access to healthcare. CONCLUSIONS: We
conclude that context is critical: externally funded implementers need to assess
and adapt for contexts if they are to successfully position an innovation for
scale-up.
PMID- 27884160
TI - The D Domain of LRRC4 anchors ERK1/2 in the cytoplasm and competitively inhibits
MEK/ERK activation in glioma cells.
AB - BACKGROUND: As a well-characterized key player in various signal transduction
networks, extracellular-signal-regulated kinase (ERK1/2) has been widely
implicated in the development of many malignancies. We previously found that
Leucine-rich repeat containing 4 (LRRC4) was a tumor suppressor and a negative
regulator of the ERK/MAPK pathway in glioma tumorigenesis. However, the precise
molecular role of LRRC4 in ERK signal transmission is unclear. METHODS: The
interaction between LRRC4 and ERK1/2 was assessed by co-immunoprecipitation and
GST pull-down assays in vivo and in vitro. We also investigated the interaction
of LRRC4 and ERK1/2 and the role of the D domain in ERK activation in glioma
cells. RESULTS: Here, we showed that LRRC4 and ERK1/2 interact via the D domain
and CD domain, respectively. Following EGF stimuli, the D domain of LRRC4 anchors
ERK1/2 in the cytoplasm and abrogates ERK1/2 activation and nuclear
translocation. In glioblastoma cells, ectopic LRRC4 expression competitively
inhibited the interaction of endogenous mitogen-activated protein kinase (MEK)
and ERK1/2. Mutation of the D domain decreased the LRRC4-mediated inhibition of
MAPK signaling and its anti-proliferation and anti-invasion roles. CONCLUSIONS:
Our results demonstrated that the D domain of LRRC4 anchors ERK1/2 in the
cytoplasm and competitively inhibits MEK/ERK activation in glioma cells. These
findings identify a new mechanism underlying glioblastoma progression and suggest
a novel therapeutic strategy by restoring the activity of LRRC4 to decrease MAPK
cascade activation.
PMID- 27884161
TI - HPV16 integration probably contributes to cervical oncogenesis through
interrupting tumor suppressor genes and inducing chromosome instability.
AB - BACKGROUND: The integration of human papilloma virus (HPV) into host genome is
one of the critical steps that lead to the progression of precancerous lesion
into cancer. However, the mechanisms and consequences of such integration events
are poorly understood. This study aims to explore those questions by studying
high risk HPV16 integration in women with cervical intraepithelial neoplasia
(CIN) and cervical squamous cell carcinoma (SCC). METHODS: Specifically, HPV
integration status of 13 HPV16-infected patients were investigated by ligation
mediated PCR (DIPS-PCR) followed by DNA sequencing. RESULTS: In total, 8 HPV16
integration sites were identified inside or around genes associated with cancer
development. In particular, the well-studied tumor suppressor genes SCAI was
found to be integrated by HPV16, which would likely disrupt its expression and
therefore facilitate the migration of tumor. On top of that, we observed several
cases of chromosome translocation events coincide with HPV integration, which
suggests the existence of chromosome instability. Additionally, short overlapping
sequences were observed between viral derived and host derived fragments in viral
cellular junctions, indicating that integration was mediated by micro homology
mediated DNA repair pathway. CONCLUSIONS: Overall, our study suggests a model in
which HPV16 might contribute to oncogenesis not only by disrupting tumor
suppressor genes, but also by inducing chromosome instability.
PMID- 27884164
TI - Hyaluronan synthase 2 expressed by cancer-associated fibroblasts promotes oral
cancer invasion.
AB - BACKGROUND: Hyaluronan synthases (HAS) control the biosynthesis of hyaluronan
(HA) and critically modulate the tumor microenviroment. Cancer-associated
fibroblasts (CAFs) affect the progression of a tumor by remolding the matrix.
However, little is known about the role of HAS from CAFs in this process. This
study aimed to determine the role of hyaluronan synthase 2 (HAS2) from CAFs in
the progression of oral squamous cell carcinoma (OSCC) invasion. METHODS: HAS
isoforms 1, 2, and 3 in paired sets of CAFs and normal fibroblasts (NFs) were
examined by real-time PCR, and the expression of HAS2 and alpha-SMA in OSCC
tissue sections was further evaluated using immunohistochemical staining.
Furthermore, we used a conditioned culture medium model to evaluate the effects
of HAS2 from CAFs on the invasion and epithelial-mesenchymal transition (EMT) of
the oral cancer cells Cal27. Finally, we compared the expression of matrix
metalloproteinases (MMPs) and tissue inhibitors of metalloproteinases (TIMPs)
between CAFs and NF, and between CAFs with or without HAS2 knockdown using an
antibody array and western blotting. RESULTS: CAFs expressed higher levels of
HAS2 than the paired NFs. HAS2 expression was consistent with alpha-SMA-positive
myofibroblasts in the stroma of OSCC, and these were significantly correlated
advanced clinical stages and cervical lymph node metastasis. Knocking down HAS2
with a specific siRNA or treatment with a HAS inhibitor markedly attenuated CAF
induced invasion and EMT of Cal27 cells. Higher MMP1 and lower TIMP1 levels were
detected in the supernatants of CAFs relative to NFs. Knocking down HAS2 could
decrease the expression of MMP1 and increase that of TIMP1 in CAFs. CONCLUSIONS:
HAS2 is one of the key regulators responsible for CAF-mediated OSCC progression
and acts by modulating the balance of MMP1 and TIMP1.
PMID- 27884163
TI - Chronic adiponectin deficiency leads to Alzheimer's disease-like cognitive
impairments and pathologies through AMPK inactivation and cerebral insulin
resistance in aged mice.
AB - BACKGROUND: Insulin resistance is the major pathogenesis underlying type 2
diabetes mellitus (T2DM) and these patients have doubled risk of Alzheimer's
disease (AD). Increasing evidence suggests that insulin resistance plays an
important role in AD pathogenesis, possibly due to abnormal GSK3beta activation,
causing intra- and extracellular amyloid-beta (Abeta) accumulation. Adiponectin
(APN) is an adipokine with insulin-sensitizing and anti-inflammatory effects.
Reduced circulatory APN level is associated with insulin resistance and T2DM. The
role of APN in AD has not been elucidated. In this study, we aim to examine if
adiponectin deficiency would lead to cerebral insulin resistance, cognitive
decline and Alzheimer's-like pathology in mice. METHODS: To study the role of
adiponectin in cognitive functions, we employed adiponectin-knockout (APN-KO)
mice and demonstrated chronic APN deficiency in their CNS. Behavioral tests were
performed to study the cognitions of male APN-KO mice. Brains and tissue lysates
were collected to study the pathophysiological and molecular changes in the brain
of APN-KO mice. SH-SY5Y neuroblastoma cell line was used to study the molecular
mechanism upon APN and insulin treatment. RESULTS: Aged APN-deficient mice
displayed spatial memory and learning impairments, fear-conditioned memory
deficit as well as anxiety. These mice also developed AD pathologies including
increased cerebral Abeta42 level, Abeta deposition, hyperphosphorylated Tau
proteins, microgliosis and astrogliosis with increased cerebral IL-1beta and
TNFalpha levels that associated with increased neuronal apoptosis and reduced
synaptic proteins levels, suggesting APN deficiency may lead to neuronal and
synaptic loss in the brain. AD pathologies-associated APN-KO mice displayed
attenuated AMPK phosphorylation and impaired insulin signaling including
decreased Akt induction and increased GSK3beta activation in the hippocampus and
frontal cortex. Aged APN-KO mice developed hippocampal insulin resistance with
reduced pAkt induction upon intracerebral insulin injection. Consistently, APN
treatment in SH-SY5Y cells with insulin resistance and overexpressing Abeta
induce higher pAkt levels through AdipoR1 upon insulin treatment whereas the
induction was blocked by compound C, indicating APN can enhance neuronal insulin
sensitivity through AMPK activation. CONCLUSION: Our results indicated that
chronic APN deficiency inactivated AMPK causing insulin desensitization and
elicited AD-like pathogenesis in aged mice which also developed significant
cognitive impairments and psychiatric symptoms.
PMID- 27884165
TI - Implementing an evidence-based computerized decision support system linked to
electronic health records to improve care for cancer patients: the ONCO-CODES
study protocol for a randomized controlled trial.
AB - BACKGROUND: Computerized decision support systems (CDSSs) are computer programs
that provide doctors with person-specific, actionable recommendations, or
management options that are intelligently filtered or presented at appropriate
times to enhance health care. CDSSs might be integrated with patient electronic
health records (EHRs) and evidence-based knowledge. METHODS/DESIGN: The
Computerized DEcision Support in ONCOlogy (ONCO-CODES) trial is a pragmatic,
parallel group, randomized controlled study with 1:1 allocation ratio. The trial
is designed to evaluate the effectiveness on clinical practice and quality of
care of a multi-specialty collection of patient-specific reminders generated by a
CDSS in the IRCCS Istituto Scientifico Romagnolo per lo Studio e la Cura dei
Tumori (IRST) hospital. We hypothesize that the intervention can increase
clinician adherence to guidelines and, eventually, improve the quality of care
offered to cancer patients. The primary outcome is the rate at which the issues
reported by the reminders are resolved, aggregating specialty and primary care
reminders. We will include all the patients admitted to hospital services. All
analyses will follow the intention-to-treat principle. DISCUSSION: The results of
our study will contribute to the current understanding of the effectiveness of
CDSSs in cancer hospitals, thereby informing healthcare policy about the
potential role of CDSS use. Furthermore, the study will inform whether CDSS may
facilitate the integration of primary care in cancer settings, known to be
usually limited. The increasing use of and familiarity with advanced technology
among new generations of physicians may support integrated approaches to be
tested in pragmatic studies determining the optimal interface between primary and
oncology care. TRIAL REGISTRATION: ClinicalTrials.gov, NCT02645357.
PMID- 27884166
TI - TIGAR cooperated with glycolysis to inhibit the apoptosis of leukemia cells and
associated with poor prognosis in patients with cytogenetically normal acute
myeloid leukemia.
AB - BACKGROUND: Cancer cells show increased glycolysis and take advantage of this
metabolic pathway to generate ATP. The TP53-induced glycolysis and apoptosis
regulator (TIGAR) inhibits aerobic glycolysis and protects tumor cells from
intracellular reactive oxygen species (ROS)-associated apoptosis. However, the
function of TIGAR in glycolysis and survival of acute myeloid leukemia cells
remains unclear. METHODS: We analyzed TIGAR expression in cytogenetically normal
(CN-) AML patients and the correlations with clinical and biological parameters.
In vivo and in vitro, we tested whether glycolysis may induce TIGAR expression
and evaluated the combination effect of glycolysis inhibitor and TIGAR knockdown
on human leukemia cell proliferation. RESULTS: High TIGAR expression was an
independent predictor of poor survival and high incidence of relapse in adult
patients with CN-AML. TIGAR also showed high expression in multiple human
leukemia cell lines and knockdown of TIGAR activated glycolysis through PFKFB3
upregulation in human leukemia cells. Knockdown of TIGAR inhibited the
proliferation of human leukemia cells and sensitized leukemia cells to glycolysis
inhibitor both in vitro and in vivo. Furthermore, TIGAR knockdown in combination
with glycolysis inhibitor 2-DG led leukemia cells to apoptosis. In addition, the
p53 activator Nutlin-3alpha showed a significant combinational effect with TIGAR
knockdown in leukemia cells. However, TIGAR expression and its anti-apoptotic
effects were uncoupled from overexpression of exogenous p53 in leukemia cells.
CONCLUSIONS: TIGAR might be a predictor of poor survival and high incidence of
relapse in AML patients, and the combination of TIGAR inhibitors with anti
glycolytic agents may be novel therapies for the future clinical use in AML
patients.
PMID- 27884167
TI - Rett syndrome - biological pathways leading from MECP2 to disorder phenotypes.
AB - Rett syndrome (RTT) is a rare disease but still one of the most abundant causes
for intellectual disability in females. Typical symptoms are onset at month 6-18
after normal pre- and postnatal development, loss of acquired skills and severe
intellectual disability. The type and severity of symptoms are individually
highly different. A single mutation in one gene, coding for methyl-CpG-binding
protein 2 (MECP2), is responsible for the disease. The most important action of
MECP2 is regulating epigenetic imprinting and chromatin condensation, but MECP2
influences many different biological pathways on multiple levels although the
molecular pathways from gene to phenotype are currently not fully understood. In
this review the known changes in metabolite levels, gene expression and
biological pathways in RTT are summarized, discussed how they are leading to some
characteristic RTT phenotypes and therefore the gaps of knowledge are identified.
Namely, which phenotypes have currently no mechanistic explanation leading back
to MECP2 related pathways? As a result of this review the visualization of the
biologic pathways showing MECP2 up- and downstream regulation was developed and
published on WikiPathways which will serve as template for future omics data
driven research. This pathway driven approach may serve as a use case for other
rare diseases, too.
PMID- 27884169
TI - Study protocol: implementation of a computer-assisted intervention for autism in
schools: a hybrid type II cluster randomized effectiveness-implementation trial.
AB - BACKGROUND: The number of children diagnosed with autism has rapidly outpaced the
capacities of many public school systems to serve them, especially under
resourced, urban school districts. The intensive nature of evidence-based autism
interventions, which rely heavily on one-to-one delivery, has caused schools to
turn to computer-assisted interventions (CAI). There is little evidence regarding
the feasibility, effectiveness, and implementation of CAI in public schools.
While CAI has the potential to increase instructional time for students with
autism, it may also result in unintended consequences such as reduction in the
amount of interpersonal (as opposed to computerized) instruction students
receive. The purpose of this study is to test the effectiveness of one such CAI
TeachTown-its implementation, and its effects on teachers' use of other evidence
based practices. METHODS: This study protocol describes a type II hybrid cluster
randomized effectiveness-implementation trial. We will train and coach 70
teachers in autism support classrooms in one large school district in the use of
evidence-based practices for students with autism. Half of the teachers then will
be randomly selected to receive training and access to TeachTown: Basics, a CAI
for students with autism, for the students in their classrooms. The study
examines: (1) the effectiveness of TeachTown for students with autism; (2) the
extent to which teachers implement TeachTown the way it was designed (i.e.,
fidelity); and (3) whether its uptake increases or reduces the use of other
evidence-based practices. DISCUSSION: This study will examine the implementation
of new technology for children with ASD in public schools and will be the first
to measure the effectiveness of CAI. As importantly, the study will investigate
whether adding a new technology on top of existing practices increases or
decreases their use. This study presents a unique method to studying both the
implementation and exnovation of evidence-based practices for children with
autism in school settings. TRIAL REGISTRATION: NCT02695693 . Retrospectively
registered on July 8, 2016.
PMID- 27884170
TI - First report of Rickettsia raoultii and R. slovaca in Melophagus ovinus, the
sheep ked.
AB - BACKGROUND: Melophagus ovinus (Diptera: Hippoboscidae), a hematophagous
ectoparasite, is mainly found in Europe, Northwestern Africa, and Asia. This
wingless fly infests sheep, rabbits, and red foxes, and causes inflammation, wool
loss and skin damage. Furthermore, this parasite has been shown to transmit
diseases, and plays a role as a vector. Herein, we investigated the presence of
various Rickettsia species in M. ovinus. METHODS: In this study, a total of 95
sheep keds were collected in Kuqa County and Alaer City southern region of
Xinjiang Uygur Autonomous Region, northwestern China. First, collected sheep keds
were identified on the species level using morphological keys and molecular
methods based on a fragment of the 18S ribosomal DNA gene (18S rDNA). Thereafter,
to assess the presence of rickettsial DNA in sheep keds, the DNA of individual
samples was screened by PCR based on six Rickettsia-specific gene fragments
originating from six genes: the 17-kilodalton antigen gene (17-kDa), 16S rRNA
gene (rrs), surface cell antigen 4 gene (sca4), citrate synthase gene (gltA), and
outer membrane protein A and B genes (ompA and ompB). The amplified products were
confirmed by sequencing and BLAST analysis (
https://blast.ncbi.nlm.nih.gov/Blast.cgi?PROGRAM=blastn&PAGE_TYPE=BlastSearch&LIN
_LOC=blasthome ). RESULTS: According to its morphology and results of molecular
analysis, the species was identified as Melophagus ovinus, with 100% identity to
M. ovinus from St. Kilda, Australia (FN666411). DNA of Rickettsia spp. were found
in 12 M. ovinus samples (12.63%, 12/95). Rickettsia raoultii and R. slovaca were
confirmed based on phylogenetic analysis, although the genetic markers of these
two rickettsial agents amplified in this study showed molecular diversity.
CONCLUSIONS: This is the first report of R. raoultii and R. slovaca DNA in M.
ovinus. Rickettsia slovaca was found for the first time around the Taklimakan
Desert located in China. This finding extends the geographical range of spotted
fever group rickettsiae.
PMID- 27884172
TI - Spatial distribution and trypanosome infection of tsetse flies in the sleeping
sickness focus of Zimbabwe in Hurungwe District.
AB - BACKGROUND: In Zimbabwe, cases of human African trypanosomiasis (HAT) are caused
by the unicellular protozoan Trypanosoma brucei, sub-species T. b. rhodesiense.
They are reported from the tsetse-infested area in the northern part of the
country, broadly corresponding to the valley of the Zambezi River. Tsetse
transmitted trypanosomes, in particular T. congolense and T. vivax, also cause
morbidity and mortality in livestock, thus generating poverty and food
insecurity. Two species of tsetse fly, Glossina morsistans morsitans and G.
pallidipes, are known to be present in the Zambezi Valley, although their
distributional patterns and densities have not been investigated in detail. The
present study tries to address this gap by providing some insight into the
dynamics of trypanosomiasis in humans and livestock. METHODS: Tsetse distribution
and trypanosome infections were studied using traps and fixed fly rounds located
at 10 km intervals along a 110 km long transect straddling the southern
escarpment of the Zambezi Valley. Three km long fly rounds were conducted on 12
sites, and were repeated 11 times over a 7-month period. Additional traps were
deployed and monitored in selected sites. Microscopic examination of 2092 flies
for trypanosome infections was conducted. RESULTS: Surveys confirmed the presence
of G. morsitans morsitans and G. pallidipes in the Zambezi Valley floor. Moving
south, the apparent density of tsetse flies appears to peak in the vicinity of
the escarpment, then drops on the highlands. Only one fly was caught south of the
old game fence separating protected and settled areas. A trypanosome infection
rate of 6.31% was recorded in tsetse flies dissected. Only one infection of the
T. brucei-type was detected. CONCLUSIONS: Tsetse fly distribution in the study
area appears to be driven by ecological factors such as variation in land use and
altitude-mediated climatic patterns. Although targeted control of tsetse flies
have played a role in determining distribution, no major control operations have
been implemented in the area for 15 years. Trypanosome infections in tsetse flies
are consistent with HAT epidemiological data, which considers the situation to be
generally 'low risk'. Nonetheless, underreporting is likely to conceal the true
epidemiological picture, and efforts are needed to strengthen the diagnostic
capacities of health facilities.
PMID- 27884168
TI - Ataxia telangiectasia: a review.
AB - DEFINITION OF THE DISEASE: Ataxia telangiectasia (A-T) is an autosomal recessive
disorder primarily characterized by cerebellar degeneration, telangiectasia,
immunodeficiency, cancer susceptibility and radiation sensitivity. A-T is often
referred to as a genome instability or DNA damage response syndrome.
EPIDEMIOLOGY: The world-wide prevalence of A-T is estimated to be between 1 in
40,000 and 1 in 100,000 live births. CLINICAL DESCRIPTION: A-T is a complex
disorder with substantial variability in the severity of features between
affected individuals, and at different ages. Neurological symptoms most often
first appear in early childhood when children begin to sit or walk. They have
immunological abnormalities including immunoglobulin and antibody deficiencies
and lymphopenia. People with A-T have an increased predisposition for cancers,
particularly of lymphoid origin. Pulmonary disease and problems with feeding,
swallowing and nutrition are common, and there also may be dermatological and
endocrine manifestations. ETIOLOGY: A-T is caused by mutations in the ATM (Ataxia
Telangiectasia, Mutated) gene which encodes a protein of the same name. The
primary role of the ATM protein is coordination of cellular signaling pathways in
response to DNA double strand breaks, oxidative stress and other genotoxic
stress. DIAGNOSIS: The diagnosis of A-T is usually suspected by the combination
of neurologic clinical features (ataxia, abnormal control of eye movement, and
postural instability) with one or more of the following which may vary in their
appearance: telangiectasia, frequent sinopulmonary infections and specific
laboratory abnormalities (e.g. IgA deficiency, lymphopenia especially affecting T
lymphocytes and increased alpha-fetoprotein levels). Because certain neurological
features may arise later, a diagnosis of A-T should be carefully considered for
any ataxic child with an otherwise elusive diagnosis. A diagnosis of A-T can be
confirmed by the finding of an absence or deficiency of the ATM protein or its
kinase activity in cultured cell lines, and/or identification of the pathological
mutations in the ATM gene. DIFFERENTIAL DIAGNOSIS: There are several other
neurologic and rare disorders that physicians must consider when diagnosing A-T
and that can be confused with A-T. Differentiation of these various disorders is
often possible with clinical features and selected laboratory tests, including
gene sequencing. ANTENATAL DIAGNOSIS: Antenatal diagnosis can be performed if the
pathological ATM mutations in that family have been identified in an affected
child. In the absence of identifying mutations, antenatal diagnosis can be made
by haplotype analysis if an unambiguous diagnosis of the affected child has been
made through clinical and laboratory findings and/or ATM protein analysis.
GENETIC COUNSELING: Genetic counseling can help family members of a patient with
A-T understand when genetic testing for A-T is feasible, and how the test results
should be interpreted. MANAGEMENT AND PROGNOSIS: Treatment of the neurologic
problems associated with A-T is symptomatic and supportive, as there are no
treatments known to slow or stop the neurodegeneration. However, other
manifestations of A-T, e.g. immunodeficiency, pulmonary disease, failure to
thrive and diabetes can be treated effectively.
PMID- 27884171
TI - Molecular characterization and protective efficacy of silent information
regulator 2A from Eimeria tenella.
AB - BACKGROUND: Silent information regulator 2 (SIR2) proteins are a family of NAD +
dependent protein deacetylases that are considered potential targets for anti
parasitic agents. In this study, we cloned and characterized SIR2A of the
protozoan parasite Eimeria tenella (EtSIR2A) and investigated its protective
efficacy as a DNA vaccine. METHODS: The EtSIR2A gene encoding 33.37 kDa protein
from E. tenella second-generation merozoites was cloned, and recombinant EtSIR2A
protein (rEtSIR2A) was produced in an Escherichia coli expression system. The
rEtSIR2A was used to immunize rabbits. Anti-rEtSIR2A antibodies were used to
determine the immunolocolization of EtSIR2A in the parasite by immunofluorescence
assay (IFA). Transcript and protein expression of EtSIR2A in different
development stages of E. tenella were observed by quantitative real-time PCR
(qPCR) and western blot (WB) analysis, respectively. The recombinant plasmid
pCAGGS-EtSIR2A was constructed and its efficacy against E. tenella infection in
chickens was evaluated. RESULTS: qPCR and WB analysis revealed EtSIR2A expression
was developmentally regulated at both the mRNA and protein levels. EtSIR2A mRNA
levels were higher in unsporulated oocysts than at other developmental stages,
including sporulated oocysts, sporozoites and second-generation merozoites. In
contrast, EtSIR2A protein expression levels were highest in second-generation
merozoites, moderate in unsporulated oocysts and sporulated oocysts and lowest in
sporozoites. Immunostaining with anti-rEtSIR2A antibody indicated that EtSIR2A
was mainly located in the cytoplasm of sporozoites and second-generation
merozoites, and was strongly expressed during first stage schizogony. Animal
challenge experiments demonstrated that immunization with pCAGGS-EtSIR2A
significantly increased average body-weight gain, and decreased mean lesion score
and oocyst output in chickens. CONCLUSIONS: These results suggest that EtSIR2A
may play an important role in parasite cell survival and may be an effective
candidate for the development of new vaccines against E. tenella infection in
chickens.
PMID- 27884173
TI - Revisiting the morbid genome of Mendelian disorders.
AB - BACKGROUND: The pathogenicity of many Mendelian variants has been challenged by
large-scale sequencing efforts. However, many rare and benign "disease mutations"
are difficult to analyze due to their rarity. The Saudi Arabian variome is
enriched for homozygosity due to inbreeding, a key advantage that can be
exploited for the critical examination of previously published variants. RESULTS:
We collated all "disease-related mutations" listed in the Human Gene Mutation
Database (HGMD) and ClinVar, including "variants of uncertain significance"
(VOUS). We find that the use of public databases including 1000 Genomes, ExAC,
and Kaviar can reclassify many of these variants as likely benign. Our Saudi
Human Genome Program (SHGP) can reclassify many variants that are rare in public
databases. Furthermore, SGPD allows us to observe many previously reported
variants in the homozygous state and our extensive phenotyping of participants
makes it possible to demonstrate the lack of phenotype for these variants, thus
challenging their pathogenicity despite their rarity. We also find that 18 VOUS
BRCA1 and BRCA2 variants that are listed in BRCA Exchange are present at least
once in the homozygous state in patients who lack features of Fanconi anemia.
Reassuringly, we could reciprocally demonstrate that none of those labeled as
"pathogenic" were observed in the homozygous statue in individuals who lack
Fanconi phenotype in our database. CONCLUSION: Our study shows the importance of
revisiting disease-related databases using public resources as well as of
population-specific resources to improve the specificity of the morbid genome of
Mendelian diseases in humans.
PMID- 27884174
TI - Comparative morphological and molecular analysis confirms the presence of the
West Nile virus mosquito vector, Culex univittatus, in the Iberian Peninsula.
AB - BACKGROUND: Culex univittatus and Culex perexiguus mosquitoes (Diptera:
Culicidae) are competent arbovirus vectors, but with unclear morphological
differentiation. In Europe, and in the Iberian Peninsula in particular, the
presence of either or both species is controversial. However, in order to conduct
adequate surveillance for arboviruses in this region, it is crucial to clarify
whether Cx. univittatus is present or not, as well as to critically assess
existing differentiation tools. This study aimed to clarify this situation, by
morphological and molecular phylogenetic comparison of Iberian specimens deemed
as Cx. univittatus, with others of South African origin, i.e. from the type
locality region. METHODS: Thus, morphological characteristics useful to
distinguish both species, such as midfemur pale line, hindfemur R ratio, seta g
R1 ratio, seta f shape, length of ventral arm of phalosome and number of setae on
IX tergal abdominal segment, were observed. A phylogenetic analysis based on cox1
mtDNA, of which there were no sequences from Cx. univittatus yet available in the
GenBank database, was performed. RESULTS: This analysis showed that Iberian and
South African specimens are morphologically similar, except for the length of the
ventral arm of the phalosome, which was higher in the Iberian specimens. Although
the Iberian specimens could not be accurately identified using BOLD Systems,
phylogenetic analysis still grouped these closer to South African Cx.
univittatus, than to Cx. perexiguus from Turkey and Pakistan, despite the
observed segregation of both taxa as two individual monophyletic clusters with
shared common ancestry. CONCLUSIONS: This survey demonstrates that the West Nile
virus vector Cx. univittatus is present in the Iberian Peninsula.
PMID- 27884175
TI - Network meta-analyses performed by contracting companies and commissioned by
industry.
AB - BACKGROUND: Industry commissions contracting companies to perform network meta
analysis for health technology assessment (HTA) and reimbursement submissions.
Our objective was to estimate the number of network meta-analyses performed by
consulting companies contracted by industry, to assess whether they were
published, and to explore reasons for non-publication. METHODS: We searched
MEDLINE for network meta-analyses of randomized trials. Papers were included if
they had authors affiliated with any contracting company. All identified
contracting companies as well as additional ones from the list of the exhibitors
at the International Society for Pharmacoeconomics and Outcomes Research, an
annual meeting that representatives from many contracting companies attend and
exhibit at, were surveyed regarding conduct and publication of network meta
analyses. RESULTS: In 162 of 822 (20%) network meta-analysis papers, authors were
affiliated to 66 contracting companies. Another 36 contracting companies were
identified by the exhibitors list. Three companies had no contact information and
six merged with others, therefore 93 companies were contacted. Thirty seven out
of ninety three (40%) companies responded, and 19 indicated that they had
performed a total of 476 network meta-analyses, but only 102 (21%) papers were
published. Thirteen companies that disclosed to have conducted 174 network meta
analyses (45 published) provided reasons for non-publication. Of the 129 still
unpublished meta-analyses, for 40 there were plans for future publication, for 37
the sponsor did not allow publication, for 16 the contracting companies did not
plan to publish the meta-analysis, for another 23 plans were unclear, and the
remaining 13 were used as HTA submission. The protocol of the network meta
analysis was publically available from 11/162 (6.8%) network meta-analyses
published by authors affiliated with contracting companies. CONCLUSIONS: There is
a prolific sector of professional contracting companies that perform network meta
analyses. Industry commissions many network meta-analyses, but most are not
registered before or published after analyses in the scientific literature.
Mechanisms to improve publication rates of network meta-analysis commissioned by
industry are warranted.
PMID- 27884176
TI - Localization of bleeding sites in patients with hemoptysis based on their chest
computed tomography findings: a retrospective cohort study.
AB - BACKGROUND: The aim of this study was to propose a localization strategy for
bleeding sites in hemoptysis patients using their chest computed tomography (CT)
findings. METHODS: Between January 2005 and July 2009, the chest CT findings of
161 hemoptysis patients were retrospectively reviewed. Following chest CT, the
lobe with the most prominent ground glass attenuation (GGA) or specific lesions
with the potential to cause pulmonary hemorrhage were analysed to develop a
localization strategy for bleeding sites. Fibre optic bronchoscopy (FOB) findings
of active bleeding were used as the standard reference for the bleeding sites.
RESULTS: The concordance rate between the most prominent GGA and FOB findings was
higher than that between specific lesions and FOB findings (Kappa value [k] =
0.751 vs. 0.448, p < 0.001). Among the specific lesions, there were high
concordance rates between lung cancer and FOB findings (3/3, 100%) and fungus
balls and FOB findings (8/9, 89%). The agreement of localization of the bleeding
site between FOB findings and the localization strategy based on chest CT
findings including the most prominent GGA, lung cancer and fungus balls, showed
almost perfect (k = 0.904). CONCLUSIONS: The localization of bleeding sites in
hemoptysis patients could be determined by chest CT findings such as the most
prominent GGA, malignancy and fungus ball.
PMID- 27884177
TI - LRRK2 contributes to monocyte dysregulation in Parkinson's disease.
PMID- 27884179
TI - Factors related to renal cortical atrophy development after glucocorticoid
therapy in IgG4-related kidney disease: a retrospective multicenter study.
AB - BACKGROUND: In immunoglobulin G4-related kidney disease (IgG4-RKD), focal or
diffuse renal cortical atrophy is often observed in the clinical course after
glucocorticoid therapy. This study aimed to clarify the factors related to renal
atrophy after glucocorticoid therapy in IgG4-RKD. METHODS: We retrospectively
evaluated clinical features including laboratory data and computed tomography
(CT) findings before and after glucocorticoid therapy in 23 patients diagnosed
with IgG4-RKD, all of whom were followed up for more than 24 months. RESULTS:
Seventeen patients were men, and six were women (average age 62.0 years). Average
follow-up period was 54.9 months. The average estimated glomerular filtration
rate (eGFR) at diagnosis was 81.7 mL/min/1.73 m2. All patients had had multiple
low-density lesions on contrast-enhanced CT before glucocorticoid therapy, and
showed disappearance or reduction of these lesions after it. Pre-treatment eGFR
and serum IgE level in 11 patients in whom renal cortical atrophy developed 24
months after the start of glucocorticoid therapy were significantly different
from those in 12 patients in whom no obvious atrophy was found at that time (68.9
+/- 30.1 vs 93.5 +/- 14.1 mL/min/1.73 m2, P = 0.036, and 587 +/- 254 vs 284 +/-
263 IU/mL, P = 0.008, respectively). Pre-treatment eGFR and serum IgE level were
also significant risk factors for renal atrophy development 24 months after the
start of therapy with an odds ratio of 0.520 (per 10 mL/min/1.73 m2, 95%
confidence interval (CI) 0.273-0.993, P = 0.048) and 1.090 (per 10 IU/mL, 95% CI:
1.013-1.174, P = 0.022), respectively, in age-adjusted, sex-adjusted, serum IgG4
level-adjusted logistic regression analysis. Receiver operating characteristic
curve analysis showed that eGFR of less than 71.0 mL/min/1.73 m2 and serum IgE of
more than 436.5 IU/mL were the most appropriate cutoffs and yielded sensitivity
of 63.6% and specificity of 100%, and sensitivity of 90.9% and specificity of
75.0%, respectively, in predicting renal atrophy development. CONCLUSIONS: This
study suggests that pre-treatment renal insufficiency and serum IgE elevation
predict renal atrophy development after glucocorticoid therapy in IgG4-RKD.
PMID- 27884180
TI - The impact of mHealth interventions on health systems: a systematic review
protocol.
AB - BACKGROUND: Mobile health (mHealth) has been described as a health enabling tool
that impacts positively on the health system in terms of improved access, quality
and cost of health care. The proposed systematic review will examine the impact
of mHealth on health systems by assessing access, quality and cost of health care
as indicators. METHODS: The systematic review will include literature from
various sources including published and unpublished/grey literature. The
databases to be searched include: PubMed, Cochrane Library, Google Scholar, NHS
Health Technology Assessment Database and Web of Science. The reference lists of
studies will be screened and conference proceedings searched for additional
eligible reports. Literature to be included will have mHealth as the primary
intervention. Two authors will independently screen the search output, select
studies and extract data; discrepancies will be resolved by consensus and
discussion with the assistance of the third author. DISCUSSION: The systematic
review will inform policy makers, investors, health professionals, technologists
and engineers about the impact of mHealth in strengthening the health system. In
particular, it will focus on three metrics to determine whether mHealth
strengthens the health system, namely quality of, access to and cost of health
care services. Systematic review registration: PROSPERO CRD42015026070.
PMID- 27884178
TI - Patterns of ribosomal protein expression specify normal and malignant human
cells.
AB - BACKGROUND: Ribosomes are highly conserved molecular machines whose core
composition has traditionally been regarded as invariant. However, recent studies
have reported intriguing differences in the expression of some ribosomal proteins
(RPs) across tissues and highly specific effects on the translation of individual
mRNAs. RESULTS: To determine whether RPs are more generally linked to cell
identity, we analyze the heterogeneity of RP expression in a large set of human
tissues, primary cells, and tumors. We find that about a quarter of human RPs
exhibit tissue-specific expression and that primary hematopoietic cells display
the most complex patterns of RP expression, likely shaped by context-restricted
transcriptional regulators. Strikingly, we uncover patterns of dysregulated
expression of individual RPs across cancer types that arise through copy number
variations and are predictive for disease progression. CONCLUSIONS: Our study
reveals an unanticipated plasticity of RP expression across normal and malignant
human cell types and provides a foundation for future characterization of
cellular behaviors that are orchestrated by specific RPs.
PMID- 27884182
TI - Operations research for resource planning and -use in radiotherapy: a literature
review.
AB - BACKGROUND: The delivery of radiotherapy (RT) involves the use of rather
expensive resources and multi-disciplinary staff. As the number of cancer
patients receiving RT increases, timely delivery becomes increasingly difficult
due to the complexities related to, among others, variable patient inflow,
complex patient routing, and the joint planning of multiple resources. Operations
research (OR) methods have been successfully applied to solve many logistics
problems through the development of advanced analytical models for improved
decision making. This paper presents the state of the art in the application of
OR methods for logistics optimization in RT, at various managerial levels.
METHODS: A literature search was performed in six databases covering several
disciplines, from the medical to the technical field. Papers included in the
review were published in peer-reviewed journals from 2000 to 2015. Data
extraction includes the subject of research, the OR methods used in the study,
the extent of implementation according to a six-stage model and the (potential)
impact of the results in practice. RESULTS: From the 33 papers included in the
review, 18 addressed problems related to patient scheduling (of which 12 focus on
scheduling patients on linear accelerators), 8 focus on strategic decision
making, 5 on resource capacity planning, and 2 on patient prioritization.
Although calculating promising results, none of the papers reported a full
implementation of the model with at least a thorough pre-post performance
evaluation, indicating that, apart from possible reporting bias, implementation
rates of OR models in RT are probably low. CONCLUSIONS: The literature on OR
applications in RT covers a wide range of approaches from strategic capacity
management to operational scheduling levels, and shows that considerable benefits
in terms of both waiting times and resource utilization are likely to be
achieved. Various fields can be further developed, for instance optimizing the
coordination between the available capacity of different imaging devices or
developing scheduling models that consider the RT chain of operations as a whole
rather than the treatment machines alone.
PMID- 27884181
TI - Prevalence, type, and correlates of trauma exposure among adolescent men and
women in Soweto, South Africa: implications for HIV prevention.
AB - BACKGROUND: Youth trauma exposure is associated with syndemic HIV risk. We
measured lifetime prevalence, type, and correlates of trauma experience by gender
among adolescents living in the HIV hyper-endemic setting of Soweto, South
Africa. METHODS: Using data from the Botsha Bophelo Adolescent Health Survey
(BBAHS), prevalence of "ever" experiencing a traumatic event among adolescents
(aged 14-19) was assessed using a modified Traumatic Event Screening Inventory
Child (TESI-C) scale (19 items, study alpha = 0.63). We assessed self-reported
number of potentially traumatic events (PTEs) experienced overall and by gender.
Gender-stratified multivariable logistic regression models assessed independent
correlates of 'high PTE score' (>=7 PTEs). RESULTS: Overall, 767/830 (92%)
participants were included (58% adolescent women). Nearly all (99.7%) reported
experiencing at least one PTE. Median PTE was 7 [Q1,Q3: 5-9], with no gender
differences (p = 0.19). Adolescent men reported more violent PTEs (e.g., "seen an
act of violence in the community") whereas women reported more non-violent
HIV/AIDS-related PTEs (e.g., "family member or someone close died of HIV/AIDS").
High PTE score was independently associated with high food insecurity among
adolescent men and women (aOR = 2.63, 95%CI = 1.36-5.09; aOR = 2.57, 95%CI = 1.55
4.26, respectively). For men, high PTE score was also associated with older age
(aOR = 1.40/year, 95%CI = 1.21-1.63); and recently moving to Soweto (aOR = 2.78,
95%CI = 1.14-6.76). Among women, high PTE score was associated with depression
using the CES-D scale (aOR = 2.00, 95%CI = 1.31-3.03,) and inconsistent condom
use vs. no sexual experience (aOR = 2.69, 95%CI = 1.66-4.37). CONCLUSION: Nearly
all adolescents in this study experienced trauma, with gendered differences in
PTE types and correlates, but not prevalence. Exposure to PTEs were distributed
along social and gendered axes. Among adolescent women, associations with
depression and inconsistent condom use suggest pathways for HIV risk. HIV
prevention interventions targeting adolescents must address the syndemics of
trauma and HIV through the scale-up of gender-transformative, youth-centred,
trauma-informed integrated HIV and mental health services.
PMID- 27884185
TI - The inescapable question of fairness in Pay-for-performance bonus distribution: a
qualitative study of health workers' experiences in Tanzania.
AB - BACKGROUND: During the last decade there has been a growing concern about the
lack of results in the health sectors of many low income countries. Progress has
been particularly slow in maternal- and child health. Prompted by the need to
accelerate progress towards these health outcomes, pay-for- performance (P4P)
schemes have been initiated in a number of countries. This paper explores the
perceptions and experiences of health workers with P4P bonus distribution in the
health system context of rural Tanzania. METHODS: This qualitative study was
based on the P4P pilot in Pwani Region of Tanzania. The study took place in 11
health care facilities in Rufiji District. The study informants and participants
were different cadres of health workers assigned to different outpatient and
inpatient departments at the health facilities, and local administrators of the
P4P bonus distribution. Thirty two in-depth interviews (IDIs) with administrators
and health care workers, and six focus group discussions (FGDs with Reproductive
and Child Health (RCH) staff, non-RCH staff and non-medical staff were conducted.
Collected data was analyzed through qualitative content analysis. RESULTS: The
study found that the bonus distribution modality employed in the P4P programme
was experienced as fundamentally unjust. The bonuses were calculated according to
the centrality of the health worker position in meeting targeted indicators,
drawn from the reproductive and child health (RCH) section. Both RCH staff and
non-RCH perceived the P4P bonus as unfair. Non-RCH objected to getting less bonus
than RCH staff, and RCH staff running the targeted RCH services, objected to not
getting more P4P bonus. Non-RCH staff and health administrators suggested a flat
rate across board as the fairest way of distributing P4P bonuses. The perceived
unfairness affected work motivation, undermined teamwork across departments and
created tensions in the social relations at health facilities. CONCLUSION: Our
results suggest that the experience of unfairness in the way bonuses are
distributed and administered at the health facility level undermines the
legitimacy of the P4P scheme. More importantly, long term tensions and conflicts
at the workplace may impact negatively on the quality of care which P4P was
intended to improve. We argue that fairness is a critical factor to the success
of a P4P scheme and that particular attention should be paid to aspects of
workplace justice in the design of P4P bonus structures.
PMID- 27884183
TI - RNA-Sequencing for profiling goat milk transcriptome in colostrum and mature
milk.
AB - BACKGROUND: In this work we aimed at sequencing and assembling the goat milk
transcriptome corresponding at colostrum and 120 days of lactation. To
reconstruct transcripts we used both the genome as reference, and a de novo
assembly approach. Additionally, we aimed at identifying the differentially
expressed genes (DEGs) between the two lactation stages and at analyzing the
expression of genes involved in oligosaccharides metabolism. RESULTS: A total of
44,635 different transcripts, organized in 33,757 tentative genes, were obtained
using the goat genome as reference. A significant sequence similarity match was
found for 40,353 transcripts (90%) against the NCBI NT and for 35,701 (80%)
against the NR databases. 68% and 69% of the de novo assembled transcripts, in
colostrum and 120 days of lactation samples respectively, have a significant
match with the merged transcriptome obtained using Cufflinks/Cuffmerge. CSN2,
PAEP, CSN1S2, CSN3, LALBA, TPT1, FTH1, M-SAA3, SPP1, GLYCAM1, EEF1A1, CTSD, FASN,
RPS29, CSN1S1, KRT19 and CHEK1 were found between the top fifteen highly
expressed genes. 418 loci were differentially expressed between lactation stages,
among which 207 and 122 were significantly up- and down-regulated in colostrum,
respectively. Functional annotation and pathway enrichment analysis showed that
in goat colostrum somatic cells predominate biological processes involved in
glycolysis, carbohydrate metabolism, defense response, cytokine activity,
regulation of cell proliferation and cell death, vasculature development, while
in mature milk, biological process associated with positive regulation of
lymphocyte activation and anatomical structure morphogenesis are enriched. The
analysis of 144 different oligosaccharide metabolism-related genes showed that
most of these (64%) were more expressed in colostrum than in mature milk, with
eight expressed at very high levels (SLCA3, GMSD, NME2, SLC2A1, B4GALT1, B3GNT2,
NANS, HEXB). CONCLUSIONS: To our knowledge, this is the first study comparing
goat transcriptome of two lactation stages: colostrum and 120 days. Our findings
suggest putative differences of expression between stages and can be envisioned
as a base for further research in the topic. Moreover because a higher expression
of genes involved in immune defense response, carbohydrate metabolism and related
to oligosaccharide metabolism was identified in colostrum we here corroborate the
potential of goat milk as a natural source of lactose-derived oligosaccharides
and for the development of functional foods.
PMID- 27884186
TI - General practitioners in German metropolitan areas - distribution patterns and
their relationship with area level measures of the socioeconomic status.
AB - BACKGROUND: Geographical variation of the general practitioner (GP) workforce is
known between rural and urban areas. However, data about the variation between
and within urban areas are lacking. METHOD: We analyzed distribution patterns of
GP full time equivalents (FTE) in German cities with a population size of more
than 500,000. We correlated their distribution with area measures of social
deprivation in order to analyze preferences within neighborhood characteristics.
For this purpose, we developed two area measures of deprivation: Geodemographic
Index (GDI) and Cultureeconomic Index (CEI). RESULTS: In total n = 9034.75 FTE
were included in n = 14 cities with n = 171 districts. FTE were distributed
equally on inter-city level (mean: 6.49; range: 5.12-7.20; SD: 0.51). However, on
intra-city level, GP distribution was skewed (mean: 6.54; range: 1.80-43.98; SD:
3.62). Distribution patterns of FTE per 10^4 residents were significantly
correlated with GDI (r = -0.49; p < 0.001) and CEI (r = -0.22; p = 0.005).
Therefore, location choices of GPs were mainly positively correlated with 1)
central location (r = -0.50; p < 0.001), 2) small household size of population (r
= -0.50; p < 0.001) and 3) population density (r = 0.35; p < 0.001). CONCLUSION:
Intra-city distribution of GPs was skewed, which could affect the equality of
access for the urban population. Furthermore, health services planners should be
aware of GP location preferences. This could be helpful to better understand and
plan delivery of health services. Within this process the presented
Geodemographic Index (GDI) could be of use.
PMID- 27884184
TI - Dietary patterns of early childhood and maternal socioeconomic status in a unique
prospective sample from a randomized controlled trial of Prenatal DHA
Supplementation.
AB - BACKGROUND: Dietary habits established in early childhood and maternal
socioeconomic status (SES) are important, complex, interrelated factors that
influence a child's growth and development. The aim of this study was to define
the major dietary patterns in a cohort of young US children, construct a maternal
SES index, and evaluate their associations. METHODS: The diets of 190 children
from a randomized, controlled trial of prenatal supplementation of
docosahexaenoic acid (DHA) were recorded at 6-mo intervals from 2-4.5 years by 24
h dietary recall. Hierarchical cluster analysis of age-adjusted, average daily
intake of 24 food and beverage groups was used to categorize diet. Unrotated
factor analysis generated an SES score from maternal race, ethnicity, age,
education, and neighborhood income. RESULTS: We identified two major dietary
patterns: "Prudent" and "Western." The 85 (45%) children with a Prudent diet
consumed more whole grains, fruit, yogurt and low-fat milk, green and non-starchy
vegetables, and nuts and seeds. Conversely, those with a Western diet had greater
intake of red meat, discretionary fat and condiments, sweet beverages, refined
grains, French fries and potato chips, eggs, starchy vegetables, processed meats,
chicken and seafood, and whole-fat milk. Compared to a Western diet, a Prudent
diet was associated with one standard deviation higher maternal SES (95% CI: 0.80
to 1.30). CONCLUSIONS: We found two major dietary patterns of young US children
and defined a single, continuous axis of maternal SES that differed strongly
between groups. This is an important first step to investigate how child diet,
SES, and prenatal DHA supplementation interact to influence health outcomes.
TRIAL REGISTRATION: NCT00266825 . Prospectively registered on December 15, 2005.
PMID- 27884187
TI - A simulation study to quantify the impacts of exposure measurement error on air
pollution health risk estimates in copollutant time-series models.
AB - BACKGROUND: Exposure measurement error in copollutant epidemiologic models has
the potential to introduce bias in relative risk (RR) estimates. A simulation
study was conducted using empirical data to quantify the impact of correlated
measurement errors in time-series analyses of air pollution and health. METHODS:
ZIP-code level estimates of exposure for six pollutants (CO, NOx, EC, PM2.5, SO4,
O3) from 1999 to 2002 in the Atlanta metropolitan area were used to calculate
spatial, population (i.e. ambient versus personal), and total exposure
measurement error. Empirically determined covariance of pollutant concentration
pairs and the associated measurement errors were used to simulate true exposure
(exposure without error) from observed exposure. Daily emergency department
visits for respiratory diseases were simulated using a Poisson time-series model
with a main pollutant RR = 1.05 per interquartile range, and a null association
for the copollutant (RR = 1). Monte Carlo experiments were used to evaluate the
impacts of correlated exposure errors of different copollutant pairs. RESULTS:
Substantial attenuation of RRs due to exposure error was evident in nearly all
copollutant pairs studied, ranging from 10 to 40% attenuation for spatial error,
3-85% for population error, and 31-85% for total error. When CO, NOx or EC is the
main pollutant, we demonstrated the possibility of false positives, specifically
identifying significant, positive associations for copollutants based on the
estimated type I error rate. CONCLUSIONS: The impact of exposure error must be
considered when interpreting results of copollutant epidemiologic models, due to
the possibility of attenuation of main pollutant RRs and the increased
probability of false positives when measurement error is present.
PMID- 27884190
TI - How do you design randomised trials for smaller populations? A framework.
AB - How should we approach trial design when we can get some, but not all, of the way
to the numbers required for a randomised phase III trial?We present an ordered
framework for designing randomised trials to address the problem when the ideal
sample size is considered larger than the number of participants that can be
recruited in a reasonable time frame. Staying with the frequentist approach that
is well accepted and understood in large trials, we propose a framework that
includes small alterations to the design parameters. These aim to increase the
numbers achievable and also potentially reduce the sample size target. The first
step should always be to attempt to extend collaborations, consider broadening
eligibility criteria and increase the accrual time or follow-up time. The second
set of ordered considerations are the choice of research arm, outcome measures,
power and target effect. If the revised design is still not feasible, in the
third step we propose moving from two- to one-sided significance tests, changing
the type I error rate, using covariate information at the design stage, re
randomising patients and borrowing external information.We discuss the benefits
of some of these possible changes and warn against others. We illustrate, with a
worked example based on the Euramos-1 trial, the application of this framework in
designing a trial that is feasible, while still providing a good evidence base to
evaluate a research treatment.This framework would allow appropriate evaluation
of treatments when large-scale phase III trials are not possible, but where the
need for high-quality randomised data is as pressing as it is for common
diseases.
PMID- 27884189
TI - SParSE++: improved event-based stochastic parameter search.
AB - BACKGROUND: Despite the increasing availability of high performance computing
capabilities, analysis and characterization of stochastic biochemical systems
remain a computational challenge. To address this challenge, the Stochastic
Parameter Search for Events (SParSE) was developed to automatically identify
reaction rates that yield a probabilistic user-specified event. SParSE consists
of three main components: the multi-level cross-entropy method, which identifies
biasing parameters to push the system toward the event of interest, the related
inverse biasing method, and an optional interpolation of identified parameters.
While effective for many examples, SParSE depends on the existence of a
sufficient amount of intrinsic stochasticity in the system of interest. In the
absence of this stochasticity, SParSE can either converge slowly or not at all.
RESULTS: We have developed SParSE++, a substantially improved algorithm for
characterizing target events in terms of system parameters. SParSE++ makes use of
a series of novel parameter leaping methods that accelerate the convergence rate
to the target event, particularly in low stochasticity cases. In addition, the
interpolation stage is modified to compute multiple interpolants and to choose
the optimal one in a statistically rigorous manner. We demonstrate the
performance of SParSE++ on four example systems: a birth-death process, a
reversible isomerization model, SIRS disease dynamics, and a yeast polarization
model. In all four cases, SParSE++ shows significantly improved computational
efficiency over SParSE, with the largest improvements resulting from analyses
with the strictest error tolerances. CONCLUSIONS: As researchers continue to
model realistic biochemical systems, the need for efficient methods to
characterize target events will grow. The algorithmic advancements provided by
SParSE++ fulfill this need, enabling characterization of computationally
intensive biochemical events that are currently resistant to analysis.
PMID- 27884191
TI - Gender differences in load carriage injuries of Australian army soldiers.
AB - BACKGROUND: With the removal of gender restrictions and the changing nature of
warfare potentially increasing female soldier exposure to heavy military load
carriage, the aim of this research was to determine relative risks and patterns
of load carriage related injuries in female compared to male soldiers. METHODS:
The Australian Defence Force Occupational Health, Safety and Compensation
Analysis and Reporting workplace injury database was searched to identify all
reported load carriage injuries. Using key search terms, the narrative
description fields were used as the search medium to identify records of
interest. Population estimates of the female: male incident rate ratio (IRR) were
calculated with ninety-five percent confidence interval (95% CI) around the
population estimate of each IRR determined. RESULTS: Female soldiers sustained
10% (n = 40) of the 401 reported injuries, with a female to male IRR of 1.02 (95%
CI 0.74 to 1.41). The most common site of injury for both genders was the back
(F: n = 11, 27%; M: n = 80, 22%), followed by the foot in female soldiers (n = 8,
20%) and the ankle (n = 60, 17%) in male soldiers. Fifteen percent (n = 6) of
injuries in female soldiers and 6% (n = 23) of injuries in males were classified
as Serious Personal Injuries (SPI) with the lower back the leading site for both
genders (F: n = 3, 43%: M: n = 8, 29%). The injury risk ratio of SPI for female
compared to male soldiers was 2.40 (95% CI 0.98 to 5.88). CONCLUSIONS: While both
genders similarly have the lower back as the leading site of injury while
carrying load, female soldiers have more injuries to the foot as the second
leading site of injury, as opposed to ankle injuries in males. The typically
smaller statures of female soldiers may have predisposed them to their observed
higher risk of suffering SPI while carrying loads.
PMID- 27884192
TI - Role of cytochrome c in alpha-synuclein radical formation: implications of alpha
synuclein in neuronal death in Maneb- and paraquat-induced model of Parkinson's
disease.
AB - BACKGROUND: The pathological features of Parkinson's disease (PD) include an
abnormal accumulation of alpha-synuclein in the surviving dopaminergic neurons.
Though PD is multifactorial, several epidemiological reports show an increased
incidence of PD with co-exposure to pesticides such as Maneb and paraquat (MP).
In pesticide-related PD, mitochondrial dysfunction and alpha-synuclein oligomers
have been strongly implicated, but the link between the two has not yet been
understood. Similarly, the biological effects of alpha-synuclein or its radical
chemistry in PD is largely unknown. Mitochondrial dysfunction during PD
pathogenesis leads to release of cytochrome c in the cytosol. Once in the
cytosol, cytochrome c has one of two fates: It either binds to apaf1 and
initiates apoptosis or can act as a peroxidase. We hypothesized that as a
peroxidase, cytochrome c leaked out from mitochondria can form radicals on alpha
synuclein and initiate its oligomerization. METHOD: Samples from controls, and MP
co-exposed wild-type and alpha-synuclein knockout mice were studied using immuno
spin trapping, confocal microscopy, immunohistochemistry, and microarray
experiments. RESULTS: Experiments with MP co-exposed mice showed cytochrome c
release in cytosol and its co-localization with alpha-synuclein. Subsequently, we
used immuno-spin trapping method to detect the formation of alpha-synuclein
radical in samples from an in vitro reaction mixture consisting of cytochrome c,
alpha-synuclein, and hydrogen peroxide. These experiments indicated that
cytochrome c plays a role in alpha-synuclein radical formation and
oligomerization. Experiments with MP co-exposed alpha-synuclein knockout mice, in
which cytochrome c-alpha synuclein co-localization and interaction cannot occur,
mice showed diminished protein radical formation and neuronal death, compared to
wild-type MP co-exposed mice. Microarray data from MP co-exposed wild-type and
alpha-synuclein knockout mice further showed that the absence of alpha-synuclein
per se or its co-localization with cytochrome c confers protection from MP co
exposure, as several important pathways were unaffected in alpha-synuclein
knockout mice. CONCLUSIONS: Altogether, these results show that peroxidase
activity of cytochrome c contributes to alpha-synuclein radical formation and
oligomerization, and that alpha-synuclein, through its co-localization with
cytochrome c or on its own, affects several biological pathways which contribute
to increased neuronal death in an MP-induced model of PD.
PMID- 27884188
TI - Dietary patterns are associated with lung function among Spanish smokers without
respiratory disease.
AB - BACKGROUND: Diet can help preserve lung function in smokers, in addition to
avoidance of smoking. The study aimed to evaluate associations between dietary
patterns and lung function in smokers without respiratory disease. METHODS: This
cross-sectional study analysed baseline data from randomised representative
smokers without respiratory disease (n = 207, aged 35-70 years), selected from 20
primary health-care centres. Participants completed a validated semi-quantitative
food-frequency questionnaire. Dietary patterns were identified by Principal
Component Analysis (PCA). Impaired lung function was defined as FVC <80% and/or
FEV1 < 80% of predicted value and/or FEV1/FVC <0.7. Associations were determined
by logistic regression. RESULTS: Three major dietary patterns were identified. In
multivariate-adjusted model, impaired lung function was associated with the
Alcohol-consumption pattern (OR 4.56, 95% CI 1.58-13.18), especially in women (OR
11.47, 95% CI 2.25-58.47), and with the Westernised pattern in women (OR 5.62,
95% CI 1.17-27.02), whereas it not was associated with the Mediterranean-like
pattern (OR 0.71, 95% CI 0.28-1.79). CONCLUSION: In smokers without respiratory
disease, the Alcohol-consumption pattern and the Westernised pattern are
associated with impaired lung function, especially in women. The Mediterranean
like pattern appears to be associated with preserved lung function because no
statistical association is observed with impaired lung function. In addition to
smoking cessation, modifying dietary patterns has possible clinical application
to preserve lung function.
PMID- 27884193
TI - Reorganising specialist cancer surgery for the twenty-first century: a mixed
methods evaluation (RESPECT-21).
AB - BACKGROUND: There are longstanding recommendations to centralise specialist
healthcare services, citing the potential to reduce variations in care and
improve patient outcomes. Current activity to centralise specialist cancer
surgical services in two areas of England provides an opportunity to study the
planning, implementation and outcomes of such changes. London Cancer and
Manchester Cancer are centralising specialist surgical pathways for prostate,
bladder, renal, and oesophago-gastric cancers, so that these services are
provided in fewer hospitals. The centralisations in London were implemented
between November 2015 and April 2016, while implementation in Manchester is
anticipated in 2017. METHODS/DESIGN: This mixed methods evaluation will analyse
stakeholder preferences for centralisations; it will use qualitative methods to
analyse planning, implementation and sustainability of the centralisations ('how
and why?'); and it will use a controlled before and after design to study the
impact of centralisation on clinical processes, clinical outcomes, cost
effectiveness and patient experience ('what works and at what cost?'). The study
will use a framework developed in previous research on major system change in
acute stroke services. A discrete choice experiment will examine patient, public
and professional preferences for centralisations of this kind. Qualitative
methods will include documentary analysis, stakeholder interviews and non
participant observations of meetings. Quantitative methods will include analysis
of local and national data on clinical processes, outcomes, costs and National
Cancer Patient Experience Survey data. Finally, we will hold a workshop for those
involved in centralisations of specialist services in other settings to discuss
how these lessons might apply more widely. DISCUSSION: This multi-site study will
address gaps in the evidence on stakeholder preferences for centralisations of
specialist cancer surgery and the processes, impact and cost-effectiveness of
changes of this kind. With increasing drives to centralise specialist services,
lessons from this study will be of value to those who commission, organise and
manage cancer services, as well as services for other conditions and in other
settings. The study will face challenges in terms of recruitment, the
retrospective analysis of some of the changes, the distinction between primary
and secondary outcome measures, and obtaining information on the resources spent
on the reconfiguration.
PMID- 27884194
TI - Global health education in Germany: an analysis of current capacity, needs and
barriers.
AB - BACKGROUND: In times of increasing global challenges to health, it is crucial to
create a workforce capable of tackling these complex issues. Even though a lack
of GHE in Germany is perceived by multiple stakeholders, no systematic analysis
of the current landscape exists. The aim of this study is to provide an analysis
of the global health education (GHE) capacity in Germany as well as to identify
gaps, barriers and future strategies. METHODS: An online search in combination
with information provided by student representatives, course coordinators and
lecturers was used to create an overview of the current GHE landscape in Germany.
Additionally, a semi-structured questionnaire was sent to GHE educators and
students engaged in global health (GH) to assess the capacity of German GHE, its
barriers and suggested strategies for the future. RESULTS: A total of 33 GHE
activities were identified at 18 German universities. Even though medical schools
are the main provider of GHE (42%), out of 38 medical schools, only 13 (34%)
offer any kind of GHE. Modules offered for students of other health-related
professions constitute 27% of all activities. Most survey respondents (92%, n =
48) consider current GHE activities in Germany insufficient. Suggested formats
were GHE as part of medical curricula (82%, n = 45) and dual degree MD/MPH or PhD
programs. Most important barriers mentioned were low priority of GH at faculties
and academic management levels (n = 41, 75%) as well as lack of necessary
institutional structures (n = 33, 60%). CONCLUSIONS: Despite some innovative
academic approaches, there is clearly a need for more systematic GHE in Germany.
GHE educators and students can take an important role advocating for more
awareness at university management level and suggesting ways to institutionalize
GHE to overcome barriers. This study provides key evidence, relevant perceptions
and suggestions to strengthen GHE in Germany.
PMID- 27884195
TI - Lymph node ratio as a prognostic factor in patients with pathological N2 non
small cell lung cancer.
AB - BACKGROUND: The aim of this study was to investigate whether the lymph node ratio
(LNR) was associated with the prognosis of patients, who underwent surgery for
pathological N2 non-small cell lung cancer (NSCLC). METHODS: A total of 182
patients were diagnosed with pathological N2 disease and underwent complete
resection surgeries with systematic lymphadenectomies. We counted the number of
positives and removed lymph nodes to calculate a ratio between them (LNR). We
also investigated the association between skip mediastinal lymph node metastasis
and survival. RESULTS: Univariate analysis of survival in patients with N2 NSCLC
showed that the T factor, clinical N factor, and LNR were significant prognostic
factors. Multivariate analyses showed that the clinical N stage and LNR were
significant independent prognostic factors for patients with pathological N2
NSCLC. Patients with a clinical lymph node status of 0 (cN0) and LNR <=0.22
showed a significantly higher survival rate than patients with a cN1-2 and LNR
>=0.22 and 5-year survival rates were 47.1 and 10.3%, respectively (p < 0.0001).
CONCLUSIONS: LNR is an important prognostic factor for poor outcome following
surgery in patients with N2 disease. The combination of the LNR and cN status
provides a valuable prognostic tool.
PMID- 27884196
TI - Palliative treatment of pelvic bone tumors using radioiodine (125I)
brachytherapy.
AB - BACKGROUND: Complete resection of pelvic bone tumors, especially recurrent and
metastatic ones, is often impossible to achieve using conventional surgery. This
study aimed to assess the benefits and adverse effects of computed tomography
(CT)-guided radioiodine (125I) brachytherapy for inoperable recurrent and
metastatic bone tumors of the pelvis. METHODS: This was a retrospective study of
22 patients with confirmed pelvic bone tumors (10 females and 12 males; 15-84
years; 21 with primary pelvic tumor and one with pelvic metastasis). CT-guided
125I brachytherapy was performed using 9-21 125I seeds (radioactivity of 0.5-0.7
mCi). Seed implantation was validated by postoperative CT scanning.
Complications, pain, survival, and CT-estimated tumor size were carried out to
evaluate the therapeutic benefits. RESULTS: Postoperative CT scans revealed
satisfactory 125I seed implantation, and the radiation dose delivered to 90% of
the target area (D90) was higher than the prescription dose (PD). No obvious
complications were observed. Pain was reported by 19 of 22 patients, but 17
reported pain relief after implantation. Follow-up ranged 8-27 (median, 19)
months. Tumor size was reduced in 11 patients within 1 month after surgery, nine
patients showed no change, and tumor size increased in two patients. Finally, 1-
and 2-year survival was 81.8 and 45.5%, respectively; 1- and 2-year local tumor
control rates were 59.1 and 36.4%, respectively. CONCLUSIONS: 125I seed
implantation significantly reduced bone tumor size and relieved pain, with a low
complication rate. These findings suggest that 125I brachytherapy treatment could
be a useful palliative approach for pelvic bone tumor treatment.
PMID- 27884197
TI - Molecular survey and characterization of a novel Anaplasma species closely
related to Anaplasma capra in ticks, northwestern China.
AB - BACKGROUND: Anaplasma spp. are tick-transmitted bacteria that infect a wide
variety of wild and domestic animals. These pathogens exhibit a high degree of
biological diversity, broad geographical distribution, and represent a serious
threat to veterinary and public health worldwide. RESULTS: A novel Anaplasma
species was identified in Haemaphysalis qinghaiensis (Ixodidae) in northwestern
China and was molecularly characterized by comparison of 16S rRNA, gltA, and
groEL gene sequences. Of the 414 samples tested, 24 (5.8%) were positive for this
Anaplasma species. On the basis of the 16S rRNA gene, this organism has been
found to be closely related to and exhibit the highest sequence similarity with
A. capra (99.8-99.9%) that was identified in goats and humans in northern China,
but was distinct from other known Anaplasma species. Sequence analysis of the
gltA and groEL genes revealed that this Anaplasma species was distinct from A.
capra considering the lower sequence identity (88.6-88.7% for gltA and 90.6-91.0%
for groEL) and a divergent phylogenetic position. Therefore, we described this
Anaplasma species as A. capra-like bacteria. CONCLUSIONS: The present study
reports a potential novel Anaplasma species closely related to A. capra in H.
qinghaiensis in northwestern China. The zoonotic potential of A. capra-like
bacteria needs to be further determined.
PMID- 27884200
TI - A three-stage procedure using bone transportation for the treatment of
sternoclavicular infectious arthritis.
AB - BACKGROUND: Sternoclavicular joint (SCJ) infectious arthritis is a rare disease.
A standard treatment for SCJ infection has not been established. This study aimed
to assess the clinical outcomes of a three-stage procedure with bone
transportation (BT) for treating SCJ infectious arthritis. METHODS: Six patients
(mean age 39.5 years) with chronic SCJ infectious arthritis were included in the
study. The patients underwent a three-stage treatment between January 2009 and
December 2012, and results were analyzed retrospectively. Following debridement,
immediate flap closure was conducted, and BT of the clavicle was performed to
fill the gap using a monolateral external fixator. SCJ reconstruction with a
tendon autograft was performed, and the external fixator was finally removed.
Clinical outcomes were evaluated using Disabilities of the Arm, Shoulder, and
Hand (DASH) scores and Constant scores. The average follow-up period was 16
months (range 12-36 months). RESULTS: The DASH scores decreased from 53.6 +/- 4.9
preoperatively to 24.4 +/- 3.1 postoperatively. The Constant scores for pain,
activity level, positioning, strength, and range of motion were significantly
high after the treatment. The total Constant score improved from 32.5 +/- 5.8
preoperatively to 76.7 +/- 6.4 postoperatively. All patients were satisfied with
the therapeutic effect. No complications occurred. CONCLUSIONS: The three-stage
procedure with BT improves shoulder function and movement and relieves pain. It
is an effective and safe method for treating SCJ infectious arthritis.
PMID- 27884199
TI - A bibliometric analysis of literature on malaria vector resistance: (1996 -
2015).
AB - BACKGROUND: Emergence of insecticide resistance in malaria vectors is a real
threat to future goals of elimination and control of malaria. Therefore, the
objective of this study was to assess research trend on insecticide resistance of
Anopheles mosquito. In specific, number of publications, countries, institutions,
and authors' research profile, citation analysis, international collaborations,
and impact of journals publishing documents on insecticide resistance will be
presented. It was conducted via Scopus search engine which was used to retrieve
relevant data. Keywords used were based on literature available on this topic.
The duration of study was set from 1996-2015. RESULTS: A total of 616 documents,
mainly as original research articles (n = 569; 92.37%) were retrieved. The
average number of citations per article was 26.36. Poisson log-linear regression
analysis indicated that there was a 6.00% increase in the number of publications
for each extra article on pyrethroid resistance. A total of 82 different
countries and 1922 authors participated in publishing retrieved articles. The
United Kingdom (UK) ranked first in number of publications followed by the United
States of America (USA) and France. The top ten productive countries included
seven African countries. The UK had collaborations mostly with Benin (relative
link strength = 46). A total of 1817 institution/ organizations participated in
the publication of retrieved articles. The most active institution/ organization
was Liverpool School of Tropical Medicine. Retrieved articles were published in
134 different scientific peer reviewed journals. The journal that published most
on this topic was Malaria Journal (n = 101; 16.4%). Four of the top active
authors were from South Africa and two were from the UK. Three of the top ten
cited articles were published in Insect Molecular Biology journal. Six articles
were about pyrethroid resistance and at least two were about DDT resistance.
CONCLUSION: Publications on insecticide resistance in malaria vector has gained
momentum in the past decade. International collaborations enhanced the knowledge
about the situation of vector resistance in countries with endemic malaria.
Molecular biology of insecticide resistance is the key issue in understanding and
overcoming this emerging problems.
PMID- 27884198
TI - Use of poly ADP-ribose polymerase [PARP] inhibitors in cancer cells bearing DDR
defects: the rationale for their inclusion in the clinic.
AB - BACKGROUND: DNA damage response (DDR) defects imply genomic instability and favor
tumor progression but make the cells vulnerable to the pharmacological inhibition
of the DNA repairing enzymes. Targeting cellular proteins like PARPs, which
cooperate and complement molecular defects of the DDR process, induces a specific
lethality in DDR defective cancer cells and represents an anti-cancer strategy.
Normal cells can tolerate the DNA damage generated by PARP inhibition because of
an efficient homologous recombination mechanism (HR); in contrast, cancer cells
with a deficient HR are unable to manage the DSBs and appear especially sensitive
to the PARP inhibitors (PARPi) effects. MAIN BODY: In this review we discuss the
proof of concept for the use of PARPi in different cancer types and the success
and failure of their inclusion in clinical trials. The PARP inhibitor Olaparib
[AZD2281] has been approved by the FDA for use in pretreated ovarian cancer
patients with defective BRCA1/2 genes, and by the EMEA for maintenance therapy in
platinum sensitive ovarian cancer patients with defective BRCA1/2 genes. BRCA
mutations are now recognised as the molecular targets for PARPi sensitivity in
several tumors. However, it is noteworthy that the use of PARPi has shown its
efficacy also in non-BRCA related tumors. Several trials are ongoing to test
different PARPi in different cancer types. Here we review the concept of BRCAness
and the functional loss of proteins involved in DDR/HR mechanisms in cancer,
including additional molecules that can influence the cancer cells sensitivity to
PARPi. Given the complexity of the existing crosstalk between different DNA
repair pathways, it is likely that a single biomarker may not be sufficient to
predict the benefit of PARP inhibitors therapies. Novel general assays able to
predict the DDR/HR proficiency in cancer cells and the PARPi sensitivity
represent a challenge for a personalized therapy. CONCLUSIONS: PARP inhibition is
a potentially important strategy for managing a significant subset of tumors. The
discovery of both germline and somatic DNA repair deficiencies in different
cancer patients, together with the development of new PARP inhibitors that can
kill selectively cancer cells is a potent example of targeting therapy to
molecularly defined tumor subtypes.
PMID- 27884201
TI - Nickel pyrithione induces apoptosis in chronic myeloid leukemia cells resistant
to imatinib via both Bcr/Abl-dependent and Bcr/Abl-independent mechanisms.
AB - BACKGROUND: Acquired imatinib (IM) resistance is frequently characterized by Bcr
Abl mutations that affect IM binding and kinase inhibition in patients with
chronic myelogenous leukemia (CML). Bcr-Abl-T315I mutation is the predominant
mechanism of the acquired resistance to IM. Therefore, it is urgent to search for
additional approaches and targeting strategies to overcome IM resistance. We
recently reported that nickel pyrithione (NiPT) potently inhibits the ubiquitin
proteasome system via targeting the 19S proteasome-associated deubiquitinases
(UCHL5 and USP14), without effecting on the 20S proteasome. In this present
study, we investigated the effect of NiPT, a novel proteasomal deubiquitinase
inhibitor, on cell survival or apoptosis in CML cells bearing Bcr-Abl-T315I or
wild-type Bcr-Abl. METHODS: Cell viability was examined by MTS assay and trypan
blue exclusion staining assay in KBM5, KBM5R, K562, BaF3-p210-WT, BaF3-p210-T315I
cells, and CML patients' bone marrow samples treated with NiPT. Cell apoptosis in
CML cells was detected with Annexin V-FITC/PI and rhodamine-123 staining followed
by fluorescence microscopy and flow cytometry and with western blot analyses for
apoptosis-associated proteins. Expression levels of Bcr-Abl in CML cells were
analyzed by using western blotting and real-time PCR. The 20S proteasome
peptidase activity was measured using specific fluorogenic substrate. Active-site
directed labeling of proteasomal DUBs, as well as the phosphorylation of USP14
was used for evaluating the inhibition of the DUBs activity by NiPT. Mouse
xenograft models of KBM5 and KBM5R cells were analyzed, and Bcr-Abl-related
proteins and protein biomarkers related to proliferation, differentiation, and
adhesion in tumor tissues were detected by western blots and/or
immunohistological analyses. RESULTS: NiPT induced apoptosis in CML cells and
inhibited the growth of IM-resistant Bcr-Abl-T315I xenografts in nude mice.
Mechanistically, NiPT induced decreases in Bcr-Abl proteins, which were
associated with downregulation of Bcr-Abl transcription and with the cleavage of
Bcr-Abl protein by activated caspases. NiPT-induced ubiquitin proteasome system
inhibition induced caspase activation in both IM-resistant and IM-sensitive CML
cells, and the caspase activation was required for NiPT-induced Bcr-Abl
downregulation and apoptotic cell death. CONCLUSIONS: These findings support that
NiPT can overcome IM resistance through both Bcr-Abl-dependent and Bcr-Abl
independent mechanisms, providing potentially a new option for CML treatment.
PMID- 27884202
TI - Extending colonic mucosal microbiome analysis-assessment of colonic lavage as a
proxy for endoscopic colonic biopsies.
AB - BACKGROUND: Sequencing-based analysis has become a well-established approach to
deciphering the composition of the gut microbiota. However, due to the complexity
of accessing sufficient material from colonoscopic biopsy samples, most studies
have focused on faecal microbiota analysis, even though it is recognised that
differences exist between the microbial composition of colonic biopsies and
faecal samples. We determined the suitability of colonic lavage samples to see if
it had comparable microbial diversity composition to colonic biopsies as they are
without the limitations associated with sample size. We collected paired colonic
biopsies and lavage samples from subjects who were attending for colorectal
cancer screening colonoscopy. RESULTS: Next-generation sequencing and qPCR
validation were performed with multiple bioinformatics analyses to determine the
composition and predict function of the microbiota. Colonic lavage samples
contained significantly higher numbers of operational taxonomic units (OTUs)
compared to corresponding biopsy samples, however, diversity and evenness between
lavage and biopsy samples were similar. The differences seen were driven by the
presence of 12 OTUs which were in higher relative abundance in biopsies and were
either not present or in low relative abundance in lavage samples, whilst a
further 3 OTUs were present in higher amounts in the lavage samples compared to
biopsy samples. However, predicted functional community profiling based on 16S
ribosomal ribonucleic acid (rRNA) data indicated minimal differences between
sample types. CONCLUSIONS: We propose that colonic lavage samples provide a
relatively accurate representation of biopsy microbiota composition and should be
considered where biopsy size is an issue.
PMID- 27884203
TI - A system dynamics model of clinical decision thresholds for the detection of
developmental-behavioral disorders.
AB - BACKGROUND: Clinical decision-making has been conceptualized as a sequence of two
separate processes: assessment of patients' functioning and application of a
decision threshold to determine whether the evidence is sufficient to justify a
given decision. A range of factors, including use of evidence-based screening
instruments, has the potential to influence either or both processes. However,
implementation studies seldom specify or assess the mechanism by which screening
is hypothesized to influence clinical decision-making, thus limiting their
ability to address unexpected findings regarding clinicians' behavior. Building
on prior theory and empirical evidence, we created a system dynamics (SD) model
of how physicians' clinical decisions are influenced by their assessments of
patients and by factors that may influence decision thresholds, such as knowledge
of past patient outcomes. Using developmental-behavioral disorders as a case
example, we then explore how referral decisions may be influenced by changes in
context. Specifically, we compare predictions from the SD model to published
implementation trials of evidence-based screening to understand physicians'
management of positive screening results and changes in referral rates. We also
conduct virtual experiments regarding the influence of a variety of interventions
that may influence physicians' thresholds, including improved access to co
located mental health care and improved feedback systems regarding patient
outcomes. RESULTS: Results of the SD model were consistent with recent
implementation trials. For example, the SD model suggests that if screening
improves physicians' accuracy of assessment without also influencing decision
thresholds, then a significant proportion of children with positive screens will
not be referred and the effect of screening implementation on referral rates will
be modest-results that are consistent with a large proportion of published
screening trials. Consistent with prior theory, virtual experiments suggest that
physicians' decision thresholds can be influenced and detection of disabilities
improved by increasing access to referral sources and enhancing feedback
regarding false negative cases. CONCLUSIONS: The SD model of clinical decision
making offers a theoretically based framework to improve understanding of
physicians' behavior and the results of screening implementation trials. The SD
model is also useful for initial testing of hypothesized strategies to increase
detection of under-identified medical conditions.
PMID- 27884204
TI - Lateral pelvic lymph node dissection after neoadjuvant chemo-radiation for
preoperative enlarged lateral nodes in advanced low rectal cancer: study protocol
for a randomized controlled trial.
AB - BACKGROUND: Lateral lymph node (LLN) metastasis is a major cause of local
recurrence of advanced rectal cancer. Although there is much controversy between
Western and Eastern countries on whether lateral pelvic lymph node dissection
(LLND) or neoadjuvant chemo-radiation (nCRT) is preferable for the treatment of
LLN metastases, existing retrospective cohorts mainly focus on all middle/low
advanced rectal cancer patients, not the specific individuals with suspicion of
LLN metastases. The aim of this trial is to assess the efficacy and safety of
LLND for rectal cancer patients with suspicion of LLN metastases. METHODS: This
prospective, multicenter, randomized controlled, single-blinded, phase III trial
is designed to enroll 512 eligible patients with advanced rectal cancer and
preoperative enlarged lateral lymph nodes. The population will be randomly
assigned into the solely total mesorectal excision (TME) group or the TME + LLND
group after eligible selection. The primary outcomes are to be 3-year local
recurrence rate and 3-year disease-free survival, and the secondary outcomes
include 3-year overall survival, 1-year sexual and urinary function, and
perioperative outcomes. DISCUSSION: This is the first randomized trial to
investigate the efficacy and safety of LLND for advanced low rectal cancer
patients with suspicion of LLN metastases; the result is expected to provide new
evidence for the treatment of LLN where there is suspicion of metastases in
advanced rectal cancer patients. TRIAL REGISTRATION: This trial was registered at
ClinicalTrials.gov (identifier NCT02614157 ) Registered on 24 November 2015.
PMID- 27884205
TI - Whole genome sequence analysis of serum amino acid levels.
AB - BACKGROUND: Blood levels of amino acids are important biomarkers of disease and
are influenced by synthesis, protein degradation, and gene-environment
interactions. Whole genome sequence analysis of amino acid levels may establish a
paradigm for analyzing quantitative risk factors. RESULTS: In a discovery cohort
of 1872 African Americans and a replication cohort of 1552 European Americans we
sequenced exons and whole genomes and measured serum levels of 70 amino acids.
Rare and low-frequency variants (minor allele frequency <=5%) were analyzed by
three types of aggregating motifs defined by gene exons, regulatory regions, or
genome-wide sliding windows. Common variants (minor allele frequency >5%) were
analyzed individually. Over all four analysis strategies, 14 gene-amino acid
associations were identified and replicated. The 14 loci accounted for an average
of 1.8% of the variance in amino acid levels, which ranged from 0.4 to 9.7%.
Among the identified locus-amino acid pairs, four are novel and six have been
reported to underlie known Mendelian conditions. These results suggest that there
may be substantial genetic effects on amino acid levels in the general population
that may underlie inborn errors of metabolism. We also identify a predicted
promoter variant in AGA (the gene that encodes aspartylglucosaminidase) that is
significantly associated with asparagine levels, with an effect that is
independent of any observed coding variants. CONCLUSIONS: These data provide
insights into genetic influences on circulating amino acid levels by integrating
omic technologies in a multi-ethnic population. The results also help establish a
paradigm for whole genome sequence analysis of quantitative traits.
PMID- 27884206
TI - Large-scale benchmarking reveals false discoveries and count transformation
sensitivity in 16S rRNA gene amplicon data analysis methods used in microbiome
studies.
AB - BACKGROUND: There is an immense scientific interest in the human microbiome and
its effects on human physiology, health, and disease. A common approach for
examining bacterial communities is high-throughput sequencing of 16S rRNA gene
hypervariable regions, aggregating sequence-similar amplicons into operational
taxonomic units (OTUs). Strategies for detecting differential relative abundance
of OTUs between sample conditions include classical statistical approaches as
well as a plethora of newer methods, many borrowing from the related field of RNA
seq analysis. This effort is complicated by unique data characteristics,
including sparsity, sequencing depth variation, and nonconformity of read counts
to theoretical distributions, which is often exacerbated by exploratory and/or
unbalanced study designs. Here, we assess the robustness of available methods for
(1) inference in differential relative abundance analysis and (2) beta-diversity
based sample separation, using a rigorous benchmarking framework based on large
clinical 16S microbiome datasets from different sources. RESULTS: Running more
than 380,000 full differential relative abundance tests on real datasets with
permuted case/control assignments and in silico-spiked OTUs, we identify large
differences in method performance on a range of parameters, including false
positive rates, sensitivity to sparsity and case/control balances, and spike-in
retrieval rate. In large datasets, methods with the highest false positive rates
also tend to have the best detection power. For beta-diversity-based sample
separation, we show that library size normalization has very little effect and
that the distance metric is the most important factor in terms of separation
power. CONCLUSIONS: Our results, generalizable to datasets from different
sequencing platforms, demonstrate how the choice of method considerably affects
analysis outcome. Here, we give recommendations for tools that exhibit low false
positive rates, have good retrieval power across effect sizes and case/control
proportions, and have low sparsity bias. Result output from some commonly used
methods should be interpreted with caution. We provide an easily extensible
framework for benchmarking of new methods and future microbiome datasets.
PMID- 27884208
TI - What are the best methodologies for rapid reviews of the research evidence for
evidence-informed decision making in health policy and practice: a rapid review.
AB - BACKGROUND: Rapid reviews have the potential to overcome a key barrier to the use
of research evidence in decision making, namely that of the lack of timely and
relevant research. This rapid review of systematic reviews and primary studies
sought to answer the question: What are the best methodologies to enable a rapid
review of research evidence for evidence-informed decision making in health
policy and practice? METHODS: This rapid review utilised systematic review
methods and was conducted according to a pre-defined protocol including clear
inclusion criteria (PROSPERO registration: CRD42015015998). A comprehensive
search strategy was used, including published and grey literature, written in
English, French, Portuguese or Spanish, from 2004 onwards. Eleven databases and
two websites were searched. Two review authors independently applied the
eligibility criteria. Data extraction was done by one reviewer and checked by a
second. The methodological quality of included studies was assessed independently
by two reviewers. A narrative summary of the results is presented. RESULTS: Five
systematic reviews and one randomised controlled trial (RCT) that investigated
methodologies for rapid reviews met the inclusion criteria. None of the
systematic reviews were of sufficient quality to allow firm conclusions to be
made. Thus, the findings need to be treated with caution. There is no agreed
definition of rapid reviews in the literature and no agreed methodology for
conducting rapid reviews. While a wide range of 'shortcuts' are used to make
rapid reviews faster than a full systematic review, the included studies found
little empirical evidence of their impact on the conclusions of either rapid or
systematic reviews. There is some evidence from the included RCT (that had a low
risk of bias) that rapid reviews may improve clarity and accessibility of
research evidence for decision makers. CONCLUSIONS: Greater care needs to be
taken in improving the transparency of the methods used in rapid review products.
There is no evidence available to suggest that rapid reviews should not be done
or that they are misleading in any way. We offer an improved definition of rapid
reviews to guide future research as well as clearer guidance for policy and
practice.
PMID- 27884207
TI - Potential contribution of the uterine microbiome in the development of
endometrial cancer.
AB - BACKGROUND: Endometrial cancer studies have led to a number of well-defined but
mechanistically unconnected genetic and environmental risk factors. One of the
emerging modulators between environmental triggers and genetic expression is the
microbiome. We set out to inquire about the composition of the uterine microbiome
and its putative role in endometrial cancer. METHODS: We undertook a study of the
microbiome in samples taken from different locations along the female
reproductive tract in patients with endometrial cancer (n = 17), patients with
endometrial hyperplasia (endometrial cancer precursor, n = 4), and patients
afflicted with benign uterine conditions (n = 10). Vaginal, cervical, Fallopian,
ovarian, peritoneal, and urine samples were collected aseptically both in the
operating room and the pathology laboratory. DNA extraction was followed by
amplification and high-throughput next generation sequencing (MiSeq) of the 16S
rDNA V3-V5 region to identify the microbiota present. Microbiota data were
summarized using both alpha-diversity to reflect species richness and evenness
within bacterial populations and beta-diversity to reflect the shared diversity
between bacterial populations. Statistical significance was determined through
the use of multiple testing, including the generalized mixed-effects model.
RESULTS: The microbiome sequencing (16S rDNA V3-V5 region) revealed that the
microbiomes of all organs (vagina, cervix, Fallopian tubes, and ovaries) are
significantly correlated (p < 0.001) and that there is a structural microbiome
shift in the cancer and hyperplasia cases, distinguishable from the benign cases
(p = 0.01). Several taxa were found to be significantly enriched in samples
belonging to the endometrial cancer cohort: Firmicutes (Anaerostipes, ph2,
Dialister, Peptoniphilus, 1-68, Ruminococcus, and Anaerotruncus), Spirochaetes
(Treponema), Actinobacteria (Atopobium), Bacteroidetes (Bacteroides and
Porphyromonas), and Proteobacteria (Arthrospira). Of particular relevance, the
simultaneous presence of Atopobium vaginae and an uncultured representative of
the Porphyromonas sp. (99 % match to P. somerae) were found to be associated with
disease status, especially if combined with a high vaginal pH (>4.5).
CONCLUSIONS: Our results suggest that the detection of A. vaginae and the
identified Porphyromonas sp. in the gynecologic tract combined with a high
vaginal pH is statistically associated with the presence of endometrial cancer.
Given the documented association of the identified microorganisms with other
pathologies, these findings raise the possibility of a microbiome role in the
manifestation, etiology, or progression of endometrial cancer that should be
further investigated.
PMID- 27884210
TI - Characteristics of illegal and legal cigarette packs sold in Guatemala.
AB - BACKGROUND: Guatemala, as a party to the Framework Convention on Tobacco Control
(FCTC), is required to regulate cigarette packaging and labeling and eliminate
illicit tobacco trade. Current packaging and labeling characteristics (of legal
and illegal cigarettes) and their compliance with the FCTC is unknown. METHODS:
We sought to analyze package and label characteristics of illegal and legal
cigarettes sold in Guatemala. We visited the 22 largest traditional markets in
the country to purchase illegal cigarettes. All brands registered on tobacco
industry websites were purchased as legal cigarettes. Analysis compared labeling
characteristics of illegal and legal packs. FINDINGS: Most (95%) markets and
street vendors sold illegal cigarettes; 104 packs were purchased (79 illegal and
25 legal). Ten percent of illegal and none of the legal packs had misleading
terms. Half of the illegal packs had a warning label covering 26 to 50% of the
pack surface. All legal packs had a label covering 25% of the surface. Illegal
packs were more likely to have information on constituents and emissions (85% vs.
45%, p < 0.001) and were less expensive than legal ones (USD 0.70 +/- 0.7 and 1.9
+/- 1.8, p < 0.001). CONCLUSIONS: In Guatemala, neither illegal nor legal
cigarette packs comply with FCTC labeling mandates. Urgent implementation and
enforcement of the FCTC is necessary to halt the tobacco epidemic.
PMID- 27884209
TI - To each his own: no evidence of gyrodactylid parasite host switches from invasive
poeciliid fishes to Goodea atripinnis Jordan (Cyprinodontiformes: Goodeidae), the
most dominant endemic freshwater goodeid fish in the Mexican Highlands.
AB - BACKGROUND: Goodeid topminnows are live-bearing fishes endemic to the Mexican
Highlands (Mesa Central, MC). Unfortunately, in the MC, environmental degradation
and introduced species have pushed several goodeid species to the brink of
extinction. Invasive fishes can introduce exotic parasites, and the most abundant
goodeid, blackfin goodea Goodea atripinnis Jordan, is parasitised by six exotic
helminths. Poeciliids are widely dispersed invasive fishes, which exert negative
ecological effects on goodeids. Poeciliids host several species of the monogenean
genus Gyrodactylus von Nordmann, 1832, including pathogenic, invasive parasites.
Here, we looked for evidence of Gyrodactylus species switching hosts from
poeciliids to goodeids. METHODS: Fish were collected in rivers draining the MC
into both sides of the continental divide. Hosts were screened for gyrodactylid
parasites in localities where G. atripinnis and poeciliids occurred
sympatrically. Gyrodactylus specimens were characterised morphologically
(attachment apparatus) and molecularly (internal transcribed spacer region, ITS).
A Bayesian phylogenetic tree using ITS sequences established relationships
between gyrodactylids collected from goodeid fishes and those from parasites
infecting poeciliids. RESULTS: Gyrodactylids were collected from G. atripinnis in
six localities on both sides of the watershed where exotic poeciliids occurred
sympatrically. Morphological and molecular analyses indicated the presence of
four undescribed species of Gyrodactylus infecting this goodeid host.
Gyrodactylus tomahuac n. sp., the most abundant and geographically widespread
species, is described here. The other three Gyrodactylus spp. are not described,
but their ITS sequences are used as molecular data presented here, are the only
available for gyrodactylids infecting goodeid fishes. Morphological and molecular
data suggest that two distinct groups of gyrodactylids infect goodeids, one of
which shares a common ancestor with gyrodactylids parasitizing poeciliids.
CONCLUSIONS: No evidence was found of gyrodactylids switching hosts from invasive
poeciliids to endemic goodeids, nor vice versa. Moreover, considering that G.
atripinnis is known to host both Gyrodactylus lamothei Mendoza-Palmero, Sereno
Uribe & Salgado-Maldonado, 2009 and Gyrodactylus mexicanus Mendoza-Palmero,
Sereno-Uribe & Salgado-Maldonado, 2009, with the addition of G. tomahuac n. sp.
and the three undescribed Gyrodactylus spp. reported, at least six gyrodactylids
may infect this host. This would make monogeneans the second most abundant
parasite group infecting G. atripinnis, which to date is known to harbour 22
helminth species: nine digeneans, five nematodes, four cestodes, three
monogeneans and one acanthocephalan.
PMID- 27884211
TI - Plasma glutamine levels before cardiac surgery are related to post-surgery
infections; an observational study.
AB - BACKGROUND: A low plasma glutamine level was found in 34% of patients after
elective cardiothoracic surgery. This could be a result of the inflammation
caused by surgical stress or the use of extracorporeal circulation (ECC). But it
is also possible that plasma glutamine levels were already lowered before surgery
and reflect an impaired metabolic state and a higher likelihood to develop
complications. In the present study plasma glutamine levels were measured before
and after cardiac surgery and we questioned whether there is a relation between
plasma glutamine levels and duration of ECC and the occurrence of postoperative
infections. METHODS: We performed a single-centre prospective, observational
study in a closed-format, 20-bed, mixed ICU in a tertiary teaching hospital. We
included consecutive patients after elective cardiac surgery with use of
extracorporeal circulation. Blood samples were collected on the day prior to
surgery and at admission on the ICU. The study was approved by the local Medical
Ethics Committee (Regional Review Committee Patient-related Research, Medical
Centre Leeuwarden, nWMO 115, April 28th 2015). RESULTS: Ninety patients were
included. Pre-operative plasma glutamine level was 0.42 +/- 0.10 mmol/l and post
operative 0.38 +/- 0.09 mmol/l (p < 0.001). There was no relation between
duration of extracorporeal circulation or aortic occlusion time and changes in
plasma glutamine levels. A logistic regression analysis showed a significant
correlation between the presence of a positive culture during the post-operative
course and pre-operative plasma glutamine levels (p = 0.04). CONCLUSION: Plasma
glutamine levels are significantly lower just after cardiac surgery compared to
pre-operative levels. We did not find a relation between the decrease in plasma
glutamine levels and the duration of extracorporeal circulation or aortic clamp
time. There was a correlation between pre-operative plasma glutamine levels and
the presence of a positive culture after cardiac surgery. TRIAL REGISTRATION:
ClinicalTrials.gov, number NCT02444780 .
PMID- 27884213
TI - Regional variation in Ascaris lumbricoides and Trichuris trichiura infections by
age cohort and sex: effects of market integration among the indigenous Shuar of
Amazonian Ecuador.
AB - BACKGROUND: Soil-transmitted helminth (STH) infection peaks during childhood and
varies by sex. The impact of market integration (MI) (increasing production for
and consumption from a market-based economy) on these infection patterns,
however, is unclear. In this study, STH infection is examined by sex and age
among indigenous Shuar inhabiting two regions of Amazonian Ecuador: (1) the
modestly market-integrated Upano Valley (UV) and (2) the more traditional Cross
Cutucu (CC) region. METHODS: Kato-Katz fecal smears were examined for parasite
presence and infection intensity. Factorial ANOVAs and post hoc simple effects
analyses were performed by sex to compare infection intensity between regions and
age categories (infant/child, juvenile/adolescent, adult). RESULTS: Significant
age and regional differences in Ascaris lumbricoides and Trichuris trichiura
infection were detected. Overall, infants/children and juveniles/adolescents
displayed higher parasite loads than adults. CC females exhibited higher A.
lumbricoides loads than UV females, while the opposite pattern was observed for
T. trichiura infection in males. CONCLUSIONS: Regional infection patterns varied
by sex and parasite species, perhaps due to MI-linked environmental and lifestyle
changes. These results have public health implications for the identification of
individuals at risk for infection and contribute to ongoing efforts to track
changes and alleviate STH infection in indigenous populations undergoing MI.
PMID- 27884212
TI - Angiotensin-converting enzyme 2 is reduced in Alzheimer's disease in association
with increasing amyloid-beta and tau pathology.
AB - BACKGROUND: Hyperactivity of the classical axis of the renin-angiotensin system
(RAS), mediated by angiotensin II (Ang II) activation of the angiotensin II type
1 receptor (AT1R), is implicated in the pathogenesis of Alzheimer's disease (AD).
Angiotensin-converting enzyme-2 (ACE-2) degrades Ang II to angiotensin 1-7 (Ang
(1-7)) and counter-regulates the classical axis of RAS. We have investigated the
expression and distribution of ACE-2 in post-mortem human brain tissue in
relation to AD pathology and classical RAS axis activity. METHODS: We measured
ACE-2 activity by fluorogenic peptide substrate assay in mid-frontal cortex
(Brodmann area 9) in a cohort of AD (n = 90) and age-matched non-demented
controls (n = 59) for which we have previous data on ACE-1 activity, amyloid beta
(Abeta) level and tau pathology, as well as known ACE1 (rs1799752) indel
polymorphism, apolipoprotein E (APOE) genotype, and cerebral amyloid angiopathy
severity scores. RESULTS: ACE-2 activity was significantly reduced in AD compared
with age-matched controls (P < 0.0001) and correlated inversely with levels of
Abeta (r = -0.267, P < 0.001) and phosphorylated tau (p-tau) pathology (r =
0.327, P < 0.01). ACE-2 was reduced in individuals possessing an APOE epsilon4
allele (P < 0.05) and was associated with ACE1 indel polymorphism (P < 0.05),
with lower ACE-2 activity in individuals homozygous for the ACE1 insertion AD
risk allele. ACE-2 activity correlated inversely with ACE-1 activity (r = -0.453,
P < 0.0001), and the ratio of ACE-1 to ACE-2 was significantly elevated in AD (P
< 0.0001). Finally, we show that the ratio of Ang II to Ang (1-7) (a proxy
measure of ACE-2 activity indicating conversion of Ang II to Ang (1-7)) is
reduced in AD. CONCLUSIONS: Together, our findings indicate that ACE-2 activity
is reduced in AD and is an important regulator of the central classical ACE-1/Ang
II/AT1R axis of RAS, and also that dysregulation of this pathway likely plays a
significant role in the pathogenesis of AD.
PMID- 27884216
TI - Honour and subsistence: invention, credit and surgery in the nineteenth century.
AB - The origins of contemporary exclusion of surgical methods from patenting lie in
the complexities of managing credit claims in operative surgery, recognized in
the nineteenth century. While surgical methods were not deemed patentable,
surgeons were nevertheless embedded within patent culture. In an atmosphere of
heightened awareness about the importance of 'inventors', how surgeons should be
recognized and rewarded for their inventions was an important question. I examine
an episode during the 1840s which seemed to concretize the inapplicability of
patents to surgical practice, before looking at alternatives to patenting, used
by surgeons to gain social and financial credit for inventions.
PMID- 27884215
TI - Public Perception of Emergency Medical Services in the United States.
AB - OBJECTIVE: The objective of this study was to assess the public's experience,
expectations, and perceptions related to Emergency Medical Services (EMS).
METHODS: A population-based telephone interview of adults in the United States
was conducted. The survey instrument consisted of 112 items. Demographic
variables including age, race, political beliefs, and household income were
collected. Data collection was performed by trained interviewers from Kent State
University's (Kent, Ohio USA)Social Research Laboratory. Descriptive statistics
were calculated. Comparative analyses were conducted between those who used EMS
at least once in the past five years and those who did not use EMS using chi2 and
t tests. RESULTS: A total of 2,443 phone calls were made and 1,348 individuals
agreed to complete the survey (55.2%). There were 297 individuals who requested
to drop out of the survey during the phone interview, leaving a total of 1,051
(43.0%) full responses. Participants ranged in age from 18 to 94 years with an
average age of 57.5 years. Most were Caucasian or white (83.0%), married (62.8%),
and held conservative political beliefs (54.8%). Three-fourths of all respondents
believed that at least 40% of patients survive cardiac arrest when EMS services
are received. Over half (56.7%) believed that Emergency Medical Technician (EMT)
Basics and EMT-Paramedics provide the same level of care. The estimated median
hours of training required for EMT-Basics was 100 hours (IQR: 40-200 hours),
while the vast majority of respondents estimated that EMT-Paramedics are required
to take fewer than 1,000 clock hours of training (99.3%). The majority believed
EMS professionals should be screened for illegal drug use (97.0%), criminal
background (95.9%), mental health (95.2%), and physical fitness (91.3%). Over one
third (37.6%) had used EMS within the past five years. Of these individuals, over
two-thirds (69.6%) rated their most recent experience as "excellent." More of
those who used EMS at least once in the past five years reported a willingness to
consent to participate in EMS research compared with those who had not used EMS
(69.9% vs. 61.4%, P=.005). CONCLUSIONS: Most respondents who had used EMS
services rated their experience as excellent. Nevertheless, expectations related
to survival after cardiac arrest in the out-of-hospital setting were not
realistic. Furthermore, much of the public was unaware of the differences in
training hour requirements and level of care provided by EMT-Basics and EMT
Paramedics. Crowe RP , Levine R , Rodriguez S , Larrimore AD , Pirrallo RG .
Public perception of Emergency Medical Services in the United States. Prehosp
Disaster Med. 2016;31(Suppl. 1):s112-s117.
PMID- 27884214
TI - Neuronal and glial changes in the brain resulting from explosive blast in an
experimental model.
AB - Mild traumatic brain injury (mTBI) is the signature injury in warfighters exposed
to explosive blasts. The pathology underlying mTBI is poorly understood, as this
condition is rarely fatal and thus postmortem brains are difficult to obtain for
neuropathological studies. Here we report on studies of an experimental model
with a gyrencephalic brain that is exposed to single and multiple explosive blast
pressure waves. To determine injuries to the brain resulting from the primary
blast, experimental conditions were controlled to eliminate any secondary or
tertiary injury from blasts. We found small but significant levels of neuronal
loss in the hippocampus, a brain area that is important for cognitive functions.
Furthermore, neuronal loss increased with multiple blasts and the degree of
neuronal injury worsened with time post-blast. This is consistent with our
findings in the blast-exposed human brain based on magnetic resonance
spectroscopic imaging. The studies on this experimental model thus confirm what
has been presumed to be the case with the warfighter, namely that exposure to
multiple blasts causes increased brain injury. Additionally, as in other studies
of both explosive blast as well as closed head mTBI, we found astrocyte
activation. Activated microglia were also prominent in white matter tracts,
particularly in animals exposed to multiple blasts and at long post-blast
intervals, even though injured axons (i.e. beta-APP positive) were not found in
these areas. Microglial activation appears to be a delayed response, though
whether they may contribute to inflammation related injury mechanism at even
longer post-blast times than we tested here, remains to be explored. Petechial
hemorrhages or other gross signs of vascular injury were not observed in our
study. These findings confirm the development of neuropathological changes due to
blast exposure. The activation of astrocytes and microglia, cell types
potentially involved in inflammatory processes, suggest an important area for
future study.
PMID- 27884217
TI - From neurocognition to community participation in serious mental illness: the
intermediary role of dysfunctional attitudes and motivation.
AB - BACKGROUND: Evidence for a relationship between neurocognition and functional
outcome in important areas of community living is robust in serious mental
illness research. Dysfunctional attitudes (defeatist performance beliefs and
asocial beliefs) have been identified as intervening variables in this causal
chain. This study seeks to expand upon previous research by longitudinally
testing the link between neurocognition and community participation (i.e. time in
community-based activity) through dysfunctional attitudes and motivation. METHOD:
Adult outpatients with serious mental illness (N = 175) participated, completing
follow-up assessments approximately 6 months after initial assessment. Path
analysis tested relationships between baseline neurocognition, emotion
perception, functional skills, dysfunctional attitudes, motivation, and outcome
(i.e. community participation) at baseline and follow-up. RESULTS: Path models
demonstrated two pathways to community participation. The first linked
neurocognition and community participation through functional skills, defeatist
performance beliefs, and motivation. A second pathway linked asocial beliefs and
community participation, via a direct path passing through motivation. Model fit
was excellent for models predicting overall community participation at baseline
and, importantly, at follow-up. CONCLUSIONS: The existence of multiple pathways
to community participation in a longitudinal model supports the utility of multi
modal interventions for serious mental illness (i.e. treatment packages that
build upon individuals' strengths while addressing the array of obstacles to
recovery) that feature dysfunctional attitudes and motivation as treatment
targets.
PMID- 27884218
TI - The Current State of Perioperative Pain Management: Challenges and Potential
Opportunities for Nurses.
AB - Achievement of adequate postoperative pain management is a critical challenge in
health care, with an estimated three out of four adult surgical patients
reporting moderate to extreme pain after surgery. Overreliance on opioids in
acute care settings has persisted, despite well-known adverse side effects
frequently associated with this class of drugs. Furthermore, patients with a
history of chronic opioid use present additional challenges in terms of
postsurgical pain management. Advances in the development of newer analgesic
agents and anesthetic techniques may be useful in surgical patients with a
history of chronic opioid use and in the overall surgical patient population.
Systemic inefficiencies and problematic medical practice patterns can also have
negative effects on perioperative pain management. As the surgical patient's
primary advocate, perioperative nurses play an important role in overcoming these
diverse challenges and addressing the problems associated with inadequately
controlled postsurgical pain.
PMID- 27884219
TI - The Role of the Perioperative Nurse in Improving Surgical Patients' Clinical
Outcomes and Satisfaction: Beyond Medication.
AB - For the perioperative nurse, the management of postsurgical pain includes various
responsibilities, such as performing postsurgical pain assessments as an
essential first step, facilitating recovery from surgery, and maximizing patient
satisfaction during the postsurgical period. In addition, nurses are responsible
for providing patient education regarding pain management, the quality of which
may strongly influence patient satisfaction. External and internal challenges
exist in perioperative care processes, however, and addressing these challenges
will promote the overall goal of providing high-quality care.
PMID- 27884221
TI - Hypertension: New and Future Challenges.
AB - This article provides a preview to the forthcoming articles in this issue, which
are written by well-known and authoritative authors for the readers' pleasure and
reference. This article hopes to provide a general overview that stimulates
interest, better understanding, and continued joint commitment to the important
subject of hypertension.
PMID- 27884220
TI - Multimodal Pain Management for Enhanced Recovery: Reinforcing the Shift From
Traditional Pathways Through Nurse-Led Interventions.
AB - Despite recent advances in perioperative patient care, postsurgical pain
continues to be undermanaged. There is increasing acceptance of the concept that
effective postsurgical pain management is best achieved through combined use of
more than one analgesic agent or technique, and overreliance on opioids produces
unwanted side effects limiting their utility. Accordingly, a balanced, multimodal
approach to pain management within the larger framework of an Enhanced Recovery
After Surgery (ERAS) pathway has become standard at many institutions for
perioperative care, to control postsurgical pain, reduce opioid-related adverse
events, hasten postsurgical recovery, and shorten length of hospital stay. The
success of ERAS is dependent on nurses and the multidisciplinary team to execute
its standardized processes across the care continuum, including patient
education, perioperative care, and postsurgical evaluation. Here, we review
current concepts related to multimodal analgesia and ERAS regarding care of adult
surgical patients and discuss the perioperative nurse's role within this
paradigm.
PMID- 27884222
TI - A Reassessment of the Pathophysiology of Progressive Cardiorenal Disorders.
AB - Heart failure and chronic renal diseases are usually progressive and only
partially amenable to therapy. These disorders can be the sequelae of
hypertension or worsened by hypertension. They are associated with the tissue up
regulation of multiple peptides, many of which are capable of acting within the
cell interior. This article proposes that these peptides, intracrines, can form
self-sustaining regulatory loops that can spread through heart or kidney,
producing progressive disease. Moreover, mineralocorticoid activation seems
capable of amplifying some of these peptide networks. This view suggests an
expanded explanation of the pathogenesis of progressive cardiorenal disease and
suggests new approaches to treatment.
PMID- 27884223
TI - Local Renin Angiotensin Aldosterone Systems and Cardiovascular Diseases.
AB - The presence of local renin angiotensin aldosterone systems (RAAS) in the
cardiovascular and renal tissues and their influence in cardiovascular and renal
diseases are described. The fundamental role of ACE/Ang II/AT1 receptor axis
activation as well the counterregulatory role of ACE2/Ang (1-7)/Mas receptor
activation on cardiovascular and renal physiology and pathology are emphasized.
The presence of a local RAS and its influence on hypertension is discussed, and
finally, the hypothesis that epigenetic factors change the RAAS in utero and
induce the expression of renin or Ang II inside the cells of the cardiovascular
system is presented.
PMID- 27884225
TI - Obesity: A Perspective from Hypertension.
AB - The prevalence of obesity-related hypertension is high worldwide and has become a
major health issue. The mechanisms by which obesity relates to hypertensive
disease are still under intense research scrutiny, and include altered
hemodynamics, impaired sodium homeostasis, renal dysfunction, autonomic nervous
system imbalance, endocrine alterations, oxidative stress and inflammation, and
vascular injury. Most of these contributing factors interact with each other at
multiple levels. Thus, as a multifactorial and complex disease, obesity-related
hypertension should be recognized as a distinctive form of hypertension, and
specific considerations should apply in planning therapeutic approaches to treat
obese individuals with high blood pressure.
PMID- 27884224
TI - The Renin Angiotensin Aldosterone System in Obesity and Hypertension: Roles in
the Cardiorenal Metabolic Syndrome.
AB - In the United States, more than 50 million people have blood pressure at or above
120/80 mm Hg. All components of cardiorenal metabolic syndrome (CRS) are linked
to metabolic abnormalities and obesity. A major driver for CRS is obesity.
Current estimates show that many of those with hypertension and CRS show some
degree of systemic and cardiovascular insulin resistance. Several
pathophysiologic factors participate in the link between hypertension and CRS.
This article updates recent literature with a focus on the function of insulin
resistance, obesity, and renin angiotensin aldosterone system-mediated oxidative
stress on endothelial dysfunction and the pathogenesis of hypertension.
PMID- 27884226
TI - Patient Management of Hypertensive Subjects without and with Diabetes Mellitus
Type II.
AB - The description of blood pressure (BP) curve has evolved to include several
noninvasively determined parameters, such as aortic stiffness, BP variability,
wave reflections, and pulse pressure amplification. These techniques are likely
to improve the efficacy of assessing pulsatile arterial hemodynamics and changes
in arterial stiffness. The goal for future antihypertensive treatments should not
only reduce steady BP, but also control pulsatile pressure and modify the
stiffness gradient between central and peripheral arteries, which is frequently
elevated. These changes have the potential to reduce residual cardiovascular risk
but also to define drug strategies adapted to the needs of individual
hypertensive subjects.
PMID- 27884228
TI - Heart Failure and Hypertension: Importance of Prevention.
AB - This article discusses the role of hypertension in heart failure. Elevated blood
pressure has the greatest population attributable risk for the development of
heart failure. The mortality rates following the clinical recognition of heart
failure is increased multifold. The treatment of hypertension with
antihypertensive agents is particularly effective in preventing heart failure,
which makes it the most effective therapy for heart failure.
PMID- 27884229
TI - What Have We Learned from the Genetics of Hypertension?
AB - Twin studies show that about half the risk of hypertension development is
inherited. Mendelian hypertension has elucidated astounding basic pathways
contributing to hypertension over (presumably) dietary salt intake or directly
through increased peripheral vascular resistance. The Mendelian mutations
exercise large effects on blood pressure. Inversely, studying the entire human
genome for sources signaling blood pressure has yielded many signals with small
effects. Thus far, few loci have been validated or translated into targets. Both
genetic strategies are necessary, and much remains to be done.
PMID- 27884230
TI - The Kidney in Hypertension.
AB - Hypertension is the second most common cause of chronic kidney disease (CKD) and
is a potentiator of kidney failure when accompanying disease. CKD is a common
cause of resistant hypertension. Nephropathy progression has dramatically slowed
over the past 3 decades from an average of 8 to between 2-3 mL/min per year
regardless of diabetes status. The incidence of very high albuminuria as well as
progression from high albuminuria very high albuminuria has substantially
decreased over the past 3 decades. This improvement relates to better blood
pressure control using agents that slow nephropathy as well as better glycemic
and cholesterol control.
PMID- 27884231
TI - Guidelines for the Management of Hypertension.
AB - This article summarizes pertinent data from clinical trials on the effects of
antihypertensive therapy on cardiovascular complications. Prior definitions of
hypertension and blood pressure goals of therapy are discussed, and differences
between national and international guidelines on such goals are summarized. The
results of the SPRINT study are summarized, and the impact of this study on
future goals of treatment is discussed. New recommendations are provided on blood
pressure goals, and the effects such goals might have on clinical practice are
discussed.
PMID- 27884233
TI - Hypertension, Left Ventricular Hypertrophy, and Myocardial Ischemia.
AB - The risks associated with hypertension emerge through a series of complex
interactions. Myocardial ischemia is the major contributor to this risk. The
mechanisms driving ischemia reflect many of the key factors in hypertension,
including endothelial and neurohumoral factors, fibrosis, and hemodynamics. Left
ventricular hypertrophy and fibrosis are of fundamental importance and together
with hemodynamics provide an optimal template for myocardial ischemia.
Understanding the pathophysiology has aided a more rational management approach
but challenges remain which, if surmounted, will have an impact on the morbidity
and mortality caused by myocardial ischemia in patients with hypertension.
PMID- 27884227
TI - Oxidative Stress and Hypertensive Diseases.
AB - It has become clear that reactive oxygen species (ROS) contribute to the
development of hypertension via myriad effects. ROS are essential for normal cell
function; however, they mediate pathologic changes in the brain, the kidney, and
blood vessels that contribute to the genesis of chronic hypertension. There is
also emerging evidence that ROS contribute to immune activation in hypertension.
This article discusses these events and how they coordinate to contribute to
hypertension and its consequent end-organ damage.
PMID- 27884234
TI - The Hypertensive Myocardium: From Microscopic Lesions to Clinical Complications
and Outcomes.
AB - The chronic hemodynamic load imposed by hypertension on the left ventricle leads
to lesions in the myocardium that result in structural remodeling, which provides
support for alterations in cardiac function, perfusion, and electrical activity
that adversely influence the clinical evolution of hypertensive heart disease.
Management must include detecting, reducing, and reversing left ventricular
hypertrophy, as well as the detection and repair of microscopic lesions
responsible for myocardial remodeling. Reducing the burden associated with
hypertensive heart disease can be targeted using personalized treatment. The
noninvasive, biomarker-mediated identification of subsets of patients with
hypertensive heart disease is essential to provide personalized treatment.
PMID- 27884235
TI - Hypertension in Patients with Cardiac Transplantation.
AB - Hypertension is a common complication among post cardiac transplant recipients
affecting more than 95% of patients. Increased blood pressure poses a significant
cardiovascular morbidity and mortality in these patients; it should be identified
quickly and needs to be managed appropriately. Understanding the pathophysiology
and contributing factors to this disease in these complex and unique patients is
the key to appropriate treatment selection.
PMID- 27884232
TI - Adherence to Antihypertensive Therapy.
AB - Adherence to antihypertensive medication remains a key modifiable factor in the
management of hypertension. The multidimensional nature of adherence and blood
pressure (BP) control call for multicomponent, patient-centered interventions to
improve adherence. Promising strategies to improve antihypertensive medication
adherence and BP control include regimen simplification, reduction of out-of
pocket costs, use of allied health professionals for intervention delivery, and
self-monitoring of BP. Research to understand the effects of technology-mediated
interventions, mechanisms underlying adherence behavior, and sex-race differences
in determinants of low adherence and intervention effectiveness may enhance
patient-specific approaches to improve adherence and disease control.
PMID- 27884237
TI - Diastolic Dysfunction and Hypertension.
AB - Left ventricular (LV) diastolic dysfunction (LVDD) is characterized by
alterations in LV diastolic filling, and is a strong predictor of cardiovascular
events and heart failure. Hypertension is the most important risk factor for LVDD
in the community and promotes LVDD through several mechanisms, including
hemodynamic overload and myocardial ischemia. Associated factors such as age,
ethnicity, dietary sodium, obesity, diabetes mellitus, and chronic kidney disease
also contribute to LVDD in hypertensive individuals. Blood pressure lowering
using antihypertensive medications can improve LVDD; however, it remains unclear
whether this improvement in LV diastolic function can improve cardiovascular
outcomes.
PMID- 27884236
TI - Renal Arterial Disease and Hypertension.
AB - Renal artery disease produces a spectrum of progressive clinical manifestations
ranging from minor degrees of hypertension to circulatory congestion and kidney
failure. Moderate reductions in renal blood flow do not induce tissue hypoxia or
damage, making medical therapy for renovascular hypertension feasible. Several
prospective trials indicate that optimized medical therapy using agents that
block the renin-angiotensin system should be the initial management. Evidence of
progressive disease and/or treatment failure should allow recognition of high
risk subsets that benefit from renal revascularization. Severe reductions in
kidney blood flow ultimately activate inflammatory pathways that do not reverse
with restoring blood flow alone.
PMID- 27884239
TI - Continuing Challenges and Unresolved Problems in Hypertensive Diseases.
PMID- 27884240
TI - The Sounds of Progress.
PMID- 27884238
TI - The Pressure of Aging.
AB - Significant hemodynamic changes ensue with aging, leading to an ever-growing
epidemic of hypertension. Alterations in central arterial properties play a major
role in these hemodynamic changes. These alterations are characterized by an
initial decline in aortic distensibility and an increase of diastolic blood
pressure, followed by a sharp increase in pulse wave velocity (PWV), and an
increase in pulse pressure (PP) beyond the sixth decade. However, the
trajectories of PWV and PP diverge with advancing age. There is an increased
prevalence of salt-sensitive hypertension with advancing age that is, in part,
mediated by marinobufagenin, an endogenous sodium pump ligand.
PMID- 27884241
TI - A Prospective Natural History Study of Coronary Atherosclerosis Using Fractional
Flow Reserve.
AB - BACKGROUND: In patients with coronary artery disease, clinical outcome depends on
the extent of reversible myocardial ischemia. Whether the outcome also depends on
the severity of the stenosis as determined by fractional flow reserve (FFR)
remains unknown. OBJECTIVES: This study sought to investigate the relationship
between FFR values and vessel-related clinical outcome. METHODS: We prospectively
studied major adverse cardiovascular events (MACE) at 2 years in 607 patients in
whom all stenoses were assessed by FFR and who were treated with medical therapy
alone. The relationship between FFR and 2-year MACE was assessed as a continuous
function. Logistic and Cox proportional hazards regression models were used to
calculate the average decrease in the risk of MACE per 0.05-U increase in FFR.
RESULTS: MACE occurred in 272 (26.5%) of 1,029 lesions. Target lesions with
diameter stenosis >=70% were more often present in the MACE group (p < 0.01).
Median FFR was significantly lower in the MACE group versus the non-MACE group
(0.68 [interquartile range: 0.54 to 0.77] vs. 0.80 [interquartile range: 0.70 to
0.88]; p < 0.01). The cumulative incidence of MACE significantly increased with
increasing FFR quartiles. An average decrease in MACE per 0.05-unit increase in
FFR was statistically significant even after adjustment for all clinical and
angiographic features (odds ratio: 0.81; 95% confidence interval: 0.76 to 0.86]).
The strongest increase in MACE occurred for FFR values between 0.80 and 0.60. In
multivariable Cox regression analysis, FFR was significantly associated with MACE
up to 2 years (hazard ratio: 0.87; 95% confidence interval: 0.83 to 0.91]).
CONCLUSIONS: In patients with stable coronary disease, stenosis severity as
assessed by FFR is a major and independent predictor of lesion-related outcome.
(FAME II - Fractional Flow Reserve [FFR] Guided Percutaneous Coronary
Intervention [PCI] Plus Optimal Medical Treatment [OMT] Verses OMT; NCT01132495).
PMID- 27884242
TI - Does the Natural History of Atherosclerosis Follow an Ischemic Dose-Response
Curve?
PMID- 27884244
TI - Should We Measure Biomarkers for Myonecrosis Before and After PCI?
PMID- 27884243
TI - High-Sensitivity Troponin T and Mortality After Elective Percutaneous Coronary
Intervention.
AB - BACKGROUND: The prognostic value of high-sensitivity troponin T (hs-TnT)
elevation after elective percutaneous coronary intervention (PCI) in patients
with or without raised baseline hs-TnT levels is unclear. OBJECTIVES: The goal of
this study was to assess whether the prognostic value of post-procedural hs-TnT
level after elective PCI depends on the baseline hs-TnT level. METHODS: This
study included 5,626 patients undergoing elective PCI who had baseline and peak
post-procedural hs-TnT measurements available. The primary outcome was 3-year
mortality (with risk estimates calculated per SD increase of the log hs-TnT
scale). RESULTS: Patients were divided into 4 groups: nonelevated baseline and
post-procedural hs-TnT levels (hs-TnT <=0.014 MUg/l; n = 742); nonelevated
baseline but elevated post-procedural hs-TnT levels (peak post-procedural hs-TnT
>0.014 MUg/l; n = 2,721); elevated baseline hs-TnT levels (hs-TnT >0.014 MUg/l)
with no further rise post-procedure (n = 516); and elevated baseline hs-TnT
levels with a further rise post-procedure (n = 1,647). A total of 265 deaths
occurred: 6 (1.6%) in patients with nonelevated baseline and post-procedural hs
TnT levels; 54 (3.8%) in patients with nonelevated baseline but elevated post
procedural hs-TnT levels; 50 (16.0%) in patients with elevated baseline hs-TnT
levels with no further rise post-procedure; and 155 (18.2%) in patients with
elevated baseline hs-TnT levels with a further rise post-procedure (p < 0.001).
After adjustment, baseline hs-TnT levels (hazard ratio [HR]: 1.22; 95% confidence
interval [CI]: 1.09 to 1.38; p < 0.001) but not peak post-procedural hs-TnT
levels (HR: 1.04; 95% CI: 0.85 to 1.28; p = 0.679) were associated with an
increased risk of mortality. Peak post-procedural hs-TnT findings were not
associated with mortality in patients with nonelevated (HR: 0.93; 95% CI: 0.69 to
1.25; p = 0.653) or elevated (HR: 1.24; 95% CI: 0.91 to 1.69; p = 0.165) baseline
hs-TnT levels. CONCLUSIONS: In patients with coronary artery disease undergoing
elective PCI, an increase in post-procedural hs-TnT level did not offer
prognostic information beyond that provided by the baseline level of the
biomarker.
PMID- 27884246
TI - Anticoagulant-Related Nephropathy: Is an AKI Elephant Hiding in Plain View?
PMID- 27884245
TI - Acute Kidney Injury in Asians With Atrial Fibrillation Treated With Dabigatran or
Warfarin.
AB - BACKGROUND: Whether dabigatran is associated with a lower risk of acute kidney
injury (AKI) in patients with nonvalvular atrial fibrillation (NVAF) remains
unknown. OBJECTIVES: The authors compared the risk of AKI in Asians with NVAF who
were prescribed dabigatran versus warfarin. METHODS: The authors analyzed
patients enrolled in the Taiwan nationwide retrospective cohort study from June
1, 2012, to December 31, 2013. Dabigatran and warfarin were taken by 7,702 and
7,885 NVAF patients without a history of chronic kidney disease (CKD) and 2,256
and 2,089 NVAF patients with a history of CKD, respectively. A propensity-score
weighted method was used to balance covariates across study groups. RESULTS: A
total of 6,762 (88%) and 940 (12%) CKD-free patients and 2,025 (90%) and 231
(10%) CKD patients took dabigatran 110 mg and 150 mg twice daily, respectively.
Dabigatran was associated with a lower risk of AKI than warfarin for either the
CKD-free (hazard ratio [HR]: 0.62; 95% confidence interval [CI]: 0.49 to 0.77; p
< 0.001) or CKD (HR: 0.56; 95% CI: 0.46 to 0.69; p < 0.001) cohort. As the
increment in CHA2DS2-VASc score (a risk score based on congestive heart failure,
hypertension, age 75 years or older, diabetes mellitus, previous stroke/transient
ischemic attack, vascular disease, aged 65 to 74 years, and female sex) increased
from 0/1 to 6+ points, the incidence of AKI for the dabigatran group was
relatively stable (1.87% to 2.91% per year for the CKD-free cohort; 7.31% to
13.15% per year for the CKD cohort) but increased obviously for patients taking
warfarin for either CKD-free (2.00% to 6.16% per year) or CKD cohorts (6.82 to
26.03% per year). The warfarin group had a significantly higher annual risk of
AKI than the dabigatran group for those with a high CHA2DS2-VASc score (>=4 for
the CKD-free cohort and >=3 for the CKD cohort). Subgroup analysis revealed that
among dabigatran users, those taking either low-dose or standard-dose dabigatran,
those with a warfarin-naive or warfarin-experienced history, those with or
without diabetes, and those with CHA2DS2-VASc >=4 or HAS-BLED >=3 (risk score
based on hypertension, abnormal renal and liver function, stroke, prior major
bleeding, labile international normalized ratios, age 65 years or older, drugs or
alcohol usage history) all had a lower risk of AKI than those taking warfarin.
CONCLUSIONS: Among Asians with NVAF, dabigatran is associated with a lower risk
of AKI than warfarin.
PMID- 27884247
TI - Machine-Learning Algorithms to Automate Morphological and Functional Assessments
in 2D Echocardiography.
AB - BACKGROUND: Machine-learning models may aid cardiac phenotypic recognition by
using features of cardiac tissue deformation. OBJECTIVES: This study investigated
the diagnostic value of a machine-learning framework that incorporates speckle
tracking echocardiographic data for automated discrimination of hypertrophic
cardiomyopathy (HCM) from physiological hypertrophy seen in athletes (ATH).
METHODS: Expert-annotated speckle-tracking echocardiographic datasets obtained
from 77 ATH and 62 HCM patients were used for developing an automated system. An
ensemble machine-learning model with 3 different machine-learning algorithms
(support vector machines, random forests, and artificial neural networks) was
developed and a majority voting method was used for conclusive predictions with
further K-fold cross-validation. RESULTS: Feature selection using an information
gain (IG) algorithm revealed that volume was the best predictor for
differentiating between HCM ands. ATH (IG = 0.24) followed by mid-left
ventricular segmental (IG = 0.134) and average longitudinal strain (IG = 0.131).
The ensemble machine-learning model showed increased sensitivity and specificity
compared with early-to-late diastolic transmitral velocity ratio (p < 0.01),
average early diastolic tissue velocity (e') (p < 0.01), and strain (p = 0.04).
Because ATH were younger, adjusted analysis was undertaken in younger HCM
patients and compared with ATH with left ventricular wall thickness >13 mm. In
this subgroup analysis, the automated model continued to show equal sensitivity,
but increased specificity relative to early-to-late diastolic transmitral
velocity ratio, e', and strain. CONCLUSIONS: Our results suggested that machine
learning algorithms can assist in the discrimination of physiological versus
pathological patterns of hypertrophic remodeling. This effort represents a step
toward the development of a real-time, machine-learning-based system for
automated interpretation of echocardiographic images, which may help novice
readers with limited experience.
PMID- 27884248
TI - Machine Learning for Echocardiographic Imaging: Embarking on Another Incredible
Journey.
PMID- 27884250
TI - The Significant Arrhythmia and Cardiomyopathy Burden of Lamin A/C Mutations.
PMID- 27884251
TI - Complicated Pericarditis: Understanding Risk Factors and Pathophysiology to
Inform Imaging and Treatment.
AB - Most patients with acute pericarditis have a benign course and a good prognosis.
However, a minority of patients develop complicated pericarditis, and the care of
these patients is the focus of this review. Specifically, we address risk
factors, multimodality imaging, pathophysiology, and novel treatments. The
authors conclude that: 1) early high-dose corticosteroids, a lack of colchicine,
and an elevated high-sensitivity C-reactive protein are associated with the
development of complicated pericarditis; 2) in select cases, cardiovascular
magnetic resonance imaging may aid in the assessment of pericardial inflammation
and constriction; 3) given phenotypic similarities between recurrent idiopathic
pericarditis and periodic fever syndromes, disorders of the inflammasome may
contribute to relapsing attacks; and 4) therapies that target the inflammasome
may lead to more durable remission and resolution. Finally, regarding future
investigations, the authors discuss the potential of cardiovascular magnetic
resonance to inform treatment duration and the need to compare steroid-sparing
treatments to pericardiectomy.
PMID- 27884249
TI - Long-Term Arrhythmic and Nonarrhythmic Outcomes of Lamin A/C Mutation Carriers.
AB - BACKGROUND: Mutations in LMNA are variably expressed and may cause
cardiomyopathy, atrioventricular block (AVB), or atrial arrhythmias (AAs) and
ventricular arrhythmias (VA). Detailed natural history studies of LMNA-associated
arrhythmic and nonarrhythmic outcomes are limited, and the prognostic
significance of the index cardiac phenotype remains uncertain. OBJECTIVES: This
study sought to describe the arrhythmic and nonarrhythmic outcomes of LMNA
mutation carriers and to assess the prognostic significance of the index cardiac
phenotype. METHODS: The incidence of AVB, AA, sustained VA, left ventricular
systolic dysfunction (LVD) (= left ventricular ejection fraction <=50%), and end
stage heart failure (HF) was retrospectively determined in 122 consecutive LMNA
mutation carriers followed at 5 referral centers for a median of 7 years from
first clinical contact. Predictors of VA and end-stage HF or death were
determined. RESULTS: The prevalence of clinical manifestations increased broadly
from index evaluation to median follow-up: AVB, 46% to 57%; AA, 39% to 63%; VA,
16% to 34%; and LVD, 44% to 57%. Implantable cardioverter-defibrillators were
placed in 59% of patients for new LVD or AVB. End-stage HF developed in 19% of
patients, and 13% died. In patients without LVD at presentation, 24% developed
new LVD, and 7% developed end-stage HF. Male sex (p = 0.01), nonmissense
mutations (p = 0.03), and LVD at index evaluation (p = 0.004) were associated
with development of VA, whereas LVD was associated with end-stage HF or death (p
< 0.001). Mode of presentation (with isolated or combination of clinical
features) did not predict sustained VA or end-stage HF or death. CONCLUSIONS:
LMNA-related heart disease was associated with a high incidence of phenotypic
progression and adverse arrhythmic and nonarrhythmic events over long-term follow
up. The index cardiac phenotype did not predict adverse events. Genetic diagnosis
and subsequent follow-up, including anticipatory planning for therapies to
prevent sudden death and manage HF, is warranted.
PMID- 27884252
TI - Differentiation of Constriction and Restriction: Complex Cardiovascular
Hemodynamics.
AB - Differentiation of constrictive pericarditis (CP) from restrictive cardiomyopathy
(RCM) is a complex and often challenging process. Because CP is a potentially
curable cause of heart failure and therapeutic options for RCM are limited,
distinction of these 2 conditions is critical. Although different in regard to
etiology, prognosis, and treatment, CP and RCM share a common clinical
presentation of predominantly right-sided heart failure, in the absence of
significant left ventricular systolic dysfunction or valve disease, due to
impaired ventricular diastolic filling. Fundamental to the diagnosis of either
condition is a clear understanding of the underlying hemodynamic principles and
pathophysiology. We present a contemporary review of the pathophysiology,
hemodynamics, diagnostic assessment, and therapeutic approach to patients
presenting with CP and RCM.
PMID- 27884253
TI - The Quest for New Approaches in Myocarditis and Inflammatory Cardiomyopathy.
AB - Myocarditis is a diverse group of heart-specific immune processes classified by
clinical and histopathological manifestations. Up to 40% of dilated
cardiomyopathy is associated with inflammation or viral infection. Recent
experimental studies revealed complex regulatory roles for several
microribonucleic acids and T-cell and macrophage subtypes. Although the
prevalence of myocarditis remained stable between 1990 and 2013 at about 22 per
100,000 people, overall mortality from cardiomyopathy and myocarditis has
decreased since 2005. The diagnostic and prognostic value of cardiac magnetic
resonance has increased with new, higher-sensitivity sequences. Positron emission
tomography has emerged as a useful tool for diagnosis of cardiac sarcoidosis. The
sensitivity of endomyocardial biopsy may be increased, especially in suspected
sarcoidosis, by the use of electrogram guidance to target regions of abnormal
signal. Investigational treatments on the basis of mechanistic advances are
entering clinical trials. Revised management recommendations regarding athletic
participation after acute myocarditis have heightened the importance of early
diagnosis.
PMID- 27884254
TI - Troponin Testing for Clinicians.
AB - The analytical performance of troponin assays has improved markedly in the last 2
decades. The variety of assays, their evolution over time, and their critical
importance in influencing care, mandates the need for skills in their use. There
are 3 critical elements necessary for optimal use of troponin testing in clinical
care, as follows: 1) the analytical performance of the assay; 2) the clinical
sensitivity and specificity of the test result; and 3) the clinical reasoning for
ordering and the proper clinical context for interpreting the test result. This
paper provides further explanation that will assist clinicians in their clinical
decision making and interpretation of troponin test results. Schematic visual
explanations are provided to help clinicians develop a more intuitive
understanding of troponin testing.
PMID- 27884255
TI - Fellowship Training in Cardiology: Finding Synergies Between Academic Program
Clinical Competencies and ACC-Developed Nonclinical Competencies.
PMID- 27884256
TI - The Quest to Become a Master Teacher: One Cardiologist's Story and
Recommendations for Others.
PMID- 27884257
TI - Reported Versus "Real" Incidence of New Pacemaker Implantation Post-Transcatheter
Aortic Valve Replacement.
PMID- 27884258
TI - Cardiac Phenotype and Long-Term Follow-Up of Patients With Mutations in NKX2-5
Gene.
PMID- 27884259
TI - Why to Replace an ACE or ARB With an ARB/Neprilysin Inhibitor?
PMID- 27884260
TI - Reply: Why to Replace an ACE or ARB With an ARB/Neprilysin Inhibitor?
PMID- 27884261
TI - Risk Scores From PARIS Registry: Are They Useful in a Contemporary Cohort of ACS
Patients?
PMID- 27884262
TI - Reply: Risk Scores From PARIS Registry: Are They Useful in a Contemporary Cohort
of ACS Patients?
PMID- 27884264
TI - Yet another good reason to decrease elective early-term births.
PMID- 27884263
TI - Non-Weight-Bearing and Weight-Bearing Ultrasonography of Select Foot Muscles in
Young, Asymptomatic Participants: A Descriptive and Reliability Study.
AB - OBJECTIVE: The primary aim of this study was to determine the reliability of
diagnostic ultrasound imaging for select intrinsic foot muscles using both non
weight-bearing and weight-bearing postures. Our secondary aim was to describe the
change in muscle cross-sectional area (CSA) and dorsoplantar thickness when
bearing weight. METHODS: An ultrasound examination was performed with a linear
ultrasound transducer operating between 9 and 12 MHz. Long-axis and short-axis
ultrasound images of the abductor hallucis, flexor digitorum brevis, and
quadratus plantae were obtained in both the non-weight-bearing and weight-bearing
postures. Two examiners independently collected ultrasound images to allow for
interexaminer and intraexaminer reliability calculation. The change in muscle CSA
and dorsoplantar thickness when bearing weight was also studied. RESULTS: There
were 26 participants (17 female) with a mean age of 25.5 +/- 3.8 years and a mean
body mass index of 28.0 +/- 7.8 kg/m2. Inter-examiner reliability was excellent
when measuring the muscles in short axis (intraclass correlation coefficient
>0.75) and fair to good in long axis (intraclass correlation coefficient >0.4).
Intraexaminer reliability was excellent for the abductor hallucis and flexor
digitorum brevis and ranged from fair to good to excellent for the quadratus
plantae. Bearing weight did not reduce interexaminer or intraexaminer
reliability. All muscles exhibited a significant increase in CSA when bearing
weight. CONCLUSIONS: This is the first report to describe weight-bearing
diagnostic ultrasound of the intrinsic foot muscles. Ultrasound imaging is
reliable when imaging these muscles bearing weight. Furthermore, muscle CSA
increases in the weight-bearing posture.
PMID- 27884266
TI - Just say no to opioids!
PMID- 27884265
TI - Preventive care for children receiving steroids.
PMID- 27884268
TI - Tonsillectomy for incomplete PFAPA?
PMID- 27884269
TI - Precision medicine?
PMID- 27884267
TI - 50 Years Ago in The Journal of Pediatrics: Hemodynamic Findings in Acute
Glomerulonephritis.
PMID- 27884270
TI - Reconciling competing interests in the treatment of respiratory syndrome.
PMID- 27884271
TI - Newborns and red reflexes.
PMID- 27884272
TI - 50 Years Ago in TheJournal ofPediatrics: Antinuclear Factors in Childhood
Rheumatic Diseases.
PMID- 27884273
TI - 50 Years Ago in The Journal of Pediatrics: The Irritable Colon of Childhood
(Chronic Nonspecific Diarrhea Syndrome).
PMID- 27884274
TI - 50 Years Ago in The Journal of Pediatrics: Dysmorphology (Teratology).
PMID- 27884275
TI - 50 Years Ago in The Journal of Pediatrics: Familial Metabolic Disorder with Fatty
Metamorphosis of the Viscera.
PMID- 27884276
TI - Ten Years Atop the Masthead.
PMID- 27884277
TI - DASH and Mediterranean Diets as Nutritional Interventions for CKD Patients.
PMID- 27884278
TI - Lifetime Probabilities of ESRD: A Decade of Disparity.
PMID- 27884279
TI - Patient-Oriented Research: Clinical Innovation Based on CKD Patients' Priorities
for Care.
PMID- 27884280
TI - Autosomal Dominant Polycystic Kidney Disease and Ventricular Noncompaction:
Unanswered Questions.
PMID- 27884281
TI - In Reply to 'Autosomal Dominant Polycystic Kidney Disease and Ventricular
Noncompaction: Unanswered Questions'.
PMID- 27884282
TI - Quiz Page December 2016: Anuria on the Second Day Following Kidney
Transplantation.
PMID- 27884283
TI - AJKD Atlas of Renal Pathology: Thrombotic Microangiopathy.
PMID- 27884284
TI - AJKD Atlas of Renal Pathology: Cytomegalovirus Infection.
PMID- 27884285
TI - AJKD Atlas of Renal Pathology: Polyomavirus Nephropathy.
PMID- 27884286
TI - NIH's Essential 21st-Century Research Challenge: Enhancing Scientific Workforce
Diversity.
PMID- 27884287
TI - The Role of Entrepreneurial Leadership and Innovation in the Future of
Therapeutic Dermatology.
PMID- 27884288
TI - TREX through Cutaneous Health and Disease.
AB - TREX1 and 2 are exonucleases that repair and degrade DNA. Degradation of DNA is
involved in maintaining the integrity of the epidermis. The importance of these
enzymes to cutaneous integrity is observed when TREX1 and TREX2 pathways become
aberrant, and autoimmune or cancerous diseases ensue. Manils et al. have now
shown that overexpression of TREX2 may play a role in potentiating psoriasis.
Thus, these pathways are likely targets for novel therapeutics.
PMID- 27884289
TI - Psoriasis Therapy: Breakthroughs in Pharmacogenomics or in Pharmacology?
AB - As the cost of psoriasis therapies skyrockets, it becomes increasingly important
to find biomarkers that predict which patients will respond to expensive
medications. The ability to predict response to a specific therapy is
particularly important for medications that are effective in only a small portion
of the population. As we develop medications that clear most patients, the need
for a predictive biomarker diminishes. Nevertheless, the importance of
pharmacogenomics is likely to increase as the cost of drugs continues to rise.
PMID- 27884290
TI - Atopic Dermatitis According to GARP: New Mechanistic Insights in Disease
Pathogenesis.
AB - In complex disease such as atopic dermatitis, the journey from identification of
strong risk loci to profound functional and mechanistic insights can take several
years. Here, Manz et al. have elegantly deciphered the mechanistic pathways in
the well-established 11q13.5 atopic dermatitis risk locus. Their genetic and
functional insights emphasize a role for T regulatory cells in atopic dermatitis
pathogenesis.
PMID- 27884291
TI - Bioinformatic Analysis of Gene Expression for Melanoma Treatment.
AB - Bioinformatic analysis of genome-wide gene expression allows us to characterize
cells, including melanomas. Gene expression profiles have been generated in
various stages of melanomas and analyzed by researchers in unique ways. Lauss et
al. compared their melanoma subtypes with those of The Cancer Genome Atlas
Network and found consistency between the two studies.
PMID- 27884292
TI - Mission Accomplished?
PMID- 27884293
TI - Bridging the Gap between Clinical Medicine and its Underlying Science.
PMID- 27884294
TI - A Serendipitous Retreat into Research Techniques Made Simple.
PMID- 27884295
TI - Research Techniques Made Simple: Workflow for Searching Databases to Reduce
Evidence Selection Bias in Systematic Reviews.
AB - Clinical trials and basic science studies without statistically significant
results are less likely to be published than studies with statistically
significant results. Systematic reviews and meta-analyses that omit unpublished
data are at high risk of distorted conclusions. Here, we describe methods to
search beyond bibliographical databases to reduce evidence selection bias in
systematic reviews. Unpublished studies may be identified by searching conference
proceedings. Moreover, clinical trial registries-databases of planned and ongoing
trials-and regulatory agency websites such as the European Medicine Agency (EMA)
and the United States Food and Drug Administration (FDA) may provide summaries of
efficacy and safety data. Primary and secondary outcomes are prespecified in
trial registries, thus allowing the assessment of outcome reporting bias by
comparison with the trial report. The sources of trial data and documents are
still evolving, with ongoing initiatives promoting broader access to clinical
study reports and individual patient data. There is currently no established
methodology to ensure that the multiple sources of information are incorporated.
Nonetheless, systematic reviews must adapt to these improvements and cover the
new sources in their search strategies.
PMID- 27884298
TI - MHY1485 activates mTOR and protects osteoblasts from dexamethasone.
AB - Dexamethasone (Dex) exerts cytotoxic effects to cultured osteoblasts. The
potential effect of MHY1485, a small-molecular mammalian target of rapamycin
(mTOR) activator, against the process was studied here. In both osteoblastic
MC3T3-E1 cells and primary murine osteoblasts, treatment with MHY1485
significantly ameliorated Dex-induced cell death and apoptosis. mTOR inhibition,
through mTOR kinase inhibitor OSI-027 or mTOR shRNAs, abolished MHY1485-mediated
osteoblast cytoprotection against Dex. Intriguingly, activation of mTOR complex
(mTORC1), but not mTORC2, is required for MHY1485's anti-Dex activity. mTORC1
inhibitors (rapamycin and RAD001) or Raptor knockdown almost reversed MHY1485
induced osteoblast cytoprotection. mTORC2 inhibition, via shRNA knockdown of
Rictor, failed to affect MHY1485's activity in MC3T3-E1 cells. Further studies
showed that MHY1485 treatment in MC3T3-E1 cells and primary murine osteoblasts
significantly inhibited Dex-induced mitochondrial death pathway activation, the
latter was tested by mitochondrial depolarization, cyclophilin D-ANT-1
association and cytochrome C cytosol release. Together, these results suggest
that MHY1485 activates mTORC1 signaling to protect osteoblasts from Dex.
PMID- 27884299
TI - Understanding the Impact That Marketing, Advertising, and Promotion Have on
Adolescent E-cigarette Behavior.
PMID- 27884302
TI - Folic acid supplementation and chronic kidney disease progression.
AB - In contrast to prior studies demonstrating no benefit or even increased harm from
B vitamin supplementation in patients with chronic kidney disease, a large
randomized trial from China recently demonstrated small but statistically
significant reductions in the risk of first stroke and chronic kidney disease
progression with the addition of folic acid to enalapril in adults with
hypertension. Differences in the study population and study intervention may
explain these discordant results.
PMID- 27884300
TI - Teen Preferences for Clinic-Based Behavior Screens: Who, Where, When, and How?
AB - PURPOSE: Previous research examining computer-based adolescent risk behavior
screening was done before widespread adoption of smartphones and merits updating.
METHODS: This is a cross-sectional survey among 115 adolescents seeking primary
care age 12-18 years. It is a diverse sample with 59% female, 51% white, 18%
African-American, and 27% Latino. Respondents were asked level of comfort and
honesty (1 = strongly disagree, 5 = strongly agree) when answering health
behavior questions by paper, interview, or electronic device. Differences in the
level of agreement were tested using a Wilcoxon signed rank test. RESULTS:
Adolescents reported a higher level of comfort and honesty for screening
conducted via electronic device versus paper (90% vs. 57%, p < .001; 89% vs. 61%,
p < .001). Sixty-two percent adolescents prefer waiting room electronic screening
versus at home (4.7%) or by provider in the examination room (11.2%).
CONCLUSIONS: Electronic same-day risk behavior screening is the preferred method
for adolescents and should be incorporated into preventive services.
PMID- 27884303
TI - Aberrant mTORC1 activation kills tubular cells by inactivating miR148b-3p.
AB - A new study by Kuwagata et al. now shows that aberrant activation of the
mechanistic target of rapamycin complex 1 in renal tubular cells causes their
injury and cell death by enhancing endoplasmic reticulum stress and cell death
pathway under diabetic conditions. The study has revealed a novel molecular
mechanism in which mechanistic target of rapamycin complex 1 stimulates the tumor
necrosis factor signaling by attenuating miR-148b-3p, thereby deteriorating renal
tubular cell dysfunction under diabetic conditions.
PMID- 27884304
TI - A remedy for kidney disease successfully alters the cold shock protein response
during inflammation.
AB - Kidneys undergoing acute inflammatory responses are characterized by cell
infiltration and a cytokinergic milieu. The hazard resides in the perpetuation of
inflammation and ensuing fibrosis. In this issue of Kidney International, Wang et
al.4 identify the cold shock Y-box binding protein-1 as the key orchestrator of
cell infiltration in experimental tubulointerstitial nephritis following ureteral
obstruction. Intriguingly, a small molecule previously designed to interfere with
Y-box binding protein-1 interactions mediates an anti-inflammatory response and
halts fibrogenesis.
PMID- 27884305
TI - MicroRNAs in extracellular vesicles protect kidney from ischemic injury: from
endothelial to tubular epithelial.
AB - Extracellular vesicles from stem cells or progenitor cells are novel therapeutic
systems for acute kidney injury. With exosomes (the smallest class of
extracellular vesicles), Vinas et al. successfully rescued ischemic injured
kidney. MicroRNA-486-5p, the crucial factor specifically delivered by exosomes to
kidney, ameliorates the injury by targeting phosphatase and tensin homolog and
inhibiting endothelial cell apoptosis. In this commentary, we discuss the
potential underlying mechanism and the pivotal impact of their study on
extracellular vesicle therapy.
PMID- 27884306
TI - Commentary for "human kidney pericytes produce renin".
AB - Renin-secreting cells of the kidney display several particularities, including
their intrarenal localization in the fetal and in the adult kidney, their
ultrastructure, and the mode by which they secrete renin into circulation to
trigger the activity of the renin-angiotensin-aldosterone system. The cell type
from which renin cells differentiate has long been subject to speculation. The
paper by Stefanska et al. now strongly suggests that renin cells are or derive
from pericytes.
PMID- 27884307
TI - Extended hours hemodialysis and survival: extended hours, extended evidence?
AB - Extended-hours hemodialysis presents another approach to the intensification of
therapy for maintenance hemodialysis recipients. Smaller studies have
demonstrated several potential benefits with this modality, but the impact on
patient-centered outcomes has been unclear. We review the largest published study
to compare survival among patients who received extended-hours hemodialysis with
those who received conventional hemodialysis.
PMID- 27884308
TI - Talking back: the podocytes and endothelial cells duke it out.
AB - Thrombotic microangiopathy has numerous causes and may result in chronic kidney
disease with secondary glomerulosclerosis. Detailed analyses of this interplay of
lesions have been lacking. Buob et al. report on their adult, mostly Caucasian
patients, showing frequent sclerosis, most often of collapsing type, with worse
prognosis than in those without segmental scars. The complex interplay of
glomerular cells and possible ways in which the endothelial cells may talk back
to the podocytes, and vice versa, are discussed.
PMID- 27884309
TI - Would prescribing target Kt dose adjusted for body surface area improve
hemodialysis outcomes?
AB - The use of Kt/V to prescribe and monitor hemodialysis adequacy remains the
current standard, although it is increasingly questioned. Alternative proposals
for dose prescription and monitoring have been advocated. In a noninterventional,
prospective study reported in this issue, Maduell et al., utilizing online ionic
dialysance, explore the association between outcome measures (mortality and
hospitalization rates) and the extent to which delivered Kt dose achieved minimal
target Kt doses calculated from individual estimates of body surface area.
PMID- 27884310
TI - Normalizing the peritoneal dialysis dose-have we got it right?
AB - How the dialysis dose is normalized is just one of several assumptions that
clinicians need to take into account when prescribing peritoneal dialysis. El
Kateb et al. confirm that estimating the volume of urea distribution is
associated with significant error and show that energy expenditure is not
linearly related to volume, such that there is a potential need for a higher
dialysis prescription in smaller, more active individuals.
PMID- 27884312
TI - Management of patients with diabetes and CKD: conclusions from a "Kidney Disease:
Improving Global Outcomes" (KDIGO) Controversies Conference.
AB - The prevalence of diabetes around the world has reached epidemic proportions and
is projected to increase to 642 million people by 2040. Diabetes is already the
leading cause of end-stage kidney disease (ESKD) in most developed countries, and
the growth in the number of people with ESKD around the world parallels the
increase in diabetes. The presence of kidney disease is associated with a
markedly elevated risk of cardiovascular disease and death in people with
diabetes. Several new therapies and novel investigational agents targeting
chronic kidney disease patients with diabetes are now under development. This
conference was convened to assess our current state of knowledge regarding
optimal glycemic control, current antidiabetic agents and their safety, and new
therapies being developed to improve kidney function and cardiovascular outcomes
for this vulnerable population.
PMID- 27884314
TI - Chronic massive pneumoperitoneum.
PMID- 27884311
TI - Understanding kidney care needs and implementation strategies in low- and middle
income countries: conclusions from a "Kidney Disease: Improving Global Outcomes"
(KDIGO) Controversies Conference.
AB - Evidence-based cinical practice guidelines improve delivery of uniform care to
patients with and at risk of developing kidney disease, thereby reducing disease
burden and improving outcomes. These guidelines are not well-integrated into care
delivery systems in most low- and middle-income countries (LMICs). The KDIGO
Controversies Conference on Implementation Strategies in LMIC reviewed the
current state of knowledge in order to define a road map to improve the
implementation of guideline-based kidney care in LMICs. An international group of
multidisciplinary experts in nephrology, epidemiology, health economics,
implementation science, health systems, policy, and research identified key
issues related to guideline implementation. The issues examined included the
current kidney disease burden in the context of health systems in LMIC, arguments
for developing policies to implement guideline-based care, innovations to improve
kidney care, and the process of guideline adaptation to suit local needs. This
executive summary serves as a resource to guide future work, including a pathway
for adapting existing guidelines in different geographical regions.
PMID- 27884313
TI - Normal body mass index with central obesity has increased risk of coronary artery
calcification in Korean patients with chronic kidney disease.
AB - In chronic kidney disease (CKD), overweight and mild obesity have shown the
lowest cardiovascular (CV) risk. However, central obesity has been directly
associated with CV risk in these patients. This bidirectional relationship of
body mass index (BMI) and central obesity prompted us to evaluate CV risk based
on a combination of BMI and waist-to-hip ratio (WHR) in nondialysis CKD patients.
We included 1078 patients with CKD stage 2 through 5 (nondialysis) enrolled in a
nationwide prospective cohort of Korea. Patients were divided into 3 groups by
BMI (normal BMI, 18.5-22.9; overweight, 23.0-27.4; and obese, 27.5 and over
kg/m2) and were dichotomized by a sex-specific median WHR (0.92 in males and 0.88
in females). Coronary artery calcification (CAC) was determined by multislice
computed tomography. CAC (score above 10 Agatston units) was found in 477
patients. Multivariate logistic regression analysis indicated that BMI was not
independently associated with CAC. However, WHR showed an independent linear and
significant association with CAC (odds ratio, 1.036; 95% confidence interval,
1.007-1.065 per 0.01 increase). Furthermore, when patients were categorized into
6 groups according to a combination of BMI and WHR, normal BMI but higher WHR had
the highest risk of CAC compared with the normal BMI with lower WHR group (2.104;
1.074-4.121). Thus, a normal BMI with central obesity was associated with the
highest risk of CAC, suggesting that considering BMI and WHR, 2 surrogates of
obesity, can help to discriminate CV risk in Korean nondialysis CKD patients.
PMID- 27884315
TI - Melanonychia following cyclophosphamide therapy.
PMID- 27884316
TI - The Case | Seizure, ophthalmoplegia, and amnesia in a peritoneal dialysis
patient.
PMID- 27884317
TI - The Case | Unexplained fever and acute kidney injury in a kidney transplant
patient.
PMID- 27884318
TI - N-acetylcysteine ameliorates liver injury in a rat model of intestinal ischemia
reperfusion.
AB - BACKGROUND: N-acetylcysteine (NAC) is an antioxidant with direct and indirect
antioxidant actions used in the clinical setting. Oxidative stress is known to
play a pivotal role in the intestinal ischemia reperfusion (IIR). Therefore, we
studied the effect of different pretreatment regimens with NAC on the IIR injury
in rats. MATERIALS AND METHODS: Thirty-five male Wistar rats were randomly
assigned to five groups. In group sham, only laparotomy was performed. Group
control underwent IIR without NAC. In the other groups, NAC was administered
intraperitoneally with different regimens: 150 mg/kg before ischemia (NAC 150),
300 mg/kg before ischemia (NAC 300), and 150 mg/kg before ischemia plus 150 mg/kg
5 min before reperfusion (NAC 150 + 150). Measurements in tissues and blood were
conducted at 4 h of reperfusion following exsanguination. RESULTS: Histological
score of the liver was significantly improved in NAC 300 compared with control
(1.7 +/- 0.5 versus 2.9 +/- 1.1, respectively, P = 0.05). In addition, NAC
treatment significantly reduced liver transaminases in all groups of treatment,
mostly in group NAC 300. Plasma malondialdehyde levels were lower with NAC
treatment, although not statistically significant. Lung glutathione peroxidase
was significantly increased in group NAC 300 (P = 0.04), while the other
oxidation biomarkers showed no significant differences. CONCLUSIONS: NAC exerts a
significant protective role in liver injury following IIR, which seems to be
independent of an intestinal protective effect. Additional administration of NAC
before reperfusion was of no further benefit. The most effective regimen among
the compared regimens was that of 300 mg/kg before ischemia.
PMID- 27884319
TI - Student views on the role of self-regulated learning in a surgery clerkship.
AB - BACKGROUND: Self-regulated learning, including student-generated learning goals
and flexibility in the learning structure are increasingly being used to enhance
medical education. The role of these practices in surgical education of medical
students has not been studied. MATERIALS AND METHODS: We administered an 18
question electronic survey to all third-year medical students at Washington
University in St. Louis School of Medicine. Of the 126 students invited, 64
responded and 56 were included in the analysis. RESULTS: We found that third-year
medical students develop learning goals at the beginning of the surgery
clerkship. Although these learning goals theoretically can be a mechanism for
enhanced student-faculty engagement, students are not aware of formal mechanisms
for sharing these goals with faculty members. Furthermore, students report a lack
of flexibility within the surgery clerkship and discomfort with requesting
specific learning opportunities. Finally, students report that they believe
increased flexibility could improve student engagement, learning, and the overall
clerkship experience. CONCLUSIONS: We therefore propose that a mechanism for
students to share their learning goals with faculty and an infrastructure in
which student learning experiences can be tailored to fit with these
individualized goals would enhance student surgical learning.
PMID- 27884320
TI - Prediction of procalcitonin for postoperative intraabdominal infections after
definitive operation of intestinal fistulae.
AB - BACKGROUND: Inflammatory biomarkers usually start to rise earlier before the
infection becomes clinically evident. This study was designed to evaluate the
predictive performance of procalcitonin (PCT), C-reactive protein (CRP), and
white blood cell (WBC) counts in postoperative intraabdominal infections (IAIs)
after definitive operation of intestinal fistulae. MATERIAL AND METHODS: We
prospectively enrolled a total of 356 consecutive patients who underwent elective
digestive tract reconstruction for gastrointestinal fistulae without existing
clinical infection. Plasma PCT levels, serum CRP concentration, and WBC counts
were assessed preoperatively and on postoperative days (PODs) 1, 3, 5, and 7. The
predictive value of each laboratory marker for IAIs was calculated. RESULTS: The
occurrence rate of IAIs after elective digestive tract reconstruction for
gastrointestinal fistulae in our study was 7.3%. Both PCT levels and WBC counts
were significantly higher in patients with IAIs than those in patients without
IAIs on POD 1, POD 3, and POD 5, whereas CRP levels differed significantly on POD
3 and POD 5. Receiver-operating characteristics demonstrated that PCT on POD 3
had the highest diagnostic accuracy for IAIs, and the area under the curve
reached 0.86, with a sensitivity of 92.0% and specificity of 74.0%. CONCLUSIONS:
The value of PCT above 0.98 ng/L on POD 3 and 0.83 ng/L on POD 5 could predict
the occurrence of IAIs after definitive operations for intestinal fistulae.
PMID- 27884321
TI - The role of computed tomography after emergent trauma operation.
AB - BACKGROUND: Although computed tomography (CT) has become the preferred diagnostic
modality, immediate surgical intervention is often required for severely injured
patients with minimum preoperative radiographic evaluation. The utility of
postoperative CT (postop-CT) for the identification of undiagnosed injuries and
its impact on patient management remain unclear. The purpose of this study was to
evaluate the utility of postop-CT for the identification of clinically
significant injuries in patients who underwent an emergent life-saving procedure.
METHODS: A 5-y retrospective study from 2009 to 2013 was conducted at a high
volume level I trauma center. We included blunt and penetrating trauma patients
who underwent an emergent operation (neck exploration, thoracotomy, and
laparotomy) without preoperative CT. Postop-CT was obtained within 48 h after the
initial operation at the discretion of the attending trauma surgeon.
Characteristics of newly diagnosed injuries on postop-CT were analyzed. These
injuries were considered clinically significant when the patient required (1)
immediate intervention; (2) new consultation from a specialty service; or (3) a
higher level of care. RESULTS: A total of 89 patients met our inclusion criteria
(five neck explorations, 16 thoracotomies, and 74 laparotomies) with the
following characteristics: median age of 30 y, 87.6% male, 47.2% penetrating
injury, and median injury severity score of 24. New injuries were identified on
postop-CT in 59 cases (66%), and clinical management was changed in 51 cases
(57%). Patients with an admission Glasgow Coma Scale <15 and solid organ injury
identified during the index operation were more likely to have new injuries on
postop-CT. CONCLUSIONS: In patients undergoing an emergent operation before
having their full diagnostic workup completed, postop-CT often demonstrates
clinically significant injuries. Further prospective study to identify the
patients who will benefit from postop-CT is warranted.
PMID- 27884322
TI - VAC-instillation therapy in abdominal mesh exposure: a novel indication.
AB - BACKGROUND: Defects of the abdominal wall pose a problem for general surgeons
that negatively affects patient prognosis. In cases of abdominal wall wound
dehiscence and exposed abdominal mesh, conservative treatment has not been proven
effective to date. We aimed to study patient outcomes in cases of abdominal wall
wound dehiscence with mesh exposure treated with vacuum-assisted closure system
with intermittent instillation (VAC-instillation) as a temporary cover to achieve
wound closure. METHODS: A retrospective cohort study was performed to evaluate
and compare the outcomes of 45 patients with postoperative abdominal wall wound
dehiscence and exposed mesh: 34 were treated with conventional dressings and 11
with the VAC-instillation device. Clinical records were reviewed, and patient
demographics, indication for abdominal surgery, and existing risk factors were
noted. Patient outcome was evaluated in terms of number of reoperations, length
of hospital stay, and total time of treatment. RESULTS: Demographic features did
not differ significantly between the two groups. Patients treated with
conventional dressings required a significantly higher number of surgeries to
achieve wound closure. We did not find statistical differences between the two
groups regarding length of hospital stay, but the VAC-instillation group showed a
significantly shorter total time of treatment. The incidence of complications was
lower in the VAC-instillation group, though hernia recurrence rate was slightly
higher in these patients. CONCLUSIONS: VAC-instillation is a valid option for the
conservative treatment of critical patients with abdominal wall wound dehiscence
and exposed infected mesh that allows recovery with fewer surgeries and
complications and avoids the need of mesh removal.
PMID- 27884323
TI - The toll-like receptor 4 antagonist transforming growth factor-beta-activated
kinase(TAK)-242 attenuates taurocholate-induced oxidative stress through
regulating mitochondrial function in mice pancreatic acinar cells.
AB - BACKGROUND: Acute pancreatitis (AP) is a commonly occurring and potentially life
threatening disease. Recently, toll-like receptor 4 (TLR4) has been considered as
a new clue for studying the pathogenesis of AP due to its important role in
inflammatory response cascade. MATERIALS AND METHODS: The aim of this study was
to investigate the potential protective effect of transforming growth factor-beta
activated kinase (TAK)-242, a novel TLR4 antagonist, in taurocholate-treated mice
pancreatic acinar cells. The protective effects were measured by cell viability,
lactate dehydrogenase release and apoptosis, and oxidative stress was assayed by
lipid peroxidation and oxidative enzyme activities. To determine the potential
underlying mechanisms, mitochondrial cytochrome c release, swelling, and calcium
buffering capacity were measured in isolated mitochondria, and mitochondrial
biogenesis and expression of mitochondrial dynamic proteins were detected by
reverse transcription-polymerase chain reaction (RT-PCR) and Western blot.
RESULTS: Treatment with 6-mM taurocholate significantly increased the expression
of TLR4 at both mRNA and protein levels. TAK-242 markedly increased cell
viability, decreased lactate dehydrogenase release, and inhibited apoptotic cell
death as measured by terminal deoxynucleotidyl transferase-mediated dUTP-biotin
nick end labeling (TUNEL) staining in pancreatic acinar cells. These protective
effects were accompanied by the suppressed lipid peroxidation and enhanced
endogenous antioxidative enzyme activity. Using isolated and purified
mitochondria from pancreatic acinar cells, we found that TAK-242 treatment also
inhibited cytochrome c release into the cytoplasm, mitochondrial swelling, and
decrease in mitochondrial Ca2+ buffering capacity after taurocholate exposure. In
addition, TAK-242 significantly promoted mitochondrial biogenesis, as evidenced
by increased mtDNA and upregulated mitochondrial transcription factors. The
results of Western blot analysis showed that TAK-242 also differently regulated
the expression of mitochondrial fusion and fission proteins. CONCLUSIONS: All
these data strongly indicated that blocking TLR4 activity via TAK-242 exerts
protective effects in an in vitro AP model, and it could be a possible strategy
to improve clinical outcome in AP patients.
PMID- 27884324
TI - Postconditioning with sevoflurane ameliorates spatial learning and memory deficit
after hemorrhage shock and resuscitation in rats.
AB - BACKGROUND: Severe hemorrhage shock and resuscitation are a systemic ischemia
reperfusion phenomenon which can induce learning and memory deficit in human and
rats. Sevoflurane postconditioning has been proved to offer neuroprotection under
different setting of cerebral ischemia-reperfusion in rats. The aim of this study
was to investigate whether sevoflurane postconditioning could improve spatial
learning and memory ability after hemorrhage shock and resuscitation in rats.
METHODS: Thirty-five male rats were randomized into five groups: sham group,
shock group, low concentration (sevo1, 1.2%), middle concentration (sevo2, 2.4%),
and high concentration (sevo3, 3.6%) of sevoflurane postconditioning groups. The
spatial learning and memory ability of rats were measured by Morris water maze 3
d after the operation. The expression of choline acetyltransferase (CHAT) and
acetylcholinesterase (ACHE) in the hippocampus CA1 region was observed by
immunohistochemistry method after the Morris water maze test. RESULTS: The
ability of spatial learning and memory of rats and the expression of CHAT was
significantly declined, while the expression of ACHE increased in the shock group
compared with the sham group (P < 0.05). Sevoflurane postconditioning with the
concentrations of 2.4% and 3.6% significantly ameliorated the spatial learning
and memory ability and increased the expression of CHAT and decreased the
expression of ACHE in hippocampal CA1 region when compared with shock group (P <
0.05). CONCLUSIONS: Postconditioning with sevoflurane at the concentrations of
2.4% and 3.6% which improved the ability of spatial learning and memory after
hemorrhage shock and resuscitation in rats may involve the protection of the
cholinergic neurons in hippocampal CA1 region.
PMID- 27884326
TI - Appropriate timing of blood sampling for blood gas analysis in the ventilated
rabbit.
AB - BACKGROUND: Arterial and venous blood gas analyses (BGAs) are essential to
evaluate devices that measure biological oxygenation. The appropriate timing of
blood sampling for BGA after respiratory rate (RR) change in animal experiments
has not been reported. This study investigated the appropriate timing of blood
sampling for BGA in ventilated rabbits and whether venous samples are an
alternative to arterial samples. MATERIALS AND METHODS: Under general anesthesia,
14 rabbits (body weight, 3.02 +/- 0.09 kg) were ventilated and their RR was
changed (40/min, 30/min, and 20/min). Blood was sampled through cervical arterial
and venous catheters. Experiment 1: in seven rabbits, arterial BGA was measured
at 0, 0.5, 1, 2, 3, 5, 10, 15, and 20 min after the RR change. Experiment 2: in
seven different rabbits, simultaneous arterial and venous BGA were measured at 0,
2, 5, 10, 15, and 20 min after the RR change. RESULTS: Oxygen partial pressure
(PO2) and saturation (SO2) of the arterial blood stabilized 0.5 min after the RR
changed. In venous BGA, no index stabilized during observation. The arterial and
venous values of the carbon dioxide partial pressure (PCO2) and pH had
significant correlations (arterial PCO2 = 0.9316 * venous PCO2-4.4425 [r =
0.9178]; arterial pH = 1.0835 * venous pH-0.5795 [r = 0.9453]). CONCLUSIONS: In
ventilated rabbits, arterial PO2 and SO2 stabilized in 0.5 min. No venous value
stabilized after the RR change. Only the PCO2 and pH of venous samples may be an
alternative to arterial samples under the defined formula.
PMID- 27884325
TI - Violet 405-nm light: a novel therapeutic agent against common pathogenic
bacteria.
AB - BACKGROUND: The increasing incidence of healthcare-associated infections (HAIs)
and multidrug-resistant organisms demonstrate the need for innovative
technological solutions. Staphylococcus aureus, Streptococcus pneumonia,
Escherichia coli, and Pseudomonas aeruginosa in particular are common pathogens
responsible for a large percentage of indwelling medical device-associated
clinical infections. The bactericidal effects of visible light sterilization
(VLS) using 405-nm is one potential therapeutic under investigation. MATERIALS
AND METHODS: Light-emitting diodes of 405-nm were used to treat varying
concentrations of S aureus, S pneumonia, E coli, and P aeruginosa. Irradiance
levels between 2.71 +/- 0.20 to 9.27 +/- 0.36 mW/cm2 and radiant exposure levels
up to 132.98 +/- 6.68 J/cm2 were assessed. RESULTS: Dose-dependent effects were
observed in all species. Statistically significant reductions were seen in both
Gram-positive and Gram-negative bacteria. At the highest radiant exposure levels,
bacterial log10 reductions were E coli-6.27 +/- 0.54, S aureus-6.10 +/- 0.60, P
aeruginosa-5.20 +/- 0.84, and S pneumoniae-6.01 +/- 0.59. Statistically
significant results (<0.001*) were found at each time point. CONCLUSIONS: We have
successfully demonstrated high-efficacy bacterial reduction using 405-nm light
sterilization. The VLS showed statistical significance against both Gram-positive
and Gram-negative species with the given treatment times. The beta-lactam
antibiotic-resistant E coli was the most sensitive to VLS, suggesting light
therapy could a suitable option for sterilization in drug-resistant bacterial
species. This research illustrates the potential of using VLS in treating
clinically relevant bacterial infections.
PMID- 27884327
TI - Inhibition of c-Jun N-terminal kinase signaling suppresses skin flap apoptosis in
a rat ischemia and/or reperfusion model.
AB - BACKGROUND: The goals of this study were to validate the role of c-Jun N-terminal
kinase (JNK) activation in skin flap apoptosis in a rat model of abdomen skin
ischemia and/or reperfusion (IR) and to compare the protective effect of SP600125
and hydrogen-rich saline in skin IR injury. METHODS: Male Sprague-Dawley rats
were divided into five groups: one sham surgery group and four surgery groups.
Before undergoing 3 h of IR management, the surgery groups were treated with
normal saline (IR), dimethyl sulfoxide, SP600125 (SP), and hydrogen-rich saline
(H). On the third postoperative day, blood perfusion of the flap was measured
using Laser Doppler flowmeters. Hematoxylin and eosin staining was used to
observe morphologic changes. Early apoptosis was observed using TdT-mediated dUTP
X nick end-labeling staining. pASK-1, pJNK, Bcl-2, and Bax were examined by
immunodetection. Caspase-3 activity was also measured 24 h after reperfusion.
RESULTS: Compared to the IR group and the dimethyl sulfoxide group, the SP group
and the H group had larger skin flap survival area, more blood perfusion and
lower levels of caspase-3 activity. The SP and the H groups had high expression
levels of Bcl-2 and low expression levels of pASK-1 and pJNK. Bax was
significantly decreased in the SP group. In addition, cell apoptosis was
decreased in both the sham surgery and the H groups. CONCLUSIONS: IR-induced JNK
phosphorylation was reduced by SP600125, indicating that JNK mediates the
apoptosis pathways in rat skin. In the SP and the H groups, the apoptotic factors
measured showed similar expression levels, indicating that JNK inhibition during
IR may be associated with H-mediated protection against skin IR apoptosis.
PMID- 27884328
TI - Effects of diagnosis-related group payment system on appendectomy outcomes.
AB - BACKGROUND: The voluntary diagnosis-related group (DRG) payment system was
introduced in 2002. Since July 2013, the Korean government has mandated DRG
participation for all hospitals. The main purpose of this study was to examine
the effects of mandatory DRG participation on various outcome metrics for
appendectomy patients. MATERIALS AND METHODS: We collected inpatient DRG data for
280,062 appendectomy patients between 2007 and 2014 using the Health Insurance
Review and Assessment database. We examined patient outcome metrics such as
length of stay (LOS), total medical cost, spillover, and readmission rate,
according to hospital size. RESULTS: As a result of DRG participation, the
average LOS for patients decreased (adjusted ratio: 0.83 [large hospitals], 0.83
[small hospitals]; 95% confidence interval [CI]: 0.82-0.84, 0.82-0.84), the total
medical costs of patients increased (adjusted ratio: 1.23 [large hospitals], 1.35
[small hospitals]; 95% CI: 1.22-1.24, 1.34-1.36), the spillover of patients
increased (adjusted ratio: 2.10 [large hospitals], 2.30 [small hospitals]; 95%
CI: 2.03-2.18, 2.16-2.45), and the readmission rates of appendectomy patients
decreased (adjusted ratio: 0.85 [large hospitals], 0.49 [small hospitals]; 95%
CI: 0.77-0.94, 0.42-0.57). CONCLUSIONS: The mandatory implementation of the DRG
payment system in South Korea has led to significant reductions in LOS and
readmission rates for appendectomy patients. However, any resulting expansion of
outpatient services may result in unnecessary resource usage rather than
improving medical quality. Policy makers should consider the various implications
reflected by these results when considering DRGs for other diseases.
PMID- 27884329
TI - Saphenous artery-based flap models in rats: new flap designs for experimental
studies.
AB - BACKGROUND: Experimental research using laboratory animals provides substantial
data about reconstructive surgery. However, the literature does not include any
experimental studies that have used flap models on the hind limbs of rats. To
gain an understanding of the physiology of lower-extremity flaps and of flap
failures, this study assessed the cutaneous perforators of the saphenous artery,
and new flap models were designed for the hind limbs of rats. MATERIALS AND
METHODS: The experiment was designed to include three stages and used 35 rats.
The first stage involved mapping the perforators of the saphenous artery. In the
second stage, the contents and structures of McFarlane, epigastric, and anterior
hind limb flap tissues were compared histologically. The third stage of the study
involved designing and comparing different flaps for the hind limbs of the rats
and included random flaps, perforator-based peninsular flaps, perforator-based
island flaps, and perforator-based flaps with rotated pedicles. Postoperative
necrosis ratios were evaluated using computer-based software. RESULTS: Mapping of
the saphenous artery perforators revealed an average of 2.2 septocutaneous
arteries in each hind limb. Histologic studies showed thick dermis and panniculus
carnosus in the McFarlane flaps, thick dermis, and thin panniculus carnosus
layers in the epigastric flaps, and thin subcutaneous tissue with no panniculus
carnosus tissue in the skin of the hind limbs. The results of the flap studies
that used random flaps showed a 52.4% necrosis, while there was no necrosis when
perforator-based peninsular flaps, island flaps, and flaps with rotated pedicles
were used. CONCLUSIONS: New flap models used on the saphenous artery perforators
of the hind limbs of rats can provide valuable information about the physiology
of lower-extremity flaps. New studies can also be designed based on these flap
models to acquire more knowledge about pathologic conditions such as ischemia and
venous insufficiency.
PMID- 27884330
TI - Factors associated with adverse events after emergency laparotomy in Cape Town,
South Africa: identifying opportunities for quality improvement.
AB - BACKGROUND: Surgical outcomes research is limited in areas of the world with the
greatest unmet surgical need and likely greatest variation in outcomes.
Measurement alone may improve outcomes-the so-called Hawthorne effect. The
purpose of this multicenter cohort study was to identify factors that are both
feasible to collect and are associated with a major adverse event following a
targeted procedure in Cape Town, South Africa. METHODS: A collaborative of four
acute care surgical units was formed to develop a data set with minimal data
burden describing outcomes after an emergency exploratory laparotomy during a 3
mo period (February-April 2015). Controlling for patient, problem, provider,
procedure and process predictors, multivariate models were built to identify risk
factors for a major adverse event and higher resource use after surgery in our
collaborative. RESULTS: The outcomes of 450 exploratory laparotomies from the
four participating hospitals were audited, 319 (70.9%) were for non-trauma and
131 (29.1%) were for trauma. The major adverse event rate was 15.7% (95% CI 12.6
19.4). In the multivariate analysis, factors associated with the primary outcome
included age, American Society of Anesthesia score of greater than 2, bowel
resection, preoperative CT scan, and a nontherapeutic laparotomy. A major adverse
event was associated with all three outcomes assessing increased resource
utilization. CONCLUSIONS: This study supports the comparative outcome assessment
of a high-volume or high-risk procedure as a proxy for measuring the quality of
care provided in a surgical collaborative. Such an exercise can identify
opportunities for quality improvement.
PMID- 27884331
TI - Inhibition of acquired-resistance hepatocellular carcinoma cell growth by
combining sorafenib with phosphoinositide 3-kinase and rat sarcoma inhibitor.
AB - BACKGROUND: To provide support for combined usage of phosphoinositide 3-kinase
(PI3K) inhibitors or mitogen-activated protein kinase pathway inhibitors together
with sorafenib in treatment of sorafenib-resistant hepatocellular carcinoma.
MATERIALS AND METHODS: The sorafenib-resistant cell lines were established to
evaluate the effects of MK-2206 2HCL, a dual PI3K/mammalian target of rapamycin
(mTOR) inhibitor, and PD0325901, an rat sarcoma (RAS) and/or extracellular signal
regulated kinase (ERK) inhibitor, on cell proliferation and apoptosis, as both
single and combined treatments with sorafenib. In addition, multidrug resistance
1 gene expression, mutation status of key members in PI3K/mTOR, and RAS/ERK
pathways and pathway activation were analyzed to identify predictors of drug
response. RESULTS: Molecular studies reveal that combining MK-2206 2HCL or
PD0325901 with sorafenib not only has a synergistic effect, in suppressing
PI3K/protein kinase B/mTOR and RAS/MEK/ERK signaling more effectively than either
treatment alone, but also prevents the cross activation of the other pathway that
occurs with single treatments in both sorafenib sensitive and resistant lines.
PD0325901 exhibited a stronger synergic effect with sorafenib than MK-2206 2HCL.
Sorafenib-resistant cell lines were characterized by activation of both of the
two pathways, as indicated by multidrug resistance 1 gene expression profiles and
pathway activity analysis. CONCLUSIONS: Our studies have showed that both
inhibitors of PI3K/mTOR and RAS/ERK signaling are potentially effective
antihepatocellular carcinoma drugs especially in treating sorafenib-resistant
hepatocellular carcinoma.
PMID- 27884332
TI - Thoracostomy tube function not trajectory dictates reintervention.
AB - BACKGROUND: Hemothorax and/or pneumothorax can be managed successfully managed
with tube thoracostomy (TT) in the majority of cases. Improperly placed tubes are
common with rates near 30%. This study aimed to determine whether TT trajectory
affects the rate of secondary intervention. METHODS: A retrospective review of
all adult trauma patients undergoing TT placement over a 4-y period was
performed. TT trajectory was classified as ideal, nonideal, or kinked-based on
anterior-posterior chest x-ray. TTs with sentinel port outside the thoracic
cavity were excluded. The primary outcome was any secondary intervention.
RESULTS: Four-hundred eighty-six patients and a total of 547 hemithoraces
underwent placement and met inclusion criteria. The majority of patients were
male (76%), with a median age of 41 y, and majority suffered blunt trauma ideal
trajectory was identified in 429 (78.4%). Kinked TTs were noted in 33 (6%)
hemothoraces with a 45.5% replacement rate. Review with staff demonstrates
inherent bias to replace kinked TTs. The overall secondary intervention rate was
27.8%. Kinked TTs were removed from final analysis due to treatment bias.
Subsequent analysis demonstrated no significant difference between ideal and
nonideal trajectories (25.1% versus 34.1%, P = 0.09). CONCLUSIONS: Intrathoracic
trajectory of nonkinked TTs with the sentinel port within the thoracic cavity
does not affect secondary intervention rates, including the rate of surgical
intervention.
PMID- 27884333
TI - C-spine injury and mandibular fractures: lifesaver broken in two spots.
AB - BACKGROUND: Trauma is a leading cause of injury and mortality and may involve
mandibular fractures and cervical spine injuries. Manipulation of the spine
during trauma protocols and operative treatment has the potential to cause
serious spinal cord injuries. The purpose of this study was to identify risk
factors associated with cervical spine injury (CSI) in patients with mandibular
fractures. METHODS: The National Trauma Databank (2007-2010) was used to identify
patients with mandibular fractures. RESULTS: A total of 59,028 patients were
identified and separated into adult and pediatric cohorts. There were 50,711
adults (86%) and 8317 children (14%). There were statistically significant lower
rates of associated CSI in pediatric patients than adults (3.5% versus 7.3%, P <
0.01). Predictors of associated CSI in mandible fractures for both adults and
children were older age, lower Glasgow Coma Scale, thoracic injuries, firearm or
motor vehicle accident mechanisms, and symphyseal fractures. In the pediatric
cohort, body, ramus, and subcondylar fractures were significantly associated with
CSI. In adults, female gender, and upper extremity, abdominopelvic, and head
injuries were also significantly associated with CSI. CONCLUSIONS: Multiple
mandibular fractures were inversely correlated with CSI. One possibility is that
energy dissipation in the mandible with multiple fractures is protective of the C
spine leading to fewer fractures. Children and adults had different associations
in the pattern of mandible fractures concomitant with CSI. This has implications
in management, imaging, and workup of trauma patients.
PMID- 27884334
TI - Assessment of the incidence of chronic pain and discomfort after primary inguinal
hernia repair.
AB - BACKGROUND: Chronic pain and discomfort is a notable complication after inguinal
hernia repair. This study assesses the incidence and degree of chronic pain and
discomfort after primary inguinal hernia repair performed in our hospital and
aims to clarify its relationship to the type of mesh placement. MATERIALS AND
METHODS: A retrospective analysis was conducted of 334 patients (378 lesions) who
underwent primary inguinal hernia using the Lichtenstein (onlay mesh), Ultrapro
Plug (UPP; onlay plus plug mesh), modified Kugel Patch (onlay and underlay mesh),
or laparoscopic transabdominal preperitoneal (TAPP; underlay mesh) procedure.
Postoperative pain was assessed using a numerical rating scale at postoperative 2
3 wk, 3 mo, and 6 mo. Postoperative discomfort was assessed 6 mo afterward.
RESULTS: Questionnaire responses were received for 378 lesions (100%) after 2-3
wk, 229 (60.6%) after 3 mo, and 249 (65.9%) after 6 mo. The majority of chronic
pain experienced was mild, and no patient suffered from severe pain. The level of
pain tended to be less for the TAPP procedure than for other methods. Discomfort
at rest was significantly less for TAPP versus Ultrapro Plug (P < 0.01), and
discomfort with movement was significantly less for TAPP versus modified Kugel (P
< 0.05). CONCLUSIONS: Onlay mesh appears to be a risk factor in chronic pain and
discomfort. The lower level of chronic pain and discomfort with underlay mesh
placement is considered to result from the reduced risk of nerve damage in this
procedure than in the onlay mesh placement procedure.
PMID- 27884335
TI - Pneumonia prevention in intubated patients given sucralfate versus proton-pump
inhibitors and/or histamine II receptor blockers.
AB - BACKGROUND: Ventilator-associated pneumonia (VAP) is a common cause of infectious
morbidity and mortality in the intensive care unit (ICU). The type of stress
ulcer prophylaxis (SUP) given to ventilated patients may, in part, be
responsible. We observed an increase in VAP as ventilator bundle compliance
increased and a decrease in VAP when bundle compliance decreased. We reasoned
that SUP which raises gastric pH such as proton-pump inhibitors (PPIs) and
histamine II (H2) receptor antagonists as opposed to SUP which does not raise pH
such as sucralfate (S) may be responsible and also may alter the causative
bacteria. MATERIALS AND METHODS: This is a single-center retrospective cohort
analysis of all intubated, adult surgical patients admitted to the surgical ICU
between January and June during the 3-y period 2012-2014. Demographics, APACHE
II, Injury Severity Score, VAP occurrence, culprit bacteria, ventilator days, and
ICU days were recorded based on the type of SUP given. RESULTS: There were 45
instances of VAP in the 504 study patients, 33 in the PPI/H2 group, and 12 in the
S group (P < 0.01). VAP per 1000 ventilator days were 10.2 for PPI/H2 and 3.7 for
S (P < 0.01). Culprit bacteria were mostly Pseudomonas, gram-negative bacilli,
and methicillin-resistant Staphylococcus aureus in PPI/H2 patients (n = 29)
compared with oropharyngeal flora in S patients (n = 6; P < 0.001). CONCLUSIONS:
There was a substantial difference in VAP occurrence and in the culprit bacteria
between S and PPI/H2 treated patients due perhaps to gastric alkalization.
PMID- 27884336
TI - Predictive value of clinical scoring and simplified gait analysis for acetabulum
fractures.
AB - BACKGROUND: Fractures of the acetabulum show a high, long-term complication rate.
The aim of the present study was to determine the predictive value of clinical
scoring and standardized, simplified gait analysis on the outcome after these
fractures. METHODS: Forty-one patients with acetabular fractures treated between
2008 and 2013 and available, standardized video recorded aftercare were
identified from a prospective database. A visual gait score was used to determine
the patients walking abilities 6-m postoperatively. Clinical (Merle d'Aubigne and
Postel score, visual analogue scale pain, EQ5d) and radiological scoring
(Kellgren-Lawrence score, postoperative computed tomography, and Matta
classification) were used to perform correlation and multivariate regression
analysis. RESULTS: The average patient age was 48 y (range, 15-82 y), six female
patients were included in the study. Mean follow-up was 1.6 y (range, 1-2 y).
Moderate correlation between the gait score and outcome (versus EQ5d: rs = 0.477;
versus Merle d'Aubigne: rs = 0.444; versus Kellgren-Lawrence: rs = -0.533), as
well as high correlation between the Merle d'Aubigne score and outcome were seen
(versus EQ5d: rs = 0.575; versus Merle d'Aubigne: rs = 0.776; versus Kellgren
Lawrence: rs = -0.419). Using a multivariate regression model, the 6 m gait score
(B = -0.299; P < 0.05) and early osteoarthritis development (B = 1.026; P < 0.05)
were determined as predictors of final osteoarthritis. A good fit of the
regression model was seen (R2 = 904). CONCLUSIONS: Easy and available clinical
scoring (gait score/Merle d'Aubigne) can predict short-term radiological and
functional outcome after acetabular fractures with sufficient accuracy. Decisions
on further treatment and interventions could be based on simplified gait
analysis.
PMID- 27884338
TI - The effect of local hyperglycemia on skin cells in vitro and on wound healing in
euglycemic rats.
AB - BACKGROUND: Multiple previous studies have established that high systemic blood
glucose concentration impairs skin wound healing. However, the effects of local
hyperglycemia on wound healing are not well defined. Comprehensive animal studies
and in vitro studies using both fibroblasts and keratinocytes are lacking.
MATERIALS AND METHODS: Primary keratinocytes and fibroblasts were isolated from
discarded human tissue, cultured under different concentrations of glucose, and
the effect on cell function was examined. In addition, a rat full-thickness wound
model was used to topically treat the wounds with different glucose
concentrations and the effect on wound closure and re-epithelialization was
investigated over time. RESULTS: The cell viability experiments indicated that
both keratinocytes and fibroblasts endure high glucose well and concentrations
under 26 mM did not have a remarkable effect on their viability over time.
Moderate addition of glucose (10 mM) boosted fibroblast proliferation (6-fold)
but did not have an effect on keratinocyte proliferation. In both keratinocytes
and fibroblasts, glucose inhibited their migration and already the addition of
5.6-mM glucose had an inhibitory effect. In vivo experiments showed that full
thickness wounds treated with topical glucose had impaired wound closure and
lower re-epithelialization rate in comparison to nontreated control wounds. The
results also showed that higher glucose concentrations inhibited wound healing
more efficiently. CONCLUSIONS: In conclusion, our study indicates that high
glucose inhibits both keratinocyte and fibroblast migration as well as wound
healing in vivo in a concentration dependent manner.
PMID- 27884337
TI - Using community outreach to explore health-related beliefs and improve surgeon
patient engagement.
AB - BACKGROUND: Fostering surgeon engagement in community outreach was recently
identified as a major priority toward reducing health care disparities in
surgery. We aimed to increase surgeon engagement in the local community,
understand prevalent beliefs, and identify educational opportunities in the local
community regarding cancer screening and treatment using community outreach.
MATERIALS AND METHODS: In collaboration with the university's cancer center, the
medical student surgical interest group, surgical faculty, and residents
developed a community outreach program. The program consisted of networking time,
a formal presentation, panel discussion, and question and answer time. A survey
was distributed to all participants before the educational session, and a program
assessment was distributed at the program's conclusion. RESULTS: A total of 256
community members and 22 surgical volunteers attended at least one of the two
events. Attendees were insured (175; 92.7%), female (151; 80%), and African
American (176; 93.1%), with a mean age of 61 y (standard deviation 14.0). About
56 participants (29.6%) were unwilling to undergo screening colonoscopy. Forty
eight respondents (25.4%) endorsed mistrust in doctors and 25% believed surgery
causes cancer to spread; a significantly higher proportion of them aged <60 y
old. About 113 (59.8%) and 87 (46.1%) misunderstood the definitions of malignant
and metastatic, respectively. Males were more unsure than females (61% versus
55%, P = 0.5 and 70% versus 55%; P = 0.01). CONCLUSIONS: Risk perceptions related
to fatalism, mistrust, or lack of knowledge were prevalent. The ability of
surgeons to reach at-risk populations in the prehospital setting is an important
opportunity waiting to be capitalized upon.
PMID- 27884339
TI - Dose-dependent mortality and organ injury in a cecal slurry peritonitis model.
AB - BACKGROUND: The cecal slurry model was introduced as an alternative method for
creating an animal sepsis model. This study was performed to evaluate dose
dependent mortality and organ injury in a sepsis model of cecal slurry
peritonitis. MATERIALS AND METHODS: Male Sprague-Dawley rats were divided into
5.0, 7.5, 10, or 15 mL/kg groups, according to the volume of cecal slurry
administered into the peritoneal cavity. In the survival study, rats were
observed for 14 d after sepsis induction. In the second experiment, blood and
tissue were harvested to measure organ injury and the 2', 7'-dichlorofluorescein
diacetate concentrations. RESULTS: All rats in the 5.0 mL/kg group survived for
14 d, whereas all rats in the 15 mL/kg group died within 24 h. The survival rates
in the 7.5 mL/kg and 10 mL/kg groups were 60% and 30%, respectively. In the
arterial blood gas analysis, lactate concentrations increased and HCO3- decreased
in a dose-dependent manner across the groups. Alanine aminotransferase and blood
urea nitrogen concentrations increased as the dose of cecal slurry increased. 2',
7'-Dichlorofluorescein diacetate concentrations also increased in a dose
dependent manner. CONCLUSIONS: The cecal slurry model of sepsis evaluated in this
study demonstrates dose-dependent mortality, metabolic acidosis, liver and kidney
injuries, and reactive oxygen species production, and it could be used for
subsequent sepsis experiments, considering the severity of sepsis induced.
PMID- 27884340
TI - Use of an experimental model to evaluate infection resistance of meshes in
abdominal wall surgery.
AB - BACKGROUND: Staphylococcal species are the most common organisms causing
prosthetic mesh infections, however, infections due to rapidly growing
mycobacteria are increasing. This study evaluates the resistance of biomaterial
for abdominal wall prostheses against the development of postoperative infection
in a rat model. MATERIAL AND METHODS: In 75 rats, we intramuscularly implanted
three different types of prostheses: (1) low-density polypropylene monofilament
mesh (PMM), (2) high-density PMM, and (3) a composite prosthesis composed of low
density PMM and a nonporous hydrophilic film. Meshes were inoculated with a
suspension containing 108 colony-forming units of Staphylococcus aureus,
Staphylococcus epidermidis, Mycobacterium fortuitum, or Mycobacterium abscessus
before wound closure. Animals were sacrificed on the eighth day postoperatively
for clinical evaluation, and the implants were removed for bacteriologic
analyses. RESULTS: Prostheses infected with S aureus showed a higher bacterial
viability, worse integration, and clinical outcome compared with infection by
other bacteria. Composite prostheses showed a higher number of viable colonies of
both M fortuitum and Staphylococcus spp., with poorer integration in host tissue.
However, when the composite prosthesis was infected with M abscessus, a lower
number of viable bacteria were isolated and a better integration was observed
compared with infection by other bacteria. CONCLUSIONS: Considering M abscessus,
a smaller collagen-free contact surface shows better resistance to infection,
however, depending on the type of bacteria, prostheses with a large surface, and
covered with collagen shows reduced resistance to infection, worse integration,
and worse clinical outcome.
PMID- 27884341
TI - Propofol inhibits T-helper cell type-2 differentiation by inducing apoptosis via
activating gamma-aminobutyric acid receptor.
AB - BACKGROUND: Propofol has been shown to attenuate airway hyperresponsiveness in
asthma patients. Our previous study showed that it may alleviate lung
inflammation in a mouse model of asthma. Given the critical role of T-helper cell
type-2 (Th2) differentiation in asthma pathology and the immunomodulatory role of
the gamma-aminobutyric acid type A (GABAA) receptor, we hypothesized that
propofol could alleviate asthma inflammation by inhibiting Th2 cell
differentiation via the GABA receptor. METHODS: For in vivo testing, chicken
ovalbumin-sensitized and challenged asthmatic mice were used to determine the
effect of propofol on Th2-type asthma inflammation. For in vitro testing, Th2
type cytokines as well as the cell proliferation and apoptosis were measured to
assess the effects of propofol on Th2 cell differentiation and determine the
underlying mechanisms. RESULTS: We found that propofol significantly decreased
inflammatory cell counts and interleukin-4 and inflammation score in vivo.
Propofol, but not intralipid, significantly reduced the Th2-type cytokine
interleukin-5 secretion and caused Th2 cell apoptosis without obvious inhibition
of proliferation in vitro. A GABA receptor agonist simulated the effect of
propofol, whereas pretreatment with an antagonist reversed this effect.
CONCLUSIONS: This study demonstrates that the antiinflammatory effects of
propofol on Th2-type asthma inflammation in mice are mediated by inducing
apoptosis without compromising proliferation during Th2 cell differentiation via
activation of the GABA receptor.
PMID- 27884342
TI - Optimizing neurogenic potential of enteric neurospheres for treatment of
neurointestinal diseases.
AB - BACKGROUND: Enteric neurospheres derived from postnatal intestine represent a
promising avenue for cell replacement therapy to treat Hirschsprung disease and
other neurointestinal diseases. We describe a simple method to improve the
neuronal yield of spontaneously formed gut-derived neurospheres. MATERIALS AND
METHODS: Enteric neurospheres were formed from the small and large intestines of
mouse and human subjects. Neurosphere size, neural crest cell content, cell
migration, neuronal differentiation, and neuronal proliferation in culture were
analyzed. The effect of supplemental neurotrophic factors, including glial cell
line-derived neurotrophic factor (GDNF) and endothelin-3, was also assessed.
RESULTS: Mouse small intestine-derived neurospheres contained significantly more
P75-expressing neural crest-derived cells (49.9 +/- 15.3% versus 21.6 +/- 11.9%,
P < 0.05) and gave rise to significantly more Tuj1-expressing neurons than colon
derived neurospheres (69.9 +/- 8.6% versus 46.2 +/- 15.6%, P < 0.05). A similar
pattern was seen in neurospheres isolated from human small and large intestine
(32.6 +/- 17.5% versus 10.2 +/- 8.2% neural crest cells, P < 0.05; 29.7 +/- 16.4%
versus 16.0 +/- 13.5% enteric neurons, P < 0.05). The addition of GDNF to the
culture media further improved the neurogenic potential of small intestinal
neurospheres (75.9 +/- 4.0% versus 67.8 +/- 5.8%, P < 0.05) whereas endothelin-3
had no effect. CONCLUSIONS: Enteric neurospheres formed from small intestine and
supplemented with GDNF yield an enriched population of neural crest-derived
progenitor cells and give rise to a high density of enteric neurons.
PMID- 27884344
TI - Do resident's leadership skills relate to ratings of technical skill?
AB - BACKGROUND: This study sought to compare general surgery research residents'
survey information regarding self-efficacy ratings to their observed performance
during a simulated small bowel repair. Their observed performance ratings were
based on their leadership skills in directing their assistant. METHODS:
Participants were given 15 min to perform a bowel repair using bovine intestines
with standardized injuries. Operative assistants were assigned to help assist
with the repair. Before the procedure, participants were asked to rate their
expected skills decay, task difficulty, and confidence in addressing the small
bowel injury. Interactions were coded to identify the number of instructions
given by the participants to the assistant during the repair. Statistical
analyses assessed the relationship between the number of directional instructions
and participants' perceptions self-efficacy measures. Directional instructions
were defined as any dialog by the participant who guided the assistant to perform
an action. RESULTS: Thirty-six residents (58.3% female) participated in the
study. Participants who rated lower levels of decay in their intraoperative
decision-making and small bowel repair skills were noted to use their assistant
more by giving more instructions. Similarly, a higher number of instructions
correlated with lower perceived difficulty in selecting the correct suture,
suture pattern, and completing the entire surgical task. CONCLUSIONS: General
surgery research residents' intraoperative leadership skills showed significant
correlations to their perceptions of skill decay and task difficulty during a
bowel repair. Evaluating resident's directional instructions may provide an
additional individualized intraoperative assessment metric. Further evaluation
relating to operative performance outcomes is warranted.
PMID- 27884343
TI - Increased tolerance to stress in cardiac expressed gain-of-function of adenosine
triphosphate-sensitive potassium channel subunit Kir6.1.
AB - BACKGROUND: The adenosine triphosphate-sensitive potassium (KATP) channel opener
diazoxide (DZX) prevents myocyte volume derangement and reduced contractility
secondary to stress. KATP channels are composed of pore-forming (Kir6.1 or
Kir6.2) and regulatory (sulfonylurea receptor, SUR1 or SUR2) subunits. Gain of
function (GOF) of Kir6.1 subunits has been implicated in cardiac pathology in
Cantu syndrome in humans (cardiomegaly, lymphedema, and pericardial effusions).
We hypothesized that GOF of Kir6.1 subunits would result in altered myocyte
response to stress. MATERIALS AND METHODS: Isolated cardiac myocytes from wild
type (WT) and transgenic Kir6.1GOF mice were exposed to Tyrode's physiologic
solution for 20 min, test solution (Tyrode's or stress [hyperkalemic cardioplegia
{CPG, known myocyte stress}] +/- KATP channel opener DZX), followed by Tyrode's
for 20 min. Myocyte volume and contractility were measured and compared. RESULTS:
WT myocytes demonstrated significant swelling in response to stress, but
significantly less swelling was seen in Kir6.1GOF myocytes. DZX prevented
swelling secondary to CPG in WT but resulted in a nonsignificant reduction in
swelling in Kir6.1GOF myocytes. Both WT and Kir6.1GOF myocytes demonstrated a
reduction in contractility during stress, although this was only significant in
Kir6.1GOF myocytes. DZX was not associated with an improvement in contractility
in Kir6.1GOF myocytes following stress. CONCLUSIONS: Similar to previous results
in Kir6.1(-/-) myocytes, Kir6.1GOF myocytes demonstrate resistance (less volume
derangement) to stress of cardioplegia. Understanding the role of Kir6.1 in
myocyte response to stress may aid in the treatment of patients with Cantu
syndrome and warrants further investigation.
PMID- 27884345
TI - Wound-edge protection devices in gastrointestinal surgery: a meta-analysis.
AB - BACKGROUND: The role of wound-edge protection devices (WEPDs) in wound infection
prevention is still controversial. The aim of this meta-analysis was to assess
the protective efficiency of WEPDs in gastrointestinal surgery in a pooled
analysis of randomized controlled trials. MATERIALS AND METHODS: A variety of
sources were searched for randomized controlled trials evaluating the protective
efficiency of WEPDs in gastrointestinal surgery. Subgroup analysis and meta
regressions were conducted to investigate the possible influence of the type of
WEPD on the size of intervention effect. This review was conducted in accordance
with a prespecified protocol based on the guidance of the Cochrane Handbook and
Preferred Reporting Items for Systematic Reviews and Meta-analyses statement.
RESULTS: Sixteen studies with 3663 patients were included. The WEPDs usage led to
a significant decrease in surgical wound infection (risk ratio [RR] = 0.64; 95%
confidence interval [CI]: 0.46-0.87; P = 0.005; I2 = 63%), with the dual-ring
design usage yielding a more significant reduction in surgical wound infection
(RR = 0.24; 95% CI: 0.11-0.50; P = 0.0002; I2 = 29%), whereas the single-ring
design usage yielding a nonsignificant result (RR = 0.78; 95% CI: 0.58-1.04; P =
0.09; I2 = 53%). CONCLUSIONS: Double-ring WEPD, but not single-ring design,
reduces wound infection rate significantly in gastrointestinal surgery.
Therefore, the use of single-ring WEPD should be reconsidered.
PMID- 27884346
TI - Survival outcomes of geriatric patients with clinically resectable gastric
cancer: to operate or not.
AB - BACKGROUND: Approximately, 50% of all gastric cancer patients are aged >70 y.
Although curative surgery is the treatment of choice, many geriatric patients die
of surgical complications. Therefore, we aimed to evaluate the impact of radical
surgery on the survival outcome of geriatric patients with resectable gastric
cancers. METHODS: About 488 patients diagnosed with resectable gastric cancers,
aged >=70 y, between January 2007 and December 2012 at Chang Gung Memorial
Hospital (CGMH) Linkou branch were included in this study. Using univariate and
multivariate analyses, possible prognostic variables for survival outcome were
assessed in 445 patients (91.2%) treated with radical surgery (operation [OP]
group) and 43 (8.8%) receiving conservative treatment (non-OP group). The impact
of radical surgery on survival outcomes was evaluated according to CGMH scores.
RESULTS: On multivariate analysis, surgical resection with subtotal gastrectomy
and CGMH score were the only independent prognostic factors for both overall and
cancer-specific survival. The median survival time was 43 mo for the entire
cohort. The OP group had significantly better survival outcome than the non-OP
group (median survival, 50.3 versus 16.2 mo, P < 0.001). The median survival
times for patients with CGMH scores <=20 were 64.1 and 20.0 mo (P < 0.002) and
those for patients with CGMH scores >20 were 13.8 and 10.4 mo (P = 0.18) in the
OP and non-OP groups, respectively. CONCLUSIONS: Surgical resection and CGMH
score are independent prognostic factors for overall and cancer-specific
survival; the CGMH score might be a prognostic indicator of surgical outcome in
geriatric patients with resectable gastric cancers.
PMID- 27884347
TI - Fabrication of three-dimensional scan-to-print ear model for microtia
reconstruction.
AB - BACKGROUND: Microtia is a congenital deformity of the external ear that occurs in
1 of every 5000 births. Microtia reconstruction using traditional two-dimensional
templates does not provide highly detailed ear shapes. Here, we describe the
feasibility of using a three-dimensional (3D) ear model as a reference. MATERIALS
AND METHODS: Seven children aged from 11 to 16 (6 grade III and 1 grade II
microtia) were recruited from Seoul National University Children's Hospital,
Korea. We generated 3D-computer-aided design models of each patient's ear by
performing 3D laser scanning for a mirror-transformed cast of their normal ear.
The 3D-printed ear model was used in microtia reconstruction surgery following
the Nagata technique, and its shape was compared with the casted ear model.
RESULTS: One patient experienced irritation caused by accidently pouring resin
into the external auditory meatus, and another had minor skin necrosis; both
complications were successfully treated. The average percentage differences of
the superior, inferior, anterior, posterior, and lateral views between the casted
and 3D-printed ear models were 1.17%, 1.48%, 1.64%, 1.80%, and 5.44%,
respectively (average: 2.31%), where the difference between the casted ear models
and traditional two-dimensional templates were 16.03% in average. CONCLUSIONS:
Our results show that simple microtia reconstruction can be performed using 3D
ear models. The 3D-printed ear models of each patient were consistent and
accurately represented the thickness, depth, and height of the normal ear. The
availability of the 3D-printed ear model in the operating room reduced the amount
of unnecessary work during surgery.
PMID- 27884349
TI - Endotoxemia accelerates diaphragm dysfunction in ventilated rabbits.
AB - BACKGROUND: Ventilators may induce diaphragm dysfunction, and most of the septic
population who are admitted to the intensive care unit require mechanical
ventilation. However, there is no evidence that sepsis accelerates the onset of
ventilator-induced diaphragm dysfunction or affects the microcirculation. Our
study investigated whether lipopolysaccharide (LPS)-induced endotoxemia
accelerated diaphragm dysfunction in ventilated rabbits by evaluating
microcirculation, lipid accumulation, and diaphragm contractility. METHODS: After
anesthesia and tracheostomy, 25 invasively monitored and mechanically ventilated
New Zealand white rabbits were randomized to control (n = 5), controlled
mechanical ventilation (CMV) (n = 5), pressure support ventilation (PSV; n = 5),
CMV or PSV with LPS-induced endotoxemia (CMV-LPS and PSV-LPS, respectively; n = 5
for each). Rabbits were anesthetized and ventilated for 24 h, except the control
rabbits (30 min). Diaphragmatic contractility was evaluated using neuromechanical
and neuroventilatory efficiency. We evaluated the following at the end of the
protocol: (1) diaphragm microcirculation; (2) lipid accumulation; and (3)
diaphragm muscular fibers structure. RESULTS: Diaphragm contractility,
microcirculation, lipid accumulation, and fiber structures were severely
compromised in endotoxemic animals after 24 h compared to nonendotoxemic rabbits.
Moreover, a slight but significant increase in lipid accumulation was observed in
CMV and PSV groups compared with controls (P < 0.05). CONCLUSIONS: Endotoxemia
accelerates the diaphragm dysfunction process in ventilated rabbits, affects the
microcirculation, and results in diaphragmatic lipid accumulation and
contractility impairment.
PMID- 27884348
TI - Prognostic relevance of hematological profile before resection for colorectal
liver metastases.
AB - BACKGROUND: Although alterations of hematological profile and especially elevated
platelet counts were reported to influence survival in primary colorectal cancer,
its prognostic relevance before the surgical treatment of colorectal liver
metastases (CLM) is mainly unclear. Therefore, the aim of this study was to
analyze the impact of these factors on overall survival following liver resection
of CLM. MATERIALS AND METHODS: The surgical treatment of primary CLM between 1994
and 2012 in 983 patients was retrospectively analyzed using univariable and
multivariable Cox regression models. RESULTS: In the multivariable analyses, a
preoperative anemia was independently associated with inferior overall outcome (P
= 0.005, hazard ratio: 1.355). However, with only 2.7% of all cases, an elevation
of preoperative platelets was not a frequent finding and no independent impact on
survival (P = 0.834). Furthermore, abnormal hemoglobin and platelet values had no
impact on rate of surgical revisions due to bleeding complications (P = 0.962 and
P = 0.671, respectively), but a potential interaction between abnormal hemoglobin
and platelet values and the amount of transfused packed red blood cells (P =
0.004 and P < 0.001, respectively) was observed. CONCLUSIONS: Preoperative anemia
is statistically significantly associated with inferior overall survival
following resection of CLM and might define a new prognostic marker. Preoperative
elevated platelets were not a frequent finding and showed no influence on overall
survival.
PMID- 27884350
TI - Roux-en-Y gastric bypass augments the feeding responses evoked by gastrin
releasing peptides.
AB - BACKGROUND: Roux-en-Y gastric bypass (RYGB) is the most effective method for the
treatment of obesity, and metabolic disease RYGB may reduce body weight by
altering the feeding responses evoked by the short-term satiety peptides.
MATERIALS AND METHODS: Here, we measured meal size (MS, chow), intermeal interval
(IMI) length, and satiety ratio (SR, IMI/MS; food consumed per a unit of time) by
the small and the large forms of gastrin-releasing peptide (GRP) in rats, GRP-10
and GRP-29 (0, 0.1, 0.5 nmol/kg) infused in the celiac artery (CA, supplies
stomach and upper duodenum) and the cranial mesenteric artery (CMA, supplies
small and large intestine) in an RYGB rat model. RESULTS: GRP-10 reduced MS,
prolonged the IMI, and increased the SR only in the RYGB group, whereas GRP-29
evoked these responses by both routes and in both groups. CONCLUSIONS: The RYGB
procedure augments the feeding responses evoked by exogenous GRP, possibly by
decreasing total food intake, increasing latency to the first meal, decreasing
number of meals or altering the sites of action regulating MS and IMI length by
the two peptides.
PMID- 27884351
TI - In vivo imaging of antioxidant response element activity during liver
regeneration after partial hepatectomy.
AB - BACKGROUND: The nuclear factor-erythroid 2-related factor 2 (Nrf2) -antioxidant
response element (ARE) pathway is important for the regulation of antioxidative
stress response and detoxification. To activate the expression of its target
genes, such as heme oxygenase-1 (HO-1) and NAD(P)H dehydrogenase (quinone) 1
(NQO1), Nrf2 binds to the ARE within the promoter region of these genes. Partial
hepatectomy and consecutive liver regeneration lead to oxidative stress with
activation of the Nrf2-ARE pathway. The aim of this study was to investigate ARE
activity in vivo during liver regeneration after partial hepatectomy. MATERIALS
AND METHODS: Transgenic ARE-luc mice were used. In these mice, the luciferase
reporter gene is under the control of an ARE promoter element. Following 2/3
partial hepatectomy (PHx), mice underwent in vivo bioluminescence imaging up
until the ninth postoperative day. In addition, liver tissue was analyzed by
immunohistochemistry (Nrf2 and HO-1), quantitative reverse transcription-PCR (HO
1 and NQO1) and in vitro luminescence assays. RESULTS: Bioluminescence imaging
revealed a significant increase in Nrf2-ARE activity after PHx. The signal
maximum was recorded on the third day after PHx. Seven days postoperatively, the
signal almost reached baseline levels. In immunohistochemistry, significantly
more hepatocytes were positive for Nrf2 and HO-1 on the third postoperative day
compared with baseline levels. The mRNA expression of HO-1 and NQO1 were
significantly increased on day 3 as measured by qRT-PCR. CONCLUSIONS: This study
demonstrated the time-dependent activation of the Nrf2-ARE system during liver
regeneration in vivo. The transgenic ARE-luc mouse provided a convenient model
for studying Nrf2-mediated gene expression noninvasively and may facilitate
further experiments with therapeutic modulation of the antioxidative stress
response.
PMID- 27884352
TI - Timing of Coronary Invasive Strategy in Non-ST-Segment Elevation Acute Coronary
Syndromes and Clinical Outcomes: An Updated Meta-Analysis.
AB - OBJECTIVES: The aim of this study was to compare an early versus a delayed
invasive strategy in non-ST-segment elevation acute coronary syndromes by
performing a meta-analysis of all available randomized controlled clinical
trials. BACKGROUND: An invasive approach is recommended to prevent death and
myocardial infarction in non-ST-segment elevation acute coronary syndromes.
However, the timing of angiography and the subsequent intervention, when
required, remains controversial. METHODS: A previous meta-analysis of 7
randomized clinical trials comparing early and delayed invasive strategies in non
ST-segment elevation acute coronary syndromes with 3 new randomized clinical
trials identified in a search of the published research (n = 10 trials, n = 6,397
patients) was updated. RESULTS: The median time between randomization and
angiography ranged from 0.5 to 14.0 h in the early group and from 18.3 to 86.0 h
in the delayed group. There was no difference in the primary endpoint of
mortality (4% vs. 4.7%; random-effects odds ratio [OR]: 0.85; 95% confidence
interval [CI]: 0.67 to 1.09; p = 0.20; I2 = 0%). The rate of myocardial
infarction was also similar (6.7% vs. 7.7%; random-effects OR: 0.88; 95% CI: 0.53
to 1.45; p = 0.62; I2 = 77.5%). An early strategy was associated with a reduction
in recurrent ischemia or refractory angina (3.8% vs. 5.8%; random-effects OR:
0.54; 95% CI: 0.40 to 0.74; p < 0.01; I2 = 28%) and a shorter in-hospital stay
(median 112 h [interquartile range: 61 to 158 h] vs. 168 h [interquartile range:
90.3 to 192 h]; random-effects standardized mean difference -0.40; 95% CI: -0.59
to -0.21; p < 0.01; I2 = 79%). Major bleeding was similar in the 2 groups (3.9%
vs. 4.2%; random-effects OR: 0.94; 95% CI: 0.73 to 1.22; p = 0.64; I2 = 0%).
CONCLUSIONS: An early invasive strategy does not reduce the risk for death or
myocardial infarction compared with a delayed strategy. Recurrent ischemia and
length of stay were significantly reduced with an early invasive strategy.
PMID- 27884353
TI - Invasive Strategy After Non-ST-Segment Elevation Acute Coronary Syndrome: Timing
and Controversies.
PMID- 27884355
TI - Statistical Approaches to Composite Endpoints.
PMID- 27884354
TI - Computing Methods for Composite Clinical Endpoints in Unprotected Left Main
Coronary Artery Revascularization: A Post Hoc Analysis of the DELTA Registry.
AB - OBJECTIVES: The study sought to investigate the impact of different computing
methods for composite endpoints other than time-to-event (TTE) statistics in a
large, multicenter registry of unprotected left main coronary artery (ULMCA)
disease. BACKGROUND: TTE statistics for composite outcome measures used in ULMCA
studies consider only the first event, and all the contributory outcomes are
handled as if of equal importance. METHODS: The TTE, Andersen-Gill, win ratio
(WR), competing risk, and weighted composite endpoint (WCE) computing methods
were applied to ULMCA patients revascularized by percutaneous coronary
intervention (PCI) or coronary artery bypass grafting (CABG) at 14 international
centers. RESULTS: At a median follow-up of 1,295 days (interquartile range: 928
to 1,713 days), all analyses showed no difference in combinations of death,
myocardial infarction, and cerebrovascular accident between PCI and CABG. When
target vessel revascularization was incorporated in the composite endpoint, the
TTE (p = 0.03), Andersen-Gill (p = 0.04), WR (p = 0.025), and competing risk (p <
0.001) computing methods showed CABG to be significantly superior to PCI in the
analysis of 1,204 propensity-matched patients, whereas incorporating the clinical
relevance of the component endpoints using WCE resulted in marked attenuation of
the treatment effect of CABG, with loss of significance for the difference
between revascularization strategies (p = 0.10). CONCLUSIONS: In a large study of
ULMCA revascularization, incorporating the clinical relevance of the individual
outcomes resulted in sensibly different findings as compared with the
conventional TTE approach. In particular, using the WCE computing method, PCI and
CABG were no longer significantly different with respect to the composite of
death, myocardial infarction, cerebrovascular accident, or target vessel
revascularization at a median of 3 years.
PMID- 27884357
TI - If TAVR Cannot Be Transfemoral, Then What?
PMID- 27884356
TI - Direct Comparison of Feasibility and Safety of Transfemoral Versus Transaortic
Versus Transapical Transcatheter Aortic Valve Replacement.
AB - OBJECTIVES: The aim of this study was to compare outcomes among transfemoral
(TF), transaortic (TAo), and transapical (TA) transcatheter aortic valve
replacement (TAVR). BACKGROUND: Very few studies have investigated the
differences among TF, TAo, and TA TAVR in terms of safety and feasibility.
METHODS: Between January 2011 and December 2014, 467 consecutive cases of TF
TAVR, 289 cases of TAo TAVR, and 42 cases of TA TAVR were analyzed. Baseline
characteristics, procedural characteristics, and outcomes were compared between
TF and TAo and between TAo and TA approaches. RESULTS: Balloon-expandable
prostheses were used in 320 cases of TF TAVR (69%), 209 cases of TAo TAVR (72%),
and all cases of TA TAVR. The remaining cases were performed using self
expandable prostheses. Patient age and Society of Thoracic Surgeons score were
similar (83.8 years vs. 83.7 years vs. 81.3 years and 6.2% vs. 5.8% vs. 7.1%)
among all groups. Although nonsignificant, a trend toward lower 30-day mortality
(5% vs. 9%; p = 0.057) was observed with TF TAVR compared with TAo TAVR. Kaplan
Meier analysis revealed a trend toward a higher 1-year survival rate (log-rank p
= 0.067) with TF TAVR compared with TAo TAVR. There was no significant difference
in 30-day mortality between TAo and TA TAVR (9% vs. 14%; p = 0.283). Kaplan-Meier
analysis revealed a trend toward a higher 1-year survival rate (log-rank p =
0.154) with TAo TAVR compared with TA TAVR. CONCLUSIONS: Although the 30-day
mortality and 1-year survival rates were similar between TF and TAo TAVR
patients, a trend in favor of the TF approach was observed. In addition, the TAo
approach can be considered as an alternative to the TA approach when the TF
approach seems unsuitable.
PMID- 27884359
TI - From Good to Great: Raising the Bar on LAA Closure With Pre-Procedure CT
Planning.
PMID- 27884358
TI - Application of 3-Dimensional Computed Tomographic Image Guidance to WATCHMAN
Implantation and Impact on Early Operator Learning Curve: Single-Center
Experience.
AB - OBJECTIVES: The aim of this study was to examine the impact of 3-dimensional (3D)
computed tomographic (CT) guided procedural planning for left atrial appendage
(LAA) occlusion on the early operator WATCHMAN learning curve. BACKGROUND:
Traditional WATCHMAN implantation is dependent on 2-dimensional transesophageal
echocardiographic (TEE) sizing and intraprocedural guidance. METHODS: LAA
occlusion with the WATCHMAN device was performed in 53 patients. Pre-procedural
case plans were generated from CT studies with recommended device size, catheter
selection, and C-arm angle for deployment. RESULTS: All 53 patients underwent
successful LAA occlusion with the WATCHMAN. Three-dimensional CT LAA maximal
width sizing was 2.7 +/- 2.2 mm and 2.3 +/- 3.0 mm larger than 2-dimensional and
3D TEE measurements, respectively (p <= 0.0001). By CT imaging, device selection
was 100% accurate. There were 4 peri-WATCHMAN leaks (<4.5 mm) secondary to
accessory LAA pedunculations. By 2-dimensional TEE maximal-width measurements
alone, 62.3% (33 of 53) would have required larger devices. Using 3D TEE maximal
width measurements, 52.8% of cases (28 of 53) would have required larger devices.
Three-dimensional TEE length would have inappropriately excluded 10 patients from
WATCHMAN implantation. Compared with the average of 1.8 devices used per
implantation attempt in PROTECT AF (WATCHMAN Left Atrial Appendage System for
Embolic Protection in Patients With Atrial Fibrillation) (82% success rate), the
present site averaged 1.245 devices per implantation attempt (100% success rate).
There were no intraprocedural screen failures and no major adverse cardiac
events. CONCLUSIONS: Three-dimensional CT image case planning provides a
comprehensive and customized patient-specific LAA assessment that appears to be
accurate and may possibly facilitate reducing the early WATCHMAN implantation
learning curve.
PMID- 27884360
TI - Cost-Effectiveness of Endovascular Femoropopliteal Intervention Using Drug-Coated
Balloons Versus Standard Percutaneous Transluminal Angioplasty: Results From the
IN.PACT SFA II Trial.
AB - OBJECTIVES: The aim of this study was to evaluate the cost-effectiveness of drug
coated balloon (DCB) angioplasty versus standard percutaneous transluminal
angioplasty (PTA). BACKGROUND: Recent trials have reported lower rates of target
lesion revascularization with DCB angioplasty versus standard PTA. However, the
cost-effectiveness of DCB angioplasty is unknown. METHODS: A prospective economic
study was performed alongside the IN.PACT SFA II (IN.PACT Admiral Drug-Coated
Balloon vs. Standard Balloon Angioplasty for the Treatment of Superficial Femoral
Artery [SFA] and Proximal Popliteal Artery [PPA]) trial, which randomized 181
patients with femoropopliteal disease to the IN.PACT DCB versus standard PTA.
Resource use data were collected over 2-year follow-up, and costs were assigned
using resource-based accounting and billing data. Health utilities were assessed
using the EuroQol 5-dimensions questionnaire. Cost-effectiveness was assessed as
cost per quality-adjusted life-year (QALY) gained using a decision-analytic model
on the basis of empirical data from the trial assuming identical long-term
mortality. RESULTS: Initial costs were $1,129 per patient higher with DCB
angioplasty than standard PTA, driven by higher costs for the DCB itself. Between
discharge and 24 months, target limb-related costs were $1,212 per patient lower
with DCB angioplasty such that discounted 2-year costs were similar for the 2
groups ($11,277 vs. $11,359, p = 0.97), whereas QALYs tended to be greater among
patients treated with DCBs (1.53 +/- 0.44 vs. 1.47 +/- 0.42, p = 0.40). The
probability that DCB angioplasty is cost-effective compared with standard PTA was
70% using a threshold of $50,000 per QALY gained and 79% at a threshold of
$150,000 per QALY gained. CONCLUSIONS: For patients with femoropopliteal disease,
DCB angioplasty is associated with better 2-year outcomes and similar target limb
related costs compared with standard PTA. Formal cost-effectiveness analysis on
the basis of these results suggests that use of the DCB angioplasty is likely to
be economically attractive.
PMID- 27884361
TI - The Price Is Right (But Buyer Beware).
PMID- 27884362
TI - E-CART (ElectroCautery-Assisted Re-enTry) of an Aorto-Ostial Right Coronary
Artery Chronic Total Occlusion: First-in-Man.
PMID- 27884363
TI - Fibromuscular Dysplasia Presented With Spontaneous Dissection of the Left Main
Artery.
PMID- 27884364
TI - Guiding Light: Insights Into Atherectomy by Optical Coherence Tomography.
PMID- 27884365
TI - Cigarette Smoking, Clopidogrel Responsiveness, and Hemoglobin Level.
PMID- 27884366
TI - Reply: Cigarette Smoking, Clopidogrel Responsiveness, and Hemoglobin Level.
PMID- 27884367
TI - Antithrombotic Regimen in Post-TAVR Atrial Fibrillation: Not an Easy Decision.
PMID- 27884369
TI - An Open Letter to My Successor.
PMID- 27884368
TI - Reply: Antithrombotic Regimen in Post-TAVR Atrial Fibrillation: Not an Easy
Decision.
PMID- 27884370
TI - The allure of a simple story.
PMID- 27884371
TI - Committing to disability inclusion to end AIDS by 2030.
PMID- 27884372
TI - GBD 2015 and HIV estimates from the Optima model.
PMID- 27884373
TI - GBD 2015 and HIV estimates from the Optima model - Authors' reply.
PMID- 27884374
TI - Obstacles to prescribers' initiation early antiretroviral therapy: a barrier to
achieving 90-90-90 goals.
PMID- 27884375
TI - The inflammasome: Friend or foe in Chlamydia infection?
AB - In this issue of the Biomedical Journal, we take a look at the still somewhat
perplexing role of the inflammasome in Chlamydia infection. We also highlight
findings suggesting a link between structural changes to arteries in the brain
and the onset of depression. Finally, we learn about some of the implications of
co-morbidity between diabetes and infectious diseases.
PMID- 27884376
TI - Purinergic signaling in infection and autoimmune disease.
AB - Purinergic signaling plays a key role in inflammatory processes and modulates
immune responses against a variety of bacterial and eukaryotic parasites. Here we
highlight the role of purinergic receptor activation in infection and autoimmune
diseases. Purinergic signaling and inflammasomes modulate the host immune
response against chlamydial infections. In addition, increasing evidence suggests
that purinergic signaling contributes to Schistosomiasis morbidity, a neglected
tropical disease caused by parasitic worms called schistosomes. Finally, the P2X7
receptor and NLRP3 inflammasome have been described to be involved in the
pathogenesis of systemic lupus erythematosus, suggesting that these signaling
pathways as suitable therapeutic targets for management and treatment of
different immune diseases.
PMID- 27884377
TI - Danger signals, inflammasomes, and the intricate intracellular lives of
chlamydiae.
AB - Chlamydiae are obligate intracellular bacterial pathogens, and as such are
sensitive to alterations in the cellular physiology of their hosts. Chlamydial
infections often cause pathologic consequences due to prolonged localized
inflammation. Considerable advances have been made in the last few years
regarding our understanding of how two key inflammation-associated signaling
pathways influence the biology of Chlamydia infections: inflammation regulating
purinergic signaling pathways significantly impact intracellular chlamydial
development, and inflammasome activation modulates both chlamydial growth and
infection mediated pro-inflammatory cytokine production. We review here elements
of both pathways, presenting the latest developments contributing to our
understanding of how chlamydial infections are influenced by inflammasomes and
purinergic signaling.
PMID- 27884378
TI - Purinergic signaling in schistosomal infection.
AB - Human schistosomiasis is a chronic inflammatory disease caused by blood fluke
worms belonging to the genus Schistosoma. Health metrics indicate that the
disease is related to an elevated number of years lost-to-disability and years
lost-to-life. Schistosomiasis is an intravascular disease that is related to a
Th1 and Th2 immune response polarization, and the degree of polarization affects
the outcome of the disease. The purinergic system is composed of adenosine and
nucleotides acting as key messenger molecules. Moreover, nucleotide-transforming
enzymes and cell-surface purinergic receptors are obligatory partners of this
purinergic signaling. In mammalian cells, purinergic signaling modulates innate
immune responses and inflammation among other functions; conversely purinergic
signaling may also be modulated by inflammatory mediators. Moreover, schistosomes
also express some enzymes of the purinergic system, and it is possible that worms
modulate host purinergic signaling. Current data obtained in murine models of
schistosomiasis support the notion that the host purinergic system is altered by
the disease. The dysfunction of adenosine receptors, metabotropic P2Y and
ionotropic P2X7 receptors, and NTPDases likely contributes to disease morbidity.
PMID- 27884380
TI - Association of endothelial dysfunction and cytotoxin-associated gene A-positive
Helicobacter pylori in patients with cardiac syndrome X.
AB - BACKGROUND: Existence of coronary endothelial dysfunction has been demonstrated
in patients with cardiac syndrome X (CSX). In addition, Helicobacter pylorus (H.
pylori) has been associated with CSX. We aimed to assess the possible association
of endothelial dysfunction and cytotoxin-associated gene A-positive H. pylori
(CagA+) infection in CSX patients. METHODS: Fifty-six patients with CSX (23
male/33 female; age: 51.25 +/- 8.86 years) who were anti-H. pylori IgG-positive
[H. pylori(+)] and 24 CSX patients (7 male/17 female; age: 52.79 +/- 9.88 years)
who were H. pylori(-) were included. Also, anti-H. pylori IgG-positive patients
were determined by the presence of IgG antibody to CagA. Levels of endothelin-1
(ET-1), E-selectin and intercellular adhesion molecule-1 (ICAM-1) were measured.
RESULTS: Endothelial dysfunction biomarkers were higher in H. pylori(+) than in
H. pylori(-) patients (ET-1: 54.60 +/- 25.39 vs. 42.59 +/- 18.37 pg/ml, p = 0.04;
E-selectin: 42.68 +/- 14.26 vs. 31.72 +/- 8.26 ng/ml, p = 0.001; ICAM-1: 339.68
+/- 135.8 vs. 266.51 +/- 125.1 ng/ml, p = 0.02). Among H. pylori(+) subjects, 28
cases were CagA(+) and 28 cases were CagA(-). There were significant differences
in measured levels of E-selectin between CagA(+) and CagA(-) groups (48.00 +/-
16.37 vs. 37.37 +/- 9.37 ng/ml, p = 0.004). For ET-1 and ICAM-1 levels, the
difference between CagA(+) and CagA(-) was insignificant (p = 0.174 and p = 0.07,
respectively). CONCLUSION: High levels of endothelial dysfunction biomarkers are
found in CSX patients with anti-CagA(+). These findings suggest the infection
with CagA(+) H. pylori strain may play a role as a risk factor in development of
CSX through provocation of endothelial dysfunction. Therefore, a long term follow
up to investigate the outcomes of these patients is proposed.
PMID- 27884381
TI - Elevated adiponectin but varied response in circulating leptin levels to
falciparum malaria in type 2 diabetics and non-diabetic controls.
AB - BACKGROUND: To investigate effects of falciparum malaria on circulating levels of
leptin and adiponectin in type 2 diabetes mellitus (T2DM) and non-diabetic
controls in relation to measures of adiposity. METHODS: Levels of leptin and
adiponectin were measured in 100 type 2 diabetics and 100 age-matched controls
before and during falciparum malaria in a 2-year prospective study. Also, waist
circumference (WC), weight, height and hip circumference were measured. Body mass
index (BMI) and waist-to-hip ratio (WHR) were computed. RESULTS: At baseline,
diabetics had significantly (p < 0.05) higher WC and BMI but lower WHR, leptin
and adiponectin levels. Baseline leptin correlated positively with WC (r = 0.633;
p < 0.001) and BMI (r = 0.63; p < 0.001) in diabetics but only BMI (0.562; p <
0.001) in non-diabetic controls. Baseline leptin and adiponectin correlated
positively (r = 0.249; p = 0.029) in non-diabetic respondents only. Adiponectin
correlated negatively with WC (r = -0.58; p = 0.006) in diabetic males only.
During malaria, mean levels of leptin and adiponectin were comparable (p > 0.05)
between diabetics and controls. However, compared to baseline levels, significant
(p < 0.001) elevation of adiponectin was found in both study groups. In respect
of leptin, significant (p < 0.001) rise but decline was observed in diabetics and
controls respectively. Malaria-induced leptin correlated negatively with
adiponectin (r = -0.694; p < 0.001) in non-diabetic controls only. CONCLUSION:
Diabetics and controls exhibited increased adiponectin levels due to falciparum
malaria but differed in response in terms of leptin levels.
PMID- 27884382
TI - Profile of glycated-hemoglobin, antioxidant vitamin and cytokine levels in
pulmonary tuberculosis patients: A cross sectional study at Pulmonary Diseases
Center Semarang City, Indonesia.
AB - BACKGROUND: Uncontrolled blood glucose, which marked by high level of HbA1c,
increases risk of pulmonary TB because of cellular immunity dysfunction. This
study aimed to analyze profile of glycated hemoglobin, antioxidant vitamins
status and cytokines levels in active pulmonary TB patients. METHODS: This was a
cross sectional study, conducted at Pulmonary Diseases Center Semarang City,
Indonesia. Study subject consisted of 62 pulmonary TB patients, diagnosed with
positive acid fast bacilli and chest X-ray. ELISA was used to measure IFN-gamma
and IL-12. Status of antioxidant vitamins was determined by concentration of
vitamin A and E using HPLC. Blood glucose control was determined by HbA1c
concentration (HbA1c >=7% is considered as uncontrolled). RESULTS: A significant
difference of age between pulmonary tuberculosis patients with normal and
uncontrolled blood glucose (p = 0.000) was showed, while all other
characteristics (sex, education, occupation) did not differ with p = 0.050,
0.280, 0.380 respectively. Mean HbA1c was 7.25 +/- 2.70%. Prevalence of
uncontrolled glucose among pulmonary TB patients was 29%. Levels of IFN-gamma and
IL-12 did not differ according to HbA1c concentration (p = 0.159 and p = 0.965
respectively). Pulmonary tuberculosis patients with uncontrolled blood glucose
has higher vitamin E (p = 0.006), while vitamin A did not differ significantly (p
= 0.478). CONCLUSIONS: This study supports the importance of performing diabetes
screening among pulmonary TB patients. Further study needs to be done to
determine the feasibility of TB-DM co-management.
PMID- 27884383
TI - Histomorphometric study of basilar artery in normal and suicide persons.
AB - BACKGROUND: Depression in association with cerebro-vascular risk factors and
white matter lesions is increasingly referred to as 'vascular depression'. There
are several brain areas known for playing a role in patho-physiology of
depression which may lead to suicidal tendencies, are fed by basilar artery.
Therefore, the arterial histoarchitecture was studied in the normal and suicide
individuals to establish a relationship between the vascular structural changes
and depression. METHODS: 40 post-mortem samples (both sexes) of basilar artery
have been collected and were grouped into normal and suicide groups. Samples were
measured for arterial, lumen diameter and the thickness of tunica intima, media
and adventitia using H & E stained sections. While, Orcein stained sections were
used to estimate the volume fraction of elastic fibres, and Van Gieson stained
sections to estimate the volume fraction of collagen fibres. RESULTS: The mean
thickness of tunica media of basilar artery in suicide individuals (1.08 microns)
showed a statistically significant decrease when compared to normal person (1.33
microns). Further, volume fraction of collagen (0.06 mm3/mm3) and elastic fibres
(0.06 mm3/mm3) in suicide persons showed a statistically significant decrease
when compared to normal person (collagen fibres 0.08 mm3/mm3; elastic fibres 0.09
mm3/mm3). CONCLUSIONS: This study establishes a probable causative relationship
between vascular structural abnormality and depression which may drive the
individual to commit suicide.
PMID- 27884384
TI - Radiographic outcome of necrotic immature teeth treated with two endodontic
techniques: A retrospective analysis.
AB - BACKGROUND: The endodontic treatment of teeth with immature root has always been
a challenge. To achieve a better prognosis, regenerative endodontic treatment may
become a treatment trend for teeth with apical periodontitis and immature roots.
METHODS: Clinical and radiographic data were collected from 38 endodontic treated
immature teeth (21 apexification and 17 regeneration). Measure the radiographic
outcome by quantifying the apical lesion. RESULTS: There was no statistical
difference between the two treatments regarding PAI scores at the 1-, 3-, 6-, and
12-month follow-up (p > 0.05). In addition, different operators and the different
stages of root development for both techniques showed no significant statistical
difference on the final treatment results. CONCLUSIONS: In this study, assessment
of the radiographic outcomes indicated that regenerative endodontic treatment
were identical to the apexification technique.
PMID- 27884379
TI - Purinergic signalling in autoimmunity: A role for the P2X7R in systemic lupus
erythematosus?
AB - Purinergic signalling plays a crucial role in immunity and autoimmunity. Among
purinergic receptors, the P2X7 receptor (P2X7R) has an undisputed role as it is
expressed to high level by immune cells, triggers cytokine release and modulates
immune cell differentiation. In this review, we focus on evidence supporting a
possible role of the P2X7R in the pathogenesis of systemic lupus erythematosus
(SLE).
PMID- 27884385
TI - Anecdotes: Ode to dentistry II.
PMID- 27884386
TI - Preseptal and orbital cellulitis.
PMID- 27884387
TI - Initial results of the National Registry of Incisional Hernia.
AB - INTRODUCTION: The aim of this study was to the data from the National Registry of
Incisional Hernia (EVEREG) to determine the reality of the treatment of this
condition in Spain. METHODS: EVEREG is an online prospective database which has
been functioning since July 2012; operations for incisional hernia are
anonymously recorded. RESULTS: Up to March 2015, 4501 hernias from 95 of the 113
participating hospitals were registered. The mean age of the patients was 62.7,
and 56.5% were women, with a mean BMI of 30.2kg/m2; 29.8% presented a high
surgical risk (ASA III-V). A total of 93.7% were scheduled surgeries, 88.3% open
surgery and 22.2% were recurrent incisional hernias. There were 66.9% hernias
after a midline laparotomy, and 81.4% of a transverse diameter of less than 10cm.
A mesh was used in 96.2% of cases. Postoperative stay was 5.3 days and 29.1%
presented a complication, with a mortality of 0.8%. After a median follow-up of
7.7 months a high rate of recurrence was detected (20.7% per year), especially in
hernias that were operated on after a previous repair (18.1% primary vs. 30.6%
recurrent; P=.004). CONCLUSION: the EVEREG registry is a useful tool to know the
current situation of incisional hernia treatment. Analysis of the data shows
several points that could be improved: a low rate of follow-up and high
recurrence rate.
PMID- 27884388
TI - Shaped-bolus protocol reduces contrast medium volume in abdominal CT while
maintaining image quality.
AB - AIM: To prospectively assess whether bolus shaping to exponentially decrease the
contrast medium injection rate leads to alteration in image validity or renal
function. MATERIALS AND METHODS: In this prospective study, patients
alternatively received 100 ml contrast medium versus 75 ml via bolus shaping.
Image quality was assessed via measurement of attenuation values in the aorta,
liver, and spleen and also blinded subjective assessment of image sharpness, low
contrast detectability, image noise, and overall quality. Renal function was
assessed by change in creatinine levels up to 72 hours post-contrast medium
administration. RESULTS: Of 190 abdominal computed tomography (CT) studies
performed in the 3-month period, 98 received the 75 ml dose. There was no
significant difference in renal function or objective image quality with a
significant improvement in image sharpness in the 100 ml group. CONCLUSIONS: By
using bolus-shaping software, it is possible to maintain objective image quality
while reducing the contrast medium load to the patient. This has significant
implications regarding clinical practice in decreasing cost and risks associated
with iodinated contrast media.
PMID- 27884389
TI - Omega 3 fatty acids for the treatment of dementia: a Cochrane review summary.
PMID- 27884390
TI - Meeting the workforce challenges for older people living with cancer.
PMID- 27884391
TI - Basic airway skills acquisition using the American College of
Surgeons/Association for Surgical Education medical student simulation-based
surgical skills curriculum: Initial results.
AB - BACKGROUND: The ACS/ASE Medical Student Simulation-Based Skills Curriculum was
developed to standardize medical student training. This study aims to evaluate
the feasibility and validity of implementing the basic airway curriculum.
METHODS: This single-center, prospective study of medical students participating
in the basic airway module from 12/2014-3/2016 consisted of didactics, small
group practice, and testing in a simulated clinical scenario. Proficiency was
determined by a checklist of skills (1-15), global score (1-5), and letter grade
(NR-needs review, PS-proficient in simulation scenario, CP-proficient in clinical
scenario). A proportion of students completed pre/post-test surveys regarding
experience, satisfaction, comfort, and self-perceived proficiency. RESULTS: Over
16 months, 240 students were enrolled with 98% deemed proficient in a simulated
or clinical scenario. Pre/post-test surveys (n = 126) indicated improvement in
self-perceived proficiency by 99% of learners. All students felt moderately to
very comfortable performing basic airway skills and 94% had moderate to
considerable satisfaction after completing the module. CONCLUSIONS: The ACS/ASE
Surgical Skills Curriculum is a feasible and effective way to teach medical
students basic airway skills using simulation.
PMID- 27884392
TI - Robust stabilization of underactuated nonlinear systems: A fast terminal sliding
mode approach.
AB - This paper presents a fast terminal sliding mode based control design strategy
for a class of uncertain underactuated nonlinear systems. Strategically, this
development encompasses those electro-mechanical underactuated systems which can
be transformed into the so-called regular form. The novelty of the proposed
technique lies in the hierarchical development of a fast terminal sliding
attractor design for the considered class. Having established sliding mode along
the designed manifold, the close loop dynamics become finite time stable which,
consequently, result in high precision. In addition, the adverse effects of the
chattering phenomenon are reduced via strong reachability condition and the
robustness of the system against uncertainties is confirmed theoretically. A
simulation as well as experimental study of an inverted pendulum is presented to
demonstrate the applicability of the proposed technique.
PMID- 27884393
TI - 1,25-Dihydroxyvitamin D3 targeting VEGF pathway alleviates house dust mite (HDM)
induced airway epithelial barrier dysfunction.
AB - BACKGROUND: In our previous studies, we have indentified that 1,25
dihydroxyvitamin D3 (1,25(OH)2D3) can alleviate toluene diisocyanate-induced
airway epithelial barrier disruption and we also found that vascular endothelial
growth factor (VEGF) derived from airway epithelials cells could disrupt
epithelial barrier. OBJECTIVE: The study aimed to investigate whether 1,25(OH)2D3
can inhibit house dust mite (HDM) induced airway epithelial barrier dysfunction
by regulating the VEGF pathway. METHOD: The 16HBE and BEAS-2B cells were cultured
and treated according to the experiment requirement. Trans Epithelial Electric
Resistance (TEER), permeability of epithelial layer, and distribution and
expression of junction proteins were used to evaluate the cell layer barrier
function, Western Blot was used to evaluate the expression of junction proteins
and phosphorylated Akt in the cells, RT-PCR and ELISA were used to evaluate the
VEGF gene expression and protein release in the cells. Recombinant VEGF165 was
used to determine the role of the VEGF pathway in the epithelial barrier
function. RESULTS: HDM resulted in a decline in TEER and increase of cell
permeability, following abnormal distribution and expression of junction proteins
(E-Cadherin and zona occludens (ZO)-1), accompanied by a significant upregulation
of VEGF and phosphorylated Akt, which were all partly recovered by treatment with
either 1,25(OH)2D3 or PI3K inhibitor LY294002. VEGF165-induced barrier
dysfunction was accompanied by disruption of the epithelial E-cadherin and beta
catenin, pretreatment of 1,25(OH)2D3 and LY294002 markedly attenuated VEGF
induced airway barrier disruption in 16HBE cells. CONCLUSION: 1,25(OH)2D3 can
alleviate HDM-induced airway epithelial barrier dysfunction by inhibiting PI3K
pathway-dependent VEGF release.
PMID- 27884394
TI - [Mitomycin-induced pulmonary veno-occlusive disease: A rare but severe
complication].
PMID- 27884395
TI - Adaptive cultural transmission biases in children and nonhuman primates.
AB - Comparative and evolutionary developmental analyses seek to discover the
similarities and differences between humans and non-human species that might
illuminate both the evolutionary foundations of our nature that we share with
other animals, and the distinctive characteristics that make human development
unique. As our closest animal relatives, with whom we last shared common
ancestry, non-human primates have been particularly important in this endeavour.
Such studies have focused on social learning, traditions, and culture, and have
discovered much about the 'how' of social learning, concerned with key underlying
processes such as imitation and emulation. One of the core discoveries is that
the adaptive adjustment of social learning options to different contexts is not
unique to human, therefore multiple new strands of research have begun to focus
on more subtle questions about when, from whom, and why such learning occurs.
Here we review illustrative studies on both human infants and young children and
on non-human primates to identify the similarities shared more broadly across the
primate order, and the apparent specialisms that distinguish human development.
Adaptive biases in social learning discussed include those modulated by task
comprehension, experience, conformity to majorities, and the age, skill,
proficiency and familiarity of potential alternative cultural models.
PMID- 27884397
TI - Corrigendum to "BCL11A expression in acute phase chronic myeloid leukemia" [Leuk.
Res. 47 (2016) 88-92].
PMID- 27884396
TI - Effects of visfatin on the apoptosis of intestinal mucosal cells in immunological
stressed rats.
AB - This study was undertaken to determine if visfatin is involved in the
inflammation or apoptosis introduced by LPS in rats. Forty 8-week old Wistar rats
were divided into four groups (n=10 in each group) and injected with saline,
visfatin, LPS and visfatin+LPS co-stimulated via caudal vein. The duodenum,
jejunum and ileum were harvested from all the rats. Compared to the saline
treated group, visfatin significantly increased the number of TUNEL-positive
apoptotic cells and the expression of caspase-3 protein in intestinal mucosa.
Similarly, ELISA and western blot analysis also showed the up-regulation of pro
caspase-3 and cleaved caspase-3 expression in the visfatin group compared to the
control group. In contrast to LPS, visfatin down-regulated the expression of
cleaved-caspase-3 in the visfatin+LPS co-stimulated group, resulting in a
significant decrease in apoptosis in intestinal mucosal cells. We observed more
pro-caspase-3 positive cells in the visfatin+LPS co-stimulated group. The results
indicate that, in the presence of LPS, visfatin plays an important role in the
regulation of cell apoptosis and inflammation.
PMID- 27884400
TI - Stephen Jay Gould and the Value of Neutrality of Science During the Cold War.
AB - Stephen Jay Gould was a paleontologist and scientific celebrity at the close of
the twentieth century, most famous for his popular writings on evolution and his
role in the American creationist controversies of that era. In the early 1980s,
Gould was drawn into the "nuclear winter" episode through his friendship with
Carl Sagan, an astronomer and popular science celebrity. Sagan helped develop the
theory of nuclear winter and subsequently used the theory as evidence to petition
the United States government to scale back its nuclear armament. The theory of
nuclear winter claimed that even a small nuclear exchange could result in a
atmospheric blackening akin to the extinction event of the late Cretaceous. Gould
was not a climate scientist but he testified before the U.S. House of
Representatives as an expert on historical extinction events. Gould's insistence
on the value-neutrality of nuclear winter reveals much about the moral politics
of science in late Cold War America. Coming at the heels of leftist scientific
activism of the 1980s, the nuclear winter episode demonstrates how value
neutrality emerged the salient feature of scientific involvement in American
politics in this period.
PMID- 27884399
TI - Cardiovascular events and death in Japanese patients with chronic kidney disease.
AB - The incidence of cardiovascular disease (CVD) is higher in patients with chronic
kidney disease (CKD) than in the general population, and the risk of CVD
increases with reductions in renal function. However, the incidence of CVD in
Japanese patients with CKD has not been sufficiently investigated. To measure
this we conducted the Chronic Kidney Disease Japan Cohort (CKD-JAC) Study over
four years in 2,966 Japanese patients with CKD to examine the incidence of CVD
and all-cause death. These patients had an estimated glomerular filtration rate
(eGFR) of 10-59 ml/min/1.73 m2, were under nephrologist care, and pooled from 17
medical institutions in Japan. At the median follow-up of 3.9 years, 69 patients
had died, 217 had cardiovascular events, and 514 started maintenance dialysis
therapy. The incidences of cardiovascular events were 11.9, 19.1, 25.0, and 39.4
per 1,000 person-years at eGFRs of 45-59, 30-44, 15-29, and under 15 ml/min/1.73
m2, respectively. The adjusted Cox proportional hazards models showed that the
risk of cardiovascular events increased as the eGFR decreased, with a significant
difference only between CKD stage G5 (eGFR: under 15 ml/min/1.73 m2) and CKD
stage G3a (eGFR: 45-59 ml/min/1.73 m2) (hazard ratio 3.16, 95% confidence
interval 1.28 to 7.76). Thus, the risk of CVD and all-cause death was related to
the decrease in eGFR, but not necessarily elevated in proportion to progression
of the CKD stage in Japanese patients with predialysis CKD under a nephrologist's
care.
PMID- 27884401
TI - The "Make Love, Not War" Ape: Bonobos and Late Twentieth-Century Explanations for
War and Peace.
AB - Why do people fight wars? Following the devastation of the Second World War, this
question became particularly pressing. Postwar scholars in the human sciences,
from political science to anthropology, investigated the role of human nature in
the causes of war even as they debated the very meaning of human nature itself.
Among the wide-ranging efforts of postwar social and behavioral scientists to
explain the causes of war, research on primate aggression became a compelling
approach to studying the evolution of human warfare. In contrast, primatologist
Frans de Waal's popular and scientific publications on primate reconciliation
emphasized the naturalness of conflict resolution and peacemaking, thereby
providing a counterpoint to the pessimism of aggression research while
simultaneously shoring up the logic of simian analogy. De Waal's popular books
heralded the "make love, not war" bonobo as humans' evolutionary next-of-kin and
contributed to raising public interest in bonobos during the late twentieth
century, although the apes' popular reputation subsequently exceeded the
scientific discourse about them.
PMID- 27884398
TI - Slow-paced respiration therapy to treat symptoms in pulmonary arterial
hypertension.
AB - OBJECTIVE: To determine the feasibility of using slow-paced respiration therapy
to treat symptoms in women with pulmonary arterial hypertension (PAH).
BACKGROUND: People with PAH report increased dyspnea, fatigue and sleep
disturbance that can impair health-related quality of life (HRQOL). METHODS: Ten
women with PAH received 8-weeks of daily, 15 min sessions using slow-paced
respiration therapy via the RESPeRATETM device. Participants had baseline and
follow up assessments including plasma norepinephrine and interleukin-6 (IL-6),
self-report questionnaires to measure dyspnea, fatigue, depressive symptoms,
sleep and HRQOL along with 7-day actigraphy and sleep diaries. RESULTS: The mean
age was 50 years. Adherence to the intervention was 92%. There was decrease in
median IL-6 levels [1.3 +/- 0.5 to 1.1 +/- 0.4, 95% CI (0.03-0.43)] over the
study period. Sleep disturbance decreased, depressive symptoms decreased and
HRQOL scores decreased (higher scores indicate worse HRQOL). CONCLUSIONS: In this
pilot study, slow-paced respiration therapy is feasible in patients with PAH and
may improve symptoms and lower IL-6.
PMID- 27884402
TI - Process and Impact of Niels Bohr's Visit to Japan and China in 1937: A
Comparative Perspective.
AB - At the beginning of the twentieth century, Japan and China, each for its own
reasons, invited the famous physicist Niels Bohr to visit and give lectures. Bohr
accepted their invitations and made the trip in 1937; however, the topics of his
lectures in the two countries differed. In Japan, he mainly discussed quantum
mechanics and philosophy, whereas in China, he focused more on atomic physics.
This paper begins with a detailed review of Bohr's trip to Japan and China in
1937, followed by a discussion of the impact of each trip from the perspective of
the social context. We conclude that the actual effect of Bohr's visit to China
and Japan involved not only the spreading of Bohr's knowledge but also clearly
hinged on the current status and social background of the recipients. Moreover,
the impact of Bohr's trip to East Asia demonstrates that, as is the case for
scientific exchanges at the international level, the international exchange of
knowledge at the individual level is also powerful, and such individual exchange
can even promote exchange on the international level.
PMID- 27884403
TI - Chairside CAD/CAM materials. Part 2: Flexural strength testing.
AB - OBJECTIVE: Strength is one of the preferred parameters used in dentistry for
determining clinical indication of dental restoratives. However, small dimensions
of CAD/CAM blocks limit reliable measurements with standardized uniaxial bending
tests. The objective of this study was to introduce the ball-on-three-ball (B3B)
biaxial strength test for dental for small CAD/CAM block in the context of the
size effect on strength predicted by the Weibull theory. METHODS: Eight
representative chairside CAD/CAM materials ranging from polycrystalline zirconia
(e.max ZirCAD, Ivoclar-Vivadent), reinforced glasses (Vitablocs Mark II, VITA;
Empress CAD, Ivoclar-Vivadent) and glass-ceramics (e.max CAD, Ivoclar-Vivadent;
Suprinity, VITA; Celtra Duo, Dentsply) to hybrid materials (Enamic, VITA; Lava
Ultimate, 3M ESPE) have been selected. Specimens were prepared with highly
polished surfaces in rectangular plate (12*12*1.2mm3) or round disc (O=12mm,
thickness=1.2mm) geometries. Specimens were tested using the B3B assembly and the
biaxial strength was determined using calculations derived from finite element
analyses of the respective stress fields. Size effects on strength were
determined based on results from 4-point-bending specimens. RESULTS: A good
agreement was found between the biaxial strength results for the different
geometries (plates vs. discs) using the B3B test. Strength values ranged from
110.9MPa (Vitablocs Mark II) to 1303.21MPa (e.max ZirCAD). The strength
dependency on specimen size was demonstrated through the calculated effective
volume/surface. SIGNIFICANCE: The B3B test has shown to be a reliable and simple
method for determining the biaxial strength restorative materials supplied as
small CAD/CAM blocks. A flexible solution was made available for the B3B test in
the rectangular plate geometry.
PMID- 27884404
TI - Exposure to perfluoroalkyl substances and thyroid function in pregnant women and
children: A systematic review of epidemiologic studies.
AB - INTRODUCTION: Thyroid hormones (THs) are especially important for brain
maturation and development during the fetal period and childhood. Several
epidemiological studies have assessed the possible association between exposure
to perfluoroalkyl substances (PFAS) and thyroid outcomes during the early stages
of life. We aimed to review this evidence. METHODS: We conducted a systematic
review in compliance with the PRISMA Statement (search conducted in PubMed and
Embase, as well as in the citations of the selected articles). We chose studies
if they dealt with thyroid-stimulating hormone (TSH), triiodothyronine (T3),
thyroxin (T4), or thyroid dysfunctions, and perfluorohexane sulfonate (PFHxS),
perfluorooctanoic acid (PFOA), perfluorooctane sulfonate (PFOS) or
perfluorononanoic acid (PFNA) measured in the blood of pregnant women and/or
children up to 19years old. RESULTS: We included in this review three cross
sectional, one case-control, and six cohort studies (publication: 2011-2015),
focusing on prenatal life (n=7), childhood (n=2) or both periods (n=1). We
observed a high degree of heterogeneity across studies in terms of sampling time
(different gestational weeks, at birth, or childhood), outcomes, adjustment for
potential confounders, and statistical approach. We found some evidence of a
positive association between PFHxS and PFOS exposure and TSH levels measured in
maternal blood, and PFNA and TSH levels measured in the blood of boys aged
>=11years. CONCLUSION: Although there is a small number of studies with
comparable data, we found some consistency of a positive association between
maternal or teenage male exposure to some PFAS and TSH levels based on the
current literature. However, further studies are required to confirm these
possible relationships.
PMID- 27884405
TI - Zika Virus Causes Testis Damage and Leads to Male Infertility in Mice.
AB - Zika virus (ZIKV) persists in the semen of male patients, a first for flavivirus
infection. Here, we demonstrate that ZIKV can induce inflammation in the testis
and epididymidis, but not in the prostate or seminal vesicle, and can lead to
damaged testes after 60 days post-infection in mice. ZIKV induces innate immune
responses in Leydig, Sertoli, and epididymal epithelial cells, resulting in the
production of pro-inflammatory cytokines/chemokines. However, ZIKV does not
induce a rapid and abundant cytokine production in peritubular cell and
spermatogonia, suggesting that these cells are vulnerable for ZIKV infection and
could be the potential repositories for ZIKV. Our study demonstrates a
correlation between ZIKV and testis infection/damage and suggests that ZIKV
infection, under certain circumstances, can eventually lead to male infertility.
PMID- 27884406
TI - [Clinical approach to imported eosinophilia].
AB - Eosinophilia is a common finding in international travelers and immigrants, being
an helmintic infection its main etiology. The positive predictive value of
eosinophilia for an helmintosis is low in travellers. Eosinophilia may be an
incidental finding, or symptomatic, and it represents a clinical challenge due to
the low sensitivity and specificity of direct and indirect parasitological
diagnostic tests, respectively. It requires a structured approach based on
geographical areas, environmental exposures and behavioral risks, and associated
symptoms. The initial assessment should include a comprehensive and tailored
anamnesis and physical examination, basic laboratory tests, a complete
parasitological examination of stool samples and a Strongyloides stercoralis
serology, supplemented with other explorations guided by epidemiological and
clinical suspicion. Empiric treatment with albendazole and/or ivermectin (plus
praziquantel if risk of schistosomiasis) is an option for unidentified persistent
eosinophilia after study, and in persons in whom a proper assessment or follow-up
can not be assured. In patients at risk for estrongiloidosis who are candidates
for immunosuppressive therapies, it is indicated a prior screening and treatment
to prevent a future hyperinfestation syndrome.
PMID- 27884407
TI - Activity of Artemisia annua infusions on epimastigotes of Trypanosoma cruzi.
PMID- 27884408
TI - Albumin may significantly increase mortality in burn patients: Re-analysis of a
systematic review.
PMID- 27884409
TI - Percutaneous Perventricular Device Closure of Ventricular Septal Defect: From
Incision to Pinhole.
AB - BACKGROUND: As an alternative to open surgical repair, perventricular device
closure provides minimally invasive treatment for doubly committed subarterial
ventricular septal defects. However, unlike percutaneous transcatheter access,
mini-thoracotomy is still needed. This report describes the percutaneous
perventricular device closure technique and its short-term results for this type
of heart defect. METHODS: Sixteen patients who had isolated doubly committed
subarterial ventricular septal defects underwent percutaneous perventricular
device closure. By puncture of the chest wall and subsequently the infundibulum
of the right ventricle under continuous guidance of transesophageal
echocardiography, the guidewire and the delivery sheath were advanced into the
heart to complete the perventricular closure. Closure outcomes and possible
complications were measured in the hospital and during 1-year follow-up. RESULTS:
Closure was successful in 15 patients (93.8%). No deaths, residual shunting, new
valve regurgitation, or arrhythmias occurred either perioperatively or during the
entire follow-up period. One patient had pericardial effusion and tamponade, and
the procedure was converted to mini-thoracotomy perventricular closure. The mean
hospital stay was 3.5 +/- 2.0 days (range, 3.0 to 6.0 days), and only 1 patient
required a blood transfusion (6.3%). CONCLUSIONS: Percutaneous perventricular
device closure of isolated doubly committed subarterial ventricular septal
defects appeared to be safe and efficacious, with acceptable short-term outcomes.
Larger studies and long-term follow-up are needed for further evaluation.
PMID- 27884410
TI - Complications After Cardiac Operations: All Are Not Created Equal.
AB - BACKGROUND: Postoperative complications are associated with increased morbidity
and mortality after cardiac operations. We sought to quantify the effect of
multiple complications on noninstitutionalized recovery after cardiac operations.
METHODS: We identified 2,477 adult patients from our institutional cardiac
surgery database who underwent one of seven index cardiac surgical operations
from 2011 to 2014. We calculated failure-to-rescue rates for all individual
complications and combinations of complications. We used multivariable logistic
regression to determine the effect of the interaction of postoperative
complications on our primary outcome of operative death and secondary outcomes of
prolonged hospital length of stay and discharge to a location other than home.
RESULTS: From 2011 to 2014, at least one complication occurred in 366 patients
(14.8%), and multiple complications occurred in 102 (4.1%), including three
complications in 20 (0.8%). Operative mortality occurred in 41% of patients with
multiple complications vs in 4.9% of those with an isolated complication and in
0.7% of those without complications. Significant interactions that negatively
affected survival were noted between nearly every combination of complications.
The occurrence of renal failure and unplanned reoperation together were
associated with increased deaths (odds ratio, 108.4; 95% confidence interval,
13.5 to 869.9; p < 0.001). Median hospital length of stay and discharge rates to
a location other than home correlated positively with the number of postoperative
complications. CONCLUSIONS: Major complications after cardiac operations are
associated with an increased risk for operative death, longer hospital length of
stay, and higher rates of discharge to a location other than home. These adverse
outcomes are magnified when multiple complications are encountered.
PMID- 27884411
TI - Celsior Versus Microplegia: Analysis of Myocardial Protection in Elective Aortic
Valve Replacement.
AB - BACKGROUND: Previous studies have analyzed the efficacy of crystalloid
cardioplegic solutions, but the use of Celsior (Genzyme Corp, Boston, MA) as a
crystalloid cardioplegic solution has not been evaluated. METHODS: In this
observational retrospective study, Celsior crystalloid solution was compared with
an all-blood continuous myocardial protection (microplegia). The study included
all patients who underwent elective aortic valve replacement operations in whom
the myocardial protection was Celsior or microplegia. The primary end points were
surrogates of myocardial protection and death at 30 days. The secondary end point
was the safety analysis of the use of Celsior. RESULTS: The study included 631
patients, divided in two groups: 219 (34.7%) with microplegia and 412 (65.3%)
with Celsior. Troponin T release accurately predicted postoperative death (area
under the receiver operating characteristic curve = 0.85). Troponin T increased
with the duration of clamp time, and the adjusted time-related increase was lower
in the Celsior group. There were no statistically significant differences in the
postoperative use of inotropic medication or intraaortic balloon pump. Adjusted
postoperative death was lower in Celsior group (odds ratio, 0.33; 95% confidence
interval, 0.15 to 0.76). There were no allergic reactions attributed to Celsior
and no unexpected toxicity with the use of Celsior (coagulopathy, renal
dysfunction, liver dysfunction, or encephalopathy). CONCLUSIONS: Isolated
crystalloid Celsior may be an optimal and safe myocardial protection strategy in
aortic valve replacement operations.
PMID- 27884412
TI - The Society of Thoracic Surgeons Adult Cardiac Surgery Database: 2017 Update on
Outcomes and Quality.
AB - Established in 1989, The Society of Thoracic Surgeons Adult Cardiac Surgery
Database is one of the most comprehensive clinical data registries in health
care. It is widely regarded as the gold standard for benchmarking risk-adjusted
outcomes in cardiac surgery and is the foundation for all quality measurement and
improvement activities of The Society of Thoracic Surgeons. This is the second in
a series of annual reports that summarizes current aggregate national outcomes in
cardiac surgery and reviews database-related activities in the areas of quality
measurement and performance improvement during the past year.
PMID- 27884413
TI - Peroxisome proliferator-activated receptor gamma down-regulation mediates the
inhibitory effect of d-delta-tocotrienol on the differentiation of murine 3T3
F442A preadipocytes.
AB - Tocotrienols accelerate the degradation of 3-hydroxy-3-methylglutaryl coenzyme A
(HMG CoA) reductase that catalyzes the biosynthesis of mevalonate; the latter is
essential for preadipocyte differentiation. Tocotrienols also down-regulate
peroxisome proliferator-activated receptor gamma (PPARgamma), a key regulator of
adipocyte differentiation. We hypothesized that mevalonate deprivation and
PPARgamma down-regulation mediate d-delta-tocotrienol-induced inhibition of
adipocyte differentiation. The objectives of this study were to determine the
effect of d-delta-tocotrienol on 3T3-F442A preadipocyte differentiation and the
involvement of PPARgamma and mevalonate. Murine 3T3-F442A preadipocytes were
incubated with d-delta-tocotrienol (2.5-10 MUmol/L) for 8 days. AdipoRed assay
and Oil Red O staining showed that d-delta-tocotrienol dose-dependently reduced
the intracellular triglyceride content. Concomitantly, d-delta-tocotrienol dose
dependently inhibited glucose uptake by 3T3-F442A cells and the expression of
GLUT4, HMG CoA reductase, and p-Akt proteins. The effects of d-delta-tocotrienol
on intracellular triglyceride content and glucose uptake were attenuated by
rosiglitazone, an agonist of PPARgamma, but not supplemental mevalonate (100
MUmol/L). In contrast, mevalonate, but not rosiglitazone, reversed the effects of
lovastatin, a competitive inhibitor of HMG CoA reductase shown to inhibit
adipocyte differentiation via mevalonate deprivation. Trypan blue staining
revealed no changes in cell viability after a 48-hour incubation of 3T3-F442A
cells with d-delta-tocotrienol (0-80 MUmol/L), suggesting that the adipogenesis
suppressive activity of d-delta-tocotrienol was independent of cytotoxicity. In
conclusion, these findings demonstrate the antiadipogenic effect of d-delta
tocotrienol via PPARgamma down-regulation.
PMID- 27884414
TI - Low muscle mass is associated with metabolic syndrome in Korean adolescents: the
Korea National Health and Nutrition Examination Survey 2009-2011.
AB - Little is known about the relationship between low muscle mass (LMM) and
metabolic risk factors in adolescents. We hypothesized that LMM in adolescents is
associated with metabolic syndrome (MetS) and its components in Korean
adolescents. This cross-sectional study included 1420 participants (749 males and
671 females), aged 12 to 19 years, who participated in the 2009-2011 Korea
National Health and Nutrition Examination Surveys. Appendicular skeletal muscle
mass was divided by body weight, and any value below the lower quintile of the
study population, according to each age and sex category, was considered to
represent LMM. A participant was considered to have MetS if he/she demonstrated
at least 3 of the National Cholesterol Education Program-Adult Treatment Panel
III criteria. The overall prevalence of MetS was 4.9%, with a prevalence of 7.1%
in males and 2.3% in females. Low muscle mass significantly increased the risk of
MetS, after adjusting for potential covariates (odds ratio [OR], 5.28; 95%
confidence interval, 2.76-10.13). Similarly, the ORs for the MetS components were
significantly higher in individuals with LMM than in those without LMM. In the
subgroup analysis, LMM was significantly associated with MetS in both adolescent
boys and girls. In addition, the adjusted OR was significantly higher in
individuals with LMM than in those without, after stratifying the groups by age.
In conclusion, our results suggest that adolescents with LMM may have a high risk
of MetS. Public health initiatives are needed to develop interventions for
preventing and treating LMM in adolescents.
PMID- 27884415
TI - Uniformly active phase loaded selective catalytic reduction catalysts (V2O5/TNTs)
with superior alkaline resistance performance.
AB - In this work, protonated titanate nanotubes was performed as a potential useful
support and different vanadium precursors (NH4VO3 and VOSO4) were used to
synthesize deNOx catalysts. The results showed that VOSO4 exhibited better
synergistic effect with titanate nanotubes than NH4VO3, which was caused by the
ion-exchange reaction. Then high loading content of vanadium, uniformly active
phase distribution, better dispersion of vanadium, more acid sites, better
V5+/V4+ redox cycles and superior oxygen mobility were achieved. Besides, VOSO4
based titanate nanotubes catalysts also showed enhanced alkaline resistance than
particles (P25) based catalysts. It was strongly associated with its abundant
acid sites, large surface area, flexible redox cycles and oxygen transfer
ability. For the loading on protonated titanate nanotubes, active metal with
cation groups was better precursors than anion ones. V2O5/TNTs catalyst was a
promising substitute for the commercial vanadium catalysts and the work conducted
herein provided a useful idea to design uniformly active phase loaded catalyst.
PMID- 27884417
TI - Nerve Decompression Surgery After Total Hip Arthroplasty: What Are the Outcomes?
AB - BACKGROUND: The purpose of our study was to compare (1) muscle strength; (2)
pain; (3) sensation; (4) various outcome measurement scales between post-total
hip arthroplasty (THA) patients who had a sciatic nerve injury and did or did not
receive decompression surgery for this condition; and (5) to compare these
findings with current literature. METHODS: Nineteen patients who had nerve injury
after THA were reviewed. Patients were stratified into those who had a nerve
decompression (n = 12), and those who had not (n = 7). Motor strength was
evaluated using the Muscle Strength Testing Scale. Pain was evaluated by using
the visual analogue scale. Systematic literature search was performed to compare
the findings of this study with others currently published. RESULTS: The
decompression group had a significant improvement in motor strength and the
visual analog scale scores as compared with nonoperative group. Patients in
decompression group had a significant larger increase in the mean Harris hip
score and University of California Los Angeles score. There was no significant
difference in the increase of Short Form-36 physical and mental scores between
the 2 groups. Literature review for nonoperative management yielded 5 studies (93
patients), with 33% improvement. There were 7 studies (81 patients) on nerve
decompression surgery, with 75% improvement. CONCLUSION: This study demonstrates
the benefits of nerve decompression surgery in patients who had sciatic nerve
injury after THA, as evidenced by results of standardized outcome measurement
scales. It is possible to achieve improvements in terms of strength, pain, and
clinical outcomes. Comparative studies with larger cohorts are needed to fully
assess the best candidates for this procedure.
PMID- 27884416
TI - Hemin ameliorates influenza pneumonia by attenuating lung injury and regulating
the immune response.
AB - The anti-influenza activity of hemin, an inducer, activator and the substrate of
heme oxygenase-1 (HO-1), was examined both in vitro and in vivo. The human lung
carcinoma cell line A549 was used to evaluate the in vitro effect of hemin on
influenza A virus (IAV) replication. A mouse model was used to examine the in
vivo activity of hemin. Observation indexes included survival rate and body
weight of mice, virus load and pathological examination of the lungs, and
characterization of the systemic and local immune responses. The results showed
that hemin could induce HO-1 expression in A549 cells and inhibit IAV replication
in vitro. The in vivo results showed that injection of hemin could protect mice
from death and body weight loss caused by IAV infection. Hemin was administered
both at initial and progressive stages of influenza pneumonia (1 day and 4 days
after virus infection, respectively) and showed significant anti-influenza
activity under both conditions. However, the results showed that although hemin
could induce HO-1 expression in vivo, it could not inhibit IAV replication in
vivo. Pathological examination showed that hemin significantly attenuated lung
tissue injury caused by IAV. Further study showed that hemin could regulate the
immune response to IAV infection by reducing lymphocytopenia and local
inflammatory cytokine increases caused by IAV infection. This study shows that
hemin has the potential for the treatment of IAV infection and its effect may be
due to attenuation of lung injury and regulation of the immune response.
PMID- 27884419
TI - A Novel Deep Inspiration Maneuver for Difficult Transseptal Puncture.
AB - Transseptal puncture (TSP) may not be possible in cases of an elastic,
aneurysmal, or thickened interatrial septum (IAS). During deep inspiration (DI),
the chest wall expands and the diaphragm descends. This makes intrapleural
pressure to become more negative, which leads to movement of the IAS to the right
side. The aim of this study was to verify prospectively the feasibility, safety,
and outcome of DI associated with conventional TSP technique in patients with
challenging IAS anatomy. From September 2012 to May 2016, 224 patients underwent
TSP due to different indications. Patients were divided into 2 groups: 213
patients in whom the left atrium was successfully accessed in 3 attempts were
grouped as conventional TSP group and 11 patients in whom left atrium access was
failed after 3 conventional attempts were grouped as DI-TSP group. Conventional
TSP was successful in 89.6% of patients with the first attempt. Second and third
attempts were required in 4.1% and 1.4%, respectively. Septal puncture was
achieved at the first attempt in 10 patients within a median of 1 second of DI
maneuver (interquartile range, 1 to 3) and without any complications. Challenging
IAS anatomy consisting of IAS aneurysm, a thick IAS, and an excessively mobile
IAS were more frequent in the DI-TSP group (45% to 8%, 27% to 3%, and 21% to 5%,
respectively, p <0.001). In conclusion, TSP by using the DI maneuver may be a
reliable and safe method after failed conventional attempts. If there is any
doubt about the correct location of the needle, additional imaging modalities
have to be used.
PMID- 27884418
TI - Heterotopic Ossification Prophylaxis After Total Hip Arthroplasty: Randomized
Trial of 400 vs 700 cGy.
AB - BACKGROUND: Heterotopic ossification (HO) is a known complication following total
hip arthroplasty. Radiation is an effective prophylaxis, but an optimal protocol
has yet to be determined. We performed a randomized, double-blinded clinical
trial in high-risk patients to determine the efficacy of 400 vs 700 cGy doses of
radiation. METHODS: One hundred forty-seven patients undergoing total hip
arthroplasty and at high risk for HO at an urban medical center were randomized
to receive either a single 400 or 700 cGy dose of radiation postoperatively. High
risk was defined as a diagnosis of diffuse idiopathic skeletal hyperostosis,
hypertrophic osteoarthritis, ankylosing spondylitis, or history of previous HO.
Radiation was administered on the first or second postoperative day. A single
blinded reviewer graded radiographs taken immediately postoperatively and at a
minimum of 6 months postoperatively using the Brooker classification. Progression
was defined as an increase in Brooker classification. Operative data including
surgical approach, implant fixation, revision surgery, and postoperative range of
motion data were also collected. RESULTS: A significantly greater portion of
patients who received the 400 cGy dose demonstrated progression of HO than
patients who received the 700 cGy dose. There were no wound complications. No
preoperative factors were associated with a higher rate of progression. Patients
who progressed had less flexion on physical examination than patients who did not
progress, but this was not clinically significant. CONCLUSION: Seven hundred
centigray was superior to 400 cGy in preventing HO formation following total hip
arthroplasty in high-risk patients and may be the more effective treatment in
this population. Further studies comparing 700 cGy to dosages between 400 and 700
cGy may help to clarify if a more optimal dose can be identified.
PMID- 27884420
TI - Referral for Specialist Follow-up and Its Association With Post-discharge
Mortality Among Patients With Systolic Heart Failure (from the National Heart
Failure Audit for England and Wales).
AB - For patients admitted with worsening heart failure (HF), early follow-up after
discharge is recommended. Whether outcomes can be improved when follow-up is done
by cardiologists is uncertain. We aimed to determine the association between
cardiology follow-up and risk of death for patients with HF discharged from
hospital. Using data from the National Heart Failure Audit (England and Wales),
we investigated the effect of referral to cardiology follow-up on 30-day and 1
year mortality in 68,772 patients with HF and a reduced left ventricular ejection
fraction discharged from 185 hospitals from 2007 to 2013. The primary analyses
used instrumental variable analysis complemented by hierarchical logistic and
propensity-matched models. At the hospital level, rates of referral to
cardiologists varied from 6% to 96%. The median odds ratio (OR) for referral to
cardiologist was 2.3 (95% confidence interval [CI] 2.1 to 2.5), suggesting that,
on average, the odds of a patient being referred for cardiologist follow-up after
discharge differed ~2.3 times from one randomly selected hospital to another one.
Based on the proportion of patients (per region) referred for cardiology follow
up, referral for cardiology follow-up was associated with lower 30-day (OR 0.70;
95% CI 0.55 to 0.89) and 1-year mortality (OR 0.81; 95% CI 0.68 to 0.95) compared
with no plans for cardiology follow-up (i.e., standard follow-up done by family
doctors). Results from hierarchical logistic models and propensity-matched models
were consistent (30-day mortality OR 0.66; 95% CI 0.61 to 0.72 and 0.66; 95% CI
0.58 to 0.76 for hierarchical and propensity matched models, respectively). For
patients with HF and a reduced left ventricular ejection fraction admitted to
hospital with worsening symptoms, referral to cardiology services for follow-up
after discharge is strongly associated with reduced mortality, both early and
late.
PMID- 27884421
TI - Interpreting the Incremental Cardiopulmonary Exercise Test.
AB - The incremental cardiopulmonary exercise test (CPET) is an increasingly used
diagnostic method that serves to evaluate patients with chief complaint of
dyspnea during exercise. Performing maximal symptom-limited CPET can show if the
tested subject has a reduced exercise capacity and give clues to the mechanism of
such exercise capacity reduction, cardiac, pulmonary, or pulmonary vascular
source. In this review, it is suggested that the evaluation of the complex
results of CPET should be performed by first determining if
myocardial/circulatory insufficiency is present and second if there is gas
exchange abnormality. By looking with scrutiny at the oxygen consumption (VO2)
versus work rate plot, one can see if oxygen delivery is adequate or if it is
hampered by abnormally reduced blood flow through skeletal muscle. Elevated
ventilatory equivalent of carbon dioxide at the ventilatory threshold and or
arterial oxygen desaturation during effort, strongly suggest gas exchange
abnormalities. The absence of circulatory insufficiency and gas exchange
abnormalities, almost always suggest normal response to effort or deconditioning
whenever peak VO2 is below the maximal predicted value.
PMID- 27884422
TI - A New Risk Score to Predict Long-Term Cardiac Mortality in Patients With Acute
Myocardial Infarction Complicated by Cardiogenic Shock and Treated With Primary
Percutaneous Intervention.
AB - Poor data exist about predictors of long-term cardiac mortality in patients
presenting acute myocardial infarction (AMI) complicated by cardiogenic shock
(CS) treated with primary percutaneous coronary intervention (p-PCI), and current
risk-adjustment models in this setting are not adequate. We retrospectively
analyzed our registry of patients with AMI treated with p-PCI. The aim of this
study was to identify the independent predictors of 2-year cardiac mortality in
patients presenting CS. A Risk Score was created assigning at any independent
variable a value directly correlated with its power to increase mortality. From
1995 to 2013, 4,078 consecutive patients underwent primary PCI for AMI. Of these,
388 patients (10.5%) had CS on admission. The p-PCI procedural success was 85%.
At 2-year follow-up, the overall cardiac mortality rate was 48%. The independent
predictors related with mortality were: out of hospital cardiac arrest (OHCA)
(hazard ratio [HR] 1.51; p = 0.04), age >75 years (HR 2.09; p <=0.001), and
failure p-PCI (HR 2.30; p <0.001). On the basis of the HR obtained, we assigned
an incremental value to each independent variable identified (OHCA: 0.5 points,
age>75 years: 1 point, failed p-PCI: 1.5 points). The mortality rates among
different score risk level were highly significant (p <0.001): 32% score risk 1
(points 0), 58% score risk 2 (points 0.5-2), and 83% score risk 3 (points >2),
respectively. In conclusion, OHCA, age >75 years, and failed p-PCI are strong
predictors of 2-year cardiac mortality. On the basis of this, a rapid score tool
could be useful to identify patients at major risk of death.
PMID- 27884424
TI - Vision as a Beachhead.
AB - When neural circuits develop abnormally due to different genetic deficits and/or
environmental insults, neural computations and the behaviors that rely on them
are altered. Computational theories that relate neural circuits with specific
quantifiable behavioral and physiological phenomena, therefore, serve as
extremely useful tools for elucidating the neuropathological mechanisms that
underlie different disorders. The visual system is particularly well suited for
characterizing differences in neural computations; computational theories of
vision are well established, and empirical protocols for measuring the parameters
of those theories are well developed. In this article, we examine how
psychophysical and neuroimaging measurements from human subjects are being used
to test hypotheses about abnormal neural computations in autism, with an emphasis
on hypotheses regarding potential excitation/inhibition imbalances. We discuss
the complexity of relating specific computational abnormalities to particular
underlying mechanisms given the diversity of neural circuits that can generate
the same computation, and we discuss areas of research in which computational
theories need to be further developed to provide useful frameworks for
interpreting existing results. A final emphasis is placed on the need to extend
existing ideas into developmental frameworks that take into account the dramatic
developmental changes in neurophysiology (e.g., changes in excitation/inhibition
balance) that take place during the first years of life, when autism initially
emerges.
PMID- 27884423
TI - Alterations in a Unique Class of Cortical Chandelier Cell Axon Cartridges in
Schizophrenia.
AB - BACKGROUND: The axons of chandelier cells (ChCs) target the axon initial segment
of pyramidal neurons, forming an array of boutons termed a cartridge. In
schizophrenia, the density of cartridges detectable by gamma-aminobutyric acid
(GABA) membrane transporter 1 immunoreactivity is lower, whereas the density of
axon initial segments detectable by immunoreactivity for the alpha2 subunit of
the GABAA receptor is higher in layers 2/superficial 3 of the prefrontal cortex.
These findings were interpreted as compensatory responses to lower GABA levels in
ChCs. However, we recently found that in schizophrenia, ChC cartridge boutons
contain normal levels of the 67 kDa isoform of glutamic acid decarboxylase
(GAD67) protein, the enzyme responsible for GABA synthesis in these boutons. To
understand these findings we quantified the densities of ChC cartridges
immunoreactive for vesicular GABA transporter (vGAT+), which is present in all
cartridge boutons, and the subset of cartridges that contain calbindin (CB+).
METHODS: Prefrontal cortex tissue sections from 20 matched pairs of schizophrenia
and unaffected comparison subjects were immunolabeled for vGAT, GAD67, and CB.
RESULTS: The mean density of vGAT+/CB+ cartridges was 2.7-fold higher,
exclusively in layer 2 of schizophrenia subjects, whereas the density of vGAT+/CB
cartridges did not differ between subject groups. Neither vGAT, CB, or GAD67
protein levels per ChC bouton nor the number of boutons per cartridge differed
between subject groups. CONCLUSIONS: Our findings of a greater density of CB+ ChC
cartridges in prefrontal cortex layer 2 from schizophrenia subjects suggests that
the normal developmental pruning of these cartridges is blunted in the illness.
PMID- 27884425
TI - The Eating-Disorder Associated HDAC4A778T Mutation Alters Feeding Behaviors in
Female Mice.
AB - BACKGROUND: While eating disorders (EDs) are thought to result from a combination
of environmental and psychological stressors superimposed on genetic
vulnerability, the neurobiological basis of EDs remains incompletely understood.
We recently reported that a rare missense mutation in the gene for the
transcriptional repressor histone deacetylase 4 (HDAC4) is associated with the
risk of developing an ED in humans. METHODS: To understand the biological
consequences of this missense mutation, we created transgenic mice carrying this
mutation by introducing the alanine to threonine mutation at position 778 of
mouse Hdac4 (corresponding to position 786 of the human protein). Bioinformatic
analysis to identify Hdac4-regulated genes was performed using available
databases. RESULTS: Male mice heterozygous for HDAC4A778T did not show any
metabolic or behavioral differences. In contrast, female mice heterozygous for
HDAC4A778T display several ED-related feeding and behavioral deficits depending
on housing condition. Individually housed HDAC4A778T female mice exhibit reduced
effortful responding for high-fat diet and compulsive grooming, whereas group
housed female mice display increased weight gain on high-fat diet, reduced
behavioral despair, and increased anxiety-like behaviors. Bioinformatic analysis
identifies mitochondrial biogenesis including synthesis of glutamate/gamma
aminobutyric acid as a potential transcriptional target of HDAC4A778T activity
relevant to the behavioral deficits identified in this new mouse model of
disordered eating. CONCLUSIONS: The HDAC4A778T mouse line is a novel model of ED
related behaviors and identifies mitochondrial biogenesis as a potential
molecular pathway contributing to behavioral deficits.
PMID- 27884427
TI - Sample injection strategy to increase throughput in counter-current
chromatography: Case study of Honokiol purification.
AB - Counter-current chromatography (CCC) has been widely used as a preparative
separation method to purify natural products from plant extracts and fermentation
broths. Traditionally, throughput optimization in CCC has focused on sample
concentration and sample volume. In this paper sample injection was considered as
consisting of three variables: injection flow rate, post-injection flow rate and
sample solvent. The effects of these parameters were studied using a honokiol
purification from a Magnolia officinalis bark extract as a case study aiming to
achieve the highest throughput/yield ratio for greater than 99% purity of this
potential anti-cancer drug obtained for submission to the Chinese FDA. An
injection method was established that increased the throughput of honokiol by
46.5% (from 3.05g/h to 4.47g/h), and decreased the solvent consumption of mobile
phase and stationary phase per gram of honokiol by 40.0% (from 0.68L/g to
0.41L/g) and 48.4% (from 0.40L/g to 0.21L/g) respectively. These results show the
importance of understanding the whole injection process when optimizing a given
CCC separation.
PMID- 27884426
TI - Preparation and application of immobilized enzymatic reactors for consecutive
digestion with two enzymes.
AB - The bottom up strategy has drawn much attention due to the high accuracy,
reliability, and reproducibility in protein identification in which proteins are
digested into peptides. However, conventional solution-based digestion and
enzymatic reactor with one protease immobilized cannot satisfy high throughput
proteolysis of complex samples. Application of consecutive hydrolysis by
enzymatic reactor can be a new strategy for high throughput proteolysis of
complex samples by adjusting immobilization amount of the enzymes, enzyme ratio,
as well as hydrolysis order of two enzymes. In this work, we propose immobilized
enzymatic reactor for consecutive digestion with two enzymes by combining two
enzyme reactors with trypsin and chymotrypsin immobilized, respectively. Each
reactor was prepared individually by immobilizing only one protease (trypsin or
chymotrypsin) to hybrid monolith with SBA-15 particles embedded. Proteolysis
conditions including hydrolysis order and trypsin to chymotrypsin ratio etc. were
studied using standard proteins. Best digestion performance was obtained when the
proteins were digested by trypsin first with trypsin to chymotrypsin ratio of
1:1. When applying them to digestion of rat liver proteins, total 1651 proteins
and 11011 peptides were identified by combining four enzymolysis strategies with
two enzymes including proteolytic digestion in two consecutive enzymatic
reactors, synergy enzymolysis with two enzymes in one immobilized enzymatic
reactor and consecutive hydrolysis with two enzymes in-solution digestion
respectively, in which consecutive enzymolysis in enzymatic reactors gave the
best results with 1091 proteins and 5071 peptides identified. The reactors showed
good digestion capability for proteins with different hydrophobicity and
molecular weights, and will play an important role in high efficient and high
throughput proteomics research.
PMID- 27884428
TI - Headspace gas chromatography based methodology for the analysis of aromatic
substituted quaternary ammonium salts.
AB - The analysis of quaternary ammonium salts (QAS) using GC is often performed by
"in injector" pyrolysis to create volatile degradation products for
quantification purposes. Besides the risk of severe system contamination, the
application of this approach on aqueous samples is problematic. In this work, the
sample is treated in a vial with 2,2-dimethoxypropane (DMP) under acidic
catalysis. In addition to the removal of water and sample enrichment, the QAS are
decomposed. As HS transfers only volatile compounds to the GC system,
contamination is avoided. It was found that depending on the presence of benzyl,
phenyl or methyl groups on the quaternary nitrogen; benzyl chloride, N,N
dimethylaniline or chloromethane are formed respectively in the sealed vial. All
these can be used as an analytical target. A calibration curve for benzyl
chloride could be derived from the pure compound. Chloromethane was generated
from pure benzyldimethyldecylammonium chloride (BEDIDE), a pure QAS with benzyl
and methyl groups, to construct a secondary calibration curve using a back
analysis approach. It has been proven that by quantifying the formed analytical
targets, the mass balance for the QAS under investigation was close to 100%. The
presented procedure allows the quantification of any aromatic substituted QAS
without the need for a matching reference, which is a major advantage over
existing CE and LC methods The proposed methodology was validated for mouth
sprays containing benzethonium chloride (BZTCl) or benzoxonium chloride (BZOCl)
and for denatonium benzoate (DB) in ethylene glycol (EG) based cooling liquids.
Results showed that the approach provided excellent linearity (R2>=0.999) and
limits of detection around 0.01MUg/vial for benzyl chloride. It was found that
the reaction product of DMP and glycerol which was also present in the mouthspray
and some cooling liquids, caused chromatographic interference with benzyl
chloride. Treating those samples in the vial with N,O
bis(trimethylsilyl)trifluoroacetamide (BSTFA) after the enrichment step removes
the interference and leaves a possible pathway for the simultaneous determination
of glycerol in those samples.
PMID- 27884430
TI - Strengthening of C2C12 mouse myoblasts against compression damage by mild cyclic
compressive stimulation.
AB - Deep tissue injury (DTI) is a severe kind of pressure ulcers formed by sustained
deformation of muscle tissues over bony prominences. As a major clinical issue,
DTI affects people with physical disabilities, and is obviously related to the
load-bearing capacity of muscle cells in various in-vivo conditions. It is
important to provide a preventive approach to help muscle cells from being
damaged by compressive stress. In this study, we hypothesized that cyclic
compressive stimulation could strengthen muscle cells against compressive damage
and enhance the cell plasma membrane resealing capability. Monolayer of myoblasts
was cultured in the cell culture dish covered by a cylinder 0.5% agarose gel. The
platen indenter was applied with 20% strain on the agarose gel in the Mach-1
micromechanical system. The vibration was 1Hz sinusoidal function with amplitude
0.2% strain based on 20% gel strain. Cyclic compressive stimulation for 2h could
enhance the compressive stress damage threshold of muscle cells, the muscle cell
plasma membrane resealing ratio and viability of muscle cell under static loading
as preventive approach. This approach might help to reduce the risk of DTI in
clinic.
PMID- 27884429
TI - Development of separation methods for the chiral resolution of hexahelicenes.
AB - In this short communication we report optimized procedures for the chiral
separation of non-charged [6]helicene (1) and cationic derivative 1-butyl-3-(2
methyl[6]helicenyl)-imidazolium bromide (2) using high-performance liquid
chromatography (HPLC) and supercritical fluid chromatography (SFC) methods. The
possibility of using capillary electrophoresis (CE) was also tested. The
satisfactory results were obtained with SFC, where the highly selective
resolution of four enantiopure 1 and 2 helicenes was achieved in a single run
within 5min. The semi-preparative procedure for the isolation of P and M
enantiomers of compound 2, including circular dichroism data, is reported here
for the first time. The results could be used in further separations and
analytical applications targeting carbohelicenes vs. positively charged helicene
derivatives.
PMID- 27884432
TI - Editorial Comment.
PMID- 27884431
TI - Computational assessment of hemodynamics-based diagnostic tools using a database
of virtual subjects: Application to three case studies.
AB - Many physiological indexes and algorithms based on pulse wave analysis have been
suggested in order to better assess cardiovascular function. Because these tools
are often computed from in-vivo hemodynamic measurements, their validation is
time-consuming, challenging, and biased by measurement errors. Recently, a new
methodology has been suggested to assess theoretically these computed tools: a
database of virtual subjects generated using numerical 1D-0D modeling of arterial
hemodynamics. The generated set of simulations encloses a wide selection of
healthy cases that could be encountered in a clinical study. We applied this new
methodology to three different case studies that demonstrate the potential of our
new tool, and illustrated each of them with a clinically relevant example: (i) we
assessed the accuracy of indexes estimating pulse wave velocity; (ii) we
validated and refined an algorithm that computes central blood pressure; and
(iii) we investigated theoretical mechanisms behind the augmentation index. Our
database of virtual subjects is a new tool to assist the clinician: it provides
insight into the physical mechanisms underlying the correlations observed in
clinical practice.
PMID- 27884433
TI - Social cognition in schizophrenia and bipolar disorder: Just quantitative
differences..?
PMID- 27884434
TI - Relative risks of cardiovascular disease in people prescribed olanzapine,
risperidone and quetiapine.
AB - : Antipsychotics may confer long term benefits and risks, including
cardiovascular disease (CVD) risk. Several studies using routine clinical data
have reported associations between antipsychotics and CVD but potential
confounding factors and unclear classification of drug exposure limits their
interpretation. METHOD: We used data from The Health Improvement Network, a large
UK primary care database to determine relative risks of (CVD) comparing similar
groups of people only prescribed olanzapine versus either risperidone or
quetiapine. We included participants over 18 between 1995 and 2011. To assess
confounding factors we created propensity scores for being prescribed each
antipsychotic. We used propensity score matching and Poisson regression to
calculate the CVD incidence rate ratios for olanzapine versus the other two
drugs. RESULTS: We identified 18,319 people who received a single antipsychotic
during follow-up (n=5090 risperidone, 7797 olanzapine and 4613 quetiapine). In
unmatched analyses, the CVD incidence rate ratio (IRR) for olanzapine versus
risperidone was 0.63 (0.51-0.77) but the propensity score matched IRR was 0.78
(0.61-1.02). In the unmatched olanzapine versus quetiapine analysis the IRR
adjusted for age and sex for olanzapine was 1.52 (1.16-1.98) but the propensity
score matched analysis gave an IRR of 1.08 (0.79-1.46). CONCLUSIONS: After
propensity score matching, we found no statistical differences in CVD incidence
between olanzapine and either risperidone or quetiapine. Analyses which did not
account for confounding factors produced very different results. Researchers must
address confounding factors when designing observational studies to assess
adverse outcomes of drugs, including antipsychotics.
PMID- 27884435
TI - Statistical comparison of excystation methods in Cryptosporidium parvum oocysts.
AB - Excystation of sporozoites of Cryptosporidium parvum from oocysts is essential
for successful in vitro assays. It has also been traditionally used as a measure
for oocyst viability and infectivity. Laboratories use various excystation
protocols so there is a need to clarify which method is the best. In this study,
six different protocols for in vitro excystation of C. parvum oocysts were
compared to find the most efficient excystation method (expressed as percentage
excystation). Tested protocols differed in chemical pre-incubation steps,
excystation media or time of incubation. There were significant differences in
percentage of excysted oocysts among groups excysted by different methods. There
were also significant differences in percentage of excysted oocysts between
methods using pre-incubation with sodium hypochlorite and those without. The
other variables examined; the presence of trypsin, kind of excystation medium and
the incubation time, did not show statistical differences in percentage
excystation among groups. Pre-incubation steps which included sodium
hypochlorite, enhancing the permeability of the oocysts were found to increase
the excystation ratio and methods using this step were the most effective.
PMID- 27884436
TI - Distribution of Foxp3+ T cells in the liver and hepatic lymph nodes of goats and
sheep experimentally infected with Fasciola hepatica.
AB - Foxp3 regulatory T cells (Tregs) are now considered to play a key role in
modulation of immune responses during parasitic helminth infections.
Immunomodulation is a key factor in Fasciola hepatica infection; however, the
distribution and role of Foxp3+ Tregs cells have not been investigated in F.
hepatica infected ruminants. The aim of this study was to evaluate the presence
of Foxp3+ Tregs in the liver and hepatic lymph nodes from experimentally infected
sheep and goats during acute and chronic stages of infection. Three groups of
goats (n=6) and three groups of sheep (n=6) were used in this study. Goats in
groups 1-2 and sheep in groups 4-5 were orally infected with metacercarie of
ovine origin. Groups 1 and 4 were killed during the acute stage of the infection,
at nine days post infection (dpi); groups 2 and 5 were killed during the chronic
stage, at 15 and19 weeks post infection respectively (wpi). Groups 3 (goats) and
6 (sheep) were left as uninfected controls. Fluke burdens and liver damage were
assessed and the avidin-biotin-complex method was used for the
immunohistochemical study. At nine dpi in acute hepatic lesions, the number of
both Foxp3+ and CD3+ T lymphocytes increased significantly in goats and sheep. In
the chronic stages of infection (15-19wpi), the number of Foxp3+ and CD3+ T
lymphocytes were also significantly increased with respect to control livers,
particularly in portal spaces with severely enlarged bile ducts (response to
adult flukes) while the increase was lower in granulomas, chronic tracts and
smaller portal spaces (response to tissue damage). Foxp3+ Tregs were increased in
the cortex of hepatic lymph nodes of sheep (chronic infection) and goats (acute
and chronic infection). The estimated proportion of T cells which were Foxp3+ was
significantly increased in the large bile ducts and hepatic lymph node cortex of
chronically infected goats but not sheep. This first report of the expansion of
Foxp3+ Tregs in acute and chronic hepatic lesions in ruminants suggests that
these cells may be involved in both parasite survival and modulation of hepatic
damage. Future studies should be focused on the investigation of parasite
molecules and cytokines involved in this process.
PMID- 27884437
TI - Evaluation by latent class analysis of a magnetic capture based DNA extraction
followed by real-time qPCR as a new diagnostic method for detection of
Echinococcus multilocularis in definitive hosts.
AB - A new method, based on a magnetic capture based DNA extraction followed by qPCR,
was developed for the detection of the zoonotic parasite Echinococcus
multilocularis in definitive hosts. Latent class analysis was used to compare
this new method with the currently used phenol-chloroform DNA extraction followed
by single tube nested PCR. In total, 60 red foxes and coyotes from three
different locations were tested with both molecular methods and the sedimentation
and counting technique (SCT) or intestinal scraping technique (IST). Though based
on a limited number of samples, it could be established that the magnetic capture
based DNA extraction followed by qPCR showed similar sensitivity and specificity
as the currently used phenol-chloroform DNA extraction followed by single tube
nested PCR. All methods have a high specificity as shown by Bayesian latent class
analysis. Both molecular assays have higher sensitivities than the combined SCT
and IST, though the uncertainties in sensitivity estimates were wide for all
assays tested. The magnetic capture based DNA extraction followed by qPCR has the
advantage of not requiring hazardous chemicals like the phenol-chloroform DNA
extraction followed by single tube nested PCR. This supports the replacement of
the phenol-chloroform DNA extraction followed by single tube nested PCR by the
magnetic capture based DNA extraction followed by qPCR for molecular detection of
E. multilocularis in definitive hosts.
PMID- 27884439
TI - Genetic parameters for tick count and udder health in commercial and indigenous
ewes in South Africa.
AB - The genetics of tick infestation in sheep need study, as host resistance often
forms part of integrated pest control programs. Repeated udder health scores,
site-specific tick count, mating weight and reproduction records (N=879-1204)
were recorded annually from 2010 to 2015 on ewes of the indigenous Namaqua
Afrikaner (NA) fat-tailed breed, as well as the commercial Dorper and SA Mutton
Merino (SAMM) breeds. Udders were scored subjectively on a 1-5 scale (1 - udder
intact and 5 - udder damaged severely) and ticks were counted on three locations.
The body sites counted were the head and thoracic limb (HTLTC), udder-pelvic limb
(UPLTC) and perineum-breech-tail (PBTTC). These counts were also totaled for a
total tick count (TTC). Reproduction traits were number of lambs weaned per ewe
lambed and total weight of lamb weaned per ewe lambed. Udder health scores of NA
ewes were lower than those of Dorpers, which in turn had lower scores than SAMM
ewes. NA ewes had lower values for HTLTC, UPLTC and TTC than the commercial
breeds, but higher values for PBTTC than Dorpers. Heritability estimates amounted
to 0.26+/-0.04 for HTLTC, 0.53+/-0.04 for UPLTC, 0.07+/-0.06 for PBTTC, 0.44+/
0.06 for TTC and 0.61+/-0.03 for udder health score. Animal permanent environment
also affected PBTTC (0.14+/-0.07). Significant genetic correlations were found
between the HTLTC and UPLTC (0.47+/-0.10), UPLTC and udder health score (0.52+/
0.07), HTLTC and UPLTC (0.24+/-0.11) as well as UPLTC and PBTTC (-0.44+/-0.11).
Heavier ewes had higher UPLTC (0.38+/-0.09), TTC (0.33+/-0.09) and impaired udder
health (0.21+/-0.08). Udder health scores and tick counts at all sites were not
related to reproduction traits. The indigenous NA breed outperformed the
commercial breeds with lower values for HTLTC, UPLTC, TTC and a better udder
health score. Mechanisms contributing to the better performance of the NA breed
under pastoral conditions and the scope for selection for tick tolerance within
breeds should be studied further.
PMID- 27884438
TI - Toxoplasma gondii in stranded marine mammals from the North Sea and Eastern
Atlantic Ocean: Findings and diagnostic difficulties.
AB - The occurrence of the zoonotic protozoan parasite Toxoplasma gondii in marine
mammals remains a poorly understood phenomenon. In this study, samples from 589
marine mammal species and 34 European otters (Lutra lutra), stranded on the
coasts of Scotland, Belgium, France, The Netherlands and Germany, were tested for
the presence of T. gondii. Brain samples were analysed by polymerase chain
reaction (PCR) for detection of parasite DNA. Blood and muscle fluid samples were
tested for specific antibodies using a modified agglutination test (MAT), a
commercial multi-species enzyme-linked immunosorbent assay (ELISA) and an
immunofluorescence assay (IFA). Out of 193 animals tested by PCR, only two
harbour porpoise (Phocoena phocoena) cerebrum samples, obtained from animals
stranded on the Dutch coast, tested positive. The serological results showed a
wide variation depending on the test used. Using a cut-off value of 1/40 dilution
in MAT, 141 out of 292 animals (41%) were positive. Using IFA, 30 out of 244
tested samples (12%) were positive at a 1/50 dilution. The commercial ELISA
yielded 7% positives with a cut-off of the sample-to-positive (S/P) ratio>=50;
and 12% when the cut-off was set at S/P ratio>=20. The high number of positives
in MAT may be an overestimation due to the high degree of haemolysis of the
samples and/or the presence of lipids. The ELISA results could be an
underestimation due to the use of a multispecies conjugate. Our results confirm
the presence of T. gondii in marine mammals in The Netherlands and show exposure
to the parasite in both the North Sea and the Eastern Atlantic Ocean. We also
highlight the limitations of the tests used to diagnose T. gondii in stranded
marine mammals.
PMID- 27884440
TI - Re-infection with Fasciola gigantica 6-month post-treatment with triclabendazole
in cattle from mobile pastoralist husbandry systems at Lake Chad.
AB - At Lake Chad in central Africa, livestock fascioliasis caused by Fasciola
gigantica represents a major veterinary health problem, particularly in cattle
reared in mobile pastoralist husbandry systems. We assessed re-infection after a
single dose of triclabendazole with fascioliasis in cattle in a mobile
pastoralist setting towards the end of the dry season. Within the cattle herds of
14 groups of mobile pastoralists, 375 cattle were randomly selected. A faecal
sample was obtained from each animal to determine the prevalence of F. gigantica.
Animals were administered a single oral dose of triclabendazole (12mg/kg). A
second faecal sample was obtained 6-month post-treatment after cattle had
returned from the annual migration cycle. Faecal samples were fixed in sodium
acetate-acetic acid-formalin (SAF), and examined for F. gigantica using the
sedimentation technique. From the 375 cattle enrolled at baseline, 198 animals
(53%) in 12 groups of mobile pastoralists were re-sampled at the 6-month follow
up. Baseline prevalence did not differ noteworthy between animals lost to follow
up and those re-examined. At baseline, bovine fascioliasis prevalence in cattle
with follow-up data was 41.9% (95% confidence interval (CI) 35.2-48.9%). At the 6
month post-treatment follow-up, the prevalence was 46.0% (95% CI 39.2-52.9%),
ranging between 0% and 75% at the herd level. The mean faecal egg counts at the
unit of the herd were higher at follow-up compared to baseline. The observed
persistent high prevalence of F. gigantica infection in cattle shows that a
single pre-rainy season treatment does not prevent rapid re-infection despite the
partial migration away from the high-risk areas at Lake Chad into drier areas. A
locally adapted strategic control package for fascioliasis in cattle in the Lake
Chad area ought to integrate targeted triclabendazole treatment and seasonal
transhumance practices.
PMID- 27884441
TI - Trypanosoma vivax in water buffalo of the Venezuelan Llanos: An unusual outbreak
of wasting disease in an endemic area of typically asymptomatic infections.
AB - Trypanosoma vivax has been associated with asymptomatic infections in African and
South American buffalo. In this study, T. vivax was analyzed in water buffalo
(Bubalus bubalis) from Venezuela in a molecular survey involving 293 blood
samples collected from 2006 to 2015 across the Llanos region. Results
demonstrated constant infections (average 23%) during the years analyzed. In
general, animals were healthy carriers of T. vivax with low levels of parasitemia
and were diagnosed exclusively by TviCATL-PCR. However, an outbreak of severe
acute infections mostly in dairy animals was reported during a prolonged drought
affecting 30.4% of a buffalo herd (115 animals examined). During the outbreak,
animals exhibiting anemia and neurological disorders developed fatal infections,
and 7% of the herd died within nine months before treatment against
trypanosomosis. Microsatellite locus genotyping (MLG) of T. vivax samples before
and during the outbreak revealed similar genotypes, but outbreak isolates
exhibited the most divergent MLG. Venezuelan samples from symptomless and sick
buffalo did not share the MLGs previously detected in asymptomatic Brazilian
buffalo. Trypanosoma evansi was not detected in the herd examined during the
outbreak. However, as expected Babesia sp. (62.6%) and Anaplasma sp. (55.6%)
infections were highly prevalent in asymptomatic buffalo in the studied areas.
This is the first South American outbreak of highly lethal acute T. vivax
infections in water buffalo. Our results suggest that chronically infected and
asymptomatic buffalo living in areas of enzootic equilibrium can develop
symptomatic/lethal disease triggered by stressful scarcity of green forage and
water during long droughts, inappropriate management of herds and likely
concomitant anaplasmosis and babesiosis. Altogether, these factors weaken buffalo
immune defenses, allowing T. vivax to proliferate and, consequently, allowing for
progression to wasting disease.
PMID- 27884442
TI - The induction of the collagen capsule synthesis by Trichinella spiralis is
closely related to protease-activated receptor 2.
AB - The muscle-stage larvae of the parasite Trichinella spiralis have the ability to
survive within host muscle tissue by virtue of the formation a nurse cell
parasite complex, which is surrounded by collagen. The formation of the complex
is initiated by excretory-secretory (ES) proteins produced by the parasite. To
determine the mechanisms underlying collagen capsule formation, we investigated
the expression levels of several types of collagen genes and TGF-betaI signaling
related genes (Smad2 and Smad3) in muscle cells. Synthesis of type I, IV, and VI
collagen, which are major constituents of the collagen capsule, significantly
increased during T. spiralis infection. In addition, we found that expression of
the protease-activated receptor 2 (PAR2) gene was significantly increased during
this period. Expression levels of the collagen genes and TGF-betaI, Smad2, and
Smad3 were induced by ES proteins and a PAR2 agonist, whereas their enhanced
expression levels were reduced by a PAR2 antagonist and serine protease
inhibitors. To evaluate the involvement of PAR2 during T. spiralis infection in
vivo, we infected wild-type and PAR2 knockout (KO) mice with T. spiralis.
Expression levels of type I, IV, and VI collagen genes and TGF-betaI signaling
related genes (Smad2 and Smad3) were also decreased in the PAR2 KO mice.
Phosphorylation of Smad2/3, which was increased by T. spiralis infection, was
significantly diminished in the PAR2 KO mice. In conclusion, ES proteins
containing serine protease most likely activate collagen synthesis via PAR2 and
TGF-betaI signaling, and this event could influence collagen capsule formation.
PMID- 27884443
TI - Effect of uncertainty in total parasite infestation on accuracy and precision of
estimates of ectoparasiticide efficacy.
AB - In animal studies of ectoparasiticide efficacy the total number of parasites with
which experimental animals are infested is not always equal to the intended
number of parasites (usually n=50 per experimental animal in the case of ticks,
and n=50 or n=100 in the case of fleas). That is, in the practical implementation
of a study protocol, the infestation of experimental animals may be subject to
variability so that total infestation is not known precisely. The purpose of the
present study is to assess the impact of this variability on the accuracy and
precision of efficacy estimates. The results of a thorough simulation study show
clearly that uncertainty in total parasite infestation - of the magnitude
encountered in well-controlled animal studies - has virtually no effect on the
accuracy and precision of estimators of ectoparasiticide efficacy.
PMID- 27884444
TI - Dynamics of Parascaris and Strongylus spp. parasites in untreated juvenile
horses.
AB - Parasite control in foals is of utmost importance due to the high susceptibility
to parasitic infection and disease in this age group. Foals are commonly co
infected with strongyle and ascarid parasites, which complicate parasite control
strategies. The present study retrospectively investigated necropsy records of
foals born into a university herd kept without anthelmintic treatment since 1979.
The aims were to statistically analyze the relationship between fecal egg counts,
worm burdens, foal age, sex, and season with specific focus on Parascaris and
Strongylus spp. A total of 83 foals born between 1999 and 2015 were included.
Foals were born between January and September within the given year and age at
necropsy ranged between 27 and 563 days of age with a mean and median of 202 and
204 days, respectively. One set of multivariate mixed linear models was
constructed analyzing strongyle and ascarid fecal egg counts as outcome
variables, and another set of analyses investigated the following worm counts as
outcome variables: Intestinal Parascaris spp. counts (immatures and adults), S.
vulgaris (migrating and intestinal stages), S. edentatus (migrating and
intestinal stages). Both ascarid and strongyle egg counts were influenced
significantly by differences between study years (p<0.05). In addition, total
ascarid egg counts were statistically influenced by age (p=0.020) exhibiting a
peak at four months of age and fillies had significantly higher ascarid worm
burdens (p=0.043). Foal age had significant influences on intestinal counts of
immature Parascaris spp. (p=0.034) and adult S. edentatus counts (p=0.028).
Larval counts of S. edentatus were significantly associated with birth month
(p=0.023), whereas counts of migrating S. vulgaris larvae were not statistically
associated with any of the investigated covariates. This study provides novel
information on the dynamics of important parasites in naturally infected foals.
PMID- 27884446
TI - Multidisciplinary approach to optimize management of patients with chronic
hepatitis C and alcoholic liver disease.
PMID- 27884447
TI - Videolaryngoscope King VisionTM, an alternative in the intubation of the awake
patient?
PMID- 27884445
TI - Taenia hydatigena in pigs in Burkina Faso: A cross-sectional abattoir study.
AB - Taenia hydatigena is a non-zoonotic cestode that has canines as definitive hosts
and ruminants and pigs as intermediate hosts. In pigs, its presence causes cross
reactivity in serological testing for Taenia solium cysticercosis. Therefore,
knowledge on the occurrence of T. hydatigena is paramount for validly estimating
the seroprevalence of T. solium cysticercosis in pigs. In a cross-sectional
abattoir study, we estimated the prevalence of T. hydatigena in pigs slaughtered
in Koudougou, Burkina Faso. Carcasses of 452 pigs were examined by investigators
for perceived and suspected T. hydatigena cysticercus lesions in the abdominal
cavity or on the surface of abdominal organs. Routine meat inspection was
performed by local inspectors to identify T. solium cysticerci. All lesions were
subjected to PCR-RFLP analysis in order to differentiate Taenia spp.
Additionally, individual blood samples were examined for the presence of
circulating cysticercus antigens using the B158/B60 Ag-ELISA. Perceived T.
hydatigena cysticerci were found in 13 pigs, whereas meat inspectors found seven
carcasses infected with T. solium cysticerci. All were confirmed by molecular
analysis. Of pigs with other suspected lesions, mostly located in the liver, 27
and six were found to harbour T. hydatigena and T. solium cysticerci,
respectively. Overall, 8.8% of pigs (40/452) were found infected with T.
hydatigena and 2.9% (13/452) with T. solium. Of these positive pigs, one was
found infected with both Taenia spp. (0.2%, 1/452). Blood samples of 48.5% of
pigs (219/452) were positive in the Ag-ELISA. Pigs with confirmed cysts of T.
hydatigena and T. solium had a positive Ag-ELISA result in 57.5% (23/40) and
61.5% (8/13) of cases, respectively. The observed T. hydatigena prevalence in
this study is relatively high in comparison to other studies in Africa. Estimates
of the occurrence of active porcine T. solium infection using the B158/B60 Ag
ELISA should therefore be adjusted for the presence of T. hydatigena. The low
level of T. solium infection detected upon meat inspection in this study is
likely an underestimation of the true prevalence since routine meat inspection
shows poor sensitivity and pigs perceived to be infected based on tongue
palpation are rarely sent to official abattoirs.
PMID- 27884448
TI - Sulodexide: A new antithrombotic agent.
PMID- 27884450
TI - Rhythmic movement disorder in childhood: An integrative review.
AB - Rhythmic movement disorder consists of repetitive stereotypic movements, such as
head banging or body rocking, that recur every second or so and may last from a
few minutes to hours, usually prior to sleep onset. This review of childhood
rhythmic movement disorder highlights the lack of systematic research into core
aspects of the condition, relying heavily on small case series or case reports.
Interpretation is further limited by almost universal failure to confirm the core
diagnostic criteria (C) of the International classification of sleep disorders
(III), namely that the rhythmic movements should have clinical consequences.
Nonetheless, a number of themes emerge. Rhythmic movement disorder is likely to
start in infancy and have a developmental course with spontaneous resolution in
early childhood in many cases. Factors associated with persistence are, however,
unclear. Associations with ADHD and neurodevelopmental disorders are intriguing,
require further study and may shed light on the underlying cause of the
condition. There is a pressing need for a systematic approach to classify
rhythmic movement disorder, to allow standardization of the much needed research
into the underlying aetiology and treatment of this relatively neglected sleep
disorder.
PMID- 27884449
TI - Functional characterization and expression of GASCL1 and GASCL2, two anther
specific chalcone synthase like enzymes from Gerbera hybrida.
AB - The chalcone synthase superfamily consists of type III polyketidesynthases
(PKSs), enzymes responsible for producing plant secondary metabolites with
various biological and pharmacological activities. Anther-specific chalcone
synthase-like enzymes (ASCLs) represent an ancient group of type III PKSs
involved in the biosynthesis of sporopollenin, the main component of the exine
layer of moss spores and mature pollen grains of seed plants. In the latter, ASCL
proteins are localized in the tapetal cells of the anther where they participate
in sporopollenin biosynthesis and exine formation within the locule. It is
thought that the enzymes responsible for sporopollenin biosynthesis are highly
conserved, and thus far, each angiosperm species with a genome sequenced has
possessed two ASCL genes, which in Arabidopsis thaliana are PKSA and PKSB. The
Gerbera hybrida (gerbera) PKS protein family consists of three chalcone synthases
(GCHS1, GCHS3 and GCHS4) and three 2-pyrone synthases (G2PS1, G2PS2 and G2PS3).
In previous studies we have demonstrated the functions of chalcone synthases in
flavonoid biosynthesis, and the involvement of 2-pyrone synthases in the
biosynthesis of antimicrobial compounds found in gerbera. In this study we
expanded the gerbera PKS-family by functionally characterizing two gerbera ASCL
proteins. In vitro enzymatic studies using purified recombinant proteins showed
that both GASCL1 and GASCL2 were able to use medium and long-chain acyl-CoA
starters and perform two to three condensation reactions of malonyl-CoA to
produce tri- and tetraketide 2-pyrones, usually referred to as alpha-pyrones in
sporopollenin literature. Both GASCL1 and GASCL2 genes were expressed only in
floral organs, with most expression observed in anthers. In the anthers,
transcripts of both genes showed strict tapetum-specific localization.
PMID- 27884451
TI - Cholesterol-lowering pattern affects the progression of atherosclerosis in
apolipoprotein E deficient mice.
AB - Although the importance of LDL cholesterol lowering is widely recognized, the
impact of the cholesterol-lowering pattern on the atherosclerosis remains
unclear. Here, we used cholestyramine in apolipoprotein E deficient mice in two
different regimens to induce a see-saw shaped or a sustained cholesterol
reduction, with the trough of cholesterol comparable. After 12 weeks-treatment, a
sustained cholesterol reduction exhibited a smaller atherosclerotic area.
Moreover, we observed a correlation between the area under the curve of plasma
cholesterol and the atherosclerotic area. These results suggest that the
sustained cholesterol reduction is beneficial for preventing the progression of
atherosclerosis in cholesterol lowering therapy.
PMID- 27884452
TI - Factors associated with thrombotic complications in pediatric patients with
vascular malformations.
AB - BACKGROUND AND OBJECTIVES: Thrombosis is an uncommon disorder in children.
Patients with slowflow vascular malformations have higher risk of developing
localized intravascular coagulation, which is closely related to the presence of
thrombotic events. These episodes cause pain, can be recurrent and determine a
clear deterioration in the quality of life. Moreover, serious complications such
as pulmonary thromboembolism and eventually death have been described. The aim of
the present study is to identify clinical and laboratory risk factors associated
with thrombotic events in pediatric patients with vascular malformations.
METHODS: Case-Control study. Clinical records of patients who consulted the
vascular anomalies study group (VASG). This group carries out interdisciplinary
assessment of patients with vascular malformations. From June 2008 to December
2014, 110 patients were assessed of whom 46 patients met the inclusion criteria,
with half of them presenting a thrombotic complication and the others not, these
latter serving as controls. Statistical analysis included multivariate logistic
regression analysis to determine major risk factors for thrombosis. RESULTS: In
the bivariate analysis we found a significant association between increased
levels of Ddimer and thrombotic complications (OR 17.1 [95% CI 3.95-73.95;
p<0.01]). In addition, a surface area>=10cm2 (OR 6.18 [95% CI 1.59-23.99;
p<0.01]) and the presence of palpable phleboliths (OR 20.17 [95% CI 2.32-165.77;
p<0.01]) were associated with a significant higher risk of thrombosis.
Multivariate analysis identified older age (OR 1.33; p=0.013), a surface
area>=10cm2 (OR 8.19; p=0.042) and palpable phleboliths (OR 85.29; p<0.01) as
significant risk factors. CONCLUSIONS: Our study suggests the existence of
clinical factors associated with higher risk of thrombotic complications, such as
the extent of the malformation, palpable phleboliths and increased age among
children with vascular malformations.
PMID- 27884453
TI - A call for a standardized definition of perforated appendicitis.
AB - BACKGROUND: Abscess rates have been reported to be as low as 1% and as high as
50% following perforated appendicitis (PA). This range may be because of lack of
universal definition for PA. An evidence-based definition (EBD) is crucial for
accurate wound classification, risk-stratification, and subsequent process
optimization. ACS NSQIP-Pediatric guidelines do not specify a definition of PA.
We hypothesize that reported postoperative abscess rates underrepresent true
incidence, as they may include low-risk cases in final calculations. METHODS:
Local institutional records of PA patients were reviewed to calculate the
postoperative abscess rate. The ACS NSQIP-Pediatric participant use file (PUF)
was used to determine cross-institutional postoperative abscess rates. A PubMed
literature review was performed to identify trials reporting PA abscess rates,
and definitions and rates were recorded. RESULTS: 20.9% of our patients with PA
developed a postoperative abscess. The ACS NSQIP-Pediatric abscess rate was
significantly lower (7.61%, p<0.001). In the eighteen published studies analyzed,
average abscess rate (14.49%) was significantly higher than ACS NSQIP-Pediatric
(p<0.001). There was significantly more variation in trials that do not employ an
EBD of perforation (Levene's test F-value =6.980, p=0.018). CONCLUSIONS: A
standard EBD of perforation leads to lower variability in reported postoperative
abscess rates following PA. Nonstandard definitions may be significantly altering
the aggregate rate of postoperative abscess formation. We advocate for adoption
of a standard definition by all institutions participating in ACS NSQIP-Pediatric
data submission. LEVEL OF EVIDENCE: III.
PMID- 27884454
TI - [Management of adverse effects related to human immunoglobulin therapy:
Recommendations for clinical practice].
AB - Both intravenous and subcutaneous immunoglobulins are therapeutic modalities
approved in various conditions, including primary and secondary immune
deficiencies and autoimmune disorders. To date, immunoglobulins have more often
been considered as a safe medication, with minor adverse effects such as
hypertension, fever and chills, nausea, myalgia or headache. However, with the
wider use of immunoglobulins in the treatment of autoimmune diseases, severe side
effects have also been reported to occur in immunoglobulin-treated patients,
especially anaphylaxis, aseptic meningitis, acute renal impairment, thrombotic
events as well as haematological manifestations. This paper reviews all the
potential adverse events related to immunoglobulin therapy and establishes a
comprehensive guideline for the management of these events.
PMID- 27884455
TI - [Acid sphingomyelinase deficiency (Niemann-Pick disease type B) in adulthood: A
retrospective multicentric study of 28 adult cases].
AB - INTRODUCTION: Acid sphingomyelinase deficiency (ASMD) is an autosomal recessive
disease with a clinical spectrum ranging from a neurovisceral infantile form
(Niemann-Pick disease type A) to a chronic visceral form also encountered in
adults (Niemann-Pick disease type B, NP-B). METHODS: Retrospective multicentric
analysis of French adult patients with ASMD over the period 1985-March 2015.
Clinical, biological, and imaging data were analyzed. RESULTS: Twenty-eight
patients (19 males, 9 females) were analyzed. Diagnosis was made before the age
of 10 years in 16 cases. Main symptoms at diagnosis were spleen/liver enlargement
and interstitial lung disease. Biological abnormalities included:
thrombocytopenia (platelet count <150 000/mm3) in 24 cases including 4 patients
with platelet count <60 000/mm3, constantly low high-density lipoprotein (HDL)
cholesterol, polyclonal hypergammaglobulinemia (n=6), monoclonal gammopathy of
unknown significance (n=5), normal prothrombin level discordant with low factor V
(n=5), elevated chitotriosidase level (n=11). The diagnosis was confirmed in all
cases by deficient acid sphingomyelinase enzyme activity. SMPD1 gene sequencing
was performed in 25 cases. The frequent p.R610del mutation was largely
predominant, constituting 62% of the non-related alleles. During the follow-up
period, three patients died before 50 years of age from cirrhosis, heart failure
and lung insufficiency, respectively. CONCLUSION: ASMD in adulthood (NP-B)
associates spleen/liver enlargement and interstitial lung disease. Early
diagnosis and appropriate management are essential for reducing the risk of
complications, improving quality of life, and avoiding inappropriate procedures
such as splenectomy. To date, only symptomatic therapy is available. A phase 2/3
therapeutic trial with IV infusion of recombinant enzyme is on-going.
PMID- 27884457
TI - [Abdominal pain and vomiting in a 66-year-old man].
PMID- 27884456
TI - [Neurosarcoidosis: Diagnosis and therapeutic issues].
AB - Neurological localizations of sarcoidosis are heterogeneous and may affect
virtually every part of the central or peripheral nervous system. They are often
the inaugural manifestation of sarcoidosis. The diagnosis may be difficult due to
the lack of extra-neurological localization. Diagnosis may be discussed in the
presence of an inflammatory neurological disease, in particular in case of
suggestive radiological or biological pattern. Cerebrospinal fluid analysis shows
lymphocytic pleiocytosis, often with low glucose level. The diagnosis relies on a
clinical, biological and radiological presentation consistent with
neurosarcoidosis, the presence of non-caseating granuloma and exclusion of
differential diagnoses. Screening for other localizations of sarcoidosis, in
particular cardiac disease may be obtained during neurosarcoidosis. The treatment
of neurosarcoidosis relies on corticosteroids although immunosuppressive drugs
are usually added because of the chronic course of this condition and to limit
the side effects of steroids. Treatments and follow-up may be prolonged because
of the high rate of relapses.
PMID- 27884458
TI - Risk of Carotid Stroke after Chiropractic Care: A Population-Based Case-Crossover
Study.
AB - BACKGROUND: Chiropractic manipulation is a popular treatment for neck pain and
headache, but may increase the risk of cervical artery dissection and stroke.
Patients with carotid artery dissection can present with neck pain and/or
headache before experiencing a stroke. These are common symptoms seen by both
chiropractors and primary care physicians (PCPs). We aimed to assess the risk of
carotid artery stroke after chiropractic care by comparing association between
chiropractic and PCP visits and subsequent stroke. METHODS: A population-based,
case-crossover study was undertaken in Ontario, Canada. All incident cases of
carotid artery stroke admitted to hospitals over a 9-year period were identified.
Cases served as their own controls. Exposures to chiropractic and PCP services
were determined from health billing records. RESULTS: We compared 15,523 cases to
62,092 control periods using exposure windows of 1, 3, 7, and 14 days prior to
the stroke. Positive associations were found for both chiropractic and PCP visits
and subsequent stroke in patients less than 45 years of age. These associations
tended to increase when analyses were limited to visits for neck pain and
headache-related diagnoses. There was no significant difference between
chiropractic and PCP risk estimates. We found no association between chiropractic
visits and stroke in those 45 years of age or older. CONCLUSIONS: We found no
excess risk of carotid artery stroke after chiropractic care. Associations
between chiropractic and PCP visits and stroke were similar and likely due to
patients with early dissection-related symptoms seeking care prior to developing
their strokes.
PMID- 27884459
TI - Balance evaluation techniques and physical therapy in post-stroke patients: A
literature review.
AB - A stroke (cerebrovascular accident - CVA) is a significant social-economic issue.
Approximately 15-30% of all patients develop life-long disability, 20% require
over 3 months of specialized care in healthcare institutions, and the majority of
the patients never recover the ability to maintain a proper vertical position.
Such CVA sequelae as balance disturbances not only negatively affect patients'
daily physical activity, but also result in social isolation. A number of
standardized clinical scales, tests, and instrumental examination techniques have
been proposed for evaluating not only post-CVA balance function, but also any
changes in this function following various interventions. Even though scientific
literature lists numerous methods and instruments for the improvement of balance
after a CVA, not all of them are equally effective, and there have been rather
controversial evaluations of some techniques. Nevertheless, the application of
the majority of the techniques as complementary or alternative measures to
traditional physical therapy (PT) frequently yields better results.
PMID- 27884460
TI - Endogenous spinal cord stem cells in multiple sclerosis and its animal model.
AB - The adult mammalian spinal cord (SC) harbors neural stem cells (NSCs). The SC
NSCs are mostly quiescent during physiological conditions but are quickly
activated in traumatic injury models. The SC-NSCs generate mostly glia, but are
able to differentiate into neurons when affected by favourable conditions. An
example is the inflammatory milieu in the SC of rat EAE, where the SC-NSCs
migrate into demyelinated lesions and give rise to both glia and neurons. In MS,
cells with progenitor phenotypes accumulate in inflammatory lesions both in brain
and SC, but the extent to which these cells contribute to repair remains to be
revealed.
PMID- 27884461
TI - Reactivity to social stress in ethnic minority men.
AB - Repeated exposures to social exclusion, through a process of sensitization, may
result in larger responses to experiences of social stress. The current study
tested the hypothesis that healthy Moroccan-Dutch men respond stronger to social
stress than Dutch controls 1) in daily life, and 2) in an experimental set-up. A
general population sample of 50 Moroccan-Dutch and 50 Dutch young adult males
were tested with 1) the Experience Sampling Method, a structured diary technique,
assessing reactivity to social stress in daily life, and 2) an experimental
exposure to social peer evaluation. No group differences were found in affective
or psychotic reactivity to daily social stress. When exposed to a negative social
evaluation in the lab, a blunted affective response was found in the Moroccan
Dutch compared to the Dutch group, whereas the psychotic response did not differ
significantly between groups. In conclusion, healthy Moroccan-Dutch men are not
more sensitive to social stress than healthy Dutch men. Instead, the blunted
affective response of Moroccan-Dutch men to peer evaluation may signify
habituation rather than sensitization.
PMID- 27884462
TI - Dual Neural Network Model for the Evolution of Speech and Language.
AB - Explaining the evolution of speech and language poses one of the biggest
challenges in biology. We propose a dual network model that posits a volitional
articulatory motor network (VAMN) originating in the prefrontal cortex (PFC;
including Broca's area) that cognitively controls vocal output of a
phylogenetically conserved primary vocal motor network (PVMN) situated in
subcortical structures. By comparing the connections between these two systems in
human and nonhuman primate brains, we identify crucial biological preadaptations
in monkeys for the emergence of a language system in humans. This model of
language evolution explains the exclusiveness of non-verbal communication sounds
(e.g., cries) in infants with an immature PFC, as well as the observed emergence
of non-linguistic vocalizations in adults after frontal lobe pathologies.
PMID- 27884463
TI - Gated carbon-ion scanning treatment for pancreatic tumour with field specific
target volume and organs at risk.
AB - OBJECTIVE: To assess the feasibility of treatment planning for pancreatic tumours
subject to respiratory motion using field-specific target volumes (FTV) and field
specific organs at risk (FOAR) using four-dimensional computed tomography (4DCT).
METHODS: Fourteen pancreatic cancer patients underwent 4DCT. Radiation
oncologists contoured the gross tumour volume (GTV), clinical target volume
(CTV), spinal cord, duodenum, kidneys, and stomach. The gating duty cycle was set
to 30 % around exhalation. FTV and FOAR were calculated using the 4DCT dataset.
Planning target volumes (PTV) and planning organs at risk volumes (PRV) were
defined as equal to FTV and FOAR, respectively. A dose of 55.2Gy relative
biological effectiveness (RBE) was planned to target the PTV from four beam
angles. A single field uniform dose (SFUD) plan was selected. The dose
distribution, including intrafractional motion changes, was generated. RESULTS:
The mean volume of target receiving 95 % of the planned doses was 96.4+/-4.1 % to
the GTV and 94.7+/-0.9 % to the CTV. The highest dose to 2cc of duodenal volume
was 27.5Gy (RBE). The volume of the stomach receiving ?30Gy (RBE) was <7.0cc in
all patients. All metrics for OARs satisfied dose constraints. CONCLUSION: Dose
to the CTV was covered sufficiently by the 4DCT-generated FTV, and dose to OARs
was reduced by 4DCT-generated FOAR. This methodology may prevent adverse
reactions while preserving local tumour control.
PMID- 27884464
TI - Estimating the Area Under ROC Curve When the Fitted Binormal Curves Demonstrate
Improper Shape.
AB - RATIONALE AND OBJECTIVES: The "binormal" model is the most frequently used tool
for parametric receiver operating characteristic (ROC) analysis. The binormal ROC
curves can have "improper" (non-concave) shapes that are unrealistic in many
practical applications, and several tools (eg, PROPROC) have been developed to
address this problem. However, due to the general robustness of binormal ROCs,
the improperness of the fitted curves might carry little consequence for
inferences about global summary indices, such as the area under the ROC curve
(AUC). In this work, we investigate the effect of severe improperness of fitted
binormal ROC curves on the reliability of AUC estimates when the data arise from
an actually proper curve. MATERIALS AND METHODS: We designed theoretically proper
ROC scenarios that induce severely improper shape of fitted binormal curves in
the presence of well-distributed empirical ROC points. The binormal curves were
fitted using maximum likelihood approach. Using simulations, we estimated the
frequency of severely improper fitted curves, bias of the estimated AUC, and
coverage of 95% confidence intervals (CIs). In Appendix S1, we provide additional
information on percentiles of the distribution of AUC estimates and bias when
estimating partial AUCs. We also compared the results to a reference standard
provided by empirical estimates obtained from continuous data. RESULTS: We
observed up to 96% of severely improper curves depending on the scenario in
question. The bias in the binormal AUC estimates was very small and the coverage
of the CIs was close to nominal, whereas the estimates of partial AUC were biased
upward in the high specificity range and downward in the low specificity range.
Compared to a non-parametric approach, the binormal model led to slightly more
variable AUC estimates, but at the same time to CIs with more appropriate
coverage. CONCLUSIONS: The improper shape of the fitted binormal curve, by
itself, ie, in the presence of a sufficient number of well-distributed points,
does not imply unreliable AUC-based inferences.
PMID- 27884465
TI - Crossing the Chasm(s): Demonstrating the Clinical Value of Hyperpolarized Gas
MRI.
PMID- 27884466
TI - Linking pollutant exposure of humpback whales breeding in the Indian Ocean to
their feeding habits and feeding areas off Antarctica.
AB - Humpback whales, Megaptera novaeangliae, breeding off la Reunion Island (Indian
Ocean) undergo large-scale seasonal migrations between summer feeding grounds
near Antarctica and their reproductive winter grounds in the Indian Ocean. The
main scope of the current study was to investigate chemical exposure of humpback
whales breeding in the Indian Ocean by providing the first published data on this
breeding stock concerning persistent organic pollutants (POPs), namely
polychlorinated biphenyls (PCBs), hexachlorobenzene (HCB), hexachlorocyclohexanes
(HCHs), DDT and its metabolites (DDTs), chlordane compounds (CHLs),
polybrominated diphenyl ethers (PBDEs), and methoxylated PBDEs (MeO-PBDEs).
Analyses of stable isotopes delta13C and delta15N in skin resulted in further
insight in their feeding ecology, which was in agreement with a diet focused
mainly on low trophic level prey species, such as krill from Antarctica. POPs
were measured in all humpback whales in the order of HCB > DDTs > CHLs > HCHs >
PCBs > PBDEs > MeO-BDEs. HCB (median: 24 ng g-1 lw) and DDTs (median: 7.7 ng g-1
lw) were the predominant compounds in all whale biopsies. Among DDT compounds,
p,p'-DDE was the major organohalogenated pollutant, reflecting its long-term
accumulation in humpback whales. Significantly lower concentrations of HCB and
DDTs were found in females than in males (p < 0.001). Other compounds were
similar between the two genders (p > 0.05). Differences in the HCB and DDTs
suggested gender-specific transfer of some compounds to the offspring. POP
concentrations were lower than previously reported results for humpback whales
sampled near the Antarctic Peninsula, suggesting potential influence of their
nutritional status and may indicate different exposures of the whales according
to their feeding zones. Further investigations are required to assess exposure of
southern humpback whales throughout their feeding zones.
PMID- 27884468
TI - Corrigendum to "Endosulfan, pentachlorobenzene and short-chain chlorinated
paraffins in background soils from Western Europe" [Environ. Pollut. 196 (2015),
21-28].
PMID- 27884467
TI - Elucidating ozonation mechanisms of organic micropollutants based on DFT
calculations: Taking sulfamethoxazole as a case.
AB - Ozonation is widely used in wastewater treatment plants to remove diverse organic
micropollutants. As molecular structures of organic micropollutants contain
multiple ozone-preferred reaction sites, and moreover intermediate products can
react with ozone again, ozonation mechanism is complex. A fast increasing number
of organic micropollutants and a great demand of ecological risk assessments call
for an in silico method to provide insights into the ozonation mechanism of
organic micropollutants. Here, an in silico model was developed to unveil
ozonation mechanisms of organic micropollutants. Sulfamethoxazole was taken as a
case. The model enumerates elementary reactions following well-accepted ozonation
patterns and secondary transformation reactions established for intermediates by
experiments. Density functional theory (DFT) calculations were employed for
evaluating thermodynamic feasibilities of reaction pathways. By calculating Gibbs
free energies, ozonation products of SMX were predicted. The predicted products
are consistent with those detected in experiments. This method is advanced in
revealing all possible reaction pathways including minor pathways that produce
toxic byproducts but are difficult to be observed by experiments. Accordingly,
water treatment engineers can setup necessary treatment technology to ensure
water safety.
PMID- 27884469
TI - Chinese province-scale source apportionments for sulfate aerosol in 2005
evaluated by the tagged tracer method.
AB - Appropriate policies to improve air quality by reducing anthropogenic emissions
are urgently needed. This is typified by the particulate matter (PM) problem and
it is well known that one type of PM, sulfate aerosol (SO42-), has a large-scale
impact due to long range transport. In this study we evaluate the source-receptor
relationships of SO42- over East Asia for 2005, when anthropogenic sulfur dioxide
(SO2) emissions from China peaked. SO2 emissions from China have been declining
since 2005-2006, so the possible maximum impact of Chinese contributions of SO42-
is evaluated. This kind of information provides a foundation for policy making
and the estimation of control effects. The tagged tracer method was applied to
estimate the source apportionment of SO42- for 31 Chinese province-scale regions.
In addition, overall one-year source apportionments were evaluated to clarify the
seasonal dependency. Model performance was confirmed by comparing with ground
based observations over mainland China, Taiwan, Korea, and Japan, and the model
results fully satisfied the performance goal for PM. We found the following
results. Shandong and Hebei provinces, which were the largest and second largest
SO2 sources in China, had the greatest impact over the whole of East Asia with
apportionments of around 10-30% locally and around 5-15% in downwind receptor
regions during the year. Despite large SO2 emissions, the impact of south China
(e.g., Guizhou, Guangdong, and Sichuan provinces) was limited to local impact.
These results suggest that the reduction policy in south China contributes to
improving the local air quality, whereas policies in north and central China are
beneficial for both the whole of China and downwind regions. Over Taiwan, Korea,
and Japan, the impact of China was dominant; however, local contributions were
important during summer.
PMID- 27884470
TI - The first exposure assessment of legacy and unrestricted brominated flame
retardants in predatory birds of Pakistan.
AB - The exposure to legacy polybrominated diphenyl ethers (PBDEs),
hexabromocyclododecanes (HBCDDs) and unrestricted 1,2-bis (2,4,6-tribromophenoxy)
ethane (BTBPE), bis (2-ethylhexyl)-2,3,4,5-tetrabromophthalate (BEH-TEBP) and 2
ethylhexyl-2,3,4,5-tetrabromo-benzoate (EH-TBB) was examined in tail feathers of
76 birds belonging to ten predatory species inhabiting Pakistan. In addition,
different feather types of six individuals of Black kite (Milvus migrans) were
compared for their brominated flame retardant (BFR) levels. Black kite was found
to be the most contaminated species with a median (minimum-maximum) tail feather
concentration of 2.4 (0.70-7.5) ng g-1 dw for ?PBDEs, 1.5 (0.5-8.1) ng g-1 dw for
?HBCDDs and 0.10 ( 0.05 for both). Similarly, no
significant concentration differences were observed among different feather types
(all P > 0.05) suggesting their similar exposure. While variables such as
species, trophic guild and delta15N values were evaluated as major predictors for
BFR accumulation in the studied species, we predict that combined effects of just
mentioned factors may govern the intra- and interspecific differences in BFR
contamination profiles. We urge for further investigation of BFR exposure and
potential toxicological effects in predatory birds from Asia with a more
extensive sample size per species and location.
PMID- 27884471
TI - Polybrominated diphenyl ethers (PBDES) and hexa-brominated biphenyls (Hexa-BBs)
in fresh foods ingested in Taiwan.
AB - Polybrominated diphenyl ethers (PBDEs) and hexa-brominated biphenyls (Hexa-BBs)
are bioaccumulative and aggregate in the food chain. Therefore, background
monitoring and risk assessment for dietary intake are necessary. In present
study, a systematic sampling method was first used to collect the high fat
content foodstuff such as poultry, livestock, eggs, fish, other seafood, dairy
products, and the infant foods and then foodstuff with high consumption in seven
categories of 600 food samples. After integrating four years of background
surveys of PBDE levels (2010-2013) and one year of that of Hexa-BBs (2013), the
highest estimated daily intake (EDI) of PBDEs for Taiwanese food consumption was
found in 0- to 3-year-olds (mean = 9.38 ng kg-1 bw d-1, the 95% upper limit of
Monte Carlo Simulation (MCS P95) was 21.52 ng kg-1 bw d-1), and the lowest in 16-
to 18-year-old girls (mean = 3.35 ng kg-1 bw d-1, MCS P95 was 6.53 ng kg-1 bw d
1). Moreover, the highest of EDI of Hexa-BBs was found in 0-3 years old (mean =
0.007 ng kg-1 bw d-1, MCS P95 = 0.019 ng kg-1 bw d-1), and lowest in 17-18 years
old female (mean = 0.002 ng/kg/day, MCS P95 = 0.005 ng kg-1 bw d-1). This study
suggests that the large MOEs (>2.5) for the four important congeners BDE-47, -99,
-153, and -209, indicate that the dietary exposures are not probably a
significant health concern for Taiwanese.
PMID- 27884472
TI - Impact of untreated wastewater on a major European river evaluated with a
combination of in vitro bioassays and chemical analysis.
AB - Complex mixtures of micropollutants, including pesticides, pharmaceuticals and
industrial chemicals emitted by wastewater effluents to European rivers may
compromise the quality of these water resources and may pose a risk to ecosystem
health and abstraction of drinking water. In the present study, an integrated
analytical and bioanalytical approach was applied to investigate the impact of
untreated wastewater effluents from the city of Novi Sad, Serbia, into the River
Danube. The study was based on three on-site large volume solid phase extracted
water samples collected upstream and downstream of the untreated wastewater
discharge. Chemical screening with liquid chromatography high resolution mass
spectrometry (LC-HRMS) was applied together with a battery of in vitro cell-based
bioassays covering important steps of the cellular toxicity pathway to evaluate
effects on the activation of metabolism (arylhydrocarbon receptor AhR, peroxisome
proliferator activated receptor gamma PPARgamma), specific modes of action
(estrogen receptor ERalpha, androgen receptor AR) and adaptive stress responses
(oxidative stress, inflammation). Increased effects, significantly changed
contamination patterns and higher chemical concentrations were observed
downstream of the wastewater discharge. A mass balance approach showed that
enhanced endocrine disruption was in good agreement with concentrations of
detected hormones, while only a smaller fraction of the effects on xenobiotic
metabolism (<1%) and adaptive stress responses (0-12%) could be explained by the
detected chemicals. The chemical and effects patterns observed upstream of the
discharge point were fairly re-established at about 7 km downstream,
demonstrating the enormous dilution capacity of this large river.
PMID- 27884473
TI - ICU ward design and nosocomial infection rates: a cross-sectional study in
Germany.
AB - BACKGROUND: There is increasing interest in the effects of hospital and ward
design on multi-faceted infection control. Definitive evidence is rare and the
state of knowledge about current ward design is lacking. OBJECTIVE: To collect
data on the current status of ward design for intensive care units (ICUs) and to
analyse associations between particular design factors and nosocomial infection
rates. METHODS: In 2015, operational infrastructure data were collected via an
online questionnaire from ICUs participating voluntarily in the German nosocomial
infection surveillance system (KISS). A multi-variate analysis was subsequently
undertaken with nosocomial infection rates from the KISS database from 2014 to
2015. FINDINGS: In total, 534 ICUs submitted data about their operational
infrastructure. Of these, 27.1% of beds were hosted in single-bed rooms with a
median size of 18m2 (interquartile range 15-21m2), and 73.5% of all ICU beds had
a hand rub dispenser nearby. The authors were able to match 266 ICUs in the multi
variate analysis. ICUs with openable windows in patient rooms were associated
with lower device-associated lower respiratory tract infections [odds ratio (OR)
0.73, 95% confidence interval (CI) 0.58-0.90]. ICUs with >40% two-bed rooms were
associated with lower primary bloodstream infection rates (OR 0.66, 95% CI 0.51
0.86). CONCLUSION: Only minor associations were found between design factors and
ICU infection rates. Most were surrogates for other risk factors.
PMID- 27884474
TI - CKD and Risk for Hospitalization With Infection: The Atherosclerosis Risk in
Communities (ARIC) Study.
AB - BACKGROUND: Individuals on dialysis therapy have a high risk for infection, but
risk for infection in earlier stages of chronic kidney disease has not been
comprehensively described. STUDY DESIGN: Observational cohort study. SETTING &
PARTICIPANTS: 9,697 participants (aged 53-75 years) in the Atherosclerosis Risk
in Communities (ARIC) Study. Participants were followed up from 1996 to 1998
through 2011. PREDICTORS: Estimated glomerular filtration rate (eGFR) and urinary
albumin-creatinine ratio (ACR). OUTCOMES: Risk for hospitalization with infection
and death during or within 30 days of hospitalization with infection. RESULTS:
During follow-up (median, 13.6 years), there were 2,701 incident hospitalizations
with infection (incidence rate, 23.6/1,000 person-years) and 523 infection
related deaths. In multivariable analysis, HRs of incident hospitalization with
infection as compared to eGFRs>=90mL/min/1.73m2 were 2.55 (95% CI, 1.43-4.55),
1.48 (95% CI, 1.28-1.71), and 1.07 (95% CI, 0.98-1.16) for eGFRs of 15 to 29, 30
to 59, and 60 to 89mL/min/1.73m2, respectively. Corresponding HRs were 3.76 (95%
CI, 1.48-9.58), 1.62 (95% CI, 1.20-2.19), and 0.99 (95% CI, 0.80-1.21) for
infection-related death. Compared to ACRs<10mg/g, HRs of incident hospitalization
with infection were 2.30 (95% CI, 1.81-2.91), 1.56 (95% CI, 1.36-1.78), and 1.34
(95% CI, 1.20-1.50) for ACRs>=300, 30 to 299, and 10 to 29mg/g, respectively.
Corresponding HRs were 3.44 (95% CI, 2.28-5.19), 1.57 (95% CI, 1.18-2.09), and
1.39 (95% CI, 1.09-1.78) for infection-related death. Results were consistent
when separately assessing risk for pneumonia, kidney and urinary tract
infections, bloodstream infections, and cellulitis and when taking into account
recurrent episodes of infection. LIMITATIONS: Outcome ascertainment relied on
diagnostic codes at time of discharge. CONCLUSIONS: Increasing provider awareness
of chronic kidney disease as a risk factor for infection is needed to reduce
infection-related morbidity and mortality.
PMID- 27884476
TI - Systemic immunization with rPotD reduces Streptococcus pneumoniae nasopharyngeal
colonization in mice.
AB - Streptococcus pneumoniae (pneumococcus) is a human pathogen that can cause otitis
media, pneumonia and, in severe cases, meningitis and bacteremia. The
pneumococcus expresses PotD, a protein belonging to the polyamines transporter
complex called PotABCD. PotD is a membrane-associated protein that binds
polyamines and has been shown to be important for virulence. In this work we
demonstrate that subcutaneous immunization with rPotD reduces the bacterial load
in the nasal tissue of mice, following intranasal challenge with a type 6B
pneumococcus. The protective effect correlated with the induction of high levels
of antibodies in the immunized group; the antibodies were able to increase
bacterial phagocytosis by mouse peritoneal cells. The cellular immune response
was characterized by the production of gamma-interferon, IL-2 and IL-17 by
splenocytes and nitric oxide by peritoneal cells of immunized mice, upon
stimulation with rPotD. Taken together our results suggest that PotD is a
promising candidate to be included in a protein based pneumococcal vaccine, able
to induce phagocytic antibodies, a Th1 cellular immune response and production of
IL-17, reducing nasopharyngeal colonization, the main event responsible for
transmission of pneumococci in humans.
PMID- 27884475
TI - Frailty, Kidney Function, and Polypharmacy: The Atherosclerosis Risk in
Communities (ARIC) Study.
AB - BACKGROUND: Frail individuals are at increased risk for poor outcomes, including
adverse drug events. Kidney function is often compromised in frailty and is a key
consideration in medication choice and dosing; however, creatinine-based measures
of kidney function may be biased in frail individuals. STUDY DESIGN:
Observational study. SETTING & PARTICIPANTS: 4,987 community-dwelling older men
and women with complete data who participated in visit 5 of the Atherosclerosis
Risk in Communities (ARIC) Study (2011-2013). PREDICTORS: Kidney measures
included glomerular filtration rate (GFR) estimated using serum creatinine
(eGFRcr) and serum cystatin C level (eGFRcys) and urine albumin-creatinine ratio.
OUTCOME: Frailty, defined using established criteria of 3 or more frailty
characteristics (weight loss, slowness, exhaustion, weakness, and low physical
activity). RESULTS: 341 (7%) participants were classified as frail, 1,475 (30%)
had eGFRcr<60mL/min/1.73m2, 2,480 (50%) had eGFRcys<60mL/min/1.73m2, and 1,006
(20%) had albuminuria with albumin excretion >= 30mg/g. Among frail participants,
prevalences of eGFRcr and eGFRcys<60mL/min/1.73m2 were 45% and 77%, respectively.
Adjusted for covariates, frailty showed a moderate association with eGFRcr and a
strong association with eGFRcys and albumin-creatinine ratio. Frail individuals
with eGFRcr of 60 to <75mL/min/1.73m2 were frequently reclassified to lower eGFR
categories using eGFRcys (49% to 45-<60, 32% to 30-<45, and 3% to
<30mL/min/1.73m2). Hyperpolypharmacy (taking >=10 classes of medications) was
more common in frail individuals (54% vs 38% of nonfrail), including classes
requiring kidney clearance (eg, digoxin) and associated with falls and subsequent
complications (eg, hypnotic/sedatives and anticoagulants). LIMITATIONS: Cross
sectional study design. CONCLUSIONS: Frail individuals had a high prevalence of
reduced kidney function, with large discrepancies when reduced kidney function
was classified by eGFRcys versus eGFRcr. Given the substantial medication burden
and uncertainty in chronic kidney disease classification, confirmation of kidney
function with alternative biomarkers may be warranted to ensure careful
prescribing practices in this vulnerable population.
PMID- 27884477
TI - Map of different vaccine supply chain efficiency measures.
PMID- 27884478
TI - Vaccine provision: Delivering sustained & widespread use.
AB - The administration of a vaccine to a recipient is the final step in a development
and production process that may have begun several decades earlier. Here we
describe the scale and complexity of the processes that brings a candidate
vaccine through clinical development to the recipient. These challenges include
ensuring vaccine quality (between 100 and 500 different Quality Control tests are
performed during production to continually assess safety, potency and purity);
making decisions about optimal vaccine presentation (pre-filled syringes versus
multi-dose vials) that affect capacity and supply; and the importance of
maintaining the vaccine cold chain (most vaccines have stringent storage
temperature requirements necessary to maintain activity and potency). The
ultimate aim is to make sure that an immunogenic product matching the required
specifications reaches the recipient. The process from concept to licensure takes
10-30years. Vaccine licensure is based on a file submitted to regulatory agencies
which contains the comprehensive compilation of chemistry, manufacturing
information, assay procedures, preclinical and clinical trial results, and
proposals for post-licensure effectiveness and safety data collection. Expedited
development and licensure pathways may be sought in emergency settings: e.g., the
2009 H1N1 influenza pandemic, the 2014 West African Ebola outbreak and
meningococcal serogroup B meningitis outbreaks in the United States and New
Zealand. Vaccines vary in the complexity of their manufacturing process.
Influenza vaccines are particularly challenging to produce and delays in
manufacturing may occur, leading to vaccine shortages during the influenza
season. Shortages can be difficult to resolve due to long manufacturing lead
times and stringent, but variable, local regulations. New technologies are
driving the development of new vaccines with simplified manufacturing
requirements and with quality specifications that can be confirmed with fewer
tests. These technologies could have far-reaching effects on supply, cost of
goods, and on response timing to a medical need until product availability.
PMID- 27884479
TI - A pilot training program for people in recovery of mental illness as vocational
peer support workers in Hong Kong - Job Buddies Training Program (JBTP): A
preliminary finding.
AB - OBJECTIVES: The present study reviews the delivery of a pilot curriculum
mentorship-based peer vocational support workers training in a Hong Kong public
psychiatric hospital. The present paper reports (1) on the development of a peer
vocational support workers training - Job Buddies Training Program (JBTP) in Hong
Kong; and (2) preliminary findings from both quantitative and qualitative
perspectives. METHOD: The curriculum consists of 15-session coursework, 8-session
storytelling workshop and 50-hour practicum to provide Supported Employment Peer
Service (SEPS) under the mentorship of occupational therapists. Six trainees were
assessed using three psychosocial assessments and qualitative methods. FINDINGS:
Compared to the baseline, the Job Buddies (JB) trainees showed an increase in
awareness of their own recovery progress, occupational competence and problem
solving skills at the end of the training. Their perceived level of self-stigma
was also lessened. In post-training evaluation, all Job Buddies trainees said
they perceived positive personal growth and discovered their own strengths. They
also appreciated the help from their mentors and gained mutual support from other
trainees and from exposure with various mini-projects in the training.
CONCLUSIONS AND IMPLICATIONS: This pilot study provides an example of
incorporating peer support and manualized training into existing work
rehabilitation service for our JB trainees. Further studies on the effectiveness
of service provided by peer support workers and for development on the potential
use of peer support workers in other clinical and rehabilitation settings with
larger subjects will be fruitful.
PMID- 27884480
TI - Subcutaneous emphysema after vigorous sneezing in the setting of acute frontal
sinusitis.
AB - INTRODUCTION: Subcutaneous emphysema [SCE] can develop due to traumatic,
infectious, and spontaneous causes and usually localizes to the periorbital
space. CASE: We present a case of an 18-year-old male with an 8-day history of
migraine-like headaches followed by the acute onset of frontofacial swelling
after vigorous sneezing. Radiologic and physical exam findings supported a
diagnosis of frontofacial SCE in the setting of frontal sinusitis. DISCUSSION: A
sneeze, although usually benign, causes a significant increase in intranasal
pressure. When coupled with a history significant for facial trauma or
rhinosinusitis, this rise in pressure can be sufficient to cause fracturing of
the bone overlying a paranasal sinus, leading to the formation of SCE.
PMID- 27884481
TI - At What Age Does Stroke Risk Increase in Patients With Atrial Fibrillation? Does
It Depend on Where You Live?
PMID- 27884482
TI - The Sum of Its Parts: The Polygenic Basis of Coronary Artery Disease.
PMID- 27884483
TI - Erratum.
PMID- 27884484
TI - Ross, Hybrid Arch, and Frozen Elephant Trunk Reconstruction for Late
Complications of Bicuspid Aortic Valve and Aortopathy.
AB - Young patients with bicuspid aortic valve disease and aortopathy remain a
clinical challenge, with many requiring multiple corrective operations throughout
their lifetimes. Innovative surgical approaches are often required to address
complex aortic pathologic conditions but leave patients at risk for
reintervention, lifelong anticoagulation, and suboptimal hemodynamics. We
describe an active 44-year-old female triathlete with recurrent bicuspid aortic
stenosis, a small aortic root, a hypoplastic aortic arch and complex distal arch,
and a descending aortic aneurysm, who underwent a single-stage reconstruction
with a combined Ross procedure, hybrid arch, and frozen elephant trunk
reconstruction.
PMID- 27884485
TI - The Anthropocene Biosphere: Supporting 'Open Interdisciplinarity' through
Blogging.
AB - This paper describes a process of 'open' interdisciplinary scholarship.
Researchers from across the University of Oklahoma blogged about a recent paper
by ecologist Erle Ellis, and met in person to discuss posts. They then hosted
Ellis for a seminar on questions that emerged, and for a public panel discussion.
PMID- 27884487
TI - Hair ethyl glucuronide concentrations in teetotalers: Should we re-evaluate the
lower cut-off?
AB - AIMS: Ethyl glucuronide in hair (hEtG) can be used to assess the retrospective
consumption of alcohol. A lower cut-off of 7pg/mg hair in the 0-3cm proximal
scalp hair segment has been used for repeated alcohol consumption in the previous
three months. While a concentration below this cut-off is stated not to
contradict self reported abstinence, it is often used to assess whether an
individual has remained abstinent in the period prior to hair sampling. Here, we
address hEtG concentrations in alcohol consuming individuals and critically
evaluate this cut-off value. METHODS: Ten individuals remained abstinent from
alcohol for 12 weeks. A lock of hair was cut before the start of the study, and
the regrown hairs were cut after twelve weeks of abstinence. Hair EtG
concentrations were measured both at baseline and after 12 weeks of abstinence.
Study compliance was assessed by urine analysis every 2-3 days by liquid
chromatography-tandem mass spectrometry with a lower limit of quantification
(LLOQ) of 0.1MUg/mL. HEtG concentrations were assessed in the first 3cm hair
using gas chromatography-tandem mass spectrometry with an LLOQ of 0.2pg/mg.
RESULTS: At the beginning of the study, participants had hEtG concentrations
ranging between 125 vs >110 degrees and rotations >70
vs >48 degrees). CONCLUSIONS: Addition of a structured RC strengthening program
to TENS and joint mobilization in the treatment of adhesive capsulitis resulted
in improvement in pain, ROM and function. LEVEL OF EVIDENCE: 1b.
PMID- 27884498
TI - Early Sexual Debut and Associated Risk Behaviors Among Sexual Minority Youth.
AB - INTRODUCTION: Early sexual debut, sexual risk taking, substance use, violent
victimization, and suicidal behaviors are more prevalent among sexual minority
than sexual nonminority youth. Although associations between early sexual debut
and these risk behaviors exist, little is known about such associations among
sexual minority youth. This study examined these associations among sexual
minority U.S. high school students and their sexual nonminority peers. METHODS:
In 2015, the national Youth Risk Behavior Survey included questions assessing
sexual orientation for the first time. In 2016, data from this nationally
representative sample of 15,624 U.S. high school students were analyzed to
determine if associations between early sexual debut and other health risk
behaviors varied by sexual orientation, by calculating adjusted (for sex,
race/ethnicity, and age) prevalence ratios (APRs). RESULTS: Associations between
early sexual debut and other health risk behaviors did not vary significantly by
sexual orientation. Early sexual debut (first sexual intercourse before age 13
years) was associated with sexual risk taking, substance use, violent
victimization, and suicidal thoughts/attempts among students identifying as
lesbian, gay, or bisexual (LGB) and among students identifying as heterosexual,
for example, being currently sexually active (LGB students: APR=1.82, 95%
CI=1.35, 2.45; heterosexual students: APR=2.50, 95% CI=2.22, 2.81) and not using
a condom at last sexual intercourse (LGB students: APR=1.50, 95% CI=1.18, 1.91;
heterosexual students: APR=1.29, 95% CI=1.09, 1.52). CONCLUSIONS: School-based
sexual health programs might appropriately utilize strategies that are inclusive
of sexual minority students, encourage delay of sexual intercourse, and
coordinate with violence and substance use prevention programs.
PMID- 27884500
TI - Different impact of aspirin on renal progression in patients with predialysis
advanced chronic kidney disease with or without previous stroke.
AB - BACKGROUND: The benefit of reducing the risk of stroke against increasing the
risk of renal progression associated with antiplatelet therapy in patients with
advanced chronic kidney disease (CKD) is controversial. METHODS: We enrolled 1301
adult patients with advanced CKD treated with erythropoiesis stimulating agents
from January 1, 2002 to June 30, 2009 from the 2005 Longitudinal Health Insurance
Database in Taiwan. All of the patients were followed until the development of
the primary or secondary endpoints, or the end of the study (December 31, 2011).
The primary endpoint was the development of ischemic stroke, and the secondary
endpoints included hospitalization for bleeding events, cardiovascular mortality,
all-cause mortality, and renal failure. The adjusted cumulative probability of
events was calculated using multivariate Cox proportional regression analysis.
RESULTS: Adjusted survival curves showed that the usage of aspirin was not
associated with ischemic stroke, hospitalization for bleeding events,
cardiovascular mortality or all-cause mortality, however, it was significantly
associated with renal failure. In subgroup analysis, aspirin use was associated
with renal failure in the patients with no history of stroke (HR, 1.41; 95% CI,
1.14-1.73), and there was a borderline interaction between previous stroke and
the use of aspirin on renal failure (interaction p=0.0565). CONCLUSIONS: There
was no significant benefit in preventing ischemic stroke in the patients with
advanced CKD who received aspirin therapy. Furthermore, the use of aspirin was
associated with the risk of renal failure in the patients with advanced CKD
without previous stroke.
PMID- 27884499
TI - Comparison of three types of exercise in the treatment of rotator cuff
tendinopathy/shoulder impingement syndrome: A randomized controlled trial.
AB - OBJECTIVES: To assess the efficacy of three different exercise programmes in
treating rotator cuff tendinopathy/shoulder impingement syndrome. DESIGN:
Parallel group randomised clinical trial. SETTING: Two out-patient NHS
physiotherapy departments in Manchester, United Kingdom. PARTICIPANTS: 120
patients with shoulder pain of at least three months duration. Pain was
reproduced on stressing the rotator cuff and participants had full passive range
of movement at the shoulder. INTERVENTIONS: Three dynamic rotator cuff loading
programmes; open chain resisted band exercises (OC) closed chain exercises (CC)
and minimally loaded range of movement exercises (ROM). MAIN OUTCOMES: Change in
Shoulder Pain and Disability Index (SPADI) score and the proportion of patients
making a Minimally Clinically Important Change (MCIC) in symptoms 6 weeks after
commencing treatment. RESULTS: All three programmes resulted in significant
decreases in SPADI score, however there were no significant differences between
the groups. Participants making a MCIC in symptoms were similar across all
groups, however more participants deteriorated in the ROM group. Dropout rate was
higher in the CC group, but when only patients completing treatment were
considered more patients in the CC group made a meaningful reduction in pain and
disability. CONCLUSIONS: Open chain, closed chain and range of movement exercises
all seem to be effective in bringing about short term changes in pain and
disability in patients with rotator cuff tendinopathy. ISRCTN76701121.
PMID- 27884501
TI - Influence of living environment and subjective economic hardship on new-onset of
low back pain for survivors of the Great East Japan Earthquake.
AB - BACKGROUND: The Great East Japan Earthquake and subsequent tsunami devastated the
northeastern part of Japan. Low back pain is thought to increase after a natural
disaster and is related to various factors. The aim of this study was to examine
the influencing factors of "Living environment" and "Subjective economic
hardship" on new-onset of low back pain in the chronic phase for the survivors of
the earthquake evaluated by a self-report questionnaire. METHODS: A panel study
was conducted with the Great East Japan Earthquake survivors at 2 and 3 years
after the disaster. New-onset of low back pain was defined as low back pain
absent at the 1st period (2 years after the earthquake) and present at the 2nd
period (3 years after the earthquake). Living environment was divided into 4
categories (1. Living in the same house as before the earthquake, 2. Living in a
prefabricated house, 3. Living in a new house, 4. Others: Living in an apartment,
house of relatives or acquaintance). Subjective economic hardship was obtained
using the following self-report question: "How do you feel about the current
economic situation of your household?" The response alternatives were "Normal",
"A little bit hard", "Hard", and "Very hard". A univariate and multivariate
logistic regression models were used. RESULTS: 1357 survivors consented to join
this study. There was no significant association between new-onset of low back
pain and living environment. There was significant association between new-onset
of low back pain and "A little hard" (OR = 1.6, 95% CI = 1.07-2.40), "Hard" (OR =
2.2, 95% CI = 1.56-3.74), and "Very hard" (OR = 3.19, 95% CI = 1.84-5.53) in
subjective economic hardship. CONCLUSIONS: Subjective economic hardship was
significantly associated with new-onset of low back pain in the chronic phase for
survivors of the Great East Japan Earthquake.
PMID- 27884502
TI - The role of arterial conduits for revascularisation in adult orthotopic liver
transplantation.
AB - The successful outcome in orthotopic liver transplantation (OLT) is critically
dependent on the uncompromised hepatic graft blood inflow. Arterial conduits
represent a good solution in cases where conventional revascularisation is not
possible. The purpose of this systematic review is to analyse the published
evidence on the use of arterial conduits in adult OLT. After review of the Pubmed
and EMBASE databases, 19 relevant studies were identified and analysed. Even
though patient survival was comparable, most large studies reported worse 1-, 3-
and 5-year graft survival rates compared to grafts with standard arterial
revascularisation. Primary grafts were more commonly affected than re-grafts.
Early and late hepatic artery thrombosis occurred more commonly, while the use of
an arterial conduit was identified as an independent risk factor. The overall
biliary complications were comparable, however, ischaemic cholangiopathy was
encountered about 3 times more in patients with arterial conduits and strongly
correlated with the occurrence of late HAT. In conclusion, the use of arterial
conduit is a useful option in adult OLT in cases that the conventional
revascularisation technique cannot be used or results in suboptimal arterial
inflow. More studies directly addressing issues such as pre-operative evaluation
regarding the need for arterial conduit, the types of vessels used, positioning
of the conduit and post-operative management are required.
PMID- 27884503
TI - Steering between Scylla and Charybdis: Picking out the optimum time of double-J
stent removal following renal transplantation.
PMID- 27884504
TI - A critical examination of the definition of 'psychoactive effect' in Australian
drug legislation.
AB - As the number of new 'psychoactive substances' detected globally has risen
exponentially, the policy response of assessing and prohibiting each new
substance individually has become increasingly unworkable. In an attempt to
disrupt the availability of new as-yet-unscheduled substances, Ireland (2010),
Poland (2011), Romania (2012), New Zealand (2013), Australia (2015) and the
United Kingdom (2016) have enacted generic or blanket ban legislation that
prohibits all 'psychoactive substances' that are not already regulated or belong
to exempt categories. How such generic legislation defines 'psychoactive
substance' is therefore crucial. While there is a growing critical literature
relating to blanket bans of 'psychoactive substances', the Australian legislation
is yet to be described or critically analysed. In this commentary, we aim to draw
the attention of local and international drug policy scholars to Australia's
newest legislative approach to 'psychoactive substances'. Using the Australian
experience as a case study, we first describe and trace the origins of this
generic banning approach, especially focusing on how 'psychoactive effect' came
to be defined. Then, we critically examine the assumptions underpinning this
definition and the possibilities silenced by it, drawing on the work of
poststructuralist and critical scholars. In doing so, we explore and raise a
series of questions about how this legislation works to stabilise drugs, drug
harms and drug effects, as well as addiction realities; how the category of
'psychoactive substances' is produced through this legislation; and some of the
material-discursive effects which accompany this rendering of the 'problem'. We
offer this commentary not as a comprehensive discussion of each of these elements
but rather as a starting-point to promote further discussion and debate within
the drug policy field. To this end, we conclude with a suggested research agenda
that may help guide such future work.
PMID- 27884505
TI - The truly disadvantaged? Midlife outcome dynamics of individuals with experiences
of out-of-home care.
AB - Little is known about developmental outcomes in midlife of persons who were
placed in out-of-home care (OHC) in childhood. Utilizing longitudinal Swedish
data from a cohort of more than 14,000 individuals who we can follow from birth
(1953) to the age of 55 (2008), this study examines midlife trajectories of
social, economic, and health-related disadvantages with a specific focus on the
complexity, timing, and duration of disadvantage in individuals with and without
childhood experience of OHC. Roughly half of the OHC alumni did not have
disadvantaged outcomes in midlife. However, experience of OHC was associated with
a two-fold risk for various forms of permanent disadvantage, net of confounding
factors. Implications for research, policy, and practice are discussed.
PMID- 27884506
TI - Family abduction in a national sample of US children.
AB - This study examined the prevalence and characteristics of family abduction
episodes occurring in a nationally representative sample of US children ages 0
17. It drew on the experiences of 13,052 children and youth from the aggregation
of three cross-sectional waves (2008, 2011, and 2014) of the National Surveys of
Children Exposed to Violence. The overall prevalence rate was 4.1% for a lifetime
and 1.2% for a past year episode. Rates were higher for younger than older
children. Parents constituted 90% of the abductors with females outnumbering
males 60% to 40%, although men outnumbered women as perpetrators for certain
types of abductions. A bit less than half of the episodes (43%) were reported to
police. The experience of a lifetime family abduction had an independent
association with traumatic stress symptoms independent of exposure to other kinds
of victimization including child maltreatment and witnessing family violence.
PMID- 27884507
TI - Childhood adversity and the risk of substance use and delinquency: The role of
protective adult relationships.
AB - Youth involved in the child welfare system experience multiple early adversities
that can contribute to increased risk of substance use and delinquency. Although
adverse childhood experiences (ACEs) have been associated with poorer behavioral
outcomes among youth, less is known about the possible protective factors that
may influence the relationship between early adversity and risk-taking behavior.
This study examined whether protective adult relationships moderated the link
between cumulative ACEs and substance use and delinquency after controlling for
demographic characteristics in child welfare-involved youth. The sample included
1054 youth, ages 11-17, from the National Survey of Child and Adolescent Well
Being II who were in the first wave of data collection. Results showed that
protective adult relationships moderated the relationship between ACEs and
substance use, but not for delinquency. Specifically, under lower levels of
protective adult relationships, cumulative ACEs related to increased substance
use among youth. Implications for child welfare practices to target youths'
support systems are discussed.
PMID- 27884508
TI - Adverse childhood experiences and behavioral problems in middle childhood.
AB - Children who have been exposed to maltreatment and other adverse childhood
experiences (ACEs) are at increased risk for various negative adult health
outcomes, including cancer, liver disease, substance abuse, and depression.
However, the proximal associations between ACEs and behavioral outcomes during
the middle childhood years have been understudied. In addition, many of the ACE
studies contain methodological limitations such as reliance on retrospective
reports and limited generalizability to populations of lower socioeconomic
advantage. The current study uses data from the Fragile Families and Child
Wellbeing Study, a national urban birth cohort, to prospectively assess the
adverse experiences and subsequent behavior problems of over 3000 children. Eight
ACE categories to which a child was exposed by age 5 were investigated: childhood
abuse (emotional and physical), neglect (emotional and physical), and parental
domestic violence, anxiety or depression, substance abuse, or incarceration.
Results from bivariate analyses indicated that Black children and children with
mothers of low education were particularly likely to have been exposed to
multiple ACE categories. Regression analyses showed that exposure to ACEs is
strongly associated with externalizing and internalizing behaviors and likelihood
of ADHD diagnosis in middle childhood. Variation in these associations by
racial/ethnic, gender, and maternal education subgroups are examined. This study
provides evidence that children as young as 9 begin to show behavioral problems
after exposure to early childhood adversities.
PMID- 27884509
TI - Serious physical violence among Arab-Palestinian adolescents: The role of
exposure to neighborhood violence, perceived ethnic discrimination, normative
beliefs, and, parental communication.
AB - This study adopted a social-ecological perspective to exploring perpetration of
serious physical violence against others among Arab-Palestinian adolescents. A
total of 3178 adolescents (aged 13-18) completed anonymous, structured, self
report questionnaire, which included selected items from several instruments that
measured variables relating to the constructs examined in the study. We explored
the association of individual characteristics (age, gender, normative beliefs
about violence, and perceived ethnic discrimination), familial characteristics
(parent-adolescent communication and socioeconomic status), and contextual
characteristics (exposure to community violence in the neighborhood) with
perpetration of serious physical violence against others. A moderation-mediation
model was tested, and 28.4% of the adolescents reported that they had perpetrated
serious physical violence against others at least once during the month preceding
the study. The findings also show that exposure of youth to violence in their
neighborhood correlated significantly and positively with their perpetration of
serious physical violence against others. A similar trend was revealed with
respect to personal perceptions of ethnic discrimination. These correlations were
mediated by the adolescents' normative beliefs about violence. Furthermore, the
correlation of direct exposure to violence in the neighborhood and normative
beliefs about violence with perpetration of serious physical violence against
others was stronger among adolescents who have poor communication with their
parents than among those who have strong parental communication.
PMID- 27884510
TI - Is selective nodal irradiation in non-small cell lung cancer still safe when
using IMRT? Results of a prospective cohort study.
AB - BACKGROUND AND PURPOSE: Isolated nodal failures (INF) are rare after 3D-conformal
radiotherapy (3D-CRT) for stage III non-small cell lung cancer (NSCLC). Since
incidental nodal irradiation doses are lower with Intensity Modulated Radiation
Therapy (IMRT) than with 3D-CRT, INF may be higher after IMRT. We therefore
investigated the incidence of INF after IMRT in stage III NSCLC patients.
MATERIALS AND METHODS: Stage III NSCLC patients undergoing radical radiotherapy
using IMRT in the period January 2010 till March 2012 were included. The primary
endpoint was the rate of INF, secondary endpoints included patterns of failure,
progression free survival (PFS), overall survival (OS) and toxicity. RESULTS: 183
stage III NSCLC patients were enrolled. With a median follow-up of 58.0months
2.2% of patients had an INF. The median PFS was 15.0months, the median OS
19.5months. Patterns of recurrence: 2.2% INF, 11.5% local and 2.7% loco-regional
recurrence, 26.8% distant metastases only, 18.0% a combination of local/loco
regional and distant metastases, and 38.3% patients without recurrence. One INF
was out of field, in adjacent lymph nodes. Acute toxicity was limited.
DISCUSSION: Selective nodal irradiation using IMRT in stage III NSCLC patients
results in a low in-field incidence of INF (2.2%), similar to 3D-CRT, and may
thus be considered safe.
PMID- 27884511
TI - Effects of previous physical training on adriamycin nephropathy and its
relationship with endothelial lesions and angiogenesis in the renal cortex.
AB - AIMS: Adriamycin (ADR)-induced nephropathy is one of the most experimental models
used in progressive kidney disease. A single dose of this drug induces a
progressive and irreversible proteinuria that progresses to focal segmental
glomerulosclerosis and tubulointerstitial lesions. Regular physical activity has
been considered as a therapeutic intervention in several diseases. This study
evaluated the influence of previous physical training in renal damage induced by
ADR and the role of endothelial lesions and angiogenesis in this process. MAIN
METHODS: Male Wistar rats were subjected or not to treadmill running for 4weeks
and then injected with ADR (2.5mg/kg, i.v.) or saline. Twenty-four-hour urine
samples were collected for albuminuria measurement, and blood samples were
collected to measure plasma creatinine 60days after the injections. The kidneys
were removed for histological, immunohistochemical, Western blot and ELISA
studies. KEY FINDINGS: ADR-treated rats presented increases in plasma creatinine
levels, albuminuria, podocyte damage, and enlargement of the tubular interstitial
relative area, as well as higher macrophage numbers in the renal cortex,
interleukin (IL)-1beta levels in renal tissue and urinary monocyte
chemoattractant protein (MCP)-1, which were associated with reduction in vascular
endothelial growth factor (VEGF), endothelial nitric oxide synthase (eNOS)
expressions and peritubular capillary (PTC) density in renal cortex. These
alterations were less intense in the animals subjected to previous exercise
training. SIGNIFICANCE: Physical training prior to ADR injection reduced the
renal damage induced by this drug. This effect was related to angiogenesis and
reduction in the endothelial lesions and inflammatory process in the renal cortex
of these animals.
PMID- 27884512
TI - Design, synthesis and antithrombotic evaluation of novel non-peptide thrombin
inhibitors.
AB - Ten derivatives of 4-((1,2,3,4-tetrahydrobenzo[4,5]imidazo[1,2-a]pyrazin-1
yl)methyl)benzimida-mide (I-1~I-2, II-1~II-8) were designed, synthesized and
evaluated for their inhibitory effect on human thrombin. Compound II-7
(IC50=82.8nM), which showed the strongest thrombin inhibitory activity among the
tested compounds, was chosen as the lead compound, and ten carbamate derivatives
(II-9a~II-13a, II-9b~II-12b, II-14) were prepared and evaluated for their
anticoagulant activity. The results indicate that most of the tested compounds
exhibit a certain degree of inhibitory effect on thrombin-induced platelet
aggregation, among which compounds II-11a (IC50=8.16MUM) and II-14 (IC50=1.95MUM)
show better anti-platelet aggregation activity than the others. The in vivo
experimental results in rat venous thrombosis model also demonstrate compounds II
11a and II-14 can significantly reduce thrombosis in a dose-response manner. It
is worth pointing out that the enhanced potency of compound II-14 may be the
synergetic effect of 2-hydroxymethyl-3,5,6-trimethylpyrazine (HTMP) and II-7
which are generated by hydrolysis in vivo.
PMID- 27884513
TI - Biotransformation of rutabaga phytoalexins by the fungus Alternaria brassicicola:
Unveiling the first hybrid metabolite derived from a phytoalexin and a fungal
polyketide.
AB - The biotransformations of the rutabaga phytoalexins rutalexin, brassicanate A,
isalexin and rapalexin A by the plant pathogenic fungus Alternaria brassicicola
are reported. While the biotransformations of rutalexin, brassicanate A, and
isalexin are fast, rapalexin A is resistant to fungal transformation.
Unexpectedly, biotransformation of rutalexin yields a hybrid metabolite named
rutapyrone, derived from rutalexin metabolism and phomapyrone G, a fungal
metabolite produced by A. brassicicola. These fungal transformations are
detoxification reactions likely carried out by different enzymes. The discovery
of rapalexin A resistance to detoxification suggests that this phytoalexin in
combination with additional phytoalexins could protect crucifers against this
pathogen. Phytoalexins resistant to degradation by A. brassicicola are expected
to provide the producing plants with higher disease resistance levels.
PMID- 27884514
TI - Less radical surgery for early-stage cervical cancer: Can conization specimens
help identify patients at low risk for parametrial involvement?
AB - OBJECTIVE: Radical hysterectomy for cervical cancer is associated with increased
morbidity over an extrafascial hysterectomy. The goal of this study was to
determine incidence of and risk factors for parametrial involvement (PI) based on
conization specimen (CS) and to potentially identify candidates for less radical
surgery. METHODS: Patients with FIGO IA2-IIA cervical cancer treated with radical
hysterectomy and pelvic lymph node dissection (RH) from 2000 to 2010 were
retrospectively identified. Data was extracted from operative and pathology
reports. Statistical analyses were performed using Fisher's exact test, t-test,
and asymptotic logistic regression. RESULTS: Of 267 RH patients identified, 118
(44%) had conization prior to RH. The incidence of PI was 15.7% overall and 7.5%
in patients treated with conization prior to RH. There was no association between
PI and histology, stage, grade, or tumor size. Conization patients with PI were
more likely to have LVSI on CS (77.8% vs. 29.4%) and positive lymph nodes (LNP)
(66.7% vs. 8.3%). Of patients with positive endocervical curettage, a modest 12%
had PI, which was not statistically significant. Tumor size, depth of invasion,
and margin status on CS were not statistically associated with PI. In logistic
regression analysis, LNP alone or LNP+LVSI were predictive of PI. CONCLUSIONS:
The incidence of PI in early-stage cervical cancer is significant. Only LVSI on
CS and LNP were predictors of PI in the current study. While there may be select
patients with early stage cervical cancer who can be spared parametrectomy,
additional research is warranted.
PMID- 27884515
TI - Corrigendum to 'Diagnostic performance and establishment of reference limits of
HE4 in Korean healthy women' [Gynecol. Oncol. 143 (2016) 128-134].
PMID- 27884516
TI - Bioleaching combined brine leaching of heavy metals from lead-zinc mine tailings:
Transformations during the leaching process.
AB - During the process of bioleaching, lead (Pb) recovery is low. This low recovery
is caused by a problem with the bioleaching technique. This research investigated
the bioleaching combination of bioleaching with brine leaching to remove heavy
metals from lead-zinc mine tailings. The impact of different parameters were
studied, including the effects of initial pH (1.5-3.0) and solid concentration (5
20%) for bioleaching, and the effects of sodium chloride (NaCl) concentration (10
200 g/L) and temperature (25 and 50 degrees C) for brine leaching. Complementary
characterization experiments (Sequential extraction, X-ray diffractometer (XRD),
scanning electronic microscope (SEM)) were also conducted to explore the
transformation of tailings during the leaching process. The results showed that
bioleaching efficiency was significantly influenced by initial pH and solid
concentration. Approximately 85.45% of iron (Fe), 4.12% of Pb, and 97.85% of zinc
(Zn) were recovered through bioleaching in optimum conditions. Increasing the
brine concentration and temperature promoted lead recovery. Lead was recovered
from the bioleaching residues at a rate of 94.70% at 25 degrees C and at a rate
of 99.46% at 50 degrees C when the NaCl concentration was 150 g/L. The study
showed that bioleaching significantly changed the speciation of heavy metals and
the formation and surface morphology of tailings. The metals were mainly bound in
stable fractions after bioleaching.
PMID- 27884517
TI - Endoscopic morphologic features of ulcerative colitis-associated dysplasia
classified according to the SCENIC consensus statement.
AB - BACKGROUND AND AIMS: Recent advances in endoscopic equipment and diagnostic
techniques have made possible the detection of early dysplasia in the inflamed
mucosa of ulcerative colitis (UC). The SCENIC consensus statement recommends the
use of unified terminology for the morphology of dysplasia. In this study, we
investigated the endoscopic features of high-grade dysplasia (HGD) in a clinical
setting. METHODS: We retrospectively identified 62 patients with UC who were
diagnosed with colitis-associated cancer or HGD between 1997 and 2015. A total of
39 lesions of HGD detected by targeted biopsy sampling in 31 patients were
reviewed, and the endoscopic morphology was classified according to the SCENIC
guidelines. RESULTS: In total, 0 (0%), 6 (15.4%), 19 (48.7%), 12 (30.8%), and 2
(5.1%) lesions with HGD were classified as pedunculated, sessile, superficial
elevated, flat, and depressed, respectively. Nearly 80% of the lesions were
located in the rectum or sigmoid colon. All flat and depressed lesions were red
in color. Typically, sessile/superficial elevated lesions accompanied a flat area
(Is+IIb/IIa+IIb). Ulceration was observed in 2 depressed lesions (5.1%). Although
the borders were indistinct in 21 lesions (53.8%) without the use of magnifying
colonoscopy, all lesions could be distinguished from the surrounding mucosa using
magnifying endoscopy. CONCLUSIONS: This is the first study to classify the
morphologic features of HGD using the SCENIC guidelines in a clinical setting.
Based on our findings, endoscopists should recognize that HGD is frequently
associated with a flat/superficial elevated area and red discoloration and should
inspect particularly carefully in the rectum and sigmoid colon. The findings of
chromoendoscopy and magnifying colonoscopy may also be useful in distinguishing
lesions from the surrounding mucosa.
PMID- 27884518
TI - Multitarget stool DNA test: clinical performance and impact on yield and quality
of colonoscopy for colorectal cancer screening.
AB - BACKGROUND AND AIMS: Multitarget stool DNA (MT-sDNA) testing is now approved by
the U.S. Food and Drug Administration for average-risk colorectal cancer
screening. Trials leading to its approval used blinded colonoscopy as the
reference standard. In the postapproval screen setting, the clinical performance
and impact of MT-sDNA testing on unblinded colonoscopy has not been described. We
measured the impact that knowledge of a positive MT-sDNA test result has on
colonoscopy yield and quality. METHODS: The unblinded group comprised all
patients with positive MT-sDNA results on screening from September 1, 2014 to
September 30, 2015 at a single tertiary center. Off-label test patients were
excluded. The blinded group included all MT-sDNA-positive participants in a
preapproval screening study from the same center. Detailed colonoscopy findings
and withdrawal times were recorded. RESULTS: There were 172 MT-sDNA-positive
patients in the unblinded group and 72 in the blinded group. More total
adenomatous/sessile serrated polyps (70% vs 53%, P = .013) and advanced neoplasms
(28% vs 21%, P = .27) were detected in unblinded than in blinded groups. Median
numbers of polyps detected were 2 (IQR, 1-4) and 1 (IQR, 0-2) in unblinded and
blinded groups, respectively (P = .0007). Among polyps detected, flat or slightly
raised lesions in the right side of the colon were proportionately more frequent
with unblinded (40%) than with blinded examinations (9%) (P = .0017). Median
withdrawal time was 19 minutes (IQR, 13-29) in the unblinded group compared with
13 minutes (IQR, 10-20) in the blinded group (P = .0001). CONCLUSIONS: Knowledge
of a positive MT-sDNA result appears to have a beneficial impact on the
diagnostic yield and quality of subsequent colonoscopy.
PMID- 27884519
TI - Production and characterization of genetically modified human IL-11 variants.
AB - Interleukin-11 (IL-11) has been expected as a drug on severe thrombocytopenia
caused by myelo-suppressive chemotherapy. Whereas, development of IL-11 inhibitor
is also expected for a treatment against IL-11 related cancer progression. Here,
we will demonstrate the creation of various kinds of genetically modified hIL
11s. Modified vectors were constructed by introducing N- or O-glycosylation site
on the region of hIL-11 that does not belong to the core alpha-helical motif
based on the predicted secondary structure. N-terminal (N: between 22 to 23 aa),
the first loop (M1:70 to 71 aa), the second loop (M2:114-115 aa), the third loop
(M3:160-161 aa) and C-terminal (C: 200- aa) were selected for modification. A
large scale production system was established and the characteristics of modified
hIL-11s were evaluated. The structure was analyzed by amino acid sequence and
composition analysis and CD-spectra. Glycan was assessed by monosaccharide
composition analysis. Growth promoting activity and biological stability were
analyzed by proliferation of T1165 cells. N-terminal modified proteins were well
glycosylated and produced. Growth activity of 3NN with NASNASNAS sequence on N
terminal was about tenfold higher than wild type (WT). Structural and biological
stabilities of 3NN were also better than WT and residence time in mouse blood was
longer than WT. M1 variants lacked growth activity though they are well
glycosylated and secondary structure is very stable. Both of 3NN and OM1 with
AAATPAPG on M1 associated with hIL-11R strongly. These results indicate N
terminal and M1 variants will be expected for practical use as potent agonists or
antagonists of hIL-11.
PMID- 27884520
TI - Environmental benignity of a pesticide in soft colloidal hydrodispersive
nanometric form with improved toxic precision towards the target organisms than
non-target organisms.
AB - Mosquito-borne diseases are of major concern as they cause devastating health
effects, morbidity, and mortality in the human population. Conventional
pesticides have failed to curb the mosquito population due to the development of
insensitivity in mosquitoes. Hence, higher dosages of pesticides along with their
toxic solubilizers have been employed, which have led to raise in pesticide
pollution load, environmental toxicity, and human health concerns. As a
realisation for the requirement of alternative pesticides, the present study has
involved in the formulation of a hydrodispersive nanometric colloidal form of
deltamethrin (NDM), a type-II pyrethroid pesticide, from its hydroimmisicible
parental form (PDM). The mean hydrodynamic diameter of the droplets was found to
be 30.6+/-4.6nm by dynamic light scattering study (DLS). High-resolution
transmission electron micrographs have revealed the spherical structure of the
droplets with a size range of 35-40nm. The NDM was found to possess sedimentation
resistance, intrinsic and hydrodispersive stability. The toxicity of NDM and PDM
was comparatively investigated on target organisms (Culex tritaeniorhynchus and
Culex quinquefasciatus mosquitoes) and non-target organisms (Allium cepa -
Bioindicator of toxicants and Rhizobium sp. - Soil bacteria). As comparative to
PDM, NDM has exerted higher efficacy on adult mosquito and larval population,
even at low-level concentrations. However, in the case of non-target organisms,
the NDM toxicity was lower than PDM. Comprehensively, the study has concluded the
potential advantage of formulating conventional pesticides into nanometric soft
colloidal form for the improved toxic precision on target organisms (mosquitoes).
This ensures the ability of NDM to combat against the mosquito population even at
lower concentrations, thereby reducing the pesticide exposure load towards the
environment and human population.
PMID- 27884521
TI - The consequences of landscape change on fishing strategies.
AB - We show how land-use change can affect fisher-harvesting behavior. We test
whether fisher harvesting behavior can be predicted by landscape change patterns
at local (~200km) and regional (~1200km) levels. Our data suggest that fishers
harvesting in areas near tree plantations reduced benthic-invertebrate harvests
in favor of demersal and pelagic finfish that are usually located further
offshore. Fishers' management areas, which were near tree plantations, had higher
chlorophyll-a values, and contained shellfish with more endobionts. Technology
(owning a boat) and experience (age, years fishing, and alternative livelihoods)
explained little in fisher-harvesting behavior. The flagship Chilean fisheries
management program and seafood companies sourcing from these areas will need to
respond to these new challenges. Despite complexities in designing cross-scale,
social-ecological studies, we can no longer ignore the interconnectedness of
commodities in the biosphere.
PMID- 27884522
TI - Exposure to phthalates in children aged 5-7years: Associations with thyroid
function and insulin-like growth factors.
AB - This study aimed to evaluate the associations between phthalate concentrations
and thyroid function in preschool children. We collected demographic data and
biological samples from 216 children aged 5-7years. We calculated urinary
concentrations of eight mono-phthalate metabolites (mPAEs) separately for
children from urban and rural areas and investigated their associations with
thyroid function and growth hormones. mPAE concentrations were higher in children
from the urban area than in those from the rural area, and most mPAEs were
positively associated with free triiodothyronine and free thyroxine. The insulin
like growth factor 1 (IGF-1) concentration decreased 0.082ng/mL (95% confidence
interval [CI]: -1.34, -0.113) with each 1ng/mL increase in monomethyl phthalate
(MMP) and 0.132ng/mL (95% CI: -0.209, -0.055) with each 1ng/mL increase in mono-n
butyl phthalate. The insulin-like growth factor binding protein 3 concentration
decreased by 0.01mg/L (95% CI: -0.001, -0.000) or 0.01mg/L (95% CI: -0.003,
0.000) with each 1ng/mL increase in MMP or monoethyl phthalate, respectively.
Exposure to some phthalates at 5-7years of age might interfere with thyroid
hormones and growth.
PMID- 27884523
TI - The anthroposphere as an anticipatory system: Open questions on steering the
climate.
AB - Climate change research and action counteracting it affect everyone and would
involve cross-societal transformations reshaping the anthroposphere in its
entirety. Scrutinizing climate-related science and policies, we recognize
attempts to steer the evolution of climate according to expected (or modelled)
futures. Such attempts would turn the anthroposphere into a large "anticipatory
system", in which human society seeks to anticipate and, possibly, to govern
climate dynamics. The chief aim of this discussion paper is to open a critical
debate on the climate change paradigm (CCP) drawing on a strategic and systemic
framework grounded in the concept of anticipatory system sensu Rosen (1991). The
proposed scheme is ambitiously intended to turn an intricate issue into a complex
but structured problem that is to say, to make such complexity clear and
manageable. This framework emerges from concepts borrowed from different
scientific fields (including future studies and system dynamics) and its
background lies in a simple quantitative literature overview, relying upon a
broad level of analysis. The proposed framework will assist researchers and
policy makers in thinking of CCP in terms of an anticipatory system, and in
disentangling its interrelated (and sometimes intricate) aspects. In point of
fact, several strategic questions related to CCP were not subjected to an
adequate transdisciplinary discussion: what are the interplays between physical
processes and social-political interventions, who is the observer (what he/she is
looking for), and which paradigm is being used (or who defines the desirable
future). The proposed scheme allows to structure such various topics in an
arrangement which is easier to communicate, highlighting the linkages in between,
and making them intelligible and open to verification and discussion.
Furthermore, ideally developments will help scientists and policy makers address
the strategic gaps between the evidence-based climatological assessments and the
plurality of possible answers as applied to the geopolitical contingencies.
PMID- 27884524
TI - Increasing phytoplankton-available phosphorus and inhibition of macrophyte on
phytoplankton bloom.
AB - We assembled mesocosms to address the coherent mechanisms that an increasing
phosphorus (P) concentration in water columns coupled with the phytoplankton
bloom and identify the performance gap of regulating phytoplankton growth between
two macrophyte species, Ceratophyllum demersum L. and Vallisneria spiralis L.
Intense alkaline phosphatase activities (APA) were observed in the unplanted
control, with their predominant part, phytoplankton APA (accounting for up to
44.7% of the total APA), and another large share, bacterial APA. These correspond
with the large average concentration of total phosphorus (TP), total dissolved
phosphorus (TDP) and soluble reactive (SRP) as well as high phytoplankton density
in the water column. The consistency among P concentrations, phytoplankton
density and APA, together with the positive impact of phytoplankton density on
total APA revealed by the structural equation modelling (SEM), indicates that
facilitated APA levels in water is an essential strategy for phytoplankton to
enhance the available P. Furthermore, a positive interaction between
phytoplankton APA and bacteria APA was detected, suggesting a potential
collaboration between phytoplankton and bacteria to boost available P content in
the water column. Both macrophyte species had a prominent performance on
regulating phytoplankton proliferation. The phytoplankton density and quantum
yield in C. demersum systems were all significantly lower (33.8% and 24.0%) than
those in V. spiralis systems. Additionally, a greater decoupling effect of C.
demersum on the relationship between P, APA, phytoplankton density, bacteria
dynamic and quantum yield was revealed by SEM. These results imply that the
preferred tactic of different species could lead to the performance gap.
PMID- 27884525
TI - Carbon accumulation rates recorded in the last 150years in tropical high mountain
peatlands of the Atlantic Rainforest, SE - Brazil.
AB - Peatlands are environmental matrices that store large amounts of organic carbon
(TOC) and work as records of environmental changes. Recent record of organic
carbon accumulated were assessed in two Forest National Parks, Itatiaia and Serra
dos Orgaos in the Southeastern of Brazil. Based on organic and inorganic
characterization, the cores from peatlands presented a predominance of organic
material in an advanced stage of decomposition and those soils were classified as
typical Haplosaprists Histosols. The combination of favorable topographic and
climatic conditions led to rapid C accumulation across coastal mountain in the
tropical peatlands studied, presenting an average accumulation rate of C, in the
last century, of 194gCm-2yr-1 about 7 higher times than the rate found in boreal
and subarctic peatlands, those higher values may be related to changes in the
hydrological cycle occurred since 1950s.
PMID- 27884526
TI - Impacts of changes in climate and landscape pattern on ecosystem services.
AB - The restoration of degraded vegetation can effectively improve ecosystem
services, increase human well-being, and promote regional sustainable
development. Understanding the changing trends in ecosystem services and their
drivers is an important step in informing decision makers for the development of
reasonable landscape management measures. From 2001 to 2014, we analyzed the
changing trends in five critical ecosystem services in the Xilingol Grassland,
which is typical of grasslands in North China, including net primary productivity
(NPP), soil conservation (SC), soil loss due to wind (SL), water yield (WY) and
water retention (WR). Additionally, we quantified how climatic factors and
landscape patterns affect the five ecosystem services on both annual and seasonal
time scales. Overall, the results indicated that vegetation restoration can
effectively improve the five grassland ecosystem services, and precipitation
(PPT) is the most critical climatic factor. The impact of changes in the
normalized difference vegetation index (NDVI) was most readily detectable on the
annual time scale, whereas the impact of changes in landscape pattern was most
readily detectable on the seasonal time scale. A win-win situation in terms of
grassland ecosystem services (e.g., vegetation productivity, SC, WR and reduced
SL) can be achieved by increasing grassland aggregation, partitioning the largest
grasslands, dividing larger areas of farmland into smaller patches, and
increasing the area of appropriate forest stands. Our work may aid policymakers
in developing regional landscape management schemes.
PMID- 27884527
TI - Source apportionment of size-fractionated particles during the 2013 Asian Youth
Games and the 2014 Youth Olympic Games in Nanjing, China.
AB - In this study, samples of size-fractionated particulate matter were collected
continuously using a 9-size interval cascade impactor at an urban site in
Nanjing, before, during and after the Asian Youth Games (AYG), from July to
September of 2013, and the Youth Olympic Games (YOG), from July to September of
2014. First, elemental concentrations, water-soluble ions including Cl-, NO3-,
SO42-, NH4+, K+, Na+ and Ca2+, organic carbon (OC) and elemental carbon (EC) were
analysed. Then, the source apportionment of the fine and coarse particulate
matter was carried out using the chemical mass balance (CMB) model. The average
PM10 concentrations were 90.4+/-20.0MUg/m3 during the 2013 AYG and 70.6+/
25.3MUg/m3 during the 2014 YOG. For PM2.1, the average concentrations were 50.0+/
12.8MUg/m3 in 2013 and 34.6+/-17.0MUg/m3 in 2014. Investigations showed that the
average concentrations of particles declined significantly from 2013 to 2014, and
concentrations were at the lowest levels during the events. Results indicated
that OC, EC, sulfate and crustal elements have significant monthly and size-based
variations. The major components, including crustal elements, water-soluble ions
and carbonaceous aerosol accounted for 75.3-91.9% of the total particulate mass
concentrations during the sampling periods. Fugitive dust, coal combustion dust,
iron dust, construction dust, soil dust, vehicle exhaust, secondary aerosols and
sea salt have been classified as the main emissions in Nanjing. The source
apportionment results indicate that the emissions from fugitive dust, which was
the most abundance emission source during the 2013 AYG, contributed to 23.0% of
the total particle mass. However, fugitive dust decreased to 6.2% of the total
particle mass during the 2014 YOG. Construction dust (14.7% versus 7.8% for the
AYG and the YOG, respectively) and secondary sulfate aerosol (9.3% versus 8.0%
for the AYG and the YOG, respectively) showed the same trend as fugitive dust,
suggesting that the mitigation measures of controlling particles from the paved
roads, construction and industry worked more efficiently during the YOG.
PMID- 27884528
TI - Reducing future river export of nutrients to coastal waters of China in
optimistic scenarios.
AB - Coastal waters of China are rich in nitrogen (N) and phosphorus (P) and thus
often eutrophied. This is because rivers export increasing amounts of nutrients
to coastal seas. Animal production and urbanization are important sources of
nutrients in Chinese rivers. In this study we explored the future from an
optimistic perspective. We present two optimistic scenarios for 2050 (OPT-1 and
OPT-2) for China. Maximized recycling of manure on land in OPT-1 and OPT-2, and
strict sewage control in OPT-2 (e.g., all sewage is collected and treated
efficiently) are essential nutrient strategies in these scenarios. We also
analyzed the effect of the current policy plans aiming at "Zero Growth in
Synthetic Fertilizers after 2020" (the CP scenario). We used the MARINA (a Model
to Assess River Inputs of Nutrients to seAs) model to quantify dissolved N and P
export by Chinese rivers to the Bohai Gulf, Yellow Sea and South China Sea and
the associated coastal eutrophication potential (ICEP). The Global Orchestration
(GO) scenario of the Millennium Ecosystem Assessment was used as a basis. GO
projects increases in river export of dissolved N and P (up to 90%) between 2000
and 2050 and thus a high potential for coastal eutrophication (ICEP>0). In
contrast, the potential for coastal eutrophication is low in optimistic scenarios
(ICEP<0). This is because in 2050 loads of most dissolved N and P in Chinese seas
are around their levels of 1970. Maximizing manure recycling can reduce nutrient
pollution of Chinese seas considerably. Sewage control is effective in reducing P
export by rivers from urbanized areas. The CP scenario, on the other hand, shows
that current policy plans may not be sufficient to avoid coastal eutrophication
in the future. Our study may help policy makers in formulating strategies to
ensure clean coastal waters in China in the future.
PMID- 27884529
TI - Spatial distribution of heavy metals in the surface soil of source-control
stormwater infiltration devices - Inter-site comparison.
AB - Stormwater runoff infiltration brings about some concerns regarding its potential
impact on both soil and groundwater quality; besides, the fate of contaminants in
source-control devices somewhat suffers from a lack of documentation. The present
study was dedicated to assessing the spatial distribution of three heavy metals
(copper, lead, zinc) in the surface soil of ten small-scale infiltration
facilities, along with several physical parameters (soil moisture, volatile
matter, variable thickness of the upper horizon). High-resolution samplings and
in-situ measurements were undertaken, followed by X-ray fluorescence analyses and
spatial interpolation. Highest metal accumulation was found in a relatively
narrow area near the water inflow zone, from which concentrations markedly
decreased with increasing distance. Maximum enrichment ratios amounted to >20 in
the most contaminated sites. Heavy metal patterns give a time-integrated vision
of the non-uniform infiltration fluxes, sedimentation processes and surface flow
pathways within the devices. This element indicates that the lateral extent of
contamination is mainly controlled by hydraulics. The evidenced spatial structure
of soil concentrations restricts the area where remediation measures would be
necessary in these systems, and suggests possible optimization of their hydraulic
functioning towards an easier maintenance. Heterogeneous upper boundary
conditions should be taken into account when studying the fate of micropollutants
in infiltration facilities with either mathematical modeling or soil coring field
surveys.
PMID- 27884530
TI - Heteroaggregation of graphene oxide nanoparticles and kaolinite colloids.
AB - Graphene oxide (GO) is a material with rapid production growth, and consequently
GO nanoparticles are expected to eventually penetrate subsurface formations,
where fine mineral particles are in abundance. This study examines the
heteroaggregation of GO nanoparticles with kaolinite (KGa-1b) colloids under
various conditions. Dynamic batch experiments were conducted in solutions with
different pH values (pH=4, 7, and 10), different ionic strengths (IS=7, 12, and
27mM), and at three controlled temperatures (8, 14, and 25 degrees C). The
experimental results showed that a relatively small amount of GO nanoparticles (5
20% of the initial concentration) attached immediately onto KGa-1b colloids, and
reached equilibrium in <20min. It was shown that neither temperature nor pH
played a significant role in the attachment of GO nanoparticles onto KGa-1b
colloids. In contrast, the attachment of GO nanoparticles onto KGa-1b colloids
was shown to increase with increasing IS. Additionally, time-resolved dynamic
light scattering (DLS) was used to identify the influence of IS on
heteroaggregation between GO nanoparticles and KGa-1b colloids. The critical
coagulation concentration (CCC) for the interaction between GO nanoparticles and
KGa-1b colloids was 152mM (NaCl). The interaction energies were calculated, for
all experimental conditions, by using measured zeta potentials and applying the
classical DLVO theory. The equilibrium experimental data were fitted with a
Freundlich isotherm, and the attachment kinetics were described very well with a
pseudo-second-order model. Furthermore, thermodynamic analysis revealed that the
attachment process was nonspontaneous and exothermic.
PMID- 27884531
TI - Using groundwater age distributions to understand changes in methyl tert-butyl
ether (MtBE) concentrations in ambient groundwater, northeastern United States.
AB - Temporal changes in methyl tert-butyl ether (MtBE) concentrations in groundwater
were evaluated in the northeastern United States, an area of the nation with
widespread low-level detections of MtBE based on a national survey of wells
selected to represent ambient conditions. MtBE use in the U.S. peaked in 1999 and
was largely discontinued by 2007. Six well networks, each representing specific
areas and well types (monitoring or supply wells), were each sampled at 10year
intervals between 1996 and 2012. Concentrations were decreasing or unchanged in
most wells as of 2012, with the exception of a small number of wells where
concentrations continue to increase. Statistically significant increasing
concentrations were found in one network sampled for the second time shortly
after the peak of MtBE use, and decreasing concentrations were found in two
networks sampled for the second time about 10years after the peak of MtBE use.
Simulated concentrations from convolutions of estimates for concentrations of
MtBE in recharge water with age distributions from environmental tracer data
correctly predicted the direction of MtBE concentration changes in about 65% of
individual wells. The best matches between simulated and observed concentrations
were found when simulating recharge concentrations that followed the pattern of
national MtBE use. Some observations were matched better when recharge was
modeled as a plume moving past the well from a spill at one point in time.
Modeling and sample results showed that wells with young median ages and narrow
age distributions responded more quickly to changes in the contaminant source
than wells with older median ages and broad age distributions. Well depth and
aquifer type affect these responses. Regardless of the timing of decontamination,
all of these aquifers show high susceptibility for contamination by a highly
soluble, persistent constituent.
PMID- 27884532
TI - Morphometric analysis of the folliculostellate cells and luteinizing hormone
gonadotropic cells of the anterior pituitary of the men during the aging process.
AB - The aim of this research was to quantify the changes in the morphology and
density of the anterior pituitary folliculostellate (FS) and luteinizing hormone
(LH) cells. Material was tissue of the pituitary gland of the 14 male cadavers.
Tissue slices were immunohistochemically stained with monoclonal anti-LH antibody
and polyclonal anti-S100 antibody for the detection of LH and FS cells,
respectively. Digital images of the stained slices were afterwards
morphometrically analyzed by ImageJ. Results of the morphometric analysis showed
significant increase of the FS cells volume density in cases older than 70 years.
Volume density of the LH cells did not significantly change, whereas their area
significantly increased with age. Nucleocytoplasmic ratio of the LH cells
gradually decreased and became significant after the age of 70. Finally, volume
density of the FS cell significantly correlated with LH cells area and
nucleocytoplasmic ratio. From all above cited, we concluded that in men, density
and size of the FS cells increase with age. Long-term hypertrophy of the LH cells
results in their functional decline after the age of 70. Strong correlation
between FS cells and LH cells morphometric parameters might point to age-related
interaction between these two cell groups.
PMID- 27884534
TI - [Why is a special issue on obstructive sleep apnea-hypopnea syndrome in children
necessary?]
PMID- 27884533
TI - Thoron, radon and air ions spatial distribution in indoor air.
AB - Spatial distribution of radioactive gasses thoron (Tn) and radon (Rn) in indoor
air of 9 houses mostly during winter period of 2013 has been studied. According
to properties of alpha decay of both elements, air ionization was also measured.
Simultaneous continual measurements using three Rn/Tn and three air-ion active
instruments deployed on to three different distances from the wall surface have
shown various outcomes. It has turned out that Tn and air ions concentrations
decrease with the distance increase, while Rn remained uniformly distributed.
Exponential fittings function for Tn variation with distance was used for the
diffusion length and constant as well as the exhalation rate determination. The
obtained values were similar with experimental data reported in the literature.
Concentrations of air ions were found to be in relation with Rn and obvious, but
to a lesser extent, with Tn.
PMID- 27884535
TI - [Developmental approach of executive functions: From infancy to adolescence].
AB - Given the importance of the relationship between executive function (EF) and many
aspects of child development - including the development of reasoning, emotion
regulation, school performance, and wider self-regulation itself - research on
the development of EF from infancy to the end of adolescence has become one of
the scientific priorities of the last decade. Improving our knowledge on the
maturative trajectory of EF and the functional weight of different internal and
environmental factors, supposed to optimize their efficiency, can only promote a
better understanding of EF and its role in the normal development of the child as
well as of those at risk of poor outcome either because of neurodevelopmental
disorders or psychopathological disorders. This article aims to update the recent
literature in this area. It appears that executive function grows very gradually
and evolves from a relatively simple and unitary model in young children to a
more complex one - differentiated, integrated, and tripartite - in adolescence.
PMID- 27884536
TI - [Chest X-ray and acute bronchiolitis: Are these indications decreasing?]
AB - OBJECTIVE: A management protocol for infants hospitalized for acute
bronchiolitis, established after the study conducted in our unit in 2012,
recommends a chest X-ray when the clinical course is unusual or if a differential
diagnosis is suspected. The goal of this study was to evaluate professional
practices after the introduction of this new management protocol. STUDY DESIGN:
Retrospective descriptive study in two pediatric units from October 2013 to March
2015, including infants (0-23 months) hospitalized for their first episode of
acute bronchiolitis without any underlying chronic condition. RESULT: Overall,
599 infants were included (median age, 3.7 months, 54 % boys). Nearly six out of
ten (n=355, 59.3 %) had at least one chest radiograph (38.5 % fewer than in
2012). It was abnormal in 96.3 % of cases, revealing distension and/or bronchial
wall thickening (56.7 %), focal opacity (23.5 %), or atelectasis (19.5 %). An X
ray was performed out of the recommendations in 42.5 % of cases. The chest X-ray
result led to management changes in 52 infants with prescription of antibiotics
for pneumonia (86.5 %) and allowed the diagnosis of heart disease in one case
(0.2 %). Management of acute bronchiolitis (X-ray and antibiotics) was
statistically different between the two pediatric units. DISCUSSION: This
protocol led to a significant decrease in the number of chest X-rays. However,
many are still performed out of the recommendations, resulting in an increase of
antibiotic use for pneumonia. CONCLUSION: The decrease in use of chest X-rays in
acute bronchiolitis for hospitalized infants was significant but remains
insufficient.
PMID- 27884537
TI - [Nephrotic syndrome complicated by chylous ascites in a girl of 2 years and 8
months old].
AB - We report on a case of nephrotic syndrome with focal and segmental hyalinosis
complicated by chylous ascites in a girl of 2 years and 8 months old. This pure
nephrotic syndrome in its early stage was initially treated with intensive
steroid treatment at 2mg/kg/day orally for 2 months, followed by a bolus of
methylprednisolone. The persistence of proteinuria meant corticosteroid
resistance. Renal biopsy then revealed focal and segmental hyalinosis. A
recurrence of the edema-ascites syndrome was associated with macroscopic
hematuria. Blood pressure and serum creatinine remained normal. Protidemia
decreased to 28g/L, with severe hypoalbuminemia at 7g/L. The ascites puncture
brought 1 L of aseptic and milky fluid, containing 0.22g/L of proteins, 20 IU/L
of amylase, and 331g/L of total lipids. The treatment protocol included a
hyperprotein diet, prednisone at 0.5mg/kg/day, cyclophosphamide at 2.5mg/kg/day
for 1 month, then once every 2 days for 3 months, and repeated ascites punctures.
After 12 ascites punctures performed every 15 days, a polyuric episode occurred
and the ascites disappeared. Proteinuria persisted at a nephrotic rate up to the
14th month, without impairment in kidney filtration function, and completely
disappeared at the 20th month. After 5 years of follow-up, proteinuria remains
undetectable and the physical exam is normal. The occurrence of chylous ascites
during the nephrotic syndrome is a rare event. The formation of bowel
lymphangiectasia, caused by a slowdown in venous return due to the pressure
exerted by ascites, is probably the predominant mechanism.
PMID- 27884538
TI - Oxygen therapy for management of periodic breathing : A theoretical approach.
AB - A generalized framework for the generation of Periodic Breathing (PB), caused by
delay variation, hypocapnia and sleep along with its management with oxygen
therapy is presented. For this, a minimal model of respiratory regulation with
cardiovascular component and two delays is proposed. This model is linearized and
analyzed for stability by the proposed algorithms using Lyapunov-Krasovskii
functional. Oscillation in this model is produced by the increase of delays, an
increase of chemoreceptor gains (hypocapnia) and a decrease in minute ventilation
(sleep induced PB). For delay variation, it is established that both the delays
are responsible for oscillation in the system. However, maximum tolerable delay
limit for the peripheral chemoreceptors is lower (0.3 min) compared to the
central chemoreceptors (5.2 min). Stability analysis shows that application of
additional oxygen is capable of suppressing the oscillation in the system by
increasing the tolerable delay limit. Hypocapnia caused by hyperventilation is
modeled by increased chemoreceptor gain. 50% increase in chemoreceptor gain along
with 46% decrease in lung carbon dioxide storage makes the system oscillatory,
which increases average minute ventilation by 19.42%. Application of additional
oxygen makes the system stable. For sleep induced PB, it is shown that lowering
minute ventilation causes oscillation in the system. A parameter is introduced to
limit the minute ventilation in sleep, and its upper limit is calculated (8.7%
drop in minute ventilation) for producing oscillation in the system. Application
of higher oxygen makes the system stable by compensating for the reduction.
Finally, two simulation studies are presented, showing the delay limits in
hyperventilation and sleep condition. In these conditions, as the gains increase
or minute ventilation decreases, tolerable delay limits become smaller.
PMID- 27884539
TI - Intravesical chemotherapy use after radical nephroureterectomy: A national survey
of urologic oncologists.
AB - : To determine the use of prophylactic intravesical chemotherapy (pIVC) following
radical nephroureterectomy (RNU) and barriers to utilization in a survey study of
urologic oncologists. METHODS: A survey instrument was constructed, which queried
respondents on professional experience, practice environment, pIVC use, and
reasons for not recommending pIVC when applicable. The survey was electronically
distributed to members of the Society of Urologic Oncology over an 8-week period.
Survey software was used for analysis. RESULTS: The survey response rate was 22%
(158 of 722). Half of the respondents were in practice for <=10 years, while 90%
performed <=10 RNU cases annually. Of the 144 urologists regularly performing
RNU, only 51% reported administering pIVC, including 22 exclusively in patients
with a prior history of bladder cancer. One-third administered pIVC
intraoperatively, whereas the remainder instilled pIVC at <=3 (7%), 4 to 7 (37%),
8 to 14 (20%), and>14 (3%) days postoperatively. Almost all urologists noted
giving a single instillation of pIVC. Agents included mitomycin-C (88%), thiotepa
(7%), doxorubicin (3%), epirubicin (1%), and BCG (1%). Among respondents who did
not administer pIVC, the most common reasons cited included lack of data
supporting use (44%), personal preference (19%), and office infrastructure (17%).
CONCLUSION: Only 51% of urologic oncologists report using pIVC in patients
undergoing RNU. Reasons underlying this underutilization are multifactorial,
thereby underscoring the need for continued dissemination of existing data and
additional studies to support its benefits. Moreover, improving the logistics of
pIVC administration may help to increase utilization rates.
PMID- 27884540
TI - JANAC 2017 and Beyond.
PMID- 27884541
TI - Connecting Homogalacturonan-Type Pectin Remodeling to Acid Growth.
AB - According to the 'acid growth theory', cell wall acidification controls cell
elongation, therefore plant growth. This notably involves changes in cell wall
mechanics through modifications of cell wall polysaccharide structure. Recently,
advances in cell biology showed that changes in cell elongation rate can be
mediated by the remodeling of pectins, and in particular of homogalacturonans
(HGs). Their demethylesterification appears to be a key element controlling the
chemistry and the rheology of the cell wall. We postulate that precise and
dynamic modulation of extracellular pH plays a central role in the control of HG
modifying enzyme activities, and in particular those of pectin methylesterases
and polygalacturonases. We propose that acid growth requires dynamic HG
remodeling through the tight control of cell wall pH.
PMID- 27884542
TI - Corrigendum to "Abnormal cortical sources of resting state
electroencephalographic rhythms in single treatment-naive HIV individuals: A
statistical z-score index" [Clin. Neurophysiol. 127 (2016) 1803-1812].
PMID- 27884543
TI - Immunometabolism: Is it under the eye of the clock?
AB - Molecular clocks allow an organism to track time of day, providing the means to
anticipate and respond to the daily changes within the environment. In mammals
the molecular clock consists of a network of proteins that form auto-regulatory
feedback loops that drive rhythms in physiology and behavior. In recent times the
extent to which the molecular clock controls key metabolic and immune pathways
has begun to emerge. For example, the main clock protein BMAL1 has been linked to
mitochondrial metabolism, mitochondrial dynamics and various host defense
pathways. The molecular clock may function to integrate daily metabolic changes
driven by feeding-fasting to immune function and output. Understanding how the
clock intersects with metabolic pathways within immune cells to affect immune
phenotypes will have broad implications for the management of metabolic,
inflammatory and infectious diseases.
PMID- 27884544
TI - Pancreas fistula risk prediction: implications for hospital costs and payments.
AB - BACKGROUND: As payment models evolve, disease-specific risk stratification may
impact patient selection and financial outcomes. This study sought to determine
whether a validated clinical risk score for post-operative pancreatic fistula
(POPF) could predict hospital costs, payments, and profit margins. METHODS: A
multi-institutional cohort of 1193 patients undergoing pancreaticoduodenectomy
(PD) were matched to an independent hospital where cost, in US$, and payment data
existed. An analytic model detailed POPF risk and post-operative sequelae, and
their relationship with hospital cost and payment. RESULTS: Per-patient hospital
cost for negligible-risk patients was $37,855. Low-, moderate-, and high- risk
patients had incrementally higher hospital costs of $38,125 ($270; 0.7% above
negligible-risk), $41,128 ($3273; +8.6%), and $41,983 ($3858; +10.9%),
respectively. Similarly, hospital payment for negligible-risk patients was
$42,685/patient, with incrementally higher payments for low-risk ($43,265;
+1.4%), moderate-risk ($45,439; +6.5%) and high-risk ($46,564; +9.1%) patients.
The lowest 30-day readmission rates - with highest net profit - were found for
negligible/low-risk patients (10.5%/11.1%), respectively, compared with
readmission rates of moderate/high-risk patients (15%/15.7%). CONCLUSION:
Financial outcomes following PD can be predicted using the FRS. Such prediction
may help hospitals and payers plan for resource allocation and payment matched to
patient risk, while providing a benchmark for quality improvement initiatives.
PMID- 27884545
TI - A survey of current practices and barriers to expanding laparoscopic HPB surgery
in Canada.
AB - BACKGROUND: The purpose of this survey was to determine the extent to which
laparoscopy is used in hepatopancreatobiliary (HPB) resections in Canada, and to
assess HPB surgeons' attitudes and their perceived barriers to its further
adoption. METHODS: Using an electronic questionnaire, 68 Canadian
Hepatopancreatobiliary Association (CHPBA) surgical members were surveyed. The
questionnaire consisted of 12 questions regarding: surgeon demographics, the use
and limitations of laparoscopy in their practice, and interest in increasing the
use of laparoscopic techniques. RESULTS: The survey response rate was 75%. Of the
51 respondents, 86% reported performing minor laparoscopic resections such as
hepatic wedge resections. Only 23% of surgeons reported performing laparoscopic
liver lobectomies. Eighty-two percent of respondents indicated a wish to increase
the use of laparoscopy in their practice. Barriers identified included operating
time constraints (61%), a lack of equipment (41%) and lack of adequate training
(43%). DISCUSSION: This survey demonstrates that currently, most Canadian HPB
surgeons use laparoscopy for minor HPB resections; however, there is a strong
desire to expand the use of minimally invasive techniques amongst Canadian HPB
surgeons. Training centered on addressing the limitations and barriers to the
uptake of minimally invasive techniques in HPB surgery are needed.
PMID- 27884547
TI - Expression of concern.
PMID- 27884546
TI - [Results of trabeculectomy with anti-VGEF therapy in the treatment of neovascular
glaucoma secondary to retinal vein occlusion (report of 21 cases followed at
Bukavu Eye Clinic from January 1 to December 31th, 2015)].
AB - PURPOSE: To evaluate the results of anti-VGEF with trabeculectomy in the
treatment of neovascular glaucoma, a severe complication of retinal vein
occlusion (RVO). PATIENTS AND METHODS: This is a prospective case series of
consecutive patients with neovascular glaucoma secondary to retinal vein
occlusion. All patients underwent panretinal photocoagulation and a mean of 3
anti-VEGF injections prior to trabeculectomy. Ophthalmic parameters were recorded
pre- and postoperatively: visual acuity (VA), intraocular pressure (IOP), iris
examination, gonioscopy and fundus examination, and as necessary, fluorescein
angiography. Minimum follow-up was 9 months. RESULTS: Twenty-one eyes of 21
patients were included. The mean preoperative intraocular pressure was 38mmHg (31
45mmHg). Postoperatively, the mean IOP was 15mmHg (13-19mmHg). IOP reduction was
observed in all eyes after surgery and stayed finally stabilized in nineteen eyes
(90.5 %). The rubeosis had definitively disappeared an average of two weeks after
injection, but VA decreased in all patients. Nineteen patients (90.5 %)
maintained preservation of their eyes. Two eyes underwent evisceration.
CONCLUSION: In most cases, trabeculectomy with anti-VGEF halted anterior segment
neovascularization. It allowed for surgery on a quiet, normotensive eye, with
good postoperative results. While functional rehabilitation was not achieved, the
globe was able to be preserved in 90.5 % of cases.
PMID- 27884548
TI - Infantile neuroaxonal dystrophy and PLA2G6-associated neurodegeneration: An
update for the diagnosis.
AB - Infantile neuroaxonal dystrophy is a rare neurodegenerative disorder
characterized by infantile onset of rapid motor and cognitive regression and
hypotonia evolving into spasticity. Recessively inherited mutations of the PLA2G6
gene are causative of infantile neuroaxonal dystrophy and other PLA2G6-associated
neurodegeneration, which includes conditions known as atypical neuroaxonal
dystrophy, Karak syndrome and early-onset dystonia-parkinsonism with cognitive
impairment. Phenotypic spectrum continues to evolve and genotype-phenotype
correlations are currently limited. Due to the overlapping phenotypes and
heterogeneity of clinical findings characterization of the syndrome is not always
achievable. We reviewed the most recent clinical and neuroradiological
information in the way to make easier differential diagnosis with other
degenerative disorders in the paediatric age. Recognizing subtle signs and
symptoms is a fascinating challenge to drive towards better diagnostic and
genetic investigations.
PMID- 27884549
TI - Time before anti-Toxoplasma IgG seroconversion detection by 7 commercial assays
in French pregnant women.
AB - We assessed the ability to early detect a toxoplasmic seroconversion between 1
immunoblot (LDBIO II(r)) and 6 automated assays (TGS TA(r), Architect(r), Vidas
II(r), Liaison II(r), Platelia(r), and Elecsys(r)), comparing the time before
anti-Toxoplasma gondii IgG detection during infection in pregnant women. From
2007 to 2015, 620 sera of 269 women were included. The median durations before
positive IgG detection with Vidas II(r), Liaison II(r), Platelia(r), and
Elecsys(r) were significantly longer than Architect(r) with differential times
from 11 to 28days (P<0.001). This time was significantly shortened by the use of
LDBIO(r), resulting in a saving of 13days (P<0.001). The detection of a positive
rate of IgG with TGS TA(r) was as early as Architect(r) (P=0.105). The ability to
early detect a toxoplasmic seroconversion is not equivalent between the assays
and has to be considered when selecting the reagents to reduce the time to
therapeutic intervention.
PMID- 27884550
TI - Effects of Changing the Amount and Source of Dietary Carbohydrates on Symptoms
and Dietary Satisfaction Over a 1-Year Period in Subjects with Type 2 Diabetes:
Canadian Trial of Carbohydrates in Diabetes (CCD).
AB - OBJECTIVES: To determine the long-term effects of changing the amount or source
of dietary carbohydrate on quality of life (QOL), symptoms and dietary
satisfaction in people with type 2 diabetes. METHODS: Subjects with diabetes
treated by diet alone (n=162) were randomly assigned to high-carbohydrate/high
glycemic-index (HGI) diets; high-carbohydrate/low-glycemic-index (LGI) diets; or
lower-carbohydrate/high-monounsaturated-fat (LC) diets for 1 year. We measured
QOL at baseline and at study's end, and we measured symptoms and dietary
satisfaction quarterly. RESULTS: The HGI, LGI and LC diets contained,
respectively, 47+/-1, 52+/-1 and 40+/-1% energy carbohydrate; 30+/-1, 27+/-1 and
40+/-1% fat with GI 64+/-0.4, 55+/-0.4 and 59+/-0.4. Significantly more
participants reported increased flatulence on LGI than on LC and HGI diets at 3
months (41%, 19%, 14%; p<0.05), but not at 12 months (29%, 17%, 17%; ns).
Abdominal distension was more severe (46% vs. 14%, 19%; p<0.05), and headache
less severe (8% vs. 22%, 23%; p<0.05) on LGI than on both other diets. Increased
appetite was more severe on LC (33%) than on HGI diets (14%, p<0.05). Joint/limb
pains were less severe on LGI (16%) than HGI (28%) diets. LC elicited more severe
gloomy thoughts (23%) than LGI (4%; p<0.05) but greater dietary-satisfaction
(70%; p<0.05) than LGI (40%) and HGI (48%) diets. For all diets, glycated
hemoglobin (A1C) levels increased less in those who gained less weight, had less
increased appetite and were more satisfied with the enjoyment obtained from
eating. CONCLUSIONS: Each diet elicited increased severity of 1 or more symptoms
than the other diets. Although overall dietary satisfaction was greater on the
40% carbohydrate diet than on the 50% carbohydrate diet, the LGI diet was no less
satisfying than the HGI diet. Changes in appetite and dietary satisfaction may
influence body weight and glycemic control, or vice-versa.
PMID- 27884551
TI - Resting-state Abnormalities in Heroin-dependent Individuals.
AB - Drug addiction is a major health problem worldwide. Recent neuroimaging studies
have shed light into the underlying mechanisms of drug addiction as well as its
consequences to the human brain. The most vulnerable, to heroin addiction, brain
regions have been reported to be specific prefrontal, parietal, occipital, and
temporal regions, as well as, some subcortical regions. The brain regions
involved are usually linked with reward, motivation/drive, memory/learning,
inhibition as well as emotional control and seem to form circuits that interact
with each other. So, along with neuroimaging studies, recent advances in resting
state dynamics might allow further assessments upon the multilayer complexity of
addiction. In the current manuscript, we comprehensively review and discuss
existing resting-state neuroimaging findings classified into three overlapping
and interconnected groups: functional connectivity alterations, structural
deficits and abnormal topological properties. Moreover, behavioral traits of
heroin-addicted individuals as well as the limitations of the currently available
studies are also reviewed. Finally, in need of a contemporary therapy a
multimodal therapeutic approach is suggested using classical treatment practices
along with current neurotechonologies, such as neurofeedback and goal-oriented
video-games.
PMID- 27884553
TI - Maps of systematic variation in renal replacement therapy in Catalonia (2002
2012).
AB - INTRODUCTION: Variations in the use of healthcare services can be defined as
systematic variations of adjusted rates for certain aggregation levels of the
population. The study analyses how renal replacement therapy (RRT) is used,
identifying RRT variability in Catalonia from 2002 to 2012. MATERIAL AND METHODS:
Ecological study by health area using data from the Catalan renal registry. We
present incident rates, standardised incidence ratios and prevalence, while
variability was calculated through direct and indirect standardisation methods.
RESULTS: From 2002 until 31/12/2012, 10,784 patients initiated RRT in Catalonia:
9,238 on haemodialysis (HD) (50 treatments per 100,000 people 2010/2012), 1,076
on peritoneal dialysis (PD) (8.2 treatments per 100,000 people 2010/2012) and 470
received an early kidney transplant (KT) (4.4 treatments per 100,000 people
2010/2012). Over the 10 years, the HD cumulative incidence rate fell (7%), while
the PD and KT incidence rates increased (63% and 177%, respectively); both are
higher in young patients (<45 years). 4,750 patients received a kidney transplant
in this period, 49% of which were aged between 45 and 65 years. There were no
significant differences in variability in HD (RV5-95=1.3; Empirical Bayes [EB]~
0), or in the prevalence of KT (RV5-95=1.4; EB ~ 0). Nevertheless, we found
significant geographical variability in PD; notably in the districts of the
province of Lerida, where the number of cases observed was greater than expected
(RV5-95=4.01; EB=0.08). CONCLUSION: Although there was a notable rise in PD and
early KT incidence rates, PD is still underused when compared to international
recommendations. No territorial variability was found for HD or KT, but the use
of PD was found to be higher in Lerida than in other areas. To reduce PD
territorial variability and increase the uptake of this technique in the other
regions, we propose 3initiatives: The development of RRT support tools for shared
decision-making, the encouragement of specific PD professional training and the
promotion of PD through complementary reimbursement systems.
PMID- 27884554
TI - Segmental tuberous sclerosis in a patient with chronic kidney disease.
PMID- 27884552
TI - Estimated saving of antibiotics in pharyngitis and lower respiratory tract
infections if general practitioners used rapid tests and followed guidelines.
AB - INTRODUCTION: General practitioners (GP) in Spain do not have access to rapid
tests and adherence to guidelines is usually suboptimal. The aim of the study is
to evaluate the estimated number of antibiotics that could have been saved if GPs
had appropriately used these tests and had followed the guidelines. DESIGN:
Observational study. SETTING: Primary care centres from eight Autonomous
Communities in Spain. PARTICIPANTS: GPs who had not participated in previous
studies on rational use of antibiotics. INTERVENTION: GPs registered all the
cases of pharyngitis and lower respiratory tract infections (LRTI) during 15
working days in 2015, by means of a 47-item audit. MAIN MEASUREMENTS: Actual GPs'
antibiotic prescription and estimated number of antibiotics that could have been
saved according to recent guidelines. RESULTS: A total of 126 GPs registered 1012
episodes of pharyngitis and 1928 LRTIs. Antibiotics were given or patients were
referred in 497 patients with pharyngitis (49.1%) and 963 patients with LRTI
(49.9%). If GPs had appropriately used rapid antigen detection tests and C
reactive protein tests and had strictly followed current guidelines, antibiotics
would have been given to 7.6% and 15.1%, respectively, with an estimated saving
of 420 antibiotics in patients with sore throat (estimated saving of 84.5%; 95%
CI: 81.1-87.4%) and 672 antibiotics in LRTIs (estimated saving of 69.8%,95% CI:
67.1-72.5%). CONCLUSIONS: GP adherence to guidelines and a correct introduction
of rapid tests in clinical practice in Spain could result in a considerable
saving of unnecessary prescription of antibiotics in pharyngitis and LRTIs.
PMID- 27884555
TI - After 10years of JAK2V617F: Disease biology and current management strategies in
polycythaemia vera.
AB - The JAK2V617F mutation accounts for the vast majority of patients with
polycythaemia vera (PV) and around half of those with other Philadelphia-negative
myeloproliferative neoplasms. Since its discovery in 2005, numerous insights have
been gained into the pathways by which JAK2V617F causes myeloproliferation,
including activation of JAK-STAT signalling but also through other canonical and
non-canonical pathways. A variety of mechanisms explain how this one mutation can
be associated with distinct clinical disorders, demonstrating how constitutional
and acquired factors may interact in the presence of a single mutation to
determine disease phenotype. Important biological questions remain unanswered in
PV, in particular how JAK2V617F affects stem cell function and what mechanisms
drive myelofibrotic and leukaemic transformation. Whilst current management is
largely centred on prevention of cardiovascular events, future therapies must aim
to target the JAK2-mutant clone, to reverse the underlying marrow pathology and
to address the risk of transformation events.
PMID- 27884556
TI - [Effectiveness of non-pharmacological interventions in the quality of life of
caregivers of Alzheimer].
AB - OBJECTIVE: Explore the effectiveness of non-pharmacological interventions to
improve the quality of life of family caregivers of Alzheimer's patients.
METHODS: We conducted a systematic review, in pairs, in the following databases:
PubMed, Scopus, CINAHL, PsycINFO, WOS, Cochrane Library, IME, Cuiden Plus and
Dialnet. Inclusion criteria were: 1. Studies published between 2010-2015. 2.
Language: English, Portuguese and Spanish. 3. Randomized controlled clinical
trials. 4. Score greater than or equal to 3 on the Jadad scale. RESULTS: 13
studies were included. Four performed a psychosocial intervention with family
caregivers, three psychotherapeutic, two psychoeducational, two multicomponent,
one educational and another with mutual support groups. The tools to assess
quality of life: three studies used the Health Status Questionnaire (HSQ), three
EuroQol-5D (two only used the EVA), two health questionnaire SF-36, two WHOQOL
BREF, two Quality of Life SF-12 and one Perceived Quality of Life Scale (PQoL).
Regarding the effectiveness of non-pharmacological interventions, five studies
obtained favorable results in the quality of life after psychotherapeutic
interventions and community-type multicomponent training. CONCLUSION: The
diversity of non-pharmacological interventions used and contents, differences in
the number of sessions and hours, and variability of valuation tools used to
measure quality of life of family caregivers, leads us to reflect on the
appropriateness to standardize criteria, for the sake to improve clinical
practice.
PMID- 27884557
TI - Laryngeal Trauma Following an Inhalation Injury: A Review and Case Report.
AB - The primary concern when managing a patient with inhalation injury is security of
the airway. Airflow may be impeded by both edema of the upper airway and
reduction of oxygen delivery to the lower respiratory tract. Although there has
been much discussion regarding management of the latter, the focus of this
article is the management of the former. This review aimed to determine the
optimum management in burn victims with upper airway inhalation injury as an
attempt to prevent laryngeal trauma leading to long-term voice disorders and
upper airway dyspnea. We describe the case of a 57-year-old woman with
significant inhalation injury and discuss the natural progression of her injuries
and the laryngeal controversies surrounding her care. We conclude with advice on
the optimal management of this condition based on our experience, combined with
current best evidence.
PMID- 27884558
TI - Reply to the Letter to Editor "Hyposmolarity may be also associated with worse
outcomes in patients with heart failure".
PMID- 27884559
TI - Noninvasive measurement of coronary flow velocity reserve during inotropic
stimulation as an additional tool for hemodynamic assessment of myocardial
bridging.
PMID- 27884560
TI - Cardiac imaging stress techniques: How fishing in the high-tech pot.
PMID- 27884561
TI - Survival after cardiac arrest in Greece.
PMID- 27884562
TI - Endothelial overactivity maybe a contributing factor in athletes with vasovagal
syncope.
PMID- 27884563
TI - Combined associations of sedentary behavior and cardiorespiratory fitness on
cognitive function among older adults.
AB - BACKGROUND: Sedentary behavior, cardiorespiratory fitness, cognition and age are
interrelated and associated with cardiovascular function. No study, however, has
specifically evaluated the independent and combined associations of
cardiorespiratory fitness and sedentary behavior on cognition, which was this
study's purpose. METHODS: Data from the 1999-2002 NHANES were used (N=2451; 60
85yrs). Sedentary behavior was assessed via self-report; cardiorespiratory
fitness was assessed from a medical-related algorithm; and cognition function was
assessed from the Digit Symbol Substitution Test (DSST). RESULTS: Being in the
bottom quartile for sedentary behavior (beta=2.13; 95% CI: 0.49-3.77; P=0.01) and
the top quartile for cardiorespiratory fitness (beta=7.48; 95% CI: 5.4-9.5;
P<0.001) were independently associated with higher cognitive function. In the
additive model, those with an index score of 1 (vs. 0) and 2 (vs. 0),
respectively, had a 3.87 (beta=3.87; 95% CI: 1.76-5.98; P=0.001) and 10.40
(beta=10.4; 95% CI: 7.31-13.5; P<0.001) higher DSST score. CONCLUSION: High
cardiorespiratory fitness and low sedentary behavior were jointly associated with
the highest cognitive function. This has important cardiovascular implications as
a progression of neurocognitive impairment is associated with increasingly severe
manifestations of cardiovascular disease.
PMID- 27884564
TI - Endothelial dysfunction in takotsubo syndrome.
PMID- 27884565
TI - Reply to letter to the Editor "Cardiac Imaging Stress Techniques: How fishing in
the high-tech pot".
PMID- 27884566
TI - Reply to Letter to the Editor "Concern on article "Predicting procedure
successful rate and 1-year patency after endovascular recanalization for chronic
carotid artery occlusion by CT angiography"".
PMID- 27884567
TI - Particulars of diabetes mellitus may matter in patients with takotsubo syndrome.
PMID- 27884568
TI - YKL-40 and other indicators of inflammation in coronary collateral development
and SYNTAX score.
PMID- 27884569
TI - Eye spy with my little eye: Motivational relevance of visual stimuli guide eye
movements at different processing stages.
AB - Visual stimuli may be selected for priority at different stages within the
processing stream, depending on how motivationally relevant they are to the
perceiver. Here we examine the extent to which individual differences in
motivational relevance of task-irrelevant images (spider, crash, baby, food and
neutral) guide eye-movements to a simple "follow the cross" task in 96
participants. We found affective images vs. neutral images to be generally more
distracting, as shown by faster first saccade latencies and greater deviation in
the final landing position from the target cross. The most arousing images
(spider and food), compared to neutral images, showed the largest trajectory
deviations of the first saccade. Fear of spiders specifically predicted greater
deviation in the final landing position on spider images. These results suggest
that attentional biases towards arousing and motivationally relevant stimuli may
occur at different processing stages.
PMID- 27884570
TI - A GC-MS method for the detection and quantitation of ten major drugs of abuse in
human hair samples.
AB - A sensitive analytical method has been developed in order to identify and
quantify major drugs of abuse (DOA), namely morphine, codeine, 6
monoacetylmorphine, cocaine, ecgonine methyl ester, benzoylecgonine, amphetamine,
methamphetamine, methylenedioxymethamphetamine and methylenedioxyamphetamine in
human hair. Samples of hair were extracted with methanol under ultrasonication at
50 degrees C after a three step rinsing process to remove external contamination
and dirt hair. Derivatization with BSTFA was selected in order to increase
detection sensitivity of GC/MS analysis. Optimization of derivatization
parameters was based on experiments for the selection of derivatization time,
temperature and volume of derivatising agent. Validation of the method included
evaluation of linearity which ranged from 2 to 350ng/mg of hair mean
concentration for all DOA, evaluation of sensitivity, accuracy, precision and
repeatability. Limits of detection ranged from 0.05 to 0.46ng/mg of hair. The
developed method was applied for the analysis of hair samples obtained from three
human subjects and were found positive in cocaine, and opiates.
PMID- 27884571
TI - Inhibition of listeriolysin O oligomerization by lutein prevents Listeria
monocytogenes infection.
AB - The foodborne pathogenic bacterial species Listeria monocytogenes (L.
monocytogenes) has caused incalculable damages to public health, and its
successful infection requires various virulence factors, including Listeriolysin
O (LLO). By forming pores in phagosomal membranes and even in some organelles,
LLO plays an indispensable role in the ability of L. monocytogenes to escape from
host immune attacks. Because of its critical role, LLO offers an appropriate
therapeutic target against L. monocytogenes infection. Here, lutein, a natural
small molecule existing widely in fruits and vegetables, is demonstrated as an
effective inhibitor of LLO that works by blocking its oligomerization during
invasion without showing significant bacteriostatic activity. Further assays
applying lutein in cell culture models of invasion and in animal models showed
that lutein could effectively inhibit L. monocytogenes infection. Overall, our
results indicate that lutein may represent a promising and novel therapeutic
agent against L. monocytogenes infection.
PMID- 27884572
TI - Tick-borne encephalitis virus neutralization by high dose intravenous
immunoglobulin.
AB - Tick-borne encephalitis (TBE) is a potentially lethal neuroinfection in humans,
caused by TBE virus (TBEV). Currently, there are no approved therapeutic agents
to treat TBE. Previously, it was suggested that application of high dose
intravenous immunoglobulin (IVIG) may pose potentially successful treatment for
severe cases of TBE. In this study, we determined the titers of TBEV-neutralizing
antibodies in two IVIG lots originating from the same manufacturer, and tested
their ability to treat a lethal TBEV-infection in a mouse model. Using an in
vitro assay, more than 100-fold difference in TBEV-neutralizing capacity was
demonstrated between the two individual IVIG lots. High TBEV-neutralizing
activity of IVIG containing TBEV-specific antibody was confirmed in two different
human neural cell lines, but IVIG without TBEV-specific antibodies had no or
little effect on virus titers in the culture. In TBEV-infected mice, 90% of
protection was achieved when the mice were treated with IVIG containing higher
titers of TBEV-specific antibodies, whereas no immunotherapeutic effect was seen
when mice were treated with IVIG without TBEV-specific antibodies. No antibody
dependent enhancement of TBEV infectivity induced by cross-reactive antibodies or
by virus-specific antibodies at neutralizing or sub-neutralizing levels was
observed either in cell culture or in TBEV-infected mice treated with any of the
IVIG preparations. The results indicate that IVIG lots with high TBEV antibody
titers might represent a post-exposure prophylaxis or first-line effective
therapy of patients with a severe form of TBE.
PMID- 27884573
TI - Analysing how negative emotions emerge and are addressed in veterinary
consultations, using the Verona Coding Definitions of Emotional Sequences (VR
CoDES).
AB - OBJECTIVE: To explore the applicability, need for modifications and reliability
of the VR-CoDES in a veterinary setting while also gaining a deeper understanding
of clients' expressions of negative emotion and how they are addressed by
veterinarians. METHODS: The Verona Coding Definitions of Emotional Sequences for
client cues and concerns (VR-CoDES-CC) and health provider responses (VR-CoDES-P)
were used to analyse 20 audiotaped veterinary consultations. Inter-rater
reliability was established. The applicability of definitions of the VR-CoDES was
identified, together with the need for specific modifications to suit veterinary
consultations. RESULTS: The VR-CoDES-CC and VR-CoDES-P generally applied to
veterinary consultations. Cue and concern reliability was found satisfactory for
most types of cues, but not for concerns. Response reliability was satisfactory
for explicitness, and for providing and reducing space for further disclosure.
Modifications to the original coding system were necessary to accurately reflect
the veterinary context and included minor additions to the VR-CoDES-CC.
CONCLUSION: Using minor additions to the VR-CoDES including guilt, reassurance
and cost discussions it can be reliably adopted to assess clients' implicit
expressions of negative emotion and veterinarians' responses. PRACTICE
IMPLICATIONS: The modified VR-CoDES could be of great value when combined with
existing frameworks used for teaching and researching veterinary communication.
PMID- 27884574
TI - Corrigendum to "Unveiling the functional diversity of the alpha/beta hydrolase
superfamily in the plant kingdom" [Curr Opin Struct Biol 2016, 41:233-246].
PMID- 27884575
TI - Brugada Pattern Caused by a Flecainide Overdose.
AB - BACKGROUND: Brugada pattern can be found on the electrocardiogram (ECG) of
patients with altered mental status, usually with fever or drug intoxication.
Diagnosis remains challenging, because the ECG changes are dynamic and variable.
In addition, triggers are not always clearly identified. In patients with atrial
fibrillation (AF), the use of class IC antidysrhythmic drugs can unmask a Brugada
pattern on the ECG, especially if combined with other medications acting on
sodium channels. CASE REPORT: A 62-year-old man with a medical history of AF was
admitted to our emergency department for altered mental status. The ECG at the
time of admission showed a Brugada pattern, triggered by a flecainide overdose
(about 1 g), in association with an unknown dose of lamotrigine and quetiapine.
After discontinuation of all medications, the Brugada pattern disappeared and his
ECG showed no abnormalities. WHY SHOULD AN EMERGENCY PHYSICIAN BE AWARE OF THIS?:
In patients with AF, the use of class IC antidysrhythmic drugs, if overdosed, can
trigger a Brugada ECG pattern, and therefore it can increase the risk for
malignant dysrhythmias. It is important to provide, to all patients with a
Brugada ECG pattern, a list of drugs to avoid, and to underline the synergistic
interplay between drugs, taking into consideration all patients' comorbidities.
PMID- 27884576
TI - Extracorporeal Life Support for Refractory Cardiac Arrest from Accidental
Hypothermia: A 10-Year Experience in Edinburgh.
AB - BACKGROUND: Cardiac arrest caused by accidental hypothermia is a rare phenomenon
with a significant mortality rate if untreated. The consensus is that these
patients should be rewarmed with extracorporeal life support (ECLS) with the
potential for excellent survival and neurologic outcomes. However, given the lack
of robust data and clinical trials, the optimal management of such patients
remains elusive. OBJECTIVE: In this single-center study, we looked at the
outcomes of all adult patients undergoing salvage ECLS for cardiac arrest caused
by accidental hypothermia over a 10-year period from June 2006 to June 2016.
METHODS: These data were obtained from the Royal Infirmary of Edinburgh
cardiothoracic surgery database. The patients' hard copy case notes, TrakCare
(InterSystems Corp, Cambridge, MA), picture archiving and communications system
(PACS), and WardWatcher databases were used to cross-check the accuracy of the
acquired data. RESULTS: Eleven patients met the inclusion criteria. The etiology
of hypothermia was exposure to cold air (64%) and cold water immersion (36%). Two
(18%) were treated with extracorporeal membrane oxygenation and the rest with
cardiopulmonary bypass. The mean age was 51 years (range 32-73), and the mean
core body temperature on admission was 20.6 degrees C (range <18-24 degrees C).
The overall survival rate to hospital discharge was 72%, with 75% of survivors
having no chronic neurologic impairment. CONCLUSION: Our case series shows the
remarkable salvageability of patients suffering prolonged cardiac arrest caused
by accidental hypothermia, particularly in the absence of asphyxia, trauma, or
severe hyperkalemia. ECLS is a safe and effective rewarming treatment and should
be used to aggressively manage this patient group.
PMID- 27884577
TI - Low-Pressure Pericardial Tamponade: Case Report and Review of the Literature.
AB - BACKGROUND: Focused cardiac ultrasound (FoCUS) is accurate for determining the
presence of a pericardial effusion. Using FoCUS to evaluate for pericardial
tamponade, however, is more involved. Many experts teach that tamponade is
unlikely if the inferior vena cava (IVC) shows respiratory variation and is not
distended. CASE REPORT: A 53-year-old woman presented to the emergency department
(ED) with severe orthostatic hypotension, exertional dyspnea, and hypoxia. The
evaluation did not reveal an acute cardiopulmonary etiology, but FoCUS
demonstrated a pericardial effusion, with several signs consistent with
tamponade. The IVC, however, was not distended. She was believed to be
hypovolemic, but fluid therapy provided minimal benefit. The patient's condition
improved only after aspiration of the effusion. The patient's presentation was
likely a "low-pressure" pericardial tamponade. Patients with this subset of
tamponade often do not have significant venous congestion, but urgent pericardial
aspiration is still indicated. WHY SHOULD AN EMERGENCY PHYSICIAN BE AWARE OF
THIS?: Pericardial tamponade may not manifest with IVC plethora on ultrasound.
Patients with low-pressure tamponade do not present with the most florid signs of
tamponade, but they nonetheless fulfill diagnostic criteria for tamponade. If a
non-plethoric IVC is used to rule out tamponade, the clinician risks delaying
comprehensive echocardiography or other tests. Furthermore, the potential for
deterioration to frank shock could be discounted, with inappropriate disposition
and monitoring.
PMID- 27884578
TI - Frequent Emergency Department Users with Human Immunodeficiency Virus Infection.
PMID- 27884579
TI - Number of daily antihypertensive drugs and the risk of osteoporotic fractures in
older hypertensive adults: National health insurance service - Senior cohort.
AB - BACKGROUND: Antihypertensive medication represents one of the most common
prescriptions for senior individuals. Numerous studies have assessed the
influence of antihypertensive treatment on the risk for osteoporotic fracture,
yet much controversy remains. We analyzed the relationship between the incidence
of osteoporotic fracture and the average number of daily antihypertensive drugs
(NDAD) included in the prescription of elderly hypertensive patients. METHODS:
The study population was derived from the National Health Insurance Service
Senior Cohort (2002-2013), and consisted of elderly patients (>=60 years)
diagnosed with hypertension in 2009, who did not have osteoporotic fractures in
2008, and underwent at least one national health check-up between 2009 and 2013,
and had complete records after 2010. The outcome measured was the incidence of
osteoporotic fractures between 2010 and 2013. The study population was stratified
into the three groups (low, moderate, and high), in terms of NDAD. RESULTS: A
total of 137,304 hypertensive patients were included. A multivariate model
corrected by age, gender, body mass index, systolic blood pressure, underlying
disease, smoking status, and use of medicines showed that the groups with
moderate and high NDAD exhibited, respectively, 12% and 16% lower risk of
osteoporotic fracture compared to that in the group with low NDAD. In terms of
the risk of osteoporotic fracture associated with the number of daily thiazide
diuretics (NDTD), the adjusted odds ratios (aOR; 95%CI) were 0.89 (0.84-0.94) and
0.93 (0.84-1.02) in the groups with moderate and high NDTD, respectively compared
to low NDTD as reference. As to NDADnotTD, the aOR (95%CI) were 0.90 (95%CI, 0.86
0.94) and 0.89 (95%CI, 0.84-0.95) in the groups with moderate and high NDADnotTD,
respectively compared to low NDADnotTD as reference. CONCLUSION: In elderly
hypertensive patients, the incidence of osteoporotic fracture decreased as the
NDAD increased. The incidence rate of osteoporotic fracture also decreased with
the increase in the number of daily non-thiazide antihypertensive drugs.
PMID- 27884580
TI - A benzimidazole derivative (RCB20) in vitro induces an activation of energetic
pathways on Taenia crassiceps (ORF strain) cysticerci.
AB - Human cysticercosis caused by Taenia crassiceps is unusual; however, it is an
useful experimental model for cysticercosis studies. Benzimidazole derivatives
are important antihelminthic drugs widely used against helminths. A novel
compound 6-chloro-5-(1-naphthyloxy) -2-(trifluoromethyl)-1H-benzimidazole (RCB20)
is a benzimidazole derivative less polar and more lipophilic. The aim of this
study was to detect the effect of the RCB20 on the in vitro energetic metabolism
of T. crassiceps cysticerci. For this, products of the metabolism both produced
and secreted/excreted (S/E) by the parasite were detected through
spectrophotometry and high performance liquid chromatography after exposure to
6.5 and 13 MUM of RCB20 and albendazole sulfoxide (ABZSO). There was a gradual
increase in the concentrations of glucose not uptaken by parasites exposed to
both concentrations RCB20 and ABZSO. There was a higher concentration of all the
organic acids related to the tricarboxilic acid cycle int the parasites exposed
to RCB20. The structural differences between RCB20 and ABZSO result in different
targets within the parasite and in a greater induction of the energetic pathways,
such as the glycolysis and the TCA cycle. RCB20 is a good candidate as a
substitute for anthelminthic benzimidazoles due to a differentiated site of
action with similar outcome.
PMID- 27884581
TI - Family Stress in Pediatric Critical Care.
AB - This mixed methods study explored stress in families whose children were
hospitalized in the pediatric intensive care unit (PICU) for more than one week.
The study aim was to describe sources of stress for families whose children
require extended hospitalization in the PICU. Data collection included semi
structured interviews and completion of the Family Inventory of Life Events and
Family System Stressor Strength Inventory. Themes reported in this paper are
separation, not knowing, and the child's illness and distress. Additional
research is needed to validate these findings in families of other cultures and
structures, and in other PICUs.
PMID- 27884582
TI - Education in resuscitation: The need for a new teaching method.
PMID- 27884583
TI - Impact of an emergency medicine pharmacist on time to thrombolysis in acute
ischemic stroke: strength of association.
PMID- 27884584
TI - Early death after emergency department discharge in patients with psychiatric
illness.
PMID- 27884585
TI - The successful treatment of 5-fluorouracil (5-FU) overdose in a patient with
malignancy and HIV/AIDS with uridine triacetate.
AB - According to the NIH, about 275000 patients receive treatment with 5-Fluorouracil
(5-FU) and more than 1300 die from 5-FU toxicity every year from life-threatening
myelosuppression, gastrointestinal complications, and neurotoxicity.
Immunocompromised persons are at higher risk of developing toxicity. Recently
uridine triacetate (Vistagard(r)) has been approved by the Food and Drug
Administration (FDA) as the only specific antidote available for 5-FU poisoning.
In a clinical trial (n=135), 96% of patients with 5-FU toxicity recovered after
treatment, where as in a historical control group only 10% survived. This is the
first published case report of survival after 5-FU overdose who also was
immunocompromised from HIV/AIDs. A 52year old male with history of HIV/AIDS (CD4
70), CNS toxoplasmosis and anal cancer presented to the emergency department
after realizing he had received an entire course of 5-FU in 24 instead of 96h.
Treatment with uridine triacetate was arranged in the emergency department. After
receiving treatment the patient was asymptomatic and had an uncomplicated
hospital course. 5-FU poisoning must be recognized early as uridine triacetate is
approved by the FDA for use within 96h following the end of 5-FU administration.
Emergency medicine physicians should promptly recognize and treat 5-FU poisoning.
However, this may be challenging as patients may not seek medical attention until
many hours or several days after last administration since symptoms are often
delayed with 5-FU poisoning.
PMID- 27884587
TI - Butyrate pretreatment attenuates heart depression in a mice model of endotoxin
induced sepsis via anti-inflammation and anti-oxidation.
AB - OBJECTIVES: The depressed heart function is the main complication to cause death
of septic patients in clinic. It is urgent to find effective interventions for
this intractable disease. In this study, we investigated whether butyrate could
be protective for heart against sepsis and the underlying mechanism. METHODS:
Mice were randomly divided into three groups. Model group challenged with LPS (30
mg/kg, i.p.) only. Butyrate group received butyrate (200 mg/kg.d) for 3days prior
to LPS administration (30 mg/kg). Normal group received saline only. 6h and 12h
after LPS administration were chosen for detection the parameters to estimate the
effects or mechanism of butyrate pretreatment on heart of sepsis. RESULTS: The
data showed that septic heart depression was attenuated by butyrate pretreatment
through improvement of heart function depression (P<0.01) and reduction of
morphological changes of myocardium. The overexpression of proinflammatory
factors, TNF-alpha, IL-6 and LTB4, in heart tissues induced by sepsis was
significantly alleviated by butyrate pretreatment (P<0.01). As oxidative stress
indicators, SOD and CAT activity, and MDA content in heart were deteriorated by
LPS challenge, which was noticeably ameliorated by butyrate pretreatment (P<0.01
or P<0.05). CONCLUSIONS: In conclusion, pretreatment with butyrate attenuated
septic heart depression via anti-inflammation and anti-oxidation.
PMID- 27884586
TI - The X factor: Lack of bleeding after an acute apixaban overdose.
AB - We present an acute apixaban overdose without reported coingestants; it is the
first such case report associated with multiple serum drug levels to assist in
determining overdose kinetics. A 62 year old female presented to an emergency
department (ED) 2 hours after ingesting sixty 5 mg tablets (5mg/kg) of her
spouse's apixaban medication. She denied coingestants, and did not take her
prescribed medications that day. Her vital signs were normal and she denied
symptoms. Chemistry and hematology labs were unremarkable. Plasma apixaban
concentrations were 2765.6 ng/ml at 14 hours post ingestion with a non-linear
half life. There was no utilization of blood products or factor replacement.
There was never any bleeding, and her hemoglobin did not decrease. This case
demonstrates that a single ingestion of apixaban can occur without any
complications occurring.
PMID- 27884588
TI - Colour preference between adults and children during a dental treatment session.
AB - BACKGROUND: It is evidently shown that colour has physical, psychological and
sociological effects on human beings. There are many studies showing the effects
of colours on brain activity. Colour preferences may change from childhood to
adulthood and are significantly different in various age groups. The aim of this
study was to evaluate the adults and children in their preference for mouthrinses
in various colours under stress condition during a dental treatment session.
METHODS: 240 adults and 263 children were included in the study. Three
transparent cups were filled with water, two of which were coloured green/pink
rinsing by dissolving a tablet in the water. Cups were placed near the dental
unit. During dental treatment sessions, patients were told to rinse their mouth
with whichever cup they preferred. Preferred colour of cup, gender and age of
patient, number of sessions were recorded. Data were statistically analysed by
SPSS 15.0 programme and chi-square tests. RESULTS: Half of all cases preferred
water. In adults, while females statistically significantly preferred water,
males chose cups with coloured contents (p<0.01). Male adults preferred more than
one rinsing solution in a dental treatment session. Children mostly preferred
water. Even if adults preferred cups with coloured contents in multi-dental
treatment sessions, children regularly preferred water (p<0.001). Female and male
children mostly preferred water as a rinsing solution. Water was the most often
choice for all patients. Both patients' gender and colours of cups affected
choices made by adults and children. CONCLUSIONS: Female adults and children were
not interested in trying colourful mouthrinses, while male adults were curious
about trying colourful mouthrinses during dental treatment sessions under stress
condition.
PMID- 27884589
TI - Cohabitation with an Ehrlich tumor-bearing cagemate induces immune but not
behavioral changes in male mice.
AB - Cohabitation with Ehrlich ascitic tumor-injected conspecifics induces behavioral,
neurochemical, endocrine and immune changes indicative of stress and immune
impairment in female mice. The present work analyzed the effects of similar
cohabitation in Swiss and Balb/C male mice. At least 12 pairs of male mice were
divided into a control group and an experimental group. On experimental day 1
(ED1), one animal within each experimental pair was inoculated with 5*106 Ehrlich
tumor cells intraperitoneally (i.p.); the other animal was kept undisturbed and
was referred to as the CSP (companion of a sick partner). One male mouse of each
control pair was treated i.p. with 0.9% NaCl (1mL/kg); the other animal (the CHP,
companion of a healthy partner) was kept undisturbed. Cohabitation with a sick
partner for 11days did not induce any behavioral, hypothalamic noradrenergic,
corticosterone or adrenal weight changes in the Swiss CSP male mice compared to
those of the Swiss CHP group. However, impairments in neutrophil phagocytosis and
oxidative burst as well as increased levels of catecholamines were observed in
Swiss and Balb/C CSP mice relative to CHP male animals of the same strains on
ED11 and ED14, respectively. Moreover, after a challenge with 5*106 Ehrlich tumor
cells on ED11 of cohabitation, the number and concentration of tumor cells found
in the ascitic fluid were higher in the Swiss CSP male mice than in the CHP mice.
These data suggest that the immune changes observed in Swiss and Balb/C male CSP
mice after cohabitation with a sick cagemate might, ultimately, depend on the
changes induced by catecholamines, as previously reported for CSP female mice.
However, contrary to that reported in Swiss CSP female mice, changes in
behavioral and hypothalamic noradrenaline activity were not found in the Swiss
CSP male mice analyzed in this work. This fact suggests that male and female CSP
mice might use similar immune but different CNS strategies against the threats
posed by the tumor-bearing animals.
PMID- 27884591
TI - Toll-like receptor ligands induce cytokine and chemokine production in human
inner ear endolymphatic sac fibroblasts.
AB - OBJECTIVE: Against recent reports concerning cytokine or chemokine in mouse or
rat inner ear cells, it is almost unknown whether human inner ear cells would
produce cytokine or chemokine. We have for the first time established the human
inner-ear-derived fibroblasts from endolymphatic sac. METHODS: The expression
levels of Toll-like receptors (TLRs) in human endolymphatic sac fibroblasts, and
the effect on cytokine or chemokine production of the TLR ligands have been
examined. To demonstrate the intracellular pathways involved in the regulation of
cytokine-production, we used specific inhibitors of c-Jun N-terminal kinase
(JNK), extracellular signal-related kinase (ERK), p38 mitogen-activated protein
kinase (p38 MAPK)-signaling and N-acetyl-l-cysteine (NAC). RESULTS: TLR 2, 3, 4
and 9 were highly expressed in human endolymphatic sac fibroblasts. The TLR 3
ligand, polyinosinic-polycytidylic acid (poly(I:C)) significantly enhanced the
secretion of thymic stromal lymphopoietin (TSLP), B lymphocyte stimulator (BLyS),
IFNgamma-inducible protein 10 (IP-10), and macrophage inflammatory protein 1
alpha (MIP-1alpha) from the cells. The inhibitor of JNK strongly reduced the
poly(I:C)-induced TSLP-production. The antioxidant drug, NAC also reduced the
TSLP-production in fibroblasts stimulated with poly(I:C). CONCLUSION: Our
findings suggest human inner-ear-endolymphatic sac derived fibroblasts can
produce the cytokine and chemokine in response to TLR ligands and play a certain
role during the initiation of an immune response.
PMID- 27884590
TI - Physical activity induced protection against breast cancer risk associated with
delayed parity.
AB - Epidemiological evidence indicates that physical activity between menarche and
first pregnancy is associated with a lower risk of breast cancer among women with
at least 20years between these reproductive events. The mechanism by which
physical activity during this interval confers protection is unknown. This study
used a novel animal model to assess potentially protective effects of physical
activity on tumor development in delayed parity. Thirty-six female Sprague Dawley
rats received an i.p. injection of 50mg/kg N-methyl-N-nitrosourea (MNU) at 5weeks
of age. Estrogen and progesterone pellets were implanted subcutaneously 1week
(early parity, EP, n=8) or 4weeks (delayed parity, DP, n=11) following MNU
injection. An additional group of DP rats were progressively exercise trained
(Ex+DP, n=9) on a treadmill following MNU injection for 7weeks (up to 20m/min at
15% incline for 30min). We observed the greatest tumor latency and smallest tumor
burden in Ex+DP animals. Ductal hyperplasia and inflammation of non-tumor bearing
mammary glands were only found in DP, and we detected a significant increase in
collagen for DP and Ex+DP compared to EP. Exercise induced differential gene
expression of cyclin-dependent kinase-inhibitor 1C (Cdkn1c) and urokinase
plasminogen activator (Plau) in mammary tissue of Ex+DP animals compared to DP
alone. While there are delayed parity-induced changes in mammary gland collagen
and gene expression levels, Ex+DP animals had longer tumor latency, smaller tumor
burden, and glandular tissue resistant to ductal hyperplasia. Exercise may induce
protection through beneficial regulation of gene expression profiles.
PMID- 27884592
TI - Nasopharyngeal carcinoma with mastoid recurrence after concurrent
chemoradiotherapy masquerading as acute otomastoiditis.
AB - Nasopharyngeal cancer (NPC) with mastoid recurrence is extraordinarily rare, and
its development is thought to involve the Eustachian tube. We herein report a
case of NPC with mastoid recurrence masquerading as acute otomastoiditis with
facial paralysis in a 60-year-old man 44 months after concurrent
chemoradiotherapy. The diagnosis was confirmed by exploratory
tympanomastoidectomy with biopsy and Epstein-Barr-encoding region (EBER) in situ
hybridization. Distant liver metastasis was detected simultaneously, and the
patient underwent salvage treatment. He died 15 months later. Despite the rarity
of mastoid recurrence, clinicians should be vigilant in the differential
diagnosis of mastoiditis in patients with NPC after radiotherapy. Tumor biopsy
and EBER in situ hybridization can aid in the accurate diagnosis of this uncommon
condition.
PMID- 27884593
TI - Idiopathic interstitial pneumonia-associated pulmonary hypertension: A target for
therapy?
AB - Advances in the treatment of idiopathic interstitial pneumonia (IIP) represent an
urgent, unmet medical need for patients with this category of diffuse parenchymal
lung disease. IIPs involve varying combinations of fibrosis and inflammation of
unknown cause and may be associated with pulmonary hypertension (PH). When it
occurs, PH is associated with higher oxygen needs, greater functional impairment,
and increased mortality. However, whether or when PH is a maladaptive versus
adaptive phenomenon remains to be determined. Despite their differing prognoses,
it does appear that the IIPs may follow a similar course once PH supervenes.
Therefore, it may be worthwhile to explore studies of PH medications in IIP as a
group rather than as individual entities. Such a broad approach eliminates the
need to nuance specific diagnoses and thereby facilitates study recruitment and
broadens the applicability of the results.
PMID- 27884594
TI - Surface properties of polyene glycol phospholipid monolayers.
AB - We studied the surface properties of monolayers composed of polyunsaturated
conjugated ethylene glycol phospholipids (carotenoid lipids), compared the data
with monolayers of dipalmitoylphosphatidylcholine (DPPC) to which carotenoids
were added and evaluated the impact of the unsaturated glycol lipids on
monolayers with the glycerolipid DPPC. The carotenoid based glycol lipids formed
monolayers at the air/water interface. Using the Langmuir method we obtained
series of pressure-area (pi-A) isotherms and determined the limiting area A per
molecule of three glycol lipids, C30:9-C0A=42.6+/-1.4A2, C30:9-C2A=76.1+/-2.5A2
and C30:9-C12A=354.0+/-12.0A2 and their mixtures with DPPC at various mole
fraction X. C30:9-C0 and C30:9-C2 did not affect significantly the shape of the
isotherm, but caused their slight shift toward a lower and larger molecular area,
respectively. C30:9-C12 at mole fractions X>0.02 affected the shape of isotherm.
The compressibility modulus Cs-1 of monolayers depended on the surface pressure.
Cs-1 value was substantially higher for DPPC monolayers in comparison with those
of pure glycol lipids. At low surface pressure pi=5-10mN/m and low mole fractions
X<0.02 the glycol lipids formed complexes with DPPC; at higher surface pressure
the separation of pure components took place. The dipole potential of the
monolayers composed of cationic glycol lipids C30:9-C2 and C30:9-C12 was higher
in comparison with those of zwitterionic DPPC and C30:9-C0. This may be connected
with various contributions of dipole moments of the molecules and their
orientation in the monolayer.
PMID- 27884595
TI - Melatonin: Protection against age-related cardiac pathology.
AB - Aging is a complex and progressive process that involves physiological and
metabolic deterioration in every organ and system. Cardiovascular diseases are
one of the most common causes of mortality and morbidity among elderly subjects
worldwide. Most age-related cardiovascular disorders can be influenced by
modifiable behaviours such as a healthy diet rich in fruit and vegetables,
avoidance of smoking, increased physical activity and reduced stress. The role of
diet in prevention of various disorders is a well-established factor, which has
an even more important role in the geriatric population. Melatonin, an
indoleamine with multiple actions including antioxidant properties, has been
identified in a very large number of plant species, including edible plant
products and medical herbs. Among products where melatonin has been identified
include wine, olive oil, tomato, beer, and others. Interestingly, consumed
melatonin in plant foods or melatonin supplementation may promote health benefits
by virtue of its multiple properties and it may counteract pathological
conditions also related to cardiovascular disorders, carcinogenesis, neurological
diseases and aging. In the present review, we summarized melatonin effects
against age-related cardiac alterations and abnormalities with a special focus on
heart ischemia/reperfusion (IR) injury and myocardial infarction.
PMID- 27884596
TI - Altered corticosterone levels and social play behavior after prolonged maternal
separation in adolescent male but not female Wistar rats.
AB - Early-life socio-environmental factors are crucial for normal developmental
processes; adverse experiences early in life can therefore lead to detrimental
effects in several physiological systems. The aim of this study was to examine
short-term effects of early adverse experiences in a maternal separation (MS)
rodent model. In this study two separation conditions were used: daily 15- (MS15)
or 360-min (MS360) separation of the litter from the dam during postnatal day 1
21. In early adolescence, male and female offspring were subjected to a single
isolation procedure with analysis of corticosterone levels prior to and after
isolation. In addition, social play behavior was assessed during mid-adolescence.
There was a clear difference between male and female offspring in both tests
performed. There was no difference in corticosterone levels between the female MS
groups, whereas MS360 males showed higher baseline and recovery corticosterone
levels than MS15 males. The amount of pinning, a specific social play behavior,
was affected by rearing with MS360 males having a higher frequency than MS15
males, while there was no difference between the female MS groups. The
observation that males but not females are affected by MS360 has previously been
reported for adult animals, and herein we show that this difference is present
already in adolescence. Changes in corticosterone levels and social behavior
following early-life adversity have been associated with adult behavioral
alterations, and our results confirm that these changes emerge already within
adolescence.
PMID- 27884597
TI - Prediction of Competing Mortality for Decision-making Between Surgery or
Observation in Elderly Patients With T1 Kidney Cancer.
AB - OBJECTIVE: To predict the risk of cancer-specific mortality (CSM) or other-cause
mortality (OCM) for T1 kidney cancer patients, aiming at identifying those who
would benefit from surgery over observation. PATIENTS AND METHODS: Overall,
11,192 T1 kidney cancer patients treated with surgery or observation in the
Surveillance, Epidemiology, and End Results-Medicare database were assessed. A
competing risk regression (CRR) model was fitted to predict CSM and OCM after
surgery or observation. Covariates consisted of age, gender, race, Charlson
comorbidity index (CCI), history of acute kidney injury or chronic kidney
disease, tumor size, and year of diagnosis. RESULTS: At a median follow-up of 64
months, the 5-year rates of CSM and OCM were 6.7% and 24%, respectively. At CRR
predicting CSM, surgery (hazard ratio [HR] 0.46; P < .0001) and year of diagnosis
(HR 0.96; P < .0001) were associated with lower CSM risk. Conversely, age (HR
1.05; P < .0001), CCI (HR 1.07; P < .0001), and tumor size (HR 1.03; P < .0001)
were associated with higher CSM risk. At CRR predicting OCM, surgery (HR 0.66; P
< .0001), female gender (HR 0.83; P < .0001), Other race (HR 0.82; P < .0001),
and year of diagnosis (HR 0.95; P < .0001) were associated with lower OCM risk.
Conversely, age (HR 1.06; P < .0001), African American race (HR 1.16; P < .01),
CCI (HR 1.17; P < .0001), and acute kidney injury or chronic kidney disease (HR
1.35; P < .0001) were associated with higher OCM risk. CONCLUSION: The benefit of
surgery over observation was more pronounced in younger and healthier patients
with larger tumors. The proposed model can aid in clinical decision-making,
providing crucial information on CSM and OCM risk after either treatment
modality.
PMID- 27884598
TI - Coiled Coils - A Model System for the 21st Century.
AB - alpha-Helical coiled coils were described more than 60 years ago as simple,
repetitive structures mediating oligomerization and mechanical stability. Over
the past 20 years, however, they have emerged as one of the most diverse protein
folds in nature, enabling many biological functions beyond mechanical rigidity,
such as membrane fusion, signal transduction, and solute transport. Despite this
great diversity, their structures can be described by parametric equations,
making them uniquely suited for rational protein design. Far from having been
exhausted as a source of structural insight and a basis for functional
engineering, coiled coils are poised to become even more important for protein
science in the coming decades.
PMID- 27884599
TI - Influence of sequence and lipid type on membrane perturbation by human and rat
amyloid beta-peptide (1-42).
AB - The hallmark characteristics of plaque formation and neuronal cell death in
Alzheimer's disease (AD) are caused principally by the amyloid beta-peptide
(Abeta). Abeta sequence and lipid composition are essential variables to consider
when elucidating the impact of biological membranes on Abeta structure and the
effect of Abeta on membrane integrity. Atomistic molecular dynamics simulations
testing two Abeta sequences, human and rat Abeta (HAbeta and RAbeta,
respectively), and five lipid types were performed to assess the effect of these
variables on membrane perturbation and potential link to AD phenotype differences
based on differences in sequence. All metrics agree insomuch that monomeric
HAbeta and RAbeta contribute to membrane perturbation by causing a more rigid,
gel-like lipid phase. Differences between HAbeta and RAbeta binding on degree of
membrane perturbation were based on lipid headgroup properties. Cholesterol was
found to moderate the amount of perturbation caused by HAbeta and RAbeta in a
model raft membrane. The difference in position of an arginine residue between
HAbeta and RAbeta influenced peptide-membrane interactions and was determined to
be the mediating factor in observed differences in lipid affinity and degree of
membrane disruption. Overall, this work increases our understanding of the
influence of sequence and lipid type on Abeta-membrane interactions and their
relationship to AD.
PMID- 27884601
TI - Evaluating small-airways disease in asthmatic patients: The utility of
quantitative computed tomography.
PMID- 27884600
TI - Six-transmembrane epithelial antigens of the prostate comprise a novel
inflammatory nexus in patients with pustular skin disorders.
AB - BACKGROUND: Pustular skin disorders are a category of difficult-to-treat and
potentially life-threatening conditions that involve the appearance of neutrophil
rich pustules. The molecular basis of most pustular skin conditions has remained
unknown. OBJECTIVE: We sought to investigate the molecular basis of 3 pustular
skin disorders: generalized pustular psoriasis (GPP), palmoplantar pustulosis
(PPP), and acute generalized exanthematous pustulosis (AGEP). METHODS: Microarray
analyses were performed to profile genome-wide gene expression of skin biopsy
specimens obtained from patients with GPP, PPP, or AGEP and healthy control
subjects. Functional enrichment, gene network, and k-means clustering analyses
were used to identify molecular pathways dysregulated in patients with these
disorders. Immunohistochemistry and immunofluorescence were used to determine
protein localization. Quantitative RT-PCR and ELISA were used to determine
transcript and secreted cytokine levels. Small interfering RNA was used to
decrease transcript levels. RESULTS: Molecules and pathways related to neutrophil
chemotaxis emerged as common alterations in patients with GPP, PPP, and AGEP,
which is consistent with the pustular phenotypes. Expression of two 6
transmembrane epithelial antigens of the prostate (STEAP) proteins, STEAP1 and
STEAP4, was increased in patients' skin and colocalized with IL-36gamma around
neutrophilic pustules. STEAP1/4 expression clustered with and positively
correlated with that of IL-1, the IL-36 family proteins, and CXCL1/8. STEAP4
expression was activated by cytokines and suppressed by inhibition of mitogen
activated protein kinase kinase 1/2, whereas STEAP1 expression appeared less
prone to such dynamic regulation. Importantly, STEAP1/4 knockdown resulted in
impaired induction of a broad spectrum of proinflammatory cytokines, including IL
1, IL-36, and the neutrophil chemotaxins CXCL1 and CXCL8. STEAP1/4 knockdown also
reduced the ability of keratinocytes to induce neutrophil chemotaxis. CONCLUSION:
Transcriptomic changes in 3 pustular skin disorders, GPP, PPP, and AGEP,
converged on neutrophil chemotaxis and diapedesis and cytokines known to drive
neutrophil-rich inflammatory processes, including IL-1 and members of the IL-36
family. STEAP1 and STEAP4 positively regulate the induction of proinflammatory
neutrophil-activating cytokines.
PMID- 27884603
TI - Cranial nerve involvement in Charcot-Marie-Tooth Disease.
AB - BACKGROUND: Charcot-Marie-Tooth Disease (CMT) is a rare disorder with less than
200,000 cases reported in the US every year, making diagnosis challenging. MR and
CT imaging has become more common in the evaluation of CMT to identify areas of
disease involvement. CASE REPORT: A 27-year-old female from Guatemala with a past
history of polio initially presented to the emergency room for necrotizing
pneumonia. MRI images demonstrated smoothly enlarged, mildly enhancing trigeminal
nerves. CT showed bony widening of the skull base foramina. The patient was noted
to have atrophy and weakness of her extremities with decreased sensation, distal
more than proximal, and pes cavus. An electromyogram demonstrated absent response
in the right median, ulnar, peroneal, and tibial motor studies and bilateral
radial and right sural sensory studies. MRI of the spine demonstrated smooth,
symmetric enlargement and mild enhancement of the distal spinal nerve roots and
cauda equine. DISCUSSION: CMT is a group of disorders with a wide range of
clinical presentations and abnormalities. Cranial nerve involvement is
infrequently described in CMT 1A. In our case and prior studies, there does not
appear to be a correlation between cranial nerve involvement and symptoms.
Trigeminal neuralgia has been described in patients in CMT, but is not common and
was not seen in our patient despite abnormal trigeminal nerve findings on
imaging. Our patient also demonstrated involvement of the facial nerve without
facial muscle weakness. Clinical features are key in distinguishing CMT 1A from
other forms of HMSN.
PMID- 27884602
TI - A data-driven weighting scheme for multivariate phenotypic endpoints
recapitulates zebrafish developmental cascades.
AB - Zebrafish have become a key alternative model for studying health effects of
environmental stressors, partly due to their genetic similarity to humans, fast
generation time, and the efficiency of generating high-dimensional systematic
data. Studies aiming to characterize adverse health effects in zebrafish
typically include several phenotypic measurements (endpoints). While there is a
solid biomedical basis for capturing a comprehensive set of endpoints, making
summary judgments regarding health effects requires thoughtful integration across
endpoints. Here, we introduce a Bayesian method to quantify the informativeness
of 17 distinct zebrafish endpoints as a data-driven weighting scheme for a multi
endpoint summary measure, called weighted Aggregate Entropy (wAggE). We implement
wAggE using high-throughput screening (HTS) data from zebrafish exposed to five
concentrations of all 1060 ToxCast chemicals. Our results show that our empirical
weighting scheme provides better performance in terms of the Receiver Operating
Characteristic (ROC) curve for identifying significant morphological effects and
improves robustness over traditional curve-fitting approaches. From a biological
perspective, our results suggest that developmental cascade effects triggered by
chemical exposure can be recapitulated by analyzing the relationships among
endpoints. Thus, wAggE offers a powerful approach for analysis of multivariate
phenotypes that can reveal underlying etiological processes.
PMID- 27884604
TI - Surgical management of falcine meningiomas: Experience of 95 patients.
AB - Falcine meningiomas, defined as a meningiomas arising from the falx not involving
the superior sagittal sinus, account for 9% of all intracranial meningiomas. We
analyzed 95 patients with falcine meningiomas who underwent surgical removal of
their lesion at our institution between 2001 and 2014. Surgical management of
these patients, focusing on anatomical and clinical features is described. Thus,
based on our series, a surgical algorithm, classifying the falcine meningioma
into four types, according to location at the falx, and using an ipsilateral
interhemispheric approach in supine or prone position, is described. The median
length of follow-up was 7.1years (range 1.6-12.3years). Approximately one-third
of all patients was asymptomatic, headaches occurred in 27 patients, seizures in
14 cases, and lower-extremity weakness in 9 cases. In this series, the middle
third of the falx was the most frequently involved site (55,78%), while the
anterior third (26,31%) and the posterior type (17,89%) were less common. The
transitional and meningothelial types occurred in 69 of patients and a high grade
in only two patients. Compared with previous series in literature, there was no
mortality and Gross Total Resection was obtained in 83 (87,5%) cases. Three of 95
patients experienced new or worsened neurological deficits after surgery while
other complications were relatively in only 6 cases. This study presents our good
results about removal of the tumor while preserving major cortical veins and the
sinus using advanced microsurgical tools.
PMID- 27884608
TI - Response to the Letter to the Editor by Yang et al.
PMID- 27884606
TI - BAG3 Is a Modular, Scaffolding Protein that physically Links Heat Shock Protein
70 (Hsp70) to the Small Heat Shock Proteins.
AB - Small heat shock proteins (sHsps) are a family of ATP-independent molecular
chaperones that are important for binding and stabilizing unfolded proteins. In
this task, the sHsps have been proposed to coordinate with ATP-dependent
chaperones, including heat shock protein 70 (Hsp70). However, it is not yet clear
how these two important components of the chaperone network are linked. We report
that the Hsp70 co-chaperone, BAG3, is a modular, scaffolding factor to bring
together sHsps and Hsp70s. Using domain deletions and point mutations, we found
that BAG3 uses both of its IPV motifs to interact with sHsps, including Hsp27
(HspB1), alphaB-crystallin (HspB5), Hsp22 (HspB8), and Hsp20 (HspB6). BAG3 does
not appear to be a passive scaffolding factor; rather, its binding promoted de
oligomerization of Hsp27, likely by competing for the self-interactions that
normally stabilize large oligomers. BAG3 bound to Hsp70 at the same time as
Hsp22, Hsp27, or alphaB-crystallin, suggesting that it might physically bring the
chaperone families together into a complex. Indeed, addition of BAG3 coordinated
the ability of Hsp22 and Hsp70 to refold denatured luciferase in vitro. Together,
these results suggest that BAG3 physically and functionally links Hsp70 and
sHsps.
PMID- 27884607
TI - Management of Massive Hemoptysis After Pulmonary Thromboembolectomy Using a
Double Bronchial Blocker System.
PMID- 27884605
TI - Arsenic-Induced Activation of the Homeodomain-Interacting Protein Kinase 2
(HIPK2) to cAMP-Response Element Binding Protein (CREB) Axis.
AB - Cyclic AMP-response element-binding protein (CREB) plays key transcriptional
roles in cell metabolism, proliferation, and survival. Ser133 phosphorylation by
protein kinase A (PKA) is a well-characterized CREB activation mechanism.
Homeodomain-interacting protein kinase (HIPK) 2, a nuclear serine/threonine
kinase, activates CREB through Ser271 phosphorylation; however, the regulatory
mechanism remains uncharacterized. Transfection of CREB in HEK293 cells together
with the kinase demonstrated that HIPK2 phosphorylated CREB at Ser271 but not
Ser133; likewise, PKA phosphorylated CREB at Ser133 but not Ser271, suggesting
two distinct CREB regulatory mechanisms by HIPK2 and PKA. In vitro kinase assay
revealed that HIPK2, and HIPK1 and HIPK3, directly phosphorylated CREB. Cells
exposed to 10MUM sodium arsenite increased the stability of HIPK1 and HIPK2
proteins, leading to CREB activation via Ser271 phosphorylation. Phospho-Ser271
CREB showed facilitated interaction with the TFIID subunit coactivator TAF4
assessed by immunoprecipitation. Furthermore, a focused gene array between cells
transfected with CREB alone and CREB plus HIPK2 over empty vector-transfected
control displayed 14- and 32-fold upregulation of cyclin A1, respectively, while
no upregulation was displayed by HIPK2 alone. These results suggest that the
HIPK2-phospho-Ser271 CREB axis is a new arsenic-responsive CREB activation
mechanism in parallel with the PKA-phospho-Ser133 CREB axis.
PMID- 27884609
TI - Tricuspid Annular Plane Systolic Excursion: Comparing Transthoracic to
Transesophageal Echocardiography.
AB - OBJECTIVE: Tricuspid annular plane systolic excursion (TAPSE) represents movement
of the lateral annulus of the tricuspid valve toward the apex and denotes right
ventricular contractility in the long axis. In transesophageal echocardiography
with the probe in the mid-esophagus, TAPSE occurs at a significant angle to the M
mode scan line. The authors describe a novel method to assess TAPSE in 2
dimensions (2D) and compare this with an established method. DESIGN: Prospective
cohort study. SETTING: Tertiary cardiac unit. PARTICIPANTS: Forty-three patients
scheduled for outpatient transesophageal echocardiography. INTERVENTIONS: None.
MEASUREMENTS AND MAIN RESULTS: TAPSE was assessed from the transthoracic apical
window using M-mode (M-TAPSE) and the mid-esophageal 4-chamber view (2D-TAPSE).
To assess 2D-TAPSE, the authors placed an annotation arrow at the junction of the
tricuspid annulus and right ventricular free wall during diastole, and a second
arrow at this junction at end-systole; 2D-TAPSE represented the distance between
the arrows. The mean M-TAPSE was 20.5 (5.9) mm and 2D-TAPSE was 20.5 (5.8) mm.
The mean angle between the direction of the lateral tricuspid annular motion and
the M-mode scan line from the transthoracic apical window was 19.4 (7.6) degrees
and from the mid-esophageal 4-chamber view 62.6 (15.7) degrees (p<0.001). There
was a close correlation between M-TAPSE and 2D-TAPSE; r2 = 0.93. Ninety-five
percent of 2D-TAPSE were -3.2mm to+3.1mm of M-TAPSE (95% confidence interval -4.0
mm to+4.0 mm). The positive predictive value of 2D-TAPSE<17 mm to predict M
TAPSE<17 was 83%. The negative predictive value of 2D-TAPSE>=17 mm to predict M
TAPSE>=17 was 93%. The intra- and inter-observer variability for 2D-TAPSE was
6.7% and 9.7%, respectively. CONCLUSIONS: Transesophageal 2D-TAPSE appeared to be
a reliable and simple method to assess right ventricular function, and
demonstrated a close correlation with transthoracic M-TAPSE.
PMID- 27884610
TI - Impact of the Direct Oral Anticoagulants on Activated Clotting Time.
PMID- 27884611
TI - Microglial positron emission tomography (PET) imaging in epilepsy: Applications,
opportunities and pitfalls.
AB - Neuroinflammation is increasingly implicated in epileptogenesis and epilepsy.
Microglia are an important mediator of central nervous system inflammation, and
the development of positron emission tomography (PET) radioligands which bind the
Translocator Protein (TSPO), an outer mitochondrial membrane protein expressed by
microglia, has enabled in vivo measurement of neuroinflammation. Here, we outline
the principles and potential pitfalls of TSPO PET imaging in relation to
epilepsy, and opportunities for using TSPO imaging as a biomarker for future anti
inflammatory based therapeutics in epilepsy.
PMID- 27884612
TI - Enucleation: A treatment alternative for branch duct intraductal papillary
mucinous neoplasms.
AB - BACKGROUND: Small, asymptomatic, branch-duct intraductal papillary mucinous
neoplasms of the pancreas are often kept under surveillance despite their
malignant potential. The management of branch-duct intraductal papillary mucinous
neoplasm is controversial with regard to indications and extent of any operative
intervention. The present study aimed to evaluate enucleation as an alternative
operative approach for branch-duct intraductal papillary mucinous neoplasms to
exclude and prevent malignancy. METHODS: For branch-duct intraductal papillary
mucinous neoplasms of <30 mm in diameter and an acceptable distance from the main
pancreatic duct, enucleation was considered as the operative approach of choice.
All patients scheduled for enucleation of branch-duct intraductal papillary
mucinous neoplasm on the basis of these features between January 2004 and
September 2014 were analyzed. Among these, patients with successful enucleation
were compared with those who were scheduled for enucleation but converted
intraoperatively to pancreatic resection (intention-to-treat analysis). End
points were hospital morbidity and mortality as well as histopathology and
functional outcome at a mean follow-up of 32 months. RESULTS: In the study, 115
patients with presumed branch-duct intraductal papillary mucinous neoplasm and
the intention to perform pancreatic enucleation were included; 87 enucleations
were performed in 74 patients. In 41 patients, enucleation was converted to a
pancreatic resection (procedure-specific success rate 64%); indications for
conversion included location or size (46%), presence of multicystic lesions
(39%), or involvement of the main pancreatic duct (15%). Of the 74 patients with
enucleation, 64 branch-duct intraductal papillary mucinous neoplasms revealed low
(85%), 11% moderate dysplasia-, and 4% high-grade dysplasia on histology. Among
converted resections, 6 intraductal papillary mucinous neoplasms revealed high
grade dysplasia or invasive carcinoma (15%). Intention-to-treat analysis with
patients converted to pancreatic resection showed that enucleations resulted in
less blood loss (100 vs 400 mL) and a shorter operation time (146 vs 255 minutes;
P < .001 each). Postoperative morbidity including postoperative pancreatic
fistula was similar in both groups. No mortality occurred after enucleation;
after formal resection, 1 patient died due to multiorgan failure. Both hospital
stay (10 vs 14 days) and rates of postoperative endocrine and exocrine
dysfunction rates were less after enucleation (P < .02 each). Intraductal
papillary mucinous neoplasm-specific recurrence rates (3% vs 6%) were similar in
both groups. CONCLUSION: Enucleation is a safe procedure that can be performed
successfully in a high proportion of branch-duct intraductal papillary mucinous
neoplasms and should be considered instead of standard resections as an important
function-preserving alternative. Limitations may occur due to malignancy, size,
localization, multilocularity, or main-duct involvement requiring conversion to a
formal, anatomic resection. Beside the advantages in the short-term course,
functional outcome seems to be superior after enucleation, and intraductal
papillary mucinous neoplasm-specific recurrence rates are not increased compared
with standard resections, at least at a mean follow-up of 32 months.
PMID- 27884613
TI - Appendectomy in patients with human immunodeficiency virus: Not as bad as we once
thought.
AB - BACKGROUND: The number of patients living with human immunodeficiency virus and
acquired immunodeficiency syndrome is growing due to advances in antiretroviral
therapy. Existing literature on appendectomy within this patient population has
been limited by small sample sizes. Therefore, we used a large, multiyear,
nationwide database to study this topic comprehensively. METHODS: Using the
Nationwide Inpatient Sample, we identified 338,805 patients between 2005 and 2012
who underwent laparoscopic or open appendectomy for acute appendicitis. Interval
appendectomies were excluded. We used multivariable adjusted regression models to
test differences between patients with human immunodeficiency virus without
acquired immunodeficiency syndrome and a reference group, as well as human
immunodeficiency virus with acquired immunodeficiency syndrome and a reference
group, with regard to duration of stay, hospital charges, in-hospital
complications, and in-hospital mortality. Models were adjusted for patient age,
sex, race, insurance, socioeconomic status, Elixhauser comorbidity score, and
appendix perforation. RESULTS: There were 1,291 (0.38%) patients with human
immunodeficiency virus, among which 497 (0.15%) patients had acquired
immunodeficiency syndrome. In regression analysis, human immunodeficiency virus
alone was not associated with adverse outcomes, while acquired immunodeficiency
syndrome alone was associated with longer duration of stay (incidence rate ratio
1.40 [1.37-1.57 95% confidence interval], P < .0001), increased total charges
(exponentiated coefficient 1.16 [1.10-1.23 95% confidence interval], P < .0001),
and increased risk of postoperative infection (odds ratio 2.12 [1.44-3.13 95%
confidence interval], P = .0002). CONCLUSION: Patients with acquired
immunodeficiency syndrome who undergo appendectomy for acute appendicitis are
subject to longer and more expensive hospital admissions and have greater rates
of postoperative infections while patients with human immunodeficiency virus
alone are not at risk for adverse outcomes.
PMID- 27884614
TI - Robotic major hepatectomy: Is there a learning curve?
AB - BACKGROUND: Robotic hepatectomy has been suggested as a safe and effective
management of liver disease. However, no large case series have documented the
learning curve for robotic major hepatectomy. METHOD: We conducted a
retrospective study for robotic major hepatectomy performed by the same operative
team between January 2012 and October 2015 and evaluated the learning curve for
operation time using the cumulative sum method, presented as cumulative
sumoperation time. RESULTS: Overall, there were 183 robotic hepatectomies, 92 of
which were performed in patients who underwent robotic major hepatectomy: left
hemihepatectomy was performed in 32 (34.8%) patients, right hemihepatectomy in 41
(44.6%), left trisectionectomy in 3 (3.3%), right trisectionectomy in 6 (6.5%),
and 8-5-4 trisegmentectomy in 10 (10.8%). The median duration of surgery was 434
minutes (142-805 minutes) and the median blood loss was 195 mL (50-2,000 mL).
Fifty-nine percent of patients had malignancies, and those with advanced stages
of cancer had more blood loss during an operation. The cumulative sumoperation
time model of robotic major hepatectomy suggested that the learning curve
comprised 3 characteristic phases: initial (phase 1, 15 patients), intermediate
(phase 2, 25 patients), and mature (phase 3, 52 patients). The learning effects
were underlined by shorter operation time and hospital stay after phase 1 and
less blood loss after phase 2. CONCLUSION: This is the largest series regarding
robotic major hepatectomy. Our findings suggest that a solid training program
based on the learning curve should be considered for beginners of robotic
hepatectomy. Participants should evaluate the evolution of our minimally invasive
hepatectomy before considering our robotic experience.
PMID- 27884615
TI - Toxicokinetics of titanium dioxide (TiO2) nanoparticles after inhalation in rats.
AB - This study focused on the generation of aerosols of titanium dioxide (TiO2)
nanoparticles (NPs) and their disposition kinetics in rats. Male Sprague-Dawley
rats were exposed by inhalation to 15mg/m3 of anatase TiO2 NPs (~20nm) during 6h.
Rats were sacrificed at different time points over 14days following the onset of
inhalation. Ti levels were quantified by ICP-MS in blood, tissues, and excreta.
Oxidative damages were also monitored (MDA). Highest tissue levels of Ti were
found in lungs; peak values were reached only at 48h followed by a progressive
decrease over 14days, suggesting a persistence of NPs at the site-of-entry.
Levels reached in blood, lymph nodes and other internal organs (including liver,
kidney, spleen) were circa one order of magnitude lower than in lungs, but the
profiles were indicative of a certain translocation to the systemic circulation.
Large amounts were recovered in feces compared to urine, suggesting that inhaled
NPs were eliminated mainly by mucociliary clearance and ingested. TiO2 NPs also
appeared to be partly transferred to olfactory bulbs and brain. MDA levels
indicative of oxidative damage were significantly increased in lungs and blood at
24h but this was not clearly reflected at later times. Translocation and
clearance rates of inhaled NPs under different realistic exposure conditions
should be further documented.
PMID- 27884616
TI - Mechanical properties of contact lenses: The contribution of measurement
techniques and clinical feedback to 50 years of materials development.
AB - PURPOSE: This review summarises the way in which mechanical property measurements
combined with clinical perception have influenced the last half century of
materials evolution in contact lens development. METHODS: Literature concerning
the use of in-vitro testing in assessment of the mechanical behaviour of contact
lenses, and the mutual deformation of the lens material and ocular tissue was
examined. Tensile measurements of historic and available hydrogel lenses have
been collected, in addition to manufacturer-generated figures for the moduli of
commercial silicone hydrogel lenses. RESULTS: The three conventional modes of
mechanical property testing; compression, tension and shear each represent
different perspective in understanding the mutual interaction of the cornea and
the contact lens. Tensile testing provides a measure of modulus, together with
tensile strength and elongation to break, which all relate to handling and
durability. Studies under compression also measure modulus and in particular
indicate elastic response to eyelid load. Studies under shear conditions enable
dynamic mechanical behaviour of the material to be assessed and the elastic and
viscous components of modulus to be determined. These different methods of
measurement have contributed to the interpretation of lens behaviour in the
ocular environment. An amalgamated frequency distribution of tensile moduli for
historic and currently available contact lens materials reveals the modal range
to be 0.3-0.6MPa. CONCLUSION: Mechanical property measurements of lens materials
have enabled calibration of an important aspect of their ocular interaction. This
together with clinical feedback has influenced development of new lens materials
and assisted clinical rationalisation of in-eye behaviour of different lenses.
PMID- 27884617
TI - Trans advanced surface laser ablation (TransPRK) outcomes using
SmartPulseTechnology.
AB - PURPOSE: To evaluate early visual rehabilitation, post-operative pain, epithelial
healing and haze after transepithelial photorefractive keratectomy (TransPRK)
using the SmartPulseTechnology (SPT) of Schwind Amaris (Schwind eye-tech
solutions GmbH, Kleinostheim, Germany). METHODS: This was a retrospective
comparative evaluation of a cohort of myopic patients undergoing TransPRK with
SPT (group 1), with one matched control group that underwent conventional
TransPRK (group 2). All cases had a 6-month post-operative follow-up including
visual acuity and slit-lamp examination. Subjective evaluation of pain was
recorded post-operatively. RESULTS: 49 eyes of 25 patients in group 1 and 40 eyes
of 20 patients in group 2 were enrolled. The patients' visual rehabilitation was
significantly faster in group 1, one day and one week post-operatively (P<0.05).
From one month onward there was no significant difference between the groups. The
epithelial defect size was significantly smaller on post-operative days 1 and 2
for group 1 (P<0.05 in both cases). The pain score was also significantly less in
group 1 (P<0.05). The haze level had no significant difference between the groups
at any post-operative point (P>0.05). DISCUSSION: TransPRK using SPT provides
promising results in the early post-operative period. Visual rehabilitation, re
epithelialization and pain were faster in the early post-operative period in
group 1 in comparison with group 2. Haze formation was not significantly
different between the two groups; however, it was consistently less in group 1.
PMID- 27884618
TI - Effect of materials mixture on the higher heating value: Case of biomass, biochar
and municipal solid waste.
AB - The heating value describes the energy content of any fuel. In this study, this
parameter was evaluated for different abundant materials in Morocco (two types of
biochar, plastic, synthetic rubber, and cardboard as municipal solid waste (MSW),
and various types of biomass). Before the evaluation of their higher heating
value (HHV) by a calorimeter device, the thermal behavior of these materials was
investigated using thermogravimetric (TGA) and Differential scanning calorimetry
(DSC) analyses. The focus of this work is to evaluate the calorific value of each
material alone in a first time, then to compare the experimental and theoretical
HHV of their mixtures in a second time. The heating value of lignocellulosic
materials was between 12.16 and 20.53MJ/kg, 27.39 for biochar 1, 32.60MJ/kg for
biochar 2, 37.81 and 38.00MJ/kg for plastic and synthetic rubber respectively and
13.81MJ/kg for cardboard. A significant difference was observed between the
measured and estimated HHVs of mixtures. Experimentally, results for a large
variety of mixture between biomass/biochar and biomass/MSW have shown that the
interaction between biomass and other compounds expressed a synergy of 2.37% for
biochar 1 and 6.11% for biochar 2, 1.09% for cardboard, 5.09% for plastic and
5.01% for synthetic rubber.
PMID- 27884619
TI - Inhibition on anammox bacteria upon exposure to digestates from biogas plants
treating the organic fraction of municipal solid waste and the role of
conductivity.
AB - The aim of this research was to evaluate the applicability of the anammox process
for removing nitrogen from the supernatant originating from the anaerobic
digestion of the organic fraction of municipal solid waste (OFMSW). The short
term inhibitory potential of this concentrated wastewater was evaluated by means
of batch tests in terms of maximum specific anammox activity reduction. A total
of 20 real wastewater samples were tested originating from 4 different full scale
anaerobic digestion plants treating OFMSW. Activity reduction between 73% and 89%
was observed in the presence of undiluted real wastewaters. The specific activity
remained stable for 6-7days after the initial reduction, thus suggesting its
treatability even without dilution. The inhibitory effect of both the real and
synthetic saline media tested could be modelled as a function of conductivity.
IC50 of 6.1mS/cm was obtained for exposure to the tested liquid fraction of
biowaste digestate.
PMID- 27884620
TI - Effect of dispersal in two-patch prey-predator system with positive density
dependence growth of preys.
AB - Prey-predator systems in patchy environment, connected through dispersal between
patches is a very common phenomenon observed in nature, which have a significant
impact in ecology, species persistence and extinction, etc. In the present paper,
we consider a two patch prey-predator system where the patches are connected
through dispersal between preys populations only. We consider positive density
dependence growth for preys population. In addition, we consider the time scale
difference (different life span) between preys and predator populations. From our
study, we can conclude that dispersal can save both the populations from
extinction, when in a single patch initial preys density is lower the Allee
threshold. Also, time difference can increase the basin of attraction of the
coexistence equilibrium of our two-patch model. Time scale difference also can
help to reach the steady state faster than the without time scale difference, and
it also causes the amplitude death when populations are in limit cycle
oscillation. We also analyze our model by considering the time delay in dispersal
dynamics, and we show that delay induced dispersal can stabilize the system and
cause the amplitude death when individual populations are in the limit cycle,
without dispersal. In addition, dispersal in non-identical patches can stabilize
at its interior equilibrium even if the environment is harsh for both the
populations in both the individual patches.
PMID- 27884622
TI - Exercise modulates the aortic renin-angiotensin system independently of estrogen
therapy in ovariectomized hypertensive rats.
AB - The renin-angiotensin-system is an important component of cardiovascular control
and is up-regulated under various conditions, including hypertension and
menopause. The aim of this study was to evaluate the effects of swimming training
and estrogen therapy (ET) on angiotensin-II (ANG II)-induced vasoconstriction and
angiotensin-(1-7) [ANG-(1-7)]-induced vasorelaxation in aortic rings from
ovariectomized spontaneously hypertensive rats. Animals were divided into Sham
(SH), Ovariectomized (OVX), Ovariectomized treated with E2 (OE2), Ovariectomized
plus swimming (OSW) and Ovariectomized treated with E2 plus swimming (OE2+SW)
groups. ET entailed the administration of 5MUg of 17beta-Estradiol three times
per week. Swimming was undertaken for sixty minutes each day, five times per
week. Both, training and ET were initiated seven days following ovariectomy.
Forty-eight hours after the last treatment or training session, the animals'
systolic blood pressures were measured, and blood samples were collected to
measure plasma ANG II and ANG-(1-7) levels via radioimmunoassay. In aortic rings,
the vascular reactivity to ANG II and ANG-(1-7) was assessed. Expression of ANG
(1-7) in aortic wall was analyzed by immunohistochemistry. The results showed
that both exercise and ET increased plasma ANG II levels despite attenuating
systolic blood pressure. Ovariectomy increased constrictor responses to ANG II
and decreased dilatory responses to ANG-(1-7), which were reversed by swimming
independently of ET. Moreover, it was observed an apparent increase in ANG-(1-7)
content in the aorta of the groups subjected to training and ET. Exercise
training may play a cardioprotective role independently of ET and may be an
alternative to ET in hypertensive postmenopausal women.
PMID- 27884621
TI - Photoantimicrobials-are we afraid of the light?
AB - Although conventional antimicrobial drugs have been viewed as miraculous cure
alls for the past 80 years, increasing antimicrobial drug resistance requires a
major and rapid intervention. However, the development of novel but still
conventional systemic antimicrobial agents, having only a single mode or site of
action, will not alleviate the situation because it is probably only a matter of
time until any such agents will also become ineffective. To continue to produce
new agents based on this notion is unacceptable, and there is an increasing need
for alternative approaches to the problem. By contrast, light-activated molecules
called photoantimicrobials act locally via the in-situ production of highly
reactive oxygen species, which simultaneously attack various biomolecular sites
in the pathogenic target and therefore offer both multiple and variable sites of
action. This non-specificity at the target circumvents conventional mechanisms of
resistance and inhibits the development of resistance to the agents themselves.
Photoantimicrobial therapy is safe and easy to implement and, unlike conventional
agents, the activity spectrum of photoantimicrobials covers bacteria, fungi,
viruses, and protozoa. However, clinical trials of these new, truly broad
spectrum, and minimally toxic agents have been few, and the funding for research
and development is almost non-existent. Photoantimicrobials constitute one of the
few ways forward through the morass of drug-resistant infectious disease and
should be fully explored. In this Personal View, we raise awareness of the novel
photoantimicrobial technologies that offer a viable alternative to conventional
drugs in many relevant application fields, and could thus slow the pace of
resistance development.
PMID- 27884624
TI - Serum IL-21 levels are elevated in atopic dermatitis patients with acute skin
lesions.
AB - BACKGROUND: Interleukin (IL)-21 is a member of the type I cytokine family and
plays a role in the pathogenesis of T helper type 2 allergic diseases. It has
been reported that IL-21 expression is upregulated in acute skin lesions in
atopic dermatitis (AD) patients; however, little is known about the serum IL-21
levels of AD patients. The aim of this study was to quantify the serum IL-21
levels of AD patients and to evaluate the relationships between the serum IL-21
level and disease severity, laboratory markers, and eruption type in AD patients.
METHODS: We measured the serum IL-21 levels of adult AD patients and healthy
control subjects using an enzyme-linked immunosorbent assay. RESULTS: The adult
AD patients exhibited significantly higher serum IL-21 levels than the healthy
control subjects. A comparison of the patients' serum IL-21 levels based on the
clinical severity of their AD revealed that the patients with severe AD
demonstrated significantly higher serum IL-21 levels than those with mild AD and
the healthy control subjects. The serum IL-21 levels were significantly
correlated with the skin severity score, and especially with the degree of acute
lesions such as erythema and edema/papules. The serum IL-21 level was not
associated with laboratory markers, such as the serum IgE level, the serum thymus
and activation-related chemokine level, blood eosinophilia, and the serum lactate
dehydrogenase level. CONCLUSIONS: These results suggest that IL-21 might be
involved in the pathogenesis of AD, especially the development of acute skin
lesions.
PMID- 27884623
TI - Relationship between Toxoplasma gondii seropositivity and acoustic startle
response in an inner-city population.
AB - Toxoplasma gondii (TOXO) is a neuroinvasive protozoan parasite that induces the
formation of persistent cysts in mammalian brains. It infects approximately
1.1million people in the United States annually. Latent TOXO infection is
implicated in the etiology of psychiatric disorders, especially schizophrenia
(SCZ), and has been correlated with modestly impaired cognition. The acoustic
startle response (ASR) is a reflex seen in all mammals. It is mediated by a
simple subcortical circuit, and provides an indicator of neural function. We
previously reported the association of TOXO with slowed acoustic startle latency,
an index of neural processing speed, in a sample of schizophrenia and healthy
control subjects. The alterations in neurobiology with TOXO latent infection may
not be specific to schizophrenia. Therefore we examined TOXO in relation to
acoustic startle in an urban, predominately African American, population with
mixed psychiatric diagnoses, and healthy controls. Physiological and diagnostic
data along with blood samples were collected from 364 outpatients treated at an
inner-city hospital. TOXO status was determined with an ELISA assay for TOXO
specific IgG. A discrete titer was calculated based on standard cut-points as an
indicator of seropositivity, and the TOXO-specific IgG concentration served as
serointensity. A series of linear regression models were used to assess the
association of TOXO seropositivity and serointensity with ASR magnitude and
latency in models adjusting for demographics and psychiatric diagnoses (PTSD,
major depression, schizophrenia, psychosis, substance abuse). ASR magnitude was
11.5% higher in TOXO seropositive subjects compared to seronegative individuals
(p=0.01). This effect was more pronounced in models with TOXO serointensity that
adjusted for sociodemographic covariates (F=7.41, p=0.0068; F=10.05, p=0.0017),
and remained significant when psychiatric diagnoses were stepped into the models.
TOXO showed no association with startle latency (t=0.49, p=0.63) in an unadjusted
model, nor was TOXO associated with latency in models that included demographic
factors. After stepping in individual psychiatric disorders, we found a
significant association of latency with a diagnosis of PTSD (F=5.15, p=0.024),
but no other psychiatric diagnoses, such that subjects with PTSD had longer
startle latency. The mechanism by which TOXO infection is associated with high
startle magnitude is not known, but possible mechanisms include TOXO cyst burden
in the brain, parasite recrudescence, or molecular mimicry of a host epitope by
TOXO. Future studies will focus on the neurobiology underlying the effects of
latent TOXO infection as a potential inroad to the development of novel treatment
targets for psychiatric disease.
PMID- 27884626
TI - Update on some novel developments in transfusion science and medicine with global
health implications.
PMID- 27884625
TI - Complementary and alternative medicine for allergic rhinitis in Japan.
AB - BACKGROUND: Complementary and alternative medicine (CAM) is extensively used in
patients with allergic diseases worldwide. The purpose of this study was to
investigate the actual situation of CAM practice in the treatment of allergic
rhinitis. METHODS: We distributed questionnaires to otolaryngologists at 114
facilities in Japan. The subjects who participated in this study included
children <16 years of age and adults >=16 years of age diagnosed with allergic
rhinitis by otolaryngologists. The survey was performed in the period from
September 2007 to August 2009. Furthermore, we performed the same investigation
out of the hospital setting, such as during general health examinations. All
questionnaires were returned to Chiba University and analyzed. RESULTS: The
proportions of patients who had ever experimented with CAM in the hospital survey
were 7.1% (225/3170) and 19.2% (1416/7363) of children and adults, respectively.
Approximately 36.2% of the adult patients thought that the treatments were
effective. The main reasons for CAM use were safety, convenience and low price.
However, the group who spent more than $1000 on CAM felt more dissatisfaction and
anxiety related to treatment at the hospital. The situation of CAM practice was
not consistent and was instead influenced by the backgrounds of the subjects.
CONCLUSIONS: Many patients who receive CAM report feeling that the effects of
treatment provided by hospitals are insufficient and have concerns about the side
effects of such treatments. Information regarding standard treatments, as
described in the guidelines, should become widely known and diffused, and strong
communication with patients should be considered.
PMID- 27884628
TI - Skirt followed by trouser stenting technique: True anatomical preservation of
coronary Y-shaped bifurcation lesions while using "vanishing" bioresorbable
scaffolds: A report of two cases.
AB - We report on two cases in which Y-shaped coronary bifurcations were treated with
the use of Bioresorbable scaffolds. The first case was of a 50-year-old man with
NSTEMI. Coronary angiography showed stenosis involving a Y-shaped bifurcation of
proximal to mid LAD and diagonal. The lesion was wired using two 0.14 BMW guide
wires, followed by serial pre-dilatation of LAD and Diagonal branch. The stent
into proximal LAD was deployed first as a skirt (3.5 * 12 BVS). Stent was post
dilated. A second 2.5 * 28 BVS was deployed into diagonal branch, protruding
backwards; along with a 2.0 * 15 SC balloon continuing into the mid LAD. Both
balloons where pulled back proximally and re-inflated. The technique was repeated
in reversed order for stenting the mid LAD using the third BVS (3.0 * 18 BVS).
Finally two NC balloons where used to post-dilate both legs of the newly-formed
trouser. The result was checked by OCT. The second case was that of a 62-year-old
man with chest pain and NSTEMI. He had a history of previous PCI to LCx using
bare metal stent. Coronary angiogram showed severe in-stent restenosis in mid
LCX, extending into two large obtuse marginal branches. After wiring both OMs,
serial pre-dilatation was done with two NC 2.5 * 20 balloons, followed by initial
stenting of mid LCx inside old stent, as the proximal segment of bifurcation,
using a 3.5 * 12 BVS, followed by implanting a 2.5 * 28 BVS into OM2 and 2.5 * 18
into OM1. At 6 months a clinical follow up via telephone contact revealed no
recurrence of chest pain in both cases and no further intervention required.
PMID- 27884627
TI - Characterization of avian paramyxovirus serotype 14, a novel serotype, isolated
from a duck fecal sample in Japan.
AB - A hemagglutinating virus isolate designated 11OG0352, was obtained from a duck
fecal sample. Genetic and virological analyses indicated that it might represent
a novel serotype of avian paramyxovirus (APMV). Electron micrographs showed that
the morphology of the virus particle was similar to that of APMV. The complete
genome of this virus comprised 15,444 nucleotides complying with the
paramyxovirus "rule of six" and contains six open reading frames (3'-N-P-M-F-HN-L
5'). The phylogenetic analysis of the whole genome revealed that the virus was a
member of the genus Avulavirus, but that it was distinct from APMV-1 to APMV-13.
Although the F-protein cleavage site was TREGK?L, which resembles a lentogenic
strain of APMV-1, the K residue at position -1 of the cleavage site was first
discovered in APMV members. The phosphoprotein gene of isolate 11OG0352 contains
a putative RNA editing site, 3'-AUUUUCCC-5' (negative sense) which sequence
differs from that of other APMVs. The intracerebral pathogenicity index test did
not detect virulence in infected chicks. In hemagglutination inhibition (HI)
tests, an antiserum against this virus did not detectably react with other APMVs
(serotypes 1-4, 6-9) except for low reciprocal cross-reactivity with APMV-6. We
designated this isolate, as APMV-14/duck/Japan/11OG0352/2011 and propose that it
is a novel APMV serotype. The HI test may not be widely applicable for the
classification of a new serotype because of the limited availability of reference
antisera against all serotypes and cross-reactivity data. The nucleotide sequence
identities of the whole genome of 11OG0352 and other APMVs ranged from 46.3% to
56.1%. Such comparison may provide a useful tool for classifying new APMV
isolates. However, the nucleotide sequence identity between APMV-12 and APMV-13
was higher (64%), which was nearly identical to the lowest nucleotide identity
(67%) reported in subgroups within the serotype. Therefore, consensus criteria
for using whole genome analysis should be established.
PMID- 27884629
TI - Urgent listing exceptions and outcomes in pediatric heart transplantation:
Comparison to standard criteria patients.
AB - BACKGROUND: United Network for Organ Sharing (UNOS) policy enables listing
exceptions to avoid penalizing patients with waitlist mortality not captured by
standard criteria. Outcomes among patients listed by exception have not been
analyzed. METHODS: We performed a retrospective analysis of pediatric (<=17 years
of age, n = 4,706) listings (2006 to 2015) for primary, isolated heart
transplantation within the UNOS data set, assessing Status 1A exception (n = 211,
4.5%) use across regions and patient characteristics and evaluating waitlist
outcomes compared with candidates listed using standard criteria. RESULTS: Death
or removal for reason other than transplant did not differ between exception and
standard criteria patients at 1 month (11.7% vs 16.2%, p = not statistically
significant [NS]), 2 months (18.2% vs 29.0%, p = 0.11) or overall (16.1% vs
22.0%, p = NS) on the waitlist. Rates were higher than among Status 1B patients
(1 month: 2.8%; 2 months: 5.6%; overall: 14.9%; p < 0.0001). The cumulative
incidence of competing risks (transplantation, death/removal for reasons other
than transplant and removal) did not differ when comparing Status 1A exception
patients with Status 1A standard criteria patients. Use of 1A exceptions varied
across UNOS regions (1.9% to 22.3%, p < 0.0001). Risk-adjusted modeling
identified patients more (hypertrophic cardiomyopathy: odds ratio [OR] = 2.8, 95%
confidence interval [CI] 1.5 to 5.0; restrictive cardiomyopathy: OR = 2.7, 95% CI
1.7 to 4.3) and less (low socioeconomic status: OR = 0.7, 95% CI 0.5 to 1.0)
likely to use an exception. Use of exceptions was uncorrelated with regional
outcomes. CONCLUSIONS: Waitlist mortality among Status 1A exception patients is
similar to that among those listed by standard criteria. However, variation in
exception use across geography and demography may contribute to inequities in
access to transplantation, particularly for those with low socioeconomic status.
Standardization of practices may decrease regional variation and minimize
inequities.
PMID- 27884630
TI - Psychometric testing of Korean versions of self-efficacy and outcome expectations
for restorative care activities scales.
AB - This study aimed to examine the validity and reliability of Korean versions of
the Nursing Assistant Self-Efficacy for Restorative Care Scale, and the Nursing
Assistant Outcome Expectations for Restorative Care Scale. Psychometric testing
was performed with 697 direct care workers in long-term care facilities in South
Korea. Data were analyzed using SPSS/WIN 21.0, AMOS 22.0, and WINSTEPS 3.68.2.
There was evidence for content validity. Factor loading in the Korean versions of
the Nursing Assistant Self-Efficacy for Restorative Care Scale was 0.66-0.94, and
Nursing Assistant Outcome Expectations for Restorative Care Scale was 0.43-0.77.
Scores on the Korean versions of the Nursing Assistant Outcome Expectations for
Restorative Care Scale correlated positively with scores on a scale of knowledge
of restorative care. Additionally, Rasch model analysis of the K-NASERC and K
NAOERC indicates acceptable item data fit. These results indicated that the
Korean versions of the Nursing Assistant Self-Efficacy, and Outcome Expectations
for Restorative Care Scale are satisfactorily valid and reliable for the
measurement.
PMID- 27884631
TI - Out of the Darkness and Into the Light: Patients, Referring Physicians, and
Radiologists Working Toward Patient- and Family-Centered Care in Radiology.
PMID- 27884633
TI - Recent Trends in Imaging Use in Hospital Settings: Implications for Future
Planning.
AB - PURPOSE: To compare trends in utilization rates of imaging in the three hospital
based settings where imaging is conducted. METHODS: The nationwide Medicare Part
B databases for 2004-2014 were used. All discretionary noninvasive diagnostic
imaging (NDI) CPT codes were selected and grouped by modality. Procedure volumes
of each code were available from the databases and converted to utilization rates
per 1,000 Medicare enrollees. Medicare's place-of-service codes were used to
identify imaging examinations done in hospital inpatients, hospital outpatient
departments (HOPDs), and emergency departments (EDs). Trends were observed over
the life of the study. RESULTS: Trendlines were strongly affected by code
bundling in echocardiography in 2009, nuclear imaging in 2010, and CT in 2011.
However, even aside from these artifactual effects, important trends could be
discerned. Inpatient imaging utilization rates of all modalities are trending
downward. In HOPDs, the utilization rate of conventional radiographic
examinations (CREs) is declining but rates of CT, MRI, echocardiography, and
noncardiac ultrasound (US) are increasing. In EDs, utilization rates of CREs, CT,
and US are increasing. In the 3 years after 2011, when no further code bundling
occurred, the total inpatient NDI utilization rate dropped 15%, whereas the rate
in EDs increased 12% and that in HOPDs increased 1%. CONCLUSIONS: The trends in
utilization of NDI in the three hospital-based settings where imaging occurs are
distinctly different. Radiologists and others who are involved in deciding what
kinds of equipment to purchase and where to locate it should be cognizant of
these trends in making their decisions.
PMID- 27884632
TI - Strategies for Improving the Value of the Radiology Report: A Retrospective
Analysis of Errors in Formally Over-read Studies.
AB - PURPOSE: The radiology report is a critical component of the Imaging Value Chain.
Unfortunately, the quality of this aspect of a radiologist's work is often
heterogeneous and fails to add significant value to the referring provider and,
ultimately, the patient. Gauging what defines quality can be elusive; however, we
elucidate techniques that can be employed to ensure that reports are more
comprehensible, actionable, and useful to our customers. METHODS: Four hundred
consecutive studies (July-August 2015) submitted to our institution with request
for a formal over-read were reviewed retrospectively, specifically focused on
analyzing differences in language, organization, and impression between the
outside reports and the formal over-reads performed at our institution. The
formal over-reads were classified into one of the following categories: (1) no
clinically significant change; (2) emergent clinically significant change; (3)
nonemergent clinically significant change. Clinically significant changes were
further classified as either perceptual or cognitive errors. RESULTS: A total of
12.4% of formally over-read reports had clinically significant changes. Of these,
22.2% were emergent changes. Clinically significant changes were composed of
64.4% perceptual error and 35.6% cognitive error. Four strategies were discovered
specifically related to reporting techniques that helped mitigate these errors on
formal over-reads: (1) synthesizing varied anatomic findings into a cohesive
disease process; (2) integration of relevant electronic health record data; (3)
use of structured reporting; and (4) forming actionable impressions. CONCLUSIONS:
We identify, through examples, four strategies for reporting that add value
through reduction of radiologic error, helping to mitigate the 12.4% clinically
significant error rate found in reinterpretation of outside studies.
PMID- 27884634
TI - Late Strut Fracture Within a Partially Resorbed Bioresorbable Vascular Scaffold:
A Possible Cause of Late Scaffold Thrombosis and Acute Coronary Syndrome.
AB - The Bioresorbable Vascular Scaffold (BVS, Abbott Vascular, Santa Clara, Cal, USA)
is an exciting advance in percutaneous coronary intervention providing a
temporary drug eluting scaffold resorbed in two to five years. We present two
cases of late scaffold thrombosis associated with strut fracture during the
period of resorption, at 20 and 24 months following BVS implantation. We propose
luminal migration of malapposed struts following strut fracture during resorption
as a potential mechanism for late scaffold thrombosis and acute coronary
syndrome.
PMID- 27884635
TI - Understand protein functions by comparing the similarity of local structural
environments.
AB - The three-dimensional structures of proteins play an essential role in regulating
binding between proteins and their partners, offering a direct relationship
between structures and functions of proteins. It is widely accepted that the
function of a protein can be determined if its structure is similar to other
proteins whose functions are known. However, it is also observed that proteins
with similar global structures do not necessarily correspond to the same
function, while proteins with very different folds can share similar functions.
This indicates that function similarity is originated from the local structural
information of proteins instead of their global shapes. We assume that proteins
with similar local environments prefer binding to similar types of molecular
targets. In order to testify this assumption, we designed a new structural
indicator to define the similarity of local environment between residues in
different proteins. This indicator was further used to calculate the probability
that a given residue binds to a specific type of structural neighbors, including
DNA, RNA, small molecules and proteins. After applying the method to a large
scale non-redundant database of proteins, we show that the positive signal of
binding probability calculated from the local structural indicator is
statistically meaningful. In summary, our studies suggested that the local
environment of residues in a protein is a good indicator to recognize specific
binding partners of the protein. The new method could be a potential addition to
a suite of existing template-based approaches for protein function prediction.
PMID- 27884636
TI - An in vitro and in vivo study of peptide-functionalized nanoparticles for brain
targeting: The importance of selective blood-brain barrier uptake.
AB - Targeted delivery of drugs across endothelial barriers remains a formidable
challenge, especially in the case of the brain, where the blood-brain barrier
severely limits entry of drugs into the central nervous system. Nanoparticle
mediated transport of peptide/protein-based drugs across endothelial barriers
shows great potential as a therapeutic strategy in a wide variety of diseases.
Functionalizing nanoparticles with peptides allows for more efficient targeting
to specific organs. We have evaluated the hemocompatibilty, cytotoxicity,
endothelial uptake, efficacy of delivery and safety of liposome, hyperbranched
polyester, poly(glycidol) and acrylamide-based nanoparticles functionalized with
peptides targeting brain endothelial receptors, in vitro and in vivo. We used an
ELISA-based method for the detection of nanoparticles in biological fluids,
investigating the blood clearance rate and in vivo biodistribution of labeled
nanoparticles in the brain after intravenous injection in Wistar rats. Herein, we
provide a detailed report of in vitro and in vivo observations.
PMID- 27884637
TI - Phototheranostic nanoplatform based on a single cyanine dye for image-guided
combinatorial phototherapy.
AB - This study represents a novel phototheranostic nanoplatform based on the near
infrared (NIR) heptamethine cyanine dye, IR775, which is capable of concurrent
real-time fluorescence imaging and cancer eradication with combinatorial
phototherapy. To achieve water solubility and enhance tumor delivery, the
hydrophobic IR775 dye was loaded into a biocompatible polymeric nanoparticle with
a diameter of ~40nm and slightly negative surface charge (-2.34mV). The
nanoparticle-encapsulated hydrophobic IR775 dye (IR775-NP) is characterized by an
enhanced fluorescence quantum yield (16%) when compared to the water soluble
analogs such as ICG (2.7%) and IR783 (8%). Furthermore, the developed IR-775-NP
efficiently generates both heat and reactive oxygen species under NIR light
irradiation, eradicating cancer cells in vitro. Finally, animal studies revealed
that the IR775-NP accumulates in cancer tumors after systemic administration,
efficiently delineates them with NIR fluorescence signal and completely
eradicates chemo resistant cancer tissue after a single dose of combinatorial
phototherapy.
PMID- 27884638
TI - Particle size effect of curcumin nanosuspensions on cytotoxicity, cellular
internalization, in vivo pharmacokinetics and biodistribution.
AB - This study aimed to investigate the particle size effect on bioactivity, cellular
internalization and bioavailability of curcumin (CUR) nanosuspension (CUR-NS).
CUR-NSs of different particle sizes were prepared by two different anti-solvent
precipitation methods. CUR-NS with the smallest size showed similar in vitro
anticancer activity and bioavailability to the CUR solution, whereas
nanosuspensions of larger particle sizes displayed higher in vitro cellular
internalization and cytotoxicity, as well as higher in vivo AUC and slower
clearance rate after i.v. administration in rats. CUR solution and different
sized CUR-NSs reached the highest concentrations in the lung, followed by liver
and spleen while the lowest concentration was observed in the brain after i.v.
administration in mice. Specifically, CUR-NS of 70nm accumulated more in the
brain, whereas CUR-NS of 200nm accrued more in liver and spleen. CUR-NS of 20nm
displayed no significant biodistribution difference compared with CUR solution in
all tissues.
PMID- 27884639
TI - Amphiphilic poly-N-vinylpyrrolidone nanoparticles as carriers for non-steroidal,
anti-inflammatory drugs: In vitro cytotoxicity and in vivo acute toxicity study.
AB - Polymeric nanoparticles were prepared from self-assembled amphiphilic N
vinylpyrrolidone polymers in aqueous media and evaluated as novel carriers of
indomethacin, a non-steroidal, anti-inflammatory drug. It was determined that
these nanoparticles could be created in spherical morphologies with sizes less
than 100nm, narrow size distributions and high indomethacin contents(up to 35%)
combined with high drug loading efficiencies(up to 95%). In cytotoxicity tests
using the human embryonic stem cell derived fibroblasts (EBF-H9) and
hepatocellular carcinoma cells (HepG2), the indomethacin-loaded polymeric
nanoparticles showed higher cell viability compared to that of free indomethacin
at the same concentration. The median LD50 values, determined by the Litchfield
Wilcoxon method, were 55-70mg/kg body weight depending on the polymer molecular
design in both mice and rats. Based on the acquired results, these novel
amphiphilic poly-N-vinylpyrrolidone nanoparticles can be considered as potential
carriers for new, highly efficient, injectable drug delivery systems for
hydrophobic drugs such as indomethacin.
PMID- 27884640
TI - Biodegradation of the ZnO:Eu nanoparticles in the tissues of adult mouse after
alimentary application.
AB - Biodegradable zinc oxide nanoparticles (ZnO NPs) are considered promising
materials for future biomedical applications. To fulfil this potential,
biodistribution and elimination patterns of ZnO NPs in the living organism need
to be resolved. In order to investigate gastrointestinal absorption of ZnO NPs
and their intra-organism distribution, water suspension of ZnO or fluorescent
ZnO:Eu (Europium-doped zinc oxide) NPs (10mg/ml; 0.3ml/mouse) was alimentary
administered (IG: intra-gastric) to adult mice. Internal organs collected at key
time-points after IG were evaluated by AAS for Zn concentration and analysed by
cytometric techniques. We found that Zn-based NPs were readily absorbed and
distributed (3 h post IG) in the nanoparticle form throughout the organism.
Results suggest, that liver and kidneys were key organs responsible for NPs
elimination, while accumulation was observed in the spleen and adipose tissues.
We also showed that ZnO/ZnO:Eu NPs were able to cross majority of biological
barriers in the organism (including blood-brain-barrier).
PMID- 27884642
TI - Psychiatric comorbidities in epilepsy: Should they be considered in the
classification of epileptic disorders?
AB - The prevalence of psychiatric comorbidities is relatively high in people with
epilepsy (PWE), as one in three patients will have experienced a psychiatric
disorder in the course of their life. The new definition of epilepsy recognizes
these comorbidities as part of the seizure disorder, which need to be recognized
and treated together with the actual epileptic seizures. Psychiatric
comorbidities have a complex relation with epilepsy, being associated with a
negative course of the seizure disorder, worse tolerance of pharmacotherapy with
AEDs, development of iatrogenic psychiatric complications from pharmacologic and
surgical treatments, and increased mortality risks. Given their negative impact
at several levels of the lives of PWE, should psychiatric comorbidities be
included in a classification of the epilepsies? This question is addressed in
this article. This article is part of a Special Issue entitled "The new approach
to classification: Rethinking cognition and behavior in epilepsy".
PMID- 27884643
TI - Transcatheter Aortic Valve Implantation in Patients With Arterial Peripheral
Vascular Disease.
PMID- 27884641
TI - Nanoparticle delivery of chemotherapy combination regimen improves the
therapeutic efficacy in mouse models of lung cancer.
AB - The combination chemotherapy regimen of cisplatin (CP) and docetaxel (DTX) is
effective against a variety of cancers. However, combination therapies present
unique challenges that can complicate clinical application, such as increases in
toxicity and imprecise exposure of tumors to specific drug ratios that can
produce treatment resistance. Drug co-encapsulation within a single nanoparticle
(NP) formulation can overcome these challenges and further improve combinations'
therapeutic index. In this report, we employ a CP prodrug (CPP) strategy to
formulate poly(lactic-co-glycolic acid)-poly(ethylene glycol) (PLGA-PEG) NPs
carrying both CPP and DTX. The dually loaded NPs display differences in drug
release kinetics and in vitro cytotoxicity based on the structure of the chosen
CPP. Furthermore, NPs containing both drugs showed a significant improvement in
treatment efficacy versus the free drug combination in vivo.
PMID- 27884644
TI - Evaluating augmentation with calcium phosphate cement (chronOS Inject) for bone
defects after internal fixation of proximal tibial fractures: A prospective,
multicenter, observational study.
AB - INTRODUCTION: Managing subchondral bone defects in proximal tibia fractures after
plateau reduction is an important consideration. ChronOS Inject is a recently
developed calcium phosphate bone substitute that shows relatively fast
osteointegration. HYPOTHESIS: Using chronOS Inject during internal fixation of
proximal tibial fractures provides a satisfactory treatment option that is both
clinically and radiologically safe. PATIENTS AND METHODS: Patients enrolled in
this study were treated with chronOS Inject bone void filler, during internal
fixation of proximal tibial fractures. Patients were evaluated preoperatively and
at 6 weeks, 6 and 12 months postoperative. Radiographic union was assessed using
plain films supplemented by CT scans. Pain, function and adverse events were
collected at all visits. A total of 36 patients were enrolled in the study and
treated according to a predetermined protocol. Seven of the 36 patients (19.4%)
were lost to follow-up. RESULTS: Successful radiographic union was achieved in
27/29 (93.1%) of patients at final follow-up. Articular subsidence of>2mm only
occurred in one patient. Statistical analysis showed significant improvements
both in leg pain and knee function. Progress in knee function was observed in
93.1% (27/29) of patients from 6 weeks to 12 months. No product-related
complications were reported. CONCLUSIONS: Successful union was achieved based on
radiographic criteria as well as clinical outcomes. When managing bone defects
after internal fixation of proximal tibial fractures, the use of chronOS Inject
resulted in significant improvement of knee function and reduction of leg pain.
LEVEL OF EVIDENCE: Level IV, prospective observational study.
PMID- 27884647
TI - Salt, Tomato Soup, and the Hypocrisy of the American Heart Association.
PMID- 27884646
TI - MicroRNA-92a-3p regulates the expression of cartilage-specific genes by directly
targeting histone deacetylase 2 in chondrogenesis and degradation.
AB - OBJECTIVE: Increased activity of histone deacetylase 2 (HDAC2) has been found in
patients with osteoarthritis (OA) and cartilage matrix degradation and has been
shown to mediate the repression of cartilage-specific gene expression in human
chondrocytes. We aimed to determine whether microRNA-92a-3p (miR-92a-3p)
regulates cartilage-specific gene expression via targeted HDAC2 in chondrogenesis
and degradation. METHODS: miR-92a-3p expression was assessed in vitro in a human
mesenchymal stem cells (hMSCs) model of chondrogenesis and in normal and OA
primary human chondrocytes (PHCs), and in normal and OA human cartilage by in
situ hybridization. hMSCs and PHCs were transfected with miR-92a-3p or its
antisense inhibitor (anti-miR-92a-3p), respectively. PHCs were transfected with
miR-92a-3p or anti-miR-92a-3p for 24 h before chromatin immunoprecipitation
(ChIP) assay was performed with anti-ac-H3 antibody. Direct interaction between
miR-92a-3p and its putative binding site in the 3'-untranslated region (3'-UTR)
of HDAC2 mRNA was confirmed by luciferase reporter assay. RESULTS: miR-92a-3p
expression was elevated in chondrogenic and hypertrophic hMSC, while reduced in
OA cartilage compared with normal cartilage. The overexpression of miR-92a-3p
suppressed the activity of a reporter construct containing the 3'-UTR and
inhibited HDAC2 expression in both hMSCs and PHCs, while treatment with anti-miR
92a-3p enhanced HDAC2 expression. ChIP assays showed that miR-92a-3p enhances H3
acetylation on aggrecan (ACAN), cartilage oligomeric protein (COMP) and Col2a1
promoter, and also promotes relative cartilage matrix expression. CONCLUSION: Our
results suggest that miR-92a-3p regulates cartilage development and homeostasis,
which directly targets HDAC2, indicating histone hyperacetylation plays an
important role in increased expression of cartilage matrix.
PMID- 27884645
TI - Dysregulated circadian rhythm pathway in human osteoarthritis: NR1D1 and BMAL1
suppression alters TGF-beta signaling in chondrocytes.
AB - OBJECTIVES: Circadian rhythm (CR) was identified by RNA sequencing as the most
dysregulated pathway in human osteoarthritis (OA) in articular cartilage. This
study examined circadian rhythmicity in cultured chondrocytes and the role of the
CR genes NR1D1 and BMAL1 in regulating chondrocyte functions. METHODS: RNA was
extracted from normal and OA-affected human knee cartilage (n = 14 each).
Expression levels of NR1D1 and BMAL1 mRNA and protein were assessed by
quantitative PCR and immunohistochemistry. Human chondrocytes were synchronized
and harvested at regular intervals to examine circadian rhythmicity in RNA and
protein expression. Chondrocytes were treated with small interfering RNA (siRNA)
for NR1D1 or BMAL1, followed by RNA sequencing and analysis of the effects on the
transforming growth factor beta (TGF-beta) pathway. RESULTS: NR1D1 and BMAL1 mRNA
and protein levels were significantly reduced in OA compared to normal cartilage.
In cultured human chondrocytes, a clear circadian rhythmicity was observed for
NR1D1 and BMAL1. Increased BMAL1 expression was observed after knocking down
NR1D1, and decreased NR1D1 levels were observed after knocking down BMAL1.
Sequencing of RNA from chondrocytes treated with NR1D1 or BMAL1 siRNA identified
330 and 68 significantly different genes, respectively, and this predominantly
affected the TGF-beta signaling pathway. CONCLUSIONS: The CR pathway is
dysregulated in OA cartilage. Interference with circadian rhythmicity in cultured
chondrocytes affects TGF-beta signaling, which is a central pathway in cartilage
homeostasis.
PMID- 27884649
TI - Trends and Disparities in Osteoporosis Screening Among Women in the United
States, 2008-2014.
AB - BACKGROUND: The United States Preventive Services Task Force recommends universal
osteoporosis screening among women ages 65+ and targeted screening of younger
women, but historically, adherence to these evidence-based recommendations has
been suboptimal. METHODS: To describe contemporary patterns of osteoporosis
screening, we conducted a retrospective analysis using the OptumLabsTM Data
Warehouse, a database of de-identified administrative claims, which includes
medical and eligibility information for over 100 million Medicare Advantage and
commercial enrollees. Study participants included 1,638,454 women ages 50+ with
no prior history of osteoporosis diagnosis, osteoporosis drug use, or hip
fracture. Osteoporosis screening during the most recent 2-year period of
continuous enrollment was assessed via medical claims. Patient sociodemographics,
comorbidities, and utilization of other services were also determined using
health insurance files. RESULTS: Overall screening rates were low: 21.1%, 26.5%,
and 12.8% among women ages 50-64, 65-79, and 80+ years, respectively. Secular
trends differed significantly by age (P <.001). Between 2008 and 2014,
utilization among women ages 50-64 years declined 31.4%, changed little among
women 65-79, and increased 37.7% among women 80+ years. Even after accounting for
socioeconomic status, health status, and health care utilization patterns, non
Hispanic black women were least likely to be screened, whereas non-Hispanic Asian
and Hispanic women were most likely to undergo screening. Marked socioeconomic
gradients in screening probabilities narrowed substantially over time, decreasing
by 44.5%, 71.9%, and 59.7% among women ages 50-64, 65-79 and 80+ years,
respectively. CONCLUSIONS: Despite significant changes in utilization of
osteoporosis screening among women ages 50-64 and 80+, in line with national
recommendations, tremendous deficiencies among women 65+ remain.
PMID- 27884648
TI - Dipeptidyl Peptidase-4 Inhibitors, Peripheral Arterial Disease, and Lower
Extremity Amputation Risk in Diabetic Patients.
AB - BACKGROUND: Recent studies have elucidated the vascular protective effects of
dipeptidyl peptidase-4 (DPP-4) inhibitors. However, to date, no large-scale
studies have been carried out to determine the impact of DPP-4 inhibitors on the
occurrence of peripheral arterial disease, and lower extremity amputation risk in
patients with type 2 diabetes mellitus. METHODS: We conducted a retrospective
registry analysis using Taiwan's National Health Insurance Research Database to
investigate the correlation between the use of DPP-4 inhibitors and risk of
peripheral arterial disease in patients with type 2 diabetes mellitus. A total of
82,169 propensity score-matched pairs of DPP-4 inhibitor users and nonusers with
type 2 diabetes mellitus were examined for the period 2009 to 2011. RESULTS: The
mean age of the study subjects was 58.9 +/- 12.0 years, and 54% of subjects were
male. During the mean follow-up of 3.0 years (maximum, 4.8 years), a total of
3369 DPP-4 inhibitor users and 3880 DPP-4 inhibitor nonusers were diagnosed with
peripheral arterial disease. Compared with nonusers, DPP-4 inhibitor users were
associated with a lower risk of peripheral arterial disease (hazard ratio 0.84;
95% confidence interval, 0.80-0.88). Additionally, DPP-4 inhibitor users had a
decreased risk of lower-extremity amputation than nonusers (hazard ratio 0.65;
95% confidence interval, 0.54-0.79). The association between use of DPP-4
inhibitors and risk of peripheral arterial disease was also consistent in
subgroup analysis. CONCLUSIONS: This large-scale nationwide population-based
cohort study is the first to demonstrate that treatment with DPP-4 inhibitors is
associated with lower risk of peripheral arterial disease occurrence and limb
amputation in patients with type 2 diabetes mellitus.
PMID- 27884651
TI - Analysis of mutations in DNA gyrase and topoisomerase IV of Ureaplasma
urealyticum and Ureaplasma parvum serovars resistant to fluoroquinolones.
AB - This study aims to determine the prevalence of fluoroquinolone resistance of
Ureaplasma biovars and serovars isolated from urogenital clinical samples and
determine the underlying molecular mechanism for quinolone resistance for all
resistant isolates. Of 105 samples confirmed as positive for U. urealyticum/U.
parvum, 85 were resistant to quinolones by the Mycoplasma-IST2 kit. However, only
43 out of 85 quinolone resistant isolates had amino acid substitutions in GyrA,
GyrB, ParC and ParE proteins underlining that this assay have mis-identified as
fluoroquinolone resistant 42 isolates. The known ParC E87K and ParC S83L
mutations were found in 1 and 10 isolates, respectively. An original mutation of
ureaplasmal ParC (E87Q, 1 isolate) was found. Furthermore, we found a ParE R448K
mutation in one isolate, already described. Among the additional alterations
detected, the most prevalent mutation found was L176F in GyrA protein in 18
isolates with single infection and in 3 isolates with mixed ureaplasma
infections. Mutations in GyrB (E502Q, 4 isolates), ParE (Q412K, Q412P, Q412T, 3
independent isolates), whose role is unknown, were also found. Other sporadic
mutations in the four genes were identified. This investigation is the result of
monitoring the data for molecular fluoroquinone resistance in Ureaplasma spp. in
Italy. Resulting that this acquired resistance is high and that continued local
epidemiological studies are essential to monitor and document their antimicrobial
resistance trends.
PMID- 27884652
TI - Clinical and molecular epidemiologic trends reveal the important role of
rotavirus in adult infectious gastroenteritis, in Shanghai, China.
AB - As a leading cause of severe diarrhea in children, the pathogenic role of
rotavirus in adults has been underestimated for a long time. A hospital-based
prospective clinical and molecular epidemiologic study of rotavirus infections in
adults was performed between April 2014 and March 2015 in Shanghai, China.
Overall, rotavirus was detected in 48 of 441 (10.9%) specimens with prevalence
peaking in December (33.3%) and January (27.9%), whereas bacteria were identified
in 45 of 846 (5.3%) samples (p<0.01). The rotavirus winter-spring seasonality
(November - March) contrasts with the marked summer-fall seasonality (April -
October) of bacterial pathogens (p<0.01). Compared with bacterial pathogens,
rotavirus infection from child-to-adult transmission (29.8%, p<0.01) was the most
important epidemiologic setting generating a major impact on public health, i.e.
increased adult burden of infectious gastroenteritis and genetic diversity of
circulating rotaviruses; adults infected with rotavirus developed more severe
gastroenteritis symptoms (p<0.01) accompanied with mild intestinal and blood
inflammations. Thirty-three G9 (lineages VIe and IIId), seven G2 (lineages IVa-1,
IVa-3, and V) and two G1 (lineage Va) strains, together with thirty-eight P[8]
III and eight P[4]-V strains, were identified in this study with multiple amino
acid differences observed between sample strains and homotypic vaccines. G9P[8]
was the predominant genotype (66.7%), followed by G2P[4] (14.6%) and G1P[8]
(4.2%). Eight conserved amino acid substitutions in prototype strain K-1,
especially A212T in antigenic region C, formed a novel G9-lineage VIe variant
that has emerged worldwide since 2010. Our results indicated that emerging
rotavirus G9-VIeP[8]-III predominated over all the genotypes with a short time
window in adults in Shanghai, China, and caused a local epidemic during the 2014
2015 rotavirus season. These findings reinforce the importance for inclusion of
rotavirus in routine clinical diagnosis, and further surveillance of rotavirus
variants is recommended to improve current vaccination program.
PMID- 27884653
TI - Migration, recombination, and reassortment are involved in the evolution of
severe fever with thrombocytopenia syndrome bunyavirus.
AB - Severe fever with thrombocytopenia syndrome bunyavirus (SFTSV) has been
identified as the etiological agent causing severe fever with thrombocytopenia
syndrome (SFTS). SFTSV was reported in recent years as a newly emerging tick
borne virus in China, Japan and South Korea and is a novel member of the genus
Phlebovirus, family Bunyaviridae, which is suspected to be transmitted by the
tick Haemaphysalis longicornis. The genetic diversity and evolutionary
relationships between geographically distributed SFTSV strains are currently
unclear. In this study we used extensive bioinformatics analyses to provide deep
insight into the mechanisms of evolution and relationships among SFTSV strains.
The genetic diversity of SFTSV was characterized and found to be generated
through recombination and reassortment events. Further, potential correlations
between the geographic distribution and migration pathways of SFTSV were subject
to in-depth analysis. The potential of birds migration related to SFTSV migration
were also discussed. The results of this study will facilitate better
understanding of the mechanisms of evolution of SFTSV, which will be important in
developing public-health interventions and strategies for SFTS disease control
and prevention in endemic areas.
PMID- 27884650
TI - The Clinical Course of Venous Thromboembolism May Differ According to Cancer
Site.
AB - BACKGROUND: We hypothesized that the clinical course of venous thromboembolism in
patients with active cancer may differ according to the specificities of primary
tumor site. AIM AND METHODS: We used data from RIETE (international registry of
patients with venous thromboembolism) to compare the clinical venous
thromboembolism-related outcomes during the course of anticoagulation in patients
with one of the 4 more frequent cancers (breast, prostate, colorectal, or lung
cancer). RESULTS: As of September 2014, 3947 cancer patients were recruited, of
whom 938 had breast, 629 prostate, 1189 colorectal, and 1191 lung cancer.
Overall, 55% had metastatic disease (42%, 36%, 53%, and 72%, respectively).
During the course of anticoagulant therapy (mean duration, 139 days), the rate of
thromboembolic recurrences was similar to the rate of major bleeding in patients
with breast (5.6 [95% confidence interval (CI), 3.8-8.1] vs 4.1 [95% CI, 2.7-5.9]
events per 100 patient-years) or colorectal cancer (10 [95% CI, 7.6-13] vs 12
[95% CI, 9.4-15] per 100 patient-years). In contrast, in patients with prostate
cancer, the rate of venous thromboembolic recurrences was half the rate of major
bleeding (6.9 [95% CI, 4.4-10] vs 13 [95% CI, 9.2-17] events per 100 patient
years), whereas in those with lung cancer, the rate of thromboembolic recurrences
was twofold higher than the rate of major bleeding (27 [95% CI, 22-23] vs 11 [95%
CI, 8.6-15] per 100 patient-years). CONCLUSIONS: Significant differences in the
clinical profile of venous thromboembolic-related outcomes were observed
according to the site of cancer. These findings suggest the development of cancer
specific anticoagulant strategies as an area for further research.
PMID- 27884654
TI - A narrative review of interventions addressing the parental-fetal relationship.
AB - BACKGROUND: Expectant parents develop varying degrees of emotional affiliation
with the unborn child. Interventions supporting this relationship may be
beneficial given its link to maternal health behaviour during pregnancy, as well
as the parental-infant bond after birth. AIM: To identify and describe the
effects of programmes and strategies that have addressed the parental-fetal
relationship. METHOD: English-language primary studies, published between 2005
2015, were identified and their methodological quality was assessed. Databases
used included CINAHL, Cochrane Library, MEDLINE, PsycINFO and Web of Science. Key
search terms included maternal/paternal-fetal attachment, prenatal bond, parental
fetal relationship and intervention. RCTs, non-RCTs, observational and non
comparative studies, before and after studies and case studies were included.
FINDINGS: Twenty-seven papers were included. Studies evaluated the effects of
various strategies, including ultrasound and screening procedures, fetal
awareness interventions, social and psychological support techniques, educational
programmes and relaxation strategies. Results are inconsistent due to the
diversity of interventions and significant variation in methodological quality.
CONCLUSION: There is insufficient evidence to support definitive conclusions
regarding the efficacy of any included intervention. A number of limitations,
such as non-probability sampling, lack of blinding, and insufficient follow-up
weaken the evidence. The inclusion of fathers in only three studies reflects the
overall neglect of men in research regarding the prenatal relationship. Further
in-depth study of the nature of the maternal/paternal-fetal relationship may be
needed in order to allow for the identification of interventions that are
consistently beneficial and worthwhile.
PMID- 27884656
TI - Evaluating Complement-Mediated Humoral Immunity to P. falciparum Blood Stages.
PMID- 27884658
TI - Could an automated machine replace the pharmacist?
PMID- 27884657
TI - The origin and diversification of the developmental mechanisms that pattern the
vertebrate head skeleton.
AB - The apparent evolvability of the vertebrate head skeleton has allowed a diverse
array of shapes, sizes, and compositions of the head in order to better adapt
species to their environments. This encompasses feeding, breathing, sensing, and
communicating: the head skeleton somehow participated in the evolution of all
these critical processes for the last 500 million years. Through evolution,
present head diversity was made possible via developmental modifications to the
first head skeletal genetic program. Understanding the development of the
vertebrate common ancestor's head skeleton is thus an important step in
identifying how different lineages have respectively achieved their many
innovations in the head. To this end, cyclostomes (jawless vertebrates) are
extremely useful, having diverged from jawed vertebrates approximately 400
million years ago, at the deepest node within living vertebrates. From this
ancestral vantage point (that is, the node connecting cyclostomes and
gnathostomes) we can best identify the earliest major differences in development
between vertebrate classes, and start to address how these might translate onto
morphology. In this review we survey what is currently known about the cell
biology and gene expression during head development in modern vertebrates,
allowing us to better characterize the developmental genetics driving head
skeleton formation in the most recent common ancestor of all living vertebrates.
By pairing this vertebrate composite with information from fossil chordates, we
can also deduce how gene regulatory modules might have been arranged in the
ancestral vertebrate head. Together, we can immediately begin to understand which
aspects of head skeletal development are the most conserved, and which are
divergent, informing us as to when the first differences appear during
development, and thus which pathways or cell types might be involved in
generating lineage specific shape and structure.
PMID- 27884659
TI - Relationship between plasma glycation with membrane modification, oxidative
stress and expression of glucose trasporter-1 in type 2 diabetes patients with
vascular complications.
AB - BACKGROUND OF STUDY: Enhanced protein glycation in diabetes causes irreversible
cellular damage through membrane modifications. Erythrocytes are persistently
exposed to plasma glycated proteins; however, little are known about its
consequences on membrane. Aim of this study was to examine the relationship
between plasma protein glycation with erythrocyte membrane modifications in type
2 diabetes patients with and without vascular complications. METHOD: We recruited
60 healthy controls, 85 type 2 diabetic mellitus (DM) and 75 type 2 diabetic
patients with complications (DMC). Levels of plasma glycation adduct with
antioxidants (fructosamine, protein carbonyl, beta-amyloids, thiol groups, total
antioxidant status), erythrocyte membrane modifications (protein carbonyls, beta
amyloids, free amino groups, erythrocyte fragility), antioxidant profile (GSH,
catalase, lipid peroxidation) and Glut-1 expression were quantified. RESULT:
Compared with controls, DM and DMC patients had significantly higher level of
glycation adducts, erythrocyte fragility, lipid peroxidation and Glut-1
expression whereas declined levels of plasma and cellular antioxidants.
Correlation studies revealed positive association of membrane modifications with
erythrocyte sedimentation rate, fragility, peroxidation whereas negative
association with free amino groups, glutathione and catalase. CONCLUSION: Our
data suggest that plasma glycation is associated with oxidative stress, Glut-1
expression and erythrocyte fragility in DM patients. This may further contribute
to progression of vascular complications.
PMID- 27884655
TI - Zika Virus Infection in Dexamethasone-immunosuppressed Mice Demonstrating
Disseminated Infection with Multi-organ Involvement Including Orchitis
Effectively Treated by Recombinant Type I Interferons.
AB - BACKGROUND: Disseminated or fatal Zika virus (ZIKV) infections were reported in
immunosuppressed patients. Existing interferon-signaling/receptor-deficient mouse
models may not be suitable for evaluating treatment effects of recombinant
interferons. METHODS: We developed a novel mouse model for ZIKV infection by
immunosuppressing BALB/c mice with dexamethasone. RESULTS: Dexamethasone
immunosuppressed male mice (6-8weeks) developed disseminated infection as
evidenced by the detection of ZIKV-NS1 protein expression and high viral loads in
multiple organs. They had >=10% weight loss and high clinical scores soon after
dexamethasone withdrawal (10dpi), which warranted euthanasia at 12dpi. Viral
loads in blood and most tissues at 5dpi were significantly higher than those at
12dpi (P<0.05). Histological examination revealed prominent inflammatory
infiltrates in multiple organs, and CD45+ and CD8+ inflammatory cells were seen
in the testis. These findings suggested that clinical deterioration occurred
during viral clearance by host immune response. Type I interferon treatments
improved clinical outcome of mice (100% vs 0% survival). CONCLUSIONS: Besides
virus dissemination, inflammation of various tissues, especially orchitis, may be
potential complications of ZIKV infection with significant implications on
disease transmission and male fertility. Interferon treatment should be
considered in patients at high risks for ZIKV-associated complications when the
potential benefits outweigh the side effects of treatment.
PMID- 27884661
TI - Association of kidney disease measures with risk of renal function worsening in
patients with hypertension and type 2 diabetes.
AB - AIMS: To assess the role of kidney disease measures on the development of chronic
kidney disease (CKD) in patients with type 2 diabetes (T2D) and hypertension
(HT). METHODS: Clinical records from a total of 17,160 patients with T2D and HT,
a baseline estimated glomerular filtration rate (eGFR) values >=60mL/min/1.73m2,
evaluation for albuminuria and regular visits during a four-year follow-up were
retrieved and analyzed. The incidence of eGFR <60mL/min/1.73m2 and/or a reduction
>30% from baseline was evaluated. RESULTS: At baseline 23% of patients (n=3873)
had albuminuria. Over the 4-year follow-up 20% (n=3480) developed a renal
endpoint 28% (n=1074) of those with albuminuria and 17% (n=2406) of those without
albuminuria. The presence of baseline albuminuria entailed a 1.8 independent,
greater risk of reaching stage 3 CKD. Patients with normal albuminuria showed a
1.54 (p<0.001) greater risk for each 5mL reduction (below 90mL/min) in baseline
GFR. CONCLUSIONS: In T2D patients with HT, eGFR reduction and albuminuria are
independently associated with a greater risk of developing stage 3 CKD. While
baseline albuminuria entails a greater renal risk, due to a larger occurrence of
the non-albuminuric phenotype, renal function worsening is more likely to be
observed in patients without albuminuria.
PMID- 27884662
TI - Integrity of central nervous function in diabetes mellitus assessed by resting
state EEG frequency analysis and source localization.
AB - Diabetes mellitus (DM) is associated with structural and functional changes of
the central nervous system. We used electroencephalography (EEG) to assess
resting state cortical activity and explored associations to relevant clinical
features. Multichannel resting state EEG was recorded in 27 healthy controls and
24 patients with longstanding DM and signs of autonomic dysfunction. The power
distribution based on wavelet analysis was summarized into frequency bands with
corresponding topographic mapping. Source localization analysis was applied to
explore the electrical cortical sources underlying the EEG. Compared to controls,
DM patients had an overall decreased EEG power in the delta (1-4Hz) and gamma (30
45Hz) bands. Topographic analysis revealed that these changes were confined to
the frontal region for the delta band and to central cortical areas for the gamma
band. Source localization analysis identified sources with reduced activity in
the left postcentral gyrus for the gamma band and in right superior parietal
lobule for the alpha1 (8-10Hz) band. DM patients with clinical signs of autonomic
dysfunction and gastrointestinal symptoms had evidence of altered resting state
cortical processing. This may reflect metabolic, vascular or neuronal changes
associated with diabetes.
PMID- 27884660
TI - Exenatide improves diastolic function and attenuates arterial stiffness but does
not alter exercise capacity in individuals with type 2 diabetes.
AB - BACKGROUND: Exercise is recommended as a cornerstone of treatment for type 2
diabetes mellitus (T2DM), however, it is often poorly adopted by patients. Even
in the absence of apparent cardiovascular disease, persons with T2DM have an
impaired ability to carry out maximal and submaximal exercise and these
impairments are correlated with cardiac and endothelial dysfunction. Glucagon
like pepetide-1 (GLP-1) augments endothelial and cardiac function in T2DM. We
hypothesized that administration of a GLP-1 agonist (exenatide) would improve
exercise capacity in T2DM. METHODS AND RESULTS: Twenty-three participants (64+/
4years; mean+/-SE) with uncomplicated T2DM were randomized in a double-blinded
manner to receive either 10MUg BID of exenatide or matching placebo after
baseline measurements. Treatment with exenatide did not improve VO2peak
(P=0.1464) or VO2 kinetics (P=0.2775). Diastolic function, assessed via resting
lateral E:E', was improved with administration of exenatide compared with placebo
(Placebo Pre: 7.6+/-1.0 vs. Post: 8.4+/-1.2 vs. Exenatide Pre: 8.1+/-0.7 vs.
Post: 6.7+/-0.6; P=0.0127). Additionally, arterial stiffness measured by pulse
wave velocity, was reduced with exenatide treatment compared with placebo
(Placebo Pre: 10.5+/-0.8 vs. Post: 11.5+/-1.1s vs. Exenatide Pre: 11.4+/-1.8 vs.
Post: 10.2+/-1.4s; P=0.0373). Exenatide treatment did not improve endothelial
function (P=0.1793). CONCLUSIONS: Administration of exenatide improved cardiac
function and reduced arterial stiffness, however, these changes were not
accompanied by improved functional exercise capacity. In order to realize the
benefits of this drug on exercise capacity, combining exenatide with aerobic
exercise training in participants with T2DM may be warranted.
PMID- 27884664
TI - Bacterial contamination of saline used for epidural procedures in an obstetric
setting: a randomised comparison of two drawing-up techniques.
AB - BACKGROUND: There is little evidence to inform practice regarding the optimum
aseptic technique of drawing up saline for epidural insertion. Our regional
practice is to draw up saline from a non-sterile packaged plastic ampoule,
therefore introducing the risk of bacterial contamination. Usually, the
anaesthetist draws up saline directly from the vial held by an assistant using a
needle (needle technique). Alternatively, the saline vial is emptied onto a
sterile tray by an assistant and then drawn up by the anaesthetist (tray
technique). We hypothesised that the latter will lead to an increase in the
number of contaminated saline samples as they are exposed to the environment.
METHODS: In labour rooms and before epidural catheter insertion, 110 samples of
saline 20mL were randomly drawn up using our hospital's recommended epidural
aseptic precautions, using either the needle or the tray technique. Equal amounts
of saline were inoculated into aerobic and anaerobic blood culture bottles.
RESULTS: Eleven percent of samples in the needle arm and 24% of samples in the
tray arm grew commensal micro-organisms including coagulase-negative
Staphylococcus, Micrococcus luteus and Streptococcus viridans. A two-sided
Fisher's exact test for categorical unpaired data showed no statistical
difference between the two arms of the trial (P=0.13). CONCLUSION: The difference
in the saline contamination rate between the two techniques did not reach
statistical significance. As bacterial contamination occurred with both
techniques, we recommend using sterile saline pre-packaged in the epidural tray
or individually wrapped sterile glass saline ampoules.
PMID- 27884663
TI - Early impairment in left ventricular longitudinal systolic function is associated
with an increased risk of incident atrial fibrillation in patients with type 2
diabetes.
AB - AIMS: It is known that type 2 diabetic patients are at high risk of atrial
fibrillation (AF). However, the early echocardiographic determinants of AF
vulnerability in this patient population remain poorly known. METHODS: We
followed-up for 2years a sample of 180 consecutive outpatients with type 2
diabetes, who were free from AF and ischemic heart disease at baseline. All
patients underwent a baseline echocardiographic-Doppler evaluation with tissue
Doppler and 2-D strain analysis. Standard electrocardiograms were performed twice
per year, and a diagnosis of incident AF was confirmed in affected patients by a
single cardiologist. RESULTS: Over the 2-year follow-up period, 14 (7.8%)
patients developed incident AF. In univariate analyses, echocardiographic
predictors of new-onset AF were greater indexed cardiac mass, larger indexed left
atrial volume (LAVI), lower global longitudinal strain (LSSYS), lower global
diastolic strain rate during early phase of diastole (SRE), lower global
diastolic strain rate during late phase of diastole (SRL), and higher E/SRE
ratio. Multivariate logistic regression analysis showed that lower LSSYS remained
the only significant predictor of new-onset AF (adjusted-odds ratio 1.63, 95%CI
1.17-2.27; p<0.005) after adjustment for age, sex, diabetes duration, indexed
cardiac mass and LAVI. Results were unchanged even after adjustment for body mass
index, hypertension and glycemic control. CONCLUSIONS: This is the first
prospective study to show that early LSSYS impairment independently predicts the
risk of new-onset AF in type 2 diabetic patients with preserved ejection fraction
and without ischemic heart disease. Future larger prospective studies are needed
to confirm these findings.
PMID- 27884665
TI - Airway management for cesarean delivery performed under general anesthesia.
AB - BACKGROUND: With the increasing popularity of neuraxial anesthesia, there has
been a decline in the use of general anesthesia for cesarean delivery. We sought
to examine the incidence, outcome and characteristics associated with a failed
airway in patients undergoing cesarean delivery under general anesthesia.
METHODS: A retrospective review of airway management in women undergoing cesarean
delivery under general anesthesia over an eight-year period from 2006-2013 at an
academic medical center was conducted. RESULTS: During the study period, 10 077
cesarean deliveries were performed. Neuraxial anesthesia was used in 9382 (93%)
women while general anesthesia was used in 695 (7%). Emergent cesarean delivery
was the most common indication for general anesthesia. Failed intubation was
encountered in only three (0.4%) women, who were successfully managed with a
laryngeal mask airway. The overall incidence of failed intubation was 1 in 232
(95% CI 1:83 to 1:666) and general anesthesia was continued in all cases. There
were no adverse maternal or fetal outcomes directly related to failed intubation.
CONCLUSION: Advances in adjunct airway equipment, availability of an experienced
anesthesiologist and simulation-based teaching of failed airway management in
obstetrics may have contributed to our improved maternal outcomes in patients
undergoing cesarean delivery under general anesthesia.
PMID- 27884667
TI - Persistent pain after caesarean section and its association with maternal anxiety
and socioeconomic background.
AB - BACKGROUND: Pain, both from the surgical site, and from other sources such as
musculoskeletal backache, can persist after caesarean section. In this study of a
predominantly socially deprived population we have sought to prospectively
examine the association between antenatal maternal anxiety and socioeconomic
background and the development of persistent pain of all sources after caesarean
section. METHODS: Demographic details and an anxiety questionnaire were completed
by 205 women before elective caesarean section. On the first postoperative day,
pain scores were recorded, and at four months patients were asked to complete a
Brief Pain Inventory and an Edinburgh Postnatal Depression Score. RESULTS: Of 205
parturients recruited, 186 records were complete at the hospital admission phase
and 98 (52.7%) were complete at the four-month follow-up phase. At recruitment,
15.1% reported pain. At four months 41.8% (95% CI 32.1 to 51.6%) reported pain,
of whom pain was a new finding in 35.7% (95% CI 26.2 to 45.2%). Antenatal anxiety
was not a significant predictor of severity of new pain at four months (P=0.44
for state anxiety, P=0.52 for trait anxiety). However, four-month pain severity
did correlate with social deprivation (P=0.011), postnatal depression (P<0.001)
and pain at 24h (P=0.018). CONCLUSION: Persistent pain from a variety of sources
after caesarean section is common. Our findings do not support the use of
antenatal anxiety scoring to predict persistent pain in this setting, but suggest
that persistent pain is influenced by acute pain, postnatal depression and
socioeconomic deprivation.
PMID- 27884668
TI - Noise in the obstetric operating room.
PMID- 27884666
TI - Anesthetic considerations in a parturient with congenital insensitivity to pain
with anhidrosis.
AB - Congenital insensitivity to pain is a rare autosomal recessive disease
characterized by varying degrees of autonomic dysfunction and sensory loss,
including nociceptive hyposensitivity. It is this autonomic dysfunction that
makes both general and neuraxial anesthesia challenging. Testing the block during
neuraxial anesthesia may be difficult and the patient may be prone to hypotension
and bradycardia. This case report describes the anesthetic management of a
parturient with congenital insensitivity to pain presenting for cesarean
delivery.
PMID- 27884669
TI - Grafting of aniline derivatives onto chitosan and their applications for removal
of reactive dyes from industrial effluents.
AB - A series of chitosan-grafted polyaniline derivatives {chitosan-g-polyaniline (CS
g-PANI), chitosan-g-poly(N-methylaniline) (CS-g-PNMANI), and chitosan-g-poly(N
ethylaniline) (CS-g-PNEANI)} were synthesized by in situ chemical oxidation
polymerization method. The synthesized copolymers were analyzed by means of
Fourier transform infrared (FTIR), and ultraviolet-visible (UV-vis)
spectroscopies, thermogravimetric analysis (TGA), and field emission scanning
electron microscopy (FE-SEM). These copolymers were applied as adsorbent for
removal of acid red 4 (AR4) and direct red 23 (DR23) from aqueous solutions. The
adsorption processes were optimized in terms of pH, adsorbent amount, and dyes
concentrations. The maximum adsorption capacities (Qm) for the synthesized
copolymers were calculated, and among them the CS-g-PNEANI sample showed highest
Qm for both AR4 (98mgg-1) and DR23 (112mgg-1) dyes. The adsorption kinetics of
AR4 and DR23 dyes follow the pseudo-second order kinetic model. The regeneration
and reusability tests revealed that the synthesized adsorbents had the relatively
good reusability after five repetitions of the adsorption-desorption cycles. As
the results, it is expected that the CS-g-PANIs find application for removal of
reactive dyes (especially anionic dyes) from industrial effluents mainly due to
their low production costs and high adsorption effectiveness.
PMID- 27884670
TI - Preparation of ecofriendly UV-protective food packaging material by starch/TiO2
bio-nanocomposite: Characterization.
AB - In this study, ecofriendly starch/TiO2 bio-nanocomposites were produced using
with different nano-TiO2 (TiO2) content (1, 3, and 5 (wt%)). Physical,
mechanical, thermal, water-vapor permeability (WVP) properties and UV
transmittance were investigated. Our results showed that the increasing TiO2
content increased the hydrophobicity of starch/TiO2 films. WVP of the bio
nanocomposites was reduced, simultaneously. With increasing TiO2 content, tensile
strength and Young's modulus of the film specimens were reduced while elongation
at break and tensile energy to break were increased. The thermal properties of
specimens showed that glass transition temperature of the films increased but
melting point of the specimen films was decreased by increasing TiO2 content.
Scanning electron microscopy observations demonstrated, the most of films'
physical properties were in relation to their microstructures. The starch/TiO2
nanocomposites effectively protect goods against UV light, and could potentially
be applied as UV-shielding packaging materials.
PMID- 27884671
TI - Anti-tumor and immunomodulatory activities induced by an alkali-extracted
polysaccharide BCAP-1 from Bupleurum chinense via NF-kappaB signaling pathway.
AB - Bupleurum chinense is a well-known traditional Chinese medicine. Polysaccharides
extracted from medical plants possess multiple healthy benefits. In the present
study, an alkali-extracted polysaccharide (BCAP-1) was isolated from Bupleurum
chinense, and evaluated its physicochemical features, anti-tumor activities and
immunomodulatory effects. BCAP-1 was obtained by alkali-extraction, ethanol
precipitation, and fractionation by DEAE-cellulose and Sepharose CL-6B columns.
BCAP-1 markedly inhibited Sarcoma 180tumor growth in tumor-bearing mice, and
increased the secretion of TNF-alpha in serum. MTT assay showed that BCAP-1 had
no cytotoxicity against S-180 tumor cells. BCAP-1 enhanced the secretion of TNF
alpha and NO, and the transcripts of TNF-alpha and iNOS were increased.
Meanwhile, BCAP-1 treatment induced the phosphorylation of p65 and decreased the
expression of IkappaB in macrophages. These results suggest that BCAP-1 could
activate macrophages through NF-kappaB signaling pathway, and the anti-tumor
effects of BCAP-1 can be achieved by its immunostimulating features.
PMID- 27884672
TI - Spectroscopic study on the binding of chelerythrine with duplex poly (rA): A
model of RNA intercalation.
AB - Here we have reported a detail study on the interaction of the
benzophenanthridine alkaloid chelerythrine (CHL) with double stranded
polyriboadenylic acid [ds poly (rA)] by exploiting various spectroscopic
techniques. The alkaloid shows high binding affinity (binding constant is
1.10*105M-1) towards the double stranded RNA as revealed from Scatchard plot. The
binding was confirmed by hypochromic effect in the UV-vis spectrum of CHL,
increase in fluorescence intensity of CHL and perturbations of the circular
dichroism (CD) spectrum of ds poly (rA). Later fluorescence quenching,
cooperative CD melting transition, viscometric and molecular modeling studies
establish the fact that the alkaloid binds to the ds poly (rA) by the mechanism
of intercalation. Thermodynamic parameters obtained from the isothermal titration
calorimetric (ITC) study show that the binding is favoured by negative enthalpy
and small positive entropy changes. This report may be a model for intercalation
of small molecule like CHL to the double stranded RNA.
PMID- 27884673
TI - Fabrication and characterization of chitosan-crosslinked-poly(alginic acid)
nanohydrogel for adsorptive removal of Cr(VI) metal ion from aqueous medium.
AB - In this study, chitosan-crosslinked-poly (alginic acid) nanohydrogel (CN-cl
PL(AA)NHG) was synthesized by co-polymerization method. It was used an effective
adsorbent for the exclusion of Cr(VI) metal ion from aqueous medium. The
synthesized nanohydrogel was characterized by FTIR, SEM and TEM. The TEM images
clearly indicated the appearance of smooth surface with average size of particles
ranging from 30 to 80nm. The effect of different adsorption parameters like
agitation time, temperature, initial metal ion concentration and adsorbent dosage
was studied and optimized. The results demonstrated that the prepared chitosan
crosslinked-poly (alginic acid) nanohydrogel had high adsorption tendency for the
removal of Cr(VI) from the aqueous solution. The pseudo-second-order equation
represented the better adsorption kinetics for the adsorption process. The
thermodynamic studies showed the adsorption of Cr(VI) onto CN-cl-PL(AA)NHG was
spontaneous and chemical in nature.
PMID- 27884674
TI - Modification of foxtail millet starch by combining physical, chemical and
enzymatic methods.
AB - Modification of foxtail millet starch was carried out by heat moisture treatment
(HT), acid hydrolysis (AH), enzymatic treatment (EH), Ultrasound treatment (UT)
and their combinations. A total of 15 modified starches were prepared by
combining the various methods and properties were compared with native starch.
The solubilities of the starches modified by HT were found to decrease whereas
for other single modifications it increased. It also increased with number of
modifications applied. The swelling power decreased for all the modified starches
and a decrease in swelling power was observed with increase in number of
modifications. Freeze-thaw stability improved for starches modified by single
physical modifications i.e. HT and UT. Decrease in viscosities was observed for
the modified starches and was particularly affected by AH. The pasting
temperature was found to increase for those modified starches where HT was
carried out. The modified starches gave softer gels.
PMID- 27884675
TI - Molecular cloning and functional analysis of squalene synthase (SS) in Panax
notoginseng.
AB - Panax notoginseng (Burk.) F. H. Chen, which is a used traditional Chinese
medicine known as Sanqi or Tianqi in China, is widely studied for its ability to
accumulate the triterpene saponins. Squalene synthase (SS: EC 2.5.1.21) catalyzes
the first enzymatic step from the central isoprenoid pathway toward sterol and
triterpenoid biosynthesis. In this study, SS from P. notoginseng was cloned and
investigated followed by its recombinant expression and preliminary enzyme
activity. The nucleotide sequence of the ORF contains 1 248 nucleotides and
encodes 415 amino acid residues with molecular weight of 47.16kDa and pI of 6.50.
Bioinformatics analysis revealed that the deduced PnSS protein had a high
similarity with other plant squalene synthases. To obtain soluble recombinant
enzymes, 29 hydrophobic amino acids were deleted from the carboxy terminus and
expressed as GST-Tag fusion protein in Escherichia coli BL21 (DE3). Approximately
66.46kDa recombinant protein was checked on SDS-PAGE and Western Blot analysis.
Preliminary activity of the resultant bacterial crude extract was analyzed by gas
chromatograph-mass spectrometer (GC-MS). The identification and function of PnSS
is important for further studies of the triterpene saponins biosynthesis in P.
notoginseng.
PMID- 27884676
TI - Protein interactions with silver nanoparticles: Green synthesis, and biophysical
approach.
AB - Silver nanoparticles (AgNPs) with an average particle size of 20nm were
synthesized by using aromatic amino acid fluorescence active, tryptophan as a
reducing agent. This study aims to investigate the interaction between Bovine
Serum Albumin (BSA) and AgNPs as a function of particle size and shape. UV-vis
analysis implies the formation of the ground state complex between BSA and AgNPs
through electrostatic interactions. The fluorescence spectra indicated that the
AgNPs have a potent ability to quench the intrinsic fluorescence of BSA by static
quenching mechanisms. The different parameters (the apparent association constant
(Kapp=2.6*104mol-1dm3), Stern-Volmer quenching constant (KSV=3.5*104mol-1dm3),
number of binding sites (n=1.3) and bimolecular rate constant of the quenching
reaction (kq=6.1*1012mol-1dm3s-1)) were calculated by using the UV-vis and
fluorescence spectra and discussed. The indole moieties of tryptophan residues of
BSA were responsible to the complex formation with AgNPs in ground and excited
states via electrostatic, van der Waals, hydrogen bonding, hydrophobic and
hydrophilic interactions. Adsorption of AgNPs into the core of BSA changes the
tryptophan environment from hydrophobic to hydrophilic (from folding to partially
folded and/or unfolded). Circular dichroism results suggested that the helicity
of BSA decreased from 67.68% to 60.25% and 67.68% to 45.42% with [AgNPs] and
temperature, respectively.
PMID- 27884677
TI - Mastery-Based Virtual Reality Robotic Simulation Curriculum: The First Step
Toward Operative Robotic Proficiency.
AB - OBJECTIVE: Hepatobiliary surgery is a highly complex, low-volume specialty with
long learning curves necessary to achieve optimal outcomes. This creates
significant challenges in both training and measuring surgical proficiency. We
hypothesize that a virtual reality curriculum with mastery-based simulation is a
valid tool to train fellows toward operative proficiency. This study evaluates
the content and predictive validity of robotic simulation curriculum as a first
step toward developing a comprehensive, proficiency-based pathway. DESIGN: A
mastery-based simulation curriculum was performed in a virtual reality
environment. A pretest/posttest experimental design used both virtual reality and
inanimate environments to evaluate improvement. Participants self-reported
previous robotic experience and assessed the curriculum by rating modules based
on difficulty and utility. SETTING: This study was conducted at the University of
Pittsburgh Medical Center (Pittsburgh, PA), a tertiary care academic teaching
hospital. PARTICIPANTS: A total of 17 surgical oncology fellows enrolled in the
curriculum, 16 (94%) completed. RESULTS: Of 16 fellows who completed the
curriculum, 4 fellows (25%) achieved mastery on all 24 modules; on average,
fellows mastered 86% of the modules. Following curriculum completion, individual
test scores improved (p < 0.0001). An average of 2.4 attempts was necessary to
master each module (range: 1-17). Median time spent completing the curriculum was
4.2 hours (range: 1.1-6.6). Total 8 (50%) fellows continued practicing modules
beyond mastery. Survey results show that "needle driving" and "endowrist 2"
modules were perceived as most difficult although "needle driving" modules were
most useful. Overall, 15 (94%) fellows perceived improvement in robotic skills
after completing the curriculum. CONCLUSIONS: In a cohort of board-certified
general surgeons who are novices in robotic surgery, a mastery-based simulation
curriculum demonstrated internal validity with overall score improvement. Time to
complete the curriculum was manageable.
PMID- 27884678
TI - A common global risk stratification system for hepatoblastoma.
PMID- 27884680
TI - Caloric restriction ameliorates cardiomyopathy in animal model of diabetes.
AB - BACKGROUND: The db/db mouse is an animal model of diabetes in which leptin
receptor activity is deficient resulting accelerated cardiomyopathy when exposed
to angiotensin (AT). Toll-like receptors 4 and 2 (TLR4, TLR2) are pattern
recognition receptors, that recognize pathogen-associated molecular patterns and
exacerbate and release inflammatory cytokines. Fetuin A (Fet A) is a fatty acid
carrier which affects inflammation and insulin resistance in obese humans and
animals through TLRs. The aim of this study was to investigate the effect of
caloric restriction (CR) on free fatty acids (FFA) level and the inflammatory
response in diabetic cardiomyopathy. METHODS AND RESULTS: Left ventricular
hypertrophy, increased fibrosis and leukocytes infiltration were observed in
db/db AT treated hearts. Serum glucose, FFA, and cholesterol levels were elevated
in db/db AT treated mice. Cardiac expression of PPARalpha increased while AKT
phosphorylation was decreased. CONCLUSIONS: Cumulatively, CR elevated cardiac
PPARalpha improved the utilization of fatty acids, and reduced myocardial
inflammation as seen by reduced levels of Fet A. Thus CR negated cardiomyopathy
associated with AT in an animal model of diabetes suggesting that CR is an
effective therapeutic approach in the treatment of diabetes and associated
cardiomyopathy.
PMID- 27884679
TI - Risk-stratified staging in paediatric hepatoblastoma: a unified analysis from the
Children's Hepatic tumors International Collaboration.
AB - BACKGROUND: Comparative assessment of treatment results in paediatric
hepatoblastoma trials has been hampered by small patient numbers and the use of
multiple disparate staging systems by the four major trial groups. To address
this challenge, we formed a global coalition, the Children's Hepatic tumors
International Collaboration (CHIC), with the aim of creating a common approach to
staging and risk stratification in this rare cancer. METHODS: The CHIC steering
committee-consisting of leadership from the four major cooperative trial groups
(the International Childhood Liver Tumours Strategy Group, Children's Oncology
Group, the German Society for Paediatric Oncology and Haematology, and the
Japanese Study Group for Paediatric Liver Tumours)-created a shared international
database that includes comprehensive data from 1605 children treated in eight
multicentre hepatoblastoma trials over 25 years. Diagnostic factors found to be
most prognostic on initial analysis were PRETreatment EXTent of disease (PRETEXT)
group; age younger than 3 years, 3-7 years, and 8 years or older; alpha
fetoprotein (AFP) concentration of 100 ng/mL or lower and 101-1000 ng/mL; and the
PRETEXT annotation factors metastatic disease (M), macrovascular involvement of
all hepatic veins (V) or portal bifurcation (P), contiguous extrahepatic tumour
(E), multifocal tumour (F), and spontaneous rupture (R). We defined five
clinically relevant backbone groups on the basis of established prognostic
factors: PRETEXT I/II, PRETEXT III, PRETEXT IV, metastatic disease, and AFP
concentration of 100 ng/mL or lower at diagnosis. We then carried the additional
factors into a hierarchical backwards elimination multivariable analysis and used
the results to create a new international staging system. RESULTS: Within each
backbone group, we identified constellations of factors that were most predictive
of outcome in that group. The robustness of candidate models was then
interrogated using the bootstrapping procedure. Using the clinically established
PRETEXT groups I, II, III, and IV as our stems, we created risk stratification
trees based on 5 year event-free survival and clinical applicability. We defined
and adopted four risk groups: very low, low, intermediate, and high.
INTERPRETATION: We have created a unified global approach to risk stratification
in children with hepatoblastoma on the basis of rigorous statistical
interrogation of what is, to the best of our knowledge, the largest dataset ever
assembled for this rare paediatric tumour. This achievement provides the
structural framework for further collaboration and prospective international
cooperative study, such as the Paediatric Hepatic International Tumour Trial
(PHITT). FUNDING: European Network for Cancer Research in Children and
Adolescents, funded through the Framework Program 7 of the European Commission
(grant number 261474); Children's Oncology Group CureSearch grant contributed by
the Hepatoblastoma Foundation; Practical Research for Innovative Cancer Control
and Project Promoting Clinical Trials for Development of New Drugs and Medical
Devices, Japan Agency for Medical Research; and Swiss Cancer Research grant.
PMID- 27884682
TI - Sleep & metabolism: The multitasking ability of lateral hypothalamic inhibitory
circuitries.
AB - The anatomical and functional mapping of lateral hypothalamic circuits has been
limited by the numerous cell types and complex, yet unclear, connectivity. Recent
advances in functional dissection of input-output neurons in the lateral
hypothalamus have identified subset of inhibitory cells as crucial modulators of
both sleep-wake states and metabolism. Here, we summarize these recent studies
and discuss the multi-tasking functions of hypothalamic circuitries in
integrating sleep and metabolism in the mammalian brain.
PMID- 27884681
TI - Acidic stress induced G1 cell cycle arrest and intrinsic apoptotic pathway in
Jurkat T-lymphocytes.
AB - BACKGROUND: Low extracellular pH (pHe) is a common hallmark of tumor
microenvironment, which will also affect pH sensitive T-lymphocytes in this
environment. Due to the growing interest on T-cell mediated cancer therapies,
acidic stress induced consequences on this lymphocyte deserves through
investigations. RESULTS: In line with our previous study [Kim et al., Biochem.
Biophys. Res. Commun. 2016; 472(4): 585-91.], we applied sub-lethal acidic stress
(pH 3.3, 37 degrees C for 25min) to Jurkat T-lymphocytes. Progression from early
apoptosis into late apoptosis was clearly observed by flow cytometry within 3
days. Treatment led to onset of G1 arrest in the first 24h and cell cycling data
corresponded to survival of an invasive alkaline phosphatase (AP) positive
population. Concerning the massive cell death observed after 72h, both mRNA level
(qRT-PCR) and protein level (western blotting) data indicate programmed cell
death through p53-p21 independent signaling. CONCLUSION: Taken together, the
results obtained suggest that the majority of Jurkat cells exposed to short but
intense acidic stress conditions, as used here, undergo intrinsic apoptosis,
while invasion and AP activation only occurred in a small surviving cell
population.
PMID- 27884683
TI - Yttrium-90 Radioembolization with Resin Microspheres without Routine Embolization
of the Gastroduodenal Artery.
AB - PURPOSE: To evaluate safety of resin microsphere radioembolization (RE) without
prophylactic embolization of the gastroduodenal artery (GDA). MATERIALS AND
METHODS: Between July 2013 and April 2015, all patients undergoing RE with resin
microspheres for liver-dominant metastatic disease were treated without routine
embolization of the GDA. Selective embolization of distal hepaticoenteric vessels
was performed if identified by digital subtraction angiography, cone-beam
computed tomography, or technetium-99m macroaggregated albumin scintigraphy.
Resin microspheres were administered using 5% dextrose flush distal to the origin
of the GDA in lobar or segmental fashion, with judicious use of an antireflux
microcatheter in recognized high-risk situations. Gastrointestinal toxicity was
evaluated by the performing physician for at least 3 months. RESULTS: RE with
resin microspheres was performed in 62 patients undergoing 69 treatments. During
planning angiography, embolization of 0 or 1 vessel (median, 1; range, 0-4) was
performed in 86% of patients, most commonly the right gastric and supraduodenal
arteries. Prophylactic embolization of the GDA was performed in only 2 patients
(3%). In 6 treatments (9%), adjunctive embolization was required immediately
before RE, and an antireflux microcatheter was used in 14% of treatments.
Clinical follow-up was available in 60 of 62 patients (median, 134 d; range, 15
582 d). No signs or symptoms of gastric or duodenal ulceration were observed.
CONCLUSIONS: RE using resin microspheres without embolization of the GDA can be
performed safely.
PMID- 27884684
TI - Factors Related to Late False Lumen Enlargement after Thoracic Stent-Graft
Placement for Type B Aortic Dissection.
AB - PURPOSE: To evaluate significant factors related to delayed aortic false lumen
(FL) enlargement in patients who have undergone thoracic stent-graft placement
for type B aortic dissection. MATERIALS AND METHODS: The study included 62
patients (45 male, 17 female) aged 26-80 years (mean age, 58.1 y) who underwent
thoracic endovascular aortic repair for type B aortic dissection at a single
institution between January 2005 and May 2015. Mean age of aortic dissections was
5.3 months (range, 0.1-73.3 mo). Maximum aortic diameter at presentation was 41.7
mm +/- 8.3. The follow-up period ranged from 3 to 104 months (mean, 27.1 mo).
Computed tomographic (CT) angiography studies were reviewed to identify FL
diameter enlargements > 5 mm at different levels along and distal to the stent
graft. Imaging findings and clinical variables were investigated to determine
their correlation with FL enlargement. RESULTS: No significant difference was
found between the ages of aortic dissections in patients with and without FL
enlargement (P = .26). On follow-up CT angiography, 16 patients had 2 or more
communication channels between the FL and the systemic circulation, 7 of whom
showed FL enlargement > 5 mm (P = .007). Twenty-seven patients showed complete FL
thrombosis, none of whom had FL enlargement (P < .001). CONCLUSIONS: Two or more
communication channels between the FL and the systemic circulation represent a
risk factor for FL enlargement regardless of the age of the dissection. Patients
with thrombosis of the FL are less likely to experience FL enlargement.
PMID- 27884685
TI - Bleeding Complications following Image-Guided Percutaneous Biopsies in Patients
Taking Clopidogrel-A Retrospective Review.
AB - PURPOSE: To report incidence of bleeding after image-guided percutaneous core
needle biopsy performed in patients taking clopidogrel within 5 days. MATERIALS
AND METHODS: This was a retrospective review of image-guided percutaneous core
needle biopsies performed in patients with clopidogrel use within 5 days of the
procedure between January 2002 and November 2014. Data including biopsy site,
needle size, number of samples, and serum coagulation studies were collected.
Routine follow-up of patients was performed 24-72 hours after biopsy. Major
bleeding complications were defined as grade 3 or greater using Common
Terminology Criteria for Adverse Events. There were 63 deep biopsies performed in
63 patients with recent use of clopidogrel. Mean time of clopidogrel abstinence
before biopsy was 2.9 days +/- 1.9 (median 3 days). Clopidogrel had been taken
within 24 hours of the biopsy by 12 patients. There were 48 patients (76%) who
also took aspirin within 5 days of the procedure. The most common procedure was
liver biopsy (21/63; 33%), followed by lung (12/63; 19%),
abdominal/pelvic/retroperitoneal mass (12/63; 19%), and renal (11/63; 17%)
biopsies. RESULTS: A major bleeding complication (1/63; 1.6%) occurred after
injury to an intercostal artery during lung biopsy, which was successfully
treated with coil embolization. No minor bleeding complications were identified.
CONCLUSIONS: In this study comprising a small number of patients undergoing
various biopsy procedures, recent clopidogrel use was associated with a very low
incidence of major bleeding.
PMID- 27884687
TI - The Utility of Viscoelastic Testing in Patients Undergoing IR Procedures.
AB - Whole-blood viscoelastic testing can identify patient-specific coagulation
disturbances, allowing for targeted repletion of necessary coagulation factors
and differentiation between coagulopathy and surgical bleeding that requires
intervention. Viscoelastic testing complements standard coagulation tests and has
been shown to decrease transfusion requirements and improve survival in bleeding
patients. Viscoelastic testing also can be used to predict bleeding and improve
the care of patients undergoing interventional radiology (IR) procedures.
PMID- 27884686
TI - Intraarterial Lidocaine for Pain Control in Uterine Artery Embolization: A
Prospective, Randomized Study.
AB - PURPOSE: To assess efficacy of two different techniques of lidocaine injection in
the uterine arteries to reduce pain following uterine artery embolization (UAE)
for leiomyomas. MATERIALS AND METHODS: This prospective randomized single-blinded
study was performed with 60 patients enrolled between November 2014 and December
2015 equally randomized to 3 arms. Group A received 10 mL lidocaine 1% (100 mg)
mixed with polyvinyl alcohol particles (355-500 MUm). Group B received the same
dose of lidocaine injected after embolization. Group C was a control group. Pain
was assessed on a 100-point visual analog scale at 4, 7, and 24 hours after the
procedure. Narcotic agent dose to 24 hours was recorded. Outcomes were examined
by analysis of variance and pairwise comparison. Leiomyoma infarction was
assessed with magnetic resonance imaging 3 months after the procedure. RESULTS:
Technical success rate of UAE was 100%. Mean pain score at 4 hours was
significantly lower in the lidocaine groups (group A, 28.6; group B, 35.8)
compared with the control group (59.4; P = .001). Pain scores at 7 and 24 hours
were not statistically different among the 3 arms. The mean in-hospital narcotic
agent dose was significantly lower in both lidocaine groups than in the control
group (group A, 8.5 mg [P = .002]; group B, 11.1 mg [P = .03]; group C, 17.4 mg).
There were no adverse events related to the use of lidocaine. The number of
patients with complete infarction of leiomyomas at 3 months was significantly
lower in group A at 38.9% (group B, 77.8%; group C, 75%; P = .0451). CONCLUSIONS:
Lidocaine injected in the uterine arteries reduced postprocedural pain and
narcotic agent dose after UAE. There were more cases of incomplete necrosis when
lidocaine was mixed with the particles.
PMID- 27884688
TI - Severe Pain in Veterans: The Effect of Age and Sex, and Comparisons With the
General Population.
AB - : This study provides national prevalence estimates of US military veterans with
severe pain, and compares veterans with nonveterans of similar age and sex. Data
used are from the 2010 to 2014 National Health Interview Survey on 67,696 adults
who completed the Adult Functioning and Disability Supplement. Participants with
severe pain were identified using a validated pain severity coding system
imbedded in the National Health Interview Survey Adult Functioning and Disability
Supplement. It was estimated that 65.5% of US military veterans reported pain in
the previous 3 months, with 9.1% classified as having severe pain. Compared with
veterans, fewer nonveterans reported any pain (56.4%) or severe pain (6.4%).
Whereas veterans aged 18 to 39 years had significantly higher prevalence rates
for severe pain (7.8%) than did similar-aged nonveterans (3.2%), veterans age 70
years or older were less likely to report severe pain (7.1%) than nonveterans
(9.6%). Male veterans (9.0%) were more likely to report severe pain than male
nonveterans (4.7%); however, no statistically significant difference was seen
between the 2 female groups. The prevalence of severe pain was significantly
higher in veterans with back pain (21.6%), jaw pain (37.5%), severe headaches or
migraine (26.4%), and neck pain (27.7%) than in nonveterans with these conditions
(respectively: 16.7%, 22.9%, 15.9%, and 21.4%). Although veterans (43.6%) were
more likely than nonveterans (31.5%) to have joint pain, no difference was seen
in the prevalence of severe pain associated with this condition. PERSPECTIVE:
Prevalence of severe pain, defined as that which occurs "most days" or "every
day" and bothers the individual "a lot," is strikingly more common in veterans
than in members of the general population, particularly in veterans who served
during recent conflicts. Additional assistance may be necessary to help veterans
cope with their pain.
PMID- 27884689
TI - Demographic Predictors of Pain Sensitivity: Results From the OPPERA Study.
AB - : The demographic factors of sex, age, and race/ethnicity are well recognized as
relevant to pain sensitivity and clinical pain expression. Of these, sex
differences have been the most frequently studied, and most of the literature
describes greater pain sensitivity for women. The other 2 factors have been less
frequently evaluated, and current literature is not definitive. Taking advantage
of the large Orofacial Pain: Prospective Evaluation and Risk Assessment (OPPERA)
study cohort, we evaluated the association of sex, age, and self-reported race
with 34 measures of pressure, mechanical, and thermal pain sensitivity
encompassing threshold and suprathreshold perception. Women were significantly
more pain-sensitive than men for 29 of 34 measures. Age effects were small, and
only significant for 7 of 34 measures, however, the age range was limited (18-44
years of age). Race/ethnicity differences varied across groups and pain
assessment type. Non-Hispanic white individuals were less pain-sensitive than
African-American (for 21 of 34 measures), Hispanic (19 of 34), and Asian (6 of
34) individuals. No pain threshold measure showed significant racial differences,
whereas several suprathreshold pain measures did. This suggests that racial
differences are not related to tissue characteristics or inherent nociceptor
sensitivity. Rather, the differences observed for suprathreshold pain ratings or
tolerance are more likely related to differences in central nociceptive
processing, including modulation imposed by cognitive, psychological, and/or
affective factors. PERSPECTIVE: The influence of sex, age, and race/ethnicity on
various aspects of pain sensitivity, encompassing threshold and suprathreshold
measures and multiple stimulus modalities, allows for a more complete evaluation
of the relevance of these demographic factors to acute pain perception.
PMID- 27884690
TI - Conditioned Pain Modulation and Pressure Pain Sensitivity in the Adult Danish
General Population: The DanFunD Study.
AB - : Increased pressure pain sensitivity and impaired descending pain control have
been associated with chronic pain, but knowledge on the variability in the adult
general population is lacking. Pressure pain thresholds (PPTs) and descending
pain control assessed using conditioned pain modulation (CPM) were recorded in a
randomly selected sample (n = 2,199, 53% female) of the Danish adult general
population aged 18 to 70 years. PPTs were recorded over the tibialis anterior
muscle and the upper trapezius muscle. CPM was defined as the difference between
PPT assessments before and during conditioning with cold pressor pain (hand) for
2 minutes. Conditioning pain intensity was assessed using a visual analog scale
and questionnaire data were collected. Female sex (P < .001) and younger age (P
<= .02) was associated with lower PPTs at both body sites. For the trapezius
muscle, high perceived stress was associated with lower PPTs (P < .02), whereas
an interaction was found between body mass index and sex. CPM potency was lower
in female compared with male participants (P <= .003), whereas no association
with age was found. Higher level of education (P <= .05), premature withdrawal
from the cold pressor test (P <= .02), and high visual analog scale score (P <=
.02) were associated with a larger CPM response. PERSPECTIVE: Data from this
large population-based study provide new insight into the gender and age
variation in pain sensitivity and CPM response. Decreased CPM potency and
increased pain sensitivity in female participants were found, emphasizing the
need to improve the understanding of its clinical consequences.
PMID- 27884692
TI - Delta-beta coupling is associated with paternal caregiving behaviors during
preschool.
AB - Neural systems that index self-regulation have been associated with mental health
outcomes, including risk for anxiety problems, from early in life. Yet, little is
known about the environmental factors that may impact the development of neural
systems of regulation. Behavioral work suggests that sensitive parenting, or
parents' ability to correctly interpret and respond to children's signals,
supports the development of regulation. Conversely, harsh parenting, or
uninvolved or punitive parent behaviors, is thought to compromise developing
regulatory systems. We recorded preschoolers' baseline electroencephalography
(EEG) and tested whether individual differences in delta-beta coupling were
linked to sensitive or harsh parenting behaviors in mothers and fathers. Using
Fisher's r-to-z transform, we found that preschoolers whose fathers were low (vs.
high) in harsh parenting showed greater coupling at parietal electrode sites
(z=2.66, p=0.00); preschoolers whose fathers were high (vs. low) in harsh
parenting showed greater coupling at frontal electrode sites (z=-2.14, p=0.02).
Heightened coupling at frontal electrodes was also visible for children who
showed high (vs. low) levels of social fear (z=-2.11, p=0.02), suggesting that
enhanced frontal coupling may be associated with increased risk for anxiety
problems. No differences in coupling were seen based on levels of sensitive
parenting behaviors in mothers or fathers. Results provide initial evidence that
harsh parenting behaviors in fathers are associated with differences in a general
index of neural regulation in preschoolers, which may have implications for the
development of social fear in early life.
PMID- 27884693
TI - Design, synthesis, and characterization of rhein analogs as novel inhibitors of
scavenger receptor A.
AB - Scavenger receptor A (SRA) has been known as an immunosuppressive factor and
therefore therapeutic inhibition of SRA may be potentially exploited for cancer
immunotherapy. Our previously work suggested that rhein may act as an inhibitor
of SRA in reversing immunosuppression of SRA during T cells activation. Herein,
three deconstruction analogs of rhein, compound 1, 2, and 3, were further studied
as inhibitors of SRA. These three compounds, particularly compound 1, also known
as a natural product danthron, enhanced T cells activation, indicated by
increased transcriptional activation of interleukin 2 (Il2) gene, production of
IL-2 protein, and proliferation of T cells. Additionally, the interaction between
these compounds and SRA was studied by molecular modeling. Compound 1 showed a
favorable binding mode with the cysteine rich domain of SRA protein compared to
compound 2 and 3. Collectively, those results would provide insight for future
design and development of next generation rhein derivatives as SRA inhibitors.
PMID- 27884691
TI - AAPT Diagnostic Criteria for Chronic Cancer Pain Conditions.
AB - : Chronic cancer pain is a serious complication of malignancy or its treatment.
Currently, no comprehensive, universally accepted cancer pain classification
system exists. Clarity in classification of common cancer pain syndromes would
improve clinical assessment and management. Moreover, an evidence-based taxonomy
would enhance cancer pain research efforts by providing consistent diagnostic
criteria, ensuring comparability across clinical trials. As part of a
collaborative effort between the Analgesic, Anesthetic, and Addiction Clinical
Trial Translations, Innovations, Opportunities, and Networks (ACTTION) and the
American Pain Society (APS), the ACTTION-APS Pain Taxonomy initiative worked to
develop the characteristics of an optimal diagnostic system. After the
establishment of these characteristics, a working group consisting of clinicians
and clinical and basic scientists with expertise in cancer and cancer-related
pain was convened to generate core diagnostic criteria for an illustrative sample
of 3 chronic pain syndromes associated with cancer (ie, bone pain and pancreatic
cancer pain as models of pain related to a tumor) or its treatment (ie,
chemotherapy-induced peripheral neuropathy). A systematic review and synthesis
was conducted to provide evidence for the dimensions that comprise this cancer
pain taxonomy. Future efforts will subject these diagnostic categories and
criteria to systematic empirical evaluation of their feasibility, reliability,
and validity and extension to other cancer-related pain syndromes. PERSPECTIVE:
The ACTTION-APS chronic cancer pain taxonomy provides an evidence-based
classification for 3 prevalent syndromes, namely malignant bone pain, pancreatic
cancer pain, and chemotherapy-induced peripheral neuropathy. This taxonomy
provides consistent diagnostic criteria, common features, comorbidities,
consequences, and putative mechanisms for these potentially serious cancer pain
conditions that can be extended and applied with other cancer-related pain
syndromes.
PMID- 27884694
TI - Synthesis and carbonic anhydrase inhibitory properties of novel chalcone
substituted benzenesulfonamides.
AB - Carbonic anhydrases (CAs, EC 4.2.1.1) are crucial metalloenzymes involved in many
bioprocesses, through catalysis of the reversible hydration/dehydration process
of CO2/HCO3-. The inhibition of human CA isoforms I and II with a new series of
sulfonamide derivatives incorporating substituted chalcone moieties were studied
in this study. All these newly synthesized sulfonamides demonstrated important
inhibitory profiles to these CA isoforms with KIs in the range of 9.88 to
55.43nM, making these compounds interesting leads, with potential applications in
medicinal chemistry.
PMID- 27884696
TI - Design, synthesis and antifungal activity of novel fenfuram-diarylamine hybrids.
AB - Ten novel fenfuram-diarylamine hybrids were designed and synthesized. And their
antifungal activities against four phytopathogenic fungi have been evaluated in
vitro and most of the compounds demonstrated a significant antifungal activities
against Rhizoctonia solani and Sclerotinia sclerotiorum. Compound 5e exhibited
the most potent antifungal activity against R. solani with an EC50 value of
0.037mg/L, far superior to the commercially available fungicide boscalid
(EC50=1.71mg/L) and lead fungicide fenfuram (EC50=6.18mg/L). Furthermore,
scanning electron microscopy images showed that the mycelia on treated media grew
abnormally with tenuous, wizened and overlapping colonies compared to the
negative control. Molecular docking studies revealed that compound 5e featured a
higher affinity for succinate dehydrogenase (SDH) than fenfuram. Furthermore, it
was shown that the 3-chlorophenyl group in compound 5e formed a CH-pi interaction
with B/Trp-206 and a Cl-pi interaction with D/Tyr-128, rendering compound 5e more
active than fenfuram against SDH.
PMID- 27884695
TI - Linker dependent intercalation of bisbenzimidazole-aminosugars in an RNA duplex;
selectivity in RNA vs. DNA binding.
AB - Neomycin and Hoechst 33258 are two well-known nucleic acid binders that interact
with RNA and DNA duplexes with high affinities respectively. In this manuscript,
we report that covalent attachment of bisbenzimidazole unit derived from Hoechst
33258 to neomycin leads to intercalative binding of the bisbenzimidazole unit
(oriented at 64-74 degrees with respected to the RNA helical axis) in a linker
length dependent manner. The dual binding and intercalation of conjugates were
supported by thermal denaturation, CD, LD and UV-Vis absorption experiments.
These studies highlight the importance of linker length in dual recognition by
conjugates, for effective RNA recognition, which can lead to novel ways of
recognizing RNA structures. Additionally, the ligand library screens also
identify DNA and RNA selective compounds, with compound 9, containing a long
linker, showing a 20.3 degrees C change in RNA duplex Tm with only a 13.0 degrees
C change in Tm for the corresponding DNA duplex. Significantly, the shorter
linker in compound 3 shows almost the reverse trend, a 23.8 degrees C change in
DNA Tm, with only a 9.1 degrees C change in Tm for the corresponding RNA duplex.
PMID- 27884698
TI - Alteration of the brain morphology and the response to the acute stress in the
recombinant mouse lines with different predisposition to catalepsy.
AB - Catalepsy is an inability to correct an externally imposed awkward posture; it is
associated with schizophrenia and depression in human. We created new recombinant
B6.CBA-D13Mit76C and B6.CBA-D13Mit76B mouse lines on the C57Bl/6 genome, carrying
the 102.73-110.56Mbp fragment of chromosome 13 derived from the catalepsy-prone
CBA strain and catalepsy-resistant C57BL/6 strain, respectively. We compared the
behavior and brain morphology (11.7T BioSpec 117/16 USR tomograph, Germany) in
these lines. The effects of acute emotional stress on corticosterone's level in
the blood and mRNA expression of Bdnf and Arc genes in the brain were
investigated. The B6.CBA-D13Mit76B mice were non-cataleptic, while about 17% of
B6.CBA-D13Mit76C mice demonstrated catalepsy-like immobility. No difference
between these lines was revealed in the open field and social interaction tests.
In the Morris water maze test, both lines effectively found the platform on the
fourth day; however B6.CBA-D13Mit76B mice achieved significantly better results
than cataleptic-prone animals. B6.CBA-D13Mit76C mice were characterized by
decreased volume of the total brain and reduced sizes of striatum, cerebellum and
pituitary gland. The both lines showed the similar basal and stress-induced
levels of corticosterone, while the brain expression of Bdnf and Arc genes was
more vulnerable to stress in the catalepsy-prone B6.CBA-D13Mit76C line.
PMID- 27884697
TI - 3-Cyano-6-(5-methyl-3-pyrazoloamino) pyridines (Part 2): A dual inhibitor of
Aurora kinase and tubulin polymerization.
AB - A new class of a dual inhibitor of Aurora kinase and tubulin polymerization was
created by introducing various substituted phenoxyethylamino or
pyridyloxyethylamino groups to the 2-position of 3-cyano-4-methyl-6-(5-methyl-3
pyrazoloamino)-pyridine. Compound 3g exhibited Aurora kinase inhibition,
excellent protein kinase selectivity to Aurora kinase in comparison with 66 other
kinases, inhibition of phosphorylation of Ser10 of histone H3 as an Aurora kinase
inhibitor, inhibition of tubulin polymerization in vitro, good cell membrane
permeability, and a good PK profile. Therefore compound 3g was effective in some
antitumor mouse models at a dose of 30mg/kgpoqd.
PMID- 27884699
TI - Cell adhesion molecules and sleep.
AB - Cell adhesion molecules (CAMs) play essential roles in the central nervous
system, where some families are involved in synaptic development and function.
These synaptic adhesion molecules (SAMs) are involved in the regulation of
synaptic plasticity, and the formation of neuronal networks. Recent findings from
studies examining the consequences of sleep loss suggest that these molecules are
candidates to act in sleep regulation. This review highlights the experimental
data that lead to the identification of SAMs as potential sleep regulators, and
discusses results supporting that specific SAMs are involved in different aspects
of sleep regulation. Further, some potential mechanisms by which SAMs may act to
regulate sleep are outlined, and the proposition that these molecules may serve
as molecular machinery in the two sleep regulatory processes, the circadian and
homeostatic components, is presented. Together, the data argue that SAMs regulate
the neuronal plasticity that underlies sleep and wakefulness.
PMID- 27884700
TI - Claudin-5, -7, and -18 suppress proliferation mediated by inhibition of
phosphorylation of Akt in human lung squamous cell carcinoma.
AB - Abnormal expression of claudin (CLDN) subtypes has been reported in various solid
cancers. However, it is unknown which subtype plays a key role in the regulation
of proliferation in cancer cells. The expression of CLDN3-5, 7, and 18 in human
lung squamous carcinoma tissues was lower than that in normal tissue. Here, we
examined which combination of exogenous CLDNs expression inhibits proliferation
and the molecular mechanism using human lung squamous RERF-LC-AI cells. Real-time
polymerase chain reaction and western blotting showed that CLDN3-5, 7, and 18 are
little expressed in RERF-LC-AI cells. In the exogenously transfected cells,
CLDN5, 7, and 18 were distributed in the cell-cell contact areas concomitant with
ZO-1, a tight junctional scaffolding protein, whereas CLDN3 and 4 were not. Cell
proliferation was individually and additively suppressed by CLDN5, 7, and 18. The
expression of these CLDNs showed no cytotoxicity compared with mock cells. CLDN5,
7, and 18 increased p21 and decreased cyclin D1, resulting in the suppression of
cell cycle G1-S transition. The expression of these CLDNs inhibited
phosphorylation of Akt without affecting phosphorylated ERK1/2. Furthermore,
these CLDNs inhibited the nuclear localization of Akt and its association with 3
phosphoinositide-dependent protein kinase-1 (PDK1). The suppression of G1-S
transition caused by CLDN5, 7, and 18 was rescued by the expression of
constitutively active-Akt. We suggest that the reduction of CLDN5, 7, and 18
expression loses the suppressive ability of interaction between PDK1 and Akt and
causes sustained phosphorylation of Akt, resulting in the disordered
proliferation in lung squamous carcinoma cells.
PMID- 27884702
TI - Assessing the purity of regulatory T cells: A humble reminder.
PMID- 27884701
TI - Cardiac inositol 1,4,5-trisphosphate receptors.
AB - Calcium is a second messenger that regulates almost all cellular functions. In
cardiomyocytes, calcium plays an integral role in many functions including muscle
contraction, gene expression, and cell death. Inositol 1,4,5-trisphosphate
receptors (IP3Rs) are a family of calcium channels that are ubiquitously
expressed in all tissues. In the heart, IP3Rs have been associated with
regulation of cardiomyocyte function in response to a variety of neurohormonal
agonists, including those implicated in cardiac disease. Notably, IP3R activity
is thought to be essential for mediating the hypertrophic response to multiple
stimuli including endothelin-1 and angiotensin II. In this review, we will
explore the functional implications of IP3R activity in the heart in health and
disease.
PMID- 27884704
TI - Enhanced aggressiveness of bystander cells in an anti-tumor photodynamic therapy
model: Role of nitric oxide produced by targeted cells.
AB - The bystander effects of anti-cancer ionizing radiation have been widely studied,
but far less is known about such effects in the case of non-ionizing photodynamic
therapy (PDT). In the present study, we tested the hypothesis that
photodynamically-stressed prostate cancer PC3 cells can elicit nitric oxide (NO)
mediated pro-growth/migration responses in non-stressed bystander cells. A novel
approach was used whereby both cell populations existed on a culture dish, but
made no physical contact with one other. Visible light irradiation of target
cells sensitized with 5-aminolevulinic acid-induced protoporphyrin IX resulted in
a striking upregulation of inducible nitric oxide synthase (iNOS) along with NO,
the level of which increased after irradiation. Slower and less pronounced
iNOS/NO upregulation was also observed in bystander cells. Activation of
transcription factor NF-kappaB was implicated in iNOS induction in both targeted
and bystander cells. Like surviving targeted cells, bystanders exhibited a
significant increase in growth and migration rate, both responses being strongly
attenuated by an iNOS inhibitor (1400W), a NO scavenger (cPTIO), or iNOS
knockdown. Incubating bystander cells with conditioned medium from targeted cells
failed to stimulate growth/migration, ruling out involvement of relatively long
lived stimulants. The following post-irradiation changes in pro-survival/pro
growth proteins were observed in bystander cells: upregulation of COX-2 and
activation of protein kinases Akt and ERK1/2, NO again playing a key role. This
is the first reported evidence for NO-enhanced bystander aggressiveness in the
context of PDT. In the clinical setting, such effects could be averted through
pharmacologic use of iNOS inhibitors as PDT adjuvants.
PMID- 27884705
TI - Analytical and clinical validation of the new ultrasensitive Roche Thyroglobulin
II assay.
PMID- 27884706
TI - Identification and functional characterization of a novel Spatzle gene in
Litopenaeus vannamei.
AB - Shrimp innate immunity is the first line of resistance against pathogenic
bacteria. The Toll-like receptor (TLR)-NF-kappaB pathway is vital in this
immunity process. In this study, a novel Spatzle gene (LvSpz4) of Litopenaeus
vannamei was cloned and functionally characterized. The open reading frame of
LvSpz4 was 918 bp, which encoded a putative protein with 305 amino acids. LvSpz4
was most expressed in the gills of L. vannamei. This expression was induced by
Vibrio alginolyticus or Staphylococcus aureus infection or lipopolysaccharide
stimulation. The reporter gene assay showed that LvSpz4 could activate the
promoters of Pen4, Drs, AttA, Mtk, and white spot syndrome virus immediate early
gene1 in Drosophila Schneider 2 (S2) cells. Knockdown LvSpz4 increased the
cumulative mortality of L. vannamei upon V. alginolyticus infection. The unfolded
protein response (UPR) induced the expression of LvSpz4 in L. vannamei. Moreover,
the promoter of LvSpz4 was activated by L. vannamei X-Box binding protein 1 and
activating transcription factor 4 in S2 cells. These results suggested that
LvSpz4 was involved in L. vannamei innate immunity and caused the crosstalk
between the TLR-NF-kappaB pathway and UPR.
PMID- 27884708
TI - Strategies for Trypanosoma brucei gambiense elimination.
PMID- 27884707
TI - Polyclonal anti-Candida antibody improves phagocytosis and overall outcome in
zebrafish model of disseminated candidiasis.
AB - Fungal infections are a major cause of animal and plant morbidity and mortality
worldwide. Effective biological therapeutics could complement current antifungal
drugs, but understanding of their in vivo mechanisms has been hampered by
technical barriers to intravital imaging of host-pathogen interactions. Here we
characterize the fungal infection of zebrafish as a model to understand the
mechanism-of-action for biological antifungal therapeutics through intravital
imaging of these transparent animals. We find that non-specific human IgG
enhances phagocytosis by zebrafish phagocytes in vivo. Polyclonal anti-Candida
antibodies enhance containment of fungi in vivo and promote survival. Analysis
suggests that early phagocytic containment is a strong prognostic indicator for
overall survival. Although polyclonal anti-Candida antibodies protect against
disease, this is not necessarily the case for individual monoclonal anti-Candida
antibodies. Thus, the zebrafish appears to provide a useful model host for
testing if a biological therapeutic promotes phagocytosis in vivo and enhances
protection against candidemia.
PMID- 27884709
TI - Seeing beyond 2020: an economic evaluation of contemporary and emerging
strategies for elimination of Trypanosoma brucei gambiense.
AB - BACKGROUND: Trypanosoma brucei (T b) gambiense is targeted to reach elimination
as a public health problem by 2020 and full elimination by 2030. To achieve these
goals, stakeholders need to consider strategies to accelerate elimination. Hence,
we aimed to model several options related to current and emerging methods for
case detection, treatment, and vector control across settings to assess cost
effectiveness and the probability of elimination. METHODS: Five intervention
strategies were modelled over 30 years for low, moderate, and high transmission
settings. Model parameters related to costs, efficacy, and transmission were
based on available evidence and parameter estimation. Outcomes included
disability-adjusted life-years (DALYs), costs, and long-term prevalence.
Sensitivity analyses were done to calculate the uncertainty of the results.
FINDINGS: To reach elimination targets for 2020 across all settings, approaches
combining case detection, treatment, and vector control would be most effective.
Elimination in high and moderate transmission areas was probable and cost
effective when strategies included vector control and novel methods, with
incremental cost-effectiveness ratios (ICERs) ranging from US$400 to $1500 per
DALY averted. In low transmission areas, approaches including the newest
interventions alone or in combination with tiny targets (vector control) were
cost-effective, with ICERs of $200 or $1800 per DALY averted, respectively, but
only strategies including vector control were likely to lead to elimination.
Results of sensitivity analyses showed that allowing for biennial surveillance,
reducing vector control maintenance costs, or variations of active surveillance
coverage could also be cost-effective options for elimination, depending on the
setting. INTERPRETATION: Although various strategies might lead to elimination of
T b gambiense, cost-effective approaches will include adoption of emerging
technologies and, in some settings, increased surveillance or implementation of
vector control. FUNDING: Bill & Melinda Gates Foundation.
PMID- 27884710
TI - Predisposing Factors for Re-interventions with Additional Iliac Stent Grafts
After Endovascular Aortic Repair.
AB - BACKGROUND: Endoleaks of type Ib and III are relatively common causes of re
intervention after EVAR. The aim was to determine underlying causes and identify
anatomical factors associated with these re-interventions. METHODS: A total of
444 patients with standard bifurcated stent grafts were included in a
retrospective observational study. Patients requiring additional iliac stent
grafts (n = 24) were compared to those who did not (n = 420). Pre- and post
operative CT examinations were reviewed in patients with additional iliac stents.
Reasons for re-interventions were defined as migration (>5 mm at the distal end
or at interconnections), progression of disease (iliac artery diameter exceeding
graft diameter), inadequate distal seal length at primary repair, or a
combination of these factors. RESULTS: Twenty-four patients received 31
additional grafts in 30 limbs after a median 46 months (range 2-92 months). Five
re-interventions (21%) were due to rupture. Re-intervened limbs had a larger
iliac artery diameter 18 mm (25th and 75th percentile 20-25) versus 15 mm (13-18
mm), p < .001. The degree of iliac limb oversizing at primary EVAR was lower in
re-intervened patients (11% (8-18%) versus 18% (12-26%), p = .003). In re
intervened patients, iliac attachment zones were shorter in treated limbs than in
untreated 23 mm (11-34) versus 34 mm (25-44), p < .001). Sixteen of 31 re
interventions (51%) were caused by migration (10 at the distal landing site, 6 at
interconnections), nine of 31 (29%) by disease progression, and nine of 31 (29%)
had inadequate initial stent graft placement. Three of 31 re-interventions (10%)
were done as proactive procedures. CONCLUSIONS: Additional iliac stent grafting
occurred late after primary repair; a considerable number were caused by rupture.
A low degree of oversizing, migration at the distal landing site, separation of
stent graft interconnections, disease progression at the distal landing site, and
inadequate initial stent graft placement may all contribute. Patients with large
iliac dimensions and short attachment zones may need a larger degree of
oversizing and more vigorous surveillance.
PMID- 27884703
TI - Mechanistic and biological considerations of oxidatively damaged DNA for helicase
dependent pathways of nucleic acid metabolism.
AB - Cells are under constant assault from reactive oxygen species that occur
endogenously or arise from environmental agents. An important consequence of such
stress is the generation of oxidatively damaged DNA, which is represented by a
wide range of non-helix distorting and helix-distorting bulkier lesions that
potentially affect a number of pathways including replication and transcription;
consequently DNA damage tolerance and repair pathways are elicited to help cells
cope with the lesions. The cellular consequences and metabolism of oxidatively
damaged DNA can be quite complex with a number of DNA metabolic proteins and
pathways involved. Many of the responses to oxidative stress involve a
specialized class of enzymes known as helicases, the topic of this review.
Helicases are molecular motors that convert the energy of nucleoside triphosphate
hydrolysis to unwinding of structured polynucleic acids. Helicases by their very
nature play fundamentally important roles in DNA metabolism and are implicated in
processes that suppress chromosomal instability, genetic disease, cancer, and
aging. We will discuss the roles of helicases in response to nuclear and
mitochondrial oxidative stress and how this important class of enzymes help cells
cope with oxidatively generated DNA damage through their functions in the
replication stress response, DNA repair, and transcriptional regulation.
PMID- 27884711
TI - Patients with Small Abdominal Aortic Aneurysm are at Significant Risk of
Cardiovascular Events and this Risk is not Addressed Sufficiently.
AB - BACKGROUND: Patients with abdominal aortic aneurysm (AAA) are at significant risk
of cardiovascular (CV) events. Recent implementation of AAA-screening means
thousands of patients are now diagnosed with small-AAA; however, CV risk factors
are not always addressed. This study aimed at assessing and quantifying the CV
characteristics of patients with small AAA following the introduction of
screening programmes. METHODS: CV profiles of 384 men with a small AAA (<55 mm
diameter) were assessed through the United Kingdom Aneurysm Growth Study (UKAGS),
a nationwide prospective cohort study of men with small AAA. A prospective local
cohort of an additional 142 patients with small AAA with available blood pressure
(BP) and lipid profiles was also included and followed-up for 1 year. RESULTS: In
the UKAGS population, 54% were current and 30% ex-smokers; 58% were hypertensive
and 54% hypercholesterolaemic. In the local group, 54% were current and 40% were
ex-smokers, and 94% were hypertensive. Patients were not more likely to receive
CV medication after entering AAA surveillance in either group. All local patients
were clustered "high-risk" for future CV events based on the Framingham score
(mean 21.8%, 95% CI 20.0-23.6), JBS-2 (16.3%, 14.7-17.9) and ASSIGN (25.2%, 22.7
27.7). No change was seen in systolic BP levels between baseline and 1 year
(140.9 mmHg vs. 142.5 mmHg, p=.435). A rise was seen in cholesterol (4.0 mmol-4.2
mmol, p<.0001) values at 1 year. CONCLUSIONS: This study suggests that patients
with small AAA are at significant risk for developing CV events and this is not
currently addressed, which is evident by the "high-risk" CV risk profiles of
these patients despite being in AAA surveillance. Design and implementation of a
CV risk reduction programme tailored for this population is necessary.
PMID- 27884712
TI - Solid lipid nanoparticles for sustained pulmonary delivery of Yuxingcao essential
oil: Preparation, characterization and in vivo evaluation.
AB - The objective of this study was to prepare solid lipid nanoparticles (SLNs) for
sustained pulmonary delivery of Yuxingcao essential oil (YEO). Three YEO loaded
SLNs (SLN-200, SLN-400 and SLN-800) with different particle size were prepared
and separated following a high-shear homogenization technique using Compritol 888
ATO as lipid and polyvinyl alcohol as an emulsifier. The particle size, zeta
potential, drug encapsulation efficiency and drug loading of the SLNs were
determined to be between 171 and 812nm, -17.1 and -19.3mV, between 76.6 and 90.2%
and between 2.34 and 3.12%, respectively whereas the in vitro release data showed
that the SLNs led to sustained drug release up to 48h. In addition, the SLN
suspensions after nebulization conferred the fine particle fractions (<5.4MUm) of
67.4-75.8%. Following intratracheal administration to rats, YEO loaded SLNs not
only prolonged pulmonary retention up to 24h, but also increased AUC values
(15.4, 18.2 and 26.3MUg/gh for SLN-200, SLN-400 and SLN-800, respectively) by 4.5
7.7 folds compared to the intratracheally dosed YEO solution and by 257-438 folds
to the intravenously dosed YEO solution, respectively. The present results were
the first to show that YEO loaded SLNs may sustain YEO inhalation delivery and
improve local bioavailability, representing a promising inhalable carrier to
attain once daily application.
PMID- 27884713
TI - Paclitaxel-loaded polymeric nanoparticles combined with chronomodulated
chemotherapy on lung cancer: In vitro and in vivo evaluation.
AB - The objective of our study was to examine the anti-tumor effect of paclitaxel
(PTX)-loaded polymeric nanoparticles (PTX-NPs) combined with circadian
chronomodulated chemotherapy. Our intention was to screen out the best time of
the day for the drug to be administered. PTX-NPs with a diameter of approximately
168nm were prepared through a thin film dispersion technique. The PTX in PTX-NPs
showed an initial fast release subsequently a slower and sustained release. The
cytotoxicity of chronomodulated administration of PTX-NPs in vitro confirmed that
its cytotoxic effect was lower than that of PTX injection, and showed a time
dependent effect. In addition, anti-tumor effect was examined by analysing tumor
growth inhibition rate, micro-vessel density (MVD), cell proliferation and cell
apoptosis, following either injection with PTX or administration of PTX-NPs.
Micro fluorine-18-deoxyglucose PET/computed tomography (18F-FDG PET/CT) was used
to evaluate tumor reactivity to PTX-NPs combined with chronomodulated
chemotherapy. Taken these results into consideraion, our experiment indicates
that PTX-NPs exhibit greater anti-tumor activity against A549 cells, in
comparison with PTX injection, and the anti-tumor effect at 15h after light onset
(HALO) administration is the best in all groups. Therefore, prepared PTX-NPs
combined with chronomodulated chemotherapy could be a potential treatment for
lung cancer.
PMID- 27884714
TI - Carrier particle design for stabilization and isolation of drug nanoparticles.
AB - Nanoparticles of poorly water-soluble drugs were prepared in suspension via
antisolvent precipitation in order to improve their dissolution behaviour.
Insoluble, surface-functionalized, micron-range, clay carrier particles were
employed for the dual purpose of stabilizing the nanoparticles in suspended
state, and facilitating their unhindered isolation to solid state; often a
challenging step in nanoparticle production. The carrier particles, which were
functionalized with an optimal level of cationic polymer (protamine), attracted
negatively-charged nanoparticles to their surface as a uniform and segregated
nanoparticle layer, at drug loadings up to 9% w/w. By using carrier particles to
stabilise the nanoparticles on their surface, the traditionally used solubilised
nanosuspension stabilisers could be eliminated, thus avoiding time-consuming
stabiliser screening tests. The carrier particle system facilitated stabilisation
of nanoparticles in suspension, isolation of nanoparticles to the solid state via
filtration, and preservation of fast nanoparticle-induced dissolution rates of
the dried nanoparticle-carrier composites, indicating preservation of their high
surface area during drying. The process was validated with two poorly water
soluble BCS Class II drugs, fenofibrate and mefenamic acid, both of which
demonstrated negative surface charge in aqueous suspension.
PMID- 27884715
TI - Improved sustained release of antigen from immunostimulatory DNA hydrogel by
electrostatic interaction with chitosan.
AB - Immunostimulatory DNA hydrogel (sDNA hydrogel) containing unmethylated cytosine
phosphate-guanine (CpG) sequences has been demonstrated to be a useful antigen
delivery system, which can effectively induce an antigen-specific immune response
through stimulation of the innate immune system. However, relatively rapid
release of antigens from the sDNA hydrogel limits its potential. To enhance the
potency of the sDNA hydrogel via improvement of its sustained release property,
we selected chitosan, a biocompatible cationic polymer which electrostatically
interacts with DNA, and mixed it with the sDNA hydrogel. Compared to unmixed sDNA
hydrogel, sDNA hydrogel mixed with chitosan (Chitosan-sDNA hydrogel) was more
stable, tougher, had more bound water, released a model antigen ovalbumin (OVA)
more slowly in vitro, and provided longer retention of OVA at the injection site
after intradermal injection into mice. Intradermal immunization of mice with the
OVA-loaded Chitosan-sDNA hydrogel resulted in the induction of a higher level of
OVA-specific IgG in serum compared with OVA-loaded sDNA hydrogel with no
chitosan. These results indicate that the Chitosan-sDNA hydrogel is an improved
sustained release formulation for efficient induction of antigen-specific immune
responses.
PMID- 27884716
TI - Therapeutic effects of traditional Chinese medicine Niubeixiaohe in mouse
tuberculosis models.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The traditional Chinese medicine Niubeixiaohe
(NBXH) is an effective anti-tuberculosis prescription, which is made up of Bulbus
Fritillariae Cirrhosae, Rhizoma Bletillae, Radix Platycodonis, Fructus Arctii,
Herba Houttuyniae and Glutinous rice. In this study, NBXH powder (I) and three
kinds of NBXH extracts (II, III, and IV) were prepared. The water decoction of
NBXH had been used to treat TB in clinic sixteen years suggested that it was
effective to treat TB. AIM OF THE STUDY: This study evaluated the effects of
different processing products of NBXH on mouse TB model in vivo and provide a new
Chinese medicine for the clinical treatment of TB. MATERIALS AND METHODS: In this
study, 120 female BALB/c mice infected with Mycobacterium tuberculosis H37Rv,
were treated with distilled water, M. vaccae vaccine, the low, middle and high
doses of NBXH I, the low, middle and high doses of NBXH II, the low, middle and
high doses of NBXH III, the low, middle and high doses of NBXH IV for 12 weeks,
respectively. RESULTS: The body weights of mice in all NBXH groups were higher
than that in the water group. The weight indexes of the spleens in M. vaccae
group, the middle dose of NBXH II group, the low dose of NBXH IV group and in the
high dose of NBXH IV group were significantly lower than that in the water
group(P<0.05). Compared with the water group, the spleen colony counts in the low
dose of NBXH I group, the high dose of NBXH II group, the low dose of NBXH III
group and the high dose of NBXH IV group reduced by 0.43, 0.46, 0.73, 0.58 logs
(P<0.05), respectively. But the lung colony counts had no significant difference
between each group. Pulmonary general pathology and histopathology displayed that
the lung lesions in treatment groups were improved at certain degree, especially
in the low dose of NBXH IIIand IV groups, in which their areas of the lesions
were less than 50%, and the half normal lung structure in half of the mice could
be observed. CONCLUSION: Powder and three extracts of traditional Chinese
medicine NBXH all had anti-tuberculosis therapeutic effects on mouse tuberculosis
model, and this study provided a base for the further development of Chinese
patent medicine NBXH. Also, this is the first report on comprehensive
experimental research of NBXH extracts coming from six kinds of traditional
Chinese medicine.
PMID- 27884717
TI - Activity based evaluation of a traditional Ayurvedic medicinal plant: Saraca
asoca (Roxb.) de Wilde flowers as estrogenic agents using ovariectomized rat
model.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Saraca asoca (Roxb.) de Wilde, Ashok, is a
popular traditional plant used for gynecological disorders. In India, the juice
of Ashok flowers is traditionally consumed as a tonic by women in case of uterine
disorders. But despite the use, its estrogenic potency is not yet evaluated and
thus lacks the scientific recognition and acclaim. AIM OF THE STUDY: This study
is designed to investigate the estrogenic potential of standardized ethanolic
extract of Saraca asoca flowers (SAF) using ovariectomized (OVX) female albino
Wistar rat model. MATERIALS AND METHODS: Saraca asoca flowers were extracted in
ethanol using hot maceration technique and the extract was standardized in terms
of content of four phytoestrogens like quercetin, kaempferol, beta-sitosterol and
luteolin using HPTLC technique. Safety of the extract was evaluated at a dose of
2000mg/kg body weight in female albino Wistar rats as per the OECD guidelines.
Bilateral ovariectomy surgery was performed for the excision of both the ovaries.
The OVX animals were treated with the ethanolic extract of SAF at three dose
levels- 100mg/kg, 200mg/kg and 400mg/kg body weight in distilled water as a
vehicle, orally once a day for two weeks. Estradiol valerate was employed as a
modern drug for comparative evaluation of the results. Estrogenic potency was
studied by assaying the activities of serum and plasma marker enzymes and
hormones viz. G6PDH, LDH, 17beta-estradiol, progesterone along with cholesterol,
triglycerides and HDL, and vaginal cornification. The uterotrophic effect was
evaluated by studying the histoarchitecture of the uterus, effect on uterine
weight and changes in the levels of uterine glycogen content. RESULTS: HPTLC
revealed the presence of markers like quercetin, kaempferol, beta-sitosterol and
luteolin from the ethanolic extract of SAF. The content of the four markers was
found to be 1.543mg/g, 0.924mg/g, 4.481mg/g and 2.349mg/g, respectively. SAF
extract was found to be safe at an oral dose of 2000mg/kg body weight in rats.
Among the three doses administered to ovariectomized rats, treatment with high
dose was found to be more efficacious when compared with ovariectomized rats.
CONCLUSION: The findings of this study firmly support the estrogenic potency of
ethanolic extract of SAF which may be by the reason of phytoestrogens.
PMID- 27884719
TI - Systematic review and meta-analysis of randomised controlled trials on the
effectiveness of school-based dental screening versus no screening on improving
oral health in children.
AB - OBJECTIVES: The current study aimed to evaluate the effectiveness of school-based
dental screening versus no screening on improving oral health in children aged 3
18 years by a systematic review and meta-analysis of randomised controlled
trials. SOURCES AND STUDY SELECTION: Three sets of independent reviewers searched
MEDLINE, EMBASE, Web of Science and other sources through April 2016 to identify
published and nonpublished studies without language restrictions and extracted
data. DATA: Primary outcomes included prevalence and mean number of teeth with
caries, incidence of dental attendance and harms of screening. Cochrane's
criteria for risk of bias assessment were used. RESULTS: A total of five cluster
RCTs (of unclear or high risk of bias), including 28,442 children, were meta
analysed. For an intracluster correlation coefficient of 0.030, there was no
statistically significant difference in dental attendance between children who
received dental screening and those who did not receive dental screening (RR
1.11, 95% 0.97, 1.27). The Chi-square test for heterogeneity and the Higgin's I2
value indicated a substantial heterogeneity. Only one study reported the
prevalence and mean number of deciduous and permanent teeth with dental caries
and found no significant differences between the screening and no screening
groups. CONCLUSIONS: There is currently no evidence to support or refute the
clinical benefits or harms of dental screening. Routine dental screening may not
increase the dental attendance of school children, but there is a lot of
uncertainty in this finding because of the quality of evidence. CLINICAL
SIGNIFICANCE: Evidence from the reviewed trials suggests no clinical benefit from
school-based screening in improving children's oral health. However, there is a
lot of uncertainty in this finding because of the quality of evidence. There is a
need to conduct a well-designed trial with an intensive follow-up arm and cost
effectiveness analysis. SYSTEMATIC REVIEW REGISTRATION NUMBER: CRD42016038828
(PROSPERO database).
PMID- 27884718
TI - Micro-CT analysis of naturally arrested brown spot enamel lesions.
AB - OBJECTIVES: The aim of this study was to characterize the mineral density
parameters through natural enamel brown spot lesions (BSLs) and to visualize and
map their mineral distribution pattern in comparison to enamel whitespot lesions
(WSLs). METHODS: Study specimens included seventeen proximal WSLs (ICDAS 1, 2),
seventeen proximal BSLs and seventeen sound proximal specimens (ICDAS 0)
collected from The Oral Surgery Department at Sydney Dental Hospital, Sydney,
Australia. Imaging was undertaken using a high resolution, desktop micro-computed
tomography system. A calibration equation was used to transform the grey level
values of the images into true mineral density values. The qualitative analysis
and the quantification of the lesion parameters including the mineral density and
the thickness of the enamel lesion surface layer were performed using mineral
density profiles plotted in FIJI and the visualized mineral maps in MATLAB
respectively. RESULTS: The maps of brownspot lesions revealed irregular
demineralization patterns with faint boundaries and outlines. The regular
triangular shape of proximal lesions was recognizable only in some parts of
individual BSLs or was completely unrecognizable within the entire lesion.
Scattered free-form areas of high density enamel were observed within or close to
the surface of BSLs. A layer of high density enamel with a mineral density close
to that of sound enamel was observed in all of the BSLs. The mean mineral density
of the body of BSLs, including the scattered areas of high mineral density, was
significantly higher than the corresponding values in white-spot lesions. The
mean thickness of the surface layer in BSLs (79+/-15MUm) was also significantly
higher than white-spot lesions (51+/-11MUm) (p<0.05). CONCLUSION: This study
demonstrated that the mineralization parameters such as density and the thickness
of the surface layer as well as distribution patterns through natural enamel
brown spot lesions (BSLs) are different from enamel white-spot lesions (WSLs).
The higher mineral density of the body of the lesion and the increased thickness
of the surface layer in brown spot enamel lesions may suggest possible subsurface
remineralization in the majority of naturally arrested BSLs.
PMID- 27884720
TI - Penetration of sub-micron particles into dentinal tubules using ultrasonic
cavitation.
AB - OBJECTIVES: Functionalised silica sub-micron particles are being investigated as
a method of delivering antimicrobials and remineralisation agents into dentinal
tubules. However, their methods of application are not optimised, resulting in
shallow penetration and aggregation. The aim of this study is to investigate the
impact of cavitation occurring around ultrasonic scalers for enhancing particle
penetration into dentinal tubules. METHODS: Dentine slices were prepared from
premolar teeth. Silica sub-micron particles were prepared in water or acetone.
Cavitation from an ultrasonic scaler (Satelec P5 Newtron, Acteon, France) was
applied to dentine slices immersed inside the sub-micron particle solutions.
Samples were imaged with scanning electron microscopy (SEM) to assess tubule
occlusion and particle penetration. RESULTS: Qualitative observations of SEM
images showed some tubule occlusion. The particles could penetrate inside the
tubules up to 60MUm when there was no cavitation and up to ~180MUm when there was
cavitation. CONCLUSIONS: The cavitation bubbles produced from an ultrasonic
scaler may be used to deliver sub-micron particles into dentine. This method has
the potential to deliver such particles deeper into the dentinal tubules.
CLINICAL SIGNIFICANCE: Cavitation from a clinical ultrasonic scaler may enhance
penetration of sub-micron particles into dentinal tubules. This can aid in the
development of novel methods for delivering therapeutic clinical materials for
hypersensitivity relief and treatment of dentinal caries.
PMID- 27884721
TI - Comparison of xenobiotic-metabolising human, porcine, rodent, and piscine
cytochrome P450.
AB - Cytochrome P450 proteins (CYP450s) are present in most domains of life and play a
critical role in the metabolism of endogenous compounds and xenobiotics. The
effects of exposure to xenobiotics depend heavily on the expression and activity
of drug-metabolizing CYP450s, which is determined by species, genetic background,
age, gender, diet, and exposure to environmental pollutants. Numerous reports
have investigated the role of different vertebrate CYP450s in xenobiotic
metabolism. Model organisms provide powerful experimental tools to investigate
Phase I metabolism. The aim of the present review is to compare the existing data
on human CYP450 proteins (1-3 families) with those found in pigs, mice, and fish.
We will highlight differences and similarities and identify research gaps which
need to be addressed in order to use these species as models that mimic human
traits. Moreover, we will discuss the roles of nuclear receptors in the cellular
regulation of CYP450 expression in select organisms.
PMID- 27884723
TI - IR and IGF-1R expression affects insulin induced proliferation and DNA damage.
AB - Diabetes mellitus type 2 is in its prediagnostic and early phase characterized by
hyperinsulinemia. Previously, we pointed out hyperinsulinemia as a potential link
between diabetes mellitus and the increased cancer risk that is associated with
this disease through its induction of oxidative stress and DNA damage. In the
present study, we address the relationship between the induction of proliferation
and genomic damage in vitro in cell lines with different expression of the
insulin and the IGF-1 receptors after treating the cells with insulin and the
insulin analog glargine. Contribution of the IGF-1 receptor was further examined
by application of the IGF-1R inhibitor ((5R,5aS,8aR,9R)-9-hydroxy-5,8,8a,9
tetrahydro-5-(3,4,5-trimethoxyphenyl)-furo[3_,4_:6,7]-naphtho[2,3-d]-1,3-dioxol
6(5aH)-one) (PPP). Insulin as well as insulin glargine stimulated cell
proliferation in IGF-receptor-dominated MCF-7 cells and not in insulin receptor
dominated BT-474 cells and PPP attenuated this effect. Both insulins induced DNA
damage which was reduced by PPP in MCF-7 cells only. Overall, we showed in this
study that high levels of insulin and insulin glargine can enhance cell
proliferation in cells which highly express IGF-1 receptor and induce DNA damage
in cells with high and also in those with low IGF-1 receptor levels.
PMID- 27884722
TI - The Impact of Age on Quality of Life in Breast Cancer Patients Receiving Adjuvant
Chemotherapy: A Comparative Analysis From the Prospective Multicenter Randomized
ADEBAR trial.
AB - BACKGROUND: Elderly breast cancer patients are affected by poorer quality of life
(QoL) compared to younger patients. Because QoL has a relevant impact on
guideline-adherent treatment, elderly breast cancer patients are often
undertreated, especially with regard to adjuvant chemotherapy, and overall
survival is decreased. Thus, understanding the impact of chemotherapy on QoL in
elderly patients is crucial. This study compared QoL in patients aged < 65 years
and 65 to 70 years receiving adjuvant chemotherapy as a secondary outcome in the
prospective randomized multicenter ADEBAR trial. PATIENTS AND METHODS: Patients
with lymph node-positive breast cancer were prospectively randomized for either
sequential anthracycline-taxane or epirubicin/fluorouracil/cyclophosphamid
chemotherapy (FEC) therapy. QoL was assessed at baseline (t1), before cycle 4
FEC, and cycle 5 epirubicin/cyclophosphamid-docetaxel (EC-DOC) (t2), 4 weeks
after chemotherapy (t3), and 6 weeks after radiation (t4) using the European
Organization for Research and Treatment for Cancer (EORTC) Quality of Life Core
Questionnaire (QLQ-C30) and the Breast Cancer-Specific Module (QLQ-BR23). We
compared patients aged < 65 years and 65 to 70 years with respect to QoL and
discontinuation of chemotherapy. RESULTS: A total of 1363 patients were enrolled
onto the ADEBAR trial, with 16.7% of the patients aged 65 to 70 years. In elderly
patients, Eastern Cooperative Oncology Group performance status was higher and
global health status and physical functioning were lower at baseline. Global
health status decreased between t1 and t3 by 7 points in patients < 65 years and
by 11 points in patients 65 to 70 years, and physical functioning decreased in
the same period by 13.4 points in patients aged < 65 years and by 15.9 points in
patients 65 to 70 years. In both groups, at t4 global health status exceeded
baseline by 6 points, and physical functioning was 1.3 points under baseline in
patients < 65 years old and 3 points under baseline in patients 65 to 70 years.
There was a trend to more fatigue in elderly patients and to more nausea and
vomiting while receiving chemotherapy in younger patients at t3. There was a
higher dropout rate in patients aged 65 to 70 years (25.7%) than in patients aged
< 65 years (16.2%). CONCLUSION: There were only small or trivial differences in
QoL in patients aged < 65 years versus 65 to 70 years who were receiving adjuvant
chemotherapy, although the dropout rate from chemotherapy was notably higher in
elderly breast cancer patients.
PMID- 27884725
TI - Endocannabinoid system in sexual motivational processes: Is it a novel
therapeutic horizon?
AB - The endocannabinoid system (ECS), which is composed of the cannabinoid receptors
types 1 and 2 (CB1 and CB2) for marijuana's psychoactive ingredient Delta9
tetrahydrocannabinol (Delta9-THC), the endogenous ligands (AEA and 2-AG) and the
enzymatic systems involved in their biosynthesis and degradation, recently
emerged as important modulator of emotional and non-emotional behaviors. For
centuries, in addition to its recreational actions, several contradictory claims
regarding the effects of Cannabis use in sexual functioning and behavior (e.g.
aphrodisiac vs anti-aphrodisiac) of both sexes have been accumulated. The
identification of Delta9-THC and later on, the discovery of the ECS have opened a
potential therapeutic target for sexual dysfunctions, given the partial efficacy
of current pharmacological treatment. In agreement with the bidirectional
modulation induced by cannabinoids on several behavioral responses, the
endogenous cannabinoid AEA elicited biphasic effects on sexual behavior as well.
The present article reviews current available knowledge on herbal, synthetic and
endogenous cannabinoids with respect to the modulation of several aspects of
sexuality in preclinical and human studies, highlighting their therapeutic
potential.
PMID- 27884724
TI - Optogenetic stimulation of glutamatergic neuronal activity in the striatum
enhances neurogenesis in the subventricular zone of normal and stroke mice.
AB - Neurogenesis in the subventricular zone (SVZ) of the adult brain may contribute
to tissue repair after brain injuries. Whether SVZ neurogenesis can be
upregulated by specific neuronal activity in vivo and promote functional recovery
after stroke is largely unknown. Using the spatial and cell type specific
optogenetic technique combined with multiple approaches of in vitro, ex vivo and
in vivo examinations, we tested the hypothesis that glutamatergic activation in
the striatum could upregulate SVZ neurogenesis in the normal and ischemic brain.
In transgenic mice expressing the light-gated channelrhodopsin-2 (ChR2) channel
in glutamatergic neurons, optogenetic stimulation of the glutamatergic activity
in the striatum triggered glutamate release into SVZ region, evoked membrane
currents, Ca2+ influx and increased proliferation of SVZ neuroblasts, mediated by
AMPA receptor activation. In ChR2 transgenic mice subjected to focal ischemic
stroke, optogenetic stimuli to the striatum started 5days after stroke for 8days
not only promoted cell proliferation but also the migration of SVZ neuroblasts
into the peri-infarct cortex with increased neuronal differentiation and improved
long-term functional recovery. These data provide the first morphological and
functional evidence showing a unique striatum-SVZ neuronal regulation via a semi
phasic synaptic mechanism that can boost neurogenic cascades and stroke recovery.
The benefits from stimulating endogenous glutamatergic activity suggest a novel
regenerative strategy after ischemic stroke and other brain injuries.
PMID- 27884726
TI - Deacetylase inhibitors as a novel modality in the treatment of multiple myeloma.
AB - Deacetylase enzymes remove acetyl groups from histone and nonhistone proteins.
Dysregulation of deacetylase activity is a hallmark of malignancy, including
multiple myeloma (MM). Deacetylase inhibitors (DACi) cause epigenetic
modification and inhibition of the aggresome pathway, resulting in death of MM
cells. Panobinostat, a pan-DACi, has shown significant clinical benefit and is
the first DACi approved for the treatment of MM. It is approved for use in
combination with bortezomib and dexamethasone for the treatment of patients with
relapsed or relapsed and refractory MM who have received >=2 prior regimens
including bortezomib and an immunomodulatory drug. Ricolinostat and ACY-241,
which selectively inhibit HDAC6 and the aggresome pathway, are currently being
studied in combination with dexamethasone and bortezomib or an immunomodulatory
drug for the treatment of relapsed and refractory MM. In this review, we discuss
the data from key clinical trials investigating deacetylase inhibitors as novel
treatment options for MM.
PMID- 27884727
TI - Impact of 17beta-estradiol and progesterone on inflammatory and apoptotic
microRNA expression after ischemia in a rat model.
AB - 17beta-estradiol (E2) and progesterone (P) are neuroprotective factors in the
brain preventing neuronal death under different injury paradigms. In previous
studies, we demonstrated that both steroids dampen neuronal damage, improve local
energy metabolism and attenuate pro-inflammatory responses. MicroRNAs (miRNAs)
are small regulators of distinct target genes on the RNA level. Their expression
patterns are misbalanced in several neurological disorders. To explore the
regulatory mechanisms of steroid hormones on selected miRNAs and their validated
targets in ischemia, we used the transient middle cerebral artery occlusion
(tMCAO) model. 12-week old male rats were subjected to 2h tMCAO and expression
patterns of miR-223, miR-200c, miR-375, miR-199 and miR-214 (all -3p) were
determined. Using semi-quantitative real time PCR, we examined the role of E2 or
P as regulatory factors for miRNAs and theirs target genes. Besides miR-375, all
mentioned miRNAs showed a steady increase with a peak at 72h post tMCAO, whereas
highest levels of miR-375 were detected at 12h post tMCAO. E2 or P selectively
dampened miR-223 and miR-214 but further boosted miR-375 levels. With respect to
the miR-223 regulated target genes NR2B and GRIA2 which both decreased after
tMCAO, E2 and P application reversed this effect. Further, steroid treatment
inhibited the hypoxia-induced increase of the miR-375 target genes Bcl-2 and
RAD1. These findings provide new insights into the regulatory role of
neuroprotection mediated by sex steroids in the brain. Both hormones are capable
of influencing the expression of miRNAs which are relevant during
neuropathological processes. Thereby, E2 and P indirectly control pro-apoptotic
and -inflammatory gene translation and provide a mechanism to dampen explosive
tissue damage.
PMID- 27884728
TI - Magnetic Resonance Thermometry-Guided Laser Interstitial Thermal Therapy in
Neurosurgery, a Promising Tool for Dural-Based Lesions?
PMID- 27884729
TI - Rationale and design of the coronary artery calcium consortium: A multicenter
cohort study.
AB - BACKGROUND: Although coronary artery calcium (CAC) has been investigated for over
two decades, there is very limited data on the association of CAC with cause of
death. The CAC Consortium is a large ongoing multi-center observational cohort of
individuals who underwent non-contrast cardiac-gated CAC testing and systematic,
prospective, long-term follow-up for mortality with ascertainment of cause of
death. METHODS: Four participating institutions from three states within the US
(California, Minnesota, and Ohio) have contributed individual-level patient data
to the CAC Consortium (spanning years 1991-2010). All CAC scans were clinically
indicated and physician-referred in patients without a known history of coronary
heart disease. Using strict inclusion and exclusion criteria to minimize missing
data and to eliminate non-dedicated CAC scans (i.e. concomitant CT angiography),
a sharply defined and well-characterized cohort of 66,636 patients was assembled.
Mortality status was ascertained using the Social Security Administration Death
Master File and a validated algorithm. In addition, death certificates were
obtained from the National Death Index and categorized using ICD (International
Classification of Diseases) codes into common causes of death. RESULTS: Mean
patient age was 54 +/- 11 years and the majority were male (67%). Prevalence of
CVD risk factors was similar across sites and 55% had a <5% estimated 10-year
atherosclerotic cardiovascular disease (ASCVD) risk. Approximately 45% had a
Calcium score of 0 and 11% had an Agatston Score >=400. Over a mean follow-up of
12 +/- 4 years, there were 3158 deaths (4.15 per 1000 person-years). The majority
of deaths were due to cancer (37%) and CVD (32%). Most CVD deaths were due to CHD
(54%) followed by stroke (17%). In general, CAC score distributions were similar
across sites, and there were similar cause of death patterns. CONCLUSIONS: The
CAC Consortium is large and highly generalizable data set that is uniquely
positioned to expand the understanding of CAC as a predictor of mortality risk
across the spectrum of disease states, allowing innovative modeling of the
competing risks of cardiovascular and non-cardiovascular death.
PMID- 27884731
TI - Time-lapse microscopy patent upheld in Europe.
AB - A case for revoking Stanford University's European patent 2430454 on time-lapse
microscopy was set out in Reproductive BioMedicine Online by Sterckx et al. in
2014, on the grounds that the patent claimed a method of diagnosis that was
excluded under a provision of the European Patent Convention. An opposition at
the European Patent Office in which this ground was raised has recently concluded
with a decision that the patent is not excluded from patentability under European
patent law and is to be upheld. An appeal from this decision has been filed, but
the possibility of the decision being overturned is, in this author's opinion,
very limited.
PMID- 27884730
TI - A Qualitative Application of Diffusion of Innovations to Adolescents' Perceptions
of Long-Acting Reversible Contraception's Attributes.
AB - STUDY OBJECTIVE: Long-acting reversible contraceptive (LARC) methods are the most
effective forms of reversible contraception but adolescents often opt for other,
less effective methods. In this study we explored how adolescents viewed LARC as
an innovation to be adopted or rejected, and how their assessment of innovation
attributes affected their decisions about LARC. DESIGN, SETTING, PARTICIPANTS,
INTERVENTIONS, AND MAIN OUTCOME MEASURES: Sexually active adolescents between 15
and 22 years old (n = 22) participated in semistructured qualitative interviews.
The data underwent a content analysis informed by constructs of the diffusion of
innovations and emergent interview themes. RESULTS: Data analysis indicated 3
subgroups of participants on the basis of their inclination to use LARC and the
mutability of that position: positive/persuaded (n = 7), negative/low knowledge
(n = 10), and negative/adamant (n = 5). Participants' perceptions of the relative
advantage, compatibility, complexity, trialability, and observability of LARC
cohered around subgroup membership. Perceived complexity entailed in obtaining
LARC and fears about LARC were observed across all participants. All participants
believed that one has to try LARC to know how it will work for her, personally.
This led the positive/persuaded group to be undeterred by others' negative
experiences with LARC and the negative/low knowledge and negative/adamant groups
to decide LARC was too risky to try. CONCLUSION: Adolescents engage in nuanced
evaluations of LARC, weighing competing information about LARC while receiving
anecdotal and empirical information about LARC from various valid sources. To
reduce the uncertainty about LARC that prohibits trying these methods,
adolescents require straightforward information about LARC that directly
addresses the advantages of LARC over other methods coupled with candid
acknowledgement of the potential disadvantages of LARC.
PMID- 27884732
TI - Natural killer cell subsets and receptor expression in peripheral blood
mononuclear cells of a healthy Korean population: Reference range, influence of
age and sex, and correlation between NK cell receptors and cytotoxicity.
AB - BACKGROUND: The purpose of this study was to identify CD56bright and CD56dim
natural killer (NK) cell subsets and analyze their receptors expression in a
healthy Korean population, and to determine whether receptor expression
correlates with age, sex, and cytotoxicity. MATERIALS AND METHODS: We performed
multicolor flow cytometry assays to analyze the expression of various NK cell
receptors (CD16, NKG2A, NKG2C, NKG2D, CD57, DNAM-1, CD8a, CD62L, NKp30, and
NKp46) on both CD3-/CD56dim and CD3-/CD56bright NK cells in whole-blood samples
from 122 healthy donors. The expression of these receptors was compared according
to age (<30years, n=22, 30-60years, n=73 and >60years, n=27) and gender (male,
n=61, female, n=61). NK cell cytotoxicity assays were performed with peripheral
blood mononuclear cells (PBMCs) from 18 individuals. The results were compared to
the expression levels of NKp30 and NKp46 receptors. RESULTS: A normal reference
range for NK cell receptor expression in two NK cell subsets was established.
NKp46 and NKG2D expression gradually decreased with age (p<0.01 and p<0.05,
respectively) whereas NK cell proportion and numbers, frequencies of CD56dim
cells, and CD57 expression increased with age (p<0.01 in all cases). Men showed
greater NK cell proportion and numbers, frequencies of CD56dim cells, and CD57
expression compared to those of women (p<0.05 and p<0.001; p<0.01 and p<0.01,
respectively). Notably, the expression of NKp46 was negatively correlated with NK
cell frequency (r=-0.42, p<0.001). Furthermore, NK cell cytotoxicity was found to
positively correlate with NCR expression (p=0.02), but not NK cell proportion
(p=0.80). CONCLUSION: We have established a profile of NK cell surface receptors
for a Korean population, and revealed that age and gender have an effect on the
expression of NK cell receptors in the population. Our data might explain why
neither NK cell numbers nor proportions correlate with NK cell cytotoxicity.
PMID- 27884734
TI - Nitric oxide-coupled signaling in odor elicited molecular events in the olfactory
center of the terrestrial snail, Helix pomatia.
AB - Olfaction, a chemosensory modality, plays a pivotal role in the orientation and
behavior of invertebrates. The central olfactory processing unit in terrestrial
stylomatophoran snails is the procerebrum, which contains NO synthesizing
interneurons, whose oscillatory currents are believed to be the base of odor
evoked memory formation. Nevertheless, in this model the up- and downstream
events of molecular cascades that trigger and follow NO release, respectively,
have not been studied. Immunocytochemistry and flow cytometry studies performed
on procerebral neural perikarya isolated from the snail Helix pomatia revealed
cell populations with discrete DAF-2 fluorescence, indicating the release of
different amounts of NO. Glutamate increased the intensity of DAF-2 fluorescence,
and the number of DAF-2 positive non-bursting interneurons, through a mechanism
likely to involve an NMDA-like receptor. Similarly to glutamate, NO activation
induced an increase in intracellular cGMP levels through activation of soluble
guanylyl cyclase. Immunohistochemical localization of proteins possessing the
phosphorylated target sequence of AGC family kinases (RXXS/T-P), among them
protein kinase A (RRXS/T-P), showed striking similarities to the distribution of
NOS/cGMP. Activators of cyclic nucleotide synthesis increased the AGC-kinase
dependent phosphorylation of discrete proteins with 28, 45, and 55kDamw.
Importantly, exposure of snails to an attractive odorant induced
hyperphosphorylation of the 28kDa protein, and increased levels of cGMP
synthesis. Protein S-nitrosylation and intercellular activation of protein kinase
G were also suggested as alternative components of NO signaling in the snail
procerebrum. The present results from Helix pomatia indicate an important role
for procerebrum NO/cGMP/PKA signaling pathways in the regulation of olfactory
(food-finding) behavior.
PMID- 27884733
TI - Hospital-related cost of sepsis: A systematic review.
AB - OBJECTIVES: This article systematically reviews research on the costs of sepsis
and, as a secondary aim, evaluates the quality of economic evaluations reported
in peer-reviewed journals. METHODS: We systematically searched the MEDLINE,
National Health Service (Abstracts of Reviews of Effects, Economic Evaluation and
Health Technology Assessment), Cost-effectiveness Analysis Registry and Web of
Knowledge databases for studies published between January 2005 and June 2015. We
selected original articles that provided cost and cost-effectiveness analyses,
defined sepsis and described their cost calculation method. Only studies that
considered index admissions and re-admissions in the first 30 days were published
in peer-reviewed journals and used standard treatments were considered. All costs
were adjusted to 2014 US dollars. Medians and interquartile ranges (IQRs) for
various costs of sepsis were calculated. The quality of economic studies was
assessed using the Drummond 10-item checklist. RESULTS: Overall, 37 studies met
our eligibility criteria. The median of the mean hospital-wide cost of sepsis per
patient was $32,421 (IQR $20,745-$40,835), and the median of the mean ICU cost of
sepsis per patient was $27,461 (IQR $16,007-$31,251). Overall, the quality of
economic studies was low. CONCLUSIONS: Estimates of the hospital-related costs of
sepsis varied considerably across the included studies depending on the method
used for cost calculation, the type of sepsis and the population that was
examined. A standard model for conducting cost improve the quality of studies on
the costs of sepsis.
PMID- 27884735
TI - Elderly listeners with low intelligibility scores under reverberation show
degraded subcortical representation of reverberant speech.
AB - In order to elucidate why many elderly listeners have difficulty understanding
speech under reverberation, we investigated the relationship between word
intelligibility and auditory brainstem responses (ABRs) in 28 elderly listeners.
We hypothesized that the elderly listeners with low word intelligibility scores
under reverberation would show degraded subcortical encoding information of
reverberant speech as expressed in their ABRs towards a reverberant /da/
syllable. The participants were divided into two groups (top and bottom
performance groups) according to their word intelligibility scores for anechoic
and reverberant words, and ABR characteristics between groups were compared. We
found that correlation coefficients between responses to anechoic and reverberant
/da/ were lower in the bottom performance group than in the top performance
group. This result suggests that degraded neural representation toward
information of reverberant speech may account for lower intelligibility of
reverberant speech in elderly listeners.
PMID- 27884736
TI - Brain and intestinal expression of galanin-like peptide (GALP), galanin receptor
R1 and galanin receptor R2, and GALP regulation of food intake in goldfish
(Carassius auratus).
AB - Galanin-like peptide (GALP) is a 60 amino acid neuropeptide originally discovered
from porcine hypothalamus, and is involved in the regulation of food intake in
mammals. Since its discovery, GALP and its receptors (GALR1 and GALR2) have been
characterized in mammals, but no publications are available on GALP in fish and
other non-mammals. The present study aimed to characterize brain and intestinal
GALP and its receptors using immunohistochemistry in a teleost, the goldfish
(Carassius auratus), and to study its effects on feeding behavior. Immunostaining
of brain sections shows the presence of GALP- and GALR1- and GALR2-like
immunoreactive cells in different encephalic areas, including the telencephalon,
some hypothalamic nuclei, the optic tectum, the torus longitudinalis and the
cerebellum. Signal for GALP was also observed in the fasciculus retroflexus. In
the gut, GALP-and GALR1 and GALR2 immunoreactive cells were detected in the
mucosa. Results from the feeding study demonstrate that intracerebroventricular
administration of GALP (1ng/g bodyweight) increases goldfish food intake at 1h
post-injection. These observations form the first report on the presence of GALP
in the fish brain and gut, and also on its modulatory role on fish feeding
behavior. GALP, as in mammals, appears to be a functional neuropeptide in
goldfish.
PMID- 27884737
TI - Botulinum neurotoxin type A alleviates mechanical hypersensitivity associated
with infraorbital nerve constriction injury in rats.
AB - We investigated the effect of botulinum neurotoxin type A (BoNT-A) on mechanical
allodynia and hyperalgesia associated with infraorbital nerve constriction (ION
CCI) in rats. ION-CCI rats received a subcutaneous BoNT-A injection into the
whisker pad area on day 7 postoperatively and underwent pain assessment on days
14 and 21 postoperatively. Rats were assigned to one of four treatment groups
(n=5 each): ION-CCI+BoNT-A 20pg (low-dose group), ION-CCI+BoNT-A 200pg (high-dose
group), ION-CCI+saline, and Sham. Mechanical allodynia and hyperalgesia were
evaluated preoperatively (baseline) and on days 7, 14, and 21 postoperatively.
After noxious mechanical stimulation of whisker pad skin, the number and
distribution pattern of the phosphorylated extracellular signal-regulated kinase
(pERK)-immunoreactive (IR) neurons were analyzed in the trigeminal spinal
subnucleus caudalis (Vc) and upper cervical spinal cord (C1-C2). On day 21,
nocifensive behavior was attenuated by high-dose but not low-dose BoNT-A
administration. In addition, after noxious mechanical stimulation of whisker pad
skin, the numbers of pERK-IR cells in the superficial laminae of Vc and C1-C2
were significantly lower in the high-dose BoNT-A group than in the ION-CCI+saline
group. The present findings suggest that, by suppressing Vc neuronal activity,
high-dose intradermal injection of BoNT-A at the site of ION innervation
alleviates mechanical facial allodynia and hyperalgesia associated with ION-CCI.
PMID- 27884738
TI - Development of the human oculomotor nuclear complex: Centrally-projecting Edinger
Westphal nucleus.
AB - BACKGROUND: The cytoarchitecturally defined Edinger-Westphal nucleus (EW) is now
referred to by many investigators as the centrally-projecting EW (EWcp) in
humans. Although the mature structure is well-characterized, there have been few
reports describing the precise morphology of this nucleus during the second half
of gestation. SUBJECTS/DESIGN: Eleven brains were examined from preterm infants,
aged 20-39 postmenstrual weeks, who died of various causes. After fixation, the
brains were embedded in celloidin and serial sections of 30-MUm thickness were
cut in the horizontal plane. Sections were stained using the Kluver-Barrera
method. In addition to microscopic observations, computerized 3D reconstruction
and morphometry were performed. RESULTS: From 21 weeks, the EWcp had a
distinctive, complex 3D structure comprising two or three parts. The dorsal part
was arcuate, half encircling the oculomotor somatic nuclei (OSN). The rostral
part was the most voluminous, ventral to the rostral OSN, extending anteriorly.
The caudal part was the smallest, and was composed of several neuronal groups
near the ventral tip of the OSN. In three cases, the caudal part was absent. It
could also be joined to the rostral part, forming a ventral part. The total
volume of the EWcp increased exponentially with age, and the ventral part grew
more rapidly than the dorsal part. The mean neuronal profile area increased
linearly with age, and the rate of increase was almost equal between the dorsal
and ventral parts. CONCLUSIONS: This study suggests that a distinctive, complex,
two- or three-part 3D structure of the EWcp is preserved after mid-gestation, and
that the ventral part of the EWcp may expand in volume more rapidly than the
dorsal part.
PMID- 27884740
TI - Effect of mechanical debridement with adjunct antimicrobial photodynamic therapy
in the treatment of peri-implant diseases in type-2 diabetic smokers and non
smokers.
AB - OBJECTIVE: The aim of the present 6-month follow-up study was to assess the
effect of mechanical debridement (MD) with adjunct antimicrobial photodynamic
therapy (aPDT) in the treatment of peri-implant diseases in type-2 diabetic
smokers and non-smokers. METHODS: Patients were divided into 2 groups: (a) Group
1: type-2 diabetic smokers; and (b) Group-2: type-2 diabetic non-smokers. In both
groups, hemoglobin A1c (HbA1c) levels and peri-implant bleeding on probing (BOP)
and probing depth (PD) >=4mm were measured at baseline and after 6 months of
follow-up. Group comparisons were performed using the Kruskall-Wallis test and
for multiple comparisons Bonferroni post hoc test was used. Level of significance
was set at P<0.05. RESULTS: Sixty-four individuals (33 in Group-1 and 31 in Group
2) were included. At baseline, BOP and PD>=4mm were comparable among individuals
in groups 1 and 2. The mean age of individuals in groups 1 and 2 were 52.6+/-0.8
and 54.4+/-1.2years, respectively. The mean duration of type-2 DM among patients
in groups 1 and 2 was 8.2+/-0.3years and 10+/-0.2years, respectively. In Group-1,
the participants were smoking 6.3+/-1.5 cigarettes daily since 12.7+/-3.3years.
At 6-months follow-up, there was no statistically significant difference in BOP
and PD>=4mm among patients in groups 1 and 2 compared with the respective
baseline values. HbA1c levels were comparable in all groups at all time intervals
CONCLUSION: Outcomes of the treatment of periimplant diseases using MD with
adjunct aPDT are comparable among type-2 diabetic smokers and non-smokers.
PMID- 27884739
TI - Structural and functional improvements due to robot-assisted gait training in the
stroke-injured brain.
AB - Robot-assisted gait training (RAGT) can improve walking ability after stroke.
Because the underlying mechanisms are still unknown, we analyzed changes in post
stroke injured brains after RAGT. Ten non-ambulatory patients receiving inpatient
rehabilitation were examined within 3 months of stroke onset. RAGT consisted of
45min of training, 3days per week. We acquired diffusion tensor imaging (DTI)
data before and after 20 sessions of RAGT. Fractional anisotropy (FA) maps were
then used to determine neural changes after RAGT. Fugl-Meyer motor assessment of
the lower extremity, motricity index of the lower extremity, functional
ambulation category, and trunk control tests were also conducted before training,
after 10 and 20 RAGT sessions, and at the 1-month follow-up. After RAGT, the
supplementary motor area of the unaffected hemisphere showed increased FA, but
the internal capsule, substantia nigra, and pedunculopontine nucleus of the
affected hemisphere showed decreased FA. All clinical outcome measures improved
after 20 sessions of RAGT. Our findings indicate that RAGT can facilitate
plasticity in the intact supplementary motor area, but not the injured motor
related areas, in the affected hemisphere.
PMID- 27884741
TI - Klebsiella and Klebs the person behind the name.
PMID- 27884742
TI - Antifungals.
AB - The need for new antifungal agents is undeniable. Current therapeutic choices for
the treatment of invasive fungal infections are limited to three classes of
drugs. Most used antifungal agents are not completely effective due to the
development of resistance, host toxicity and undesirable side effects that limit
their use in medical practice. Invasive fungal infections have significantly
increased over the last decades and the mortality rates remain unacceptably high.
More threatening, new resistance patterns have been observed including
simultaneous resistance to different antifungal classes. In the last years,
deeper insights into the molecular mechanisms for fungal resistance and virulence
have yielded some new potential targets for antifungal therapeutics. Chemical
genomics-based screenings, high throughput screenings of natural products and
repurposing of approved drugs are some of the approaches being followed for the
discovery of new antifungal molecules. However, despite the emerging need for
effective antifungal agents, the current pipeline contains only a few promising
molecules, with novel modes of action, in early clinical development stages.
PMID- 27884743
TI - Mortality and morbidity after spinal surgery in patients with Parkinson's
disease: a retrospective matched-pair cohort study.
AB - BACKGROUND CONTEXT: There is a lack of information about postoperative outcomes
and related risk factors associated with spinal surgery in patients with
Parkinson's disease (PD). PURPOSE: This study aimed to investigate the
postoperative morbidity and mortality associated with spinal surgery for patients
with PD, and the risk factors for poor outcomes. STUDY DESIGN: This is a
retrospective matched-pair cohort study. PATIENT SAMPLE: Data of patients who
underwent elective spinal surgery between July 2010 and March 2013 were extracted
from the Diagnosis Procedure Combination database, a nationwide inpatient
database in Japan. OUTCOME MEASURES: In-hospital mortality and occurrence of
postoperative complications. METHODS: For each patient with PD, we randomly
selected up to four age- and sex-matched controls in the same hospital in the
same year. The differences in in-hospital mortality and occurrence of
postoperative complications were compared between patients with PD and controls.
A multivariable logistic regression model fitted with a generalized estimation
equation was used to identify significant predictors of major complications
(surgical site infection, sepsis, pulmonary embolism, respiratory complications,
cardiac events, stroke, and renal failure). Multiple imputation was used for
missing data. RESULTS: Among 154,278 patients undergoing spinal surgery, 1,423
patients with PD and 5,498 matched controls were identified. Crude in-hospital
mortality was higher in patients with PD than in controls (0.8% vs. 0.3%,
respectively). The crude proportion of major complications was also higher in
patients with PD (9.8% vs. 5.1% in controls). Postoperative delirium was more
common in patients with PD (30.3%) than in controls (4.3%). Parkinson's disease
was a significant predictor of major postoperative complications, even after
adjusting for other risk factors (odds ratio, 1.74; 95% confidence intervals,
1.37-2.22; p<.001). CONCLUSIONS: Patients with PD had a significantly increased
risk of postoperative complications following spinal surgery. Postoperative
delirium was the most frequently observed complication.
PMID- 27884744
TI - Technical description of oblique lateral interbody fusion at L1-L5 (OLIF25) and
at L5-S1 (OLIF51) and evaluation of complication and fusion rates.
AB - BACKGROUND CONTEXT: The oblique lateral interbody fusion (OLIF) procedure is
aimed at mitigating some of the challenges seen with traditional anterior lumbar
interbody fusion (ALIF) and transpsoas lateral lumbar interbody fusion (LLIF),
and allows for interbody fusion at L1-S1. PURPOSE: The study aimed to describe
the OLIF technique and assess the complication and fusion rates. STUDY DESIGN:
This is a retrospective cohort study. PATIENT SAMPLE: The sample is composed of
137 patients who underwent OLIF procedure. OUTCOME MEASURES: The outcome measures
were adverse events within 6 months of surgery: infection, symptomatic
pseudarthrosis, hardware failure, vascular injury, perioperative blood
transfusion, ureteral injury, bowel injury, renal injury, prolonged postoperative
ileus (more than 3 days), incisional hernia, pseudohernia, reoperation,
neurologic deficits (weakness, numbness, paresthesia), hip flexion pain,
retrograde ejaculation, sympathectomy affecting lower extremities, deep vein
thrombosis, pulmonary embolism, myocardial infarction, pneumonia, and
cerebrovascular accident. The outcome measures also include fusion and subsidence
rates based on computed tomography (CT) done at 6 months postoperatively.
METHODS: Retrospective chart review of 150 consecutive patients was performed to
examine the complications associated with OLIF at L1-L5 (OLIF25), OLIF at L5-S1
(OLIF51), and OLIF at L1-L5 combined with OLIF at L5-S1 (OLIF25+OLIF51). Only
patients who had at least 6 months of postoperative follow-up, including CT scan
at 6 months after surgery, were included. Independent radiology review of CT data
was performed to assess fusion and subsidence rates at 6 months. RESULTS: A total
of 137 patients underwent fusion at 340 levels. An overall complication rate of
11.7% was seen. The most common complications were subsidence (4.4%),
postoperative ileus (2.9%), and vascular injury (2.9%). Ileus and vascular
injuries were only seen in cases including OLIF51. No patient suffered neurologic
injury. No cases of ureteral injury, sympathectomy affecting the lower
extremities, or visceral injury were seen. Successful fusion was seen at 97.9% of
surgical levels. CONCLUSIONS: Oblique lateral interbody fusion is a safe
procedure at L1-L5 as well as L5-S1. The complication profile appears acceptable
when compared with LLIF and ALIF. The oblique trajectory mitigates psoas muscle
and lumbosacral plexus-related complications seen with the lateral transpsoas
approach. Furthermore, there is a high fusion rate based on CT data at 6 months.
PMID- 27884745
TI - Increase in spinal deformity surgery in patients age 60 and older is not
associated with increased complications.
AB - BACKGROUND CONTEXT: Surgical treatment for adult spinal deformity improves
patient quality of life; however, trends in surgical utilization in the elderly,
who may be at higher risk for complications, remain unclear. PURPOSE: To identify
trends in the utilization of adult deformity and determine complication rates
among older patients. STUDY DESIGN: This is a retrospective database analysis.
PATIENT SAMPLE: The Nationwide Inpatient Sample database was queried from 2004 to
2011 to identify adult patients who underwent spinal fusion of eight or more
levels using International Classification of Diseases, Ninth Revision (ICD-9)
coding. OUTCOME MEASURES: Incidence of surgery, complication rates, length of
stay, and total hospital charges. METHODS: The incidence of surgery was
normalized to United States census data by age group. Trends in complications,
length of stay, and inflation-adjusted hospital charges were determined using
linear regression and Cochran-Armitage trend testing. RESULTS: An estimated
29,237 patients underwent adult spinal deformity surgery with an increase from
2,137 to 5,030 cases per year from 2004 to 2011. Surgical incidence among
patients 60 years and older increased from 1.9 to 6.5 cases per 100,000 people
from 2004 to 2011 (p<.001), whereas utilization in patients younger than 60
increased from 0.59 to 0.93. Linear regression revealed that the largest increase
in surgical utilization was for patients aged 65-69 years with an increase of
0.68 patients per 100,000 people per year (p<.001), followed by patients aged 70
74 years with a rate of 0.56 patients per 100,000 people per year (p=.001).
Overall complication rates were 22.5% in 2004 and 26.7% in 2011. Although
complication risk increased with age (>=60 vs. <60: relative risk 1.91 [1.83,
1.99], p<.001), within-age group rates were stable over time. Mean length of stay
was 9.6 days in 2004 and 9.0 days in 2011. Inflation-adjusted mean hospital
charges increased from $171,517 in 2004 to $303,479 in 2011 (p<.001).
CONCLUSIONS: Operative management of adult spinal deformity increased 3.4-fold
among patients >=60 years from 2004 to 2011, with an associated 1.8-fold increase
in hospital charges. Although the exact reasons for the striking increase in
hospital charges remain unclear, some of the increase is likely related to
decreasing reimbursement of charges by payors over the same period of time. The
large majority of cases were performed in large academic centers, and growth in
deformity trained spine specialists in these centers may have contributed to this
trend. Despite the increased utilization of surgery for adult spinal deformity,
in-hospital complications remained stable across all ages.
PMID- 27884747
TI - Novel function of alpha1D L-type calcium channel in the atria.
AB - Ca entry through atrial L-type Calcium channels (alpha1C and alpha1D) play an
important role in muscular contraction, regulation of gene expression, and
release of hormones including atrial natriuretic peptide (ANP), and brain
natriuretic peptide (BNP). alpha1D Ca channel is exclusively expressed in atria,
and has been shown to play a key role in the pathogenesis of atrial fibrillation.
Recent data have shown that the small conductance calcium-activated potassium
channel, SK4 is also atrial specific and also contributes prominently to the
secretion of ANP and BNP. However, its functional role in the heart is still
poorly understood. Here we used alpha1D gene heterozygous (alpha1D+/-) mice and
HL-1 cells to determine the functional contribution of SK4 channels to alpha1D
dependent regulation of ANP and BNP secretion in response to endothelin (ET),
and/or mechanical stretch. Immunoprecipitation with alpha1D specific antibody and
western blotting with SK4 specific antibody on the immuno-precipitated protein
complex showed a band at 50 KDa confirming the presence of SK4 in the complex and
provided evidence of interaction between SK4 and alpha1D channels. Using RT-PCR,
we observed a 2.9 fold decrease in expression of Cacna1d (gene encoding alpha1D)
mRNA in atria from alpha1D+/-mice. The decrease in alpha1D mRNA corresponded with
a 4.2 fold decrease in Kcnn4 (gene encoding SK4) mRNA from alpha1D+/- mice. These
changes were paralleled with a 77% decrease in BNP serum levels from alpha1D+/-
mice. When alpha1D was knocked down in HL-1cardiomyocytes using CRISPR/Cas9
technology, a 97% decrease in secreted BNP was observed even in cells subjected
to stretch and endothelin. In conclusion, our data are first to show that alpha1D
Ca and SK4 channels are coupled in the atria, and that deletion of alpha1D leads
to decreased SK4 mRNA and BNP secretion providing evidence for a novel role of
alpha1D in atrial endocrine function. Elucidating the regulatory factors that
underlie the secretory function of atria will identify novel therapeutic targets
for treatment and prevention of cardiac arrhythmias such as atrial fibrillation.
PMID- 27884746
TI - Collaborative drug discovery for More Medicines for Tuberculosis (MM4TB).
AB - Neglected disease drug discovery is generally poorly funded compared with major
diseases and hence there is an increasing focus on collaboration and
precompetitive efforts such as public-private partnerships (PPPs). The More
Medicines for Tuberculosis (MM4TB) project is one such collaboration funded by
the EU with the goal of discovering new drugs for tuberculosis. Collaborative
Drug Discovery has provided a commercial web-based platform called CDD Vault
which is a hosted collaborative solution for securely sharing diverse chemistry
and biology data. Using CDD Vault alongside other commercial and free
cheminformatics tools has enabled support of this and other large collaborative
projects, aiding drug discovery efforts and fostering collaboration. We will
describe CDD's efforts in assisting with the MM4TB project.
PMID- 27884748
TI - Isolation of bacterial strains able to degrade biphenyl, diphenyl ether and the
heat transfer fluid used in thermo-solar plants.
AB - Thermo-solar plants use eutectic mixtures of diphenyl ether (DE) and biphenyl
(BP) as heat transfer fluid (HTF). Potential losses of HTF may contaminate soils
and bioremediation is an attractive tool for its treatment. DE- or BP-degrading
bacteria are known, but up to now bacteria able to degrade HTF mixture have not
been described. Here, five bacterial strains which are able to grow with HTF or
its separate components DE and BP as sole carbon sources have been isolated,
either from soils exposed to HTF or from rhizospheric soils of plants growing
near a thermo-solar plant. The organisms were identified by 16S rRNA gene
sequencing as Achromobacter piechaudii strain BioC1, Pseudomonas plecoglossicida
strain 6.1, Pseudomonas aeruginosa strains HBD1 and HBD3, and Pseudomonas
oleovorans strain HBD2. Activity of 2,3-dihydroxybiphenyl dioxygenase (BphC), a
key enzyme of the biphenyl upper degradation pathway, was detected in all
isolates. Pseudomonas strains almost completely degraded 2000ppm HTF after 5-day
culture, and even tolerated and grew in the presence of 150,000ppm HTF, being
suitable candidates for in situ soil bioremediation. Degradation of both
components of HTF is of particular interest since in the DE-degrader Sphingomonas
sp. SS3, growth on DE or benzoate was strongly inhibited by addition of BP.
PMID- 27884749
TI - Celecoxib exerts antitumor effects in HL-60 acute leukemia cells and inhibits
autophagy by affecting lysosome function.
AB - Celecoxib, a selective cyclooxygenase-2 (COX-2) inhibitor, has been demonstrated
to exert antitumor activity in a variety of cancer cells. The underlying
mechanism involves inhibition of cell cycle progression and induction of
apoptosis. Besides, celecoxib has also been found to induce autophagy in some
solid tumor cells. The aim of this study was to investigate the effect of
celecoxib on cell proliferation in HL-60 human acute leukemia cells and to
explore the potential mechanism. HL-60 cells were exposed to various
concentrations of celecoxib and cell viability was evaluated by the MTT assay.
Apoptosis was analyzed with flow cytometry and the amount of autophagosome was
evaluated by LysoTracker probe labelling. The expression of apoptosis- and
autophagy-related proteins was assayed by Western blot and LysoSensor probe
labelling was used to detect the effect of celecoxib on the lysosomal functions.
The results of this study indicated that celecoxib inhibited cell proliferation
in a time- and dose-dependent fashion. The flow cytometry analysis showed that
celecoxib induced apoptosis at low concentrations and mainly cell necrosis at
high concentrations. The Western blot test confirmed the induction of apoptosis
by the upregulation of apoptosis-related proteins cleaved caspase-3 and cleaved
PARP. Furthermore, this study demonstrated that celecoxib prevented the
autophagic flux by inhibiting lysosome function; the fluorescence intensity of
the LysoTracker probe and the level of autophagy-related proteins LC3-II and p62
were increased, but the fluorescence intensity of the LysoSensor probe was
weakened. These findings show that celecoxib is an autophagy suppresser and has
antitumor effects in HL-60 cells by inducing cell apoptosis and necrosis.
PMID- 27884750
TI - Methylprednisolone improves lactate metabolism through reduction of elevated
serum lactate in rat model of multiple sclerosis.
AB - BACKGROUND: Some studies have demonstrated elevated concentrations of lactate
both in the cerebrospinal fluid (CSF) and blood samples of multiple sclerosis
(MS) patients as a pathological condition. We designed an experimental study
first to investigate the serum level of lactate as a biomarker of MS progression
and also to investigate the effect of methylprednisolone on serum lactate.
METHODS: Experimental autoimmune encephalomyelitis (EAE) was inducted in Lewis
rats, and then rats were treated intraperitoneally with methylprednisolone
(30mg/kg/d), at the disease onset, and the clinical scores were recorded. After
seven days of treatment, the serum levels of lactate were determined using high
performance liquid chromatography (HPLC). Moreover, lymphocyte infiltration and
the demyelinated area was analysed in spinal cord. RESULTS: Compared to the
untreated-EAE rats, methylprednisolone remarkably improved the clinical score of
EAE and ameliorated the spinal cord inflammation and demyelination. In addition,
the marked decline in IFN-gamma and the increase in IL-4 confirmed improvement in
the rats treated with methylprednisolone. Measurement of lactate using HPLC
indicated enhancement in the serum level of lactate in the untreated-EAE rats;
the lactate level significantly decreased after methylprednisolone therapy.
Moreover, serum lactates and disease severity were correlated positively and
significantly. CONCLUSION: These data confirmed for the first time, that
methylprednisolone can decreases the enhanced level of serum lactate in EAE
model. In addition, it was shown that measurement of serum lactate could be an
inexpensive and accurate laboratory test to determine the response to treatment
and to assess disease severity in MS patients.
PMID- 27884751
TI - A systematic review of the effect of cannabidiol on cognitive function: Relevance
to schizophrenia.
AB - BACKGROUND AND OBJECTIVES: Cognitive impairment is a core symptom domain of
schizophrenia, neurological disorders and substance abuse. It is characterised by
deficits in learning, memory, attention and executive functioning and can
severely impact daily living. Antipsychotic drugs prescribed to treat
schizophrenia provide limited cognitive benefits and novel therapeutic targets
are required. Cannabidiol (CBD), a component of the cannabis plant, has anti
inflammatory and antipsychotic-like properties; however, its ability to improve
cognitive impairment has not been thoroughly explored. The aim of this systematic
review was to evaluate preclinical and clinical literature on the effects of CBD
in cognitive domains relevant to schizophrenia. METHODS: A systematic literature
search was performed across numerous electronic databases for English language
articles (January 1990-March 2016), with 27 articles (18 preclinical and 9
clinical studies) included in the present review. RESULTS: CBD improves cognition
in multiple preclinical models of cognitive impairment, including models of
neuropsychiatric (schizophrenia), neurodegenerative (Alzheimer's disease), neuro
inflammatory (meningitis, sepsis and cerebral malaria) and neurological disorders
(hepatic encephalopathy and brain ischemia). To date, there is one clinical
investigation into the effects of CBD on cognition in schizophrenia patients,
with negative results for the Stroop test. CBD attenuates Delta9-THC-induced
cognitive deficits. CONCLUSIONS: The efficacy of CBD to improve cognition in
schizophrenia cannot be elucidated due to lack of clinical evidence; however,
given the ability of CBD to restore cognition in multiple studies of impairment,
further investigation into its efficacy in schizophrenia is warranted. Potential
mechanisms underlying the efficacy of CBD to improve cognition are discussed.
PMID- 27884752
TI - Quantitative proteomic analysis reveals that proteins required for fatty acid
metabolism may serve as diagnostic markers for gastric cancer.
AB - BACKGROUND: Gastric cancer is one of the leading causes of cancer-related deaths
worldwide. The sensitivities and specificities of current biomarkers for gastric
cancer are insufficient for clinical detection, and new diagnostic tests are
therefore urgently required. METHODS: A discovery set of gastric cancer and
adjacent normal tissues were analyzed for differentially expressed proteins by
labeling of peptide digests with isobaric tag for relative and absolute
quantitation (iTRAQ) reagents followed by liquid chromatography-electrospray
ionization-tandem mass spectrometry. A validation set of 70 pairs of gastric
cancer and adjacent normal tissues were examined to confirm the expression levels
of the potential biomarkers identified by iTRAQ labeling. RESULTS: We detected
431 proteins associated with 16 KEGG pathways that were differentially expressed
in gastric cancer tissues, of which 224 were upregulated and 207 were
downregulated in gastric cancer tissues. Coexpression of fatty acid binding
protein (FABP1) and fatty acid synthase (FASN) in gastric cancer tissues (61.4%
sensitivity and 77.1% specificity) was strongly associated with lymph node
metastasis and Tumor, Node, Metastasis stage I/II. CONCLUSION: Quantitative
proteomic analysis of gastric cancer tissues revealed that coexpression of FABP1
and FASN may serve as a biomarker for detection of early gastric cancer.
PMID- 27884754
TI - Increased serum concentrations of asymmetric dimethylarginine (ADMA) in patients
with early-onset coronary artery disease.
AB - BACKGROUND: Asymmetric dimethylarginine (ADMA) has been associated with an
increased risk of cardiovascular disease. We investigated the role of serum ADMA
concentrations in early-onset coronary artery disease (EOCAD). METHODS:
Candidates for coronary artery angiography (age<50y for men and <55y for women)
who met the inclusion criteria were enrolled in this study. Serum concentrations
of ADMA were determined using ELISA. Severity of coronary atherosclerosis was
estimated by number of diseased vessels. RESULTS: A total of 601 subjects (286
with EOCAD patients and 315 controls) were included in the study. ADMA
concentrations were found to be significantly higher in the EOCAD group (0.480+/
0.110MUmol/l) than in the control group (0.457+/-0.091, P=0.007). ADMA
concentrations significantly increased with the number of diseased vessels
(P<0.001). In addition, serum ADMA concentrations were affected by diabetes
mellitus and smoking status, and were positively correlated with serum creatinine
and body mass index (BMI). CONCLUSIONS: Our results show that serum ADMA
concentrations were associated with the presence and severity of EOCAD,
suggesting that ADMA may be involved in the progression of EOCAD.
PMID- 27884753
TI - Cell-free DNA induced apoptosis of granulosa cells by oxidative stress.
AB - BACKGROUND: Cell-free DNA is a DNA fragment that is produced by cell apoptosis
which can affect the micro-environment of cell apoptosis. The levels of Cell-free
DNA have been associated with successful rate of in vitro fertilization-embryo
transfer (IVF-ET) and embryonic development. Our aim is to determine the
relationship between cell-free DNA and embryo quality. The mechanisms of cell
free DNA in granulose and the apoptosis will be determined also. METHODS: The
study enrolled patients who were undergone IVF for the first time and grouped the
patients as pregnant (n=130) and non-pregnant (n=59). The relationship was
determined by statistical analysis between the levels of cell-free DNA in the
follicular fluid and clinical data of IVF patients. Flow cytometry was done to
detect the rate of granulosa cell apoptosis and intracellular reactive oxygen
species (ROS) level. Western blotting and fluorescent quantitative PCR detected
the apoptosis-related gene expressions. RESULTS: Clinical data statistics showed
that cell-free DNA levels were positively correlated with granulosa cell
apoptosis and negatively correlated with embryo quality and pregnancy rates. High
levels of cell-free DNA lead to increased ROS in granulosa cells and activated
caspase through Fas/FasL that induced apoptosis. CONCLUSION: High levels of cell
free DNA triggers granulosa cell apoptosis and influences oocyte maturation
embryo development and pregnancy rates in IVF treatments. Cell-free DNA can be as
a secondary criteria and predictive marker for the quality control of IVF embryo.
PMID- 27884756
TI - Repeated unpredictable threats without harm impair spatial working memory in the
Barnes maze.
AB - Psychological stressors elicit the anticipation of homeostatic challenge, whereas
physical stressors are direct threats to homeostasis. Many rodent models of
stress include both types of stressors, yet deficits, like those reported for
working memory, are often attributed to psychological stress. To empirically test
whether intermittent psychological stressors, such as repeated threats, are
solely sufficient to impair spatial working memory, we developed a novel rodent
model of stress that is restricted to the anticipation of threat, and free of
direct physical challenge. Adolescent male Sprague-Dawley rats were randomly
assigned to control (CT) or stress (ST) housing conditions consisting of two tub
cages, one with food and another with water, separated by a tunnel. Over three
weeks (P31-P52), the ST group received random (probability of 0.25), simultaneous
presentations of ferret odor, and abrupt lights, and sound at the center of the
tunnel. Relative to the CT group, the ST group had consistently fewer tunnel
crossings, consistent with avoidance of a psychological stressor. Both groups had
similar body weights and crossed the tunnel more in the dark than light period.
Three days after removal from the treatment conditions, spatial working memory
was tested on the Barnes maze. The ST group displayed deficits in spatial working
memory, including longer latencies to enter the goal box position, and a greater
number of returns to incorrect holes, but no significant differences in speed.
Memory can be affected by sleep disruption, and sleep can be affected by stress.
Circadian activity patterns in the tunnels were similar across groups. Therefore,
the data suggest that intermittent threats without physical stress are sufficient
to impair spatial working memory in adolescence.
PMID- 27884755
TI - 64Cu-PSMA uptake in meningioma: A potential pitfall of a promising radiotracer.
PMID- 27884758
TI - Magnesium enhances opioid-induced analgesia - What we have learnt in the past
decades?
AB - Opioids are increasingly used in alleviating pain, including cancer-related pain
and postoperative pain. Unfortunately, the development of tolerance, the
resistance of neuropathic pain on opioid analgesia or other undesirable effects
may limit their utility. In order to reduce opioid doses, and thereby to avoid
the risk of side effects and sudden deaths due to overdosing, attempts have been
made to introduce co-analgesics. Due to an increasing amount of data concerning a
potential enhance of opioid analgesia by the physiological antagonist of N-methyl
d-aspartate receptors, magnesium ions (Mg2+), a concomitant use of such a
combination seems to be interesting from a clinical point of view. Therefore, the
aim of this review is to provide an analysis of existing preclinical and clinical
studies in the context of the benefits of using this combination in clinical
practice. A potential mechanism of magnesium - opioid interaction is also
suggested. The potential influence of Mg on opioid adverse/side effects as well
as conclusions on the safety of combined administration of magnesium and opioid
drugs were also summarized. Data from animal studies indicate that magnesium
increases opioid analgesia in chronic (e.g., neuropathic, inflammatory) as well
as acute pain. In clinical trials, most authors confirmed that magnesium reduces
opioid consumption and alleviates postoperative pain scores while not increasing
the risk of side effects after opioids. However, more clinical studies are needed
concerning an influence of Mg on opioid activity in other difficult to treat
types of pain, especially neuropathic and inflammatory.
PMID- 27884757
TI - The role of hearing ability and speech distortion in the facilitation of
articulatory motor cortex.
AB - Excitability of articulatory motor cortex is facilitated when listening to speech
in challenging conditions. Beyond this, however, we have little knowledge of what
listener-specific and speech-specific factors engage articulatory facilitation
during speech perception. For example, it is unknown whether speech motor
activity is independent or dependent on the form of distortion in the speech
signal. It is also unknown if speech motor facilitation is moderated by hearing
ability. We investigated these questions in two experiments. We applied
transcranial magnetic stimulation (TMS) to the lip area of primary motor cortex
(M1) in young, normally hearing participants to test if lip M1 is sensitive to
the quality (Experiment 1) or quantity (Experiment 2) of distortion in the speech
signal, and if lip M1 facilitation relates to the hearing ability of the
listener. Experiment 1 found that lip motor evoked potentials (MEPs) were larger
during perception of motor-distorted speech that had been produced using a tongue
depressor, and during perception of speech presented in background noise,
relative to natural speech in quiet. Experiment 2 did not find evidence of motor
system facilitation when speech was presented in noise at signal-to-noise ratios
where speech intelligibility was at 50% or 75%, which were significantly less
severe noise levels than used in Experiment 1. However, there was a significant
interaction between noise condition and hearing ability, which indicated that
when speech stimuli were correctly classified at 50%, speech motor facilitation
was observed in individuals with better hearing, whereas individuals with
relatively worse but still normal hearing showed more activation during
perception of clear speech. These findings indicate that the motor system may be
sensitive to the quantity, but not quality, of degradation in the speech signal.
Data support the notion that motor cortex complements auditory cortex during
speech perception, and point to a role for the motor cortex in compensating for
differences in hearing ability.
PMID- 27884759
TI - Heterodimerisation between VEGFR-1 and VEGFR-2 and not the homodimers of VEGFR-1
inhibit VEGFR-2 activity.
AB - Vascular endothelial growth factor (VEGF) signaling is tightly regulated by
specific VEGF receptors (VEGF-R). Recently, we identified heterodimerisation
between VEGFR-1 and VEGFR-2 (VEGFR1-2) to regulate VEGFR-2 function. However,
both the mechanism of action and the relationship with VEGFR-1 homodimers remain
unknown. The current study shows that activation of VEGFR1-2, but not VEGFR-1
homodimers, inhibits VEGFR-2 receptor phosphorylation under VEGF stimulation in
human endothelial cells. Furthermore, inhibition of phosphatidylinositol 3-kinase
(PI3K) increases VEGFR-2 phosphorylation under VEGF stimulation. More
importantly, inhibition of PI3K pathway abolishes the VEGFR1-2 mediated
inhibition of VEGFR-2 phosphorylation. We further demonstrate that inhibition of
PI3K pathway promotes capillary tube formation. Finally, the inhibition of PI3K
abrogates the inhibition of in vitro angiogenesis mediated by VEGFR1-2
heterodimers. These findings demonstrate that VEGFR1-2 heterodimers and not VEGFR
1 homodimers inhibit VEGF-VEGFR-2 signaling by suppressing VEGFR-2
phosphorylation via PI3K pathway.
PMID- 27884760
TI - Marine n-3 fatty acids and the risk of new-onset postoperative atrial
fibrillation after cardiac surgery.
PMID- 27884762
TI - Pleasantness, familiarity, and identification of spice odors are interrelated and
enhanced by consumption of herbs and food neophilia.
AB - The primary dimension of odor is pleasantness, which is associated with a
multitude of factors. We investigated how the pleasantness, familiarity, and
identification of spice odors were associated with each other and with the use of
the respective spice, overall use of herbs, and level of food neophobia. A total
of 126 adults (93 women, 33 men; age 25-61 years, mean 39 years) rated the odors
from 12 spices (oregano, anise, rosemary, mint, caraway, sage, thyme, cinnamon,
fennel, marjoram, garlic, and clove) for pleasantness and familiarity, and
completed a multiple-choice odor identification. Data on the use of specific
spices, overall use of herbs, and Food Neophobia Scale score were collected using
an online questionnaire. Familiar odors were mostly rated as pleasant (except
garlic), whereas unfamiliar odors were rated as neutral (r = 0.63). We observed
consistent and often significant trends that suggested the odor pleasantness and
familiarity were positively associated with the correct odor identification,
consumption of the respective spice, overall use of herbs, and food neophilia.
Our results suggest that knowledge acquisition through repetitive exposure to
spice odor with active attention may gradually increase the odor pleasantness
within the framework set by the chemical characteristics of the aroma compound.
PMID- 27884761
TI - Assessment of the motivation to use artificial sweetener among individuals with
an eating disorder.
AB - Eating disorders are associated with a range of abnormalities in eating behavior.
Some individuals consume large amounts of non-caloric artificial sweeteners,
suggesting abnormalities in appetitive responding. The current study aimed to
quantify hedonic and motivating effects of artificial sweetener in individuals
with and without an eating disorder. Two laboratory studies were conducted.
Hedonic preference was estimated using the number of artificial sweetener packets
(0-10) added to unsweetened cherry flavored Kool-Aid (study 1). Motivation to
obtain sweetener was assessed by a progressive ratio (PR) work task (study 2).
Ninety-three participants (25 anorexia nervosa restricting type (AN-R), 23 AN
binge/purge type (AN-B/P), 20 bulimia nervosa (BN), and 25 normal controls (NC))
completed the study. No significant difference in hedonic preference was found
among participant groups. Work completed at the PR task ranged from 0 to 9500 key
board presses. The AN-B/P group had a significantly higher breakpoint and
performed significantly more work for sweetener compared to the BN and NC groups.
Among AN-B/P and AN-R participants, the preferred number of Equal packets was
significantly correlated with the breakpoint and total work. The increased amount
of work for sweetener among individuals with AN-B/P supports an enhanced reward
value of sweet taste in this population, and suggests that the characteristic
food avoidance in AN cannot be accounted for by decreased reward value of all
taste-related stimuli. This study also supports the novel application of a PR
ratio task to quantify the motivating effect of sweet taste among individuals
with an eating disorder.
PMID- 27884764
TI - Targeted direct-acting antiviral treatment for chronic hepatitis C: A financial
reality or an obstacle to elimination?
PMID- 27884763
TI - ACOX2 deficiency: An inborn error of bile acid synthesis identified in an
adolescent with persistent hypertransaminasemia.
AB - BACKGROUND & AIMS: Acyl-CoA oxidase (ACOX2) is involved in the shortening of C27
cholesterol derivatives to generate C24 bile acids. Inborn errors affecting the
rest of peroxisomal enzymes involved in bile acid biosynthesis have been
described. Here we aimed at investigating the case of an adolescent boy with
persistent hypertransaminasemia of unknown origin and suspected dysfunction in
bile acid metabolism. METHODS: Serum and urine samples were taken from the
patient, his sister and parents and underwent HPLC-MS/MS and HPLC-TOF analyses.
Coding exons in genes of interest were amplified by high-fidelity PCR and
sequenced. Wild-type or mutated (mutACOX2) variants were overexpressed in human
hepatoblastoma HepG2 cells to determine ACOX2 enzymatic activity, expression and
subcellular location. RESULTS: The patient's serum and urine showed negligible
amounts of C24 bile acids, but augmented levels of C27 intermediates, mainly
tauroconjugated trihydroxycholestanoic acid (THCA). Genetic analysis of enzymes
potentially involved revealed a homozygous missense mutation (c.673C>T; R225W) in
ACOX2. His only sister was also homozygous for this mutation and exhibited
similar alterations in bile acid profiles. Both parents were heterozygous and
presented normal C24 and C27 bile acid levels. Immunofluorescence studies showed
similar protein size and peroxisomal localization for both normal and mutated
variants. THCA biotransformation into cholic acid was enhanced in cells
overexpressing ACOX2, but not in those overexpressing mutACOX2. Both cell types
showed similar sensitivity to oxidative stress caused by C24 bile acids. In
contrast, THCA-induced oxidative stress and cell death were reduced by
overexpressing ACOX2, but not mutACOX2. CONCLUSION: ACOX2 deficiency, a condition
characterized by accumulation of toxic C27 bile acid intermediates, is a novel
cause of isolated persistent hypertransaminasemia. LAY SUMMARY: Elevation of
serum transaminases is a biochemical sign of liver damage due to multiplicity of
causes (viruses, toxins, autoimmunity, metabolic disorders). In rare cases the
origin of this alteration remains unknown. We have identified by the first time
in a young patient and his only sister a familiar genetic defect of an enzyme
called ACOX2, which participates in the transformation of cholesterol into bile
acids as a cause of increased serum transaminases in the absence of any other
symptomatology. This treatable condition should be considered in the diagnosis of
those patients where the cause of elevated transaminases remains obscure.
PMID- 27884765
TI - Efficacy of Clarithromycin-Naproxen-Oseltamivir Combination in the Treatment of
Patients Hospitalized for Influenza A(H3N2) Infection: An Open-label Randomized,
Controlled, Phase IIb/III Trial.
AB - BACKGROUND: Influenza causes excessive hospitalizations and deaths. The study
assessed the efficacy and safety of a clarithromycin-naproxen-oseltamivir
combination for treatment of serious influenza. METHODS: From February to April
2015, we conducted a prospective open-label, randomized, controlled trial. Adult
patients hospitalized for A(H3N2) influenza were randomly assigned to a 2-day
combination of clarithromycin 500 mg, naproxen 200 mg, and oseltamivir 75 mg
twice daily, followed by 3 days of oseltamivir or to oseltamivir 75 mg twice
daily without placebo for 5 days as a control method (1:1). The primary end point
was 30-day mortality. The secondary end points were 90-day mortality, serial
nasopharyngeal aspirate (NPA) virus titer, percentage of neuraminidase-inhibitor
resistant A(H3N2) virus (NIRV) quasispecies, pneumonia severity index (PSI), and
duration of hospital stay. RESULTS: Among the 217 patients with influenza A(H3N2)
enrolled, 107 were randomly assigned to the combination treatment. The median age
was 80 years, and 53.5% were men. Adverse events were uncommon. Ten patients died
during the 30-day follow-up. The combination treatment was associated with lower
30-day mortality (P = .01), less frequent high dependency unit admission (P =
.009), and shorter hospital stay (P < .0001). The virus titer and PSI (days 1-3;
P < .01) and the NPA specimens with NIRV quasispecies >= 5% (days 1-2; P < .01)
were significantly lower in the combination treatment group. Multivariate
analysis showed that combination treatment was the only independent factor
associated with lower 30-day mortality (OR, 0.06; 95% CI, 0.004-0.94; P = .04).
CONCLUSIONS: Combination treatment reduced both 30- and 90-day mortality and
length of hospital stay. Further study of the antiviral and immunomodulatory
effects of this combination treatment of severe influenza is warranted. TRIAL
REGISTRY: BioMed Central; No.: ISRCTN11273879 DOI 10.1186/ISRCTN11273879; URL:
www.isrctn.com/ISRCTN11273879.
PMID- 27884767
TI - EIF2AK4 Mutations in Patients Diagnosed With Pulmonary Arterial Hypertension.
AB - BACKGROUND: Differentiating pulmonary venoocclusive disease (PVOD) and pulmonary
capillary hemangiomatosis (PCH) from idiopathic pulmonary arterial hypertension
(IPAH) or heritable pulmonary arterial hypertension (HPAH) is important
clinically. Mutations in eukaryotic translation initiation factor 2 alpha kinase
4 (EIF2AK4) cause heritable PVOD and PCH, whereas mutations in other genes cause
HPAH. The aim of this study was to describe the frequency of pathogenic EIF2AK4
mutations in patients diagnosed clinically with IPAH or HPAH. METHODS: Sanger
sequencing and deletion/duplication analysis were performed to detect mutations
in the bone morphogenetic protein receptor type II (BMPR2) gene in 81 patients
diagnosed at 30 North American medical centers with IPAH (n = 72) or HPAH (n =
9). BMPR2 mutation-negative patients (n = 67) were sequenced for mutations in
four other genes (ACVRL1, ENG, CAV1, and KCNK3) known to cause HPAH. Patients
negative for mutations in all known PAH genes (n = 66) were then sequenced for
mutations in EIF2AK4. We assessed the pathogenicity of EIF2AK4 mutations and
reviewed clinical characteristics of patients with pathogenic EIF2AK4 mutations.
RESULTS: Pathogenic BMPR2 mutations were identified in 8 of 72 (11.1%) patients
with IPAH and 6 of 9 (66.7%) patients with HPAH. A novel homozygous EIF2AK4
mutation (c.257+4A>C) was identified in 1 of 9 (11.1%) patients diagnosed with
HPAH. The novel EIF2AK4 mutation (c.257+4A>C) was homozygous in two sisters with
severe pulmonary hypertension. None of the 72 patients with IPAH had biallelic
EIF2AK4 mutations. CONCLUSIONS: Pathogenic biallelic EIF2AK4 mutations are rarely
identified in patients diagnosed with HPAH. Identification of pathogenic
biallelic EIF2AK4 mutations can aid clinicians in differentiating HPAH from
heritable PVOD or PCH.
PMID- 27884766
TI - Mechanisms of Vascular Dysfunction in COPD and Effects of a Novel Soluble Epoxide
Hydrolase Inhibitor in Smokers.
AB - BACKGROUND: Smoking and COPD are risk factors for cardiovascular disease, and the
pathogenesis may involve endothelial dysfunction. We tested the hypothesis that
endothelium-derived epoxyeicosatrienoic acid (EET)-mediated endothelial function
is impaired in patients with COPD and that a novel soluble epoxide hydrolase
inhibitor, GSK2256294, attenuates EET-mediated endothelial dysfunction in human
resistance vessels both in vitro and in vivo. METHODS: Endogenous and stimulated
endothelial release of EETs was assessed in 12 patients with COPD, 11 overweight
smokers, and two matched control groups, using forearm plethysmography with
intraarterial infusions of fluconazole, bradykinin, and the combination. The
effects of GSK2256294 on EET-mediated vasodilation in human resistance arteries
were assessed in vitro and in vivo in a phase I clinical trial in healthy
overweight smokers. RESULTS: Compared with control groups, there was reduced
vasodilation with bradykinin (P = .005), a blunted effect of fluconazole on
bradykinin-induced vasodilation (P = .03), and a trend toward reduced basal
EET/dihydroxyepoxyeicosatrienoic acid ratio in patients with COPD (P = .08). A
similar pattern was observed in overweight smokers. In vitro, 10 MUM GSK2256294
increased 11,12-EET-mediated vasodilation compared with vehicle (90% +/- 4.2% vs
72.6% +/- 6.2% maximal dilatation) and shifted the bradykinin half-maximal
effective concentration (EC50) (-8.33 +/- 0.172 logM vs -8.10 +/- 0.118 logM; P =
.001 for EC50). In vivo, 18 mg GSK2256294 improved the maximum bradykinin
response from 338% +/- 46% before a dose to 566% +/- 110% after a single dose (P
= .02) and to 503% +/- 123% after a chronic dose (P = .003). CONCLUSIONS:
GSK2256294 attenuates smoking-related EET-mediated endothelial dysfunction,
suggesting potential therapeutic benefits in patients with COPD. TRIAL REGISTRY:
ClinicalTrials.gov; No.: NCT01762774; URL: www.clinicaltrials.gov.
PMID- 27884768
TI - Intact renewal after extinction of conditioned suppression with lesions of either
the retrosplenial cortex or dorsal hippocampus.
AB - Extinction of fear to a Pavlovian conditioned stimulus (CS) is known to be
context-specific. When the CS is tested outside the context of extinction, fear
returns, or renews. Several studies have demonstrated that renewal depends upon
the hippocampus, although there are also studies where renewal was not impacted
by hippocampal damage, suggesting that under some conditions context encoding
and/or retrieval of extinction depends upon other regions. One candidate region
is the retrosplenial cortex (RSC), which is known to contribute to contextual and
spatial learning and memory. Using a conditioned-suppression paradigm, Experiment
1 tested the impact of pre-training RSC lesions on renewal of extinguished fear.
Consistent with previous studies, lesions of the RSC did not impact acquisition
or extinction of conditioned fear to the CS. Further, there was no evidence that
RSC lesions impaired renewal, indicating that contextual encoding and/or
retrieval of extinction does not depend upon the RSC. In Experiment 2, post
extinction lesions of either the RSC or dorsal hippocampus (DH) also had no
impact on renewal. However, in Experiment 3, both RSC and DH lesions did impair
performance in an object-in-place procedure, an index of place memory. RSC and DH
contributions to extinction and renewal are discussed.
PMID- 27884770
TI - Neutrophil granulocytes in cerebral ischemia - Evolution from killers to key
players.
AB - Neutrophil granulocytes (or polymorphonuclear cells, PMNs) have long been
considered as crude killing machines, particularly trained to attack bacterial or
fungal pathogens in wounds or infected tissues. That perspective has
fundamentally changed over the last decades, as PMNs have been shown to exert a
livery exchange between other cells of the innate and adaptive immune system.
PMNs do provide major immunomodulatory contribution during acute inflammation and
subsequent clearance. Following sterile inflammation like cerebral ischemia, PMNs
are among the first hematogenous cells attracted to the ischemic tissue. As
inflammation is a crucial component within stroke pathophysiology, several
studies regarding the role of PMNs following cerebral ischemia have been carried
out. And indeed, recent research suggests a direct connection between PMNs'
influx and brain damage severity. This review highlights the latest research
regarding the close interconnection between PMNs and co-working cells following
cerebral ischemia. We describe how PMNs are attracted to the site of injury and
their tasks within the inflamed brain tissue and the periphery. We further report
of new findings regarding the interaction of PMNs with resident microglia,
immigrating macrophages and T cells after stroke. Finally, we discuss recent
research results from experimental studies in the context with current clinical
trials and point out potential new therapeutic applications that could emerge
from this new knowledge on the action and interaction of PMNs following cerebral
ischemia.
PMID- 27884769
TI - Upregulation of CD74 and its potential association with disease severity in
subjects with ischemic stroke.
AB - Macrophage migration inhibitory factor (MIF) is a key cytokine/chemokine in the
activation and recruitment of inflammatory T lymphocytes known to exacerbate
experimental stroke severity. MIF effects are mediated through its primary
cellular receptor, CD74, the MHC class II invariant chain present on all class II
expressing cells, including monocytes, macrophages and dendritic cells (DC). We
demonstrated previously that partial MHC class II/peptide constructs (pMHC) can
effectively treat mice with experimental stroke, in part through their ability to
competitively inhibit MIF/CD74 interactions and downstream signaling. However,
the role of MIF and CD74 in human ischemic stroke is not yet well established. To
evaluate the therapeutic potential for pMHC, we assessed MIF and CD74 expression
levels and their association with disease outcome in subjects with ischemic
stroke. MIF levels were assessed in blood plasma by ELISA and CD74 expression was
quantified by flow cytometry and qRT-PCR in peripheral blood mononuclear cells
(PBMCs) obtained from subjects with ischemic stroke and age and sex-matched
healthy controls (HC). MIF levels were increased in plasma and the number of
CD74+ cells and CD74 mRNA expression levels were significantly increased in PBMC
of subjects with ischemic stroke versus HC, mainly on CD4+ T cells, monocytes and
DC. Greater increases of CD74+ cells were seen in subjects with cortical vs.
subcortical infarcts and the number of CD74+ cells in blood correlated strongly
with infarct size and neurological outcomes. However, differences in MIF and CD74
expression were not affected by age, gender or lesion laterality. Increased CD74
expression levels may serve as a useful biomarker for worse stroke severity and
predicted outcomes in subjects with ischemic stroke and provide a rationale for
potential future treatment with pMHC constructs.
PMID- 27884771
TI - Rapid detection of Salmonella in raw chicken breast using real-time PCR combined
with immunomagnetic separation and whole genome amplification.
AB - We presented the first attempt to combine immunomagnetic separation (IMS), whole
genome amplification by multiple displacement amplification (MDA) and real-time
PCR for detecting a bacterial pathogen in a food sample. This method was
effective in enabling real-time PCR detection of low levels of Salmonella
enterica Serotype Enteritidis (SE) (~10 CFU/g) in raw chicken breast without
culture enrichment. In addition, it was able to detect refrigeration-stressed SE
cells at lower concentrations (~0.1 CFU/g) in raw chicken breast after a 4-h
culture enrichment, shortening the detection process from days to hours and
displaying no statistical difference in detection rate in comparison with a
culture-based detection method. By substantially improving performance in SE
detection over conventional real-time PCR, we demonstrated the potential of IMS
MDA real-time PCR as a rapid, sensitive and affordable method for detecting
Salmonella in food.
PMID- 27884772
TI - Ovol2 gene inhibits the Epithelial-to-Mesenchymal Transition in lung
adenocarcinoma by transcriptionally repressing Twist1.
AB - BACKGROUND: Associated with recent achievements in therapy for advanced lung
adenocarcinoma, there will still be an unmet medical need for effective treatment
of stage IIIb/IV, and the prognosis of lung cancer is not optimistic till now.
OBJECTIVE: In order to obtain some essential evidences for a potential targeted
therapy in lung adenocarcinoma, the effects of Ovol2 gene on Epithelial-to
Mesenchymal Transition (EMT) was observed and the probable mechanisms were
analyzed. METHODS: Ovol2 expression was previously evaluated by immunochemistry
in lung adenocarcinoma tissue, and Ovol2 was overexpressed by lentivirus
infection in A549 cells. Subsequently, the migration and invasion ability of A549
cells was tested by Transwell and Wound healing experiments. The mRNA level of
genes correlated to EMT was detected by Real-time PCR, and the expression of
reasonable makers was probed by Western Blot. Finally, rescue experiment,
Luciferase assay, and chromatin immunoprecipitation assay were performed to
explore the probable mechanisms. RESULTS: After treated with Ovol2
overexpression, the expression level of E-cadherin was increased, while the
expression level of Vimentin and Twist1 was declined not only in the mRNA level
but also in the protein level. Moreover, we found that Ovol2 represses
transcription of Twist1 by binding to its promoter directly. Wound healing and
Transwell assays indicate that the migration and invasion ability were
downregulated by Ovol2 in A549 cells. CONCLUSION: Ovol2 can suppress migration
and invasion ability of A549 cells, and prevent EMT by inhibition of Twist1
transcription directly.
PMID- 27884773
TI - An intelligent prognostic system for analyzing patients with paraquat poisoning
using arterial blood gas indexes.
AB - The arterial blood gas (ABG) test is used to assess gas exchange in the lung, and
the acid-base level in the blood. However, it is still unclear whether or not ABG
test indexes correlate with paraquat (PQ) poisoning. This study investigates the
predictive value of ABG tests in prognosing patients with PQ poisoning; it also
identifies the most significant indexes of the ABG test. An intelligent machine
learning-based system was established to effectively give prognostic analysis of
patients with PQ poisoning based on ABG indexes. In the proposed system, an
enhanced support vector machine combined with a feature selection strategy was
developed to predict the risk status from a pool of 103 patients (56 males and 47
females); of these, 52 subjects were deceased and 51 patients were alive. The
proposed method was rigorously evaluated against the real-life dataset in terms
of accuracy, sensitivity, and specificity. Additionally, the feature selection
was investigated to identify correlating factors for the risk status. The results
demonstrated that there were significant differences in ABG indexes between
deceased and alive subjects (p-value <0.01). According to the feature selection,
we found that the most important correlated indexes were associated with partial
pressure of carbon dioxide (PCO2). This study discovered the relationship between
ABG test and poisoning degree to provide a new avenue for prognosing PQ
poisoning.
PMID- 27884774
TI - Magnetically-responsive, multifunctional drug delivery nanoparticles for elastic
matrix regenerative repair.
AB - : Arresting or regressing growth of abdominal aortic aneurysms (AAAs), localized
expansions of the abdominal aorta are contingent on inhibiting chronically
overexpressed matrix metalloproteases (MMPs)-2 and -9 that disrupt elastic matrix
within the aortic wall, concurrent with providing a stimulus to augmenting
inherently poor auto-regeneration of these matrix structures. In a recent study
we demonstrated that localized, controlled and sustained delivery of doxycycline
(DOX; a tetracycline-based antibiotic) from poly(lactic-co-glycolic acid)
nanoparticles (PLGA NPs), enhances elastic matrix deposition and MMP-inhibition
at a fraction of the therapeutically effective oral dose. The surface
functionalization of these NPs with cationic amphiphiles, which enhances their
arterial uptake, was also shown to have pro-matrix regenerative and anti-MMP
effects independent of the DOX. Based on the hypothesis that the incorporation of
superparamagnetic iron oxide NPs (SPIONs) within these PLGA NPs would enhance
their targetability to the AAA site under an applied external magnetic field, we
sought to evaluate the functional effects of NPs co-encapsulating DOX and SPIONs
(DOX-SPION NPs) on elastic matrix regeneration and MMP synthesis/activity in
vitro within aneurysmal smooth muscle cell (EaRASMC) cultures. The DOX-SPION NPs
were mobile under an applied external magnetic field, while enhancing elastic
matrix deposition 1.5-2-fold and significantly inhibiting MMP-2 synthesis and MMP
2 and -9 activities, compared to NP-untreated control cultures. These results
illustrate that the multifunctional benefits of NPs are maintained following
SPION co-incorporation. Additionally, preliminary studies carried out
demonstrated enhanced targetability of SPION-loaded NPs within proteolytically
disrupted porcine carotid arteries ex vivo, under the influence of an applied
external magnetic field. Thus, this dual-agent loaded NP system proffers a
potential non-surgical option for treating small growing AAAs, via controlled and
sustained drug release from multifunctional, targetable nanocarriers. STATEMENT
OF SIGNIFICANCE: Proactive screening of high risk elderly patients now enables
early detection of abdominal aortic aneurysms (AAAs). There are no established
drug-based therapeutic alternatives to surgery for AAAs, which is unsuitable for
many elderly patients, and none which can achieve restore disrupted and lost
elastic matrix in the AAA wall, which is essential to achieve growth arrest or
regression. We have developed a first generation design of polymer nanoparticles
(NPs) for AAA tissue localized delivery of doxycycline, a modified tetracycline
drug at low micromolar doses at which it provides both pro-elastogenic and anti
proteolytic benefits that can augment elastic matrix regenerative repair. The
nanocarriers themselves are also uniquely chemically functionalized on their
surface to also provide them pro-elastin-regenerative & anti-matrix degradative
properties. To provide an active driving force for efficient uptake of intra
lumenally infused NPs to the AAA wall, in this work, we have rendered our polymer
NPs mobile in an applied magnetic field via co-incorporation of super
paramagnetic iron oxide NPs. We demonstrate that such modifications significantly
improve wall uptake of the NPs with no significant changes to their physical
properties and regenerative benefits. Such NPs can potentially stimulate
structural repair in the AAA wall following one time infusion to delay or prevent
AAA growth to rupture. The therapy can provide a non-surgical treatment option
for high risk AAA patients.
PMID- 27884776
TI - Inverted orientation improves decellularization of whole porcine hearts.
AB - : In structurally heterogeneous organs, such as heart, it is challenging to
retain extracellular matrix integrity in the thinnest regions (eg, valves) during
perfusion decellularization and completely remove cellular debris from thicker
areas. The high inflow rates necessary to maintain physiologic pressure can
distend or damage thin tissues, but lower pressures prolong the process and
increase the likelihood of contamination. We examined two novel retrograde
decellularization methods for porcine hearts: inverting the heart or venting the
apex to decrease inflow rate. We measured flow dynamics through the aorta (Ao)
and pulmonary artery (PA) at different Ao pressures and assessed the heart's
appearance, turbidity of the outflow solutions, and coronary perfusion
efficiency. We used rectangle image fitting of decellularized heart images to
obtain a heart shape index. Using nonlinear optical microscopy, we determined the
microstructure of collagen and elastin fibers of the aortic valve cusps. DNA,
glycosaminoglycan, and residual detergent levels were compared. The inverted
method was superior to the vented method, as shown by a higher coronary perfusion
efficiency, more cell debris outflow, higher collagen and elastin content inside
the aortic valve, lower DNA content, and better retention of the heart shape
after decellularization. To our knowledge, this is the first study to use flow
dynamics in a whole heart throughout the decellularization procedure to provide
real-time information about the success of the process and the integrity of the
vulnerable regions of the matrix. Heart orientation was important in optimizing
decellularization efficiency and maintaining extracellular matrix integrity.
STATEMENT OF SIGNIFICANCE: The use of decellularized tissue as a suitable
scaffold for engineered tissue has emerged over the past decade as one of the
most promising biofabrication platforms. The decellularization process removes
all native cells, leaving the natural biopolymers, extracellular matrix materials
and native architecture intact. This manuscript describes heart orientation as
important in optimizing decellularization efficiency and maintaining
extracellular matrix integrity. To our knowledge, this is the first study to
assess flow dynamics in a whole heart throughout the decellularization procedure.
Our findings compared to currently published methods demonstrate that continuous
complex real-time measurements and analyses are required to produce an optimal
scaffold for cardiac regeneration.
PMID- 27884775
TI - Tuning acoustic and mechanical properties of materials for ultrasound phantoms
and smart substrates for cell cultures.
AB - : Materials with tailored acoustic properties are of great interest for both the
development of tissue-mimicking phantoms for ultrasound tests and smart scaffolds
for ultrasound mediated tissue engineering and regenerative medicine. In this
study, we assessed the acoustic properties (speed of sound, acoustic impedance
and attenuation coefficient) of three different materials (agarose,
polyacrylamide and polydimethylsiloxane) at different concentrations or cross
linking levels and doped with different concentrations of barium titanate ceramic
nanoparticles. The selected materials, besides different mechanical features
(stiffness from few kPa to 1.6MPa), showed a wide range of acoustic properties
(speed of sound from 1022 to 1555m/s, acoustic impedance from 1.02 to 1.67MRayl
and attenuation coefficient from 0.2 to 36.5dB/cm), corresponding to ranges in
which natural soft tissues can fall. We demonstrated that this knowledge can be
used to build tissue-mimicking phantoms for ultrasound-based medical procedures
and that the mentioned measurements enable to stimulate cells with a highly
controlled ultrasound dose, taking into account the attenuation due to the cell
supporting scaffold. Finally, we were able to correlate for the first time the
bioeffect on human fibroblasts, triggered by piezoelectric barium titanate
nanoparticles activated by low-intensity pulsed ultrasound, with a precise
ultrasound dose delivered. These results may open new avenues for the development
of both tissue-mimicking materials for ultrasound phantoms and smart triggerable
scaffolds for tissue engineering and regenerative medicine. STATEMENT OF
SIGNIFICANCE: This study reports for the first time the results of a systematic
acoustic characterization of agarose, polyacrylamide and polydimethylsiloxane at
different concentrations and cross-linking extents and doped with different
concentrations of barium titanate nanoparticles. These results can be used to
build tissue-mimicking phantoms, useful for many ultrasound-based medical
procedures, and to fabricate smart materials for stimulating cells with a highly
controlled ultrasound dose. Thanks to this knowledge, we correlated for the first
time a bioeffect (the proliferation increase) on human fibroblasts, triggered by
piezoelectric nanoparticles, with a precise US dose delivered. These results may
open new avenues for the development of both tissue-mimicking phantoms and smart
triggerable scaffolds for tissue engineering and regenerative medicine.
PMID- 27884777
TI - Anionic glycosylated polysulfone membranes for the affinity adsorption of low
density lipoprotein via click reactions.
AB - : An anionic glycosylated polysulfone (PSf) membrane was prepared as a high
affinity adsorbent for low-density lipoprotein (LDL). The UV-induced grafting of
acrylic acid to the membrane was followed by amidation and a 'thiol-yne' click
reaction to achieve glycosylation and sulfonation. Membrane modification was
confirmed by attenuated total reflectance-Fourier transform infrared spectroscopy
and X-ray photoelectron spectroscopy. These tests revealed that the chemical
compositions of the membranes' surfaces were easily regulated by controlling the
'thiol-yne' click reaction through the feed ratio of 2,3,4,6-tetra-O-acetyl-1
thio-beta-d-glucopyranose and sodium 3-mercapto-1-propanesulfonate. LDL
adsorption and desorption rates were estimated using an enzyme-linked
immunosorbent assay, which revealed that the obtained anionic glycosylated PSf
membrane had a higher affinity for LDL than either glycosylated or sulfonated
membranes alone. The combination of glycosyl and sulfonyl groups enhanced the
membranes' affinities for LDL. The modified PSf membrane had an excellent
biocompatibility and adsorbed a large amount of LDL, making it a promising
material for LDL apheresis. STATEMENT OF SIGNIFICANCE: Low-density lipoprotein
(LDL) adsorbents normally contain negative charged ligand to induce electrostatic
interaction with the positively charged regions of LDL. Furthermore, saccharide
is another common component which share in most of the LDL-adsorbents and the LDL
receptor (LDLR). Such structural similarity impels us to investigate the
synergistic effect of anionic and saccharide on LDL recognition. For this
purpose, an anionic glycosylated membrane of which surface composition can be
controlled by click reaction with mutable glycosyl/sulfonyl ratios was prepared.
The obtained membrane showed better LDL adsorption/desorption property and the
adsorption amount for LDL at an optimum feed ratio. This finding highlights the
role of synergistic effect of anionic and saccharide, which offer a new strategy
for designing LDL adsorbent with high efficiency.
PMID- 27884778
TI - Expression of adipokines in osteoarthritis osteophytes and their effect on
osteoblasts.
AB - OBJECTIVE: Osteophyte formation in osteoarthritis (OA) is mediated by increased
osteoblast activity, which is -in turn- regulated by the Wnt signaling pathway.
Obesity is regarded a risk factor in OA, yet little is known about the
interaction between adipose tissue-derived factors, the adipokines, and bone
formation, although adipokines are associated with the pathogenesis of OA.
Therefore, the effect of adipokines on bone and cartilage forming cells and
osteophyte development was analyzed. METHODS: Human OA osteophytes were
histologically characterized and adipokine expression was evaluated by
immunohistochemistry. Osteoblasts and chondrocytes were isolated from OA tissue
and stimulated with adiponectin, resistin, or visfatin. Cytokine and
osteoblast/chondrocyte markers were quantified and activation of Wnt and p38 MAPK
signaling was analyzed. RESULTS: Adiponectin, resistin, and visfatin were
expressed in OA osteophytes by various articular cell types. Stimulation of OA
osteoblasts with adiponectin and of OA chondrocytes with visfatin led to an
increased release of proinflammatory mediators but not to osteoblast
differentiation or activation. Additionally, visfatin increased matrix degrading
factors in chondrocytes. Wnt signaling was not altered by adipokines, but
adiponectin induced p38 MAPK signaling in osteoblasts. CONCLUSION: Adipokines are
present in OA osteophytes, and adiponectin and visfatin increase the release of
proinflammatory mediators by osteoblasts and chondrocytes. The effects of
adiponectin were mediated by p38 MAPK but not Wnt signaling in osteoblasts.
Therefore, the results support the idea that adipokines do not directly influence
osteophyte development but the proinflammatory conditions in OA.
PMID- 27884779
TI - Gene-Targeted Next Generation Sequencing Identifies PNPLA1 Mutations in Patients
with a Phenotypic Spectrum of Autosomal Recessive Congenital Ichthyosis: The
Impact of Consanguinity.
AB - Autosomal recessive congenital ichthyosis is a heterogeneous group of disorders
associated with mutations in at least nine distinct genes. To ascertain the
molecular basis of ichthyosis patients in Iran, a country of approximately 80
million people with a high prevalence of customary consanguineous marriages, we
have developed a gene-targeted next generation sequencing array consisting of 38
genes reported in association with ichthyosis phenotypes. In a subset of nine
extended consanguineous families, we found homozygous missense mutations in the
PNPLA1 gene, six of them being distinct and, to our knowledge, previously
unpublished. This gene encodes an enzyme with lipid hydrolase activity, important
for development and maintenance of the barrier function of the epidermis. These
six mutations, as well as four previously published mutations, reside exclusively
within the patatin-like subdomain of PNPLA1 containing the catalytic site. The
mutations clustered around the active center of the enzyme or resided at the
surface of the protein possibly involved in the protein-protein interactions.
Clinical features of the patients showed considerable intra- and interfamilial
heterogeneity. Knowledge of the specific mutations allows identification of
heterozygous carriers, assisting in genetic counseling, prenatal testing, and
preimplantation genetic diagnosis in extended families at risk of recurrence of
this disorder, the incidence of which is significantly increased in
consanguineous marriages.
PMID- 27884781
TI - Epigenetic mechanisms underlying lifespan and age-related effects of dietary
restriction and the ketogenic diet.
AB - Aging constitutes the central risk factor for major diseases including many forms
of cancer, neurodegeneration, and cardiovascular diseases. The aging process is
characterized by both global and tissue-specific changes in gene expression
across taxonomically diverse species. While aging has historically been thought
to entail cell-autonomous, even stochastic changes, recent evidence suggests that
modulation of this process can be hierarchal, wherein manipulations of nutrient
sensing neurons (e.g., in the hypothalamus) produce peripheral effects that may
modulate the aging process itself. The most robust intervention extending
lifespan, plausibly impinging on the aging process, involves different modalities
of dietary restriction (DR). Lifespan extension by DR is associated with broad
protection against diseases (natural and engineered). Here we review potential
epigenetic processes that may link lifespan to age-related diseases, particularly
in the context of DR and (other) ketogenic diets, focusing on brain and
hypothalamic mechanisms.
PMID- 27884782
TI - Is the plant-associated microbiota of Thymus spp. adapted to plant essential oil?
AB - We examined whether the microbiota of two related aromatic thyme species, Thymus
vulgaris and Thymus citriodorus, differs in relation to the composition of the
respective essential oil (EO). A total of 576 bacterial isolates were obtained
from three districts (leaves, roots and rhizospheric soil). They were
taxonomically characterized and inspected for tolerance to the EO from the two
thyme species. A district-related taxonomic pattern was found. In particular,
high taxonomic diversity among the isolates from leaves was detected. Moreover,
data obtained revealed a differential pattern of resistance of the isolates to
EOs extracted from T. vulgaris and T. citriodorus, which was interpreted in terms
of differing chemical composition of the EO of their respective host plants. In
conclusion, we suggest that bacterial colonization of leaves in Thymus spp. is
influenced by the EO present in leaf glandular tissue as one of the selective
forces shaping endophytic community composition.
PMID- 27884783
TI - Secondary multidrug efflux pump mutants alter Escherichia coli biofilm growth in
the presence of cationic antimicrobial compounds.
AB - Escherichia coli possesses many secondary active multidrug resistance
transporters (MDTs) that confer overlapping substrate resistance to a broad range
of antimicrobials via proton and/or sodium motive force. It is uncertain whether
redundant MDTs uniquely alter cell survival when cultures grow planktonically or
as biofilms. In this study, the planktonic and biofilm growth and antimicrobial
resistance of 13 E. coli K-12 single MDT gene deletion strains in minimal and
rich media were determined. Antimicrobial tolerance to tetracycline, tobramycin
and benzalkonium were also compared for each DeltaMDT strain. Four E. coli MDT
families were represented in this study: resistance nodulation and cell division
members acrA, acrB, acrD, acrE, acrF and tolC; multidrug and toxin extruder mdtK;
major facilitator superfamily emrA and emrB; and small multidrug resistance
members emrE, sugE, mdtI and mdtJ. Deletions of multipartite efflux system genes
acrB, acrE and tolC resulted in significant reductions in both planktonic and
biofilm growth phenotypes and enhanced antimicrobial susceptibilities. The loss
of remaining MDT genes produced similar or enhanced (acrD, acrE, emrA, emrB,
mdtK, emrE and mdtJ) biofilm growth and antimicrobial resistance. DeltaMDT
strains with enhanced antimicrobial tolerance also enhanced biofilm biomass.
These findings suggest that many redundant MDTs regulate biofilm formation and
drug tolerance.
PMID- 27884784
TI - Phenotypic and genomic characterization of the antimicrobial producer
Rheinheimera sp. EpRS3 isolated from the medicinal plant Echinacea purpurea:
insights into its biotechnological relevance.
AB - In recent years, there has been increasing interest in plant microbiota; however,
despite medicinal plant relevance, very little is known about their highly
complex endophytic communities. In this work, we report on the genomic and
phenotypic characterization of the antimicrobial compound producer Rheinheimera
sp. EpRS3, a bacterial strain isolated from the rhizospheric soil of the
medicinal plant Echinacea purpurea. In particular, EpRS3 is able to inhibit
growth of different bacterial pathogens (Bcc, Acinetobacter baumannii, and
Klebsiella pneumoniae) which might be related to the presence of gene clusters
involved in the biosynthesis of different types of secondary metabolites. The
outcomes presented in this work highlight the fact that the strain possesses huge
biotechnological potential; indeed, it also shows antimicrobial effects upon well
described multidrug-resistant (MDR) human pathogens, and it affects plant root
elongation and morphology, mimicking indole acetic acid (IAA) action.
PMID- 27884780
TI - Regulation of metabolic health and aging by nutrient-sensitive signaling
pathways.
AB - All organisms need to be capable of adapting to changes in the availability and
composition of nutrients. Over 75 years ago, researchers discovered that a
calorie restricted (CR) diet could significantly extend the lifespan of rats, and
since then a CR diet has been shown to increase lifespan and healthspan in model
organisms ranging from yeast to non-human primates. In this review, we discuss
the effects of a CR diet on metabolism and healthspan, and highlight emerging
evidence that suggests that dietary composition - the precise macronutrients that
compose the diet - may be just as important as caloric content. In particular, we
discuss recent evidence that suggests protein quality may influence metabolic
health. Finally, we discuss key metabolic pathways which may influence the
response to CR diets and altered macronutrient composition. Understanding the
molecular mechanisms responsible for the effects of CR and dietary composition on
health and longevity may allow the design of novel therapeutic approaches to age
related diseases.
PMID- 27884785
TI - Viable but non-culturable state and toxin gene expression of enterohemorrhagic
Escherichia coli O157 under cryopreservation.
AB - As major food-borne pathogens worldwide, Escherichia coli are capable of toxin
production directly causing severe human disease. However, routine methods are
incapable of detecting viable but non-culturable (VBNC) bacteria in food products
and raw materials, leading to false-negative identification. In this study, VBNC
E. coli O157 strains were acquired after cryopreservation at -20 degrees C, with
and without freeze-thawing; morphology was observed to be of shorter rod-shape,
and toxin expression remained at relatively high levels. PMA-PCR assay for VBNC
detection was also validated. Therefore, these results suggest that VBNC E. coli
O157 strains may represent a strong threat to public health and food safety.
PMID- 27884786
TI - Osteopontin and the dento-osseous pathobiology of X-linked hypophosphatemia.
AB - Seven young patients with X-linked hypophosphatemia (XLH, having inactivating
PHEX mutations) were discovered to accumulate osteopontin (OPN) at the sites of
defective bone mineralization near osteocytes - the so-called hallmark
periosteocytic (lacunar) "halos" of XLH. OPN was also localized in the
pericanalicular matrix extending beyond the osteocyte lacunae, as well as in the
hypomineralized matrix of tooth dentin. OPN, a potent inhibitor of mineralization
normally degraded by PHEX, is a member of a family of acidic, phosphorylated,
calcium-binding, extracellular matrix proteins known to regulate dental,
skeletal, and pathologic mineralization. Associated with the increased amount of
OPN (along with inhibitory OPN peptide fragments) in XLH bone matrix, we found an
enlarged, hypomineralized, lacuno-canalicular network - a defective pattern of
skeletal mineralization that decreases stiffness locally at: i) the cell-matrix
interface in the pericellular environment of the mechanosensing osteocyte, and
ii) the osteocyte's dendritic network of cell processes extending throughout the
bone. Our findings of an excess of inhibitory OPN near osteocytes and their cell
processes, and in dentin, spatially correlates with the defective mineralization
observed at these sites in the skeleton and teeth of XLH patients. These changes
likely contribute to the dento-osseous pathobiology of XLH, and participate in
the aberrant bone adaptation and remodeling seen in XLH.
PMID- 27884788
TI - The virtual dissecting room: Creating highly detailed anatomy models for
educational purposes.
AB - INTRODUCTION: Virtual 3D models are powerful tools for teaching anatomy. At the
present day, there are a lot of different digital anatomy models, most of these
commercial applications are based on a 3D model of a human body reconstructed
from images with a 1mm intervals. The use of even smaller intervals may result in
more details and more realistic appearances of 3D anatomy models. The aim of this
study was to create a realistic and highly detailed 3D model of the hand and
wrist based on small interval cross-sectional images, suitable for undergraduate
and postgraduate teaching purposes with the possibility to perform a virtual
dissection in an educational application. METHODS: In 115 transverse cross
sections from a human hand and wrist, segmentation was done by manually
delineating 90 different structures. With the use of Amira the segments were
imported and a surface model/polygon model was created, followed by smoothening
of the surfaces in Mudbox. In 3D Coat software the smoothed polygon models were
automatically retopologied into a quadrilaterals formation and a UV map was
added. In Mudbox, the textures from 90 structures were depicted in a realistic
way by using photos from real tissue and afterwards height maps, gloss and
specular maps were created to add more level of detail and realistic lightning on
every structure. Unity was used to build a new software program that would
support all the extra map features together with a preferred user interface.
CONCLUSION: A 3D hand model has been created, containing 100 structures (90 at
start and 10 extra structures added along the way). The model can be used
interactively by changing the transparency, manipulating single or grouped
structures and thereby simulating a virtual dissection. This model can be used
for a variety of teaching purposes, ranging from undergraduate medical students
to residents of hand surgery. Studying the hand and wrist anatomy using this
model is cost-effective and not hampered by the limited access to real dissecting
facilities.
PMID- 27884789
TI - Surgery for advanced epithelial ovarian cancer.
AB - Cytoreductive surgery for patients with advanced epithelial ovarian cancer has
been practised since the pioneering work of Tom Griffiths in 1975. Further
research has demonstrated the prognostic significance of the extent of metastatic
disease pre-operatively, and of complete cytoreduction post-operatively. Patients
with advanced epithelial ovarian cancer should be referred to high volume cancer
units, and managed by multidisciplinary teams. The role of thoracoscopy and
resection of intrathoracic disease is presently investigational. In recent years,
there has been increasing use of neoadjuvant chemotherapy and interval
cytoreductive surgery in patients with poor performance status, which is usually
due to large volume ascites and/or large pleural effusions. Neoadjuvant
chemotherapy reduces the post-operative morbidity, but if the tumour responds
well to the chemotherapy, the inflammatory response makes the surgery more
difficult. Post-operative morbidity is generally tolerable, but increases in
older patients, and in those having multiple, aggressive surgical procedures,
such as bowel resection or diaphragmatic stripping. Primary cytoreductive surgery
should be regarded as the gold standard for most patients until a test is
developed which would allow the prediction of platinum resistance pre
operatively.
PMID- 27884790
TI - Altered spermatogenesis, steroidogenesis and suppressed fertility in adult male
rats exposed to genistein, a non-steroidal phytoestrogen during embryonic
development.
AB - This article focuses on the effects of prenatal exposure to genistein on the
mother, her pregnancy and reproductive functions of the male progeny, since these
issues have ethological relevance in both animals and humans. Pregnant Wistar
rats received i.p. injections of genistein at a dose level of 2, 20 or 100 mg/kg
body weight daily from 12th to 19th day of gestation. Male pups from control and
genistein exposed animals were weaned and allowed to develop until 100 days of
age; however, when they were 90 days old, twelve males from each group were
cohabited with untreated 90-day old females for 8 days. Results revealed a
significant decrease in indices of reproductive organs in adult male rats exposed
to genistein during embryonic development. Dose dependent reduction was observed
in daily sperm production and epididymal sperm density and quality in genistein
treated rats. Significant decrease was observed in the activity levels of 3beta-
and 17beta-hydroxysteroid dehydrogenases in testis of experimental rats with a
decline in plasma testosterone levels. Histological examination of testis of
genistein treated rats indicated deterioration in testicular architecture. In the
fertility study, the mean number of implantations and live fetuses per dam mated
with 100 mg genistein exposed males was reduced.
PMID- 27884787
TI - Loss of Cbl-PI3K interaction modulates the periosteal response to fracture by
enhancing osteogenic commitment and differentiation.
AB - The periosteum contains multipotent skeletal progenitors that contribute to bone
repair. The signaling pathways regulating the response of periosteal cells to
fracture are largely unknown. Phosphatidylinositol-3 Kinase (PI3K), a prominent
lipid kinase, is a major signaling protein downstream of several factors that
regulate osteoblast differentiation. Cbl is an E3 ubiquitin ligase and a major
adaptor protein that binds to the p85 regulatory subunit and modulates PI3K
activity. Substitution of tyrosine 737 to phenylalanine (Y737F) in Cbl abolishes
the interaction between Cbl and p85 subunit without affecting the Cbl's ubiquitin
ligase function. Here, we investigated the role of PI3K signaling during the very
early stages of fracture healing using OsterixRFP reporter mice. We found that
the absence of PI3K regulation by Cbl resulted in robust periosteal thickening,
with increased proliferation of periosteal cells. While the multipotent
properties of periosteal progenitors to differentiate into chondrocytes and
adipocytes did not change, osteogenic differentiation in the absence of Cbl-PI3K
interaction was highly augmented. The increased stability and nuclear
localization of Osterix observed in periosteal cells lacking Cbl-PI3K interaction
may explain this enhanced osteogenic differentiation since the expression of
Osterix transcriptional target genes including osteocalcin and BSP are increased
in YF cells. Overall, our findings highlight a hitherto unexplored and novel role
for Cbl and PI3K in modulating the osteogenic response of periosteal cells during
the early stages of fracture repair.
PMID- 27884791
TI - Immunomodulatory effect of characterized extract of Zataria multiflora on Th1,
Th2 and Th17 in normal and Th2 polarization state.
AB - The effect of the extract of Zataria multiflora (Z. multiflora) on IFN-gamma,
FOXP3, IL-4, TGF-beta, and IL-17 gene expression was evaluated in cultured
splenocytes obtained from control, nontreated asthma or sensitized mice (group
S), Sensetized animals treated with dexamethasone or three concentrations of Z.
multiflora extract (200, 400 and 800 MUg/ml) (n = 6, for each group). IFN-gamma
and FOXP3 gene expressions were significantly decreased (P < 0.001 for both
cases) but IL-4 (P < 0.001) and IL-17 (P < 0.05) were increased in group S
compared to control group. Z. Multiflora extract 800 MUg/ml, significantly
upregulated IFN-gamma gene expression (P < 0.01) and its 400 and 800 MUg/ml
concentrations increased FOXP3 gene expression (P < 0.05 and P < 0.001,
respectively) compared to group S. Z. multiflora extract at all concentrations
(200, 400 and 800 MUg/ml) decreased TGF-beta gene expression and its lowest
concentration significantly reduced IL-17 gene expression compared to group S (P
< 0.001 for all cases). Only IL-4 and TGF-beta gene expression was significantly
decreased following treatment with dexamethasone (P < 0.001 for both cases). The
results indicated an increase in IFN-gamma and FOXP3 but decrease in TGF-beta and
IL-17 gene expression profile in sensitized splenocytes treated with the extract,
which might be partially due to the presence of one of its constituent,
carvacrol.
PMID- 27884792
TI - RIFM fragrance ingredient safety assessment, beta-Guaiene, CAS Registry Number 88
84-6.
PMID- 27884793
TI - Sex, stress and sleep apnoea: Decreased susceptibility to upper airway muscle
dysfunction following intermittent hypoxia in females.
AB - Obstructive sleep apnoea syndrome (OSAS) is a devastating respiratory control
disorder more common in men than women. The reasons for the sex difference in
prevalence are multifactorial, but are partly attributable to protective effects
of oestrogen. Indeed, OSAS prevalence increases in post-menopausal women. OSAS is
characterized by repeated occlusions of the pharyngeal airway during sleep.
Dysfunction of the upper airway muscles controlling airway calibre and
collapsibility is implicated in the pathophysiology of OSAS, and sex differences
in the neuro-mechanical control of upper airway patency are described. It is
widely recognized that chronic intermittent hypoxia (CIH), a cardinal feature of
OSAS due to recurrent apnoea, drives many of the morbid consequences
characteristic of the disorder. In rodents, exposure to CIH-related redox stress
causes upper airway muscle weakness and fatigue, associated with mitochondrial
dysfunction. Of interest, in adults, there is female resilience to CIH-induced
muscle dysfunction. Conversely, exposure to CIH in early life, results in upper
airway muscle weakness equivalent between the two sexes at 3 and 6 weeks of age.
Ovariectomy exacerbates the deleterious effects of exposure to CIH in adult
female upper airway muscle, an effect partially restored by oestrogen replacement
therapy. Intriguingly, female advantage intrinsic to upper airway muscle exists
with evidence of substantially greater loss of performance in male muscle during
acute exposure to severe hypoxic stress. Sex differences in upper airway muscle
physiology may have relevance to human OSAS. The oestrogen-oestrogen receptor
alpha axis represents a potential therapeutic target in OSAS, particularly in
post-menopausal women.
PMID- 27884794
TI - Peroxiredoxin 6 suppresses Muc5ac overproduction in LPS-induced airway
inflammation through H2O2-EGFR-MAPK signaling pathway.
AB - Mucus hypersecretion is a prominent mechanism in airway inflammation. Muc5ac is a
major component of mucus and can be activated by reactive oxygen species (ROS).
Peroxiredoxin 6 (Prdx6) highly expresses in airway epithelium and protects the
airway from oxidative stress. In this study, we investigated the roles of Prdx6
in lipopolysaccharide (LPS)-induced mucin production in mice. We found that the
levels of H2O2 and the Muc5ac mRNA were significantly increased in Prdx6 (-/-)
mice compared to those in C57BL/6J mice after LPS instillation, which were
markedly inhibited by epithelial growth factor receptor (EGFR) inhibitor
Elrotinib. In vitro studies showed that mRNA levels of Prdx6 were decreased while
H2O2 and Muc5ac were increased in a dose-dependent manner after LPS exposure,
with significant increase in Prdx6 knockdown bronchial epithelial cells compared
with those in normal epithelial cells. LPS-induced Muc5ac release was
significantly inhibited by EGFR inhibitor, p38 inhibitor and JNK inhibitor, but
not ERK1/2 inhibitor, indicating that the H2O2-EGFR-MAPK pathway is likely
involved in the responses. This study indicated that Prdx6 decreased LPS-induced
Muc5ac increase and played important roles in mucin hypersecretion after LPS
exposure.
PMID- 27884795
TI - Forced oscillation technique as a predictor of FEV1 improvement in asthma.
AB - The usefulness of the forced oscillation technique (FOT) for predicting the
treatment outcomes in untreated asthmatic patients is unknown. We investigated
whether FOT could predict an improvement in FEV1 following treatment. FOT,
spirometry, and fractional exhaled nitric oxide were performed in 31 outpatients
before and after undergoing a minimum of two months combination therapy of
inhaled corticosteroids and long-acting beta2-agonists. The patients were
classified as responders or nonresponders to treatment based on the presence or
absence of a 10% improvement in the FEV1. The responders to the treatment regimen
exhibited lower FEV1, FEV1/FVC, FEF25-75%, and higher respiratory resistance at
5Hz (R5), as well as a difference between R5 and R20 (R5-R20) at baseline
compared to the nonresponders. In the multivariate logistic regression analyses,
a change in FEV1 greater than 10% was independently predicted by the R5 (adjusted
odds ratio: 15.9). The ROC curve analyses revealed that the area under the curve
for R5 (0.731) was larger than that of the other parameters. Thus, R5 is a forced
oscillatory parameter and predicts an improvement in FEV1 following treatment.
PMID- 27884796
TI - Can the measurement of pulmonary diffusing capacity for nitric oxide replace the
measurement of pulmonary diffusing capacity for carbon monoxide?
AB - Pulmonary diffusing capacity for carbon monoxide (DLCO) has been an important
pulmonary function test used since the 1950's. It measures the uptake of CO from
the alveolar space into pulmonary capillary blood, following the same path as
oxygen. It's used to evaluate/follow the progress of various lung diseases. In
the eighties, a new test was developed similar to the DLCO test: pulmonary
diffusing capacity for nitric oxide (DLNO). About 81-90% of the variance in DLNO
is shared by DLCO in patients with cardiopulmonary disease and in healthy
subjects. When DLNO is abnormally low, so is DLCO, and when DLNO is normal, so is
DLCO (Kappa Statistic=0.69, n=251). The probability that DLNO and DLCO will be
abnormally low when a cardiopulmonary disease is present (sensitivity) is 79% and
68%, respectively. The DLNO test avoids many technical issues associated with the
measurement of DLCO: (1) DLNO is relatively unaffected by inspired oxygen
concentration or ambient pressure, (2) DLNO is unaffected by carboxyhemoglobin,
(3) DLNO is minimally affected by hemoglobin (Hb) concentration, thus correcting
for Hb is not needed. (4) DLNO is more affected by lung volume compared to DLCO,
thus DLNO divided by alveolar volume (KNO) is a better measure than KCO in those
with restrictive lung disease, and (5) DLNO is a more stable measure over time
compared to DLCO. Therefore, DLNO has several advantages over DLCO in the
management of patients and could replace the DLCO test in most cases moving
forward.
PMID- 27884798
TI - Neural markers of loss aversion in resting-state brain activity.
AB - Neural responses in striatal, limbic and somatosensory brain regions track
individual differences in loss aversion, i.e. the higher sensitivity to potential
losses compared with equivalent gains in decision-making under risk. The
engagement of structures involved in the processing of aversive stimuli and
experiences raises a further question, i.e. whether the tendency to avoid losses
rather than acquire gains represents a transient fearful overreaction elicited by
choice-related information, or rather a stable component of one's own preference
function, reflecting a specific pattern of neural activity. We tested the latter
hypothesis by assessing in 57 healthy human subjects whether the relationship
between behavioral and neural loss aversion holds at rest, i.e. when the BOLD
signal is collected during 5minutes of cross-fixation in the absence of an
explicit task. Within the resting-state networks highlighted by a spatial group
Independent Component Analysis (gICA), we found a significant correlation between
strength of activity and behavioral loss aversion in the left ventral striatum
and right posterior insula/supramarginal gyrus, i.e. the very same regions
displaying a pattern of neural loss aversion during explicit choices. Cross-study
analyses confirmed that this correlation holds when voxels identified by gICA are
used as regions of interest in task-related activity and vice versa. These
results suggest that the individual degree of (neural) loss aversion represents a
stable dimension of decision-making, which reflects in specific metrics of
intrinsic brain activity at rest possibly modulating cortical excitability at
choice.
PMID- 27884799
TI - The infectious hypoxia: occurrence and causes during Shigella infection.
AB - Hypoxia is defined as a tissue oxygenation status below physiological needs.
During Shigella infection, an infectious hypoxia is induced within foci of
infection. In this review, we discuss how Shigella physiology and virulence are
modulated and how the main recruited immune cells, the neutrophils, adapt to this
environment.
PMID- 27884800
TI - The Hidden Cost of Medicine.
PMID- 27884797
TI - Breathing abnormalities in animal models of Rett syndrome a female neurogenetic
disorder.
AB - A characteristic feature of Rett syndrome (RTT) is abnormal breathing accompanied
by several other neurological and cognitive disorders. Since RTT rodent models
became available, studies have begun shedding insight into the breathing
abnormalities at behavioral, cellular and molecular levels. Defects are found in
several groups of brainstem neurons involved in respiratory control, and
potential neural mechanisms have been suggested. The findings in animal models
are helpful in therapeutic strategies for people with RTT with respect to
lowering sudden and unexpected death, preventing secondary developmental
consequences, and improving the quality of lives.
PMID- 27884801
TI - Effect of Flexible Duty Hour Policies on Length of Stay for Complex Intra
Abdominal Operations: A Flexibility in Duty Hour Requirements for Surgical
Trainees (FIRST) Trial Analysis.
AB - BACKGROUND: Changes to resident duty hour policies in the Flexibility in Duty
Hour Requirements for Surgical Trainees (FIRST) trial could impact hospitalized
patients' length of stay (LOS) by altering care coordination. Length of stay can
also serve as a reflection of all complications, particularly those not captured
in the FIRST trial (eg pneumothorax from central line). Programs were randomized
to either maintaining current ACGME duty hour policies (Standard arm) or more
flexible policies waiving rules on maximum shift lengths and time off between
shifts (Flexible arm). Our objective was to determine whether flexibility in
resident duty hours affected LOS in patients undergoing high-risk surgical
operations. STUDY DESIGN: Patients were identified who underwent hepatectomy,
pancreatectomy, laparoscopic colectomy, open colectomy, or ventral hernia repair
(2014-2015 academic year) at 154 hospitals participating in the FIRST trial. Two
procedure-stratified evaluations of LOS were undertaken: multivariable negative
binomial regression analysis on LOS and a multivariable logistic regression
analysis on the likelihood of a prolonged LOS (>75th percentile). RESULTS: Before
any adjustments, there was no statistically significant difference in overall
mean LOS between study arms (Flexible Policy: mean [SD] LOS 6.03 [5.78] days vs
Standard Policy: mean LOS 6.21 [5.82] days; p = 0.74). In adjusted analyses,
there was no statistically significant difference in LOS between study arms
overall (incidence rate ratio for Flexible vs Standard: 0.982; 95% CI, 0.939
1.026; p = 0.41) or for any individual procedures. In addition, there was no
statistically significant difference in the proportion of patients with prolonged
LOS between study arms overall (Flexible vs Standard: odds ratio = 1.028; 95% CI,
0.871-1.212) or for any individual procedures. CONCLUSIONS: Duty hour flexibility
had no statistically significant effect on LOS in patients undergoing complex
intra-abdominal operations.
PMID- 27884802
TI - Association Between Flexible Duty Hour Policies and General Surgery Resident
Examination Performance: A Flexibility in Duty Hour Requirements for Surgical
Trainees (FIRST) Trial Analysis.
AB - BACKGROUND: Concerns persist about the effect of current duty hour reforms on
resident educational outcomes. We investigated whether a flexible, less
restrictive duty hour policy (Flexible Policy) was associated with differential
general surgery examination performance compared with current ACGME duty hour
policy (Standard Policy). STUDY DESIGN: We obtained examination scores on the
American Board of Surgery In-Training Examination, Qualifying Examination
(written boards), and Certifying Examination (oral boards) for residents in 117
general surgery residency programs that participated in the Flexibility in Duty
Hour Requirements for Surgical Trainees (FIRST) Trial. Using bivariate analyses
and regression models, we compared resident examination performance across study
arms (Flexible Policy vs Standard Policy) for 2015 and 2016, and 1 year of the
Qualifying Examination and Certifying Examination. Adjusted analyses accounted
for program-level factors, including the stratification variable for
randomization. RESULTS: In 2016, FIRST trial participants were 4,363 general
surgery residents. Mean American Board of Surgery In-Training Examination scores
for residents were not significantly different between study groups (Flexible
Policy vs Standard Policy) overall (Flexible Policy: mean [SD] 502.6 [100.9] vs
Standard Policy: 502.7 [98.6]; p = 0.98) or for any individual postgraduate year
level. There was no difference in pass rates between study arms for either the
Qualifying Examination (Flexible Policy: 90.4% vs Standard Policy: 90.5%; p =
0.99) or Certifying Examination (Flexible Policy: 86.3% vs Standard Policy:
88.6%; p = 0.24). Results from adjusted analyses were consistent with these
findings. CONCLUSIONS: Flexible, less-restrictive duty hour policies were not
associated with differences in general surgery resident performance on
examinations during the FIRST Trial. However, more years under flexible duty hour
policies might be needed to observe an effect.
PMID- 27884803
TI - Association Between Resident Perceptions of Patient Safety and Duty Hour
Violations.
AB - BACKGROUND: Residents are often required to balance whether to adhere to duty
hour policies or violate them to care for patients and obtain educational
experiences. Little is known about why residents violate duty hour policies and
whether there is a relationship between how often residents violate duty hours
and concerns about patient safety. Our objective was to assess the association
between resident duty hour violations and resident concerns about patient safety.
STUDY DESIGN: We analyzed survey data collected from surgery residents who
completed the 2015 American Board of Surgery In-Training Examination, excluding
those in the Flexible Policy arm of the Flexibility in Duty Hour Requirements for
Surgical Trainees (FIRST) trial. Perceptions of how duty hour restrictions affect
patient safety were dichotomized as either "positive/neutral" or "negative."
Resident duty hour violations in a typical month were separated as "frequently"
(>=3 times) or "infrequently" (<3 times). Rates were compared and regression
models were used to examine the association between negative perceptions and duty
hour violations, adjusting for resident and program-level covariates. RESULTS:
Overall, 25.3% of trainees under current policies perceived that current ACGME
duty hour policies negatively affected patient safety. This negative perception
increased with PGY level (PGY1: 18.5%, PGY2 to 3: 22.6%, PGY4 to 5: 32.0%; p <
0.001). Residents with negative perceptions more often reported frequent duty
violations (positive/neutral: 20.0% vs negative: 32.7%; p < 0.001). After
adjustment for covariates, a negative perception of how duty hour policies affect
patient safety was significantly associated with a higher likelihood of frequent
duty hour violations among all trainees grouped together (odds ratio [OR] = 1.89;
95% CI, 1.60-2.22), and separately for interns (OR = 2.59; 95% CI, 1.70-3.93),
junior (OR = 1.62; 95% CI 1.22-2.16), and senior residents (OR = 1.99; 95% CI,
1.54-2.58). CONCLUSIONS: Trainees who reported perceiving negative effects of
duty hour policies on patient safety were more likely to report frequent duty
hour violations.
PMID- 27884804
TI - Gender-Based Differences in Surgical Residents' Perceptions of Patient Safety,
Continuity of Care, and Well-Being: An Analysis from the Flexibility in Duty Hour
Requirements for Surgical Trainees (FIRST) Trial.
AB - BACKGROUND: Little is known about gender differences in residency training
experiences and whether duty hour policies affect these differences. Using data
from the Flexibility in Duty Hour Requirements for Surgical Trainees (FIRST)
trial, we examined gender differences in surgical resident perceptions of patient
safety, education, health and well-being, and job satisfaction, and assessed
whether duty hour policies affected gender differences. STUDY DESIGN: We compared
proportions of male and female residents expressing dissatisfaction or perceiving
a negative effect of duty hours on aspects of residency training (ie patient
safety, resident education, well-being, job satisfaction) overall and by PGY.
Logistic regression models with robust clustered SEs were used to test for
significant gender differences and interaction effects of duty hour policies on
gender differences. RESULTS: Female PGY2 to 3 residents were more likely than
males to be dissatisfied with patient safety (odds ratio [OR] = 2.50; 95% CI,
1.29-4.84) and to perceive a negative effect of duty hours on most health and
well-being outcomes (OR = 1.51-2.10; all p < 0.05). Female PGY4 to 5 residents
were more likely to be dissatisfied with resident education (OR = 1.56; 95% CI,
1.03-2.35) and time for rest (OR = 1.55; 95% CI, 1.05-2.28) than males. Flexible
duty hours reduced gender differences in career dissatisfaction among interns (p
= 0.028), but widened gender differences in negative perceptions of duty hours on
patient safety (p < 0.001), most health and well-being outcomes (p < 0.05), and
outcomes related to job satisfaction (p < 0.05) among PGY2 to 3 residents.
CONCLUSIONS: Gender differences exist in perceptions of surgical residency. These
differences vary across cohorts and can be influenced by duty hour policies.
PMID- 27884805
TI - Use and Underlying Reasons for Duty Hour Flexibility in the Flexibility in Duty
Hour Requirements for Surgical Trainees (FIRST) Trial.
AB - BACKGROUND: The Flexibility in Duty Hour Requirements for Surgical Trainees
(FIRST) Trial randomly assigned surgical residency programs to either standard
duty hour policies or flexible policies that eliminated caps on shift lengths and
time off between shifts. Our objectives were to assess adherence to duty hour
requirements in the Standard Policy arm and examine how often and why duty hour
flexibility was used in the Flexible Policy arm. STUDY DESIGN: A total of 3,795
residents in the FIRST trial completed a survey in January 2016 (response rate
>95%) that asked how often and why they exceeded current standard duty hour
limits in both study arms. RESULTS: Flexible Policy interns worked more than 16
hours continuously at least once in a month more frequently than Standard Policy
residents (86% vs 37.8%). Flexible Policy residents worked more than 28 hours
once in a month more frequently than Standard Policy residents (PGY1: 64% vs
2.9%; PGY2 to 3: 62.4% vs 41.9%; PGY4 to 5: 52.2% vs 36.6%), but this occurred
most frequently only 1 to 2 times per month. Although residents reported working
more than 80 hours in a week 3 or more times in the most recent month more
frequently under Flexible Policy vs Standard Policy (19.9% vs 16.2%), the
difference was driven by interns (30.9% vs 19.6%), and there were no significant
differences in exceeding 80 hours among PGY2 to 5 residents. The most common
reasons reported for extending duty hours were facilitating care transitions
(76.6%), stabilizing critically ill patients (70.7%), performing routine
responsibilities (67.9%), and operating on patients known to the trainee (62.0%).
CONCLUSIONS: There were differences in duty hours worked by residents in the
Flexible vs Standard Policy arms of the FIRST trial, but it appeared that
residents generally used the flexibility for patient care and educational
opportunities selectively.
PMID- 27884806
TI - Exploring Qualitative Perspectives on Surgical Resident Training, Well-Being, and
Patient Care.
AB - BACKGROUND: The Flexibility in Duty Hour Requirements for Surgical Trainees
(FIRST) trial found no difference in patient outcomes or resident well-being
between more restrictive and flexible duty hour policies. Qualitative methods are
appropriate for better understanding the experience and perceptions of those
affected by duty hour regulations. We conducted a pilot qualitative study on how
resident duty hour regulations are perceived by general surgery program
directors, surgical residents, and attending surgeons who participated in the
FIRST Trial. STUDY DESIGN: Semi-structured qualitative interviews were pilot
tested with program directors, residents, and attendings to examine initial
perceptions of the standard and flexible policies implemented during the trial.
The transcribed interviews were analyzed thematically using a constant
comparative approach and grouped first by study arm and then by level (patient,
surgeon, program, and national). RESULTS: More restrictive duty hours were
perceived as creating a tension between resident personal and professional well
being. Standard Policy resulted in more transitions, which was perceived as
creating vulnerable gaps in patient care. Standard Policy restrictions were seen
as particularly challenging for interns and often led to inadequate preparation
for promotion and encouraged a shift mentality. CONCLUSIONS: In our pilot study,
interviewees valued the flexibility afforded in the Flexible Policy arm, as it
allowed them to maximize patient safety and educational attainment. Additional
qualitative research will expand on program director, resident, and attending
perceptions of resident duty hours as well as perceptions of patient safety.
Qualitative methods can contribute to the national debate on resident duty hours.
PMID- 27884807
TI - Helix-helix interactions in membrane domains of bitopic proteins: Specificity and
role of lipid environment.
AB - Interaction between transmembrane helices often determines biological activity of
membrane proteins. Bitopic proteins, a broad subclass of membrane proteins, form
dimers containing two membrane-spanning helices. Some aspects of their structure
function relationship cannot be fully understood without considering the protein
lipid interaction, which can determine the protein conformational ensemble.
Experimental and computer modeling data concerning transmembrane parts of bitopic
proteins are reviewed in the present paper. They highlight the importance of
lipid-protein interactions and resolve certain paradoxes in the behavior of such
proteins. Besides, some properties of membrane organization provided a clue to
understanding of allosteric interactions between distant parts of proteins.
Interactions of these kinds appear to underlie a signaling mechanism, which could
be widely employed in the functioning of many membrane proteins. Treatment of
membrane proteins as parts of integrated fine-tuned proteolipid system promises
new insights into biological function mechanisms and approaches to drug design.
This article is part of a Special Issue entitled: Lipid order/lipid defects and
lipid-control of protein activity edited by Dirk Schneider.
PMID- 27884808
TI - Biodegradable nano-polymers as delivery vehicles for therapeutic small non-coding
ribonucleic acids.
AB - Nowadays, small non-coding Ribo Nucleic Acids (sncRNAs) such as siRNA, miRNA and
shRNA are extremely serving to gene regulation. They are involved in many
biological processes and in an increasing number of studies regarding a variety
of application of sncRNAs toward human health and relieving diseases ranging from
metabolic disorders to those involving various organ systems as well as different
types of cancer. One of the most severe limitations for applying RNA interference
technology is the absence of safe and effective carriers for in vivo delivery,
including localizing the molecules to a specific site of interest and sustaining
the presentation of the payloads for a controlled period of time. In this review,
we focus on the sncRNA functions and recent advances on the delivery of these
molecules by biodegradable, biocompatible and nontoxic biopolymers including
chitosan, cyclodextrins, poly-l-lysine, dextran, poly (lactic co-glycolic acid),
polyglutamic acid, hyaluronic acid and gelatin.
PMID- 27884809
TI - Construction of a Streptococcus agalactiae phoB mutant and evaluation of its
potential as an attenuated modified live vaccine in golden pompano, Trachinotus
ovatus.
AB - Streptococcus agalactiae is a Gram-positive pathogen that can survive inside
professional phagocytes and nonphagocytic cells to cause septicemia and
meningoencephalitis in freshwater and marine fish. However, vaccines based on
extracellular products (ECP) and formalin-killed whole S. agalactiae cells, as
well as subunit vaccine are unable to protect fish from infection by variant
serotypes S. agalactiae. The search for live attenuated vaccine with highly
conserved and virulent-related genes is essential for producing a vaccine to help
understand and control streptococcosis In this study, the phoB gene was cloned
from pathogenic S. agalactiae TOS01 strain and the mutant strain SADeltaphoB was
constructed via allelic exchange mutagenesis. The results showed that the deduced
amino acid of S. agalactiae TOS01 shares high similarities with other
Streptococcus spp. and has high conserved response regulator receiver domain
(REC) and DNA-binding effector domain of two-component system response regulators
(Trans_reg_C). Cell adherence and invasion assays, challenge experiments and
histopathological changes post-vaccination were performed and observed, the
results showed that the mutant strain SADeltaphoB has a lower adherence and
invasion rate and less virulent than the wild type strain in golden pompano, and
it doesn't induce clinical symptoms and obvious pathological changes in golden
pompano, thereby indicating that the deletion of phoB affects the virulence and
infectious capacity of S. agalactiae. Golden pompano vaccinated via
intraperitoneal injection SADeltaphoB had the relative percent survival value of
93.1% after challenge with TOS01, demonstrating its high potential as an
effective attenuated live vaccine candidate. Real-time PCR assays showed that the
SADeltaphoB was able to enhance the expression of immune-related genes, including
MHC-I, MyD88, IL-22 and IL-10 after vaccination, indicating that the SADeltaphoB
is able to induce humoral and cell-mediated immune response in golden pompano
over a long period of time.
PMID- 27884810
TI - Mobile Apps in Oncology: A Survey on Health Care Professionals' Attitude Toward
Telemedicine, mHealth, and Oncological Apps.
AB - BACKGROUND: Mobile apps are an evolving trend in the medical field. To date, few
apps in an oncological context exist. OBJECTIVE: The aim was to analyze the
attitude of health care professionals (HCPs) toward telemedicine, mHealth, and
mobile apps in the field of oncology. METHODS: We developed and conducted an
online survey with 24 questions evaluating HCPs' general attitude toward
telemedicine and patients using medical mobile apps. Specific questions on the
possible functionality for patients and the resulting advantages and
disadvantages for both the patients' and HCPs' daily clinical routine were
evaluated. RESULTS: A total of 108 HCPs completed the survey. In all, 88.9%
(96/108) considered telemedicine useful and 84.3% (91/108) supported the idea of
an oncological app complementing classical treatment. Automatic reminders,
timetables, and assessment of side effects and quality of life during therapy
were rated as the most important functions. In contrast, uncertainty regarding
medical responsibility and data privacy were reasons mostly named by critics.
Most (64.8%, 70/108) were in favor of an alert function due to data input needing
further clarification, and 94% (66/70) were willing to contact the patient after
a critical alert. In all, 93.5% (101/108) supported the idea of using the
collected data for scientific research. Moreover, 75.0% (81/108) believed
establishing a mobile app could be beneficial for the providing hospital.
CONCLUSIONS: A majority of HCPs are in favor of telemedicine and the use of
oncological apps by patients. Assessing side effects can lead to quicker response
and thus lower inconvenience for patients. Clinical data, such as life quality
and treatment satisfaction, could be used to evaluate and improve the therapy
workflow. Eventually, a mobile app would enhance the patients' relationship to
their treating department because they are in permanent contact.
PMID- 27884811
TI - How Professionals Share an E-Care Plan for the Elderly in Primary Care:
Evaluating the Use of an E-Communication Tool by Different Combinations of
Professionals.
AB - BACKGROUND: Home-dwelling elderly patients with multimorbidity are at risk of
fragmentation of care because of the many different professionals involved and a
potentially unclear level of communication. Multidisciplinary communication seems
to occur incidentally. Mutual feedback is needed for a professional team to
provide consistent care and adequate support to the patient system. eHealth
technology can improve outcomes. OBJECTIVE: The aim of this study was to evaluate
the use of a tool, Congredi, for electronic communication by professionals for
the care of home-dwelling elderly patients. METHODS: The research group was
recruited through general practices and home care organizations. Congredi, a tool
designed for multidisciplinary communication, was made available for
professionals in primary care. It consists of a care plan and a communication
channel (secure emailing). Professionals opened Congredi records for elderly
patients who had 2 or more professionals involved. The records were the unit of
analysis. Data were gathered from the Congredi system over a period of 42 weeks.
RESULTS: An inclusion rate of 21.4% (203/950) was achieved; nearly half of the
participants were nurses. During the study, professionals were active in 448
patient records; female professionals were prevalent. In the patient records, 3
types of actions (care activities, emailing, and process activities) were
registered. Most activities occurred in the multidisciplinary records (mean
12.2), which had twice the number of activities of monodisciplinary records
(6.35), and solo records had a mean of 3.43 activities. Most activities were care
activities (mean 9.14), emailing had a mean of 0.89 activities, and process
activities had a mean of 0.29. CONCLUSIONS: An e-communication tool (Congredi)
was usable for improving multidisciplinary communication among professionals. It
even seemed to yield results for 40% of the professionals who used the e-care
plan on their own. The content of the tool provided an active communication
practice, with significant increases observed in the actions that must be shared
for the effective coordination of care.
PMID- 27884812
TI - Consumers' Use of UMLS Concepts on Social Media: Diabetes-Related Textual Data
Analysis in Blog and Social Q&A Sites.
AB - BACKGROUND: The widely known terminology gap between health professionals and
health consumers hinders effective information seeking for consumers. OBJECTIVE:
The aim of this study was to better understand consumers' usage of medical
concepts by evaluating the coverage of concepts and semantic types of the Unified
Medical Language System (UMLS) on diabetes-related postings in 2 types of social
media: blogs and social question and answer (Q&A). METHODS: We collected 2 types
of social media data: (1) a total of 3711 blogs tagged with "diabetes" on Tumblr
posted between February and October 2015; and (2) a total of 58,422 questions and
associated answers posted between 2009 and 2014 in the diabetes category of
Yahoo! Answers. We analyzed the datasets using a widely adopted biomedical text
processing framework Apache cTAKES and its extension YTEX. First, we applied the
named entity recognition (NER) method implemented in YTEX to identify UMLS
concepts in the datasets. We then analyzed the coverage and the popularity of
concepts in the UMLS source vocabularies across the 2 datasets (ie, blogs and
social Q&A). Further, we conducted a concept-level comparative coverage analysis
between SNOMED Clinical Terms (SNOMED CT) and Open-Access Collaborative Consumer
Health Vocabulary (OAC CHV)-the top 2 UMLS source vocabularies that have the most
coverage on our datasets. We also analyzed the UMLS semantic types that were
frequently observed in our datasets. RESULTS: We identified 2415 UMLS concepts
from blog postings, 6452 UMLS concepts from social Q&A questions, and 10,378 UMLS
concepts from the answers. The medical concepts identified in the blogs can be
covered by 56 source vocabularies in the UMLS, while those in questions and
answers can be covered by 58 source vocabularies. SNOMED CT was the dominant
vocabulary in terms of coverage across all the datasets, ranging from 84.9% to
95.9%. It was followed by OAC CHV (between 73.5% and 80.0%) and Metathesaurus
Names (MTH) (between 55.7% and 73.5%). All of the social media datasets shared
frequent semantic types such as "Amino Acid, Peptide, or Protein," "Body Part,
Organ, or Organ Component," and "Disease or Syndrome." CONCLUSIONS: Although the
3 social media datasets vary greatly in size, they exhibited similar conceptual
coverage among UMLS source vocabularies and the identified concepts showed
similar semantic type distributions. As such, concepts that are both frequently
used by consumers and also found in professional vocabularies such as SNOMED CT
can be suggested to OAC CHV to improve its coverage.
PMID- 27884814
TI - Shadow of the law in cases of avoidable harm.
PMID- 27884813
TI - Development and Feasibility Testing of PROMPT-Care, an eHealth System for
Collection and Use of Patient-Reported Outcome Measures for Personalized
Treatment and Care: A Study Protocol.
AB - BACKGROUND: Patient-reported outcome (PRO) measures have been used widely to
screen for depression, anxiety, and symptoms in cancer patients. Computer-based
applications that collect patients' responses and transfer them to the treating
health professional in real time have the potential to improve patient well-being
and cancer outcomes. OBJECTIVE: This study will test the feasibility and
acceptability of a newly developed eHealth system which facilitates PRO data
capture from cancer patients, data linkage and retrieval to support clinical
decisions and patient self-management, and data retrieval to support ongoing
evaluation and innovative research. METHODS: The eHealth system is being
developed in consultation with 3 overarching content-specific expert advisory
groups convened for this project: the clinical advisory group, technical advisory
group, and evaluation advisory group. The following work has already been
completed during this phase of the study: the Patient-Reported Outcome Measures
for Personalized Treatment and Care (PROMPT-Care) eHealth system was developed,
patient-reported outcomes were selected (distress, symptoms, unmet needs),
algorithms to inform intervention thresholds for clinical and self-management
were determined, clinician PRO feedback summary and longitudinal reports were
designed, and patient self-management resources were collated. PROsaiq, a custom
information technology system, will transfer PRO data in real time into the
hospital-based oncology information system to support clinical decision making.
The PROMPT-Care system feasibility and acceptability will be assessed through
patients completing PROMPT-Care assessments, participating in face-to-face
cognitive interviews, and completing evaluation surveys and telephone interviews
and oncology staff participating in telephone interviews. RESULTS: Over the
course of 3 months, the system will be pilot-tested with up to 50 patients
receiving treatment or follow-up care and 6 oncology staff at 2 hospitals in New
South Wales, Australia. Data will be collected to determine the accuracy and
completeness of data transfer procedures, extent of missing data from
participants' assessments, acceptability of the eHealth system and usefulness of
the self-management resources (via patient evaluation surveys and interviews),
and acceptability and perceived usefulness of real-time PRO reporting (via
oncology staff interviews) at the completion of the pilot phase. CONCLUSIONS:
This research investigates implementation of evidence into real world clinical
practice through development of an efficient and user-friendly eHealth system.
This study of feasibility and acceptability of the newly developed eHealth system
will inform the next stage of larger scale testing and future implementation of
the system as part of routine care. CLINICALTRIAL: Australian New Zealand
Clinical Trials Registry ACTRN1261500135294;
https://www.anzctr.org.au/Trial/Registration/TrialReview.aspx?id=369299&isReview=
rue (Archived by WebCite at http://www.webcitation.org/6lzylG5A0).
PMID- 27884815
TI - David Oliver: Keeping older doctors in the job.
PMID- 27884817
TI - Promise of new Alzheimer's drug is dashed after lack of evidence.
PMID- 27884818
TI - Doctors should nap during night shifts, conference hears.
PMID- 27884819
TI - Government must be clearer in its claims about NHS funding, says statistics
watchdog.
PMID- 27884820
TI - Una destinatio, viae diversae: Does exposure to the vaginal microbiota confer
health benefits to the infant, and does lack of exposure confer disease risk?
PMID- 27884821
TI - The effect of different durations of remission on damage accrual: results from a
prospective monocentric cohort of Caucasian patients.
AB - AIM: To identify the shortest duration of remission associated with improved
outcomes in systemic lupus erythematosus (SLE). METHODS: We studied 293 Caucasian
patients with SLE during 7-year follow-up. Disease activity was assessed by SLE
Disease Activity Index 2000 and damage by Systemic Lupus International
Collaborating Clinics/American College of Rheumatology Damage Index (SDI). We
defined three remission levels: complete, clinical off-corticosteroids, clinical
on-corticosteroids (prednisone 1-5 mg/day). The effect of different durations of
remission (1, 2, 3, 4 and >=5 consecutive years) on damage was evaluated by
multivariate logistic regression analysis. RESULTS: Among patients achieving 1
year (27 patients), 2-year (47 patients), 3-year (45 patients), 4-year (26
patients) remission, damage was similar irrespective of the level of remission
achieved, whereas, among patients achieving >=5-year remission (113 patients),
damage was higher in those in clinical remission on-corticosteroids (p<0.001).In
multivariate analysis, >=2 consecutive year remission was protective against
damage (OR (95% CI)): 2 years 0.228 (0.061 to 0.850); 3 years 0.116 (0.031 to
0.436); 4 years 0.118 (0.027 to 0.519) and >=5 years 0.044 (0.012 to 0.159).
Predictors of damage were cumulative prednisone dose >=180 mg/month (3.136 (1.276
to 7.707)), antiphospholipid antibody syndrome (5.517 (2.092 to 14.546)),
vasculitis (3.107 (1.030 to 9.307)) and number of flare/year (8.769 (1.692 to
45.449)). CONCLUSIONS: Two consecutive years is the shortest duration of
remission associated with a decrease in damage progression in Caucasian patients
with SLE.
PMID- 27884823
TI - Researcher suing PubPeer was found culpable of misconduct, court documents show.
PMID- 27884825
TI - Statins for primary prevention of cardiovascular disease.
PMID- 27884827
TI - Brazilian health authorities on alert after rise in deaths from chikungunya.
PMID- 27884828
TI - Effects of age on left atrial volume and strain parameters using echocardiography
in a normal black population.
AB - OBJECTIVE: Normal cut-off values for left atrial (LA) size and function may be
altered by aging and ethnic differences. No age-related reference values for LA
volumetric measurements or LA strain exist in Africans. We aimed to establish
normal age-appropriate values of LA size and function in black Africans.
Additionally, we studied the correlation between age, LA strain and volumetric
parameters. METHODS: In this prospective, cross-sectional study of 120
individuals (mean age 38.7 +/- 12.8 years, 50% men), subjects were classified
into four age groups: 18-29, 30-39, 40-49 and 50-70 years. LA volumes were
measured by biplane Simpson's method, and Philips QLAB 9 (Amsterdam, The
Netherlands) speckle-tracking software was used to measure LA peak strain in the
reservoir (ER) and contractile phase (ECT). RESULTS: No significant differences
in the maximum and minimum LAVi were noted among the four age categories (P =
0.1, P = 0.2). LA volumetric function assessment showed no difference in
reservoir function between age groups (P > 0.05), conduit function decreased with
advancing age (r = -0.3, P < 0.001) and booster function displayed a significant
increase with age (LA active emptying volume index, P = 0.001). There was a
significant decrease in LA ER (P < 0.0001) in the older age groups, whereas ECT
remained unchanged (P = 0.27). CONCLUSION: Age-related changes in LA reservoir,
conduit and contractile function in black Africans are similar to those observed
in other populations, as was the trend of declining ER with advancing age. The
preservation of ECT with increasing age requires further analysis.
PMID- 27884822
TI - A framework for remission in SLE: consensus findings from a large international
task force on definitions of remission in SLE (DORIS).
AB - OBJECTIVES: Treat-to-target recommendations have identified 'remission' as a
target in systemic lupus erythematosus (SLE), but recognise that there is no
universally accepted definition for this. Therefore, we initiated a process to
achieve consensus on potential definitions for remission in SLE. METHODS: An
international task force of 60 specialists and patient representatives
participated in preparatory exercises, a face-to-face meeting and follow-up
electronic voting. The level for agreement was set at 90%. RESULTS: The task
force agreed on eight key statements regarding remission in SLE and three
principles to guide the further development of remission definitions:1.
Definitions of remission will be worded as follows: remission in SLE is a durable
state characterised by ...................... (reference to symptoms, signs,
routine labs).2. For defining remission, a validated index must be used, for
example, clinical systemic lupus erythematosus disease activity index (SLEDAI)=0,
British Isles lupus assessment group (BILAG) 2004 D/E only, clinical European
consensus lupus outcome measure (ECLAM)=0; with routine laboratory assessments
included, and supplemented with physician's global assessment.3. Distinction is
made between remission off and on therapy: remission off therapy requires the
patient to be on no other treatment for SLE than maintenance antimalarials; and
remission on therapy allows patients to be on stable maintenance antimalarials,
low-dose corticosteroids (prednisone <=5 mg/day), maintenance immunosuppressives
and/or maintenance biologics.The task force also agreed that the most appropriate
outcomes (dependent variables) for testing the prognostic value (construct
validity) of potential remission definitions are: death, damage, flares and
measures of health-related quality of life. CONCLUSIONS: The work of this
international task force provides a framework for testing different definitions
of remission against long-term outcomes.
PMID- 27884829
TI - Patient care is at risk from lack of new funds in autumn statement, healthcare
leaders warn.
PMID- 27884830
TI - Burgess AW, Wilson EMA, Metcalf D. Stimulation by human placental conditioned
medium of hemopoietic colony formation by human marrow cells. Blood.
1977;49(4):573-583.
PMID- 27884831
TI - Is the lymphoma better? Not easy to determine.
PMID- 27884832
TI - Novel antivirals for HCV-associated lymphomas.
PMID- 27884833
TI - Pericytes: new EPO-producing cells in the brain.
PMID- 27884834
TI - Glycans of plasma ADAMTS13.
PMID- 27884835
TI - Sickle cell disease: the price of cure.
PMID- 27884836
TI - Pseudo Chediak-Higashi anomaly in acute monoblastic leukemia.
PMID- 27884837
TI - Characteristic peripheral blood smear findings in disorders of cobalamin
metabolism.
PMID- 27884838
TI - Gill S, Tasian SK, Ruella M, et al. Preclinical targeting of human acute myeloid
leukemia and myeloablation using chimeric antigen receptor-modified T cells.
Blood. 2014;123(15):2343-2354.
PMID- 27884839
TI - Morillo-Gutierrez B, Beier R, Rao K, et al. Treosulfan-based conditioning for
allogeneic HSCT in children with chronic granulomatous disease: a multicenter
experience. Blood. 2016;128(3):440-448.
PMID- 27884840
TI - Long-term effects of the Active for Life Year 5 (AFLY5) school-based cluster
randomised controlled trial.
AB - OBJECTIVE: To investigate the long-term effectiveness of a school-based
intervention to improve physical activity and diet in children. DESIGN: Cluster
randomised controlled trial. SETTING: 60 primary schools in the southwest of
England. PARTICIPANTS: Primary school children who were aged 8-9 years at
recruitment, 9-10 years during the intervention and 10-11 years at the long-term
follow-up assessment. INTERVENTION: Teacher training, provision of lesson and
child-parent interactive homework plans and teaching materials. MAIN OUTCOME
MEASURES: Primary outcomes were accelerometer-assessed minutes of moderate to
vigorous physical activity (MVPA) per day, accelerometer-assessed minutes of
sedentary behaviour per day and reported daily consumption of servings of fruit
and vegetables. RESULTS: 60 schools with 2221 eligible children were recruited.
As in the previously published assessment immediately after the end of the
intervention, none of the three primary outcomes differed between children in
schools allocated to the intervention, compared with those in control schools at
the end of the long-term follow-up (1 year after the end of the intervention).
Differences in secondary outcomes were consistent with those at the immediate
follow-up, with no evidence that these had diminished over time. Comparing
intervention with control schools, the difference in mean child-reported screen
viewing at the weekend was -16.03 min (95% CI -32.82 to 0.73), for servings of
snacks per day, the difference was -0.11 (95% CI -0.39 to 0.06), in servings of
high-energy drinks per day -0.20 (95% CI -0.39 to -0.01) and in servings of high
fat foods per day -0.12 (95% CI -0.39 to 0.00). None of these reached our
predefined level of statistical significance, especially after accounting for
multiple testing. CONCLUSIONS: School-based curriculum interventions alone are
unlikely to have a major public health impact on children's diet and physical
activity. TRIAL REGISTRATION NUMBER: ISRCTN50133740, Post-results.
PMID- 27884842
TI - Predictors of in-hospital mortality among patients with pulmonary tuberculosis: a
protocol of systematic review and meta-analysis of observational studies.
AB - INTRODUCTION: Tuberculosis (TB) continues to be a major public health issue
worldwide, with 1.4 million deaths occurring annually. There is uncertainty
regarding which factors are associated with in-hospital mortality among patients
with pulmonary TB. This knowledge gap complicates efforts to identify and improve
the management of those individuals with TB at greatest risk of death. The aim of
this systematic review and meta-analysis is to establish predictors of in
hospital mortality among patients with pulmonary TB to enhance the evidence base
for public policy. METHODS AND ANALYSIS: Studies will be identified by a MEDLINE,
EMBASE and Global Health search. Eligible studies will be cohort and case-control
studies that report predictors or risk factors for in-hospital mortality among
patients with pulmonary TB and an adjusted analysis to explore factors associated
with in-hospital mortality. We will use the Grading of Recommendations
Assessment, Development and Evaluation approach to summarise the findings of some
reported predictors. Teams of 2 reviewers will screen the titles and abstracts of
all citations identified in our search, independently and in duplicate, extract
data, and assess scientific quality using standardised forms quality assessment
and tools tailored. We will pool all factors that were assessed for an
association with mortality that were reported by >1 study, and presented the OR
and the associated 95% CI. When studies provided the measure of association as a
relative risk (RR), we will convert the RR to OR using the formula provided by
Wang. For binary data, we will calculate a pooled OR, with an associated 95% CI.
ETHICS AND DISSEMINATION: This study is based on published data, and therefore
ethical approval is not a requirement. Findings will be disseminated through
publication in peer-reviewed journals and conference presentations at relevant
conferences. TRIAL REGISTRATION NUMBER: CRD42015025755.
PMID- 27884841
TI - Herbal medicines for the treatment of otitis media with effusion: a systematic
review of randomised controlled trials.
AB - OBJECTIVES: This systematic review aimed to assess the clinical evidence
supporting the use of herbal medicines (HMs) for the treatment of otitis media
with effusion (OME). DESIGN: Systematic review and meta-analysis. DATA SOURCES:
MEDLINE, EMBASE, Cochrane Library, AMED, CINAHL and three trial registries were
searched up to January 2015. We also searched five Korean medical databases
(KoreaMed, RISS, OASIS, DBPIA and KISS) and three Chinese databases (CNKI,
Wanfang and VIP). STUDY ELIGIBILITY CRITERIA: This study included randomised
clinical trials that reported the effects of HM for OME. The primary outcome was
the complete resolution of OME at 2 or 3 months post randomisation. Secondary
outcomes included the partial or complete resolution at all possible time points
and hearing test. Three authors independently screened the titles and abstracts,
selected studies and extracted the data relating to trial quality,
characteristics and results. RESULTS: A total of 2141 potentially relevant
studies were identified, of which 17 randomised clinical trials met our inclusion
criteria. Most were evaluated as having a high or unclear risk of bias. Tongqiao
tablets, Tongqiao huoxue decoctions and Tsumura-Saireito were associated with a
lower complete or partial resolution rate when compared with conventional
medicines (CMs) (p=0.02, p=0.0001, and p=0.04, respectively), and similar
outcomes were observed with Huanglong tonger pills, Erzhang decoctions and
Shenling baizhu powder when combined with CM versus CM alone (p<0.00001, p=0.02,
and p=0.05, respectively). Tongqiao huoxue decoction plus CM appeared to be more
effective than CM in terms of improving the pure tone threshold levels
(p=0.0007). Tsumura-Saireito was found to affect the proportion of patients with
normalised tympanometry (p=0.03). CONCLUSIONS: Despite some indications of
potential symptom improvement, the evidence regarding the effectiveness and
efficacy of HMs for OME is of poor quality and therefore inconclusive. PROTOCOL
REGISTRATION NUMBER: CRD42013005430.
PMID- 27884843
TI - Traumatic brain injury in England and Wales: prospective audit of epidemiology,
complications and standardised mortality.
AB - OBJECTIVES: To provide a comprehensive assessment of the management of traumatic
brain injury (TBI) relating to epidemiology, complications and standardised
mortality across specialist units. DESIGN: The Trauma Audit and Research Network
collects data prospectively on patients suffering trauma across England and
Wales. We analysed all data collected on patients with TBI between April 2014 and
June 2015. SETTING: Data were collected on patients presenting to emergency
departments across 187 hospitals including 26 with specialist neurosurgical
services, incorporating factors previously identified in the Ps14 multivariate
logistic regression (Ps14n) model multivariate TBI outcome prediction model. The
frequency and timing of secondary transfer to neurosurgical centres was assessed.
RESULTS: We identified 15 820 patients with TBI presenting to neurosurgical
centres directly (6258), transferred from a district hospital to a neurosurgical
centre (3682) and remaining in a district general hospital (5880). The commonest
mechanisms of injury were falls in the elderly and road traffic collisions in the
young, which were more likely to present in coma. In severe TBI (Glasgow Coma
Score (GCS) <=8), the median time from admission to imaging with CT scan is 0.5
hours. Median time to craniotomy from admission is 2.6 hours and median time to
intracranial pressure monitoring is 3 hours. The most frequently documented
complication of severe TBI is bronchopneumonia in 5% of patients. Risk-adjusted W
scores derived from the Ps14n model indicate that no neurosurgical unit fell
outside the 3 SD limits on a funnel plot. CONCLUSIONS: We provide the first
comprehensive report of the management of TBI in England and Wales, including
data from all neurosurgical units. These data provide transparency and suggests
equity of access to high-quality TBI management provided in England and Wales.
PMID- 27884844
TI - Medication reconciliation as a medication safety initiative in Ethiopia: a study
protocol.
AB - INTRODUCTION: Medication related adverse events are common, particularly during
transitions of care, and have a significant impact on patient outcomes and
healthcare costs. Medication reconciliation (MedRec) is an important initiative
to achieve the Quality Use of Medicines, and has been adopted as a standard
practice in many developed countries. However, the impact of this strategy is
rarely described in Ethiopia. The aims of this study are to explore patient
safety culture, and to develop, implement and evaluate a theory informed MedRec
intervention, with the aim of minimising the incidence of medication errors
during hospital admission. METHODS AND ANALYSES: The study will be conducted in a
resource limited setting. There are three phases to this project. The first phase
is a mixed methods study of healthcare professionals' perspectives of patient
safety culture and patients' experiences of medication related adverse events. In
this phase, the Hospital Survey on Patient Safety Culture will be used along with
semi-structured indepth interviews to investigate patient safety culture and
experiences of medication related adverse events. The second phase will use a
semi-structured interview guide, designed according to the 12 domains of the
Theoretical Domains Framework, to explore the barriers and facilitators to
medication safety activities delivered by hospital pharmacists. The third phase
will be a single centre, before and after study, that will evaluate the impact of
pharmacist conducted admission MedRec in an emergency department (ED). The main
outcome measure is the incidence and potential clinical severity of medication
errors. We will then analyse the differences in the incidence and severity of
medication errors before and after initiation of an ED pharmacy service.
PMID- 27884846
TI - Real-world evidence studies into treatment adherence, thresholds for intervention
and disparities in treatment in people with type 2 diabetes in the UK.
AB - PURPOSE: The University of Surrey-Lilly Real World Evidence (RWE) diabetes cohort
has been established to provide insights into the management of type 2 diabetes
mellitus (T2DM). There are 3 areas of study due to be conducted to provide
insights into T2DM management: exploration of medication adherence, thresholds
for changing diabetes therapies, and ethnicity-related or socioeconomic-related
disparities in management. This paper describes the identification of a cohort of
people with T2DM which will be used for these analyses, through a case finding
algorithm, and describes the characteristics of the identified cohort.
PARTICIPANTS: A cohort of people with T2DM was identified from the Royal College
of General Practitioners Research and Surveillance Centre (RCGP RSC) data set.
This data set comprises electronic patient records collected from a nationally
distributed sample of 130 primary care practices across England with scope to
increase the number of practices to 200. FINDINGS TO DATE: A cohort (N=58 717) of
adults with T2DM was identified from the RCGP RSC population (N=1 260 761), a
crude prevalence of diabetes of 5.8% in the adult population. High data quality
within the practice network and an ontological approach to classification
resulted in a high level of data completeness in the T2DM cohort; ethnicity
identification (82.1%), smoking status (99.3%), alcohol use (93.3%), glycated
haemoglobin (HbA1c; 97.9%), body mass index (98.0%), blood pressure (99.4%),
cholesterol (87.4%) and renal function (97.8%). Data completeness compares
favourably to other, similarly large, observational cohorts. The cohort comprises
a distribution of ages, socioeconomic and ethnic backgrounds, diabetes
complications, and comorbidities, enabling the planned analyses. FUTURE PLANS:
Regular data uploads from the RCGP RSC practice network will enable this cohort
to be followed prospectively. We will investigate medication adherence, explore
thresholds and triggers for changing diabetes therapies, and investigate any
ethnicity-related or socioeconomic-related disparities in diabetes management.
PMID- 27884845
TI - Prevalence and determinants of carotid plaque in the cross-sectional REFINE
Reykjavik study.
AB - OBJECTIVE: Carotid plaque and intima-media thickness are non-invasive arterial
markers that are used as surrogate end points for cardiovascular disease. The aim
was to assess the prevalence and severity of carotid plaque, and examine its
determinant risk factors and their association to the common carotid artery
intima-media thickness (CCA-IMT) in a general population. METHODS: We examined
6524 participants aged 25-69 years in the population-based REFINE (Risk
Evaluation For INfarct Estimates)-Reykjavik study. Plaques at the bifurcation and
internal carotid arteries were evaluated. Mean CCA-IMT was measured in the near
and far walls of the common carotid arteries. RESULTS: The prevalence of minimal,
moderate and severe plaque was 35.0%, 8.9% and 1.1%, respectively, and the mean
CCA-IMT was 0.73 (SD 0.14) mm. Age, sex, smoking and type 2 diabetes mellitus
(T2DM) were the strongest risk factors associated with plaque, followed by
systolic blood pressure, total cholesterol, body mass index and family history of
myocardial infarct. Low educational level was also strongly and independently
associated with plaque. CCA-IMT shared the same risk factors except for a non
significant association with T2DM and family history of myocardial infarction
(MI). Participants with T2DM had greater plaque prevalence, 2-fold higher in
those <50 years and 17-30% greater in age groups 50-54 to 60-64, and more
significant plaques (moderate or severe) were the difference in prevalence was
24% in age group 50-54 and >=60% in older age groups, compared with non-T2DM.
CONCLUSIONS: Carotid plaque and CCA-IMT have mostly common determinants. However,
T2DM and family history of MI were associated with plaque but not with CCA-IMT.
Greater prevalence and more severe plaques in individuals with T2DM raise the
concern that with increasing prevalence of T2DM we may expect an increase in
atherosclerosis and its consequences.
PMID- 27884847
TI - Long-term mortality in mothers with perinatal losses and risk modification by
surviving children and attained education: a population-based cohort study.
AB - OBJECTIVE: To assess the association between perinatal losses and mother's long
term mortality and modification by surviving children and attained education.
DESIGN: A population-based cohort study. SETTING: Norwegian national registries.
PARTICIPANTS: We followed 652 320 mothers with a first delivery from 1967 and
completed reproduction before 2003, until 2010 or death. We excluded mothers with
plural pregnancies, without information on education (0.3%) and women born
outside Norway. MAIN OUTCOME MEASURES: Main outcome measures were age-specific
(40-69 years) cardiovascular and non-cardiovascular mortality. We calculated
mortality in mothers with perinatal losses, compared with mothers without, and in
mothers with one loss by number of surviving children in strata of mothers'
attained education (<11 years (low), >=11 years (high)). RESULTS: Mothers with
perinatal losses had increased crude mortality compared with mothers without;
total: HR 1.3 (95% CI 1.3 to 1.4), cardiovascular: HR 1.8 (1.5 to 2.1), non
cardiovascular: HR 1.3 (1.2 to 1.4). Childless mothers with one perinatal loss
had increased mortality compared with mothers with one child and no loss;
cardiovascular: low education HR 2.7 (1.7 to 4.3), high education HR 0.91 (0.13
to 6.5); non-cardiovascular: low education HR 1.6 (1.3 to 2.2), high education HR
1.8 (1.1 to 2.9). Mothers with one perinatal loss, surviving children and high
education had no increased mortality, whereas corresponding mothers with low
education had increased mortality; cardiovascular: two surviving children HR 1.7
(1.2 to 2.4), three or more surviving children HR 1.6 (1.1 to 2.4); non
cardiovascular: one surviving child HR 1.2 (1.0 to 1.5), two surviving children
HR 1.2 (1.1 to 1.4). CONCLUSIONS: Irrespective of education, we find excess
mortality in childless mothers with a perinatal loss. Increased mortality in
mothers with one perinatal loss and surviving children was limited to mothers
with low education.
PMID- 27884849
TI - ACCESS HD pilot: A randomised feasibility trial Comparing Catheters with fistulas
in Elderly patientS Starting haemodialysis.
AB - INTRODUCTION: The selection of the type of vascular access for haemodialysis is
an important intervention question. However, only observational studies are
available to inform decision-making in this area, and they are at high risk of
selection bias. While a clinical trial comparing the effects of the 2 most
frequently chosen strategies for haemodialysis access (fistulas and catheters) on
patient important and 'hard' clinical end points is needed, the feasibility of
such a trial is uncertain. METHODS AND ANALYSIS: This open-label pilot randomised
controlled trial will test the feasibility and safety of randomising elderly
people (>=65 years) who start haemodialysis with a central venous catheter (the
most common initial type of haemodialysis access), and are eligible to receive a
fistula, to a catheter-based strategy (comparator) or to a fistula-based strategy
(intervention). We will enrol 100 patients at 10 centres across Canada.
Participants assigned to the catheter-strategy arm will continue to use
catheters; participants assigned to the fistula-strategy arm will receive a
surgical attempt at fistula creation. The inclusion criteria are designed to
minimise the risk of protocol violation and attrition. The primary outcome is
feasibility, which we will assess by measuring: (1) the proportion of
participants deemed eligible for the trial who consent to randomisation; and (2)
the proportion of participants randomised to the intervention who receive the
fistula surgery within 90 days of randomisation. Secondary outcomes will include
safety outcomes, the reasons people and healthcare providers may not accept
randomisation, and the reasons sites may not adhere to the trial protocol. ETHICS
AND DISSEMINATION: The Conjoint Health Research Ethics Board at the University of
Calgary approved the study protocol. We will submit the results of this
feasibility study in a peer-reviewed journal. TRIAL REGISTRATION NUMBER:
NCT02675569, Pre-results.
PMID- 27884848
TI - Are differences in travel time or distance to healthcare for adults in global
north countries associated with an impact on health outcomes? A systematic
review.
AB - OBJECTIVES: To investigate whether there is an association between differences in
travel time/travel distance to healthcare services and patients' health outcomes
and assimilate the methodologies used to measure this. DESIGN: Systematic Review.
We searched MEDLINE, Embase, Web of Science, Transport database, HMIC and EBM
Reviews for studies up to 7 September 2016. Studies were excluded that included
children (including maternity), emergency medical travel or countries classed as
being in the global south. SETTINGS: A wide range of settings within primary and
secondary care (these were not restricted in the search). RESULTS: 108 studies
met the inclusion criteria. The results were mixed. 77% of the included studies
identified evidence of a distance decay association, whereby patients living
further away from healthcare facilities they needed to attend had worse health
outcomes (eg, survival rates, length of stay in hospital and non-attendance at
follow-up) than those who lived closer. 6 of the studies identified the reverse
(a distance bias effect) whereby patients living at a greater distance had better
health outcomes. The remaining 19 studies found no relationship. There was a
large variation in the data available to the studies on the patients'
geographical locations and the healthcare facilities attended, and the methods
used to calculate travel times and distances were not consistent across studies.
CONCLUSIONS: The review observed that a relationship between travelling further
and having worse health outcomes cannot be ruled out and should be considered
within the healthcare services location debate.
PMID- 27884850
TI - Comparative effectiveness and safety of oral anticoagulants for atrial
fibrillation in real-world practice: a population-based cohort study protocol.
AB - INTRODUCTION: Anticoagulants are arguably the most important drug family of all,
based on the frequency and duration of their use, and the clinical importance and
frequency of benefits and harms. Several direct acting oral anticoagulants
(DOACs) have recently joined warfarin for the treatment of atrial fibrillation,
with a resultant significant expansion in use of oral anticoagulants (OACs). Our
objectives are to compare safety and effectiveness of DOACs versus warfarin in a
full population where anticoagulation management is good and to identify which
types of patients do better with DOACs versus warfarin and vice versa. METHODS
AND ANALYSIS: This is a retrospective cohort study of all adults living in
British Columbia who have a diagnosis of atrial fibrillation in hospital or
medical service data, and a first prescription for an OAC. Coprimary outcomes are
ischaemic stroke and systemic embolism (benefit) and major bleeding (harm).
Secondary outcomes include net clinical benefit (composite of stroke, systemic
embolism, major bleeds, myocardial infarction, pulmonary embolism and death),
drug discontinuation and individual composite item occurrence. We will estimate
the effects of treatment in a 2-year follow-up period, using time-to-event models
with propensity score adjustment to control confounding. Secondary analyses will
examine 'as treated' outcomes. ETHICS AND DISSEMINATION: The protocol, data
creation plan, privacy impact statement and data sharing agreements have been
approved. Dissemination is planned via conferences and publications as well as
directly to drug policy leaders. Information on the overall comparative
effectiveness and safety of DOACs versus warfarin in a country with high quality
anticoagulation management, as well as for vulnerable subgroups, will be an
important addition to the literature.
PMID- 27884851
TI - Influence of preinfarction angina and coronary collateral blood flow on the
efficacy of remote ischaemic conditioning in patients with ST segment elevation
myocardial infarction: post hoc subgroup analysis of a randomised controlled
trial.
AB - OBJECTIVES: Remote ischaemic conditioning (RIC) confers cardioprotection in
patients with ST segment elevation myocardial infarction (STEMI) undergoing
primary percutaneous coronary intervention (pPCI). We investigated whether
preinfarction angina and coronary collateral blood flow (CCBF) to the infarct
related artery modify the efficacy of RIC. DESIGN: Post hoc subgroup analysis of
a randomised controlled trial. PARTICIPANTS: A total of 139 patients with STEMI
randomised to treatment with pPCI or RIC+pPCI. INTERVENTIONS: RIC was performed
prior to pPCI as four cycles of 5 min upper arm ischaemia and reperfusion with a
blood pressure cuff. PRIMARY OUTCOME MEASURE: Myocardial salvage index (MSI)
assessed by single-photon emission computerised tomography. We evaluated the
efficacy of RIC in subgroups of patients with or without preinfarction angina or
CCBF. RESULTS: Of 139 patients included in the study, 109 had available data for
preinfarction angina status and 54 had preinfarction angina. Among 83 patients
with Thrombolysis In Myocardial Infarction flow 0/1 on arrival, 43 had CCBF.
Overall, RIC+pPCI increased median MSI compared with pPCI alone (0.75 vs 0.56,
p=0.045). Mean MSI did not differ between patients with and without preinfarction
angina in either the pPCI alone (0.58 and 0.57; 95% CI -0.17 to 0.19, p=0.94) or
the RIC+pPCI group (0.66 and 0.69; 95% CI -0.18 to 0.10, p=0.58). Mean MSI did
not differ between patients with and without CCBF in the pPCI alone group (0.51
and 0.55; 95% CI -0.20 to 0.13, p=0.64), but was increased in patients with CCBF
versus without CCBF in the RIC+pPCI group (0.75 vs 0.58; 95% CI 0.03 to 0.31,
p=0.02; effect modification from CCBF on the effect of RIC on MSI, p=0.06).
CONCLUSIONS: Preinfarction angina did not modify the efficacy of RIC in patients
with STEMI undergoing pPCI. CCBF to the infarct-related artery seems to be of
importance for the cardioprotective efficacy of RIC. TRIAL REGISTRATION NUMBER:
NCT00435266, Post-results.
PMID- 27884852
TI - Diagnostic and Prognostic Biomarkers in the Rational Assessment of Mesothelioma
(DIAPHRAGM) study: protocol of a prospective, multicentre, observational study.
AB - INTRODUCTION: Malignant pleural mesothelioma (MPM) is an asbestos-related cancer,
which is difficult to diagnose. Thoracoscopy is frequently required but is not
widely available. An accurate, non-invasive diagnostic biomarker would allow
early specialist referral, limit diagnostic delays and maximise clinical trial
access. Current markers offer insufficient sensitivity and are not routinely
used. The SOMAmer proteomic classifier and fibulin-3 have recently demonstrated
sensitivity and specificity exceeding 90% in retrospective studies. DIAPHRAGM
(Diagnostic and Prognostic Biomarkers in the Rational Assessment of Mesothelioma)
is a suitably powered, multicentre, prospective observational study designed to
determine whether these markers provide clinically useful diagnostic and
prognostic information. METHODS AND ANALYSIS: Serum and plasma (for SOMAscan and
fibulin-3, respectively) will be collected at presentation, prior to pleural
biopsy/pleurodesis, from 83 to 120 patients with MPM, at least 480 patients with
non-MPM pleural disease and 109 asbestos-exposed controls. Final numbers of
MPM/non-MPM cases will depend on the incidence of MPM in the study population
(estimated at 13-20%). Identical sampling and storage protocols will be used in
22 recruiting centres and histological confirmation sought in all cases. Markers
will be measured using the SOMAscan proteomic assay (SomaLogic) and a
commercially available fibulin-3 ELISA (USCN Life Science). The SE in the
estimated sensitivity and specificity will be <5% for each marker and their
performance will be compared with serum mesothelin. Blood levels will be compared
with paired pleural fluid levels and MPM tumour volume (using MRI) in a nested
substudy. The prognostic value of each marker will be assessed and a large
bioresource created. ETHICS AND DISSEMINATION: The study has been approved by the
West of Scotland Research Ethics Committee (Ref: 13/WS/0240). A Trial Management
Group meets on a monthly basis. Results will be published in peer-reviewed
journals, presented at international meetings and disseminated to patient groups.
TRIAL REGISTRATION NUMBER: ISRCTN10079972, Pre-results.
PMID- 27884853
TI - Gyejibongneyong-hwan, a herbal medicine for the treatment of dysmenorrhoea with
uterine fibroids: a protocol for a randomised controlled trial.
AB - INTRODUCTION: Gyejibongneyong-hwan (GBH), or the Guizhi Fuling Formula in
Chinese, is widely used to treat uterine fibroids in East Asian countries
including Korea, China and Japan. This study will assess the efficacy and safety
of the GBH formula for the treatment of dysmenorrhoea. METHODS AND ANALYSIS: This
study will be a randomised double-blind controlled trial with two parallel arms:
the GBH group and the placebo group. This trial will recruit 38 women between 18
and 45 years of age with secondary dysmenorrhoea with uterine fibroids. The
investigational drugs, either GBH or placebo, will be administered to the
participants three times per day for two menstrual periods (8 weeks). The
participants will be followed up for three menstrual cycles after administration
of the drugs. The primary outcome will be the Numeric Rating Scale score of
average menstrual pain. All analyses will be performed with SAS (V.9.1.3; SAS
Institute, Cary, North Carolina, USA) by a statistician blinded to the allocation
of the groups. Statistical analysis will be undertaken on the intent-to-treat
(ITT) basis with a 95% CI using the last observation carried forward for missing
values. The ITT analysis will include all randomised patients. ETHICS AND
DISSEMINATION: This research protocol has been reviewed and approved by the
institutional review boards of the trial centre (number WSOH IRB 1606-03).
Written informed consent will be obtained from all study participants prior to
enrolment in the study. The results will be published in a peer-reviewed journal
and will be disseminated electronically and in print. TRIAL REGISTRATION NUMBER:
KCT0001967.
PMID- 27884854
TI - Cross-sectional assessment of patient attitudes towards participation in clinical
trials: does making results publicly available matter?
AB - OBJECTIVES: Previous studies have shown that a majority of patients cite
altruistic motives, such as contributing to generalisable medical knowledge, as
factors motivating clinical trial participation. We sought to examine the impact
of making trial results publicly available on patients' willingness to
participate in clinical research. DESIGN: Cross-sectional analysis using a
questionnaire developed by a panel with expertise in publication bias, informed
consent and survey design. SETTING: A single urban, academic emergency department
(ED) in the Northeastern USA. PARTICIPANTS: 799 ED patients. OUTCOME MEASURES: We
assessed (1) participants' attitudes towards clinical trial participation, and
(2) the impact of whether study results would eventually be made publicly
available or not on willingness to participate in a trial. RESULTS: Of 799
patients surveyed, 36% (95% CI 32% to 39%) reported that they would generally
like to participate in a trial, and another 50% (95% CI 47% to 54%) reported that
they would consider participation depending on study details. For the majority of
participants, the publication of trial results was either important (36%; 95% CI
33% to 40%) or very important (48%; 95% CI 44% to 51%). Most (63%; 95% CI 59% to
66%) reported they would be less likely to participate in a trial if
investigators had not publicly released results from a prior study. Additionally,
85% (95% CI 82% to 87%) felt that it was important or very important to receive
information about the publication track record of sponsors and investigators
during the informed consent process. CONCLUSIONS: The majority of patients in
this sample would consider participation in a clinical trial. Patients value the
public release of trial results, and believe that the informed consent process
should address the possibility of non-publication.
PMID- 27884855
TI - Effectiveness of trauma-focused psychological therapies compared to usual
postnatal care for treating post-traumatic stress symptoms in women following
traumatic birth: a systematic review protocol.
AB - INTRODUCTION: Maternal mental health has been largely neglected in the
literature. Women, however, may be vulnerable to developing post-traumatic stress
symptoms or post-traumatic stress disorder (PTSD), following traumatic birth. In
turn, this may affect their capacity for child rearing and ability to form a
secure bond with their baby and impact on the wider family. Trauma-focused
psychological therapies (TFPT) are widely regarded as effective and acceptable
interventions for PTSD in general and clinical populations. Relatively little is
known about the effectiveness of TFPT for women postpartum who have post
traumatic stress symptoms. METHODS AND ANALYSIS: We will conduct a review to
assess the effectiveness of TFPT, compared with usual postpartum care, as a
treatment for post-traumatic stress symptoms or PTSD for women following
traumatic birth. Using a priori search criteria, we will search for randomised
controlled trials (RCT) in four databases: Cochrane Central Register of
Controlled Trials (CENTRAL), MEDLINE, PsycINFO and OpenGrey. We will use search
terms that relate to the population, TFPT and comparators. Screening of search
results and data extraction will be undertaken by two reviewers, independently.
Risk of bias will be assessed in RCTs which meet the review criteria. Data will
be analysed using the following methods, as appropriate: narrative synthesis;
meta-analysis; subgroup analysis and meta-regression. DISSEMINATION AND ETHICS:
As this work comprises a synthesis of existing studies, ethical approvals are not
required. Results will be disseminated at conferences and in publications.
PMID- 27884856
TI - A refugee camp in the centre of Europe: clinical characteristics of asylum
seekers arriving in Brussels.
AB - BACKGROUND: In the summer of 2015, the exodus of Syrian war refugees and
saturation of refugee camps in neighbouring countries led to the influx of asylum
seekers in European countries, including Belgium. This study aims to describe the
demographic and clinical characteristics of asylum seekers who arrived in a
huddled refugee camp, in the centre of a well-developed country with all medical
facilities. METHODS: Using a descriptive cross-sectional study design, physicians
of Medecins du Monde prospectively registered age, gender, origin, medical
symptoms and diagnoses of all patients presenting to an erected field hospital in
Brussels in September 2015. Diagnoses were post hoc categorised according to the
International Classification of Diseases. RESULTS: Of 4037 patients examined in
the field hospital, 3907 were included and analysed for this study. Over 11% of
patients suffered from injuries, but these were outnumbered by the proportion of
patients with respiratory (36%), dental (9%), skin (9%) and digestive (8%)
diagnoses. More than 49% had features of infections at the time of the
consultation. CONCLUSIONS: Asylum seekers arriving in a refugee camp in Brussels
after a long and hazardous journey suffer mostly from respiratory, dental, skin
and digestive diseases. Still, one in seven suffers from injury. These findings,
consistent with other reports, should be anticipated when composing emergency
medical teams and interagency emergency health or similar kits to be used in a
field hospital, even in a Western European country. TRIAL REGISTRATION NUMBER:
ISRCTN13523620, Results.
PMID- 27884857
TI - Implementing shared decision-making in interprofessional home care teams (the
IPSDM-SW study): protocol for a stepped wedge cluster randomised trial.
AB - INTRODUCTION: The frail elderly in Canada face a tough decision when they start
to lose autonomy: whether to stay at home or move to another location. This study
seeks to scale up and evaluate the implementation of shared decision-making (SDM)
in interprofessional (IP) home care teams caring for elderly clients or their
caregivers facing a decision about staying at home or moving elsewhere. METHODS:
A stepped wedge cluster randomised trial involving 8 Health and Social Service
Centers (HSSCs) will be conducted with IP home care teams. HSSCs are the unit of
randomisation. A decision guide will be passively distributed to all of the
participating HSSCs at the beginning of the project. The participating HSSCs will
then be randomised to 1 of 4 intervention start times, separated by 7-month
intervals. The primary outcome is whether or not clients and caregivers assumed
an active role in decision-making, assessed with a modified version of the
Control Preferences Scale. The intervention, targeted at IP home care teams,
consists of a 1.5 hour online tutorial and a 3.5 hour skills building workshop in
IP SDM. Clients will be eligible for outcome assessment if they (1) are aged
>=65; (2) are receiving care from the IP home care team of the enrolled HSSCs;
(3) have made a decision about whether to stay at home or move to another
location during the recruitment periods; (4) are able to read, understand and
write French or English; (5) can give informed consent. If clients are not able
to provide informed consent, their primary caregiver will become the eligible
participant. ETHICS AND DISSEMINATION: Ethics committee review approval has been
obtained from the Multicenter Ethics Committee of CISSS-Laval. Results will be
disseminated at conferences, on websites of team members and in peer-reviewed and
professional journals intended for policymakers and managers. TRIAL REGISTRATION
NUMBER: NCT02592525, Pre-results.
PMID- 27884859
TI - Isolated GNRH deficiency: genotypic and phenotypic characteristics of the
genetically heterogeneous Greek population.
PMID- 27884858
TI - Hemicraniectomy versus medical treatment with large MCA infarct: a review and
meta-analysis.
AB - OBJECTIVE: Large middle cerebral artery stroke (space-occupying middle-cerebral
artery (MCA) infarction (SO-MCAi)) results in a very high incidence of death and
severe disability. Decompressive hemicraniectomy (DHC) for SO-MCAi results in
large reductions in mortality; the level of function in the survivors, and
implications, remain controversial. To address the controversy, we pooled
available randomised controlled trials (RCTs) that examined the impact of DHC on
survival and functional ability in patients with large SO-MCAi and cerebral
oedema. METHODS: We searched MEDLINE, EMBASE and Cochrane library databases for
randomised controlled trials (RCTs) enrolling patients suffering SO-MCAi
comparing conservative management to DHC administered within 96 hours after
stroke symptom onset. Outcomes were death and disability measured by the modified
Rankin Scale (mRS). We used a random effects meta-analytical approach with
subgroup analyses (time to treatment and age). We applied GRADE methods to rate
quality/confidence/certainty of evidence. RESULTS: 7 RCTs were eligible (n=338
patients). We found DHC reduced death (69-30% in medical vs surgical groups, 39%
fewer), and increased the number of patients with mRS of 2-3 (slight to moderate
disability: 14-27%, increase of 13%), those with mRS 4 (severe disability: 10
32%, increase of 22%) and those with mRS 5 (very severe disability 7-11%:
increase of 4%) (all differences p<0.0001). We judged
quality/confidence/certainty of evidence high for death, low for functional
outcome mRS 0-3, and moderate for mRS 0-4 (wide CIs and problems in concealment,
blinding of outcome assessors and stopping early). CONCLUSIONS: DHC in SO-MCAi
results in large reductions in mortality. Most of those who would otherwise have
died are left with severe or very severe disability: for example, inability to
walk and a requirement for help with bodily needs, though uncertainty about the
proportion with very severe, severe and moderate disability remains (low to
moderate quality/confidence/certainty evidence).
PMID- 27884860
TI - Using technology to measure daily and weekly movement patterns in exercise
medicine patients.
PMID- 27884861
TI - Diagnostic accuracy of the Ottawa Ankle and Midfoot Rules: a systematic review
with meta-analysis.
AB - OBJECTIVE: To review the diagnostic accuracy of the Ottawa Ankle and Midfoot
Rules and explore if clinical features and/or methodological quality of the study
influence diagnostic accuracy estimates. DESIGN: Systematic review with meta
analysis. DATA SOURCES: MEDLINE, EMBASE, CINAHL, SPORTDiscus and Cochrane
Library. ELIGIBILITY CRITERIA FOR SELECTING STUDIES: Primary diagnostic studies
reporting the accuracy of the Rules in people with ankle and/or midfoot injury
were retrieved. Diagnostic accuracy estimates, overall and for subgroups
(patient's age, profession of the assessor and setting of application), were
made. Sensitivity analyses included studies with a low risk of bias and studies
where all patients received radiographs. RESULTS: 66 studies were included. Ankle
and Midfoot Rules presented similar accuracies, which were homogeneous and high
for sensitivity and negative likelihood ratios and poor and heterogeneous for
specificity and positive likelihood ratios (mean, 95% CI pooled sensitivity of
Ankle Rules: 99.4%, 97.9% to 99.8%; specificity: 35.3%, 28.8% to 42.3%).
Sensitivity of the Ankle Rules was higher in adults than in children, but the
profession of the assessor did not appear to influence accuracy. Specificity was
higher for Midfoot than for Ankle Rules. There were not enough studies to allow
comparison according to setting of application. Studies with a low risk of bias
and where all patients received radiographs provided lower accuracy estimates.
Specificity heterogeneity was not explained by assessor training, use of imaging
in all patients and low risk of bias. CONCLUSIONS: Study features and the
methodological quality influence estimates of the diagnostic accuracy of the
Ottawa Ankle and Midfoot Rules.
PMID- 27884863
TI - Misinterpretations of the 'p value': a brief primer for academic sports medicine.
PMID- 27884862
TI - Associations of occupational standing with musculoskeletal symptoms: a systematic
review with meta-analysis.
AB - OBJECTIVE: Given the high exposure to occupational standing in specific
occupations, and recent initiatives to encourage intermittent standing among
white-collar workers, a better understanding of the potential health consequences
of occupational standing is required. We aimed to review and quantify the
epidemiological evidence on associations of occupational standing with
musculoskeletal symptoms. DESIGN: A systematic review was performed. Data from
included articles were extracted and described, and meta-analyses conducted when
data were sufficiently homogeneous. DATA SOURCES: Electronic databases were
systematically searched. ELIGIBILITY CRITERIA: Peer-reviewed articles on
occupational standing and musculoskeletal symptoms from epidemiological studies
were identified. RESULTS: Of the 11 750 articles screened, 50 articles reporting
49 studies were included (45 cross-sectional and 5 longitudinal; n=88 158
participants) describing the associations of occupational standing with
musculoskeletal symptoms, including low-back (39 articles), lower extremity (14
articles) and upper extremity (18 articles) symptoms. In the meta-analysis,
'substantial' (>4 hours/workday) occupational standing was associated with the
occurrence of low-back symptoms (pooled OR (95% CI) 1.31 (1.10 to 1.56)).
Evidence on lower and upper extremity symptoms was too heterogeneous for meta
analyses. The majority of included studies reported statistically significant
detrimental associations of occupational standing with lower extremity, but not
with upper extremity symptoms. CONCLUSIONS: The evidence suggests that
substantial occupational standing is associated with the occurrence of low-back
and (inconclusively) lower extremity symptoms, but there may not be such an
association with upper extremity symptoms. However, these conclusions are
tentative as only limited evidence was found from high-quality, longitudinal
studies with fully adjusted models using objective measures of standing.
PMID- 27884864
TI - Sports injuries and illnesses in the Lillehammer 2016 Youth Olympic Winter Games.
AB - BACKGROUND: Injury and illness surveillance during high-level youth sports events
is an important first step in health prevention and caretaking of the young elite
athletes. AIM: To analyse injuries and illnesses that occurred during the 10 days
2nd Youth Olympic Winter Games (YOG), held in Lillehammer 2016. METHODS: We
recorded the daily occurrence (or non-occurrence) of injuries and illnesses
through the reporting of (1) all National Olympic Committee (NOC) medical teams
and (2) the polyclinic and medical venues by the Lillehammer Organising Committee
(LYOCOG) medical staff. RESULTS: In total, 1083 athletes (48 double-starters),
46% (n=502) of them females, from 70 NOCs were registered in the study. NOCs and
LYOCOG reported 108 injuries and 81 illnesses, equalling to 9.5 injuries and 7.2
illnesses per 100 athletes. The percentage of injured athletes was highest in the
snowboard and ski slopestyle and cross disciplines, alpine skiing and skeleton,
and lowest in the Nordic skiing disciplines. Approximately, two-thirds of the
injuries (n=71, 65.7%) prevented the athlete from training or competition, while
10 injuries (9.3%) were registered with an estimated absence from sport for >7
days. The rate of illness was highest in curling and the Nordic skiing
disciplines with most of them being respiratory tract infections (81.5%).
CONCLUSIONS: Overall, 9% of the athletes incurred at least one injury during the
games, and 7% an illness, which is similar to the first YOG in Innsbruck 2012 and
slightly lower compared with previous Winter Olympic Games. The incidence of
injuries and illnesses varied substantially between sports.
PMID- 27884865
TI - Running exposure is associated with the risk of hamstring strain injury in elite
Australian footballers.
AB - BACKGROUND: To investigate the association between running exposure and the risk
of hamstring strain injury (HSI) in elite Australian footballers. METHODS: Elite
Australian footballers (n=220) from 5 different teams participated. Global
positioning system (GPS) data were provided for every athlete for each training
session and match for the entire 2015 season. The occurrences of HSIs throughout
the study period were reported. Receiver operator characteristic curve analyses
were performed and the relative risk (RR) of subsequent HSI was calculated for
absolute and relative running exposure variables related to distance covered
above 10 and 24 km/hour in the preceding week/s. RESULTS: 30 prospective HSIs
occurred. For the absolute running exposure variables, weekly distance covered
above 24 km/hour (>653 m, RR=3.4, 95% CI 1.6 to 7.2, sensitivity=0.52,
specificity=0.76, area under the curve (AUC)=0.63) had the largest influence on
the risk of HSI in the following week. For the relative running exposure
variables, distance covered above 24 km/hour as a percentage of distance covered
above 10 km/hour (>2.5%, RR=6.3, 95% CI 1.5 to 26.7, sensitivity=0.93,
specificity=0.34, AUC=0.63) had the largest influence on the risk of HSI in the
following week. Despite significant increases in the RR of HSI, the predictive
capacity of these variables was limited. CONCLUSIONS: An association exists
between absolute and relative running exposure variables and elite Australian
footballers' risk of subsequent HSI, with the association strongest when
examining data within 7-14 days. Despite this, the use of running exposure
variables displayed limited clinical utility to predict HSI at the individual
level.
PMID- 27884866
TI - A Case of Specific Language Impairment in a Deaf Signer of American Sign
Language.
AB - This article describes the case of a deaf native signer of American Sign Language
(ASL) with a specific language impairment (SLI). School records documented normal
cognitive development but atypical language development. Data include school
records; interviews with the child, his mother, and school professionals; ASL and
English evaluations; and a comprehensive neuropsychological and psychoeducational
evaluation, and they span an approximate period of 7.5 years (11;10-19;6)
including scores from school records (11;10-16;5) and a 3.5-year period (15;10
19;6) during which we collected linguistic and neuropsychological data. Results
revealed that this student has average intelligence, intact visual perceptual
skills, visuospatial skills, and motor skills but demonstrates challenges with
some memory and sequential processing tasks. Scores from ASL testing signaled
language impairment and marked difficulty with fingerspelling. The student also
had significant deficits in English vocabulary, spelling, reading comprehension,
reading fluency, and writing. Accepted SLI diagnostic criteria exclude deaf
individuals from an SLI diagnosis, but the authors propose modified criteria in
this work. The results of this study have practical implications for
professionals including school psychologists, speech language pathologists, and
ASL specialists. The results also support the theoretical argument that SLI can
be evident regardless of the modality in which it is communicated.
PMID- 27884867
TI - Narrative medicine and death in the ICU: word clouds as a visual legacy.
AB - OBJECTIVE: The Word Cloud is a frequent wish in the 3 Wishes Project developed to
nurture peace and ease the grieving process for dying critically ill patients.
The objective was to examine whether Word Clouds can act as a heuristic approach
to encourage a narrative orientation to medicine. Narrative medicine is an
approach which can strengthen relationships, compassion and resilience. DESIGN:
Word Clouds were created for 42 dying patients, and we interviewed 37 family
members and 73 clinicians about their impact. We conducted a directed qualitative
content analysis, using the 3 stages of narrative medicine (attention,
representation, affiliation) to examine the narrative medicine potential of Word
Clouds. RESULTS: The elicitation of stories for the Word Cloud promotes narrative
attention to the patient as a whole person. The distillation of these stories
into a list of words and the prioritisation of those words for arrangement in the
collage encourages a representation that did not enforce a beginning, middle or
end to the story of the patient's life. Strong affiliative connections were
achieved through the honouring of patients, caring for families and sharing of
memories encouraged through the creation, sharing and discussion of Word Clouds.
CONCLUSIONS: In the 3 Wishes Project, Word Clouds are 1 way that families and
clinicians honour a dying patient. Engaging in the process of making a Word Cloud
can promote a narrative orientation to medicine, forging connections, making
meaning through reminiscence and leaving a legacy of a loved one. Documenting and
displaying words to remember someone in death reaffirms their life.
PMID- 27884870
TI - Development and Validation of an LC-ESI-MS/MS Method for Simultaneous
Determination of Ligustroflavone and Rhoifolin in Rat Plasma and Its Application
to a Pharmacokinetic Study.
AB - A selective and sensitive liquid chromatography-electrospray ionization tandem
mass spectrometry (LC-ESI-MS/MS) method was developed for the simultaneous
determination of ligustroflavone and rhoifolin in rat plasma. Chromatographic
separation was performed on a Venusil HILIC column using an isocratic mobile
phase consisting of acetonitrile/water/formic acid (75:25:0.1, v/v/v). The
detection was achieved using a triple-quadrupole tandem MS in negative ionization
through selected reaction monitoring (SRM) mode. The calibration curves of both
analytes in plasma showed good linearity over the concentration ranges of 3-300
ng/mL for ligustroflavone, and 2-200 ng/mL for rhoifolin. This assay was used to
investigate the pharmacokinetics of ligustroflavone and rhoifolin in rats.
PMID- 27884868
TI - Combined associations of body weight and lifestyle factors with all cause and
cause specific mortality in men and women: prospective cohort study.
AB - OBJECTIVE: To evaluate the combined associations of diet, physical activity,
moderate alcohol consumption, and smoking with body weight on risk of all cause
and cause specific mortality. DESIGN: Longitudinal study with up to 32 years of
follow-up. SETTING: Nurses' Health Study (1980-2012) and Health Professionals
Follow-up Study (1986-2012). PARTICIPANTS: 74 582 women from the Nurses' Health
Study and 39 284 men from the Health Professionals Follow-up Study who were free
from cardiovascular disease and cancer at baseline. MAIN OUTCOME MEASURES:
Exposures included body mass index (BMI), score on the alternate healthy eating
index, level of physical activity, smoking habits, and alcohol drinking while
outcome was mortality (all cause, cardiovascular, cancer). Cox proportional
hazard models were used to calculate the adjusted hazard ratios of all cause,
cancer, and cardiovascular mortality with their 95% confidence intervals across
categories of BMI, with 22.5-24.9 as the reference. RESULTS: During up to 32
years of follow-up, there were 30 013 deaths (including 10 808 from cancer and
7189 from cardiovascular disease). In each of the four categories of BMI studied
(18.5-22.4, 22.5-24.9, 25-29.9, >=30), people with one or more healthy lifestyle
factors had a significantly lower risk of total, cardiovascular, and cancer
mortality than individuals with no low risk lifestyle factors. A combination of
at least three low risk lifestyle factors and BMI between 18.5-22.4 was
associated with the lowest risk of all cause (hazard ratio 0.39, 95% confidence
interval 0.35 to 0.43), cancer (0.40, 0.34 to 0.47), and cardiovascular (0.37,
0.29 to 0.46) mortality, compared with those with BMI between 22.5-24.9 and none
of the four low risk lifestyle factors. CONCLUSION: Although people with a
higher BMI can have lower risk of premature mortality if they also have at least
one low risk lifestyle factor, the lowest risk of premature mortality is in
people in the 18.5-22.4 BMI range with high score on the alternate healthy eating
index, high level of physical activity, moderate alcohol drinking, and who do not
smoke. It is important to consider diet and lifestyle factors in the evaluation
of the association between BMI and mortality.
PMID- 27884871
TI - Simultaneous Liquid Chromatographic Determination of Ebastine with Two
Sympathomimetic Drugs Using a Monolithic Column.
AB - Ebastine (EBS) has been assayed in its laboratory-prepared co-formulated tablets
with either pseudoephedrine hydrochloride (PSU) or phenylephrine hydrochloride
(PHR) using isocratic reversed-phase chromatography. Separation was conducted
using a 50 mm * 4.6 mm i.d., Chromolith(r) SpeedROD RP-18 end-capped column at
ambient temperature. A mobile phase composed of water:acetonitrile in a ratio of
25:75 having a pH of 3.2, has been utilized at 1 mL/min with UV detection at 254
nm for both EBS and PSU and 274 nm for PHR which in turn increased the
sensitivity of the proposed method significantly. Symmetric well-separated peaks
resulted in a short chromatographic run; <5 min. The proposed method was
subjected to detailed validation procedures and proved to be highly sensitive as
shown from limit of quantification values which were 4.7, 39.4 and 10.2 MUg/mL
for EBS, PSU and PHR, respectively. The proposed method was used to analyze EBS
in its laboratory-prepared co-formulated tablets; the obtained results were
comparable to those resulting from the reference method.
PMID- 27884869
TI - Sex based subgroup differences in randomized controlled trials: empirical
evidence from Cochrane meta-analyses.
AB - OBJECTIVE: To evaluate the frequency, validity, and relevance of statistically
significant (P<0.05) sex-treatment interactions in randomized controlled trials
in Cochrane meta-analyses. DESIGN: Meta-epidemiological study. DATA SOURCES:
Cochrane Database of Systematic Reviews (CDSR) and PubMed. ELIGIBILITY CRITERIA
FOR STUDY SELECTION: Reviews published in the CDSR with sex-treatment subgroup
analyses in the forest plots, using data from randomized controlled trials. DATA
EXTRACTION: Information on the study design and sex subgroup data were extracted
from reviews and forest plots that met inclusion criteria. For each statistically
significant sex-treatment interaction, the potential for biological plausibility
and clinical significance was considered. RESULTS: Among the 41 reviews with
relevant data, there were 109 separate treatment-outcome analyses ("topics").
Among the 109 topics, eight (7%) had a statistically significant sex-treatment
interaction. The 109 topics included 311 randomized controlled trials (162 with
both sexes, 46 with males only, 103 with females only). Of the 162 individual
randomized controlled trials that included both sexes, 15 (9%) had a
statistically significant sex-treatment interaction. Of four topics where the
first published randomized controlled trial had a statistically significant sex
treatment interaction, no meta-analyses that included other randomized controlled
trials retained the statistical significance and no meta-analyses showed
statistical significance when data from the first published randomized controlled
trial were excluded. Of the eight statistically significant sex-treatment
interactions from the overall analyses, only three were discussed by the CDSR
reviewers for a potential impact on different clinical management for males
compared with females. None of these topics had a sex-treatment interaction that
influenced treatment recommendations in recent guidelines. UpToDate, an online
physician-authored clinical decision support resource, suggested differential
management of men and women for one of these sex-treatment interactions.
CONCLUSION: Statistically significant sex-treatment interactions are only
slightly more frequent than what would be expected by chance and there is little
evidence of subsequent corroboration or clinical relevance of sex-treatment
interactions.
PMID- 27884872
TI - An HPLC Procedure for the Quantification of Aloin in Latex and Gel from Aloe
barbadensis Leaves.
AB - Aloin is an anthraquinone-C-glycoside present in Aloe vera. This compound is
extremely variable among different species and highly depends on the growing
conditions of the plants. The quantification of aloin in different extraction
preparations has been a frequent problem due to the high instability of the
compound. The aim of the present study is to develop and validated an analytical
method for aloin detection in fresh and dry samples of Aloe barbadensis gel and
latex using high performance liquid chromatography coupled to a diode array
detector (HPLC-DAD). Phosphate buffered saline (pH 3) was selected as the
extraction solvent. The aloin was separated using a Zorbax Eclipse AAA column
(4.6 * 150 mm) at 35 degrees C, and water and acetonitrile were used as the
mobile phase at a flow rate of 0.9 mL/min. The linearity was satisfactory with a
correlation coefficient greater than 0.999. Under these conditions, the method
precision (relative standard deviation) was 3.71% for FL, 4.41% for dry latex,
0.81% for fresh gel and 4.42% for dry gel samples. Aloe latex was determined to
have a greater amount of aloin than aloe gel. The method validation was
satisfactory and exhibited adequate linearity, repeatability and accuracy.
PMID- 27884873
TI - Optimization of Robust HPLC Method for Quantitation of Ambroxol Hydrochloride and
Roxithromycin Using a DoE Approach.
AB - The aim of this work was to develop and optimize a robust HPLC method for the
separation and quantitation of ambroxol hydrochloride and roxithromycin utilizing
Design of Experiment (DoE) approach. The Plackett-Burman design was used to
assess the impact of independent variables (concentration of organic phase,
mobile phase pH, flow rate and column temperature) on peak resolution, USP
tailing and number of plates. A central composite design was utilized to evaluate
the main, interaction, and quadratic effects of independent variables on the
selected dependent variables. The optimized HPLC method was validated based on
ICH Q2R1 guideline and was used to separate and quantify ambroxol hydrochloride
and roxithromycin in tablet formulations. The findings showed that DoE approach
could be effectively applied to optimize a robust HPLC method for quantification
of ambroxol hydrochloride and roxithromycin in tablet formulations. Statistical
comparison between results of proposed and reported HPLC method revealed no
significant difference; indicating the ability of proposed HPLC method for
analysis of ambroxol hydrochloride and roxithromycin in pharmaceutical
formulations.
PMID- 27884874
TI - Development and Validation of a Sensitive LC-MS-MS Method for Quantification of
Mogrol in Rat Plasma and Application to Pharmacokinetic Study.
AB - Mogrol, the aglycone of mogrosides, is a potential pharmacologically active
ingredient isolated from the fruits of Siraitia grosvenorii. The aim of this
study was to develop and validate an LC-MS-MS method for the quantification of
mogrol in rat plasma. Protein precipitation extraction procedure using
methanol/water (1:1, v/v) was employed to extract mogrol from rat plasma.
Chromatographic separation was performed on a reverse-phase Agilent Zorbax XDB
C18 column (50 mm * 2.1 mm, 3.5 MUm) with gradient elution using a mobile phase
containing methanol and water, both of which contained 0.1% formic acid at a flow
rate of 0.50 mL/min. The analyte was monitored by tandem-mass spectrometry with
positive electrospray ionization mode. The precursor/product transitions (m/z) in
the positive ion mode were 459.3->423.3 and 386.2->122.3 for mogrol and internal
standard, respectively. The method was validated over the concentration range of
10.0-10,000 ng/mL with a lower limit of quantification of 10.0 ng/mL in rat
plasma. Validation experiments included tests for specificity, precision,
accuracy, matrix effect, and stability under different storage and handling
conditions. This method was successfully utilized to pharmacokinetic evaluation
of mogrol after intravenous and oral administration of a single dose in rats at
2.0 and 5.0 mg/kg, respectively. The oral absolute bioavailability (F) of mogrol
was estimated to be 10.3 +/- 2.15% with an elimination half-life (t1/2) value of
2.41 +/- 0.11 h.
PMID- 27884876
TI - Measurement of Cortical Thickness and Volume of Subcortical Structures in
Multiple Sclerosis: Agreement between 2D Spin-Echo and 3D MPRAGE T1-Weighted
Images.
AB - BACKGROUND AND PURPOSE: Gray matter pathology is known to occur in multiple
sclerosis and is related to disease outcomes. FreeSurfer and the FMRIB Integrated
Registration and Segmentation Tool (FIRST) have been developed for measuring
cortical and subcortical gray matter in 3D-gradient-echo T1-weighted images.
Unfortunately, most historical MS cohorts do not have 3D-gradient-echo, but 2D
spin-echo images instead. We aimed to evaluate whether cortical thickness and the
volume of subcortical structures measured with FreeSurfer and FIRST could be
reliably measured in 2D-spin-echo images and to investigate the strength and
direction of clinicoradiologic correlations. MATERIALS AND METHODS: Thirty-eight
patients with MS and 2D-spin-echo and 3D-gradient-echo T1-weighted images
obtained at the same time were analyzed by using FreeSurfer and FIRST. The
intraclass correlation coefficient between the estimates was obtained.
Correlation coefficients were used to investigate clinicoradiologic associations.
RESULTS: Subcortical volumes obtained with both FreeSurfer and FIRST showed good
agreement between 2D-spin-echo and 3D-gradient-echo images, with 68.8%-76.2% of
the structures having either a substantial or almost perfect agreement.
Nevertheless, with FIRST with 2D-spin-echo, 18% of patients had mis-segmentation.
Cortical thickness had the lowest intraclass correlation coefficient values, with
only 1 structure (1.4%) having substantial agreement. Disease duration and the
Expanded Disability Status Scale showed a moderate correlation with most of the
subcortical structures measured with 3D-gradient-echo images, but some
correlations lost significance with 2D-spin-echo images, especially with FIRST.
CONCLUSIONS: Cortical thickness estimates with FreeSurfer on 2D-spin-echo images
are inaccurate. Subcortical volume estimates obtained with FreeSurfer and FIRST
on 2D-spin-echo images seem to be reliable, with acceptable clinicoradiologic
correlations for FreeSurfer.
PMID- 27884875
TI - Identification and Determination of Phenolics in Lamiaceae Species by UPLC-DAD
ESI-MS/MS.
AB - This study reports the phenolic profile screening of aromatic Lamiaceae species
such as marjoram (Origanum majorana L.), lavender (Lavandula officinalis) and
pennyroyal (Mentha pulegium L.) using a novel and validated ultra performance
liquid chromatography method coupled with DAD diode array detector and tandem
mass spectrometry (MS/MS) in negative mode of electrospray ionization.
Identification and quantification of phenolics in these plant extracts has been
realized within 12 min. This method showed good precision (percentage relative
standard deviation; RSD% 0.54-2.72 for intra-day, 1.71-4.64 for inter-day),
reproducibility (percentage recovery, REC% 92.0-109.0) and linearity (r = 0.9988
0.9999). Limits of detection ranged from 0.02 to 18.2 ng/mL. The extraction of
plants was performed using microwave-assisted extraction technique and 60% (v/v)
aqueous methanol solvent medium was selected as suitable solvent because of
maximum extraction efficiency. Total antioxidant capacity, total phenolic content
and free radical scavenging activity of these plant extracts were tested and the
results correlated well among each other. According to the Folin assay, phenolic
contents of Origanum majorana L., Mentha pulegium L. and Lavandula officinalis
were calculated as 119 +/- 3.4, 85.1 +/- 2.8 and 57.8 +/- 2.1 mg GAE/g dry
matter, respectively.
PMID- 27884877
TI - Associations between Measures of Structural Morphometry and Sensorimotor
Performance in Individuals with Nonspecific Low Back Pain.
AB - BACKGROUND AND PURPOSE: To date, most structural brain imaging studies in
individuals with nonspecific low back pain have evaluated volumetric changes.
These alterations are particularly found in sensorimotor-related areas. Although
it is suggested that specific measures, such as cortical surface area and
cortical thickness, reflect different underlying neural architectures, the
literature regarding these different measures in individuals with nonspecific low
back pain is limited. Therefore, the current study was designed to investigate
the association between the performance on a sensorimotor task, more specifically
the sit-to-stand-to-sit task, and cortical surface area and cortical thickness in
individuals with nonspecific low back pain and healthy controls. MATERIALS AND
METHODS: Seventeen individuals with nonspecific low back pain and 17 healthy
controls were instructed to perform 5 consecutive sit-to-stand-to-sit movements
as fast as possible. In addition, T1-weighted anatomic scans of the brain were
acquired and analyzed with FreeSurfer. RESULTS: Compared with healthy controls,
individuals with nonspecific low back pain needed significantly more time to
perform 5 sit-to-stand-to-sit movements (P < .05). Brain morphometric analyses
revealed that cortical thickness of the ventrolateral prefrontal cortical regions
was increased in patients with nonspecific low back pain compared with controls.
Furthermore, decreased cortical thickness of the rostral anterior cingulate
cortex was associated with lower sit-to-stand-to-sit performance on an unstable
support surface in individuals with nonspecific low back pain and healthy
controls (r = -0.47, P < .007). In addition, a positive correlation was found
between perceived pain intensity and cortical thickness of the superior frontal
gyrus (r = 0.70, P < .002) and the pars opercularis of the inferior ventrolateral
prefrontal cortex (r = 0.67, P < .004). Hence, increased cortical thickness was
associated with increased levels of pain intensity in individuals with
nonspecific low back pain. No associations were found between cortical surface
area and the pain characteristics in this group. CONCLUSIONS: The current study
suggests that cortical thickness may contribute to different aspects of sit-to
stand-to-sit performance and perceived pain intensity in individuals with
nonspecific low back pain.
PMID- 27884879
TI - Henry J.M. Barnett.
PMID- 27884878
TI - Comparison of 3 Different Types of Spinal Arteriovenous Shunts below the Conus in
Clinical Presentation, Radiologic Findings, and Outcomes.
AB - BACKGROUND AND PURPOSE: Spinal arteriovenous shunts below the conus constitute 3
types of lesions, which have previously been mainly described in case reports,
given their rarity, and are sometimes misdiagnosed. The purpose of this study was
to describe the features of each type and compare these types as to epidemiologic
features, clinical and radiologic presentations, treatment, and outcomes in a
consecutive series of 48 cases. MATERIALS AND METHODS: The prospectively
collected data bases of 2 referral centers for spinal vascular lesions were
retrospectively reviewed. Spinal arteriovenous shunts below the conus were
defined as all dural and intradural shunts below the conus medullaris. Clinical
features, radiologic findings, treatment results, and clinical outcomes were
assessed. RESULTS: There were filum terminale arteriovenous fistulas in 11
patients (22.9%), radicular arteriovenous shunts in 7 patients (14.6%), and
spinal dural arteriovenous fistulas in 30 patients (62.5%). Radicular
arteriovenous shunts presented at a younger age (P = .017) and with a higher
incidence of back pain symptoms (P = .037). A tethered spinal cord was found in
54.5% of patients with filum terminale arteriovenous fistulas and 23.3% of
patients with spinal dural arteriovenous fistulas. After treatment, the
angiographic complete obliteration rate was 89.4% and spinal function was
improved significantly (P < .001). CONCLUSIONS: Three groups of spinal
arteriovenous shunts below the conus can be differentiated according to clinical
and radiologic features. Filum terminale arteriovenous fistulas are frequently
associated with dysraphic malformations, which may suggest a particular
embryologic origin.
PMID- 27884880
TI - First-Pass Contrast-Enhanced MRA for Pretherapeutic Diagnosis of Spinal Epidural
Arteriovenous Fistulas with Intradural Venous Reflux.
AB - BACKGROUND AND PURPOSE: Spinal epidural AVFs are rare spinal vascular
malformations. When there is associated intradural venous reflux, they may mimic
the more common spinal dural AVFs. Correct diagnosis and localization before
conventional angiography is beneficial to facilitate treatment. We hypothesize
that first-pass contrast-enhanced MRA can diagnose and localize spinal epidural
AVFs with intradural venous reflux and distinguish them from other spinal AVFs.
MATERIALS AND METHODS: Forty-two consecutive patients with a clinical and/or
radiologic suspicion of spinal AVF underwent MR imaging, first-pass contrast
enhanced MRA, and DSA at a single institute (2000-2015). MR imaging/MRA and DSA
studies were reviewed by 2 independent blinded observers. DSA was used as the
reference standard. RESULTS: On MRA, all 7 spinal epidural AVFs with intradural
venous reflux were correctly diagnosed and localized with no interobserver
disagreement. The key diagnostic feature was arterialized filling of an epidural
venous pouch with a refluxing radicular vein arising from the arterialized
epidural venous system. CONCLUSIONS: First-pass contrast-enhanced MRA is a
reliable and useful technique for the initial diagnosis and localization of
spinal epidural AVFs with intradural venous reflux and can distinguish these
lesions from other spinal AVFs.
PMID- 27884882
TI - Lymphomatosis cerebri: diagnostic challenges and review of the literature.
AB - Lymphomatosis cerebri (LC) is a rare variant of a primary central nervous system
non-Hodgkin's lymphoma (PCNSL) characterised by diffuse infiltration of tumour
cells throughout the brain parenchyma. We present a 68-year-old immunocompetent
woman with headaches, dizziness, blurred vision, localised right leg weakness and
rapidly progressive dementia. A brain MRI demonstrated diffuse T2 hyperintense
white matter lesions that did not enhance with contrast. The clinical
differential diagnosis of these lesions included metastatic disease, infectious
or inflammatory process such as sarcoidosis, lymphoma, demyelinating disease and
less likely vascular aetiology, such as vasculitis or ischaemic stroke. A right
frontal stereotactic brain biopsy was non-diagnostic. The patient eventually died
from aspiration pneumonia following a pneumonectomy for a primary lung
adenocarcinoma. The diagnosis of LC was established on postmortem examination of
the brain.
PMID- 27884881
TI - Testing Stenting and Flow Diversion Using a Surgical Elastase-Induced Complex
Fusiform Aneurysm Model.
AB - BACKGROUND AND PURPOSE: Rabbit elastase-induced saccular aneurysms have been
commonly used for preclinical testing of endovascular devices, including flow
diverters. However, all tested devices have been shown to be capable of aneurysm
occlusion with this model. We aimed to create a more challenging model to test
and discriminate among neurovascular devices of varying efficacies. MATERIALS AND
METHODS: With a surgical approach that included elastase infusion and balloon
dilation, we attempted the creation of complex fusiform aneurysms in 16 rabbits,
with standard saccular carotid aneurysms created in 15 other animals. Aneurysms
were randomly allocated to one of the following treatments: flow diversion (n =
8), high-porosity stent (n = 6), double high-porosity stent (n = 5), and control
(n = 6). Angiographic assessment and pathologic analyses were performed at 3
months. RESULTS: Creation of complex fusiform and standard saccular aneurysms was
successful in 12/16 and 13/15 attempts, respectively. All saccular (n = 4) or
complex fusiform (n = 4) aneurysms treated with flow diverters were successfully
occluded. Three of 3 saccular compared with 0/2 complex fusiform aneurysms were
occluded by double high-porosity stents. One of 3 saccular and 0/3 complex
fusiform aneurysms were occluded by a single high-porosity stent. Both aneurysm
types shared the same pathologic findings when untreated: The aneurysm wall
lacked an elastic layer and smooth muscle cells, while the lumen was lined with
neointima of varying thickness. Neointimal coverage of the devices was complete
when aneurysms were occluded, while leaks were always associated with aneurysm
remnants. CONCLUSIONS: Challenging fusiform aneurysms can be created in rabbits
by using a surgical modification of the elastase method.
PMID- 27884883
TI - Bilateral ureteric obstruction during in vitro fertilisation therapy in a patient
with complex surgically managed Crohn's disease.
AB - A 37-year-old woman receiving in vitro fertilisation (IVF) treatment presented
with lethargy, fevers and anuria. Her background included complex surgically
managed Crohn's disease and mild right-sided hydronephrosis (with no evidence of
obstruction on MAG3 renogram). On examination, she had a distended abdomen with
generalised tenderness. Blood tests revealed an acute kidney injury and confirmed
sepsis. She was found to have bilateral ureteric obstruction with worsening of
the right-sided hydronephrosis and new-onset left-sided hydronephrosis secondary
to bilateral ovarian masses, diagnosed as mild ovarian hyperstimulation syndrome
(OHSS). She was initially managed with fluid resuscitation, bilateral
nephrostomies, antibiotics and supportive management of mild OHSS. She made a
good recovery and was discharged after placement of antegrade stents and removal
of nephrostomies. On follow-up, following resolution of ovarian hyperstimulation,
she has been diagnosed with a right-sided distal ureteric stricture and is
awaiting reconstruction. Her left ureteric stent has been removed.
PMID- 27884884
TI - Existing evidence is insufficient to justify metformin or other agents as first
line therapy for type 2 diabetes.
PMID- 27884885
TI - Rate of epilepsy in people with autism and the rate of autism in people with
epilepsy are high.
PMID- 27884886
TI - A question of evidence.
PMID- 27884887
TI - Number of locums has doubled since 2009.
PMID- 27884888
TI - Getting the Message Out.
PMID- 27884889
TI - Palliative care in children with cancer: implications for general practice.
PMID- 27884890
TI - New technologies and general practice.
PMID- 27884891
TI - BJGP Open: a new, online-only, open access journal.
PMID- 27884892
TI - GPFV: a new charter for general practice?
PMID- 27884893
TI - Primary care is the cornerstone of our NHS.
PMID- 27884894
TI - Confronting the bashing: fundamental questions remain.
PMID- 27884895
TI - Bullying and banter.
PMID- 27884896
TI - Colchicine in overdose.
PMID- 27884897
TI - Child health training and the College.
PMID- 27884898
TI - Cruse Bereavement Care.
PMID- 27884899
TI - Family medicine on the rise in Kosovo.
PMID- 27884900
TI - Shoot the academics? Running the gauntlet of online responders.
PMID- 27884901
TI - The reappearance of the sick man: a landmark publication revisited.
PMID- 27884902
TI - La Tour's hypovitaminosis in the peasants' swollen eyelids and deformed nails.
PMID- 27884903
TI - Yonder: European GP workforce, asthma, malignant wounds, and breast implants.
PMID- 27884904
TI - RCGP Research Paper of the Year 2015: strong messages for clinical care in all
six research categories.
PMID- 27884905
TI - Bad Medicine: Resilience.
PMID- 27884906
TI - Our ailing profession: we need more than resilience and replenishment.
PMID- 27884907
TI - Books: A Body of Work: An Anthology of Poetry and Medicine: A Body Beautiful.
PMID- 27884908
TI - Books: The FRAYED Consultation Model for Doctors Dealing with Unreasonable
Demands from Difficult Patients: A Communication Skills Guide for Stressed GPs on
How to Survive Doctor-Patient Conflict: To Yield or not to Yield.
PMID- 27884909
TI - BJGP Library: Howards End.
PMID- 27884910
TI - In praise of the vanishing skill of watchful waiting.
PMID- 27884911
TI - Time to rethink the capture and use of family history in primary care.
PMID- 27884912
TI - Peer review: acknowledging its value and recognising the reviewers.
PMID- 27884913
TI - Reducing the risk of type 2 diabetes mellitus in primary care after gestational
diabetes: a role for mobile technology to improve current care.
PMID- 27884914
TI - Red eyes in children: red flags and a case to learn from.
PMID- 27884915
TI - A case of congenital rubella syndrome and infection in South-East London in 2015:
prevention, diagnosis, and the public health response.
PMID- 27884916
TI - Usual medical treatments or levonorgestrel-IUS for women with heavy menstrual
bleeding: long-term randomised pragmatic trial in primary care.
AB - BACKGROUND: Heavy menstrual bleeding (HMB) is a common, chronic problem affecting
women and health services. However, long-term evidence on treatment in primary
care is lacking. AIM: To assess the effectiveness of commencing the
levonorgestrel-releasing intrauterine system (LNG-IUS) or usual medical
treatments for women presenting with HMB in general practice. DESIGN AND SETTING:
A pragmatic, multicentre, parallel, open-label, long term, randomised controlled
trial in 63 primary care practices across the English Midlands. METHOD: In total,
571 women aged 25-50 years, with HMB were randomised to LNG-IUS or usual medical
treatment (tranexamic/mefenamic acid, combined oestrogen-progestogen, or
progesterone alone). The primary outcome was the patient reported Menorrhagia
Multi-Attribute Scale (MMAS, measuring effect of HMB on practical difficulties,
social life, psychological and physical health, and work and family life; scores
from 0 to 100). Secondary outcomes included surgical intervention (endometrial
ablation/hysterectomy), general quality of life, sexual activity, and safety.
RESULTS: At 5 years post-randomisation, 424 (74%) women provided data. While the
difference between LNG-IUS and usual treatment groups was not significant (3.9
points; 95% confidence interval = -0.6 to 8.3; P = 0.09), MMAS scores improved
significantly in both groups from baseline (mean increase, 44.9 and 43.4 points,
respectively; P<0.001 for both comparisons). Rates of surgical intervention were
low in both groups (surgery-free survival was 80% and 77%; hazard ratio 0.90; 95%
CI = 0.62 to 1.31; P = 0.6). There was no difference in generic quality of life,
sexual activity scores, or serious adverse events. CONCLUSION: Large improvements
in symptom relief across both groups show treatment for HMB can be successfully
initiated with long-term benefit and with only modest need for surgery.
PMID- 27884917
TI - Managing barriers to empathy in the clinical encounter: a qualitative interview
study with GPs.
AB - BACKGROUND: Current daily general practice has become increasingly technical and
somatically oriented (where attention to patients' feelings is decreased) due to
an increase in protocol-based guidelines. Priorities in GP-patient communication
have shifted from a focus on listening and empathy to task-oriented
communication. AIM: To explore what barriers GPs experience when applying empathy
in daily practice, and how these barriers are managed. DESIGN AND SETTING: Thirty
Dutch GPs with sufficient heterogeneity in sex, age, type of practice, and rural
or urban setting were interviewed. METHOD: The consolidated criteria for
reporting qualitative research (COREQ) were applied. The verbatim transcripts
were then analysed. RESULTS: According to participating GPs, the current emphasis
on protocol-driven care can be a significant barrier to genuineness in
communication. Other potential barriers mentioned were time pressures and
constraints, and dealing with patients displaying 'unruly behaviour' or those
with personality disorders. GPs indicated that it can be difficult to balance
emotional involvement and professional distance. Longer consulting times, smaller
practice populations, and efficient practice organisation were described as
practical solutions. In order to focus on a patient-as-person approach, GPs
strongly suggested that deviating from guidelines should be possible when
necessary as an element of good-quality care. Joining intercollegiate counselling
groups was also discussed. CONCLUSION: In addition to practical solutions for
barriers to behaving empathically, GPs indicated that they needed more freedom to
balance working with protocols and guidelines, as well as a patient-as-person and
patient-as-partner approach. This balance is necessary to remain connected with
patients and to deliver care that is truly personal.
PMID- 27884918
TI - Patients' beliefs on the impediments to good diabetes control: a mixed methods
study of patients in general practice.
AB - BACKGROUND: Most people with diabetes are not attaining desirable levels of HbA1c
(glycated haemoglobin), or of blood pressure and cholesterol, leaving them at
risk of developing complications. AIM: To identify ways of improving diabetes
control by gaining insight into patients' attitudes/beliefs. DESIGN AND SETTING:
Questionnaires were offered to patients attending for a diabetes review in the 24
GP practices of North East Hampshire and Farnham Clinical Commissioning Group.
METHOD: Infrequent attenders were contacted by post. Volunteers then participated
in focus groups. RESULTS: Self-reported medication adherence was good with 83%
(98/118) of responders recording >=9 on a 10-point scale. Patients generally
accepted they 'needed' and 'could take' medication. A substantial minority
reported 'not liking' taking tablets. Focus groups confirmed this and revealed a
reluctance to change lifestyle, with medication reported as a way to evade it. A
total of 68 out of 112 responders (60.7%) knew their HbA1c value. However, focus
groups identified little understanding of HbA1c, with responders perceiving it as
medical jargon. Phrases such as 'stuck-on-sugar' or 'sugarload' were suggested as
being semantically easier to understand. The questionnaire revealed trust in
clinicians. This was confirmed in focus groups but confounded by frequent reports
of healthcare providers giving inadequate/incorrect advice. CONCLUSION:
Investment in lifestyle change is needed. Participants were reluctant to change
and saw medication as a way of avoiding it. HbA1c needs to be better explained.
Intuitive phrases such as 'stuck-on-sugar' or 'sugarload' could be adopted into
common parlance. Inadequate/incorrect advice seems to be hampering diabetes
management and there appears to be a need for more diabetes-trained clinicians.
PMID- 27884919
TI - Percutaneous cooled-probe microwave versus radiofrequency ablation in early-stage
hepatocellular carcinoma: a phase III randomised controlled trial.
PMID- 27884920
TI - Systematic review of bariatric surgery liver biopsies clarifies the natural
history of liver disease in patients with severe obesity.
AB - OBJECTIVE: Non-alcoholic fatty liver disease (NAFLD) is a frequent complication
of morbid obesity, but its severity varies greatly and thus there is a strong
need to better define its natural history in these patients. DESIGN: Liver
biopsies were systematically performed in 798 consecutive patients with severe
obesity undergoing bariatric surgery. Histology was compared with clinical,
biological, anthropometrical and body composition characteristics. RESULTS:
Patients with presumably normal liver (n=179, 22%) were significantly younger at
bariatric surgery than patients with NAFLD (37.0 vs 44.4 years, p<0.0001).
However, both groups showed quite similar obesity duration, since patients with
presumably normal liver reported the onset of obesity at a significantly younger
age than those with NAFLD (14.8 vs 20.0 year, p<0.0001). The trunk/limb fat mass
ratio increased according to liver disease severity (presumably normal liver:
1.00, steatosis: 1.21, non-alcoholic steatohepatitis (NASH): 1.34, p<0.0001),
although the total body fat mass decreased (presumably normal liver: 50%,
steatosis: 49.1%, NASH: 47.4%, p<0.0001). The volume of subcutaneous adipocytes
increased according to severity of liver disease but only in female patients
(presumably normal liver: 8543 picolitres, steatosis: 9156 picolitres, NASH: 9996
picolitres). CONCLUSIONS: These results suggest that young adults are more prone
to store fat in subcutaneous tissue and reach the threshold of bariatric surgery
indication before their liver is damaged. A shift of fat storage from
subcutaneous to visceral adipose tissue compartment is associated with liver
damages. Liver might also be targeted by subcutaneous hypertrophic adipocytes in
females since hypertrophic adipocytes are more exposed to lipolysis and to the
production of inflammatory mediators.
PMID- 27884921
TI - Reply: 'More viral mutants, less HBsAg clearance? One size may not fit all'.
PMID- 27884922
TI - Letter to editor: Best estimations of the effects of alcohol on emergency
department attendance.
PMID- 27884923
TI - Clinical metrics in emergency medicine: the shock index and the probability of
hospital admission and inpatient mortality.
AB - STUDY OBJECTIVES: The shock index (SI), defined as the ratio of HR to systolic
BP, has been studied as an alternative prognostic tool to traditional vital signs
in specific disease states and subgroups of patients. However, literature
regarding its utility in the general ED population is lacking. Our main objective
was to determine the probability of admission and inpatient mortality based on
the first measured SI at initial presentation in the general adult ED population
in our tertiary care centre. METHODS: A retrospective chart review of all adult
patients (>=18 years old) presenting to the ED at our tertiary care centre over a
12-month period was conducted. Likelihood ratios (LRs) were calculated in order
to determine the optimal SI cut-off for predicting hospital admission and
inpatient mortality. RESULTS: We reviewed 58 336 ED patient encounters occurring
between 1 October 2012 and 30 September 2013. SI >1.2 was associated with a large
increase in the likelihood of hospital admission, with a positive LR (+LR) of
11.69 (95% CI 9.50 to 14.39) and a moderate increase in the likelihood of
inpatient mortality with a +LR of 5.82 (95% CI 4.31 to 7.85). SI >0.7 and >0.9,
the traditional 'normal' cut-offs cited in the literature, were only associated
with minimal to small increases in the likelihood of admission and inpatient
mortality. CONCLUSIONS: In our single-centre study, the initial SI recorded in
the ED shows promise as a clinical metric in the general adult ED population,
increasing the probability of both hospital admission and inpatient mortality,
specifically at a threshold of SI >1.2.
PMID- 27884926
TI - Proposal for an improved classification system for cavernous sinus dural
arteriovenous fistula (CS-DAVF).
PMID- 27884927
TI - Novel approaches to access and treatment of cavernous sinus dural arteriovenous
fistula (CS-DAVF): case series and review of the literature.
AB - Caroticocavernous fistula or cavernous sinus dural arteriovenous fistula (CS
DAVF) has presented various treatment challenges over many years. This paper
outlines these challenges in a review of the literature, and attempts to address
them by analyzing the anatomical and hemodynamic characteristics of 32
consecutive patients with CS-DAVF treated between 2007 and 2016, in doing so
proposing novel strategies for safe access and treatment of CS-DAVF.
PMID- 27884924
TI - Low-acuity presentations to the emergency department in Canada: exploring the
alternative attempts to avoid presentation.
AB - OBJECTIVE: ED visits have been rising year on year worldwide. It has been
suggested that some of these visits could be avoided if low-acuity patients had
better primary care access. This study explored patients' efforts to avoid ED
presentation and alternative care sought prior to presentation. METHODS:
Consecutive adult patients presenting to three urban EDs in Edmonton, Canada,
completed a questionnaire collecting demographics, actions attempted to avoid
presentation and reasons for presentation. Survey data were cross-referenced to a
minimal patient dataset containing ED and demographic information. RESULTS: A
total of 1402 patients (66.5%) completed the survey. Although 89.3% of the
patients felt that the ED was their best care option, the majority of patients
(60.1%) sought alternative care or advice prior to presentation. Men, individuals
who presented with injury only, and individuals with less than a high school
education were all less likely to seek alternative care. Alternative care actions
included visiting a physician (54.1%) or an alternative healthcare professional
(eg, chiropractor, physiotherapist, etc; 21.2%), calling physician offices (47%)
or the regional health information line (13%). Of those who called their
physicians, the majority received advice to present to the ED (67.5%).
CONCLUSIONS: Most low-acuity patients attempt to avoid ED presentation by seeking
alternative care. This analysis identifies groups of individuals in the study
region who are less likely to seek alternative care first and may benefit from
targeted interventions/education. Other regions may wish to complete a similar
profile to determine which patients are less likely to seek alternative care
first.
PMID- 27884928
TI - MACRA 2.0: are you ready for MIPS?
AB - The annual cost of healthcare delivery in the USA now exceeds US$3 trillion. Fee
for service methodology is often implicated as a cause of this exceedingly high
figure. The Affordable Care Act created the Center for Medicare and Medicaid
Innovation (CMMI) to pilot test value based alternative payments for reimbursing
physician services. In 2015, the Medicare Access and CHIP Reauthorization Act
(MACRA) was passed into law. MACRA has dramatic implications for all US based
healthcare providers. MACRA permanently repealed the Medicare Sustainable Growth
Rate so as to stabilize physician part B Medicare payments, consolidated pre
existing federal performance programs into the Merit based Incentive Payments
System (MIPS), and legislatively mandated new approaches to paying clinicians.
Neurointerventionalists will predominantly participate in MIPS. MIPS unifies,
updates, and streamlines previously existing federal performance programs,
thereby reducing onerous redundancies and overall administrative burden, while
consolidating performance based payment adjustments. While MIPS may be perceived
as a straightforward continuation of fee for service methodology with performance
modifiers, MIPS is better viewed as a stepping stone toward eventually adopting
alternative payment models in later years. In October 2016, the Centers for
Medicare and Medicaid Services (CMS) released a final rule for MACRA
implementation, providing greater clarity regarding 2017 requirements. The final
rule provides a range of options for easing MIPS reporting requirements in the
first performance year. Nonetheless, taking the newly offered 'minimum possible'
approach toward meeting the requirements will still have negative consequences
for providers.
PMID- 27884929
TI - Pro-nociceptive migraine mediator CGRP provides neuroprotection of sensory,
cortical and cerebellar neurons via multi-kinase signaling.
AB - Background Blocking the pro-nociceptive action of CGRP is one of the most
promising approaches for migraine prophylaxis. The aim of this study was to
explore a role for CGRP as a neuroprotective agent for central and peripheral
neurons. Methods The viability of isolated rat trigeminal, cortical and
cerebellar neurons was tested by fluorescence vital assay. Engagement of Nrf2
target genes was analyzed by qPCR. The neuroprotective efficacy of CGRP in vivo
was tested in mice using a permanent cerebral ischemia model. Results CGRP
prevented apoptosis induced by the amino acid homocysteine in all three distinct
neuronal populations. Using a set of specific kinase inhibitors, we show the role
of multi-kinase signaling pathways involving PKA and CaMKII in neuronal survival.
Forskolin triggered a very similar signaling cascade, suggesting that cAMP is the
main upstream trigger for multi-kinase neuroprotection. The specific CGRP
antagonist BIBN4096 reduced cellular viability, lending further support to the
proposed neuroprotective function of CGRP. Importantly, CGRP was neuroprotective
against permanent ischemia in mice. Conclusion Our data show an unexpected
'positive' role for the endogenous pro-nociceptive migraine mediator CGRP,
suggesting more careful examination of migraine prophylaxis strategy based on
CGRP antagonism although it should be noted that homocysteine induced apoptosis
in primary neuronal cell culture might not necessarily reproduce all the features
of cell loss in the living organism.
PMID- 27884930
TI - Diet modification challenges faced by marginalized and nonmarginalized adults
with type 2 diabetes: A systematic review and qualitative meta-synthesis.
AB - Objectives Diet modification is an important part of the prevention and treatment
of type 2 diabetes, but sustained dietary change remains elusive for many
individuals. This paper describes and interprets the barriers to diet
modification from the perspective of people with type 2 diabetes, paying
particular attention to the experiences of people who experience social
marginalization. Methods A systematic review of primary, empirical qualitative
research was performed, capturing 120 relevant studies published between 2002 and
2015. Qualitative meta-synthesis was used to provide an integrative analysis of
this knowledge. Results Due to the central role of food in social life, dietary
change affects all aspects of a person's life, and barriers related to self
discipline, emotions, family and social support, social significance of food, and
knowledge were identified. These barriers are inter-linked and overlapping.
Social marginalization magnifies barriers; people who face social marginalization
are trying to make the same changes as other people with diabetes with fewer
socio-material resources in the face of greater challenges. Discussion A social
ecological model of behavior supports our findings of challenges at all levels,
and highlights the need for interventions and counseling strategies that address
the social and environmental factors that shape and sustain dietary change.
PMID- 27884933
TI - Using the Personality Assessment Inventory Antisocial and Borderline Features
Scales to Predict Behavior Change: A Multisite Longitudinal Study of Youthful
Offenders.
AB - A substantial amount of research has examined the developmental trajectory of
antisocial behavior and, in particular, the relationship between antisocial
behavior and maladaptive personality traits. However, research typically has not
controlled for previous behavior (e.g., past violence) when examining the utility
of personality measures, such as self-report scales of antisocial and borderline
traits, in predicting future behavior (e.g., subsequent violence). Examination of
the potential interactive effects of measures of both antisocial and borderline
traits also is relatively rare in longitudinal research predicting adverse
outcomes. The current study utilizes a large sample of youthful offenders ( N =
1,354) from the Pathways to Desistance project to examine the separate effects of
the Personality Assessment Inventory Antisocial Features (ANT) and Borderline
Features (BOR) scales in predicting future offending behavior as well as trends
in other negative outcomes (e.g., substance abuse, violence, employment
difficulties) over a 1-year follow-up period. In addition, an ANT * BOR
interaction term was created to explore the predictive effects of secondary
psychopathy. ANT and BOR both explained unique variance in the prediction of
various negative outcomes even after controlling for past indicators of those
same behaviors during the preceding year.
PMID- 27884931
TI - Mild Depressive Symptoms Mediate the Impact of Childhood Trauma on Long-Term
Functional Outcome in Early Psychosis Patients.
AB - Introduction: The mechanism linking childhood trauma (CT) to the functional
deficits observed in early psychosis (EP) patients is as yet unknown. We aim to
examine the potential mediating effect of depressive symptoms in this well
established association. Methods: Two hundred nine EP subjects aged 18-35 were
assessed for functioning and psychopathology after 2, 6, 12, 18, 24, 30, and 36
months of treatment. Patients were classified into early trauma if they had faced
at least one experience of abuse (physical, sexual, or emotional) or neglect
(physical or emotional) before age 12, and late trauma if the exposure had
occurred between ages 12 and 16. Diagnosis was based on the criteria of the
Diagnostic and Statistical Manual of Mental Disorders (Fourth Edition).
Psychopathology was assessed with the Positive and Negative Syndrome Scale and
the Montgomery-Asberg Depression Rating Scale. Functioning was measured with the
Global Assessment of Functioning (GAF) and the Social and Occupational
Functioning Assessment Scale (SOFAS). Mediation analyses were performed in order
to study whether the relationship between CT and functioning was mediated by
depressive symptoms. Results: When compared with nonexposed patients, early but
not late trauma patients showed lower levels of GAF and SOFAS scores over all the
time points, excepting after the first assessment. After 30 and 36 months, the
effect of early trauma on functioning was completely mediated by depressive
symptoms. No mediating effect of positive or negative symptoms was highlighted at
those time points. Conclusion: Mild depressive symptoms mediated the impact of
early trauma on long-term functional outcome. Intensifying pharmacologic and/or
psychotherapeutic treatment, focused on the depressive dimension, may help
traumatized EP patients to improve their functioning.
PMID- 27884932
TI - Drosophila WASH is required for integrin-mediated cell adhesion, cell motility
and lysosomal neutralization.
AB - The Wiskott-Aldrich syndrome protein and SCAR homolog (WASH; also known as
Washout in flies) is a conserved actin-nucleation-promoting factor controlling
Arp2/3 complex activity in endosomal sorting and recycling. Previous studies have
identified WASH as an essential regulator in Drosophila development. Here, we
show that homozygous wash mutant flies are viable and fertile. We demonstrate
that Drosophila WASH has conserved functions in integrin receptor recycling and
lysosome neutralization. WASH generates actin patches on endosomes and lysosomes,
thereby mediating both aforementioned functions. Consistently, loss of WASH
function results in cell spreading and cell migration defects of macrophages, and
an increased lysosomal acidification that affects efficient phagocytic and
autophagic clearance. WASH physically interacts with the vacuolar (V)-ATPase
subunit Vha55 that is crucial to establish and maintain lysosome acidification.
As a consequence, starved flies that lack WASH function show a dramatic increase
in acidic autolysosomes, causing a reduced lifespan. Thus, our data highlight a
conserved role for WASH in the endocytic sorting and recycling of membrane
proteins, such as integrins and the V-ATPase, that increase the likelihood of
survival under nutrient deprivation.
PMID- 27884934
TI - Chronic neuropathic pain severity is determined by lesion level in aquaporin 4
antibody-positive myelitis.
AB - IMPORTANCE: Chronic, intractable neuropathic pain is a common and debilitating
consequence of neuromyelitis optica spectrum disorder (NMOSD) myelitis, with no
satisfactory treatment; few studies have yet to explore its aetiology. OBJECTIVE:
To establish if myelitis-associated chronic pain in NMOSD is related to the
craniocaudal location of spinal cord lesions. METHOD: (1) Retrospective cohort of
76 aquaporin 4-antibody (AQP4-Ab)-positive patients from Oxford and Liverpool's
national NMOSD clinics, assessing current pain and craniocaudal location of cord
lesion contemporary to pain onset. (2) Focused prospective study of 26 AQP4-Ab
positive Oxford patients, a subset of the retrospective cohort, assessing current
craniocaudal lesion location and current pain. RESULTS: Patients with isolated
thoracic cord myelitis at the time of pain onset were significantly more disabled
and suffered more pain. Cervical and thoracic lesions that persisted from pain
onset to 'out of relapse' follow-up (current MRI) had highly significant (p<0.01)
opposing effects on pain scores (std. beta=-0.46 and 0.48, respectively). Lesion
length, total lesion burden and number of transverse myelitis relapses did not
correlate with pain. CONCLUSIONS: Persistent, caudally located (ie, thoracic)
cord lesions in AQP4-Ab-positive patients associate with high postmyelitis
chronic pain scores, irrespective of number of myelitis relapses, lesion length
and lesion burden. Although disability correlated with pain in isolation, it
became an insignificant predictor of pain when analysed alongside craniocaudal
location of lesions.
PMID- 27884937
TI - Implementation of ICRP 116 Dose Conversion Coefficients for Reconstructing Organ
Dose in a Radiation Compensation Program.
AB - Since 2000, National Institute for Occupational Safety and Health (NIOSH) has
used dose conversion coefficients published by the International Commission on
Radiation Protection in report 74 (ICRP 74) to determine organ dose from external
radiation sources. In 2010, the ICRP issued publication 116 using more realistic
phantoms than ICRP 74. NIOSH has developed a Monte Carlo method to sample the
energy-organ-specific distribution of the ICRP 116 conversion coefficients to
determine the organ dose and the associated uncertainty. Using Monte Carlo
methods, irradiation geometry factors (IGFs) were developed to convert the
measured dosemeter dose on the front of the body to values that are compatible
with ICRP 116 organ dose conversion coefficients. Specific IGFs were developed
for (1) both neutrons and photon exposures, (2) to male and female workers and
(3) for rotational and isotropic exposure geometries. The computed mean organ
dose and the associated uncertainty are used in the probability of causation
calculation for compensation.
PMID- 27884936
TI - CONSTANCES: a general prospective population-based cohort for occupational and
environmental epidemiology: cohort profile.
AB - : WHY THE COHORT WAS SET UP?: CONSTANCES is a general-purpose cohort with a focus
on occupational and environmental factors. COHORT PARTICIPANTS: CONSTANCES was
designed as a randomly selected sample of French adults aged 18-69 years at
inception; 200 000 participants will be included. DATA COLLECTION PHASES: At
enrolment, the participants are invited to complete questionnaires and to attend
a health screening centre (HSC) for a health examination. A biobank will be set
up. The follow-up includes an yearly self-administered questionnaire, a periodic
visit to an HSC and linkage to social and national health administrative
databases. MAIN TYPES OF DATA COLLECTED: Data collected for participants include
social and demographic characteristics, socioeconomic status, life events and
behaviours. Regarding occupational and environmental factors, a wealth of data on
organisational, chemical, biological, biomechanical and psychosocial lifelong
exposure, as well as residential characteristics, are collected at enrolment and
during follow-up. The health data cover a wide spectrum: self-reported health
scales, reported prevalent and incident diseases, long-term chronic diseases and
hospitalisations, sick-leaves, handicaps, limitations, disabilities and injuries,
healthcare usage and services provided, and causes of death. CONTROL OF SELECTION
EFFECTS: To take into account non-participation and attrition, a random cohort of
non-participants was set up and will be followed through the same national
databases as participants. DATA ACCESS: Inclusions begun at the end of 2012 and
more than 110 000 participants were already included by September 2016. Several
projects on occupational and environmental risks already applied to a public call
for nested research projects.
PMID- 27884935
TI - Diagnostic value of exome and whole genome sequencing in craniosynostosis.
AB - BACKGROUND: Craniosynostosis, the premature fusion of one or more cranial
sutures, occurs in ~1 in 2250 births, either in isolation or as part of a
syndrome. Mutations in at least 57 genes have been associated with
craniosynostosis, but only a minority of these are included in routine laboratory
genetic testing. METHODS: We used exome or whole genome sequencing to seek a
genetic cause in a cohort of 40 subjects with craniosynostosis, selected by
clinical or molecular geneticists as being high-priority cases, and in whom prior
clinically driven genetic testing had been negative. RESULTS: We identified
likely associated mutations in 15 patients (37.5%), involving 14 different genes.
All genes were mutated in single families, except for IL11RA (two families). We
classified the other positive diagnoses as follows: commonly mutated
craniosynostosis genes with atypical presentation (EFNB1, TWIST1); other core
craniosynostosis genes (CDC45, MSX2, ZIC1); genes for which mutations are only
rarely associated with craniosynostosis (FBN1, HUWE1, KRAS, STAT3); and known
disease genes for which a causal relationship with craniosynostosis is currently
unknown (AHDC1, NTRK2). In two further families, likely novel disease genes are
currently undergoing functional validation. In 5 of the 15 positive cases, the
(previously unanticipated) molecular diagnosis had immediate, actionable
consequences for either genetic or medical management (mutations in EFNB1, FBN1,
KRAS, NTRK2, STAT3). CONCLUSIONS: This substantial genetic heterogeneity, and the
multiple actionable mutations identified, emphasises the benefits of exome/whole
genome sequencing to identify causal mutations in craniosynostosis cases for
which routine clinical testing has yielded negative results.
PMID- 27884938
TI - The Russian Human Radiobiological Tissue Repository: A Unique Resource for
Studies of Plutonium-Exposed Workers.
AB - The Russian Radiobiological Human Tissue Repository (RHTR) at the Southern Urals
Biophysics Institute in Ozyorsk, Russia, was established to collect and store
biospecimens supporting research on health consequences of chronic, low-dose
radiation exposures. The purpose of this paper is to describe the RHTR resources
and the availability of high-quality biological specimens. RHTR has enrolled two
groups of subjects from 1951 to the present time: exposed workers at the Mayak
Production Association facilities and residents of Ozyorsk who were never
occupationally exposed to ionizing radiation (controls). Biospecimens are
collected with informed consent of participants and are annotated with
demographic, occupational, dosimetry and medical information. To date, 900
individuals have provided surgical tissues and 1000 have provided autopsy
tissues. Blood samples are also collected and stored. Familial DNA is available
from parent-offspring triads. Biospecimens and annotated data are available to
interested scientists worldwide, via the RHTR website.
PMID- 27884939
TI - Regulation of sugar transporter activity for antibacterial defense in
Arabidopsis.
AB - Microbial pathogens strategically acquire metabolites from their hosts during
infection. Here we show that the host can intervene to prevent such metabolite
loss to pathogens. Phosphorylation-dependent regulation of sugar transport
protein 13 (STP13) is required for antibacterial defense in the plant Arabidopsis
thaliana STP13 physically associates with the flagellin receptor flagellin
sensitive 2 (FLS2) and its co-receptor BRASSINOSTEROID INSENSITIVE 1-associated
receptor kinase 1 (BAK1). BAK1 phosphorylates STP13 at threonine 485, which
enhances its monosaccharide uptake activity to compete with bacteria for
extracellular sugars. Limiting the availability of extracellular sugar deprives
bacteria of an energy source and restricts virulence factor delivery. Our results
reveal that control of sugar uptake, managed by regulation of a host sugar
transporter, is a defense strategy deployed against microbial infection.
Competition for sugar thus shapes host-pathogen interactions.
PMID- 27884940
TI - Ecological speciation of bacteriophage lambda in allopatry and sympatry.
AB - Understanding the conditions that allow speciation to occur is difficult because
most research has focused on either long-lived organisms or asexual
microorganisms. We propagated bacteriophage lambda, a virus with rapid
generations and frequent recombination, on two Escherichia coli host genotypes
that expressed either the LamB or OmpF receptor. When supplied with either single
host (allopatry), phage lambda improved its binding to the available receptor
while losing its ability to use the alternative. When evolving on both hosts
together (sympatry), the viruses split into two lineages with divergent receptor
preferences. Although the level of divergence varied among replicates, some
lineages evolved reproductive isolation via genetic incompatibilities. This
outcome indicates that, under suitable conditions, allopatric and sympatric
speciation can occur with similar ease.
PMID- 27884942
TI - Severe Pancytopenia in a Premature Infant.
PMID- 27884941
TI - Developing predictive models for return to work using the Military Power,
Performance and Prevention (MP3) musculoskeletal injury risk algorithm: a study
protocol for an injury risk assessment programme.
AB - BACKGROUND: Musculoskeletal injuries are a primary source of disability in the US
Military, and low back pain and lower extremity injuries account for over 44% of
limited work days annually. History of prior musculoskeletal injury increases the
risk for future injury. This study aims to determine the risk of injury after
returning to work from a previous injury. The objective is to identify criteria
that can help predict likelihood for future injury or re-injury. METHODS: There
will be 480 active duty soldiers recruited from across four medical centres.
These will be patients who have sustained a musculoskeletal injury in the lower
extremity or lumbar/thoracic spine, and have now been cleared to return back to
work without any limitations. Subjects will undergo a battery of physical
performance tests and fill out sociodemographic surveys. They will be followed
for a year to identify any musculoskeletal injuries that occur. Prediction
algorithms will be derived using regression analysis from performance and
sociodemographic variables found to be significantly different between injured
and non-injured subjects. DISCUSSION: Due to the high rates of injuries, injury
prevention and prediction initiatives are growing. This is the first study
looking at predicting re-injury rates after an initial musculoskeletal injury. In
addition, multivariate prediction models appear to have move value than models
based on only one variable. This approach aims to validate a multivariate model
used in healthy non-injured individuals to help improve variables that best
predict the ability to return to work with lower risk of injury, after a recent
musculoskeletal injury. TRIAL REGISTRATION NUMBER: NCT02776930.
PMID- 27884943
TI - Recombinant Staphylococcal Enterotoxin Type A Stimulate Antitumoral Cytokines.
AB - BACKGROUND: About 20 different types of staphylococcal enterotoxins are produced
by Staphylococcus aureus, in which type A is more common in food poisoning
syndrome. Also staphylococcal enterotoxin A superantigen is a potent inducer of
cytotoxic T lymphocyte activity and cytokine production and could stimulate T
cells containing T-cell receptor beta chain domains when binding to major
histocompatibility complex class II molecules. Hence, it is an important reagent
in cancer immunotherapy. METHODS: For the construction of pET-21a/ entA cassette,
the staphylococcal enterotoxin type A gene was isolated from S aureus strain HN2,
cloned into pET-21a, and introduced into Escherichia coli strain BL-21(DE3).
Consequently, Western blot analysis showed pET-21a/ entA cassette expression
inserted entA gene successfully. It is the first prompt using a pET-21a as a
cloning vector for entA gene and expression of construct in BL-21(DE3). In
addition, this study examined the ability of standard staphylococcal enterotoxin
A and cloned staphylococcal enterotoxin A to activate T cells in vitro.
Lymphocyte cells derived from lymph node BALB/c mice were exposed to standard
staphylococcal enterotoxin A and cloned staphylococcal enterotoxin (1.10,
102,103, and 104 ng/uL) in order to evaluate the magnitude of proliferation,
activation, and apoptosis of lymphocyte cells based on MTT and apoptosis assays,
respectively. RESULTS: Our investigation showed that the function of cloned
staphylococcal enterotoxin A was same as standard staphylococcal enterotoxin A,
and the optimal concentration for the activation of lymphocyte cells and
induction of apoptosis was 100 ng/uL and 1000 ng/uL ( P < .05), respectively.
Quantification of cytokines clearly showed that lymphocyte cells exposed to
standard staphylococcal enterotoxin A and cloned staphylococcal enterotoxin A
significantly secreted higher interferon gamma and tumor necrosis factor alpha
compared to control. CONCLUSION: According to our results, the biological
activity of standard staphylococcal enterotoxin A and cloned staphylococcal
enterotoxin A is identical; therefore, these procedures may be approved as an
efficient method to express and purify this protein in a large scale.
PMID- 27884944
TI - Ruptured abdominal aortic aneurysm after endovascular aortic aneurysm repair
thrombosis.
AB - Background Complete thrombosis of an aortic endograft after an endovascular
aortic aneurysm repair is a rare complication. The majority of thrombotic events
occur in the iliac limbs. Case presentation We present the case of a patient who
presented with acute limb ischemia as the result of a thrombosed infra-renal
aortic endograft. After restoration of blood flow to the lower extremities with
an axillary to bi-femoral artery bypass, the patient was lost to follow-up. The
patient returned two years later with a ruptured abdominal aortic aneurysm due to
a type 1A endoleak. Discussion We propose that all patients after endovascular
aortic aneurysm repair, including those with a thrombosed aortic endograft,
continue to undergo regular graft surveillance. This case report highlights the
importance of continued surveillance of the aortic sac, even after total
thrombosis of the endovascular aortic aneurysm repair.
PMID- 27884945
TI - Evaluation of European Domestic Violence Perpetrator Programmes: Toward a Model
for Designing and Reporting Evaluations Related to Perpetrator Treatment
Interventions.
AB - This article is based on a review of 60 evaluations (published and unpublished)
relating to European domestic violence perpetrator programmes, involving 7,212
programme participants across 12 countries. The purpose of the review, part of
the "IMPACT: Evaluation of European Perpetrator Programmes" project funded by the
European Commission (Daphne III Programme), was to provide detailed knowledge
about the range of European evaluation studies with particular emphasis on the
design, methods, input, output, and outcome measures used in order to identify
the possibilities and challenges of a multicountry, Europe-wide evaluation
methodology that could be used to assess perpetrator programmes in the future. We
provide a model to standardise the reporting of evaluation studies and to ensure
attention is paid to what information is being collected at different time points
so as to understand what and how the behaviour and attitudes of perpetrators
might change throughout the course of the programme.
PMID- 27884948
TI - Enteral feeding in motor neurone disease: Patients' perspectives and impact on
quality of life.
PMID- 27884947
TI - The Effect of Smartphone-Delivered Emergency Preparedness Education on Coping
Knowledge Among Fifth- and Sixth-Grade Elementary Schoolchildren in South Korea.
AB - This study examined the effect of an intervention on coping knowledge among fifth
and sixth-grade elementary schoolchildren who received smartphone-delivered
emergency preparedness education. This was a quasi-experimental study using a pre
/posttest design. Eighty-six children were recruited to participate. The children
in the experimental group ( n = 44) received smartphone-delivered emergency
preparedness education while those in the control group ( n = 42) received
traditional lecture-centered education. Data were analyzed using a repeated
measured analysis of variance. Subsequently, the experimental group scored
significantly higher than the control group on coping knowledge ( F = 7.96, f =
.31, p = .010). The use of technology such as smartphone-delivered emergency
preparedness education may be effective to improve their coping knowledge of
fifth- and sixth-grade elementary schoolchildren.
PMID- 27884949
TI - Control of blood pressure and cardiovascular risk in Moroccan patients with newly
diagnosed hypertension: a 3-month observational study in primary care.
AB - BACKGROUND: Control of blood pressure and reduction of cardiovascular risk
factors are mandatory in patients with hypertension. The aim of this study was to
determine the proportion of patients with controlled hypertension and to describe
the cardiovascular risk profile in hypertensive patients followed by general
practitioners (GPs) in Morocco. METHODS: This national, observational,
multicentre, prospective, longitudinal study of patients with newly diagnosed
hypertension was carried out between September 2011 and December 2011. The use of
antihypertensive drugs was evaluated at inclusion and after 3 months of follow
up. Uncontrolled hypertension was defined as systolic blood pressure (SBP) ? 140
mmHg or diastolic blood pressure (DBP) ? 90 mmHg at 3 months of follow up. The
SCORE scale issued by the European Society of Cardiology (ESC) was used to assess
overall cardiovascular risk and probability of experiencing a cardiovascular
event within 10 years. RESULTS: A total of 909 hypertensive patients were
recruited (62.4% female). Mean age was 56.8 +/- 10.6 years. More than half of the
patients (53.0%) were between 40-60 years and more than one-third (34.1%) were
obese [body mass index (BMI) ? 30 kg/m2]. There were significantly more obese
females than males ( p < 0.001). Over half of the patients (52.5%) had a high or
extremely high cardiovascular risk. Abdominal obesity (measured as waist
circumference) was the most common cardiovascular risk factor (61.7%) followed by
age (40.5%), dyslipidaemia (36.3%) and diabetes (34.3%). Mean SBP decreased from
168.1 +/- 14.8 to 138.3 +/- 13.2 mmHg ( p < 0.001) and mean DBP decreased from
93.0 +/- 10.5 to 81.0 +/- 8.6 mmHg ( p < 0.001) after 3 months of treatment.
Control of blood pressure was achieved in only 46.8% of patients. Poor compliance
(17.1%) and a lack of treatment efficacy (16.9%) were the two main reasons for
not achieving the blood pressure target. CONCLUSIONS: More than half (53.2%) of
the hypertensive patients in our study did not achieve adequate blood pressure
control during the 3-month follow-up period and had a high cardiovascular risk.
More effective management of hypertension is required in primary care.
PMID- 27884951
TI - Glycaemic control by monoamine oxidase inhibition in a patient with type 1
diabetes.
AB - We present clinical, electroencephalographic and low-resolution electromagnetic
tomography data that support combined treatment with insulin and a monoamine
oxidase inhibitor in a patient with type 1 diabetes. We suggest that brain
imaging data can identify a subgroup of patients who are likely to benefit from
an insulin regimen and monoamine oxidase inhibition to improve glycaemic control,
cardiovascular function, normalize the circadian rhythm and restore perception of
glycaemic awareness.
PMID- 27884950
TI - Neutrophil Extracellular Traps in the Amniotic Cavity of Women with Intra
Amniotic Infection: A New Mechanism of Host Defense.
AB - OBJECTIVE: Neutrophil extracellular traps (NETs) control microbial infections
through their antimicrobial activities attributed to DNA, histones, granules, and
cytoplasmic proteins (eg, elastase). Intra-amniotic infection is characterized by
the influx of neutrophils into the amniotic cavity; therefore, the aim of this
study was to determine whether amniotic fluid neutrophils form NETs in this
inflammatory process. METHODS: Amniotic fluid samples from women with intra
amniotic infection (n = 15) were stained for bacteria detection using fluorescent
dyes. Amniotic fluid neutrophils were purified by filtration. As controls,
neutrophils from maternal blood samples (n = 3) were isolated by density
gradients. Isolated neutrophils were plated onto glass cover slips for culture
with and without 100 nM of phorbol-12-myristate-13-acetate (PMA). NET formation
was assessed by 4',6-diamidino-2-phenylindole (DAPI) staining and scanning
electron microscopy. Different stages of NET formation were visualized using
antibodies against elastase and histone H3, in combination with DAPI staining, by
confocal microscopy. Finally, maternal or neonatal neutrophils were added to
amniotic fluid samples from women without intra-amniotic infection (n = 4), and
NET formation was evaluated by DAPI staining. RESULTS: (1) NETs were present in
the amniotic fluid of women with intra-amniotic infection; (2) all of the
amniotic fluid samples had detectable live and dead bacteria associated with the
presence of NETs; (3) in contrast to neutrophils from the maternal circulation,
amniotic fluid neutrophils did not require PMA stimulation to form NETs; (4)
different stages of NET formation were observed by co-localizing elastase,
histone H3, and DNA in amniotic fluid neutrophils; and (5) neither maternal nor
neonatal neutrophils form NETs in the amniotic fluid of women without intra
amniotic infection. CONCLUSION: NETs are detectable in the amniotic fluid of
women with intra-amniotic infection.
PMID- 27884952
TI - How Violence Constitutes Order: Consent, Coercion, and Censure in Tanzania.
AB - Survey data show that most Tanzanian women find wife-beating justifiable. What is
the meaning of the violence that enjoys such broad social approval? Does respect
for women's agency invalidate feminist opposition to wife-beating? I explore
these questions by analyzing data on hegemonic norms generated through 27 focus
group discussions in Arumeru and Kigoma-Vijijini districts, and find that wife
beating was supported for its role in constituting social order. This analysis of
how exactly violence can constitute order yielded insights into the interplay
between violence and consent that are theoretically relevant to violence against
women in other forms and contexts, reminding researchers and practitioners of the
role of power and coercion in supposedly agreed-upon community norms.
PMID- 27884946
TI - Analysis of the Caenorhabditis elegans innate immune response to Coxiella
burnetii.
AB - The nematode Caenorhabditis elegans is well established as a system for
characterization and discovery of molecular mechanisms mediating microbe-specific
inducible innate immune responses to human pathogens. Coxiella burnetii is an
obligate intracellular bacterium that causes a flu-like syndrome in humans (Q
fever), as well as abortions in domesticated livestock, worldwide. Initially,
when wild type C. elegans (N2 strain) was exposed to mCherry-expressing C.
burnetii (CCB) a number of overt pathological manifestations resulted, including
intestinal distension, deformed anal region and a decreased lifespan. However,
nematodes fed autoclave-killed CCB did not exhibit these symptoms. Although
vertebrates detect C. burnetii via TLRs, pathologies in tol-1(-) mutant nematodes
were indistinguishable from N2, and indicate nematodes do not employ this
orthologue for detection of C. burnetii. sek-1(-) MAP kinase mutant nematodes
succumbed to infection faster, suggesting that this signaling pathway plays a
role in immune activation, as previously shown for orthologues in vertebrates
during a C. burnetii infection. C. elegans daf-2(-) mutants are hyper-immune and
exhibited significantly reduced pathological consequences during challenge.
Collectively, these results demonstrate the utility of C. elegans for studying
the innate immune response against C. burnetii and could lead to discovery of
novel methods for prevention and treatment of disease in humans and livestock.
PMID- 27884953
TI - Postpartum Domestic Violence in Homes With Young Children: The Role of Maternal
and Paternal Drinking.
AB - There has been limited investigation of mothers' drinking patterns and their
experience of domestic abuse while parenting young children, especially in the
context of co-resident fathers' drinking. Using data representative of the 2001
U.S. birth cohort, the authors conducted longitudinal latent class analyses of
maternal drinking over four perinatal time points as predictors of maternal
victimization at 2 years postpartum due to intimate partner violence. Women
classified as higher risk drinkers over the study period faced significantly
increased risk of physical abuse while parenting a 2-year-old child. Among non
drinking mothers, paternal binge drinking signaled additional risk, with clinical
and programmatic implications.
PMID- 27884954
TI - Impact of external pneumatic compression target inflation pressure on
transcriptome-wide RNA expression in skeletal muscle.
AB - Next-generation RNA sequencing was employed to determine the acute and subchronic
impact of peristaltic pulse external pneumatic compression (PEPC) of different
target inflation pressures on global gene expression in human vastus lateralis
skeletal muscle biopsy samples. Eighteen (N = 18) male participants were randomly
assigned to one of the three groups: (1) sham (n = 6), 2) EPC at 30-40 mmHg (LP
EPC; n = 6), and 3) EPC at 70-80 mmHg (MP-EPC; n = 6). One hour treatment with
sham/EPC occurred for seven consecutive days. Vastus lateralis skeletal muscle
biopsies were performed at baseline (before first treatment; PRE), 1 h following
the first treatment (POST1), and 24 h following the last (7th) treatment (POST2).
Changes from PRE in gene expression were analyzed via paired comparisons within
each group. Genes were filtered to include only those that had an RPKM >= 1.0, a
fold-change of >=1.5 and a paired t-test value of <0.01. For the sham condition,
two genes at POST1 and one gene at POST2 were significantly altered. For the LP
EPC condition, nine genes were up-regulated and 0 genes were down-regulated at
POST1 while 39 genes were up-regulated and one gene down-regulated at POST2. For
the MP-EPC condition, two genes were significantly up-regulated and 21 genes were
down-regulated at POST1 and 0 genes were altered at POST2. Both LP-EPC and MP-EPC
acutely alter skeletal muscle gene expression, though only LP-EPC appeared to
affect gene expression with subchronic application. Moreover, the transcriptome
response to EPC demonstrated marked heterogeneity (i.e., genes and
directionality) with different target inflation pressures.
PMID- 27884955
TI - Effect of exercise-induced muscle damage on vascular function and skeletal muscle
microvascular deoxygenation.
AB - This paper investigated the effects of unaccustomed eccentric exercise-induced
muscle damage (EIMD) on macro- and microvascular function. We tested the
hypotheses that resting local and systemic endothelial-dependent flow-mediated
dilation (FMD) and microvascular reactivity would decrease, VO2max would be
altered, and that during ramp exercise, peripheral O2 extraction, evaluated via
near-infrared-derived spectroscopy (NIRS) derived deoxygenated hemoglobin +
myoglobin ([HHb]), would be distorted following EIMD In 13 participants,
measurements were performed prior to (Pre) and 48 h after a bout of knee extensor
eccentric exercise designed to elicit localized muscle damage (Post). Flow
mediated dilation and postocclusive reactive hyperemic responses measured in the
superficial femoral artery served as a measurement of local vascular function
relative to the damaged tissue, while the brachial artery served as an index of
nonlocal, systemic, vascular function. During ramp-incremental exercise on a
cycle ergometer, [HHb] and tissue saturation (TSI%) in the m. vastus lateralis
were measured. Superficial femoral artery FMD significantly decreased following
EIMD (pre 6.75 +/- 3.89%; post 4.01 +/- 2.90%; P < 0.05), while brachial artery
FMD showed no change. The [HHb] and TSI% amplitudes were not different following
EIMD ([HHb]: pre, 16.9 +/- 4.7; post 17.7 +/- 4.9; TSI%: pre, 71.0 +/- 19.7; post
71.0 +/- 19.7; all P > 0.05). At each progressive increase in workload (i.e., 0
100% peak), the [HHb] and TOI% responses were similar pre- and 48 h post-EIMD (P
> 0.05). Additionally, VO2max was similar at pre- (3.0 +/- 0.67 L min-1) to 48 h
post (2.96 +/- 0.60 L min-1)-EIMD (P > 0.05). Results suggest that moderate
eccentric muscle damage leads to impaired local, but not systemic, macrovascular
dysfunction.
PMID- 27884956
TI - Regional effects of streptozotocin-induced diabetes on shortening and calcium
transport in epicardial and endocardial myocytes from rat left ventricle.
AB - In the heart, the left ventricle pumps blood at higher pressure than the right
ventricle. Within the left ventricle, the electromechanical properties of
ventricular cardiac myocytes vary transmurally and this may be related to the
gradients of stress and strain experienced in vivo across the ventricular wall.
Diabetes is also associated with alterations in hemodynamic function. The aim of
this study was to investigate shortening and Ca2+ transport in epicardial (EPI)
and endocardial (ENDO) left ventricular myocytes in the streptozotocin (STZ)
induced diabetic rat. Shortening, intracellular Ca2+ and L-type Ca2+ current
(ICa,L) were measured by video detection, fura-2 microfluorimetry, and whole-cell
patch clamp techniques, respectively. Time to peak (TPK) shortening was prolonged
to similar extents in ENDO and EPI myocytes from STZ-treated rats compared to
ENDO and EPI myocytes from controls. Time to half (THALF) relaxation of
shortening was prolonged in ENDO myocytes from STZ-treated rats compared to ENDO
controls. TPK Ca2+ transient was prolonged in ENDO myocytes from STZ-treated rats
compared to ENDO controls. THALF decay of the Ca2+ transient was prolonged in
ENDO myocytes from STZ-treated rats compared to ENDO controls. Sarcoplasmic
reticulum (SR) fractional release of Ca2+ was reduced in EPI myocytes from STZ
treated rats compared to EPI controls. ICa,L activation, inactivation, and
recovery from inactivation were not significantly altered in EPI and ENDO
myocytes from STZ-treated rats or controls. Regional differences in Ca2+
transport may partly underlie differences in ventricular myocyte shortening
across the wall of the healthy and the STZ-treated rat left ventricle.
PMID- 27884957
TI - Altered CO2 sensitivity of connexin26 mutant hemichannels in vitro.
AB - Connexin26 (Cx26) mutations underlie human pathologies ranging from hearing loss
to keratitis ichthyosis deafness (KID) syndrome. Cx26 hemichannels are directly
gated by CO2 and contribute to the chemosensory regulation of breathing. The KID
syndrome mutation A88V is insensitive to CO2, and has a dominant negative action
on the CO2 sensitivity of Cx26WT hemichannels, and reduces respiratory drive in
humans. We have now examined the effect of further human mutations of Cx26 on its
sensitivity to CO2 : Mutated Cx26 subunits, carrying one of A88S, N14K, N14Y,
M34T, or V84L, were transiently expressed in HeLa cells. The CO2-dependence of
hemichannel activity, and their ability to exert dominant negative actions on
cells stably expressing Cx26WT, was quantified by a dye-loading assay. The KID
syndrome mutation, N14K, abolished the sensitivity of Cx26 to CO2 Both N14Y and
N14K exerted a powerful dominant negative action on the CO2 sensitivity of Cx26WT
None of the other mutations (all recessive) had a dominant negative action. A88S
shifted the affinity of Cx26 to slightly higher levels without reducing its
ability to fully open to CO2 M34T did not change the affinity of Cx26 for CO2 but
reduced its ability to open in response to CO2 V84L had no effect on the CO2
sensitivity of Cx26. Some pathological mutations of Cx26 can therefore alter the
CO2 sensitivity of Cx26 hemichannels. The loss of CO2 sensitivity could
contribute to pathology and consequent reduced respiratory drive could be an
unrecognized comorbidity of these pathologies.
PMID- 27884958
TI - Activity-dependent redistribution of Kv2.1 ion channels on rat spinal
motoneurons.
AB - Homeostatic plasticity occurs through diverse cellular and synaptic mechanisms,
and extensive investigations over the preceding decade have established Kv2.1 ion
channels as key homeostatic regulatory elements in several central neuronal
systems. As in these cellular systems, Kv2.1 channels in spinal motoneurons (MNs)
localize within large somatic membrane clusters. However, their role in
regulating motoneuron activity is not fully established in vivo. We have
previously demonstrated marked Kv2.1 channel redistribution in MNs following in
vitro glutamate application and in vivo peripheral nerve injury (Romer et al.,
2014, Brain Research, 1547:1-15). Here, we extend these findings through the
novel use of a fully intact, in vivo rat preparation to show that Kv2.1 ion
channels in lumbar MNs rapidly and reversibly redistribute throughout the somatic
membrane following 10 min of electrophysiological sensory and/or motor nerve
stimulation. These data establish that Kv2.1 channels are remarkably responsive
in vivo to electrically evoked and synaptically driven action potentials in MNs,
and strongly implicate motoneuron Kv2.1 channels in the rapid homeostatic
response to altered neuronal activity.
PMID- 27884959
TI - Cardiac responses to exercise distinguish postural orthostatic tachycardia
syndrome variants.
AB - We previously showed that one-third of adolescents with postural orthostatic
tachycardia syndrome (POTS) have hyperkinetic circulation. In a subsequent
cohort, we compare participants with POTS grouped according to cardiac output (Q)
versus oxygen uptake (VO2) function, whose circulatory response to exercise lay
at the lower end of this distribution. We hypothesized that such grouping
determines the circulatory response to incremental-protocol, upright, cycle
ergometry by whatever blend of flow and resistance adjustments best maintains
normal blood pressure. We reviewed data on 209 POTS participants aged 10-19 years
(73% female) grouped as follows: Q-VO2 < 3.20 L.min-1 per L.min-1 were designated
low Q or hypokinetic variant (N = 31); normal-Q had slopes between 3.21 and 7.97;
hyperkinetic participants had Q-VO2 slope >8 L.min-1 per L.min-1 (N = 32). Heart
rate response to exercise was virtually identical in each group. Mean stroke
volume (SV) rose normally in the hyperkinetic group (51 +/- 38%); less in the
normal Q group (22 +/- 27%); but was flat in the low Q group (-7 +/- 16%). Mean
arterial pressure was similar at rest while systemic vascular conductance was
flat from rest to exercise in the hypokinetic group, and by comparison rose more
steeply in the normal Q (P < 0.001) and in the hyperkinetic (P = 0.02) groups. In
conclusion, we identified a variant of POTS with a hypokinetic circulation
maintained by a vasoconstricted state. We speculate that they cannot muster
preload to augment exercise SV due to profound thoracic hypovolemia, and must
resort to vasoconstriction in order to maintain perfusion pressure within working
muscle.
PMID- 27884960
TI - Can inorganic phosphate explain sag during unfused tetanic contractions of
skeletal muscle?
AB - We test the hypothesis that cytosolic inorganic phosphate (Pi) can account for
the contraction-induced reductions in twitch duration which impair summation and
cause force to decline (sag) during unfused tetanic contractions of fast-twitch
muscle. A five-state model of crossbridge cycling was used to simulate twitch and
unfused tetanic contractions. As Pi concentration ([Pi]) was increased from 0 to
30 mmol.L-1, twitch duration decreased, with progressive reductions in
sensitivity to Pi as [Pi] was increased. When unfused tetani were simulated with
rising [Pi], sag was most pronounced when initial [Pi] was low, and when the
magnitude of [Pi] increase was large. Fast-twitch extensor digitorum longus (EDL)
muscles (sag-prone, typically low basal [Pi]) and slow-twitch soleus muscles (sag
resistant, typically high basal [Pi]) were isolated from 14 female C57BL/6 mice.
Muscles were sequentially incubated in solutions containing either glucose or
pyruvate to create typical and low Pi environments, respectively. Twitch duration
was greater (P < 0.05) in pyruvate than glucose in both muscles. Stimuli applied
at intervals approximately three times the time to peak twitch tension resulted
in sag of 35.0 +/- 3.7% in glucose and 50.5 +/- 1.4% in pyruvate in the EDL
(pyruvate > glucose; P < 0.05), and 3.9 +/- 0.3% in glucose and 37.8 +/- 2.7% in
pyruvate in the soleus (pyruvate > glucose; P < 0.05). The influence of Pi on
crossbridge cycling provides a tenable mechanism for sag. Moreover, the low basal
[Pi] in fast-twitch relative to slow-twitch muscle has promise as an explanation
for the fiber-type dependency of sag.
PMID- 27884961
TI - Therapeutic silencing of fat-specific protein 27 improves glycemic control in
mouse models of obesity and insulin resistance.
AB - Obesity is a component of the metabolic syndrome, mechanistically linked to
diabetes, fatty liver disease, and cardiovascular disease. Proteins that regulate
the metabolic fate of intracellular lipid droplets are potential therapeutic
candidates to treat obesity and its related consequences. CIDEC (cell death
inducing DFFA-like effector C), also known in mice as Fsp27 (fat-specific protein
27), is a lipid droplet-associated protein that prevents lipid mobilization and
promotes intracellular lipid storage. The consequences of complete loss of FSP27
on hepatic metabolism and on insulin resistance are controversial, as both
healthy and deleterious lipodystrophic phenotypes have been reported in Fsp27-/-
mice. To test whether therapeutic silencing of Fsp27 might be useful to improve
obesity, fatty liver, and glycemic control, we used antisense oligonucleotides
(ASOs) in both nutritional (high-fat diet) and genetic (leptin-deficient ob/ob)
mouse models of obesity, hyperglycemia, and hepatosteatosis. We show that partial
silencing Fsp27 in either model results in the robust decrease in visceral fat,
improved insulin sensitivity and whole-body glycemic control, and tissue-specific
changes in transcripts controlling lipid oxidation and synthesis. These data
suggest that partial reduction of FSP27 activity (e.g., using ASOs) might be
exploited therapeutically in insulin-resistant obese or overweight patients.
PMID- 27884962
TI - Triheptanoin: long-term effects in the very long-chain acyl-CoA dehydrogenase
deficient mouse.
AB - A rather new approach in the treatment of long-chain fatty acid oxidation
disorders is represented by triheptanoin, a triglyceride with three medium-odd
chain heptanoic acids (C7), due to its anaplerotic potential. We here investigate
the effects of a 1-year triheptanoin-based diet on the clinical phenotype of very
long-chain-acyl-CoA-dehydrogenase-deficient (VLCAD-/-) mice. The cardiac function
was assessed in VLCAD-/- mice by in vivo MRI. Metabolic adaptations were
identified by the expression of genes regulating energy metabolism and
anaplerotic processes using real-time PCR, and the results were correlated with
the measurement of the glycolytic enzymes pyruvate dehydrogenase and pyruvate
kinase. Finally, the intrahepatic lipid accumulation and oxidative stress in
response to the long-term triheptanoin diet were assessed. Triheptanoin was not
able to prevent the development of systolic dysfunction in VLCAD-/- mice despite
an upregulation of cardiac glucose oxidation. Strikingly, the anaplerotic effects
of triheptanoin were restricted to the liver. Despite this, the hepatic lipic
content was increased upon triheptanoin supplementation. Our data demonstrate
that the concept of anaplerosis does not apply to all tissues equally.
PMID- 27884964
TI - What are the characteristics of, and clinical outcomes in men who have sex with
men prescribed HIV postexposure prophylaxis following sexual exposure (PEPSE) at
sexual health clinics in England?
AB - OBJECTIVES: To explore the risk factors for, and clinical outcomes in men who
have sex with men (MSM) prescribed HIV postexposure prophylaxis following sexual
exposure (PEPSE) at sexual health clinics (SHCs) in England. METHODS: National
STI surveillance data were extracted from the genitourinary medicine clinic
activity dataset (GUMCADv2) for 2011-2014. Quarterly and annual trends in the
number of episodes where PEPSE was prescribed were analysed by gender and sexual
risk. Risk factors associated with being prescribed PEPSE among MSM attendees
were explored using univariable and multivariable logistic regression. Subsequent
HIV acquisition from 4 months after initiating PEPSE was assessed using
multivariable Cox proportional hazards models, stratified by clinical risk
profiles. RESULTS: During 2011-2014, there were 24 004 episodes where PEPSE was
prescribed at SHCs, of which 69% were to MSM. The number of episodes where PEPSE
was prescribed to MSM increased from 2383 in 2011 to 5944 in 2014, and from 1384
to 2226 for heterosexual men and women. 15% of MSM attendees received two or more
courses of PEPSE. Compared with MSM attendees not prescribed PEPSE, MSM
prescribed PEPSE were significantly more likely to have been diagnosed with a
bacterial STI in the previous 12 months (adjusted OR (95% CI)-gonorrhoea: 11.6
(10.5 to 12.8); chlamydia: 5.02 (4.46 to 5.67); syphilis: 2.25 (1.73 to 2.93)),
and were more likely to subsequently acquire HIV (adjusted HR (aHR) (95% CI)
single PEPSE course: 2.54 (2.19 to 2.96); two or more PEPSE courses: aHR (95% CI)
4.80 (3.69 to 6.25)). The probability of HIV diagnosis was highest in MSM
prescribed PEPSE who had also been diagnosed with a bacterial STI in the previous
12 months (aHR (95% CI): 6.61 (5.19 to 8.42)). CONCLUSIONS: MSM prescribed PEPSE
are at high risk of subsequent HIV acquisition and our data show further risk
stratification by clinical and PEPSE prescribing history is possible, which might
inform clinical practice and HIV prevention initiatives in MSM.
PMID- 27884963
TI - Ectopic fat deposition contributes to age-associated pathology in Caenorhabditis
elegans.
AB - Age-dependent collapse of lipid homeostasis results in spillover of lipids and
excessive fat deposition in nonadipose tissues. Ectopic fat contributes to
lipotoxicity and has been implicated in the development of a metabolic syndrome
that increases risk of age-associated diseases. However, the molecular mechanisms
coupling ectopic fat accumulation with aging remain obscure. Here, we use
nonlinear imaging modalities to visualize and quantify age-dependent ectopic
lipid accumulation in Caenorhabditis elegans We find that aging is accompanied by
pronounced deposition of lipids in nonadipose tissues, including the nervous
system. Importantly, interventions that promote longevity such as low insulin
signaling, germ-line loss, and dietary restriction, which effectively delay aging
in evolutionary divergent organisms, diminish the rate of ectopic fat
accumulation and the size of lipid droplets. Suppression of lipotoxic
accumulation of fat in heterologous tissues is dependent on helix-loop-helix
(HLH)-30/transcription factor EB (TFEB) and autophagy. Our findings in their
totality highlight the pivotal role of HLH-30/TFEB and autophagic processes in
the maintenance of lipid homeostasis during aging, in addition to establishing
nonlinear imaging as a powerful tool for monitoring ectopic lipid droplet
deposition in vivo.
PMID- 27884965
TI - 'Can you recommend any good STI apps?' A review of content, accuracy and
comprehensiveness of current mobile medical applications for STIs and related
genital infections.
AB - OBJECTIVE: Seeking sexual health information online is common, and provision of
mobile medical applications (apps) for STIs is increasing. Young people,
inherently at higher risk of STIs, are avid users of technology, and apps could
be appealing sources of information. We undertook a comprehensive review of
content and accuracy of apps for people seeking information about STIs. METHODS:
Search of Google Play and iTunes stores using general and specific search terms
for apps regarding STIs and genital infections (except HIV), testing, diagnosis
and management, 10 September 2014 to 16 September 2014. We assessed eligible apps
against (1) 19 modified Health on The Net (HON) Foundation principles; and (2)
comprehensiveness and accuracy of information on STIs/genital infections, and
their diagnosis and management, compared with corresponding National Health
Service STI information webpage content. RESULTS: 144/6642 apps were eligible. 57
were excluded after downloading. 87 were analysed. Only 29% of apps met >=6 HON
criteria. Content was highly variable: 34/87 (39%) covered one or two infections;
40 (46%) covered multiple STIs; 5 (6%) focused on accessing STI testing. 13 (15%)
were fully, 46 (53%) mostly and 28 (32%) partially accurate. 25 (29%) contained
>=1 piece of potentially harmful information. Apps available on both iOS and
Android were more accurate than single-platform apps. Only one app provided fully
accurate and comprehensive information on chlamydia. CONCLUSIONS: Marked
variation in content, quality and accuracy of available apps combined with the
nearly one-third containing potentially harmful information risks undermining
potential benefits of an e-Health approach to sexual health and well-being.
PMID- 27884966
TI - Setting up a Neuroscience Stroke and Rehabilitation Centre in Brunei Darussalam
by a transcontinental on-site and telemedical cooperation.
AB - Due to the world-wide aging population, there is a need for specialist
neurological knowledge, treatment and care. Stroke treatment is effective in
reducing mortality and disability, but it is still not available in many areas of
the world. We describe the set-up process of a specialized Neuroscience, Stroke
and Rehabilitation Centre in Brunei Darussalam (BNSRC) in cooperation with a
German hospital. This study details the setup of a stroke-, neurological
intensive care- and neurorehabilitation unit, laboratories and a telemedical
network to perform all evidence-based stroke treatments. All neurological on-site
services and the telemedical network were successfully established within a short
time. After setup, 1386 inpatients and 1803 outpatients with stroke and stroke
mimics were treated. All evidence-based stroke treatments including thrombolysis
and hemicraniectomy could be performed. It is possible to establish evidence
based modern stroke treatment within a short time period by a transcontinental on
site and telemedical cooperation.
PMID- 27884967
TI - The value of being biologically related to one's family.
PMID- 27884968
TI - Dissenting from care.data: an analysis of opt-out forms.
AB - BACKGROUND: Care.data was a programme of work led by NHS England for the
extraction of patient-identifiable and coded information from general
practitioner (GP) records for secondary uses. This study analyses the forms (on
the websites of GP practices) which enabled patients to opt out. METHODS:
Theoretical sampling and summative content analysis were used to collect and
analyse dissent forms used by patients to opt out from care. DATA: Domains
included basic information about the programme, types of objections and personal
details required for identification purposes. RESULTS: One hundred opt-out forms
were analysed. Fifty-four forms mentioned that this programme was run by NHS
England. 81 forms provided two types of objections to data-sharing, and 15
provided only one objection. Only 26 forms mentioned that direct care would not
be affected and 32 that patients maintain their right to opt back anytime. All
but one of the opt-out forms we reviewed requested the name of the person wishing
to opt out. 94 required a date of birth and 33 an NHS number. 82 required an
address, 42 a telephone number and 7 an email address. CONCLUSIONS: Numbers of
patients (not) opting out should be treated with caution, because the variability
of information provided and the varied options for dissent may have caused
confusion among patients. To ensure that dissent is in accordance with individual
preferences and moral values, we recommend that well-designed information
material and standardised opt-out forms be developed for such data-sharing
initiatives.
PMID- 27884969
TI - Ethics knowledge of recent paediatric residency graduates: the role of residency
ethics curricula.
AB - OBJECTIVE: To evaluate the relationship between recently trained paediatricians'
ethics knowledge and exposure to a formal ethics or professionalism curriculum
during residency. METHODS: We conducted a cross-sectional survey of recently
trained paediatricians which included a validated 23-item instrument called the
Test of Residents' Ethics Knowledge for Pediatrics. The sample included
paediatricians who completed medical school in 2006-2008, whose primary specialty
was paediatrics or a paediatric subspecialty, and who completed paediatric
residency training in 2010-2011. This sample was stratified based on residency
programme variables: presence of a formal curriculum in ethics or
professionalism, programme size and American Board of Pediatrics certifying exam
passage rate. Paediatricians were randomly selected from each stratum for survey
participation. RESULTS: Among the 370 responding paediatricians (55%), the mean
knowledge score was 17.3 (SD 2.2) out of a possible 23. Presence of a formal
curriculum in ethics and/or professionalism was not significantly associated with
knowledge. Knowledge was lowest on items about parental requests for a child to
undergo genetic testing (2 items, 44% and 85% incorrect), preserving patient
confidentiality over email (55% incorrect), decision-making regarding life
sustaining technologies (61% incorrect), and decision-making principles such as
assent and parental permission (2 items, 47% and 49% incorrect). CONCLUSIONS:
This study highlights several areas in which paediatricians' knowledge may be low
and that are amenable to targeted educational interventions. These findings
should prompt discussion and research among ethicists and educators about how
ethics and professionalism curricula can more consistently influence
paediatricians' knowledge.
PMID- 27884970
TI - Ethics briefing.
PMID- 27884972
TI - Increased serum hepcidin contributes to the anemia of chronic kidney disease in a
murine model.
PMID- 27884971
TI - Progression in patients with low- and intermediate-1-risk del(5q) myelodysplastic
syndromes is predicted by a limited subset of mutations.
AB - A high proportion of patients with lower-risk del(5q) myelodysplastic syndromes
will respond to treatment with lenalidomide. The median duration of transfusion
independence is 2 years with some long-lasting responses, but almost 40% of
patients progress to acute leukemia by 5 years after starting treatment. The
mechanisms underlying disease progression other than the well-established finding
of small TP53-mutated subclones at diagnosis remain unclear. We studied a
longitudinal cohort of 35 low- and intermediate-1-risk del(5q) patients treated
with lenalidomide (n=22) or not (n=13) by flow cytometric surveillance of
hematopoietic stem and progenitor cell subsets, targeted sequencing of mutational
patterns, and changes in the bone marrow microenvironment. All 13 patients with
disease progression were identified by a limited number of mutations in TP53,
RUNX1, and TET2, respectively, with PTPN11 and SF3B1 occurring in one patient
each. TP53 mutations were found in seven of nine patients who developed acute
leukemia, and were documented to be present in the earliest sample (n=1) and
acquired during lenalidomide treatment (n=6). By contrast, analysis of the
microenvironment, and of hematopoietic stem and progenitor cells by flow
cytometry was of limited prognostic value. Based on our data, we advocate
conducting a prospective study aimed at investigating, in a larger number of
cases of del(5q) myelodysplastic syndromes, whether the detection of such
mutations before and after lenalidomide treatment can guide clinical decision
making.
PMID- 27884973
TI - The emerging role of immune checkpoint inhibition in malignant lymphoma.
AB - To evade elimination by the host immune system, tumor cells commonly exploit
physiological immune checkpoint pathways, restraining efficient anti-tumor immune
cell function. Growing understanding of the complex dialog between tumor cells
and their microenvironment contributed to the development of immune checkpoint
inhibitors. This innovative strategy has demonstrated paradigm-shifting clinical
activity in various malignancies. Antibodies targeting programmed death 1 and
cytotoxic T-lymphocyte-associated protein-4 are also being investigated in
lymphoid malignancies with varying levels of activity and a favorable toxicity
profile. To date, evaluated only in the setting of relapsed or refractory
disease, anti-programmed death 1 antibodies such as nivolumab and pembrolizumab
show encouraging response rates particularly in classical Hodgkin lymphoma but
also in follicular lymphoma and diffuse-large B-cell lymphoma. As the first
immune checkpoint inhibitor in lymphoma, nivolumab was approved for the treatment
of relapsed or refractory classical Hodgkin lymphoma by the Food and Drug
Administration in May 2016. In this review, we assess the role of the pathways
involved and potential rationale of checkpoint inhibition in various lymphoid
malignancies. In addition to data from current clinical trials, immune-related
side effects, potential limitations and future perspectives including promising
combinatory approaches with immune checkpoint inhibition are discussed.
PMID- 27884976
TI - The career of William Osler.
PMID- 27884974
TI - From leeches to personalized medicine: evolving concepts in the management of
polycythemia vera.
AB - Polycythemia vera is a clonal disorder of hematopoietic stem/progenitor cells. It
manifests as an expansion of red cell mass. It is the most common chronic
myeloproliferative neoplasm. In virtually all cases, it is characterized by a
V617F point mutation in JAK2 exon 14 or less common mutations in exon 12. The
landmark discovery of the autonomously activated JAK/STAT signaling pathway paved
the way for the clinical development of the first target drug, the JAK1 and JAK2
inhibitor ruxolitinib. This is now approved for patients with resistance or
intolerance to hydroxyurea. Phlebotomies and hydroxyurea are still the
cornerstone of treatment, and aim to prevent the first appearance or recurrence
of cardiovascular events that, together with progression to post-polycythemia
vera myelofibrosis and leukemia, represent the main causes of death. Interferon
alpha is an alternative drug and has been shown to induce molecular remissions.
It is currently undergoing phase III trials that might eventually lead to its
approval for clinical use. The last few years have witnessed important advances
towards an accurate early diagnosis of polycythemia vera, greater understanding
of its pathogenesis, and improved patient management. This review will focus on
the most recent achievements and will aim to unify the different concepts
involved in a personalized approach to the patient with polycythemia vera. In
spite of many recent advances in the understanding of its pathogenesis and
improved disease management, polycythemia vera remains a life-threatening
myeloproliferative neoplasm for which there is no cure. This review will present
a critical overview of evolving concepts in diagnosis and treatment of this
disease.
PMID- 27884975
TI - Different clinical characteristics of paroxysmal nocturnal hemoglobinuria in
pediatric and adult patients.
PMID- 27884977
TI - NANOG regulates epithelial-mesenchymal transition and chemoresistance in ovarian
cancer.
AB - A key transcription factor associated with poor prognosis and resistance to
chemotherapy in ovarian cancer is NANOG. However, the mechanism by which NANOG
functions remains undefined. It has been suggested that epithelial-to-mesenchymal
transition (EMT) also contributes to development of drug resistance in different
cancers. We thus determined whether NANOG expression was associated with EMT and
chemoresistance in epithelial ovarian cancer cells. NANOG expression was
increased in epithelial ovarian cancer cell lines compared with its expression in
normal epithelial ovarian cell lines. NANOG expression in SKOV-3 or OV2008 cells
directly correlated with high expression of mesenchymal cell markers and
inversely with low expression of epithelial cell marker. RNAi-mediated silencing
of NANOG in SKOV-3 reversed the expression of mesenchymal cell markers and
restored expression of E-cadherin. Reversibly, stable overexpression of NANOG in
Moody cells increased expression of N-cadherin whereas down-regulating expression
of E-cadherin, cumulatively indicating that NANOG plays an important role in
maintaining the mesenchymal cell markers. Modulating NANOG expression did not
have any effect on proliferation or colony formation. Susceptibility to cisplatin
increased in SKOV-3 cells on down-regulating NANOG and reversible results were
obtained in Moody cells post-overexpression of NANOG. NANOG silencing in SKOV-3
and OV2008 robustly attenuated in vitro migration and invasion. NANOG expression
exhibited a biphasic pattern in patients with ovarian cancer and expression was
directly correlated to chemoresistance retrospectively. Cumulatively, our data
demonstrate that NANOG expression modulates chemosensitivity and EMT resistance
in ovarian cancer.
PMID- 27884979
TI - Cost-Effectiveness of Behavior Activation Versus Supportive Therapy on Adherence
to Eye Exams in Older African Americans With Diabetes.
AB - Although the importance of ophthalmologic screening in diabetic patients is
widely recognized by clinicians, the cost-effectiveness of strategies aimed at
improving eye care utilization in this population is not well established. A cost
effectiveness analysis was performed comparing behavior activation (BA) to
supportive therapy (ST) in activating patients to receive a dilated fundus exam
(DFE) and promoting healthy management of diabetes. Two hundred six subjects were
randomized to receive either BA or ST between 2009 and 2013. Cost-effectiveness
was calculated as incremental cost-effectiveness ratio (ICER) of BA versus ST.
Total costs for BA and ST per participant were $259.02 and $216.12, respectively.
At the 6-month follow-up, 87.91% of BA subjects received a DFE compared to 34.48%
of ST subjects. The ICER for BA versus ST was $80.29/percent increase in DFE
rate. In terms of improving DFE rates, BA was found to be more cost-effective
than ST.
PMID- 27884980
TI - An interactive three-dimensional digital atlas and quantitative database of human
development.
AB - Current knowledge about human development is based on the description of a
limited number of embryonic specimens published in original articles and
textbooks, often more than 100 years ago. It is exceedingly difficult to verify
this knowledge, given the restricted availability of human embryos. We created a
three-dimensional digital atlas and database spanning the first 2 months of human
development, based on analysis of nearly 15,000 histological sections of the
renowned Carnegie Collection of human embryonic specimens. We identified and
labeled up to 150 organs and structures per specimen and made three-dimensional
models to quantify growth, establish changes in the position of organs, and
clarify current ambiguities. The atlas provides an educational and reference
resource for studies on early human development, growth, and congenital
malformations.
PMID- 27884982
TI - News at a glance.
PMID- 27884983
TI - Republicans ready a regulatory rollback.
PMID- 27884984
TI - Gas changes signal eruptions.
PMID- 27884985
TI - Catching ancient maize domestication in the act.
PMID- 27884981
TI - Tissue damage and senescence provide critical signals for cellular reprogramming
in vivo.
AB - Reprogramming of differentiated cells into pluripotent cells can occur in vivo,
but the mechanisms involved remain to be elucidated. Senescence is a cellular
response to damage, characterized by abundant production of cytokines and other
secreted factors that, together with the recruitment of inflammatory cells,
result in tissue remodeling. Here, we show that in vivo expression of the
reprogramming factors OCT4, SOX2, KLF4, and cMYC (OSKM) in mice leads to
senescence and reprogramming, both coexisting in close proximity. Genetic and
pharmacological analyses indicate that OSKM-induced senescence requires the
Ink4a/Arf locus and, through the production of the cytokine interleukin-6,
creates a permissive tissue environment for in vivo reprogramming. Biological
conditions linked to senescence, such as tissue injury or aging, favor in vivo
reprogramming by OSKM. These observations may be relevant for tissue repair.
PMID- 27884978
TI - Oestrogen receptor negativity in breast cancer: a cause or consequence?
AB - Endocrine resistance, which occurs either by de novo or acquired route, is posing
a major challenge in treating hormone-dependent breast cancers by endocrine
therapies. The loss of oestrogen receptor alpha (ERalpha) expression is the vital
cause of establishing endocrine resistance in this subtype. Understanding the
mechanisms that determine the causes of this phenomenon are therefore essential
to reduce the disease efficacy. But how we negate oestrogen receptor (ER)
negativity and endocrine resistance in breast cancer is questionable. To answer
that, two important approaches are considered: (1) understanding the cellular
origin of heterogeneity and ER negativity in breast cancers and (2)
characterization of molecular regulators of endocrine resistance. Breast tumours
are heterogeneous in nature, having distinct molecular, cellular, histological
and clinical behaviour. Recent advancements in perception of the heterogeneity of
breast cancer revealed that the origin of a particular mammary tumour phenotype
depends on the interactions between the cell of origin and driver genetic hits.
On the other hand, histone deacetylases (HDACs), DNA methyltransferases (DNMTs),
miRNAs and ubiquitin ligases emerged as vital molecular regulators of ER
negativity in breast cancers. Restoring response to endocrine therapy through re
expression of ERalpha by modulating the expression of these molecular regulators
is therefore considered as a relevant concept that can be implemented in treating
ER-negative breast cancers. In this review, we will thoroughly discuss the
underlying mechanisms for the loss of ERalpha expression and provide the future
prospects for implementing the strategies to negate ER negativity in breast
cancers.
PMID- 27884986
TI - Graveyard of cold slabs mapped in Earth's mantle.
PMID- 27884987
TI - Rogue protein's partners offer hope in Parkinson's disease.
PMID- 27884988
TI - The wanderers.
PMID- 27884989
TI - Research night owls.
PMID- 27884990
TI - Proinflammatory primates.
PMID- 27884991
TI - Closing the loop.
PMID- 27884992
TI - Teaching nature the unnatural.
PMID- 27884994
TI - Bringing order to neutral atom arrays.
PMID- 27884993
TI - Mosquitoes on the move.
PMID- 27884995
TI - Susan Lindquist (1949-2016).
PMID- 27884997
TI - Toxic textiles.
PMID- 27884996
TI - Ten policies for pollinators.
PMID- 27884999
TI - Northeast Asia trip bolsters ongoing scientific cooperation.
PMID- 27884998
TI - Embodied inequality.
PMID- 27885000
TI - 2016 AAAS Fellows approved by the AAAS Council.
PMID- 27885001
TI - On the clock.
PMID- 27885002
TI - The scientific night shift.
PMID- 27885003
TI - Circadian clocks: Not your grandfather's clock.
AB - The last 20 years have seen the rapid evolution of our understanding of the
molecular genes and networks that enable almost all forms of life to generate 24
hour-or circadian-rhythms. One finding has been particularly exciting: that the
molecular circadian clock resides in almost all of the cells of the body and that
the clock regulates the timing of many cellular and signaling pathways associated
with multiple disease states. Such advances represent a new frontier for
medicine: circadian medicine.
PMID- 27885004
TI - Circadian time signatures of fitness and disease.
AB - Biological clocks are autonomous anticipatory oscillators that play a critical
role in the organization and information processing from genome to whole
organisms. Transformative advances into the clock system have opened insight into
fundamental mechanisms through which clocks program energy transfer from sunlight
into organic matter and potential energy, in addition to cell development and
genotoxic stress response. The identification of clocks in nearly every single
cell of the body raises questions as to how this gives rise to rhythmic
physiology in multicellular organisms and how environmental signals entrain
clocks to geophysical time. Here, we consider advances in understanding how
regulatory networks emergent in clocks give rise to cell type-specific functions
within tissues to affect homeostasis.
PMID- 27885005
TI - Immunity around the clock.
AB - Immunity is a high-cost, high-benefit trait that defends against pathogens and
noxious stimuli but whose overactivation can result in immunopathologies and
sometimes even death. Because many immune parameters oscillate rhythmically with
the time of day, the circadian clock has emerged as an important gatekeeper for
reducing immunity-associated costs, which, in turn, enhances organismal fitness.
This is mediated by interactions between extrinsic environmental cues and the
intrinsic oscillators of immune cells, which together optimize immune responses
throughout the circadian cycle. The elucidation of these clock-controlled
immunomodulatory mechanisms might uncover new approaches for treating infections
and chronic inflammatory diseases.
PMID- 27885008
TI - Better health? Prepare to sweat.
PMID- 27885009
TI - Mega-earthquakes go the flat way.
PMID- 27885007
TI - Circadian physiology of metabolism.
AB - A majority of mammalian genes exhibit daily fluctuations in expression levels,
making circadian expression rhythms the largest known regulatory network in
normal physiology. Cell-autonomous circadian clocks interact with daily light
dark and feeding-fasting cycles to generate approximately 24-hour oscillations in
the function of thousands of genes. Circadian expression of secreted molecules
and signaling components transmits timing information between cells and tissues.
Such intra- and intercellular daily rhythms optimize physiology both by managing
energy use and by temporally segregating incompatible processes. Experimental
animal models and epidemiological data indicate that chronic circadian rhythm
disruption increases the risk of metabolic diseases. Conversely, time-restricted
feeding, which imposes daily cycles of feeding and fasting without caloric
reduction, sustains robust diurnal rhythms and can alleviate metabolic diseases.
These findings highlight an integrative role of circadian rhythms in physiology
and offer a new perspective for treating chronic diseases in which metabolic
disruption is a hallmark.
PMID- 27885010
TI - Tuning nanoparticle strain.
PMID- 27885006
TI - Mechanisms linking circadian clocks, sleep, and neurodegeneration.
AB - Disruptions of normal circadian rhythms and sleep cycles are consequences of
aging and can profoundly affect health. Accumulating evidence indicates that
circadian and sleep disturbances, which have long been considered symptoms of
many neurodegenerative conditions, may actually drive pathogenesis early in the
course of these diseases. In this Review, we explore potential cellular and
molecular mechanisms linking circadian dysfunction and sleep loss to
neurodegenerative diseases, with a focus on Alzheimer's disease. We examine the
interplay between central and peripheral circadian rhythms, circadian clock gene
function, and sleep in maintaining brain homeostasis, and discuss therapeutic
implications. The circadian clock and sleep can influence a number of key
processes involved in neurodegeneration, suggesting that these systems might be
manipulated to promote healthy brain aging.
PMID- 27885011
TI - Bringing carbon-silicon bonds to life.
PMID- 27885012
TI - Protecting memories from stress.
PMID- 27885013
TI - Targeting the ligand in hypophosphatemia.
PMID- 27885014
TI - Status alters immune function in macaques.
PMID- 27885015
TI - Making perfect atomic arrays.
PMID- 27885016
TI - Open sesame!
PMID- 27885017
TI - Digital reconstruction of human development.
PMID- 27885018
TI - For cell reprogramming, context matters.
PMID- 27885019
TI - Increasing viral threats from mosquitoes.
PMID- 27885020
TI - Continuing the dialog via experiment.
PMID- 27885021
TI - Cardiac side effect.
PMID- 27885022
TI - A new direction for breast cancer therapy.
PMID- 27885023
TI - Ants farming plants.
PMID- 27885024
TI - THz-driven magnetism goes nonlinear.
PMID- 27885025
TI - A clean combination of CO and amines.
PMID- 27885026
TI - Fast action with little effect.
PMID- 27885027
TI - Mega-earthquakes rupture flat megathrusts.
AB - The 2004 Sumatra-Andaman and 2011 Tohoku-Oki earthquakes highlighted gaps in our
understanding of mega-earthquake rupture processes and the factors controlling
their global distribution: A fast convergence rate and young buoyant lithosphere
are not required to produce mega-earthquakes. We calculated the curvature along
the major subduction zones of the world, showing that mega-earthquakes
preferentially rupture flat (low-curvature) interfaces. A simplified analytic
model demonstrates that heterogeneity in shear strength increases with curvature.
Shear strength on flat megathrusts is more homogeneous, and hence more likely to
be exceeded simultaneously over large areas, than on highly curved faults.
PMID- 27885028
TI - Direct and continuous strain control of catalysts with tunable battery electrode
materials.
AB - We report a method for using battery electrode materials to directly and
continuously control the lattice strain of platinum (Pt) catalyst and thus tune
its catalytic activity for the oxygen reduction reaction (ORR). Whereas the
common approach of using metal overlayers introduces ligand effects in addition
to strain, by electrochemically switching between the charging and discharging
status of battery electrodes the change in volume can be precisely controlled to
induce either compressive or tensile strain on supported catalysts. Lattice
compression and tension induced by the lithium cobalt oxide substrate of ~5% were
directly observed in individual Pt nanoparticles with aberration-corrected
transmission electron microscopy. We observed 90% enhancement or 40% suppression
in Pt ORR activity under compression or tension, respectively, which is
consistent with theoretical predictions.
PMID- 27885029
TI - The ATG conjugation systems are important for degradation of the inner
autophagosomal membrane.
AB - In macroautophagy, cytoplasmic contents are sequestered into the double-membrane
autophagosome, which fuses with the lysosome to become the autolysosome. It has
been thought that the autophagy-related (ATG) conjugation systems are required
for autophagosome formation. Here, we found that autophagosomal soluble N
ethylmaleimide-sensitive factor attachment protein receptor (SNARE) syntaxin 17
positive autophagosome-like structures could be generated even in the absence of
the ATG conjugation systems, although at a reduced rate. These syntaxin 17
positive structures could further fuse with lysosomes, but degradation of the
inner autophagosomal membrane was significantly delayed. Accordingly, autophagic
activity in ATG conjugation-deficient cells was strongly suppressed. We suggest
that the ATG conjugation systems, which are likely required for the closure
(i.e., fission) of the autophagosomal edge, are not absolutely essential for
autolysosome formation but are important for efficient degradation of the inner
autophagosomal membrane.
PMID- 27885031
TI - Retrieval practice protects memory against acute stress.
AB - More than a decade of research has supported a robust consensus: Acute stress
impairs memory retrieval. We aimed to determine whether a highly effective
learning technique could strengthen memory against the negative effects of
stress. To bolster memory, we used retrieval practice, or the act of taking
practice tests. Participants first learned stimuli by either restudying or
engaging in retrieval practice. Twenty-four hours later, we induced stress in
half of the participants and assessed subsequent memory performance. Participants
who learned by restudying demonstrated the typical stress-related memory
impairment, whereas those who learned by retrieval practice were immune to the
deleterious effects of stress. These results suggest that the effects of stress
on memory retrieval may be contingent on the strength of the memory
representations themselves.
PMID- 27885030
TI - Social status alters immune regulation and response to infection in macaques.
AB - Social status is one of the strongest predictors of human disease risk and
mortality, and it also influences Darwinian fitness in social mammals more
generally. To understand the biological basis of these effects, we combined
genomics with a social status manipulation in female rhesus macaques to
investigate how status alters immune function. We demonstrate causal but largely
plastic social status effects on immune cell proportions, cell type-specific gene
expression levels, and the gene expression response to immune challenge. Further,
we identify specific transcription factor signaling pathways that explain these
differences, including low-status-associated polarization of the Toll-like
receptor 4 signaling pathway toward a proinflammatory response. Our findings
provide insight into the direct biological effects of social inequality on immune
function, thus improving our understanding of social gradients in health.
PMID- 27885034
TI - Family-friendly science.
PMID- 27885035
TI - Science fiction and the medical humanities.
AB - Research on science fiction within the medical humanities should articulate
interpretative frameworks that do justice to medical themes within the genre.
This means challenging modes of reading that encourage unduly narrow accounts of
science fiction. Admittedly, science studies has moved away from reading science
fiction as a variety of scientific popularisation and instead understands science
fiction as an intervention in the technoscientific imaginary that calls for
investment in particular scientific enterprises, including various biomedical
technologies. However, this mode of reading neglects science fiction's critical
relationship to the construction of 'the future' in the present: the ways in
which science fiction proposes concrete alternatives to hegemonic narratives of
medical progress and fosters critical self-awareness of the contingent activity
which gives 'the future' substance in the here-and-now. Moreover, the future
orientation of science fiction should not distract from the function of medical
science fiction as 'cognitive estrangement': the technological innovations that
dominate science-fiction narratives are less concrete predictions and more
generic devices that explain in historical time the origins of a marvellous world
bearing provocative correspondences to our own, everyday reality. The editorial
concludes with a series of introductions to the articles comprising the special
issue, covering the print edition and a special online-only section.
PMID- 27885032
TI - Directed evolution of cytochrome c for carbon-silicon bond formation: Bringing
silicon to life.
AB - Enzymes that catalyze carbon-silicon bond formation are unknown in nature,
despite the natural abundance of both elements. Such enzymes would expand the
catalytic repertoire of biology, enabling living systems to access chemical space
previously only open to synthetic chemistry. We have discovered that heme
proteins catalyze the formation of organosilicon compounds under physiological
conditions via carbene insertion into silicon-hydrogen bonds. The reaction
proceeds both in vitro and in vivo, accommodating a broad range of substrates
with high chemo- and enantioselectivity. Using directed evolution, we enhanced
the catalytic function of cytochrome c from Rhodothermus marinus to achieve more
than 15-fold higher turnover than state-of-the-art synthetic catalysts. This
carbon-silicon bond-forming biocatalyst offers an environmentally friendly and
highly efficient route to producing enantiopure organosilicon molecules.
PMID- 27885036
TI - Visionary medicine: speculative fiction, racial justice and Octavia Butler's
'Bloodchild'.
AB - Medical students across the USA have increasingly made the medical institution a
place for speculating racially just futures. From die-ins in Fall 2014 to silent
protests in response to racially motivated police brutality, medical schools have
responded to the public health crisis that is racial injustice in the USA.
Reading science fiction may benefit healthcare practitioners who are already
invested in imagining a more just, healthier futurity. Fiction that rewrites the
future in ways that undermine contemporary power regimes has been termed
'visionary fiction'. In this paper, the authors introduce 'visionary medicine' as
a tool for teaching medical students to imagine and produce futures that preserve
health and racial justice for all. This essay establishes the connections between
racial justice, medicine and speculative fiction by examining medicine's racially
unjust past practices, and the intersections of racial justice and traditional
science and speculative fiction. It then examines speculative fiction author
Octavia Butler's short story 'Bloodchild' as a text that can introduce students
of the medical humanities to a liberatory imagining of health and embodiment, one
that does not reify and reinscribe boundaries of difference, but reimagines the
nature of Self and Other, power and collaboration, agency and justice.
PMID- 27885037
TI - The psychologist, the psychoanalyst and the 'extraordinary child' in postwar
British science fiction.
AB - A sudden influx of portrayals of 'extraordinary children' emerged in British
science fiction after the Second World War. Such children both violated and
confirmed the new set of expectations about ordinary childhood that emerged from
the findings of developmental psychologists around the same time. Previous work
on extraordinary children in both science fiction and horror has tended to
confine the phenomenon to an 'evil child boom' within the American filmmaking
industry in the 1970s. This article suggests that a much earlier trend is visible
in British postwar science fiction texts, analysing a cluster of novels that
emerged in the 1950s: Arthur C. Clarke's Childhood's End (1953), William
Golding's Lord of the Flies (1954) and John Wyndham's The Midwich Cuckoos (1957).
It will be argued that the groups of extraordinary children in these novels both
tap into newer child-centred assertions about the threats posed by abnormal
childhood, underwritten by psychology and psychoanalysis, and represent a
reaction to an older progressive tradition in which children were envisaged as
the single hope for a utopian future. This article will ultimately assert that
the sudden appearance of extraordinary children in science fiction reflects a
profound shift in assessment criteria for healthy childhood in Britain from the
1950s onwards, an issue that had become vitally important in a fledgling social
democracy.
PMID- 27885038
TI - Design, fiction and the medical humanities.
AB - This paper sets out to explore the similarities between the developing discipline
of speculative and critical design (SCD) and science fiction, and their relevance
to the medical humanities. SCD looks beyond 'commercial design' to consider what
sort of things we should, or should not, be designing in order to create
preferable futures. It does so by extrapolating from current social, economic,
political and scientific knowledge, designing artefacts, experiences and
scenarios which communicate futures and alternative realities in tangible ways.
By first outlying the relevance of SCD to the medical humanities, through its
ability to imagine and visualise preferable healthcare futures, the paper will
then discuss several recent design projects which focus on current and future
ethical issues raised by emerging biotechnology. Through these projects, the
paper will look at SCD's ability to provoke, engage and critique science and
society, while also critically reflecting on the limitations of the evolving
design discipline. Through the paper it is hoped that there can be an increased
understanding of SCD and its ambitions, as well as its limitations, in order for
SCD to better approach issues relating to health and wellbeing, along with other
difficult and challenging issues which will affect all us today and into the (sci
fi) future.
PMID- 27885039
TI - Th17 cell frequency and IL-17A concentrations in peripheral blood mononuclear
cells and vitreous fluid from patients with diabetic retinopathy.
AB - Objective To quantify T helper (Th)17 cells and determine interleukin (IL)-17A
levels in peripheral blood mononuclear cell (PBMC) culture and vitreous fluid
from patients with type 2 diabetes mellitus (T2DM) with diabetic retinopathy
(DR). Methods Th17 cell frequency and IL-17A concentrations in PBMCs from 60
patients with T2DM with DR, 30 without DR and 30 sex- and age-matched healthy
individuals were measured by flow cytometry and enzyme-linked immunosorbent assay
(ELISA), respectively. IL-17A levels in vitreous fluid from 31 eyes with
proliferative DR and diabetic macular oedema (DR group) and 32 eyes with an
epiretinal membrane and macular hole (control group) that underwent vitrectomy
were also examined by ELISA. Results Compared with the control group, the
proportion of Th17 cells and IL-17A concentrations in PBMCs were significantly
increased in patients without DR but decreased in those with DR. IL-17A
concentrations and Th17 cell frequency in PBMCs tended to decrease with DR
severity and were negatively correlated with body mass index, T2DM duration and
glycated haemoglobin. Additionally, vitreous fluid IL-17A levels were
significantly elevated in patients with DR compared with those of the control
group. Conclusions We conclude that disturbances in Th17 cells and IL-17A levels
are possibly associated with DR.
PMID- 27885041
TI - Pigeons use distinct stop phases to control pecking.
AB - Pecking at small targets requires accurate spatial coordination of the head.
Planning of the peck has been proposed to occur in two distinct stop phases, but
although this idea has now been around for a long time, the specific functional
roles of these stop phases remain unsolved. Here, we investigated the
characteristics of the two stop phases using high-speed motion capture and
examined their functions with two experiments. In experiment 1, we tested the
hypothesis that the second stop phase is used to pre-program the final approach
to a target and analyzed head movements while pigeons (Columba livia) pecked at
targets of different size. Our results show that the duration of both stop phases
significantly increased as stimulus size decreased. We also found significant
positive correlations between stimulus size and the distances of the beaks to the
stimulus during both stop phases. In experiment 2, we used a two-alternative
forced choice task with different levels of difficulty to test the hypothesis
that the first stop phase is used to decide between targets. The results indicate
that the characteristics of the stop phases do not change with an increasing
difficulty between the two choices. Therefore, we conclude that the first stop
phase is not exclusively used to decide upon a target to peck at, but also
contributes to the function of the second stop phase, which is improving pecking
accuracy and planning the final approach to the target.
PMID- 27885042
TI - Evidence for partial overlap of male olfactory cues in lampreys.
AB - Animals rely on a mosaic of complex information to find and evaluate mates.
Pheromones, often consisting of multiple components, are considered to be
particularly important for species-recognition in many species. Although the
evolution of species-specific pheromone blends is well described in many insects,
very few vertebrate pheromones have been studied in a macro-evolutionary context.
Here, we report a phylogenetic comparison of multi-component male odours that
guide reproduction in lampreys. Chemical profiling of sexually mature males from
eleven species of lamprey, representing six of ten genera and two of three
families, indicated that the chemical profiles of sexually mature male odours are
partially shared among species. Behavioural assays conducted with four species
sympatric in the Laurentian Great Lakes indicated asymmetric female responses to
heterospecific odours, where Petromyzon marinus were attracted to male odour
collected from all species tested, but other species generally preferred only the
odour of conspecifics. Electro-olfactogram recordings from P. marinus indicated
that although P. marinus exhibited behavioural responses to odours from males of
all species, at least some of the compounds that elicited olfactory responses
were different in conspecific male odours compared with heterospecific male
odours. We conclude that some of the compounds released by sexually mature males
are shared among species and elicit olfactory and behavioural responses in P.
marinus, and suggest that our results provide evidence for partial overlap of
male olfactory cues among lampreys. Further characterization of the chemical
identities of odour components is needed to confirm shared pheromones among
species.
PMID- 27885040
TI - Development and application of a fluorescence protein microarray for detecting
serum alpha-fetoprotein in patients with hepatocellular carcinoma.
AB - Objective To develop a simple, effective, time-saving and low-cost fluorescence
protein microarray method for detecting serum alpha-fetoprotein (AFP) in patients
with hepatocellular carcinoma (HCC). Method Non-contact piezoelectric print
techniques were applied to fluorescence protein microarray to reduce the cost of
prey antibody. Serum samples from patients with HCC and healthy control subjects
were collected and evaluated for the presence of AFP using a novel fluorescence
protein microarray. To validate the fluorescence protein microarray, serum
samples were tested for AFP using an enzyme-linked immunosorbent assay (ELISA).
Results A total of 110 serum samples from patients with HCC ( n = 65) and healthy
control subjects ( n = 45) were analysed. When the AFP cut-off value was set at
20 ng/ml, the fluorescence protein microarray had a sensitivity of 91.67% and a
specificity of 93.24% for detecting serum AFP. Serum AFP quantified via
fluorescence protein microarray had a similar diagnostic performance compared
with ELISA in distinguishing patients with HCC from healthy control subjects
(area under receiver operating characteristic curve: 0.906 for fluorescence
protein microarray; 0.880 for ELISA). Conclusion A fluorescence protein
microarray method was developed for detecting serum AFP in patients with HCC.
PMID- 27885043
TI - Aedes aegypti Rhesus glycoproteins contribute to ammonia excretion by larval anal
papillae.
AB - In larval Aedes aegypti, transcripts of the Rhesus-like glycoproteins AeRh50-1
and AeRh50-2 have been detected in the anal papillae, sites of ammonia (NH3/NH4+)
excretion; however, these putative ammonia transporters have not been previously
localized or functionally characterized. In this study, we show that the AeRh50s
co-immunolocalize with apical V-type H+-ATPase as well as with basal Na+/K+
ATPase in the epithelium of anal papillae. The double-stranded RNA-mediated
knockdown of AeRh50-1 and AeRh50-2 resulted in a significant reduction in AeRh50
protein abundance in the anal papillae, and this was coupled to decreased ammonia
excretion. The knockdown of AeRh50-1 resulted in decreased hemolymph [NH4+] and
pH whereas knockdown of AeRh50-2 had no effect on these parameters. We conclude
that the AeRh50s are important contributors to ammonia excretion at the anal
papillae of larval A. aegypti, which may be the basis for their ability to
inhabit areas with high ammonia levels.
PMID- 27885045
TI - How Canada's Asbestos Industry Was Defeated in Quebec.
AB - Less than a decade ago, the Quebec asbestos industry enjoyed support from all the
political parties in the Canadian House of Commons and the Quebec National
Assembly, as well as from business and union organizations. Two lobby
organizations (Chrysotile Institute and International Chrysotile Association) had
significant global impact in promoting asbestos use and defeating asbestos ban
efforts in developing countries. Quebec's two asbestos mines planned to expand
operations and make Quebec the second biggest global asbestos exporter. With the
aid of lobbyists, public relations consultants, and government financing, the
asbestos industry came close to succeeding. The article examines how a campaign
of international solidarity, involving scientific experts, asbestos victims, and
health activists in Quebec, Canada, and overseas, succeeded in closing the two
mines and defeating the political and social power that the Quebec asbestos
industry had wielded for a century. This victory ended Canada's destructive role
as global propagandist for the asbestos industry.
PMID- 27885044
TI - Blood clotting behavior is innately modulated in Ursus americanus during early
and late denning relative to summer months.
AB - Remarkably, American black bears (Ursus americanus) are capable of varying their
heart rates to coincide with their breathing, creating pauses of 30 s or more,
yet they do not appear to suffer from embolic events. We evaluated some features
of the clotting cascade of black bears, providing novel insights into the
underlying mechanisms they evoke for embolic protection during hibernation. We
measured activated clotting time, prothrombin time and activated partial
thromboplastin time during early denning (December), late denning (March) and
summer (August). Activated clotting time during early hibernation was ~3 times
longer than that observed among non-hibernating animals. Clotting time was
reduced later in hibernation, when bears were within ~1 month of emerging from
dens. Prothrombin time was similar for each seasonal time point, whereas
activated partial thromboplastin time was highest during early denning and
decreased during late denning and summer. We also examined D-dimer concentration
to assess whether the bears were likely to have experienced embolic events. None
of the non-parturient bears exceeded a D-dimer concentration of 250 ng ml-1
(considered the clinical threshold for embolism in mammals). Our findings suggest
there is unique expression of the clotting cascade in American black bears during
hibernation, in which extrinsic pathways are maintained but intrinsic pathways
are suppressed. This was evaluated by a significant difference between the
activated clotting time and activated partial thromboplastin time during the
denning and non-denning periods. These changes are likely adaptive, to avoid
clotting events during states of immobilization and/or periods of asystole.
However, an intact extrinsic pathway allows for healing of external injuries
and/or foreign body responses.
PMID- 27885046
TI - The role of basic psychological need satisfaction, sleep, and mindfulness in the
health-related quality of life of people living with HIV.
AB - Research has not yet examined the relationship between psychological need
satisfaction, sleep, mindfulness, and health-related quality of life in people
living with HIV. This cross-sectional study ( N = 101; 84% male; mean age =
45.48, SD = 12.75) found need satisfaction to relate positively to physical and
mental health. Sleep quality fully mediated the association with physical health
and partially mediated the association with mental health. Furthermore,
mindfulness related to higher sleep quality through higher need satisfaction.
Findings underscore the role of need satisfaction in determining health-related
quality of life and sleep quality in people living with HIV and suggest that
mindfulness may facilitate need satisfaction.
PMID- 27885047
TI - Morphological variability of the arterial valve in common arterial trunk and the
concept of normality.
AB - OBJECTIVE: Until now, no study established a morphometric evaluation of the
truncal valve dysplasia and a description of its different presentation patterns.
Thus, authors conducted an anatomopathological study describing the gross
features and histological findings of the truncal valve. METHODS: 50 common
arterial trunk (CAT) specimens were examined. The number of valvar leaflets was
determined and valvar dysplasia was classified as absent, mild, moderate or
severe. Selected leaflets were sectioned and submitted to histological analysis
and linear measurements (thickness, length and area), besides quantification of
collagen area fraction. RESULTS: 28 (56%) valves presented three, 15 (30%) four
and 7 (14%) two leaflets. Valvar dysplasia was absent in 13 (26%) cases, mild in
19 (38%), moderate in 6 (12%) and severe in 12 (24%). A significant association
was found between the presence of four leaflets and valvar dysplasia (p<0.001).
Single coronary ostium was more common in two-leaflet cases than in three-leaflet
cases (p=0.037). Leaflets medial thirds were thicker in the more dysplastic
valves (p=0.006) and in those presenting anarchic collagen distribution
(p=0.002). CONCLUSIONS: CAT semilunar valves present two main patterns. The first
characterised by three leaflets and absent or mild dysplasia and the second by
four leaflets and severe dysplasia. Still, great variability regarding thickness,
microscopic organisation of the extracellular matrix and proportions of leaflets'
dimensions exists, which may impact on the surgical outcomes.
PMID- 27885048
TI - Trends in educational inequalities in premature mortality in Belgium between the
1990s and the 2000s: the contribution of specific causes of deaths.
AB - BACKGROUND: Reducing socioeconomic inequalities in mortality, a key public health
objective may be supported by a careful monitoring and assessment of the
contributions of specific causes of death to the global inequality. METHODS: The
1991 and 2001 Belgian censuses were linked with cause-of-death data, each
yielding a study population of over 5 million individuals aged 25-64, followed up
for 5 years. Age-standardised mortality rates (ASMR) were computed by educational
level (EL) and cause. Inequalities were measured through rate differences (RDs),
rate ratios (RRs) and population attributable fractions (PAFs). We analysed
changes in educational inequalities between the 1990s and the 2000s, and
decomposed the PAF into the main causes of death. RESULTS: All-cause and
avoidable ASMR decreased in all ELs and both sexes. Lung cancer, ischaemic heart
disease (IHD), chronic obstructive pulmonary disease (COPD) and suicide in men,
and IHD, stroke, lung cancer and COPD in women had the highest impact on
population mortality. RDs decreased in men but increased in women. RRs and PAFs
increased in both sexes, albeit more in women. In men, the impact of lung cancer
and COPD inequalities on population mortality decreased while that of suicide and
IHD increased. In women, the impact of all causes except IHD increased.
CONCLUSION: Absolute inequalities decreased in men while increasing in women;
relative inequalities increased in both sexes. The PAFs decomposition revealed
that targeting mortality inequalities from lung cancer, IHD, COPD in both sexes,
suicide in men and stroke in women would have the largest impact at population
level.
PMID- 27885049
TI - Residential segregation and mental health among Latinos in a nationally
representative survey.
AB - BACKGROUND: Among Latinos, living in a locality with greater Latino ethnic
density may be protective for mental health, although findings vary by Latino
subgroup, gender and birthplace. Although little studied, Latino residential
segregation may capture different pathways linking risk and protective
environmental factors to mental health than local ethnic density. METHODS: This
study evaluated the association between residential segregation and mental
distress as measured by the Kessler-10 (K10) among Latino participants in the
National Latino and Asian American Study (NLAAS). Census data from 2000 was used
to calculate metropolitan statistical area (MSA) residential segregation using
the dissimilarity and isolation indices, as well as census tract ethnicity
density and poverty. Latino subgroup (Puerto Rican, Mexican American, Cuban
American and other Latino subgroup), gender and generation status were evaluated
as moderators. RESULTS: Among 2554 Latino participants in NLAAS, residential
segregation as measured by the isolation index was associated with less mental
distress (beta -0.14, 95% CI -0.26 to -0.03 log(K10)) among Latinos overall after
adjustment for ethnic density, poverty and individual covariates. Residential
segregation as measured by the dissimilarity index was significantly associated
with less mental distress among men (beta -0.56, 95% CI -1.04 to -0.08) but not
among women (beta -0.20, 95% CI -0.45 to 0.04, p-interaction=0.019). No
modification was observed by Latino subgroup or generation. CONCLUSIONS: Among
Latinos, increasing residential segregation was associated with less mental
distress, and this association was moderated by gender. Findings suggest that MSA
level segregation measures may capture protective effects associated with living
in Latino communities for mental health.
PMID- 27885051
TI - Linear-rank testing of a non-binary, responder-analysis, efficacy score to
evaluate pharmacotherapies for substance use disorders.
AB - The design of pharmacological trials for management of substance use disorders is
shifting toward outcomes of successful individual-level behavior (abstinence or
no heavy use). While binary success/failure analyses are common, McCann and Li
(CNS Neurosci Ther 2012; 18: 414-418) introduced "number of beyond-threshold
weeks of success" (NOBWOS) scores to avoid dichotomized outcomes. NOBWOS scoring
employs an efficacy "hurdle" with values reflecting duration of success. Here, we
evaluate NOBWOS scores rigorously. Formal analysis of mathematical structure of
NOBWOS scores is followed by simulation studies spanning diverse conditions to
assess operating characteristics of five linear-rank tests on NOBWOS scores.
Simulations include assessment of Fisher's exact test applied to hurdle
component. On average, statistical power was approximately equal for five linear
rank tests. Under none of conditions examined did Fisher's exact test exhibit
greater statistical power than any of the linear-rank tests. These linear-rank
tests provide good Type I and Type II error control for comparing distributions
of NOBWOS scores between groups (e.g. active vs. placebo). All methods were
applied to re-analyses of data from four clinical trials of differing lengths and
substances of abuse. These linear-rank tests agreed across all trials in
rejecting (or not) their null (equality of distributions) at <= 0.05.
PMID- 27885052
TI - Enhanced protective responses to a serotype-independent pneumococcal vaccine when
combined with an inactivated influenza vaccine.
AB - Streptococcus pneumoniae and influenza are the world's foremost bacterial and
viral respiratory pathogens. We have previously described a gamma-irradiated
influenza A virus (gamma-FLU) vaccine that provides cross-protective immunity
against heterosubtypic infections. More recently, we reported a novel non
adjuvanted gamma-irradiated S pneumoniae (gamma-PN) vaccine that elicits serotype
independent protection. Considering the clinical synergism of both pathogens,
combination of a serotype-independent pneumococcal vaccine with a broad-spectrum
influenza vaccine to protect against both infections would have a considerable
clinical impact. In the present study, we co-immunized C57BL/6 mice intranasally
(IN) with a mixture of gamma-PN (whole inactivated cells) and gamma-FLU (whole
inactivated virions) and examined protective efficacy. Co-immunization enhanced
gamma-PN vaccine efficacy against virulent pneumococcal challenge, which was
dependent on CD4+ T-cell responses. In contrast, vaccination with gamma-PN alone,
co-immunization enhanced pneumococcal-specific effector T-helper 17 cell (Th17)
and Th1 memory cell, promoted development of CD4+ tissue-resident memory (TRM)
cells and enhanced Pneumococcus-specific antibody responses. Furthermore, co
immunization elicited significant protection against lethal influenza challenge,
as well as against co-infection with both influenza and S pneumoniae. This is the
first report showing the synergistic effect of combining whole cell and whole
virion vaccines to both S pneumoniae and influenza as a single vaccine to protect
against individual and co-infection, without compromising pathogen-specific
immunity.
PMID- 27885050
TI - Cross-sectional and longitudinal associations of neighbourhood social environment
and smoking behaviour: the multiethnic study of atherosclerosis.
AB - BACKGROUND: Social features of neighbourhood environments may influence smoking
by creating a stressful environment or by buffering stress through social
cohesion. However, the association of the overall neighbourhood social
environment (NSE) with smoking, and the association of specific neighbourhood
social factors with change in smoking behaviour over time, has rarely been
examined. METHODS: This study included 5856 adults aged 45-84 years from the
Multi-Ethnic Study of Atherosclerosis (2000-2012, average follow-up: 7.8 years).
Outcomes included current smoking status and smoking intensity (average number of
cigarettes smoked per day among baseline smokers). NSE was assessed as a
composite score composed of aesthetic quality, safety and social cohesion scales
(derived from neighbourhood surveys). Generalised linear mixed models evaluated
the association of baseline NSE (composite score and individual scales) with
current smoking (modified Poisson models) and smoking intensity (negative
binomial models) cross-sectionally and longitudinally. RESULTS: Each SD increase
in baseline NSE composite score was associated with 13% lower prevalence of
smoking at baseline (adjusted prevalence ratio (aPR) 0.87 (95% CI 0.78 to 0.98).
Neighbourhood safety and aesthetic quality were similarly associated with lower
smoking prevalence (aPR 0.87 (0.78 to 0.97) and aPR 0.87 (0.77 to 0.99),
respectively) but the association with social cohesion was weaker or null. No
significant associations were observed for smoking intensity among baseline
smokers. Baseline NSE was not associated with changes in smoking risk or
intensity over time. CONCLUSIONS: Results suggest that neighbourhood social
context influences whether older adults smoke, but does not promote smoking
cessation or reduction over time.
PMID- 27885053
TI - 11beta-hydroxysteroid dehydrogenase-1 deficiency alters the gut microbiome
response to Western diet.
AB - The enzyme 11beta-hydroxysteroid dehydrogenase (11beta-HSD) interconverts active
glucocorticoids and their intrinsically inert 11-keto forms. The type 1 isozyme,
11beta-HSD1, predominantly reactivates glucocorticoids in vivo and can also
metabolise bile acids. 11beta-HSD1-deficient mice show altered inflammatory
responses and are protected against the adverse metabolic effects of a high-fat
diet. However, the impact of 11beta-HSD1 on the composition of the gut microbiome
has not previously been investigated. We used high-throughput 16S rDNA amplicon
sequencing to characterise the gut microbiome of 11beta-HSD1-deficient and
C57Bl/6 control mice, fed either a standard chow diet or a cholesterol- and fat
enriched 'Western' diet. 11beta-HSD1 deficiency significantly altered the
composition of the gut microbiome, and did so in a diet-specific manner. On a
Western diet, 11beta-HSD1 deficiency increased the relative abundance of the
family Bacteroidaceae, and on a chow diet, it altered relative abundance of the
family Prevotellaceae Our results demonstrate that (i) genetic effects on host
microbiome interactions can depend upon diet and (ii) that alterations in the
composition of the gut microbiome may contribute to the aspects of the metabolic
and/or inflammatory phenotype observed with 11beta-HSD1 deficiency.
PMID- 27885054
TI - Impact of uteroplacental insufficiency on postnatal rat male gonad.
AB - Prenatal events such as intrauterine growth restriction can affect gonadal
development of the offspring and have an impact on reproductive health. To
investigate the effects of intrauterine growth restriction induced by uterine
artery ligation on the postnatal rat testis. Pregnant rats underwent uterine
artery ligation at day 19 of gestation. Offspring were killed at 5, 20 and 40
days post-partum (dpp). At killing, one gonad was snap-frozen in liquid nitrogen
and processed for RNA and steroid extraction. The other gonad was formalin-fixed
for histology. Gene expression was analyzed by TaqMan Low-Density Array.
Intratesticular testosterone, estradiol and serum gonadotrophins were measured.
Thirty genes were dysregulated in intrauterine growth-restricted rats compared to
controls, among which markers of Sertoli cell and Leydig cell function, cell
metabolism and growth factors. Testis weights were significantly reduced at 5 and
20 dpp in intrauterine growth-restricted rats and caught-up by 40 dpp
Accordingly, Sertoli cell number was significantly lower in 5 dpp intrauterine
growth-restricted rats. At 20 dpp, intratesticular testosterone was significantly
increased in intrauterine growth-restricted rats, whereas serum gonadotrophins
were unchanged. IUGR altered the gene expression in the rat testes up to
peripubertal age and reduced testis size and Sertoli cell number in neonatal age.
Multiple mechanisms encompassing genetic changes and steroid production by the
testis may be involved in the catch-up growth phase that restored testis size by
40 dpp Permanent consequences on organ function and gamete integrity cannot be
excluded and deserve further investigations.
PMID- 27885055
TI - 17beta-estradiol improves hepatic mitochondrial biogenesis and function through
PGC1B.
AB - Sexual dimorphism in mitochondrial biogenesis and function has been described in
many rat tissues, with females showing larger and more functional mitochondria.
The family of the peroxisome proliferator-activated receptor gamma coactivator 1
(PGC1) plays a central role in the regulatory network governing mitochondrial
biogenesis and function, but little is known about the different contribution of
hepatic PGC1A and PGC1B in these processes. The aim of this study was to
elucidate the role of 17beta-estradiol (E2) in mitochondrial biogenesis and
function in liver and assess the contribution of both hepatic PGC1A and PGC1B as
mediators of these effects. In ovariectomized (OVX) rats (half of which were
treated with E2) estrogen deficiency led to impaired mitochondrial biogenesis and
function, increased oxidative stress, and defective lipid metabolism, but was
counteracted by E2 treatment. In HepG2 hepatocytes, the role of E2 in enhancing
mitochondrial biogenesis and function was confirmed. These effects were
unaffected by the knockdown of PGC1A, but were impaired when PGC1B expression was
knocked down by specific siRNA. Our results reveal a widespread protective role
of E2 in hepatocytes, which is explained by enhanced mitochondrial content and
oxidative capacity, lower hepatic lipid accumulation, and a reduction of
oxidative stress. We also suggest a novel hepatic protective role of PGC1B as a
modulator of E2 effects on mitochondrial biogenesis and function supporting
activation of PGC1B as a therapeutic target for hepatic mitochondrial disorders.
PMID- 27885056
TI - Understanding the functions and operations of data monitoring committees: Survey
and focus group findings.
AB - BACKGROUND: The use of data monitoring committees in the conduct of clinical
trials has increased and evolved, but there is a lack of published information on
when data monitoring committees are needed and utilized, the acceptable range of
data monitoring committee practices, and appropriate qualifications of data
monitoring committee members. METHODS: To gain a better understanding of data
monitoring committee operations and areas for improvement, the Clinical Trials
Transformation Initiative conducted a survey and set of focus groups. A total of
143 respondents completed the online survey: 76 data monitoring committee
members, 52 sponsors involved with organization of data monitoring committees,
and 15 statistical data analysis center representatives. There were 42 focus
group participants, including data monitoring committee members; patients and/or
patient advocate data monitoring committee members; institutional review board
and US Food and Drug Administration representatives; industry, government, and
non-profit sponsors; and statistical data analysis center representatives.
RESULTS: Participants indicated that the primary responsibility of a data
monitoring committee is to be an independent advisory body representing the
interests of trial participants by assessing the risk and benefit ratio in
ongoing trials. They noted that data monitoring committees must have access to
unmasked data in order to perform this role. No clear consensus emerged regarding
specific criteria for requiring a data monitoring committee for a given trial,
and some participants felt data monitoring committees may be overused.
Respondents offered suggestions for the data monitoring committee charter and
communications with sponsors, institutional review boards, and regulators.
Overall, data monitoring committee members reported that they are able to
function independently and their recommendations are almost always accepted by
the sponsor. Participants indicated that there are no standards or guidelines
pertaining to qualifications of data monitoring committee members. Furthermore,
only 8% (6/72) of data monitoring committee member survey respondents received
any formal training, and 94% (68/72) were not aware of any training programs.
CONCLUSION: Findings from the survey and focus groups provide a better
understanding of contemporary data monitoring committee operations and insights
regarding challenges and best practices. Overall, it was clear that increased
training will be needed to prepare the next generation of qualified data
monitoring committee members to meet the growing demand. These findings can be
used by Clinical Trials Transformation Initiative and others to develop
recommendations and tools to improve data monitoring committee operations and the
overall quality of trial oversight.
PMID- 27885057
TI - Circulating active serum calcium reduces the risk of hypertension.
AB - Purpose Calcium, which is one the most abundant mineral elements in the body, has
been suggested to be involved in blood pressure regulation. We aimed to assess
the association of active serum calcium (which is the ionised and physiologically
active form of serum calcium) with the future risk of hypertension. Methods The
active serum calcium concentration was assessed at baseline in the Finnish Kuopio
Ischemic Heart Disease population-based prospective cohort study of 1562
normotensive men aged 42-61 years at baseline. Cox proportional hazard models
were used to assess the hazard ratios (95% confidence intervals (CIs)) for
incident hypertension. Results During a median follow-up of 24.9 years, 247 men
developed new-onset hypertension. Active serum calcium was inversely associated
with incident hypertension in an approximately linear fashion. In age-adjusted
analysis, the hazard ratio for hypertension per 1 standard deviation increase in
active serum calcium was 0.86 (95% CI 0.76-0.98), which remained consistent after
adjustment for several established risk factors and potential confounders 0.82
(0.71-0.94). In a comparison of extreme quintiles of active serum calcium levels,
the corresponding adjusted hazard ratios were 0.59 (95% CI 0.39-0.90) and 0.54
(95% CI 0.35-0.82), respectively. Conclusion Active serum calcium is protective
of future hypertension in a middle-aged male Caucasian population. Further
research is needed to confirm these findings and help unravel the mechanistic
pathways of calcium in the pathogenesis of hypertension.
PMID- 27885058
TI - Association between human immunodeficiency virus infection and arterial stiffness
in children.
AB - Background Human immunodeficiency virus infection (HIV) is associated with
increased cardiovascular risk and adverse cardiovascular outcome in adults. Early
recognition of changes in vascular properties might prove essential in
cardiovascular prevention in HIV-infected patients. We investigated the relations
between HIV infection and arterial stiffness in children. Methods This cross
sectional study included 51 HIV-infected and 52 healthy children (age 3.2-14.5
years, 49 males). All infected children had acquired HIV by vertical transmission
and were receiving antiretroviral therapy at time of assessment. Arterial
stiffness was measured by pulse wave velocity and aortic augmentation index,
using the Arteriograph system (Tensiomed Kft, Budapest, Hungary). We applied
multivariable general linear modeling to evaluate the relationship between HIV
infection and arterial stiffness with further adjustment for confounders and
possible intermediary variables. Findings represent mean group differences with
95% confidence intervals and p values. Results Aortic augmentation index was
higher by 9.0% (5.6-12.5, p < 0.001) in HIV-infected than in healthy children.
Adjustment for blood pressure, protease inhibitor use, biomarkers for level of
inflammation, lipid- and glucose-metabolism, as possible intermediary variables,
did not appreciably alter the results. There were no significant differences in
pulse wave velocity between HIV-infected and healthy children (mean difference
0.28 m/s, -0.14-0.69, p = 0.19). Conclusion HIV-infected children have an
increased aortic augmentation index, compared to healthy children. Early
cardiovascular assessment may be important in targeted prevention for HIV
infected children.
PMID- 27885059
TI - Association of grip strength with cardiovascular risk markers.
AB - Background Mechanisms underlying the association between grip strength and
cardiovascular mortality are poorly understood. We aimed to assess the
association of grip strength with a panel of cardiovascular risk markers. Design
The study was based on a cross-sectional analysis of 3468 adults aged 50-75 years
(1891 women) from a population-based sample in Lausanne, Switzerland. Methods
Grip strength was measured using a hydraulic hand dynamometer. Cardiovascular
risk markers included anthropometry, blood pressure, lipids, glucose, adiposity,
inflammatory and other metabolic markers. Results In both genders, grip strength
was negatively associated with fat mass (Pearson correlation coefficient: women:
0.170, men: -0.198), systolic blood pressure (women: -0.096, men: -0.074),
fasting glucose (women: -0.048, men: -0.071), log-transformed leptin (women:
0.074, men: -0.065), log-transformed high-sensitivity C-reactive protein (women:
0.101, men: -0.079) and log-transformed homocysteine (women: -0.109, men:
0.060). In men, grip strength was also positively associated with diastolic blood
pressure (0.068), total (0.106) and low density lipoprotein-cholesterol (0.082),
and negatively associated with interleukin-6 (-0.071); in women, grip strength
was negatively associated with triglycerides (-0.064) and uric acid (-0.059).
After multivariate adjustment, grip strength was negatively associated with waist
circumference (change per 5 kg increase in grip strength: -0.82 cm in women and
0.77 cm in men), fat mass (-0.56% in women; -0.27% in men) and high-sensitivity C
reactive protein (-6.8% in women; -3.2% in men) in both genders, and with body
mass index (0.22 kg/m2) and leptin (-2.7%) in men. Conclusion Grip strength shows
only moderate associations with cardiovascular risk markers. The effect of muscle
strength as measured by grip strength on cardiovascular disease does not seem to
be mediated by cardiovascular risk markers.
PMID- 27885060
TI - Gender differences in physical activity following acute myocardial infarction in
adults: A prospective, observational study.
AB - Aims Despite the benefits of regular physical activity participation following
acute myocardial infarction, little is known about gender differences in physical
activity among patients after acute myocardial infarction. We described, by
gender, physical activity trajectories pre- and post-acute myocardial infarction,
and determined whether gender was independently associated with physical
activity. Methods and results The Variation in Recovery: Role of Gender on
Outcomes of Young AMI patients (VIRGO) study, conducted at 103 US, 24 Spanish,
and three Australian hospitals, was designed, in part, to evaluate gender
differences in lifestyle behaviors following acute myocardial infarction. We used
baseline, one-month, and 12-month data collected from patients aged 18-55 years (
n = 3572). Patients were assigned to American Heart Association-defined levels of
physical activity. A generalized estimating equation model was used to account
for repeated measures within the same individual over time. Men were more active
(>=150 min/wk moderate or >=75 min/wk vigorous activity) than women at baseline
(42% vs 34%), one month (45% vs 34%), and 12 months (48% vs 36%) (all p <
0.0001). Men engaged in a significantly longer duration of activity at each time
point. When controlling for all other factors, women had 1.37 times the odds of
being less active than men from pre-acute myocardial infarction to 12-months post
acute myocardial infarction (95% confidence interval: 1.21-1.55). Non-white race,
non-active workplaces, smoking, diabetes, hypertension, and obesity were also
associated independently with being less active over time (all p < 0.05).
Conclusions Although activity increased modestly over time, women recovering from
acute myocardial infarction were less likely to meet physical activity
recommendations than were men. By identifying factors associated with low levels
of activity during acute myocardial infarction recovery, targeted interventions
can be introduced prior to hospital discharge.
PMID- 27885061
TI - Alemtuzumab improves quality-of-life outcomes compared with subcutaneous
interferon beta-1a in patients with active relapsing-remitting multiple
sclerosis.
AB - BACKGROUND: Alemtuzumab was superior on clinical and magnetic resonance imaging
(MRI) outcomes versus subcutaneous interferon beta-1a in phase 3 trials in
patients with relapsing-remitting multiple sclerosis. OBJECTIVE: To examine
quality-of-life (QoL) outcomes in the alemtuzumab phase 3 trials. METHODS:
Patients who were treatment naive (Comparison of Alemtuzumab and Rebif(r)
Efficacy in Multiple Sclerosis I [CARE-MS I]) or had an inadequate response to
prior therapy (CARE-MS II) received annual courses of alemtuzumab 12 mg/day at
baseline (5 days) and Month 12 (3 days) or subcutaneous interferon beta-1a 44 ug
three times/week. QoL was measured every 6 or 12 months using Functional
Assessment of Multiple Sclerosis (FAMS), European Quality of Life-5 Dimensions
(EQ-5D) and its visual analog scale (EQ-VAS), and 36-Item Short-Form Survey (SF
36). RESULTS: Statistically significant improvements from baseline with
alemtuzumab were observed on all three QoL instruments at the earliest post
baseline assessment and sustained through Year 2. Statistically significant
greater QoL improvements over subcutaneous interferon beta-1a were seen at all
time points in CARE-MS II with FAMS, EQ-VAS and SF-36 physical component summary,
and in CARE-MS I with FAMS. CONCLUSION: Patients treated with alemtuzumab had
improvements in physical, mental, and emotional QoL regardless of treatment
history. Improvements were significantly greater with alemtuzumab versus
subcutaneous interferon beta-1a on both disease-specific and general measures of
QoL.
PMID- 27885062
TI - Quantifying risk of early relapse in patients with first demyelinating events:
Prediction in clinical practice.
AB - BACKGROUND: Characteristics at clinically isolated syndrome (CIS) examination
assist in identification of patient at highest risk of early second attack and
could benefit the most from early disease-modifying drugs (DMDs). OBJECTIVE: To
examine determinants of second attack and validate a prognostic nomogram for
individualised risk assessment of clinical conversion. METHODS: Patients with CIS
were prospectively followed up in the MSBase Incident Study. Predictors of
clinical conversion were analysed using Cox proportional hazards regression.
Prognostic nomograms were derived to calculate conversion probability and
validated using concordance indices. RESULTS: A total of 3296 patients from 50
clinics in 22 countries were followed up for a median (inter-quartile range
(IQR)) of 1.92 years (0.90, 3.71). In all, 1953 (59.3%) patients recorded a
second attack. Higher Expanded Disability Status Scale (EDSS) at baseline, first
symptom location, oligoclonal bands and various brain and spinal magnetic
resonance imaging (MRI) metrics were all predictors of conversion. Conversely,
older age and DMD exposure post-CIS were associated with reduced rates.
Prognostic nomograms demonstrated high concordance between estimated and observed
conversion probabilities. CONCLUSION: This multinational study shows that age at
CIS onset, DMD exposure, EDSS, multiple brain and spinal MRI criteria and
oligoclonal bands are associated with shorter time to relapse. Nomogram
assessment may be useful in clinical practice for estimating future clinical
conversion.
PMID- 27885063
TI - Closing the gap: Longitudinal changes in employment for Australians with multiple
sclerosis.
AB - BACKGROUND AND OBJECTIVES: Previous studies have documented far lower employment
participation rates for people with multiple sclerosis (PwMS) compared to the
general population. In a large national sample of PwMS, we examined employment
status, longitudinal changes in employment and the provision of modifications to
work role/environment from 2010 to 2013. METHODS: Employment data were collected
through the Australian MS Longitudinal Study from 2010 to 2013, with 1260 people
responding to all four surveys. Employment rates were compared with the
Australian general population. The survey included questions on the provision of
modifications to employees' work role and work environment. RESULTS: Employment
(full- and part-time) increased from 48.8% in 2010 to 57.8% in 2013, mainly due
to increases in male full-time employment. The employment gap between PwMS and
the general population fell from 14.3% in 2010 to 3.5% in 2013. Male employment
rates, however, remain significantly lower than the general population. The
majority of PwMS who required adjustments to either their work role or
environment received them. CONCLUSION: The gap in employment between PwMS and the
general population has substantially reduced from 2010 to 2013, with
organisations responding positively to requests for work role/environment
adjustments.
PMID- 27885064
TI - Differential effects of aging on motor and cognitive functioning in multiple
sclerosis.
AB - BACKGROUND: Multiple sclerosis (MS) patients are impaired in motor and cognitive
performance, but the extent to which these deficits are magnified by aging is
unknown. In one prior study, differences in cognitive processing speed between MS
patients and healthy individuals were of similar magnitude across the lifespan.
Here, we have improved on this work by expanding assessment to multiple cognitive
domains and motor functioning. OBJECTIVE: To determine whether the degree of
cognitive and motor dysfunction in MS is magnified with increasing age. METHODS:
In all, 698 MS patients (aged 29-71 years) and 226 healthy controls (HCs; aged 18
72 years) completed neuroperformance tests covering ambulation, upper extremity
function, information processing speed, and memory. RESULTS: Linear regression
models predicting cognitive and motor function revealed main effects of MS/HC
diagnosis, age, and education across all measures. There was also an interaction
between age and diagnosis on measures of motor function, but not on cognitive
outcomes. CONCLUSION: The progression of motor decline is amplified by aging in
MS. However, the degree of cognitive impairment does not vary across the
lifespan. Thus, evidence of accelerated cognitive impairment in older adults with
MS may signal the presence of other age-related cognitive pathologies.
PMID- 27885065
TI - Effectiveness of mycophenolate mofetil as first-line therapy in AQP4-IgG, MOG
IgG, and seronegative neuromyelitis optica spectrum disorders.
AB - OBJECTIVE: To evaluate the effectiveness and tolerance of mycophenolate mofetil
(MMF) as a first-line treatment in neuromyelitis optica spectrum disorder
(NMOSD). METHODS: In all, 67 NMOSD patients treated by MMF as first-line therapy,
from the NOMADMUS cohort were included. A total of 65 fulfilled 2015 NMOSD
criteria, and 5 were myelin oligodendrocyte glycoprotein (MOG)-immunoglobulin G
(IgG) positive. Effectiveness was evaluated on percentage of patients continuing
MMF, percentage of patients free of relapse, pre- and post-treatment change in
the annualized relapse rate (ARR), and Expanded Disability Status Scale (EDSS).
RESULTS: Among 67 patients, 40 (59.7%) continued treatment till last follow-up. A
total of 33 (49.3%) were relapse-free. The median ARR decreased from one pre
treatment to zero post-treatment. Of 53 patients with complete EDSS data, the
score improved or stabilized in 44 (83%; p < 0.05). Effectiveness was observed in
aquaporin-4 (AQP4)-IgG (57.8% continued treatment, 46.7% relapse-free), MOG-IgG
(3/5 continued treatment, 4/5 relapse-free), and seronegative NMOSD (64.7%
continued treatment, 61.3% relapse-free). In 16 patients with associated
steroids, 13 (81.2%) continued MMF till last follow-up versus 15 of 28 (53.6%) in
the non-steroid group. Nine patients discontinued treatment for tolerability
purpose. CONCLUSION: MMF showed effectiveness and good tolerability as a first
line therapy in NMOSD, whatever the AQP4-IgG status. Concomitant use of oral
steroids at start could limit the risk of treatment failure.
PMID- 27885067
TI - Repeat infection with Neisseria gonorrhoeae among active duty U.S. Army
personnel: a population-based case-series study.
AB - Little information is known on the rate of repeat gonorrhea infection among U.S.
military personnel. We analyzed all gonorrhea cases reported to the Defense
Medical Surveillance System during 2006-2012 to determine the rate of repeat
infection. During the seven-year study period, 17,602 active duty U.S. Army
personnel with a first incident gonorrhea infection were reported. Among the 4987
women with a first gonorrhea infection, 14.4% had at least one repeat infection.
Among the 12,615 men with a first gonorrhea infection, 13.7% had at least one
repeat infection. Overall, the rate of repeat gonorrhea infection was 44.5 and
48.9 per 1000 person-years for women and men, respectively. Service members aged
17-19 years (hazard ratio [HR] for women = 1.51; HR for men = 1.71), African
American personnel (HR for women = 1.26; HR for men = 2.17), junior enlisted
personnel (HR for women = 2.64; HR for men = 1.37), and those with one year or
less of service (HR for women = 1.23; HR for men = 1.37) were at higher risk of
repeat infection. The findings from this study highlight the need to develop
targeted prevention initiatives including education, counseling, and retesting to
prevent gonorrhea reinfections among U.S. Army personnel.
PMID- 27885068
TI - Facial Redness Increases Men's Perceived Healthiness and Attractiveness.
AB - Past research has shown that peripheral and facial redness influences perceptions
of attractiveness for men viewing women. The current research investigated
whether a parallel effect is present when women rate men with varying facial
redness. In four experiments, women judged the attractiveness of men's faces,
which were presented with varying degrees of redness. We also examined perceived
healthiness and other candidate variables as mediators of the red-attractiveness
effect. The results show that facial redness positively influences ratings of
men's attractiveness. Additionally, perceived healthiness was documented as a
mediator of this effect, independent of other potential mediator variables. The
current research emphasizes facial coloration as an important feature of social
judgments.
PMID- 27885069
TI - Exploring family stigma among caregivers of persons with Alzheimer's disease: The
experiences of Israeli-Arab caregivers.
AB - Providing care to persons with Alzheimer's disease poses challenges for spouses
and adult children, including experiencing stigmatic beliefs towards themselves
i.e., family stigma. Drawing on the frameworks of ethnicity and stigma and
ethnicity and dementia, the current study explored stigmatic experiences among
Israeli Arab family caregivers of a person with Alzheimer's disease. Three focus
groups with 20 caregivers (adult children and spouses) of persons with
Alzheimer's disease were conducted. Data were analyzed using theory-led thematic
analysis. Caregivers reported experiencing family stigma in two dimensions:
public and affiliate stigma, in both the existence of an attribution process in
which cognitive stereotypes elicit negative and positive emotions which in turn
provoke behavioral attributions, was evident. Family stigma was found to be a
discernible feature of everyday reality among Israeli Arab caregivers of persons
with Alzheimer's disease and stress the importance of developing management
strategies that are tailored to the socio-cultural characteristics of the
caregivers.
PMID- 27885070
TI - PRUDENCE AND CONSERVATISM IN RADIATION PROTECTION: A CASE STUDY.
AB - Prudence is a cornerstone of radiation protection philosophy. However, whilst the
concept is clearly valid at the level of principle, it is nonetheless necessary
to ask 'How should prudence be applied in the context of various practical
situations.' This is perhaps particularly important where exposures are low
within the range of natural background, where changes in the level of protection
will have no meaningful impact whatsoever on the overall exposure level of any
individual. The concept of prudence is applied at a 'top tier' level through the
application of the Linear No Threshold hypothesis. In day-to-day protection
considerations, including many types of dose assessments, the concept of prudence
has translated into the need to take a conservative approach. This paper
considers the combined impact of accumulated layers of conservatism, using the
example of clearance as a case study. It concludes that doses actually resulting
from the clearance process are typically more than two orders of magnitude below
the internationally agreed objective of 'some tens of uSv y-1', which was itself
established on the basis of considerable prudence. The implications of this
outcome are discussed.
PMID- 27885066
TI - Effects in dogs with behavioural disorders of a commercial nutraceutical diet on
stress and neuroendocrine parameters.
AB - The well-being of dogs can be affected by changes in human lifestyle, eating
habits and increased stressors that lead to behavioural disorders including fear,
hyperactivity and anxiety, followed by negative affective moods and poor welfare.
This randomised, controlled clinical evaluation involved 69 dogs, 38 males and 31
females, of different breeds, with behavioural disorders related to anxiety and
chronic stress. They were fed a control diet or a nutraceutical diet (ND group)
for 45 days. Neuroendocrine (serotonin, dopamine, beta-endorphins, noradrenaline
and cortisol) and stress (derivatives of reactive oxygen metabolites (dROMs) and
biological antioxidant potential (BAP)) parameters related to behavioural
disorders were evaluated at the beginning and end of the study period. Results
showed a significant increase in serotonin, dopamine and beta-endorphins plasma
concentrations (*P<0.05, *P<0.05 and **P<0.01, respectively) and a significant
decrease in noradrenaline and cortisol plasma concentrations in the ND group
(*P<0.05). dROMs significantly decreased in the ND group (*P<0.05) while BAP was
not affected. This study demonstrated for the first time that a specific diet
significantly and positively affected neuroendocrine parameters and dROMs. These
results open significant perspectives concerning the use of diet and
nutraceuticals in the treatment of behavioural disorders.
PMID- 27885071
TI - Certified Training for Nuclear and Radioactive Source Security Management.
AB - Radioactive sources are used by hospitals, research facilities and industry for
such purposes as diagnosing and treating illnesses, sterilising equipment and
inspecting welds. Unfortunately, many States, regulatory authorities and
licensees may not appreciate how people with malevolent intentions could use
radioactive sources, and statistics confirm that a number of security incidents
happen around the globe. The adversary could be common thieves, activists,
insiders, terrorists and organised crime groups. Mitigating this risk requires
well trained and competent staff who have developed the knowledge, attributes and
skills necessary to successfully discharge their security responsibilities. The
International Atomic Energy Agency and the World Institute for Nuclear Security
are leading international training efforts. The target audience is a multi
disciplinary group of professionals with management responsibilities for security
at facilities with radioactive sources. These efforts to promote training and
competence amongst practitioners have been recognised at the 2014 and 2016
Nuclear Security and Nuclear Industry Summits.
PMID- 27885072
TI - MONTE CARLO CALIBRATION OF THE WHOLE-BODY COUNTING DETECTION SYSTEM FOR IN VIVO
MEASUREMENT OF PEOPLE INTERNALLY CONTAMINATED WITH 90SR.
AB - This work is focused on numerical calibrations of the body counter for in vivo
measurement of pure beta emitters through the produced bremsstrahlung radiation.
Calibrations were performed using the UPh-02T block whole-body phantom and the
Lawrence Livermore National Laboratory (LLNL) realistic torso phantom. Neither of
these physical phantoms is appropriate for such calibrations; therefore, specific
90Sr sources have been manufactured to be used with the UPh-02T phantom for
experimental measurement followed with Monte Carlo (MC) simulations. Calibrations
with the LLNL torso phantom were carried out solely using MC technique. Different
scenarios of the 90Sr distribution in the human tissues were considered for the
spectrometer calibrations. MC simulations with the LLNL confirmed the
applicability of the UPh-02T with specific 90Sr/90Y sources for experimental
calibrations of the body counters for measurement of pure beta emitters.
Differences in count rates in 50-200 keV for UPh-02T and LLNL were not >25% for
all considered scenarios.
PMID- 27885073
TI - EVALUATION OF EYE LENS DOSES OF INTERVENTIONAL CARDIOLOGISTS.
AB - The effective dose of medical staff members, especially interventional
radiologists and cardiologists, is classified as a relatively high level. We
measured the dose for interventional cardiologists by using optically stimulated
luminescence dosimeters (OSLDs). However, this quantity is not the same as Hp
(3). In experiments, the dose at the eye-lens position of a phantom were measured
using OSLDs and thermoluminescence dosimeters (TLDs). A conversion factor from
dose measured by using TLDs to OSLDs was estimated from these results. In
addition, the eye doses of interventional cardiologists in clinical situations
were measured, and the effect of eyewear on the eye-lens dose was discussed.
PMID- 27885074
TI - Developing an Optimum Protocol for Thermoluminescence Dosimetry with GR-200 Chips
using Taguchi Method.
AB - Thermoluminescence dosimetry (TLD) is a powerful technique with wide applications
in personal, environmental and clinical dosimetry. The optimum annealing, storage
and reading protocols are very effective in accuracy of TLD response. The purpose
of this study is to obtain an optimum protocol for GR-200; LiF: Mg, Cu, P, by
optimizing the effective parameters, to increase the reliability of the TLD
response using Taguchi method. Taguchi method has been used in this study for
optimization of annealing, storage and reading protocols of the TLDs. A number of
108 GR-200 chips were divided into 27 groups, each containing four chips. The
TLDs were exposed to three different doses, and stored, annealed and read out by
different procedures as suggested by Taguchi Method. By comparing the signal-to
noise ratios the optimum dosimetry procedure was obtained. According to the
results, the optimum values for annealing temperature ( degrees C), Annealing
Time (s), Annealing to Exposure time (d), Exposure to Readout time (d), Pre-heat
Temperature ( degrees C), Pre-heat Time (s), Heating Rate ( degrees C/s), Maximum
Temperature of Readout ( degrees C), readout time (s) and Storage Temperature (
degrees C) are 240, 90, 1, 2, 50, 0, 15, 240, 13 and -20, respectively. Using the
optimum protocol, an efficient glow curve with low residual signals can be
achieved. Using optimum protocol obtained by Taguchi method, the dosimetry can be
effectively performed with great accuracy.
PMID- 27885075
TI - SUITABILITY OF PORTABLE RADIONUCLIDE IDENTIFIERS FOR EMERGENCY INCORPORATION
MONITORING.
AB - The suitability of portable nuclide inspectors for incorporation measurements
were tested with three probes (LaBr3(Ce), NaI(Tl) and HPGe) differing in
sensitive volume and energy resolution. The efficiencies for the measurement of
whole-body and lung radionuclide burden were calibrated using a whole-body block
phantom with traceable radionuclide sources of 60Co, 133Ba, 137Cs, 152Eu and 40K.
A standing geometry was chosen as it allows rapid positioning of persons for the
measurements. Decision and detection limits were determined for the unshielded
detector in a normal laboratory radiation environment according to ISO 11929 for
134Cs, 137Cs and 60Co. The detection limits of all three probes were
significantly higher compared to well-shielded dedicated whole-body monitors
(HPGe and NaI(Tl)) using a sitting geometry. Nevertheless, lung and whole-body
burdens derived from dose constraints for routine and emergency conditions could
be measured with all three probes with a counting time of one minute.
PMID- 27885076
TI - Estimates of Radiation Effects on Cancer Risks in the Mayak Worker, Techa River
and Atomic Bomb Survivor Studies.
AB - For almost 50 y, the Life Span Study cohort of atomic bomb survivor studies has
been the primary source of the quantitative estimates of cancer and non-cancer
risks that form the basis of international radiation protection standards.
However, the long-term follow-up and extensive individual dose reconstruction for
the Russian Mayak worker cohort (MWC) and Techa River cohort (TRC) are providing
quantitative information about radiation effects on cancer risks that complement
the atomic bomb survivor-based risk estimates. The MWC, which includes ~26 000
men and women who began working at Mayak between 1948 and 1982, is the primary
source for estimates of the effects of plutonium on cancer risks and also
provides information on the effects of low-dose rate external gamma exposures.
The TRC consists of ~30 000 men and women of all ages who received low-dose-rate,
low-dose exposures as a consequence of Mayak's release of radioactive material
into the Techa River. The TRC data are of interest because the exposures are
broadly similar to those experienced by populations exposed as a consequence of
nuclear accidents such as Chernobyl. In this presentation, it is described the
strengths and limitations of these three cohorts, outline and compare recent
solid cancer and leukemia risk estimates and discussed why information from the
Mayak and Techa River studies might play a role in the development and refinement
of the radiation risk estimates that form the basis for radiation protection
standards.
PMID- 27885077
TI - HIGHLIGHTS OF THE RUSSIAN HEALTH STUDIES PROGRAM AND UPDATED RESEARCH FINDINGS.
AB - Recognized for conducting cutting-edge science in the field of radiation health
effects research, the Department of Energy's (DOE) Russian Health Studies Program
has continued to generate excitement and enthusiasm throughout its 23-year
mission to assess worker and public health risks from radiation exposure
resulting from nuclear weapons production activities in the former Soviet Union.
The three goals of the Program are to: (1) clarify the relationship between
health effects and chronic, low-to-medium dose radiation exposure; (2) estimate
the cancer risks from exposure to gamma, neutron, and alpha radiation; and (3)
provide information to the national and international organizations that
determine radiation protection standards and practices. Research sponsored by
DOE's Russian Health Studies Program is conducted under the authority of the
Joint Coordinating Committee for Radiation Effects Research (JCCRER), a bi
national committee representing Federal agencies in the United States and the
Russian Federation. Signed in 1994, the JCCRER Agreement established the legal
basis for the collaborative research between USA and Russian scientists to
determine the risks associated with working at or living near Russian former
nuclear weapons production sites. The products of the Program are peer-reviewed
publications on cancer risk estimates from worker and community exposure to
ionizing radiation following the production of nuclear weapons in Russia. The
scientific return on investment has been substantial. Through 31 December 2015,
JCCRER researchers have published 299 peer-reviewed publications. To date, the
research has focused on the Mayak Production Association (Mayak) in Ozersk,
Russia, which is the site of the first Soviet nuclear weapons production
facility, and people in surrounding communities along the Techa River. There are
five current projects in the Russian Health Studies Program: two radiation
epidemiology studies; two historical dose reconstruction studies and a worker
biorepository. National and international standard-setting organizations use
cancer risk estimates computed from epidemiological and historical dose
reconstruction studies to validate or revise radiation protection standards. An
overview of the most important research results will be presented.
PMID- 27885078
TI - The International Nuclear Workers Study (Inworks): A Collaborative
Epidemiological Study to Improve Knowledge About Health Effects of Protracted Low
Dose Exposure.
AB - INWORKS is a multinational cohort study, gathering 308 297 workers in the nuclear
industry in France, the United Kingdom and the United States of America, with
detailed individual monitoring data for external exposure to ionising radiation.
Over a mean duration of follow-up of 27 y, the number of observed deaths was 66
632, including 17 957 deaths due to solid cancers, 1791 deaths due to
haematological cancers and 27 848 deaths due to cardiovascular diseases. Mean
individual cumulative external dose over the period 1945-2005 was 25 mSv.
Analyses demonstrated a significant association between red bone marrow dose and
the risk of leukaemia (excluding chronic lymphocytic leukaemia) and between colon
dose and the risk of solid cancers. INWORKS assembled some of the strongest
evidence to strengthen the scientific basis for the protection of adults from low
dose, low-dose rate, exposures to ionising radiation.
PMID- 27885079
TI - The Work of ICRP on the Ethical Foundations of the System of Radiological
Protection.
AB - The International Commission on Radiological Protection (ICRP) has established
Task Group 94 (TG 94) to develop a publication on the ethical foundations of the
system of radiological protection aiming to consolidate the basis of ICRP's
recommendations, to improve the understanding of the system and to provide a
basis for communication on radiation risk and its perception. Through the review
of the publications of the Commission and the conduct of a series of workshops,
TG 94 has identified the key components of the ethical theories and principles
relevant to the system of radiological protection. The purpose of eliciting the
ethical values underpinning the system of radiological protection is not only to
clarify the rationale of the recommendations made by the Commission, but also to
assist in discussions related to its practical implementation. The report nearing
completion by TG 94 will present the key steps concerning the scientific, ethical
and practical evolutions of the system of radiological protection since the first
ICRP publication in 1928, describe the core ethical values underpinning the
present system and address the key procedural aspects for its implementation.
PMID- 27885080
TI - IMPORTANCE OF ENGAGING IN DIALOGUE WITH THE POPULATION AFTER A NUCLEAR ACCIDENT.
AB - Human behaviour is primarily driven by perceptions and this is particularly
important in the aftermath of a nuclear accident. One of the main lessons we can
draw from the Chernobyl and Fukushima accidents is that once the acute phase of
the accident is over, it is important to engage in dialogue with the affected
population. Science-based government measures, imposed from above, give rise to
much opposition. Examples of this are the aversion of having to live in a
contaminated territory, the reluctance of consumers to buy even slightly
contaminated food and the opposition of most evacuees to return to their old
homes. The continuing controversy within the scientific community about low-dose
risks, which results in conflicting messages to the population, is also not very
helpful. A way to deal with these problems is by empowering the affected
population by establishing a kind of formal consultation structure funded by the
authorities but operated by the local community. This will give the population
the feeling of having some control over the situation. Such a participatory
approach is very demanding for the authorities, but is likely to change the state
of mind of the affected people from victims to survivors.
PMID- 27885081
TI - NUCLEAR NEW BUILD-INTEGRATING CULTURAL DIFFERENCES IN RADIATION PROTECTION.
AB - Across the world, we are seeing a resurgence in Nuclear New Build. In the UK
alone, plans are under way for the construction of 10 new reactors, using 4
different reactor designs all of which are to be provided by foreign vendors, and
operated by 3 newly formed licensees within the UK. As these new licensees embark
on the task of establishing themselves and progressing the design and build of
these reactors, there are challenges faced in integrating the Radiation
Protection Requirements and Culture from the various Foreign Investors and
Vendors into the UK 'Context'. The following paper identifies the origin of the
Radiation Protection Requirements within the UK and foreign investor/vendor
countries, in an attempt to integrate them into the UK licensing and approval
process. Thus, allowing due credit to be taken for the regulatory regime of the
foreign countries where these reactors originate.
PMID- 27885082
TI - THE SIZE-SPECIFIC DOSE ESTIMATE (SSDE) FOR TRUNCATED COMPUTED TOMOGRAPHY IMAGES.
AB - The purpose of this study is to investigate truncated axial computed tomography
(CT) images in the clinical environment and to produce correction factors for
abdomen, thoracic and head regions based on clinical data, in order to accurately
predict the water-equivalent diameter (DW) and size-specific dose estimate
(SSDE). We investigated axial images of 75 patients who underwent CT
examinations. Truncated axial images were characterized by the truncation
percentage (TP). Correction factors were calculated by using the value of DW for
a certain TP (truncated image) divided by the value of DW for TP = 0% (the non
truncated image). Most of the thorax images acquired for this study were
truncated images (86.2%), in the abdomen region about half of the images were
truncated (48.1%), and in the head region only a small portion were truncated
(9.1%). In the thorax region the value of TP for the truncated images varied up
to 50%, in the abdomen region it varied up to 35%, and in the head region it was
smaller than 10%. We have shown how to accurately estimate DW and SSDE by
applying a correction factor to the truncated images. The correction factors
increase exponentially with increasing TP. The corrected DW and SSDE for the
truncated images were significant in the thoracic region, but were not
significant in the abdomen and head regions.
PMID- 27885083
TI - Internal Dose Assessment of New 177Lu-Radiopharmaceuticals and Its Role in
Radiation Protection of Patients.
AB - Since 2006, CNEA has been conducting studies on the production, labeling, quality
control and NIH mice biodistribution of several radiopharmaceuticals based on
177Lu locally produced. In order to contribute to ensuring the radiation
protection of patients, the Internal Dosimetry Laboratory of the ARN has been
complementing the preclinical study performing a dose assessment. This assessment
included: the activity biodistribution analysis in NIH mice, the estimation of
the absorbed dose, the extrapolation of the results to humans, the identification
of healthy organs with high risk and the estimation of the maximum activity that
can be administered to a patient without exceeding the threshold of radiotoxicity
in healthy organs. It can be observed that internal dosimetry assessment has an
important role for ensuring radiation protection in patients, since treatment
parameters can be optimized out of the estimation of the maximum activity to be
administered to the patient.
PMID- 27885085
TI - IMMUNOLOGICAL MONITORING OF THE PERSONNEL AT RADIATION HAZARDOUS FACILITIES.
AB - The study of possible mechanisms resulting in changes in the immune system after
exposure to ionizing radiation is an area that has not been thoroughly evaluated
during recent years. This article presents an overview of immunological
monitoring studies of personnel from the radiation-hazardous factories that took
place over the past 20 years in Russia. The methodology of these studies is based
on: (1) the preclinical evaluation of immune status of workers whose occupation
involves potential exposure to ionizing radiation; (2) selecting at risk groups
according to the nature of immune deficiency manifestation; and (3) studying the
changes of immune status of employees with regard to the potential effects of
radiation exposure. The principal aim of these studies is accumulation of new
data on the impact of radiation exposure on the human immune system and search
for the relationship between the clinical manifestations of immune disorders and
laboratory parameters of immunity to improve the monitoring system of the health
status of the professional workers involved in radiation-hazardous industrial
environments and the population living close to these facilities.
PMID- 27885084
TI - Analysis of Neutron Production in Passively Scattered Ion-Beam Therapy.
AB - A new treatment facility for heavy ion therapy since 2010 was constructed. In the
broad beam, a range shifter, ridge filter and multi leaf collimator (MLC) for the
generation of the spread-out Bragg peak is used. In this case, secondary neutrons
produced by the interactions of the ion field with beam-modifying devices (e.g.
double-scattering system, beam shaping collimators and range compensators) are
very important for patient safety. Therefore, these components must be carefully
examined in the context of secondary neutron yield and associated secondary
cancer risk. In this article, Monte Carlo simulation has been carried out with
the FLUktuierende KAskade particle transport code, the fluence and distribution
of neutron generation and the neutron dose equivalent from the broad beam
components are compared using carbon and proton beams. As a result, it is
confirmed that the yield of neutron production using a carbon beam from all
components of the broad beam was higher than using a proton beam. The ambient
dose by neutrons per heavy ion and proton ion from the MLC surface was 0.12-0.18
and 0.0067-0.0087 pSv, respectively, which shows that heavy ions generate more
neutrons than protons. However, ambient dose per treatment 2 Gy, which means
physical dose during treatment by ion beam, is higher than carbon beam because
proton therapy needs more beam flux to make 2-Gy prescription dose. Therefore,
the neutron production from the MLC, which is closed to the patient, is a very
important parameter for patient safety.
PMID- 27885086
TI - Comparison of Primary Doses Obtained in Three 6 MV Photon Beams Using a Small
Attenuator.
AB - 17: It is a common technique in radiotherapy treatment planning systems to
simplify the calculations by splitting the radiation beam into two components:
namely the primary and scattered components. The contributions of the two
components are evaluated separately and then summed to give the dose at the point
of interest. Usually, the primary dose is obtained experimentally by
extrapolating the ionization measured within the medium to zero-field size
(Godden, Gamma radiation from cobalt 60 teletherapy units. Br. J. Radiol. Suppl.
, 45(1983)). This approach offers the opportunity to obtain the primary component
of dose without the need for an uncertain non-linear extrapolation. The primary
dose can be obtained from two measurements of ionization in a large beam in a
water phantom, as well as four measurements of ionization in a narrow beam
geometry. The measurements were done over a range of different depths and thus
the primary linear attenuation coefficient was also obtained. The calibrated
output of a linear accelerator is usually 1.00 Gy per 100 monitor units (MU) at
the depth of maximum dose ( d max ) in water for a 10 cm * 10 cm field. The
values for the primary dose components at d max in a 10 cm * 10 cm field obtained
in three different 6 MV beams using this method are D P ( d max , 10 cm * 10 cm)
= 0.925-0.943 Gy/100 MU. The obtained values of the primary dose components
compare well with measurements in the same beams extrapolated to zero-field size
and also to literature. One can thus conclude that this method has the potential
to provide an independent measurable verification of calculations of primary
dose.
PMID- 27885087
TI - LONG-TERM ELECTROMAGNETIC FIELD MEASUREMENT AND ASSESSMENT FOR A SHOPPING MALL.
AB - As a result of the dense deployment of wireless devices and base stations,
measuring and evaluating the electromagnetic (EM) exposure levels they emit have
become important to human health especially if they exceed the limits defined in
the standards. Base stations, Wi-Fi equipment and other electronic devices are
used heavily, especially in densely crowded places like shopping centers. In this
study, electric field strength (E) measurements were conducted at one of the
largest shopping malls in Turkey. Broadband E measurements were performed using
PMM 8053 EM field strength meter for 24 h a day for the duration of one week
while frequency selective measurements were carried out with SRM-3006 EM field
strength meter. It is concluded from the measurements that the mean measured
total E in the band between 100 kHz and 3 GHz is 0.59 V/m while the maximum E is
7.88 V/m, which are both below the limit determined by International Commission
on Non-Ionizing Radiation Protection. Evolutions show that E can increase by up
to 55% during the daytime. Analyses demonstrate that 71.3% of total E is caused
by UMTS2100, 16.3% is produced by GSM900, 6.2% by LTE, 3.5% by Wi-Fi, and 2.7% is
generated by devices that use the remaining frequency bands. Based on the
detailed statistical analysis of long-term E measurement results, it can be
concluded that the measured E levels are not in normal distribution and that they
are statistically different with respect to days. Furthermore, distribution of E
can be best modeled with the non-parametric approach.
PMID- 27885088
TI - Survey of Effective Doses to Patients Undergoing Contrast-Based X-ray Fluoroscopy
Procedures in Tanzania.
AB - The aim of this study was to assess the radiation burden imparted to patients
from contrast-based X-ray fluoroscopy procedures in Tanzania. The effective doses
(EDs) to patients from five contrast-based fluoroscopy procedures were obtained
from four hospitals. The ED was estimated using the knowledge of the patient
characteristics, patient-related exposure parameters, measurements of air kerma
area product and PCXCM software. The median EDs for the barium swallow (BS),
barium meal (BM), barium enema (BE), hysterosalpingography (HSG) and retrograde
urethrography (RUG) were 0.50, 1.43, 2.83, 0.65 and 0.59 mSv, respectively. The
median ED per hospital for the BS and BM procedures varied by factors of up to
9.9 and 4.2, respectively, while for the BE, HSG and RUG varied by factors of up
to 2.3, 2.4 and 4.3, respectively. The overall differences between individual EDs
across the four hospitals varied by factors of up to 53, 58.9 and 11.4 for the
BS, BM and BE, respectively, while for the HSG and RUG differed by factors of up
to 22 and 46.7, respectively. The mean EDs in this study were mostly lower than
reported values from Spain, the UK, Ghana and Greece, while slightly higher than
those reported from India. The observed wide variations of procedural protocols
and patient doses within and across the hospitals; and the observed high patient
doses in this study relative to those from the literature call for the need to
standardize procedural protocols and optimize contrast-based fluoroscopy
procedures.
PMID- 27885089
TI - SLAYING THE DRAGON-THE STORY OF ONE FPSO, 20 VIETNAMESE OPERATORS AND 3 CONCRETE
MIXERS.
AB - End of life of a floating production, storage and offloading (FPSO) facility
requires a lot of planning and management. One of the major challenges is the
issue of decontamination and waste management. Waste disposal is a very sensitive
subject and with agreements like the London Protocol and differences in
legislation between countries, it has the potential to become a major stumbling
block. Radiation safety is something not often on the mind of an FPSO operator.
The planning and layout of such a vessel and its processing plant have usually
not gone through any as low as reasonably achievable process during design.
Planning the decontamination of such a vessel should start long before the actual
decommissioning date. Performing regular vessel cleanouts and radiological
profiling of the plant can be beneficial in the end. Finding a workable solution
in getting naturally occurring radioactive material contaminated waste out of the
vessels and tanks and effectively reducing the waste volumes for end of life
clean-up is very important.
PMID- 27885090
TI - THE IMPACT OF CIRCADIAN RHYTHMS ON MEDICAL IMAGING AND RADIOTHERAPY REGIMES FOR
THE PAEDIATRIC PATIENT.
AB - Daily rhythmic changes are found in cellular events in cell cycle, DNA repair,
apoptosis and angiogenesis in both normal and tumour tissue, as well as in
enzymatic activity and drug metabolism. In this paper, we hypothesize that
circadian rhythms need to be considered in radiation protection and optimization
in personalized medicine, especially for paediatric care. The sensitivity of the
eye lens to ionizing radiation makes the case for limiting damage to the lens
epithelium by planning medical radio-imaging procedures for the afternoon, rather
than the morning. Equally, the tumour and normal tissue response to radiotherapy
is also subject to diurnal variation enabling optimization of time of treatment.
PMID- 27885092
TI - Nuclear and Radiological Preparedness: The Achievements of the European Research
Project PREPARE.
AB - The PREPARE project aimed closing gaps identified in nuclear and radiological
preparedness in Europe following the first evaluation of the Fukushima disaster.
With 46 partners from Europe and Japan, it collected the key players in the area
of emergency management and rehabilitation preparedness. Starting from February
2013, the project ended in January 2016. Among others, the project reviewed
existing operational procedures for long-lasting releases, cross-border problems
in radiation monitoring and food safety and further developed missing
functionalities in decision support systems ranging from improved source term
estimation and dispersion modelling to the inclusion of hydrological pathways for
European water bodies. In addition, a so-called Analytical Platform has been
developed to explore the scientific and operational means to improve information
collection, information exchange and the evaluation of such types of disasters.
The tools developed within the project will be partly integrated into the
decision support systems ARGOS and JRODOS.
PMID- 27885091
TI - INTERNATIONAL STANDARDS ON FOOD AND ENVIRONMENTAL RADIOACTIVITY MEASUREMENT FOR
RADIOLOGICAL PROTECTION: STATUS AND PERSPECTIVES.
AB - Radiological protection is a matter of concern for members of the public and thus
national authorities are more likely to trust the quality of radioactivity data
provided by accredited laboratories using common standards. Normative approach
based on international standards aims to ensure the accuracy or validity of the
test result through calibrations and measurements traceable to the International
System of Units. This approach guarantees that radioactivity test results on the
same types of samples are comparable over time and space as well as between
different testing laboratories. Today, testing laboratories involved in
radioactivity measurement have a set of more than 150 international standards to
help them perform their work. Most of them are published by the International
Standardization Organization (ISO) and the International Electrotechnical
Commission (IEC). This paper reviews the most essential ISO standards that give
guidance to testing laboratories at different stages from sampling planning to
the transmission of the test report to their customers, summarizes recent
activities and achievements and present the perspectives on new standards under
development by the ISO Working Groups dealing with radioactivity measurement in
connection with radiological protection.
PMID- 27885093
TI - PROPOSALS FOR THE ESTABLISHMENT OF NATIONAL DIAGNOSTIC REFERENCE LEVELS FOR
RADIOGRAPHY FOR ADULT PATIENTS BASED ON REGIONAL DOSE SURVEYS IN RUSSIAN
FEDERATION.
AB - In 2009-2014, dose surveys aimed to collect adult patient data and parameters of
most common radiographic examinations were performed in six Russian regions.
Typical patient doses were estimated for the selected examinations both in
entrance surface dose and in effective dose. 75%-percentiles of typical patient
effective dose distributions were proposed as preliminary regional diagnostic
reference levels (DRLs) for radiography. Differences between the 75%-percentiles
of regional typical patient dose distributions did not exceed 30-50% for the
examinations with standardized clinical protocols (skull, chest and thoracic
spine) and a factor of 1.5 for other examinations. Two different approaches for
establishing national DRLs were evaluated: as a 75%-percentile of a pooled
regional sample of patient typical doses (pooled method) and as a median of 75%
percentiles of regional typical patient dose distributions (median method).
Differences between pooled and median methods for effective dose did not exceed
20%. It was proposed to establish Russian national DRLs in effective dose using a
pooled method. In addition, the local authorities were granted an opportunity to
establish regional DRLs if the local radiological practice and typical patient
dose distributions are significantly different.
PMID- 27885094
TI - NORWEGIAN-RUSSIAN COOPERATION IN NUCLEAR LEGACY REGULATION: CONTINUING EXPERIENCE
AND LESSONS.
AB - Safe management of nuclear legacies arising from past activities is a critical
issue in maintaining confidence for the continuing and future use of radioactive
materials. Effective and efficient regulatory supervision of nuclear legacy
management is a critical part of that process. The Norwegian Radiation Protection
Authority plays an active role in bilateral regulatory cooperation projects with
sister authorities in the Russian Federation, as part of Norway's Plan of Action
to improve radiation and nuclear safety. Based on this experience and by
reference to specific legacy sites and facilities, this study provides an
overview of the substantial progress made in remediation at the Site of Temporary
Storage for spent fuel and radioactive waste at Andreeva Bay and presents
radiation protection issues arising from the experience and lessons learned in
this work. It is suggested that this experience can be useful in the further
development of international recommendations, standards and guidance on
remediation of nuclear legacies.
PMID- 27885095
TI - REMEDIATION OF RADIUM LEGACIES FROM THE SWISS WATCH INDUSTRY.
AB - Discovery of radioactive radium contaminations in a former landfill site in Biel
was made in 2014. Following this, it was decided to search for and remediate
sites that had possibly been contaminated with radium as a result of its use in
the watchmaking industry between 1920 and 1960. This work describe the general
approach to identify survey and remediate affected sites. The methods is based on
the concept of existing exposure situations as developed by the International
Commission on Radiological Protection, and is supported by an action plan for
radium 2015-2019 approved by the Federal Council in 2015. The plan comprises four
steps: the search for potentially contaminated sites, the measurement and
assessment of each site, the remediation of those sites where the public would be
exposed to an annual dose higher than 1 mSv, and actions to secure the landfill
sites. The arrangements for each step are described in the present article. The
measurement and remediation procedures imply intrusions into the privacy of the
inhabitants. This requires the public authorities to actively inform the
population and to develop an effective and transparent means of communication.
The actions developed for this are also described.
PMID- 27885096
TI - PROMOTING FLUOROSCOPIC PERSONAL RADIATION PROTECTION EQUIPMENT: UNFAMILIARITY,
FACTS AND FEARS.
AB - An incomplete understanding of risk can cause inappropriate fear. Personal
protective equipment (PPE) offered for the prevention of brain cancer in
interventional fluoroscopists (IR-PPE). Similar items are offered for cell-phone
use (RF-PPE). Publications on fluoroscopy staff brain cancer and similar papers
on cell-phone induced brain cancer were reviewed. An internet safety product
search was performed, which resulted in many tens of thousands of hits. Vendor
claims for either ionizing radiation or radio frequency products seldom addressed
the magnitude of the risk. Individuals and institutions can buy a wide variety of
safety goods. Any purchase of radioprotective equipment reduces the funds
available to mitigate other safety risks. The estimated cost of averting an
actuarial fatal brain cancer appears to be in the order of magnitude $10 000 000
$100 000 000. Unwarranted radiation fears should not drive the radiation
protection system to the point of decreasing overall safety.
PMID- 27885097
TI - RESULTS OF RELID STUDY 2014-BUENOS AIRES, ARGENTINA RETROSPECTIVE EVALUATION OF
LENS INJURIES AND DOSE.
AB - High levels of scatter radiation in catheterization laboratories may lead to
posterior subcapsular opacities in the lens of the staff. The international
Retrospective Evaluation of Lens Injuries and Dose (RELID) was performed in
Argentina for the first time in 2010 in the context of the congress of the Latin
American Society of Interventional Cardiology (SOLACI) and recently, in 2014, was
carried out for the second time (SOLACI-CACI 2014). The 2014 study included 115
participants: interventional cardiologists, technicians and nurses. Posterior
subcapsular lens changes typical of ionizing radiation exposure were found in
91.5% of interventional cardiologists, in 77% of technicians and in 100% of
nurses, according to the Merriam-Focht scale. This RELID study (Argentina 2014)
has particular importance since it allowed the follow-up of 10 professionals
evaluated in 2010. The results obtained in the study population highlight the
importance of the availability and proper use of the elements of radiation
protection, as well as staff training.
PMID- 27885098
TI - Energy costs and performance of transfemoral amputees and non-amputees during
walking and running: A pilot study.
AB - BACKGROUND: Limited information is available concerning the effects of prosthetic
foot components on energy costs and ambulatory performance for transfemoral
amputees. OBJECTIVES: Compare energy costs (VO2; gait economy) and ambulatory
performance (self-selected walking speeds, self-selected running speeds, peak
running speeds) differences during walking and running for transfemoral amputees
and matched, non-amputee runners. STUDY DESIGN: Repeated measures. METHODS:
Transfemoral amputees were accommodated and tested with three prosthetic feet:
conventional foot, solid-ankle cushioned heel (SACH); energy storing and return
foot, Renegade; and running-specific energy storing and return foot, Nitro.
RESULTS: During walking, VO2 was similar between transfemoral amputees but was
increased compared to controls. Self-selected walking speeds were slower for SACH
compared to Renegade and Nitro. For transfemoral amputees, gait economy was
decreased and self-selected walking speeds were slower compared to controls.
During fixed running speeds, transfemoral amputees ran using Nitro, and VO2 was
greater compared to controls. Transfemoral amputees ran at self-selected running
speeds using Renegade and Nitro. Self-selected running speeds were slower for
Renegade compared to Nitro. For transfemoral amputees, gait economy was decreased
and self-selected running speeds were slower compared to controls. VO2 peak was
similar between transfemoral amputees and controls, but controls achieved greater
peak running speeds and % grade. CONCLUSION: Energy costs were greater and
ambulatory performance was lower for transfemoral amputees compared to matched,
non-amputee controls for all prosthetic foot conditions. Clinical relevance Both
types of energy storing and return feet may improve walking performance for
transfemoral amputees by providing faster self-selected walking speeds. For
transfemoral amputees interested in performing vigorous running (exercise and
running competition), clinicians should recommend a running-specific energy
storing and return foot.
PMID- 27885099
TI - Rotationplasty in adult cancer patients: what is the rehab strategy and what
results can be expected? A case study.
AB - BACKGROUND AND AIM: Rotationplasty is an important and demanding challenge for
physiotherapists. The aim of this paper is to describe the functional outcome
achieved by a patient undergoing rotationplasty in adult age following
osteosarcoma. Case description and Methods: The patient was followed throughout
the rehabilitation course and the results achieved were recorded at 6, 9 and 12
months after surgery. Findings and outcomes: The results progressively improved
in terms of function, functional performance and quality of life. The MSTS and
TESS scales showed an improvement respectively of 20 and 23 percentage points,
reaching levels of 80% and 87%. The quality of life perceived by the patient
increased in the three assessments, the mental health score at one-year follow-up
is higher than that expected for the population. CONCLUSIONS: Rotationplasty,
even in adult age, produces good results and in the treatment of tumours in
adults this operation should be taken into consideration. Clinical relevance
Rotationplasty in adult age following osteosarcoma combined with a rehabilitation
program enabled a patient to reach a successful outcome in terms of functional
performance and quality of life.
PMID- 27885101
TI - Giant Cell Tumor of Talus: T-Construct Method of Bone Grafting.
AB - : Giant cell tumor (GCT) or osteoclastoma is a benign, locally aggressive tumor
with a tendency to recur. Giant cell tumors typically occur in the epiphysis of
long bones, including the distal femur and proximal tibia. They are uncommonly
found in the small bones of the foot or ankle, and involvement of talus is rare.
The authors present a case of GCT of the talar body in a 21-year-old man, which
was diagnosed radiologically by the presence of a well-defined osteolytic lesion
involving more than half of the talar body with thinning of the cortices. An
intralesional curettage and chemical cauterization with phenol was done using a
medial approach following an osteotomy of the medial malleolus for adequate
exposure. Intraoperative frozen section of curetted tissue was sent and was
reported as benign GCT. The residual cavity was packed with autologous
corticocancellous bone grafts fashioned in a T-construct like manner. A
protective cast was applied for a period of 2 months and patient was subsequently
gradually mobilized to full weightbearing status. At 2-year follow-up, there was
no clinical or radiologically evident signs of recurrence. There was good
consolidation of the bone graft in the talus with no signs of collapse of the
weightbearing articular surface. LEVELS OF EVIDENCE: Therapeutic, Level IV: Case
Study.
PMID- 27885100
TI - Temporal analysis of blood-brain barrier disruption and cerebrospinal fluid
matrix metalloproteinases in rhesus monkeys subjected to transient ischemic
stroke.
AB - Blood-brain barrier (BBB) disruption plays an important role in
pathophysiological progress of ischemic stroke. However, our knowledge of the
dynamic change of BBB permeability and its mechanism remains limited. In the
current study, we used a non-human primate (NHP) MCAO model and a serial CSF
sampling method that allowed us to determine the dynamic change of BBB
permeability by calculating the CSF/serum albumin ratio (AR). We showed that AR
increased rapidly and significantly after ischemia, and the fold increase of AR
is highly correlated with the infarction size during the subacute phase.
Moreover, we determined the temporal change of MMP-1, MMP-2, MMP-3, MMP-9, MMP
10, MMP-13, TIMP-1, and TIMP-2 in CSF and serum. Each MMP and TIMP showed
different change patterns when comparing their values in CSF and serum. Based on
the longitudinal dataset, we showed that the fold increase of MMP-9 in serum and
CSF are both correlated to infarction size. Among the measured MMPs and TIMPs,
only MMP-2, MMP-13, and TIMP-2 in CSF correlated with AR to some extent. Our data
suggest there is no single MMP or TIMP fully responsible for BBB breakdown, which
is regulated by a much more complicated signal network and further investigations
of the mechanisms are needed.
PMID- 27885102
TI - Technique Tip: Single-Incision Endoscopic Plantar Fasciotomy.
AB - : Two-incision endoscopic plantar fasciotomy (EPF) is an accepted surgical
technique in the treatment of recalcitrant plantar fasciitis. Single-incision
plantar fasciotomy is a relatively new technique in the surgeons' armamentarium;
however, it is not without pitfalls, specifically poor visualization. This
article aims to help the foot and ankle surgeon make a smooth transition from 2
incision EPF to single-incision EPF while maintaining optimum visualization.
LEVELS OF EVIDENCE: Level V: Expert opinion.
PMID- 27885103
TI - No support for lipid rescue in oral poisoning: A systematic review and analysis
of 160 published cases.
AB - Lipid rescue is used as treatment of various poisonings despite weak scientific
evidence. Some experimental studies have indicated a positive effect, but others
have not. Clinical studies are lacking, wherefore a systematic review of
virtually all published human case reports is presented. The case reports were
searched for in PubMed and Web of Science and examined by two experts according
to an assessment form grading the probability for a causal connection between
lipid rescue and improved symptoms. A total of 160 cases were finally included,
of which 30 had no positive effect of lipid rescue. Among the 130 included cases
with alleged positive effect, 94 were oral poisonings and 36 were cases with
local anesthetic systemic toxicity (LAST). The experts' assessment resulted in a
"certain" causal connection in three cases with LAST but not in oral poisoning.
Moreover, the mean assessment score among the oral poisonings was significantly
worse than the corresponding score in the cases with LAST. The average log p
value of the main toxins among the oral poisonings was significantly lower than
the corresponding p-value in the cases with LAST. Among the oral poisonings, 91%
had received some other resuscitative treatment more or less simultaneously with
lipid rescue. Considering the findings of this study and the increasingly
reported adverse effects of lipid rescue, it's reasonable to strictly limit its
use in clinical practice. We would not recommend it in oral poisonings.
PMID- 27885104
TI - Could vitamin C and zinc chloride protect the germ cells against sodium arsenite?
AB - Arsenic (As) is commonly associated with natural and human processes such as
volcanic emissions, mining and herbicides production, being an important
pollutant. Several studies have associated As intake with male fertility
reduction, thus the aim of the present study was to evaluate whether vitamin C
and/or zinc would counteract As side effects within the testicles. Adult male
Wistar rats were divided into six experimental groups: control, sodium arsenite
(5 mg/kg/day), vitamin C (100 mg/kg/day), zinc chloride (ZnCl2; 20 mg/kg/day),
sodium arsenite + vitamin C and sodium arsenite + ZnCl2. Testicles and epididymis
were harvested and either frozen or routinely processed to be embedded in glycol
methacrylate resin. As reduced the seminiferous epithelium and tubules diameter
due to germ cell loss. In addition, both the round spermatids population and the
daily sperm production were reduced. However, ZnCl2 and vitamin C showed to be
effective against such side effects, mainly regarding to sperm morphology. Long
term As intake increased the proportions of abnormal sperm, whereas the
concomitant intake of As with zinc or vitamin C enhanced the proportions of
normal sperm, showing that such compounds could be used to protect this cell type
against morphological defects.
PMID- 27885106
TI - Publisher's Notice.
PMID- 27885105
TI - Authors' Response to the Comments on "Pattern-Reversal Visual Evoked Potential
Parameters and Migraine in the Teenage Population".
PMID- 27885107
TI - A systematic review of clinical prediction scores for deep vein thrombosis.
AB - Objective Diagnosis of deep vein thrombosis remains a challenging problem.
Various clinical prediction rules have been developed in order to improve
diagnosis and decision making in relation to deep vein thrombosis. The purpose of
this review is to summarise the available clinical scores and describe their
applicability and limitations. Methods A systematic search of PubMed, MEDLINE and
EMBASE databases was conducted in accordance with Preferred Reporting Items for
Systematic Reviews and Meta-Analyses guidance using the keywords: clinical score,
clinical prediction rule, risk assessment, clinical probability, pretest
probability, diagnostic score and medical Subject Heading terms: 'Venous
Thromboembolism/diagnosis' OR 'Venous Thrombosis/diagnosis'. Both development and
validation studies were eligible for inclusion. Results The search strategy
returned a total of 2036 articles, of which 102 articles met a priori criteria
for inclusion. Eight different diagnostic scores were identified. The development
of these scores differs in respect of the population included (hospital
inpatients, hospital outpatients or primary care patients), the exclusion
criteria, the inclusion of distal deep vein thrombosis and the use of D-dimer.
The reliability and applicability of the scores in the context of specific
subgroups (inpatients, cancer patients, elderly patients and those with recurrent
deep vein thrombosis) remains controversial. Conclusion Detailed knowledge of the
development of the various clinical prediction scores for deep vein thrombosis is
essential in understanding the power, generalisability and limitations of these
clinical tools.
PMID- 27885108
TI - Increasing Our Advocacy Capacity Through HIV Community Mobilization: Perspectives
From Emerging and Mid-Career Professionals.
AB - In this commentary, six public health practitioners and researchers discuss how
their participation in the El Paso HIV Community Mobilization effort has
contributed to their professional development and increased their collective
capacity to advocate for practice and policy improvements that contribute to
health equity in general and within the context of HIV prevention. Like previous
commentaries in this department that have highlighted the value of the Certified
Health Education Specialist credential ( http://www.nchec.org/health-education
credentialing ) and the importance of gaining experience in policy advocacy, this
article is relevant for public health professionals in diverse work settings. The
authors hope that their experience will encourage others to participate in
community mobilization efforts, and they welcome communication and collaboration
with anyone interested in learning more about the HIV Community Mobilization
efforts discussed in this commentary.
PMID- 27885111
TI - UK 'on track' to meet antibiotic use targets.
PMID- 27885114
TI - Product recall alert.
PMID- 27885120
TI - Challenges and opportunities of Brexit.
AB - Some of the potential implications of Brexit for the veterinary profession were
highlighted during the BVA Congress at the London Vet Show last week. A session
run jointly by the BVA and the RCVS gave delegates the chance to hear how the two
organisations are approaching the issue and discuss their own concerns. Kathryn
Clark reports.
PMID- 27885123
TI - Walk like a vet, think like an owner.
AB - Owners consulting the internet before venturing into a veterinary practice is a
real issue in today's technological age, but how often do vets step into the
owner's shoes and try to understand why they do so? On the farm, what are the
motivations of the farmer, and are these being listened to by the vet? These were
just some of the questions raised in a session at the BVA Congress during the
London Vet Show last week. Georgina Mills reports.
PMID- 27885124
TI - Moving towards outcomes-based CPD.
AB - ? Pilot trial of an outcomes-based model for CPD? Strategic plan for 2017 to
2019? Changes to the statutory membership examinationThese were among matters
discussed by the RCVS Council at its meeting on November 10. The RCVS President,
Chris Tufnell, chaired the meeting, which was held at Belgravia House, London
SW1P.
PMID- 27885125
TI - Immature rumen fluke cause deaths of ewes.
AB - Ewe deaths due to immature rumen flukeAmyloidosis in a Suffolk-cross
lambHaemonchosis in Valais blacknose ewesDeaths and diarrhoea due to Salmonella
Reading in 26-week-old giltsFeline dysautonomia in a kittenThese are among
matters discussed in the disease surveillance report for August 2016 from SAC
Consulting: Veterinary Services (SAC C VS).
PMID- 27885126
TI - Why is L-2 hydroxyglutaric aciduria relevant for a general practitioner?
PMID- 27885128
TI - Monitoring for re-emergence of Schmallenberg virus.
PMID- 27885131
TI - Promoting the right image?
PMID- 27885133
TI - Trial of gene therapy in diabetic dogs.
PMID- 27885141
TI - Wild animals don't make appointments.
AB - Bev Panto grew up with a keen interest in wildlife, as well as being an active
member of a local conservation group and a 'young ornithologist'. Twenty years
on, she is now a wildlife vet.
PMID- 27885142
TI - Characterisation of ultraviolet-absorbing recalcitrant organics in landfill
leachate for treatment process optimisation.
AB - Organics in leachate from municipal solid waste landfills are notoriously
difficult to treat by biological processes. These organics have high ultraviolet
absorbance and can interfere with the ultraviolet disinfection process at the
wastewater treatment plant that receives leachate if the leachate flow
contribution is large enough. With more wastewater treatment plants switching to
ultraviolet disinfection, landfills face increased pressure to treat leachate
further. This study used size exclusion chromatography, fluorescence spectroscopy
and ultraviolet/Vis spectrophotometry to characterise the bulk organic matter in
raw landfill leachate and the biorecalcitrant organic matter in biologically
treated leachate from the same site. The results indicate that biorecalcitrant
organics have the polyphenolic absorbance peak at 280 nm, fluorescence peak at
280 nm excitation and 315 nm emission, and molecular size range of 1000-7000 Da,
all of which are consistent with lignin. The lignin-like nature of
biorecalcitrant leachate organics is supported by the fact that 30%-50% of
municipal solid waste consists of plant debris and paper products. These findings
shed light on the nature of biorecalcitrant organics in leachate and will be
useful for the design of leachate treatment processes and further research on
leachate treatment methods.
PMID- 27885143
TI - Intrapulmonary Percussive Ventilation as a Lung Recruitment Strategy in Brain
Dead Organ Donors.
AB - OBJECTIVE: To determine the strength of the evidence evaluating the effectiveness
of intrapulmonary percussive ventilation (IPV) as a safe alternative or
adjunctive therapy to traditional chest physiotherapy (CPT) among potential organ
donors. DATA SOURCES: Literature search conducted from February 2015 to November
2015 using PubMed, Cumulative Index of Nursing and Allied Health Literature,
Scopus, and bibliographies of pertinent articles. Search Terms: Intrapulmonary
percussive ventilation, chest physiotherapy, chest wall oscillation, organ
donors, and ventilation. STUDY SELECTION: Articles in English from 1994 to
present directly compared IPV to CPT or conventional (no) therapy. DATA
EXTRACTION: Association of Critical-Care Nurses Levels of Evidence was used to
determine the strength of evidence. Level B and level C articles were reviewed.
DATA SYNTHESIS: No studies were found using IPV in the donor population. Results
from studies using IPV in other populations indicated IPV had no adverse effects,
improved sputum clearance and oxygenation, and reduced atelectasis and pneumonia
in patients with artificial airways. CONCLUSION: Intrapulmonary percussive
ventilation may be a safe and effective alternative or adjunctive to CPT therapy
and improve the number of lungs available for transplantation. Clinical research
is essential to determine the effectiveness of this therapy for lung recruitment
in the donor population.
PMID- 27885144
TI - Treatment of Multiple Myeloma in a Heart Transplant Recipient.
AB - Malignancy following solid organ transplant remains a significant threat to the
survival of cardiac transplant recipients. Plasma cell dyscrasias including
multiple myeloma have been encountered in this population, and medication
treatments traditionally used to treat these disorders demonstrate
immunomodulatory effects that may have implications on the transplanted
allograft. Lenalidomide is an immunomodulatory agent that has been used to treat
plasma cell disorders, including light-chain amyloidosis (AL) and multiple
myeloma, and represents such a class of medications in which the risks and
benefits in the solid organ transplant population remain to be fully elucidated.
This report highlights a clinical practice issue where the treatment of a
patient's multiple myeloma with lenalidomide may have potentiated an episode of
severe acute cellular rejection and further demonstrates the need for future
investigation of the optimal treatment of plasma cell disorders including AL
amyloidosis and multiple myeloma following solid organ transplantation.
PMID- 27885145
TI - Trajectories of Postpartum Depression in Italian First-Time Fathers.
AB - Paternal postpartum depression (PPD) has received little attention compared with
maternal prenatal and postpartum depression, despite research reporting that
paternal PPD concerns a substantial number of fathers. History of depression and
antenatal depression have been identified as important PPD's risk factors,
underlining the continuity of depressive symptoms during the transition to
parenthood. However, only few studies have focused on the evolution of depressive
symptoms with longitudinal research design. The present study aims at analyzing
the longitudinal trajectories of depressive symptoms from the third trimester of
pregnancy to 1 year after childbirth. One hundred and twenty-six first-time
fathers completed the Edinburgh Postnatal Depression Scale at four time points (7
8 months of pregnancy, 40 days, 5-6 months, and 12 months after childbirth). Data
were analyzed throughout latent growth mixture modeling. Latent growth mixture
modeling analysis indicated a three-class model as the optimal solution. The
three-class solution included a trajectory of low, stable depressive symptoms
across the four time points ( resilient, 52%); a trajectory of moderate,
relatively stable depressive symptomatology ( distress, 37%); and a trajectory of
emergent clinical depression following a pattern of high depressive symptoms (
emergent depression, 11%). This study allowed to identify different subpopulation
within the sample, distinguishing among mental well-being, emotional distress,
and high-risk conditions when-1 year after childbirth-fathers report the highest
scores to the Edinburgh Postnatal Depression Scale. These results underline the
importance to analyze fathers' well-being over the time during the transition to
fatherhood.
PMID- 27885146
TI - Men's Educational Group Appointments in Rural Nicaragua.
AB - Men's preventive health and wellness is largely neglected in rural Nicaragua,
where a machismo culture prevents men from seeking health care. To address this
issue, a men's educational group appointment model was initiated at a rural
health post to increase awareness about hypertension, and to train community
health leaders to measure blood pressure. Men's hypertension workshops were
conducted with patient knowledge pretesting, didactic teaching, and posttesting.
Pretesting and posttesting performances were recorded, blood pressures were
screened, and community leaders were trained to perform sphygmomanometry. An
increase in hypertension-related knowledge was observed after every workshop and
community health leaders demonstrated proficiency in sphygmomanometry. In
addition, several at-risk patients were identified and follow-up care arranged.
Men's educational group appointments, shown to be effective in the United States
in increasing patient knowledge and satisfaction, appear to function similarly in
a resource-constrained environment and may be an effective mechanism for reaching
underserved men in Nicaragua.
PMID- 27885147
TI - "You Can't Just Walk Down the Street and Meet Someone": The Intersection of
Social-Sexual Networking Technology, Stigma, and Health Among Gay and Bisexual
Men in the Small City.
AB - Social-sexual networking technologies have been reported to yield both
psychosocial benefits and sexual risks for gay and bisexual men, yet little
research has explored how technology interacts with the social-geographical
environment to shape the health of gay and bisexual men in the relatively
understudied environment of small cities. This article draws on 29 semistructured
interviews examining the use of social-sexual networking technologies among
racially diverse gay and bisexual men in two small cities. Questions probed
participants' use of technology to meet sexual partners, engagement in the gay
community, and the role of virtual and nonvirtual spaces in relation to health.
Findings suggest that social networking technologies can help men navigate the
challenges of small cities, including small and insular gay communities, lack of
dedicated gay spaces, and sexual minority stigma. However, participants also
describe declines in gay community visibility and cohesion, which they attribute
to technology use. The article concludes by discussing the intersections of
virtual and physical space in small cities as sites for the production of health
and illness.
PMID- 27885148
TI - Injury, Interiority, and Isolation in Men's Suicidality.
AB - Men's high suicide rates have been linked to individual risk factors including
history of being abused as a child, single marital status, and financial
difficulties. While it has also been suggested that the normative influences of
hegemonic masculinities are implicated in men's suicide, the gendered experiences
of male suicidality are poorly understood. In the current photovoice study, 20
men who previously had suicidal thoughts, plans, and/or attempts were interviewed
as a means to better understanding the connections between masculinities and
their experiences of suicidality. The study findings revealed injury,
interiority, and isolation as interconnected themes characterizing men's
suicidality. Injury comprised an array of childhood and/or cumulative traumas
that fueled men's ruminating thoughts inhibiting recovery and limiting hopes for
improved life quality. In attempting to blunt these traumas, many men described
self-injuring through the overuse of alcohol and other drugs. The interiority
theme revealed how suicidal thoughts can fuel hopelessness amid summonsing
remedies from within. The challenges to self-manage, especially when experiencing
muddled thinking and negative thought were evident, and led some participants to
summons exterior resources to counter suicidality. Isolation included
separateness from others, and was linked to abandonment issues and not having a
job and/or partner. Self-isolating also featured as a protection strategy to
avoid troubling others and/or reducing exposure to additional noxious stimuli.
The study findings suggest multiple intervention points and strategies, the
majority of which are premised on promoting men's social connectedness. The
destigmatizing value of photovoice methods is also discussed.
PMID- 27885150
TI - Doctor William Gunn (1804-1890): From the South Pacific Islands to Chatham Royal
Dockyard.
AB - Doctor William Gunn had a long and varied career in the Royal Navy. After
spending time on anti-slavery patrols along the west coast of Africa, he was
posted to the south Pacific. At Pitcairn Island, he treated the inhabitants
during an influenza epidemic, proving himself to be a determined and dedicated
practitioner. Subsequently, he was appointed head of the medical department at
Chatham Royal Dockyard (1859-1865), an appointment that coincided with the final
stages of the Royal Navy's transition from sail and wood to steam and iron. The
impact of these changes on the health of dockworkers was quickly felt at Chatham,
and Gunn found himself in charge during the building of the first iron warship in
a royal dockyard. His story thus offers a window through which to observe a
practitioner confronting the health issues and medical uncertainties thrown up by
technological change in the Victorian era.
PMID- 27885151
TI - Sushruta: Father of plastic surgery in Benares.
AB - Sushruta has been regarded as one of the pioneers of surgery. He performed
procedures with crude surgical instruments that paved the path for today's
operations. However, his existence is shrouded in myth and mystery. Sushruta
belonged to a rich heritage of learned scholars and practiced and taught surgery
at Benares University around 600BC. His work is assembled into a monumental
thesis, possibly the first text book on surgery, the 'Sushruta Samhita' where he
describes surgical instruments, procedures, illnesses, medicinal plants and
preparation, dissection and the study of human anatomy, embryology and fractures.
Sushruta is perhaps best known for the nasal reconstruction flap which is still
used in different versions. For all his contributions, he has been aptly titled
'Father of Plastic Surgery'.
PMID- 27885149
TI - Yoshitaka Komiya's visits to China and schistosomiasis investigation.
AB - This article is about a Japanese parasitologist, Yoshitaka Komiya (1900-1976),
who was invited to China for a schistosomiasis investigation in 1956. In 1955,
Chairman Mao initiated a national campaign to eliminate schistosomiasis, which at
that time was still common in southern China, and for this purpose, the People's
Republic of China invited Yoshitaka Komiya to China. He published a report based
on his observations during this visit. This article aims to explore the meaning
of Komiya's visit to the People's Republic of China and his observations about
the anti-schistosomiasis campaign.
PMID- 27885152
TI - First use of ether anaesthesia under combat conditions.
PMID- 27885153
TI - Karl Otto Landsteiner (1868-1943). Physician-biochemist-immunologist.
AB - Karl Landsteiner applied the sciences of biochemistry, pathology, microbiology,
and immunology in medical research to great success during the first half of the
20th century. Although he is principally known for elucidating the major blood
group antigens A and B and their isoantibodies for which he was awarded the Nobel
Prize in Physiology or Medicine, Landsteiner made many other important medical
discoveries. In that respect, he ascertained that paralytic poliomyelitis was due
to a virus, the pancreas was damaged in cystic fibrosis, simple chemicals called
haptens were able to combine with antibodies, and the Rh antigen that was later
found to be the principal cause of hemolytic anemia of the newborn was found in
most humans. Moreover, Landsteiner's book "The Specificity of Serological
Reactions" was a precursor to the molecular revolution in immunology that
occurred after Second World War. Finally, he was one of the leaders of the
American Association of Immunology and of the Journal of Immunology.
PMID- 27885154
TI - The Relationship Between Religiosity and Health-Promoting Behaviors in Pregnant
Women.
AB - Pender's health promotion model guided this descriptive/correlational study
exploring the relationship between religiosity and health-promoting behaviors of
pregnant women at Pregnancy Resource Centers (PRCs). A consecutive sample
included women who knew they were pregnant at least 2 months, could read/write
English, and visited PRCs in eastern Pennsylvania. Participants completed self
report surveys that examined religiosity, demographics, pregnancy-related
variables, services received at PRCs, and health-promoting behaviors. Women
reported they "sometimes" or "often" engaged in health-promoting behaviors,
Hispanic women reported fewer health-promoting behaviors than non-Hispanic women,
and women who attended classes at the centers reported more frequent health
promoting behaviors than those who did not attend classes. In separate multiple
linear regressions, organized, non-organized, and intrinsic religiosity and
satisfaction with surrender to God explained additional variance in health
promoting behaviors above and beyond what Hispanic ethnicity and attending
classes at the PRCs explained in pregnant women at PRCs.
PMID- 27885155
TI - Job Crafting, Employee Well-being, and Quality of Care.
AB - The main objective is to study the effects of job crafting activities of elder
care and nursing home employees on their perceived well-being and quality of care
in two European countries, Spain and Sweden. The Job Crafting, the General
Health, and the Quality of Care questionnaires were administered to 530
employees. Correlations and hierarchical regression analyses were performed.
Results confirm the effects of job crafting on quality of care ( r = .291, p <
.01; beta = .261, p < .01; Delta R2 = .065, p < .01) and employees' well-being (
r = .201, p < .01; beta = .171, p < .01; Delta R2 = .028, p < .01). A positive
linear relationship was found between job crafting and well-being in Spain and
Sweden and with quality of care in Spain. On the contrary, in Sweden, the
relationship between job crafting and well-being was not linear. Job crafting
contributes significantly to employees' and residents' well-being. Management
should promote job crafting to co-create meaningful and productive work. Cultural
effects are proposed to explain the differences found.
PMID- 27885156
TI - Namaste CareTM: A Person-Centered Care Approach for Alzheimer's and Advanced
Dementia.
AB - New methods of care are required to meet the needs of people with dementia and
their caregivers. The Namaste CareTM program provides a person-centered approach
through meaningful activities and loving touch. The purpose of this qualitative
study was to explore the experiences of residents, staff, and family involved in
the Namaste CareTM program at a long-term care facility in the United States. A
descriptive approach was used to interview 14 staff members. The findings
revealed six themes: peaceful sanctuary, relating their way, transforming
experiences, connections and community, positive moments, and awakened to the
possibilities. Results suggest that Namaste CareTM may be useful for individuals
no longer able to participate in traditional long-term care setting activities.
Further studies are indicated to confirm the impact on hospital readmissions,
therapy enhancement, and medication use in relationship to Namaste CareTM program
participation.
PMID- 27885157
TI - Arab American Adolescents' Perceived Stress and Bullying Experiences: A
Qualitative Study.
AB - In 2012, 20% of high school students were bullied in the United States. Bullying
is more prevalent among minority populations. Arab American adolescents receive
little research attention and are described as the invisible population. This
descriptive qualitative study was conducted with 10 Arab American adolescent
bullying victims to describe their bullying experiences and related stress. In
addition to being bullied because of health problems or social disadvantages,
Arab American adolescents reported that they were bullied because of their
ethnic/racial background and religious affiliation. Victims described high stress
levels and anxiety which compromised their ability to function. They reported
feeling sad, angry, overwhelmed, helpless, and hurt when they were bullied. They
also lost control over their lives and self-confidence. Family and friends were
sources of support but school administrators and teachers were not supportive.
Implications for practice and future research were discussed.
PMID- 27885158
TI - Psychosocial work environment in school and students' somatic health complaints:
An analysis of buffering resources.
AB - AIM: This study explores the association between the psychosocial work
environment in school and students' somatic health complaints. With its point of
departure from the Demand-Control-Support (DCS) model, the aim was to examine how
aspects of decision control and social support can moderate stress-related health
implications of high psychological demands. METHODS: Data come from two cross
sectional waves of the Swedish version of Health Behaviour in School-aged
Children (HBSC 2005/2006 and 2009/2010), which consists of a total of 9427 11-,
13- and 15-year-old students. A two-level random intercept model was applied,
with school class as the level 2 unit. RESULTS: Findings showed significant
associations between school demands and somatic health complaints for all studied
age groups, with a slight increase in strength with age. Decision control as well
as social support from teachers, parents and peers consistently predicted a
favorable association with health. An age pattern emerged in the analyses of
stress-moderating resources. For 11 year olds parental support was the only
resource that displayed a significant interaction with demands in relation to
somatic health complaints, whereas for 13 year olds, decision control and support
from teachers and parents all demonstrated moderating effects on student health.
For 15 year olds, however, it was peer support that acted as a buffering resource
in the studied relationship. CONCLUSIONS: The psychosocial work environment is an
important predictor of students' health complaints. Overall, social support was a
better stress-moderating resource than decision control, but some "buffers" were
more important at certain ages than others.
PMID- 27885159
TI - Friendship trust and psychological well-being from late adolescence to early
adulthood: A structural equation modelling approach.
AB - AIMS: This study explored the sex-specific associations between friendship trust
and the psychological well-being of young Swedes from late adolescence to early
adulthood. METHODS: A random sample of native Swedes born in 1990 was surveyed at
age 19 years and again at age 23 years regarding their own well-being and their
relationships with a maximum of five self-named peers. The response rate was
31.3%, resulting in 782 cases to be analysed. We used sex-stratified structural
equation models to explore the associations between trust and well-being.
Psychological well-being was constructed as the latent variable in the
measurement part. The structural part accounted for the autocorrelation of trust
with respect to well-being over time and incorporated the cross-lagged effects
between late adolescence and early adulthood. RESULTS: It was found that trust
increased while well-being decreased for young men and remained stable for young
women from 19 to 23 years of age. The young women reported lower well-being at
both time points, whereas no sex difference was found for trust. Based on model
fit comparisons, a simple model without forward or reward causation was accepted
for young men, whereas reversed causation from well-being to trust was suggested
for young women. Subsequent analysis based on these assumptions confirmed the
reversed effect for young women. CONCLUSIONS: The findings suggest that young
people do not benefit from trustful social relations to the same extent as adult
populations. Young women who express impaired well-being run a greater risk of
being members of networks characterized by low friendship trust over time.
PMID- 27885160
TI - How unemployment and precarious employment affect the health of young people: A
scoping study on social determinants.
AB - BACKGROUND: The impact of unemployment and precarious employment on the health of
young people is not well understood. However, according to social causation,
higher socio-economic positions and thus better working conditions are beneficial
to health in general. We tried to synthesize the results of studies that test
this hypothesis in the case of young people. METHODS: We conducted a scoping
study mapping all the academic articles published in the period 2006-2016 in
Europe. The literature was searched in PubMed/Medline, Science Direct, Web of
Science and Scopus. RESULTS: We identified 1770 studies, of which only 46 met the
inclusion criteria. There are more studies that focus on the relationship between
unemployment and health than between precarious employment and health (28 and 16,
respectively). The vast majority of the studies (44) found support for the social
causation hypothesis, the most common health outcomes being mental health
disorders, health risk behaviour, poor quality of life and occupational injuries.
The causal mechanisms behind this association relied mainly on the life-course
perspective, the breadwinner model, and the lack of social and economic benefits
provided by standard employment. CONCLUSIONS: There is evidence that young people
are especially vulnerable to health problems when unemployed or working in
precarious conditions. Active labour market and training programmes, inclusive
social security measures, improved working conditions and targeted health
programmes are important for addressing this vulnerability. Further research
should strive to enhance the causal model by including a gender perspective,
longitudinal data, more indicators on precariousness and third factor
explanations.
PMID- 27885162
TI - Contralesional Corticomotor Neurophysiology in Hemiparetic Children With
Perinatal Stroke.
AB - BACKGROUND: Perinatal stroke causes most hemiparetic cerebral palsy. Ipsilateral
connections from nonlesioned hemisphere to affected hand are common. The
nonlesioned primary motor cortex (M1) determines function and is a potential
therapeutic target but its neurophysiology is poorly understood. OBJECTIVE: We
aimed to characterize the neurophysiological properties of the nonlesioned M1 in
children with perinatal stroke and their relationship to clinical function.
METHODS: Fifty-two participants with hemiparetic cerebral palsy and magnetic
resonance imaging-confirmed perinatal stroke and 40 controls aged 8 to 18 years
completed the same transcranial magnetic stimulation (TMS) protocol. Single-pulse
TMS to nonlesioned M1 determined rest and active motor thresholds (RMT/AMT),
motor-evoked potential (MEP) latencies, and stimulus recruitment curves (SRC:
100%-150% RMT). Paired-pulse TMS evaluated short-latency intracortical inhibition
(SICI) and intracortical facilitation (ICF). Ipsilateral (IP) participants
(ipsilateral MEP >=0.05 mV in >=5/20 trials) were compared with contralateral MEP
only, nonipsilateral (NI) participants. Assisting Hand and Melbourne assessments
quantified clinical function. RESULTS: Twenty-five IP were compared with 13 NI (n
= 38, median age 12 years, 66% male). IP had lower motor function. SRC to
unaffected hand were comparable between IP and NI while IP had smaller
ipsilateral SRC. Ipsilateral MEP latencies were prolonged (23.5 +/- 1.8 vs 22.2
+/- 1.5 ms contra, P < .001). Contralateral SICI was different between IP (-42%)
and NI (-20%). Ipsilateral SICI was reduced (-20%). Contralateral ICF was
comparable between groups (+43%) and ipsilaterally (+43%). Measures correlated
between contralateral and ipsilateral sides. CONCLUSION: Neurophysiology of
nonlesioned M1 and its relationship to motor function is measureable in children
with perinatal stroke. Correlation of excitability and intracortical circuitry
measures between contralateral and ipsilateral sides suggests common control
mechanisms.
PMID- 27885161
TI - A Rehabilitation-Internet-of-Things in the Home to Augment Motor Skills and
Exercise Training.
AB - Although motor learning theory has led to evidence-based practices, few trials
have revealed the superiority of one theory-based therapy over another after
stroke. Nor have improvements in skills been as clinically robust as one might
hope. We review some possible explanations, then potential technology-enabled
solutions. Over the Internet, the type, quantity, and quality of practice and
exercise in the home and community can be monitored remotely and feedback
provided to optimize training frequency, intensity, and progression at home. A
theory-driven foundation of synergistic interventions for walking, reaching and
grasping, strengthening, and fitness could be provided by a bundle of home-based
Rehabilitation Internet-of-Things (RIoT) devices. A RIoT might include wearable,
activity-recognition sensors and instrumented rehabilitation devices with radio
transmission to a smartphone or tablet to continuously measure repetitions,
speed, accuracy, forces, and temporal spatial features of movement. Using
telerehabilitation resources, a therapist would interpret the data and provide
behavioral training for self-management via goal setting and instruction to
increase compliance and long-term carryover. On top of this user-friendly, safe,
and conceptually sound foundation to support more opportunity for practice,
experimental interventions could be tested or additions and replacements made,
perhaps drawing from virtual reality and gaming programs or robots. RIoT devices
continuously measure the actual amount of quality practice; improvements and
plateaus over time in strength, fitness, and skills; and activity and
participation in home and community settings. Investigators may gain more control
over some of the confounders of their trials and patients will have access to
inexpensive therapies.
PMID- 27885163
TI - Clinically Important Difference of the Arm Motor Ability Test in Stroke
Survivors.
AB - BACKGROUND: The Arm Motor Ability Test (AMAT) is used to assess and quantify
upper-extremity (UE) functional limitation in stroke and other conditions.
However, the AMAT score change indicative of important and clinically meaningful
change has not been determined. OBJECTIVE: To determine the clinically important
difference (CID) for the AMAT for individuals with stroke exhibiting mild to
moderate hemiparesis. METHODS: A total of 146 chronic stroke survivors exhibiting
stable, mild to moderate UE hemiparesis were administered the AMAT before and
after interventions targeting their affected UEs. Patients and treating
therapists rated perceived amount of UE motor recovery for each participant on a
global rating of change (GROC) scale evaluating several facets of UE movement
(grasp, release, move the affected UE, perform 5 important functional tasks,
overall UE function). Estimated CID of the Functional Ability Scale of the AMAT
was calculated using the receiver operating characteristics curve with the GROC
scale as the anchor. Distribution-based methods were also used to estimate the
CID. RESULTS: Mean baseline, postintervention, and change in AMAT values for all
participants were 3.0 (0.68), 3.3 (0.73), and 0.33 (0.43) respectively. The CID
was estimated as an improvement of 0.32 to 0.42 when anchored by the therapist's
perception of improvement and 0.29 to 0.40 when anchored by the patient's
perception of improvement. The CID using distribution-based methods ranged from
0.40 to 0.44. CONCLUSIONS: A change of 0.44 or greater on the AMAT indicates a
clinically meaningful improvement in UE functional movements. Clinicians should
use this value to determine goals and interpret change scores.
PMID- 27885164
TI - Relation of statin use with non-melanoma skin cancer: Prospective results from
the Women's Health Initiative.
PMID- 27885165
TI - Neoadjuvant chemotherapy in breast cancers.
AB - With advances in science and technology, there are more innovations in the
approach to management of patients with breast cancer. Neoadjuvant chemotherapy
that is designed to be used prior to surgical removal of a tumor has received
significant attention. Currently, neoadjuvant chemotherapy is offered to patients
with locally advanced breast cancer and also those breast cancer patients who may
benefit from size reduction before conservation therapy. There is now sufficient
evidence that if neoadjuvant chemotherapy leads to complete pathologic response,
the patient will enjoy a better outcome. Therefore, assessment of the degree of
response to neoadjuvant chemotherapy has a major impact on patient selection and
the follow-up management of each patient and defines patient outcome.
PMID- 27885166
TI - The role of interleukin-33 in chronic rhinosinusitis.
AB - RATIONALE: Interleukin (IL)-33, a new member of the IL-1 family, is
constitutively expressed in epithelial tissues and lymphoid organs and plays an
important role in the pathogenesis of allergic disease. However, the role of IL
33 in chronic rhinosinusitis with nasal polyps (CRSwNP) remains unclear.
OBJECTIVE: To investigate the role of IL-33 in the pathophysiology of CRSwNP.
METHODS: We investigated IL-33 expression and its cellular origins in the nasal
polyps (NPs) of human subjects by immunohistochemistry (IHC), quantitative
reverse transcription PCR (qRT-PCR), and multiplex cytokine assays. Correlations
between IL-33 expression and other inflammatory markers were also explored. To
investigate the role of IL-33 in CRSwNP, anti-IL-33 antibody was used in a murine
model of CRS. RESULTS: Uncinate process tissues from control (19), CRSsNP (61),
CRSwNP (69) and NP tissues (71) were used in this study. Increased expression of
IL-33 mRNA and protein in patients with CRSwNP compared with controls was
observed. The concentration of IL-33 protein in CRSwNP was positively correlated
with the number of neutrophils and the expression of several Th1 and Th17
inflammatory markers, including interferon (IFN)-gamma, IL-1beta, tumour necrosis
factor (TNF)-alpha, IL-17A, IL-22, and various markers for neutrophil
recruitment. However, protein levels of IL-5 and quantity of eosinophils were
inversely correlated with levels of IL-33. The expression of tissue inhibitor of
metalloproteinase (TIMP)-1 was negatively correlated with IL-33 protein levels,
while the expression of matrix metalloproteinase (MMP)-2 and MMP-9 was positively
correlated with IL-33 protein levels. In animal studies, IL-33 expression was
upregulated in the CRSwNP group compared with controls. Anti-IL-33 treatment
reduced the thickness of oedematous mucosa, subepithelial collagen deposition,
and infiltration of neutrophils, but infiltration of eosinophils was not reduced.
This treatment also inhibited the expression of neutrophilic inflammatory
cytokines, but not IL-4. In addition, the expression of intracellular adhesion
molecule 1, vascular adhesion molecule 1 and CXCL-2 in the nasal mucosa was
suppressed in mice treated with anti-IL-33 antibody. CONCLUSIONS: Our data
suggest a role for IL-33 in the pathogenesis of CRSwNP via neutrophil
recruitment. Therefore, anti-IL-33 may provide a new treatment strategy to target
infiltrating neutrophils in CRSwNP.
PMID- 27885168
TI - Educational and wealth inequalities in tobacco use among men and women in 54 low
income and middle-income countries.
AB - BACKGROUND: Socioeconomic differentials of tobacco smoking in high-income
countries are well described. However, studies to support health policies and
place monitoring systems to tackle socioeconomic inequalities in smoking and
smokeless tobacco use common in low-and-middle-income countries (LMICs) are
seldom reported. We aimed to describe, sex-wise, educational and wealth-related
inequalities in tobacco use in LMICs. METHODS: We analysed Demographic and Health
Survey data on tobacco use collected from large nationally representative samples
of men and women in 54 LMICs. We estimated the weighted prevalence of any current
tobacco use (including smokeless tobacco) in each country for 4 educational
groups and 4 wealth groups. We calculated absolute and relative measures of
inequality, that is, the slope index of inequality (SII) and relative index of
inequality (RII), which take into account the distribution of prevalence across
all education and wealth groups and account for population size. We also
calculated the aggregate SII and RII for low-income (LIC), lower-middle-income
(lMIC) and upper-middle-income (uMIC) countries as per World Bank classification.
FINDINGS: Male tobacco use was highest in Bangladesh (70.3%) and lowest in Sao
Tome (7.4%), whereas female tobacco use was highest in Madagascar (21%) and
lowest in Tajikistan (0.22%). Among men, educational inequalities varied widely
between countries, but aggregate RII and SII showed an inverse trend by country
wealth groups. RII was 3.61 (95% CI 2.83 to 4.61) in LICs, 1.99 (95% CI 1.66 to
2.38) in lMIC and 1.82 (95% CI 1.24 to 2.67) in uMIC. Wealth inequalities among
men varied less between countries, but RII and SII showed an inverse pattern
where RII was 2.43 (95% CI 2.05 to 2.88) in LICs, 1.84 (95% CI 1.54 to 2.21) in
lMICs and 1.67 (95% CI 1.15 to 2.42) in uMICs. For educational inequalities among
women, the RII varied much more than SII varied between the countries, and the
aggregate RII was 14.49 (95% CI 8.87 to 23.68) in LICs, 3.05 (95% CI 1.44 to
6.47) in lMIC and 1.58 (95% CI 0.33 to 7.56) in uMIC. Wealth inequalities among
women showed a pattern similar to that of men: the RII was 5.88 (95% CI 3.91 to
8.85) in LICs, 1.76 (95% CI 0.80 to 3.85) in lMIC and 0.39 (95% CI 0.09 to 1.64)
in uMIC. In contrast to men, among women, the SII was pro-rich (higher smoking
among the more advantaged) in 13 of the 52 countries (7 of 23 lMIC and 5 of 7
uMIC). INTERPRETATION: Our results confirm that socioeconomic inequalities
tobacco use exist in LMIC, varied widely between the countries and were much
wider in the lowest income countries. These findings are important for better
understanding and tackling of socioeconomic inequalities in health in LMIC.
PMID- 27885167
TI - Mortality from respiratory diseases associated with opium use: a population-based
cohort study.
AB - BACKGROUND: Recent studies have suggested that opium use may increase mortality
from cancer and cardiovascular diseases. However, no comprehensive study of opium
use and mortality from respiratory diseases has been published. We aimed to study
the association between opium use and mortality from respiratory disease using
prospectively collected data. METHODS: We used data from the Golestan Cohort
Study, a prospective cohort study in northeastern Iran, with detailed, validated
data on opium use and several other exposures. A total of 50 045 adults were
enrolled from 2004 to 2008, and followed annually until June 2015, with a follow
up success rate of 99%. We used Cox proportional hazard regression models to
evaluate the association between opium use and outcomes of interest. RESULTS:
During the follow-up period, 331 deaths from respiratory disease were reported
(85 due to respiratory malignancies and 246 due to non-malignant aetiologies).
Opium use was associated with an increased risk of death from any respiratory
disease (adjusted HR 95% CI 3.13 (2.42 to 4.04)). The association was dose
dependent with a HR of 3.84 (2.61 to 5.67) for the highest quintile of cumulative
opium use versus never use (Ptrend<0.001). The HRs (95% CI) for the associations
between opium use and malignant and non-malignant causes of respiratory mortality
were 1.96 (1.18 to 3.25) and 3.71 (2.76 to 4.96), respectively. CONCLUSIONS: Long
term opium use is associated with increased mortality from both malignant and non
malignant respiratory diseases.
PMID- 27885169
TI - Children's exposure to secondhand smoke at home before and after smoke-free
legislation in Taiwan.
AB - INTRODUCTION: In January 2009, Taiwan broadened smoke-free legislation, requiring
mass transportation systems, indoor public areas and indoor workplaces with 3 or
more people, to become smoke-free. We investigated the secondhand smoke (SHS)
exposure at home for children aged 3-11 years in Taiwan before and after the
implantation of the legislation. METHODS: We studied 7911 children from the 2005,
2009 and 2013 National Health Interview Surveys (cross-sectional, nationally
representative household surveys). Logistic regression modelling estimated
adjusted ORs (AOR) and 95% CIs for children's SHS exposure at home in 2009 and
2013 (2005 as reference) for the overall sample and for each category of
household socioeconomic status (SES) and household composition. RESULTS:
Prevalence of children SHS exposure at home decreased from 51% (2005) to 32%
(2009) and 28% (2013). Compared to 2005, children in 2009 and 2013 had lower
likelihoods of SHS exposure at home with AOR of 0.45 (95% CI 0.41 to 0.51) and
0.41 (95% CI 0.36 to 0.46), respectively. All children had reduced SHS exposure
at home after the legislation, irrespective of household SES and compositions.
Low household income, low parental education level, living with grandparents or
living with other adults was individually associated with increased SHS exposure.
DISCUSSION: The proportion of children exposed to SHS at home in Taiwan declined
substantially from 2005 to 2009 after smoke-free legislation, and fell further by
2013, irrespective of SES and household compositions. Still, inequality in SHS
exposure at home by SES and household composition warrants future research.
PMID- 27885171
TI - SAPFLUXNET: towards a global database of sap flow measurements.
AB - Plant transpiration is the main evaporative flux from terrestrial ecosystems; it
controls land surface energy balance, determines catchment hydrological responses
and influences regional and global climate. Transpiration regulation by plants is
a key (and still not completely understood) process that underlies vegetation
drought responses and land evaporative fluxes under global change scenarios.
Thermometric methods of sap flow measurement have now been widely used to
quantify whole-plant and stand transpiration in forests, shrublands and orchards
around the world. A large body of research has applied sap flow methods to
analyse seasonal and diurnal patterns of transpiration and to quantify their
responses to hydroclimatic variability, but syntheses of sap flow data at
regional to global scales are extremely rare. Here we present the SAPFLUXNET
initiative, aimed at building the first global database of plant-level sap flow
measurements. A preliminary metadata survey launched in December 2015 showed an
encouraging response by the sap flow community, with sap flow data sets from
field studies representing >160 species and >120 globally distributed sites. The
main goal of SAPFLUXNET is to analyse the ecological factors driving plant- and
stand-level transpiration. SAPFLUXNET will open promising research avenues at an
unprecedented global scope, namely: (i) exploring the spatio-temporal variability
of plant transpiration and its relationship with plant and stand attributes, (ii)
summarizing physiological regulation of transpiration by means of few water-use
traits, usable for land surface models, (iii) improving our understanding of the
coordination between gas exchange and plant-level traits (e.g., hydraulics) and
(iv) analysing the ecological factors controlling stand transpiration and
evapotranspiration partitioning. Finally, SAPFLUXNET can provide a benchmark to
test models of physiological controls of transpiration, contributing to improve
the accuracy of individual water stress responses, a key element to obtain robust
predictions of vegetation responses to climate change.
PMID- 27885172
TI - Isohydric species are not necessarily more carbon limited than anisohydric
species during drought.
AB - Isohydry (i.e., strong regulation of leaf water potential, Psil) is commonly
associated with strict stomatal regulation of transpiration under drought, which
in turn is believed to minimize hydraulic risk at the expense of reduced carbon
assimilation. Hence, the iso/anisohydric classification has been widely used to
assess drought resistance and mortality mechanisms across species, with isohydric
species being hypothetically more prone to carbon starvation and anisohydric
species more vulnerable to hydraulic failure. These hypotheses and their
underlying assumptions, however, have rarely been tested under controlled,
experimental conditions. Our objective is to assess the physiological mechanisms
underlying drought resistance differences between two co-occurring Mediterranean
forest species with contrasting drought responses: Phillyrea latifolia L.
(anisohydric and more resistant to drought) and Quercus ilex L. (isohydric and
less drought resistant). A total of 100 large saplings (50 per species) were
subjected to repeated drought treatments for a period of 3 years, after which Q.
ilex showed 18% mortality whereas no mortality was detected in P. latifolia.
Relatively isohydric behavior was confirmed for Q. ilex, but higher vulnerability
to cavitation in this species implied that estimated embolism levels were similar
across species (12-52% in Q. ilex vs ~30% in P. latifolia). We also found similar
seasonal patterns of stomatal conductance and assimilation between species. If
anything, the anisohydric P. latifolia tended to show lower assimilation rates
than Q. ilex under extreme drought. Similar growth rates and carbon reserves
dynamics in both species also suggests that P. latifolia was as carbon
constrained as Q. ilex. Increasing carbon reserves under extreme drought stress
in both species, concurrent with Q. ilex mortality, suggests that mortality in
our study was not triggered by carbon starvation. Our results warn against making
direct connections between Psil regulation, stomatal behavior and the mechanisms
of drought-induced mortality in plants.
PMID- 27885174
TI - Plant responses to stress impacts: the C we do not see.
PMID- 27885176
TI - [Short history on the birth of mechanobiology.]
AB - In the last decade a new scientific discipline called "Mechanobiology" has
emerged. It aims at elucidation of roles and mechanisms of mechanical forces in
organisms as well as applications of the obtained fruits to human beings.
Mechanobiology deals with a wide variety of objectives, including molecules,
cells, tissues, organs and individuals, in which "Cell Mechanosesing" forms the
core concept. Starting with a definition of cell mechanosensing, this short
review gives an outline of the history of mechanobiology and perspectives on the
mechanobiology in the near future.
PMID- 27885177
TI - [Response to mechanical stimulus and cardiovascular homeostasis.]
AB - Response to mechanical stimulus, including blood pressure regulation as a typical
example, is essential for cardiovascular homeostasis. Traditionally, mechanism of
blood pressure regulation can be divided into two categories:short term neural
regulation via arterial baroreceptor reflex and long term humoral regulation via
renin-angiotensin system. Recent studies have revealed that sensitivity of
baroreceptor reflex is impaired in metabolic syndrome and aging. Moreover, it is
suggested that the baroreceptor reflex is involved in long term blood pressure
regulation. This review introduces the mechanism of mechanotransduction in the
baroreceptor reflex.
PMID- 27885175
TI - CpG Island Methylator Phenotype-High Colorectal Cancers and Their Prognostic
Implications and Relationships with the Serrated Neoplasia Pathway.
AB - The concept of a CpG island methylator phenotype (CIMP) was first introduced by
Toyota and Issa to describe a subset of colorectal cancers (CRCs) with concurrent
hypermethylation of multiple CpG island loci. The concept of CIMP as a molecular
carcinogenesis mechanism was consolidated by the identification of the serrated
neoplasia pathway, in which CIMP participates in the initiation and progression
of serrated adenomas. Distinct clinicopathological and molecular features of CIMP
high (CIMP-H) CRCs have been characterized, including proximal colon location,
older age of onset, female preponderance, and frequent associations of high-level
microsatellite instability and BRAF mutations. CIMP-H CRCs arise in sessile or
traditional serrated adenomas and thus tend to display the morphological
characteristics of serrated adenomas, including epithelial serration, vesicular
nuclei, and abundant cytoplasm. Both the frequent association of CIMP and poor
prognosis and different responses of CRCs to adjuvant therapy depending on CIMP
status indicate clinical implications. In this review, we present an overview of
the literature documenting the relevant findings of CIMP-H CRCs and their
relationships with the serrated neoplasia pathway.
PMID- 27885178
TI - [Changes in cytosolic Ca2+dynamics associated with muscular dystrophy.]
AB - Duchenne muscular dystrophy(DMD)is X-linked genetic disorder caused by a lack of
the membrane-associated protein dystrophin. DMD is characterized by progressive
muscle wasting secondary to repeated muscle damage and inadequate repair. The
mechanisms underlying the functional impairments in dystrophic muscle have not
yet been fully determined. However, several recent studies indicate that elevated
intracellular Ca2+homeostasis is a cause or facilitator of the development of
muscle weakness in muscular dystrophy. This review focuses on abnormalities of
Ca2+homeostasis and the possibilities for treatment by counteracting the
Ca2+dysregulation.
PMID- 27885179
TI - [Bone homeostasis and Mechano biology.]
AB - The weight-bearing exercises help to build bones and to maintain them strength.
Bone is constantly renewed by the balanced action of osteoblastic bone formation
and osteoclastic bone resorption both of which mainly occur at the bone surface.
This restructuring process called "bone remodeling" is important not only for
normal bone mass and strength, but also for mineral homeostasis. Bone remodeling
is stringently regulated by communication between bone component cells such as
osteoclasts, osteoblasts and osteocytes. An imbalance of this process is often
linked to various bone diseases. During bone remodeling, resorption by
osteoclasts precedes bone formation by osteoblasts. Based on the osteocyte
location within the bone matrix and the cellular morphology, it is proposed that
osteocytes potentially contribute to the regulation of bone remodeling in
response to mechanical and endocrine stimuli.
PMID- 27885180
TI - [Mechano-bioscience in heart disease and regenerative medicine.]
AB - During cardiac development and maturation, the heart continuously receives
hemodynamic stimuli, referred to mechanical stress. Mechanical stress governs
both cardiac development and differentiation, and also plays an important role in
the maintenance of cardiac homeostasis. Indeed, cardiac hypertrophic changes
emerge as a result of adaptation to mechanical overload. However, it is difficult
to measure the mechanical stress precisely. Therefore, the molecular mechanisms
of hemodynamics-related diseases are minimally understood. The progress in
mechanobioscience field has a potential to uncover the mechanisms of cardiac
diseases, and is expected to result in drug discovery in the future.
PMID- 27885181
TI - [Clinical manifestation and pathophyisological bases of sarcopenia.]
AB - Age-related loss of skeletal muscle mass, strength and function is known as
sarcopenia, and its diagnostic criteria is based on usual gait speed, grip
strength, and skeletal muscle mass. Whereas underlying mechanisms of sarcopenia
remains to be fully clarified, recent studies have suggested age-related changes
in inflammatory status and humoral factors might contribute to the
pathophysiology of sarcopenia and linkage between bone and muscle metabolism.
PMID- 27885182
TI - [Cartilage metabolism and mechanobioscience.]
AB - Joint cartilage is under exposure to repetitive mechanical stress. It is well
known fact that the mechanical stress is a major cause of joint disorders such as
osteoarthritis. However the molecular mechanisms of cartilage under mechanical
stress remain unclear. In recent years, some notable mechanosensor or signal
cascades relating to mechanotransduction had been identified, which might be
promising targets for treatment of joint disorders.
PMID- 27885183
TI - [Osteoporosis and Mechano-biosciences.]
AB - Mechanical unloading due to long-term bedrest or microgravity during spaceflight
causes a devastating influence on bone. Although bisphosphonates can prevent bone
loss and hypercalciuria by mechanical unloading for up to 6 months, the influence
of unloading for longer period of time is unknown. This is because mechanical
loading is one of the most important stimuli for bone formation. Mechanical
stress activates several intracellular signaling pathways. Among them, activation
of stress-activated cation channel by fluid shear stress stimulates ERK-CREB
signaling to enhance the expression of fos family transcription factors, which
stimulates IL-11 expression in osteoblastic cells. IL-11 then enhances Wnt/beta
catenin signaling, by suppressing the expression of Wnt/beta-catenin inhibitors,
sclerostin and Dkks. Because Wnt/beta-catenin signal enhances responsiveness to
mechanical stimuli, stimulation of Wnt/beta-catenin signaling may increase the
effect of exercise in maintaining axial bone mass.
PMID- 27885184
TI - [Mechanotransduction and mechanical control of gene expression.]
AB - Cells change gene expression when they differentiate into different cell
lineages. Cells also change their gene expression profiles to adapt to altering
milieus. Even physical forces(for example, forces evoked by muscle contraction or
exercise)change gene expression dramatically, although the molecular mechanisms
of this physico-genetic link are largely unknown. Here, we summarize several
works published recently, trying to highlight the physical force as an essential
parameter of gene expression and maintenance of homeostasis.
PMID- 27885185
TI - [Therapeutic strategy targeting membrane molecular meshanosensing.]
AB - Our bodies possess systems to detect various mechanical stimuli, called
mechanosensing. Mechanosensing taking place in the membrane can be classified
into to ion channel-dependent one and ion channel-independent one. Ion channel
dependent mechanosensing is a relatively rapid response, involving various
mechanosen sensitive channels, including recently identified pannexin. In
contrast, ion-channel-independent mechanosensing is a slow response, such as
tissue remodeling, and the mechanism via integrin-actin interaction at the focal
adhesion is well known.
PMID- 27885186
TI - [p130Cas-Mediated Regulation of Mechanical Functions of Cells.]
AB - It is 10 years since we reported Cas as a cell mechano-sensor that converts
stretching force to a biochemical signal. While we have been looking into the
mechanism of how Cas molecules are extended, it appears that the source of
stretching force does not derive from actomyosin contraction, but originates from
actin polymerization. Furthermore, we have found that phosphorylated Cas links
actomyosin contraction to cell migration by tensin 1-mediated association with
inwardly moving actin filaments. Collectively, Cas serves as a force sensor at
the cell leading edges as well as a part of force transmission machinery, i.e.
clutch, which drives the cell forward.
PMID- 27885187
TI - [Role of Hippo-YAP/TAZ signaling pathway in mechanotransduction.]
AB - Transcriptional coactivators YAP(yes associated protein)and TAZ(transcriptional
coactivator with PDZ-binding motif)regulate gene expression through binding to
transcription factors. Recently, some studies showed that YAP/TAZ activity
responded to mechanical inputs such as stiffness of the extracellular matrix and
the mechanical regulation of YAP/TAZ controlled cell proliferation or
differentiation. Additionally, we revealed important roles of YAP in tissue
formation and homeostasis through cellular tension and pressure. These reports
indicate that YAP/TAZ are major factors in mechanotransduction connecting between
the mechanical environment and cell responses.
PMID- 27885188
TI - [Mechanisms of vascular dynamic homeostasis.]
AB - Vascular endothelial cells(ECs)play a critical role in controlling a variety of
vascular functions including maintenance of the vascular tone, blood coagulation
and fibrinolysis, and selective permeability of proteins. It has recently become
apparent that ECs respond to hemodynamic forces, namely, shear stress and
stretch, by altering their morphology, functions and gene expression profile.
These responses also play important roles in maintaining normal circulatory
system functions and homeostasis, and their impairment leads to various vascular
diseases, including hypertension, aneurysm and atherosclerosis. The mechanisms
underlying the mechanotransduction, however, are not yet clearly understood. In
this article, we review the literature on the EC responses to mechanical forces
and their roles in the regulation of the circulatory system, while also
discussing the mechanosensing mechanisms of ECs.
PMID- 27885189
TI - [Tissue regenerative therapies based on regulatory mechanisms underlying bone and
cartilage development.]
AB - Regenerative medicine is an approach that maximizes the natural healing ability
by modulating regeneration-inducing signals within appropriate cells in
combination with scaffolds. Tissue repair processes and developmental processes
largely share common molecular mechanisms. Being inspired by the mechanisms, a
large number of studies have been carried out on development of efficient systems
for bone and cartilage regeneration. It would be the next step in this field to
achieve the stability of regenerated tissues by modulating signals and
intracellular molecules that are involved in the maintenance and metabolism of
the tissues.
PMID- 27885190
TI - [Design of mechanobio-materials for cell manipulation and its application for
stem cell manipulation.]
AB - Recently, control of mechanobiologic response of cells has been a strong
attractive issue for biomaterials sciences in relation to the requirements for
optimization of cell-materials interactions. In this mini-review, we survey the
typical parameters for designing the biomaterials to manipulate cell
mechanobiology, i.e., mechanobio-materials. In addition, from the view of
regenerative biomedical engineering, we introduce our recent approaches on the
development of mechanobio-materials for stem cell manipulation that ensures the
high-qualified stemness.
PMID- 27885191
TI - [Modeling and simulation of trabecular pattern formation in a cancellous bone
defect under mechanical forces.]
AB - Understanding regeneration of the trabecular structure in cancellous bone defects
is an important issue in bone tissue engineering and regenerative medicine.
Biochemical and biomechanical viewpoints are indispensable for understanding the
fundamental mechanism that underlies the regeneration of the trabecular
structure. In vitro observations of the Turing pattern-like bone differentiation
into osteoblasts from human mesenchymal stem cells suggest that mathematical
modeling and simulation based on a reaction-diffusion system model would help us
to understand the mechanism of trabecular pattern formation during cancellous
bone regeneration. In this article, we propose a mathematical model of trabecular
morphogenesis based on the reaction-diffusion system in 3D, which comprises
activators and inhibitors of bone formation by combining with mechanical factors.
Based on the proposed model, we conduct computational simulation of trabecular
regeneration in a cancellous bone defect using a voxel-based finite element
method for stress analysis and a finite difference method for reaction-diffusion
analysis. The proposed model could express the regeneration of the three
dimensional trabecular structure with mechanically adapted functions as a load
bearing structure. Based on these results, the proposed model and simulation
framework are expected to facilitate the analysis of regeneration of the
cancellous bone;this will help us to examine bone regeneration that involve
complex biological factors.
PMID- 27885192
TI - Occurrence of two novel linear penta-amines, pyropentamine and homopyropentamine,
in extremely thermophilic Thermus composti.
PMID- 27885193
TI - Biodegradation of polycyclic aromatic hydrocarbons by a thermotolerant white rot
fungus Trametes polyzona RYNF13.
AB - The biodegradation of three polycyclic aromatic hydrocarbons (PAHs),
phenanthrene, fluorene, and pyrene, by a newly isolated thermotolerant white rot
fungal strain RYNF13 from Thailand, was investigated. The strain RYNF13 was
identified as Trametes polyzona, based on an analysis of its internal transcribed
spacer sequence. The strain RYNF13 was superior to most white rot fungi. The
fungus showed excellent removal of PAHs at a high concentration of 100 mg.L-1.
Complete degradation of phenanthrene in a mineral salt glucose medium culture was
observed within 18 days of incubation at 30 degrees C, whereas 90% of fluorene
and 52% of pyrene were degraded under the same conditions. At a high temperature
of 42 degrees C, the strain RYNF13 was still able to grow, and degraded
approximately 68% of phenanthrene, whereas 48% of fluorene and 30% of pyrene were
degraded within 32 days. Thus, the strain RYNF13 is a potential fungus for PAH
bioremediation, especially in a tropical environment where the temperature can be
higher than 40 degrees C. The strain RYNF13 secreted three different ligninolytic
enzymes, manganese peroxidase, laccase, and lignin peroxidase, during PAH
biodegradation at 30 degrees C. When the incubation temperature was increased
from 30 degrees C to 37 degrees C and 42 degrees C, only two ligninolytic
enzymes, manganese peroxidase and laccase, were detectable during the
biodegradation. Manganese peroxidase was the major enzyme produced by the fungus.
In the culture containing phenanthrene, manganese peroxidase showed the highest
enzymatic activity at 179 U.mL-1. T. polyzona RYNF13 was determined as a
potential thermotolerant white rot fungus, and suitable for application in the
treatment of PAH-containing contaminants.
PMID- 27885194
TI - Isolation and characterization of a thermostable lipase from Bacillus
thermoamylovorans NB501.
AB - Two thermophilic bacterial strains, Bacillus thermoamylovorans NB501 and NB502,
were isolated from a high-temperature aerobic fermentation reactor system that
processes tofu refuse (okara) in the presence of used soybean oil. We cloned a
lipase gene from strain NB501, which secretes a thermophilic lipase. The
biochemical characteristics of the recombinant enzyme (Lip501r) were elucidated.
Lip501r is monomeric in solution with an apparent molecular mass of 38 kDa on SDS
PAGE. The optimal pH and apparent optimal temperature of Lip501r were 8 and 60
degrees C, respectively. Supplementation of 5 mM Ca2+ enhanced the
thermostability, and the enzyme retained 56% of its activity for 30 min at 50
degrees C. Lip501r was active on a wide range of substrates with different
lengths of p-nitrophenyl (pNP) esters, and showed a remarkably higher activity
with pNP-myristate. The Km and Vmax values for pNP-butyrate in the presence of 5
mM CaCl2 were 1.8 mM and 220 units/mg, respectively. The possible industrial use
of the thermophilic lipase in modifying edible oil was explored by examining the
degradation of soybean oil. A TLC analysis of the degraded products indicated
that Lip501r is an 1,3-position specific lipase. A homology modeling study
revealed that helix alpha6 in the lid domain of NB501 lipase was shorter than
that of lipases from the Geobacillus group.
PMID- 27885195
TI - Evaluation and Influence of Brachiocephalic Branch Re-entry in Patients With Type
A Acute Aortic Dissection.
AB - BACKGROUND: Stanford type A acute aortic dissection (A-AAD) extends to the
brachiocephalic branches in some patients. After ascending aortic replacement, a
remaining re-entry tear in the distal brachiocephalic branches may act as an
entry and result in a patent false lumen in the aortic arch. However, the effect
of brachiocephalic branch re-entry concomitant with A-AAD remains unknown.Methods
and Results:Eighty-five patients with A-AAD who underwent ascending aortic
replacement in which both preoperative and postoperative multiple-detector
computed tomography (MDCT) scans could be evaluated were retrospectively studied.
The presence of a patent false lumen in at least one of the brachiocephalic
branches on preoperative MDCT was defined as brachiocephalic branch re-entry, and
41 patients (48%) had this. Postoperatively, 47 of 85 (55%) patients had a patent
false lumen in the aortic arch. False lumen remained patent after operation in 34
out of the 41 (83%) patients with brachiocephalic branch re-entry, as compared to
that in 13 of the 44 (30%) patients without such re-entry (P<0.001).
Brachiocephalic branch re-entry was a significant risk factor for a late increase
in the aortic arch diameter greater than 10 mm (P=0.047). CONCLUSIONS:
Brachiocephalic branch re-entry in patients with A-AAD is related to a patent
false lumen in the aortic arch early after ascending aortic replacement and is a
risk factor for late aortic arch enlargement.
PMID- 27885196
TI - Stent Recoil Manifesting as Early Stent Thrombosis After Ultimaster Thin-Strut,
Cobalt-Chromium Sirolimus-Eluting Stent Implantation.
PMID- 27885198
TI - The Difference between Ideal and Actual Fasting Duration in the Treatment of
Patients with Aspiration Pneumonia: A Nationwide Survey of Clinicians in Japan.
AB - In Japan, aspiration pneumonia is common among the elderly and patients are often
treated by temporary discontinuation of meals. However, there are few published
studies on the fasting duration for aspiration pneumonia treatment. Therefore, we
conducted the present study to assess the opinions of clinicians regarding the
fasting duration for the treatment of patients with aspiration pneumonia and the
actual medical practice with regard to oral ingestion in hospitalized patients
with aspiration pneumonia. We targeted hospitals with internal medicine and
respiratory medicine departments across Japan. A questionnaire regarding the
fasting duration for aspiration pneumonia treatment and oral ingestion in
hospitalized patients with aspiration pneumonia was mailed to physicians treating
patients with pneumonia at 2,490 hospitals. We received appropriate responses
from 350 facilities (response rate, 14.1%). Most clinicians (78.3%) responded
that it best to keep the fasting duration for treatment as short as possible and
considered that fasting is absolutely unnecessary. Regarding oral ingestion in
hospitalized patients, more than 25% of clinicians restricted oral intake for a
certain number of days. The majority of these clinicians (53.3%) preferred
prolonged fasting for 3 to 7 days. Although most physicians preferred the fasting
duration to be as short as possible, there was a difference between the ideal and
actual scenarios in reintroducing oral intake early in patients with aspiration
pneumonia. Improving physicians' knowledge and experience will bridge the gap
between the ideal situation and what currently occurs. Further studies should
investigate the acceptable fasting duration for the treatment of aspiration
pneumonia.
PMID- 27885197
TI - A Thermostable Bilirubin-Oxidizing Enzyme from Activated Sludge Isolated by a
Metagenomic Approach.
AB - A gene coding for a multicopper oxidase (BopA) was identified through the
screening of a metagenomic library constructed from wastewater treatment
activated sludge. The recombinant BopA protein produced in Escherichia coli
exhibited oxidation activity toward 2,2'-azino-bis-(3-ethylbenzothiazoline-6
sulfonate) (ABTS) in the presence of copper, suggesting that BopA is laccase. A
bioinformatic analysis of the bopA gene sequence indicated that it has a
phylogenetically bacterial origin, possibly derived from a bacterium within the
phylum Deinococcus-Thermus. Purified BopA exhibited maximum activity at pH 7.5
with bilirubin as its substrate and was found to be active over a markedly broad
pH range from 6 to 11. It also showed notable thermostability; its activity
remained intact even after a heat treatment at 90 degrees C for 60 min. This
enzyme is a thermostable-bilirubin oxidase that exhibits markedly higher
thermostability than that previously reported for laccases.
PMID- 27885199
TI - DRUG-INDUCED ANAPHYLAXIS.
PMID- 27885200
TI - GUIDELINE OF TREATMENT OF ATOPIC DERMATITIS 2015.
PMID- 27885201
TI - PATHOGENIC MEMORY Th2 CELLS AND ALLERGIC DISEASES.
PMID- 27885202
TI - SUBLINGUAL IMMUNOTHERAPY FOR MITE ALLERGIC RHINITIS.
PMID- 27885203
TI - EXAMINATION OF THE EFFECTIVENESS OF SLOW AND DEEP INHALATION OF FP/FM-pMDI FOR
THE SMALL-AIRWAYS DYSFUNCTION OF ADULT-ONSET ASTHMA.
AB - BACKGROUNDS: To date adult-onset asthmatic patients who lack a clear stridor and
show prolonged coughs and chest discomfort caused by small-airways dysfunction
have increased. We examined the small-airways function of these cases and the
effectiveness of slow and deep inhalation of FP/FM-pMDI. METHODS: 62 adult-onset
asthmatic patients who had prolonged coughs and chest discomfort with the middle
or high dose of ICS/LABA combination agents under well technique (32 of BUD/FM
DPI group and 30 of FP/SM-pMDI group) were included into this study. ICS/LABAs
were switched to FP/FM-pMDI and slow and deep inhalation for 2-3 seconds was
carried out thoroughly. The dose of FP/FM-pMDI was reduced depending on the
improvement of symptoms. ACT score, respiratory function tests and respiratory
resistance were measured after approximately six months from switching (stable
condition after switching) and were compared with the values of the same period
of the last year (stable condition under the previous ICS/LABA). RESULTS: After
switching to FP/FM-pMDI, asthmatic symptoms and plural values of small-airways
function were improved in 93.7% (30/32 cases) of BUD/FM-DPI group and in 86.6%
(26/30 cases) of FP/SM-pMDI group. Moreover, mean daily inhalation doses were
decreased from 5.0 to 4.3 in BUD/FM-DPI group and decreased from 5.7 to 3.7 in
FP/SM-pMDI group. CONCLUSIONS: Slow and deep inhalation of FP/FM-pMDI is
effective in many asthmatic patients who have prolonged small-airways
dysfunction. A prospective, multi-centered contrastive study is warranted to
confirm the effectiveness of this inhalational method.
PMID- 27885204
TI - GENETIC INTERACTIONS BETWEEN ADRB2 AND PTGER4 ON RESPONSES TO SALMETEROL OR
MONTELUKAST IN JAPANESE PATIENTS WITH MILD PERSISTENT ASTHMA.
AB - BACKGROUND: Long-acting beta2-agonists (LABA) and leukotriene receptor
antagonists (LTRA) are two principal agents that can be added to inhaled
corticosteroids (ICS) for patients with asthma that is not adequately controlled
by ICS alone. In our previous study, the Gly16Arg genotype of the beta2
adrenergic receptor (ADRB2) gene did not influence the differential
bronchodilator effect of salmeterol versus montelukast as an add-on therapy to
ICS within 16 weeks of follow-up (the J-Blossom study). METHODS: We examined if
genes encoding CYSLTR1, CYSLTR2, PTGER2 or PTGER4 could explain differential
responses to salmeterol versus montelukast using the participants of the J
Blossom study. This study included 76 patients with mild-to-moderate asthma. The
difference in peak expiratory flow (PEF) (DeltaPEF, l/min) after 16 weeks of
treatment with salmeterol (DeltaPEFsal) versus montelukast (DeltaPEFmon) was
associated with the genotypes at each of 4 genes. In addition, multivariate
analyses were used to identify a gene-gene interaction between ADRB2 gene and
each of these 4 genes. RESULTS: Although none of 4 genes were associated with
DeltaPEFsal-DeltaPEFmon in the univariate analyses, multivariate analysis showed
that PTGER4 gene, interacting with ADRB2 Gly16Arg, was associated with
DeltaPEFsal-DeltaPEFmon (p=0.0032). CONCLUSION: Our findings suggested that the
interactions between two genetic loci at ADRB2 and PTGER4 is important in
determining the differential response to salmeterol versus montelukast in
patients with chronic adult asthma.
PMID- 27885205
TI - CLINICAL EFFICACY OF SUBLINGUAL IMMUNOTHERAPY IN THE SECOND TREATED YEAR FOR 133
PATIENTS WITH JAPANESE CEDAR POLLINOSIS IN 2016.
AB - : It past 2 years in 2016 after the first purchase of the drug for sublingual
immunotherapy (SLIT) for Japanese Cedar pollinosis (JCP). PURPOSE: The purpose of
this study is to clear the clinical efficacy of SLIT in the second treated year
by comparing with other therapies, such as subcutaneous immunotherapy (SCIT), or
other pharmacotherapy. METHODS: We started SLIT at our clinic in October
December, 2014. We compared the clinical efficacy in 2016, of 133 SLIT with 46
SCIT, 351 primary pharmacotherapy that started therapies before pollen dispersal,
221 pharmacotherapy that started therapies after pollen disposal, or 337 non
treatment. The clinical efficacy was evaluated with symptom scores and combined
symptom-medication scores (SMS), symptoms of nose and eye by visual analog scale
(VAS), quality of life (QOL) scores by Japanese rhino-conjunctivitis QOL
questionnaire (JRQLQ No1). RESULTS: Fourteen cases by unknown reasons and 3 cases
by inevitable reasons were dropped out for 2 years. Both SCIT and SLIT showed
good clinical efficacy without significant difference in every assessment. Both
SCIT and SLIT were significantly better than other pharmacotherapy in most
assessment. Patients, whose symptom scores of nose and eye were 0 or 1 point
without any rescue drugs, accounted for 26.3% of total SLIT. CONCLUSION: SLIT in
the second treated year showed good clinical efficacy in reducing symptoms and
SMS of JCP, and in improving QOL. SLIT was significantly effective compaired with
other pharmacotherapies.
PMID- 27885206
TI - LONG-TERM FOLLOW-UP OF A CASE OF APPLE ALLERGY WITH SYSTEMIC SYMPTOMS THROUGH
ORAL FOOD CHALLENGES.
AB - To our knowledge, there are no previous reports of apple allergy with systemic
symptoms for which repeated oral food challenges were performed over a long
follow-up. The patient was an 8-year-old boy with hay fever. He visited our
hospital because of nasal drop, itchy eyes, and dyspnea after lunch every two
months for 2 years. Apple allergy was suspected through his medical interview and
specific immunoglobulin (Ig) E levels, and he consequently underwent an oral food
challenge test. Ninety minutes after ingestion of one whole apple, he experienced
coughing, nasal drop, eyelid edema, and conjunctival injection. At age 14, he
underwent a repeat oral food challenge test. This time, 55 minutes after
ingestion, he experienced coughing, dyspnea, and wheezing and had to be treated
by anti-histamine and steroid administration, as well as inhalation of a beta 2
stimulant. At ages 8, 9, 11, 12, 13, and 14, the apple-specific IgE levels
(Ua/ml) were <0.35, <0.35, 0.36, 0.54, 0.47, and 0.66, respectively. The alder
specific IgE levels (Ua/ml) were <0.35, 0.49, 1.31, 2.14, 2.73, and 3.11; the Mal
d 1-specific IgE levels (Ua/ml) were <0.10, 0.13, 0.25, 0.45, 0.88, and 1.1; and
the Bet v 1-specific IgE levels (Ua/ml) were <0.10, 0.40, 1.0, 1.4, 2.4, and 2.8,
respectively. These levels all gradually increased, whereas the specific IgE to
Mal d 3 remained negative. The patient experienced systemic symptoms without
mucosal symptoms, and these did not improve over time. In conclusion, we could
follow this case of apple allergy with systemic symptoms through oral food
challenge tests.
PMID- 27885208
TI - ?
PMID- 27885207
TI - ?
PMID- 27885210
TI - ?
PMID- 27885209
TI - ?
PMID- 27885211
TI - Airflow equation for an exterior type plain circular hood.
PMID- 27885212
TI - Let's try to determine the original reference value of highly stress on stress
check program.
PMID- 27885213
TI - A FRET Biosensor for ROCK Based on a Consensus Substrate Sequence Identified by
KISS Technology.
AB - Genetically-encoded biosensors based on Forster/fluorescence resonance energy
transfer (FRET) are versatile tools for studying the spatio-temporal regulation
of signaling molecules within not only the cells but also tissues. Perhaps the
hardest task in the development of a FRET biosensor for protein kinases is to
identify the kinase-specific substrate peptide to be used in the FRET biosensor.
To solve this problem, we took advantage of kinase-interacting substrate
screening (KISS) technology, which deduces a consensus substrate sequence for the
protein kinase of interest. Here, we show that a consensus substrate sequence for
ROCK identified by KISS yielded a FRET biosensor for ROCK, named Eevee-ROCK, with
high sensitivity and specificity. By treating HeLa cells with inhibitors or
siRNAs against ROCK, we show that a substantial part of the basal FRET signal of
Eevee-ROCK was derived from the activities of ROCK1 and ROCK2. Eevee-ROCK readily
detected ROCK activation by epidermal growth factor, lysophosphatidic acid, and
serum. When cells stably-expressing Eevee-ROCK were time-lapse imaged for three
days, ROCK activity was found to increase after the completion of cytokinesis,
concomitant with the spreading of cells. Eevee-ROCK also revealed a gradual
increase in ROCK activity during apoptosis. Thus, Eevee-ROCK, which was developed
from a substrate sequence predicted by the KISS technology, will pave the way to
a better understanding of the function of ROCK in a physiological context.
PMID- 27885214
TI - Giant Leiomyoma Arising from the Mediastinal Pleura: A Case Report.
AB - This report presents a rare case involving a patient with a giant leiomyoma
originating from the mediastinal pleura. The patient underwent a medical
examination, and chest radiography revealed a giant tumor. Computed tomography
(CT) and magnetic resonance imaging (MRI) showed a well demarcated, heterogeneous
mass which seemed to originate from the posterior mediastinum. Positron emission
tomography (PET) showed the uptake of this tumor with a standardized uptake value
of 4.9. We suspected that this tumor was a solitary fibrous tumor, and the
patient underwent a surgical resection. Intraoperative exploration revealed a
well-encapsulated tumor measuring 15 * 11 cm that appeared to originate from the
mediastinal pleura. Immunohistochemical findings revealed a benign leiomyoma. We
finally diagnosed the patient with a mediastinal leiomyoma. The present report
describes CT, MRI, and PET findings of leiomyoma, and presents a review of
relevant literature.
PMID- 27885215
TI - Clinical Evaluation and Outcomes of Digital Chest Drainage after Lung Resection.
AB - BACKGROUND: Analog chest drainage systems (ACS) are generally used to monitor
postoperative alveolar air leakage (PAL) after lung resection. An electronic
digital chest drainage system (DCS) has recently been developed that reportedly
has several advantages over the traditional ACS. Here, we report a single
institution's experience of PAL management with the DCS. We also sought to
establish whether DCS had superior clinical benefits and outcomes compared with
ACS. METHODS: We enrolled 112 consecutive patients who underwent lung resection
and were subsequently managed with DCS. We compared PAL rate, duration of chest
drainage, and the incidence of complications with a group of 121 consecutive
patients previously managed with ACS after lung resection, using propensity score
matching. RESULTS: Mean maximum and minimum PAL rates during DCS chest drainage
were 48.9 ml/min (range: 2.0-868.6 ml/min) and 0.1 ml/min (0.0-1.2 ml/min),
respectively. Mean PAL rate at DCS removal was 1.3 ml/min (0.0-10.0 ml/min).
After propensity score matching, mean duration of chest drainage was
significantly shorter with DCS than ACS (2.7 days, range: 1-9 days, compared with
3.7 days, range: 1-21 days, respectively; P = 0.031). CONCLUSIONS: Managing PAL
with DCS after pulmonary resection appears to reduce the duration of chest
drainage.
PMID- 27885216
TI - A novel heterozygous intronic mutation in POU1F1 is associated with combined
pituitary hormone deficiency.
AB - POU class 1 homeobox 1 (POU1F1) regulates pituitary cell-specific gene expression
of somatotropes, lactotropes, and thyrotropes. In humans, two POU1F1 isoforms
(long and short isoform), which are generated by the alternative use of the
splice acceptor site for exon 2, have been identified. To date, more than 30
POU1F1 mutations in patients with combined pituitary hormone deficiency (CPHD)
have been described. All POU1F1 variants reported to date affect both the short
and long isoforms of the POU1F1 protein; therefore, it is unclear at present
whether a decrease in the function of only one of these two isoforms is
sufficient for disease onset in humans. Here, we described a sibling case of CPHD
carrying a heterozygous mutation in intron 1 of POU1F1. In vitro experiments
showed that this mutation resulted in exon 2-skipping of only in the short
isoform of POU1F1, while the long isoform remained intact. This result strongly
suggests the possibility, for the first time, that isolated mutations in the
short isoform of POU1F1 could be sufficient for induction of POU1F1-related CPHD.
This finding improves our understanding of the molecular mechanisms, and
developmental course associated with mutations in POU1F1.
PMID- 27885218
TI - Unusual Case of Rupture of Right Sinus of Valsalva Aneurysm Into the Left
Ventricle.
PMID- 27885217
TI - Lymphocytic adrenal medullitis and lymphocytic thyroiditis in a laboratory beagle
dog.
AB - Lymphocytic adrenal medullitis characterized by inflammation and atrophy in the
medulla of the bilateral adrenal glands was observed in an 18-month-old male
laboratory beagle dog. It might be that the present lymphocytic adrenal
medullitis is an autoimmune-mediated disease as the histological characteristics
are consistent with an autoimmune pathogenesis. However, the actual cause remains
unclear as the existence of serum autoantibodies against the adrenal medulla
could not be confirmed. Although this dog also contracted lymphocytic thyroiditis
along with serum thyroglobulin autoantibodies, indicating that the thyroiditis
occurred with an autoimmune basis; the relation between the adrenal medullitis
and thyroiditis is unknown.
PMID- 27885220
TI - ?
PMID- 27885219
TI - ?
PMID- 27885221
TI - A new oral care gel to prevent aspiration during oral care.
AB - AIM: Although oral care is important in the prevention of aspiration pneumonia,
the different institutions and practitioners employ various oral care methods,
some of which are associated with the risk of aspiration. We have developed a new
gel with the physical properties needed for waterless oral care. In the present
study, we evaluated and investigated the properties and effectiveness of this
gel. METHODS: The physical properties of the trial gel and commercial
moisturizing gels were compared using a VAS scale. The effects of plaque
elimination were evaluated in healthy volunteers. Brushing was carried out by a
dental hygienist using the gel and water. The number of throat suctions performed
during brushing was also counted, and the difference was evaluated. RESULTS: In
the evaluation of physical characteristics, trial Gel B showed a significantly
higher rating than the other gels. In plaque elimination, the rate of decrease in
a modified PCR was significantly greater with the gel trial. Suctioning was also
performed significantly fewer times with the trial gel. CONCLUSIONS: Good results
were obtained with the newly developed trial Gel B with regard to the physical
properties and the sensory evaluations. Its effectiveness was also confirmed in
plaque elimination and in the evaluated risk of aspiration. The use of Gel B may
have the potential to decrease the risk of aspiration during oral care and reduce
the occurrence of aspiration pneumonia.
PMID- 27885222
TI - The development of a self-administered dementia checklist: the examination of
concurrent validity and discriminant validity.
AB - PURPOSE: The present study aims to develop a self-administered dementia checklist
to enable community-residing older adults to realize their declining functions
and start using necessary services. A previous study confirmed the factorial
validity and internal reliability of the checklist. The present study examined
its concurrent validity and discriminant validity. METHODS: The authors conducted
a 3-step study (a self-administered survey including the checklist, interviews by
nurses, and interviews by doctors and psychologists) of 7,682 community-residing
individuals who were over 65 years of age. The authors calculated Spearman's
correlation coefficients between the scores of the checklist and the results of a
psychological test to examine the concurrent validity. They also compared the
average total scores of the checklist between groups with different Clinical
Dementia Rating (CDR) scores to examine discriminant validity and conducted a
receiver operating characteristic analysis to examine the discriminative power
for dementia. RESULTS: The authors analyzed the data of 131 respondents who
completed all 3 steps. The checklist scores were significantly correlated with
the respondents' Mini-Mental State Examination and Frontal Assessment Battery
scores. The checklist also significantly discriminated the patients with dementia
(CDR = 1+) from those without dementia (CDR = 0 or 0.5). The optimal cut-off
point for the two groups was 17/18 (sensitivity, 72.0%; specificity, 69.2%;
positive predictive value, 69.2%; negative predictive value, 72.0%). CONCLUSION:
This study confirmed the concurrent validity and discriminant validity of the
self-administered dementia checklist. However, due to its insufficient
discriminative power as a screening tool for older people with declining
cognitive functions, the checklist is only recommended as an educational and
public awareness tool.
PMID- 27885223
TI - A study on the mortality patterns of missing and deceased persons with dementia
who died due to wandering.
AB - AIM: To clarify the mortality patterns derived from differences in the causes of
death and to subsequently promote search activity and prevent the death of
missing persons. METHODS: The Ministry of Health, Labour and Welfare (MHLW)
performed a mail survey using a self-administered questionnaire. The families of
all 388 deceased dementia patients from among all of the missing persons reports
involving dementia patients that were submitted to the police in 2013, and the
10,322 missing persons with dementia (or suspected cases) were the subjects of
this survey. The survey was conducted from January 5 to February 2 in 2015. We
analyzed the data provided by the MHLW on 61 cases in which the cause of death
was recorded; the factors that were related to the differences in the causes of
death were examined using a chi-squared test (Fisher's direct method) and a
residual analysis. Based on previous studies, we classified the causes of death
into three categories: "drowning," "hypothermia," and "others (e.g., traumatic
injury, disease progression)." RESULTS: When the cause of death was hypothermia,
death often occurred between three to four days from the time that the deceased
individual went missing. A significantly higher number of patients who died of
other causes were found to have died on the day that they went missing. More than
40% of the drowning cases occurred on the day that the deceased individual went
missing. CONCLUSION: We identified 3 patterns of mortality: (1) death on the day
that the deceased individual went missing due to traumatic injury, disease
progression, drowning, and other causes; (2) death due to hypothermia within a
few days after the deceased individual went missing; and (3) patterns other than
(1) and (2).
PMID- 27885224
TI - The attitude of Japanese individuals toward end-of-life care.
AB - OBJECTIVE: To understand the attitude of Japanese individuals toward end-of-life
care. SUBJECTS AND METHODS: The present study was conducted among the individuals
who participated in a public seminar and completed a questionnaire related to end
of-life care. We analyzed their responses. One hundred seventy-six participants
(mean age: 64.7 years) answered the questionnaires, which asked whether they were
familiar with the concept of a living will, who should decide their end-of-life
care planning, what kind of nutritional support they would prefer to receive at
the end-of-life, and what kind of medical treatments they were unwilling to
receive. The answers were compared between the two age groups: those who were
>=75 years of age and those who were <75 years of age. RESULTS: A total of 49%
were aware of the concept of a living will and 8% had actually written a living
will. The difference between the two groups was not statistically significant.
Most of the respondents (76% of the respondents who were <75 years of age and 63%
of the respondents who were >=75 years of age) thought that they should be able
to decide on their end-of-life care planning themselves; however, more of the
respondents who were >=75 years of age wanted to depend on their primary care
physician for the decision. The proportion of respondents who wished to maintain
only oral intake until the end of their life was 54%; there was no significant
difference between the two groups. Among the subjects who were >=75 years of age,
a smaller number of respondents indicated a medical treatment that they were
unwilling to receive. CONCLUSION: In the present study, most of the late-elderly
respondents indicated that they preferred to decide on their own end-of-life care
planning by themselves, as was seen in younger respondents.
PMID- 27885225
TI - The physical signs of impending dehydration among elderly people in nursing
homes: The association with axillary skin temperature, humidity, intraoral
moisture content, and salivary components.
AB - PURPOSE: The aim of the present study was to examine the association between
impending dehydration among elderly people in nursing homes and physical signs,
including the axillary skin temperature, humidity, intraoral moisture content,
and salivary components. METHODS: The study included 78 elderly individuals who
required long-term care in a nursing home (11 men and 67 women; average age,
86.6+/-7.3 years). The elderly subjects were classified in two groups according
to their serum osmolality levels: those with levels between the upper limit
reference value (292 mOsm/kg H2O) and the diagnostic reference value of
dehydration (300 mOsm/kg H2O) were classified into the boundary zone group and
those with levels of <292 mOsm/kg H2O were classified into the normal range
group. The following parameters were measured: basic attributes (age, gender and
level of care required), body mass index, diet, daily fluid intake per kilogram
of body weight, physiological indicators (blood pressure, pulse rate, body
temperature, axillary skin temperature, humidity, total body water, body water
rate, internal liquid rate, external solution rate, blood components, intraoral
water amount, and salivary components), and the indoor environment (room
temperature and humidity). We then performed a statistical analysis to compare
the boundary zone group with the normal range group. After adjusting for age and
the daily fluid intake per kilogram of body weight (<25 ml/>=25 ml), we performed
a logistic regression analysis (the boundary zone group was used as an
independent variable) for variables that had significance levels of <0.05 (except
for blood components). RESULTS: The univariate analysis revealed significant
differences in the following parameters: the serum sodium, chloride, and
creatinine levels; the blood sugar level; the urea nitrogen/creatinine ratio; the
axillary skin temperature; and room humidity. Only the axillary skin temperature
showed a significant association in the final model of the logistic regression
analysis (odds ratio, 3.664; 95% confidence interval, 1.101-12.197; p = 0.034).
CONCLUSION: As the axillary skin temperature increased by 1 degrees C, there was
a 3.67-fold risk of being classified into the boundary zone group instead of the
normal range group. Thus, the axillary skin temperature was associated with
impending dehydration.
PMID- 27885226
TI - Association between the nutritional status and the severity of sarcopenia among
community-dwelling elderly Japanese people.
AB - AIM: To investigate the association between nutritional evaluation indices (body
mass index, albumin, and weight loss) and sarcopenia severity among community
dwelling elderly people in Japan. METHODS: The subjects consisted of 758
community-dwelling elderly people >=65 years of age, categorized into two groups
by based on Operation of long life medical care system (medical care system for
elderly in the latter stage of life), the cut-off value for age used was 75. The
outcome measures were basic characteristics, anthropometric measures, physical
function, and blood biochemistry (five assessments). The appendicular skeletal
muscle mass was calculated via a bioelectrical impedance analysis. The subjects
were categorized into three groups by the body mass index (BMI) [BMI 3 group].
The cut-off value for albumin used was 3.8 g/dL [A1b 2 group]. Weight loss was
assessed using item 11 on the Kihon check list: "Have you experienced more than 2
3 kg weight loss over the past 6 months? Yes=1, No=0." [weight loss 2 group].
Sarcopenia was defined based on the European Working Group on Sarcopenia in Older
People definition, using the Asian Working Group for Sarcopenia cut-off values.
All subjects were then categorized into four groups based on their sarcopenia
status: non-sarcopenic (non-), pre-sarcopenic (pre-), sarcopenic (sarco-), or
severely sarcopenic (severe-) [sarco4 group]. RESULTS: The prevalence of
sarcopenia and severe sarcopenia in men was 5.6% (n=18) and 1.2% (n=4),
respectively, and in women was 7.8% (n=34) and 1.6% (n=7), respectively. The
analysis showed that, among the people (>75 years of age) with normal BMI (18.5
25.0), 10.4%-15.6% were in the Sarco group. Further, among women over 75 years of
age with BMI >25.0, 5.7% (n=2) were in the Sarco group. There was a significant
association between weight loss and sarcopenia severity in older men. No
significant association between albumin levels and sarcopenia severity was
observed. 80.0% of weight-loss was presented in above BMI 18.5 kg/m2 and Alb 3.8
g/dL. CONCLUSION: Sarcopenia and severe sarcopenia were prevalent among those
with normal BMI, and particularly among obese women over 75 years of age. Weight
loss was presented in above BMI 18.5 kg/m2 and Alb 3.8 g/dL. Our findings
indicate that the nutritional evaluation indices, including BMI, albumin, and
weight loss, were insufficient in screening for malnutrition and sarcopenia among
the elderly.
PMID- 27885227
TI - The experience of dissolving polypharmacy by reducing the prescription of anti
ulcer drugs in residents of a special geriatric nursing home.
AB - AIM: As part of a broader study on polypharmacy among elderly nursing home
residents, we examined the impact of reducing or discontinuing the prescription
of proton pump inhibitors and other anti-ulcer drugs. METHODS: We employed a
strategy of reducing and discontinuing the prescription of drugs to 160 elderly
residents of the Hiroshima Atomic Bomb survivors nursing home, Kandayama
Yasuragien. The residents had entered the home between April 2012 and November
2015. As part of this study into anti-ulcer drugs, we also measured the
concentrations of Helicobacter pylori antigen in stool specimens, and the serum
concentrations of H pylori antibodies and pepsinogen I and II. RESULTS: The
proportions of residents who were taking more than six drugs on April 2012,
August 2014 and November 2015 were 55.2%, 49.0% and 43.0%, respectively. At the
same times, the proportions of residents who were taking anti-ulcer drugs were
50.0%, 49.0% and 6.0%, respectively. The presence of H pylori antigen and
antibodies, and serum pepsinogen concentrations, did not influence the decisions
to continue or discontinue anti-ulcer drugs. CONCLUSIONS: We have already
reported that reduction of diuretics reduces the incidence of falls and fall
related fractures in our residents, and have demonstrated the benefits of
discontinuing folic acid and drugs that are given to control hyperkalemia. In the
present study, we found that many anti-ulcer drugs were also of low necessity to
elderly care home residents. It is essential to examine the clinical benefits of
addressing polypharmacy in elderly individuals. In the future, we intend to focus
on reducing hypnotics, laxatives and other drugs.
PMID- 27885228
TI - Factors associated with the prognosis of elderly patients with advanced dementia
who receive palliative care from geriatric health services facilities.
AB - AIM: The aim of the present study is to identify the factors associated with the
prognosis of advanced dementia patients who are newly admitted to a geriatric
health services facility. METHODS: This retrospective cohort study used data that
were obtained on admission in the care-assessment of long-term care facility
patients. The 177 participants with advanced dementia were admitted to our
facility between 2006 and 2013. The association between the factors in the care
assessment and the three-month-mortality rates were examined throughout the year.
RESULTS: At each of the 3-month time points, the mortarity rates of the patients
who had total dependence on oral eating or hypoalbuminemia (<3.5 g/dl by BCG
method) were significantly higher in comparison to the patients who had neither
of these factors. The mortality rates in the patients with a past history of
pneumonia (within 3 months) were significantly associated with both factors. At
each time point, the three-month-mortality rates showed a significant decrease in
the following patients groups (in order): patients with both total dependence on
oral eating and hypoalbuminemia, patients with dependence on oral eating or
hypoalbuminemia, patients without these two factors. CONCLUSION: Our results
indicate that the factors in the care-assessment. That were associated with the
prognosis of patients with advanced dementia on admission may be total dependence
on oral eating and hypoalbuminemia. Evaluating the combination of these two
factors can be a simple and useful measure to identify advanced dementia patients
with a high risk of death who receive palliative care in a geriatric health
services facility.
PMID- 27885230
TI - The implantation of a loop recorder to detect the cause of pre-syncope in an 85
year-old man.
AB - The patient was an-85-year-old man with hypertension and hyperlipidemia. The
patient had a history of faintness on standing. He visited our hospital after
experiencing chest oppression and pre-syncope in 2015. Brain magnetic resonance
imaging and echocardiography did not detect any structural brain or heart
disease. Ischemic heart disease was suspected based on the myocardial
scintigraphy findings, but coronary angiography revealed no unusual findings.
Holter electrocardiography did not reveal the reason for his symptoms. Because he
had experienced 2-second sinus arrest rather than faintness or pre-syncope during
the Holter monitoring (not an indication for the implantation of a pacemaker), we
implanted a loop recorder to detect the cause of pre-syncope.The loop recorder
required that he or his family to manually send the telemetry monitoring data,
but he and his wife did not understand how to do it. After the loop recorder
failed to reveal the cause of his symptoms, he was hospitalized for its
extraction. When we manually checked the loop recorder data on the day of
hospitalization, sinus arrest of >3 seconds was detected 271 times; the maximum
sinus arrest was 4 seconds. Sinus arrest was confirmed to be cause of his
symptoms. His symptoms completely disappeared after the implantation of a
permanent pacemaker.Although faintness is not rare in elderly people, it can be
difficult to diagnose. An implantable loop recorder was useful for diagnosing pre
syncope; however, in the present case, it was difficult for the elderly patient
and his wife to perform telemetry monitoring.
PMID- 27885229
TI - The effects of the employment of physical therapists and occupational therapists
on the gait function of adult day service users after 1 year.
AB - OBJECTIVE: The present study aimed to investigate the effects of the presence or
absence of physical therapists (PTs) and occupational therapists (OTs) in an
adult day service on the users' gait function, and to generalize the format of an
effective service aimed at the preventing the exacerbation of the gait function
and at promoting self-reliance in activities and participation. METHODS: The
study population included 830 elderly day service users (mean age, 83.7+/-6.8
years; male, n=252; female, n=578) in Japan. Their normal gait speed was measured
at the baseline and at 1 year. The sex, age, level of nursing care, and number of
adult day service users were assessed at the baseline. The subjects were divided
into 2 groups: (1) those who used services that employed PTs or OTs (the PTOT
group) and (2) those who used services that did not employ PTs or OTs (the
control group). We performed a univariate analysis to confirm the absence of
differences between the groups in terms of all their baseline variables. Next, we
performed a repeated measures analysis of variance using the presence or absence
of PT or OT and time as factors. We subsequently performed a univariate analysis
to examine the difference in the gait speed of the groups, as well as the
differences in the gait speed between the groups at the baseline and at 1 year.
RESULTS: Although the repeated measures analysis of variance did not show that
time had a significant effect on the gait speed, it showed the significant
effects of the presence or absence of PTs or OTs as well as the interaction
between time and group. Intragroup comparisons showed a significant difference
between the gait speed at baseline and that at 1 year in the PTOT group. However,
there was also a significant difference in the baseline and 1-year gait speeds of
the control group. The intergroup comparisons did not show a significant
difference in the gait speed at baseline, but did show a significant difference
in the gait speed at 1 year. CONCLUSION: The employment of PTs and OTs in adult
day service controlled the exacerbation of the gait function. The gait speed in
elderly individuals who require long-term care is associated with the activities
of daily living and mortality rates; thus, the results of the present study
indicate the need for the employment of rehabilitation specialists in adult day
services.
PMID- 27885232
TI - ?
PMID- 27885233
TI - ?
PMID- 27885231
TI - Two elderly patients with difficult-to-treat acquired hemophilia A.
AB - We herein report the cases of two elderly patients with acquired hemophilia A
(AHA) for whom treatment was difficult.An 89-year-old woman (Case 1) was admitted
to our department with subcutaneous hemorrhage and melena. Her activated partial
thromboplastin time (APTT), factor VIII activity, and factor VIII inhibitor level
were 127.7 seconds, 1.0%, and 48 BU/mL, respectively, which was suggestive of
AHA. The administration of prednisolone (PSL 0.5 mg/kg) was initiated. After 3
weeks, PSL was combined with cyclophosphamide (CPA 50 mg). Two months after the
start of treatment, her factor VIII inhibitor level decreased to 3.4 BU/mL.
However, hemorrhagic signs were repeatedly observed during the discontinuation of
recombinant activated factor VII (rFVIIa) preparation; bleeding control became
insufficient, and pneumonia developed, thus leading to a fatal outcome.An 81-year
old woman (Case 2) was admitted to our department with subcutaneous hemorrhage,
anemia (Hb: 9.2 g/dL), and a prolonged APTT (78.7 seconds). Her factor VIII
activity was reduced to 0.9%, and her factor VIII inhibitor level was markedly
increased to 1,364.9 BU/mL, suggesting AHA. Treatment with PSL (0.5 mg/kg) was
initiated. After one month, it was combined with CPA (50 mg); however, her
hemorrhagic signs were protracted, and her Hb level decreased to 8.0 g/dL.
Subsequently, pneumonia occurred. However, weekly rituximab therapy (375 mg/m2)
for 4 weeks decreased her factor VIII inhibitor level, leading to the
disappearance of the inhibitor at 1 year and 5 months. During this period, there
were no episodes requiring the administration of bypassing agents, such as
rFVIIa.
PMID- 27885234
TI - ?
PMID- 27885235
TI - ?
PMID- 27885236
TI - Proceedings of Regional Meeting of the Japan Geriatrics Society.
PMID- 27885241
TI - Effects of coke oven emissions and benzo[a]pyrene on blood pressure and
electrocardiogram in coke oven workers.
AB - OBJECTIVE: To evaluate the effects of occupational exposures to coke oven
emissions (COEs) and benzo[a]pyrene (B[a]P) on the prevalence of hypertension and
abnormal electrocardiogram (ECG) in coke oven workers. METHODS: We included 880
coke oven workers and 710 oxygen employees in the exposed and control groups,
respectively. Blood pressure (BP), ECG, blood lipid levels, and glucose levels of
all subjects were measured. COE and B[a]P concentrations at the bottom, side, and
top of the oven and control plants were estimated by weighing and high
performance liquid chromatography. RESULTS: The COE concentration at the top and
side was higher than that at the bottom (P < 0.05). The levels of B[a]P at the
top and side significantly exceeded the limit value. Abnormal BP, ECG, the
detection ratio of hypertension and left ventricular high voltage were
significantly greater in the exposed group than in the control group (P < 0.05).
The logistic regression analysis results revealed that age and B[a]P exposure
were risk factors for hypertension in coke oven workers (P < 0.05) and both were
risk factors for abnormal ECG (P < 0.05). Moreover, B[a]P exposure, age, and
gender were risk factors for impaired fasting glucose in coke oven workers (P <
0.05). CONCLUSIONS: B[a]P and COE exposures are risk factors for hypertension and
abnormal ECG in coke oven workers.
PMID- 27885240
TI - Incidence and characteristics of needlestick injuries among medical trainees at a
community teaching hospital: A cross-sectional study.
AB - OBJECTIVES: This field study aimed to determine the incidence and distribution of
needlestick injuries among medical trainees at a community teaching hospital in
Toronto, Canada. METHODS: The study was performed during the 2013-2015 academic
years at Toronto East General Hospital (TEGH), a University of Toronto-affiliated
community-teaching hospital during the 2013-2015 academic years. Eight-hundred
and forty trainees, including medical students, residents, and post-graduate
fellows, were identified and invited via email to participate in an anonymous
online fluidsurveys.com survey of 16 qualitative and quantitative questions.
RESULTS: Three-hundred and fifty trainees responded (42% response rate). Eighty
eight (25%) respondents reported experiencing at least one injury at TEGH. In
total, our survey identified 195 total injuries. Surgical trainees were
significantly more likely to incur injuries than non-surgical trainees (IRR =
3.03, 95% CI 1.80-5.10). Orthopaedic surgery trainees had the highest risk of a
needlestick injury, being over 12 times more likely to be injured than emergency
medicine trainees (IRR = 12.4, 95% CI 2.11-72.32). Only 28 of the 88 most recent
needlestick injuries were reported to occupational health. Trainees reported a
perception of insignificant risk, lack of resources and support for reporting,
and injury stigmatization as reasons for not reporting needlestick injuries.
CONCLUSIONS: Needlestick injuries were a common underreported risk to medical
trainees at TEGH. Future research should investigate strategies to reduce injury
and improve reporting among the high-risk and reporting-averse trainees.
PMID- 27885242
TI - Occupational noise-induced hearing loss in auto part factory workers in welding
units in Thailand.
AB - OBJECTIVES: Most workers in auto part factories in Thailand are usually exposed
to excessive noise in their workplace. This study aimed to assess the level of
occupational noise-induced hearing loss and investigate risk factors causing
hearing loss in auto part factory workers in the welding units in Thailand.
METHODS: This was a cross-sectional study. One hundred eighty subjects were
recruited from 356 workers in the welding unit of three factories. Sixty eligible
subjects in each factory were selected by systemic random sampling. The subjects
were interviewed using a face-to-face questionnaire. Noise exposure levels and
audiograms were measured by a noise dosimeter and an audiometer, respectively.
RESULTS: The findings confirmed that noise exposure levels of 86-90 dB (A) and
exceeding 90 dB (A) significantly increased the risk of hearing loss in either
ear. A noise exposure level exceeding 90 dB (A) significantly increased the
prevalence of hearing loss in both ears. Regarding, a 10-pack-year smoking
history increased the prevalence of hearing loss in either ear or both ears. In
addition, subjects with employment duration exceeding 10 years significantly
developed hearing loss in either ear. CONCLUSIONS: The engineering control or
personal control by wearing hearing protection device should be used to decrease
noise exposure levels lower than 85 dB (A) for 8 h. Moreover, if the exposure
level reaches 85 dB (A) for 8 h, the employer needs to implement a hearing
conservation program in the workplace.
PMID- 27885243
TI - Di-n-butyl phthalate induced hypospadias relates to autophagy in genital tubercle
via the PI3K/Akt/mTOR pathway.
AB - OBJECTIVE: To explore the mechanisms of hypospadias induced by in utero exposure
to din-butyl phthalate (DBP). METHODS: Timed-pregnant Sprague-Dawley rats were
administered 750 mg/kg of DBP by gavage from GD (gestation days) 13 to GD 18,
whereas control group received corn oil. Genital tubercles (GTs) and blood
samples were collected from male fetuses on GD 19. The serum testosterone
concentration, apoptosis activity, autophagosomes and their related proteins
(light chain 3 (LC3-I, LC3-II) ), and sequestosomes (SQSTM1/p62) in the GTs were
then measured. Protein expression of protein kinase B (Akt), Beclin 1,
phosphorylated Akt (p-Akt), p-S6, and phosphorylated mammalian target of
rapamycin (p-mTOR) in the GTs were analyzed by Western blotting. RESULTS: The
incidence of hypospadias induced by DBP was 43.64% in male fetuses. The GT volume
and GT volume/body weight of fetuses were significantly reduced in the
hypospadias and the non-hypospadias groups. Apoptotic cell number was
significantly decreased in the GTs of the hypospadias group, but unchanged in the
non-hyposadias group. The ratio of LC3-II/LC3-I was higher in the GTs from DBP
exposed fetuses compared to the control group. The ratio of LC3-II/LC3-I in the
GTs was higher in the hypospadias group than in the non-hypospadias group. The
number of autophagosomes was increased in the GTs of the hypospadias group.
Protein expression of p-S6, p-mTOR, and p-Akt were significantly decreased in the
GTs of hypospadiac rats. CONCLUSIONS: DBP-induced hypospadias might be associated
with apoptosis and autophagy mediated by the PI3K/Akt/mTOR signaling pathway in
the GT.
PMID- 27885244
TI - Internal consistency, convergent validity, and structural validity of the
Japanese version of the Physical Activity Self-Regulation scale (PASR-12) among
Japanese workers: A validation study.
AB - OBJECTIVES: Self-regulation for physical activity is considered as one of the
most effective factors in promoting physical activity. However, there is no
reliable and valid scale to measure it in Japanese. The purpose of this study was
to investigate the internal consistency, convergent validity, and structural
validity of the newly developed Japanese version of the 12-item Physical Activity
Self-Regulation scale (PASR-12) among Japanese workers. METHODS: A cross
sectional Internet-based survey recruiting 516 Japanese workers was conducted in
Japan. The PASR-12 was translated according to the International Society of
Pharmacoeconomics and Outcomes Research (ISPOR) task force guidelines. Physical
activity and self-efficacy for physical activity were measured as comparisons for
convergent validity. We calculated Cronbach's alphas, and conducted correlational
analyses and confirmatory factor analysis (CFA). RESULTS: Of 516 workers, 485
workers were eligible for all analyses. Cronbach's alpha for the scale scores
ranged from 0.79 to 0.95. The scores of the total and 6 factor scales of the
Japanese version of the PASR-12 had small-to-moderate positive correlations with
the total physical activity and self-efficacy. Moreover, the 6-factor
hypothesized model demonstrated excellent fit (chi2 (39) = 100.74, CFI = 0.973,
RMSEA = 0.057). CONCLUSIONS: The Japanese version of the PASR-12 showed good
reliability and factor-based and construct validity. Therefore, this scale could
be applied to assess self-regulation for physical activity among Japanese
workers.
PMID- 27885246
TI - The association between subjective socioeconomic status and health inequity in
victims of occupational accidents in Korea.
AB - OBJECTIVES: We aimed to investigate the health inequity of victims of
occupational accidents through the association between socioeconomic status and
unmet healthcare need. METHODS: Data from the first and second Panel Study of
Workers' Compensation Insurance were used, which included 1,803 participants. The
odds ratio and 95% confidence intervals for the unmet healthcare needs of
participants with a lower socioeconomic status and other socioeconomic statuses
were investigated using multivariate regression analysis. RESULTS: Among all
participants, 103 had unmet healthcare needs, whereas 1,700 did not. After
adjusting for sex, age, smoking, alcohol, chronic disease, recuperation duration,
accident type, disability, and economic participation, the odds ratio of unmet
healthcare needs in participants with a lower socioeconomic status was 2.04 (95%
confidence interval 1.32-3.15) compared to participants with other socioeconomic
statuses. CONCLUSIONS: The victims of occupational accidents who have a lower
socioeconomic status are more likely to have unmet healthcare needs in comparison
to those with other socioeconomic statuses.
PMID- 27885245
TI - Personal lifestyle as a resource for work engagement.
AB - OBJECTIVES: Personal lifestyle, including diet, exercise, and sleep, might have
an impact on work engagement, though previous studies have not focused on these
relationships. The aim of this study was to examine whether dietary intake of
fish, regular exercise, sufficient sleep, abstinence from alcohol, and abstinence
from tobacco were positively associated with work engagement. METHODS: We
recruited adults aged 40-74 years who attended the health checkups with a
particular focus on the metabolic syndrome in central Tokyo. In December 2015,
797 people responded to a questionnaire and 592 (74.3%) who had regular jobs were
selected for this study. Work engagement was assessed on the 9-item Utrecht Work
Engagement Scale (UWES-9). Bivariate and multivariate regression analyses were
performed to examine the relationships between lifestyle and UWES-9. RESULTS:
Dietary intake of fish, regular exercise, sufficient sleep, and abstinence from
tobacco were significantly correlated with the total UWES-9 score, even after
adjusting for age, sex, and depressive and anxiety symptoms. The results
suggested a dose-response relationship between dietary fish intake and work
engagement. CONCLUSIONS: Dietary fish intake, regular exercise, sufficient sleep,
and abstinence from tobacco might be lifestyle factors that can serve as
resources for work engagement. These findings could be useful in motivating
employees to make lifestyle improvements and convincing employers and managers
that lifestyle is important not only for health but also for productivity.
PMID- 27885247
TI - Effects of web-based stress and depression literacy intervention on improving
work engagement among workers with low work engagement: An analysis of secondary
outcome of a randomized controlled trial.
AB - OBJECTIVE: The purpose of this randomized, controlled trial was to examine the
effects of a psychoeducational information website on improving work engagement
among individual workers with low work engagement, where work engagement was
measured as a secondary outcome. METHODS: Participants were recruited from
registered members of a web survey site in Japan. Participants who fulfilled the
eligibility criteria were randomly allocated to intervention or control groups.
Immediately after the baseline survey, the intervention group was invited to
study a psychoeducational website called the "UTSMed," which provided general
mental health literacy and cognitive behavioral skills. Work engagement was
assessed by using the Utrecht Work Engagement Scale at baseline, 1-, and 4-month
follow-ups for both intervention and control groups. An exploratory analysis was
conducted for a subgroup with low (lower than the median scores) work engagement
scores at baseline. RESULTS: A total of 1,236 workers completed the baseline
survey. In the low work engagement subgroup, a total of 313 and 300 participants
were allocated to an intervention and control group, respectively. In the high
work engagement subgroup, 305 and 318 participants were allocated to an
intervention and control group, respectively. The program showed a significant
effect on work engagement (t = 1.98, P = 0.048) at the 4-month follow-up in the
low work engagement subgroup, with a small effect size (d = 0.17). CONCLUSION: A
web-based psychoeducation resource of mental health literacy and cognitive
behavioral skills may be effective for improving work engagement among individual
workers with low work engagement.
PMID- 27885248
TI - Rs3842530 Polymorphism in MicroRNA-205 Host Gene in Lung and Breast Cancer
Patients.
AB - BACKGROUND The expression of miR-205 is closely related to the occurrence,
development, and prognosis of lung cancer and breast cancer. However, studies
show that it plays opposite roles in different tumor types. Because the
expression and regulation of miR-205 are primarily confined to epigenetic areas,
whether genetic variation of miR-205 is related to the occurrence or to the
development of tumors has not been reported. The aim of this study was to screen
genetic variation of miR-205 gene and to investigate its association with the
risk and development of lung and breast cancer. MATERIAL AND METHODS Genomic DNA
was extracted from cultured tumor cell lines and formalin-fixed and paraffin
embedded lung and breast tissue samples. Bisulfite Clone Sequencing (BCS) and qRT
PCR were employed to detect the DNA methylation status and gene expression of the
miR-205 gene, respectively. Genetic variation of miR-205 and miR-205HG were
genotyped with PCR-sequencing method. Immunohistochemical analysis for ER, PR,
and HER2 was performed on breast tissue samples. RESULTS These results indicate
that the functional association of rs3842530 in miR-205HG and lung cancer might
provide a possible explanation for the tissue-dependent function of miR-205 in
different tumors. CONCLUSIONS These results indicate that the functional
association of rs3842530 in miR-205HG and lung cancer might provide a possible
explanation for the tissue-dependent function of miR-205 in different tumors.
PMID- 27885249
TI - Lactation-Related MicroRNA Expression in Microvesicles of Human Umbilical Cord
Blood.
AB - BACKGROUND The complex process by which lactation is initiated upon neonate
delivery remains incompletely understood. Microvesicles (MVs) can transmit
microRNAs (miRNAs) into recipient cells to influence cell function, and recent
studies have identified miRNAs essential for mammary gland development and
lactation. This study aimed to investigate the expression of lactation-related
miRNAs in MVs isolated from human umbilical cord blood immediately after
delivery. MATERIAL AND METHODS Umbilical cord blood samples were collected from
70 healthy pregnant women, and MVs were isolated through differential
centrifugation and characterized by transmission electron microscopy, Western
blotting, and nanoparticle tracking analysis. Lactation-related miRNAs were
screened using bioinformatics tools for miRNA target prediction, gene ontology,
and signaling pathway analyses. miRNA PCR arrays were used for miRNA expression
analysis, and the results were validated by real-time PCR. Upon exposure of HBL
100 human mammary epithelial cells to MVs, MV uptake was examined by fluorescence
confocal microscopy and b-casein secretion was detected by ELISA. RESULTS
Spherical MVs extracted from umbilical cord blood expressed CD63 and had an
average diameter of 167.0+/-77.1 nm. We profiled 337 miRNAs in human umbilical
cord blood MVs and found that 85 were related to lactation by bioinformatics
analysis. The 25 most differentially expressed lactation-related miRNAs were
validated by real-time PCR. MV uptake by HBL-100 cells was after 4 h in culture,
and significantly increased secretion of beta-casein was observed after 96 h from
cells exposed to MVs (P<0.05). CONCLUSIONS Umbilical cord blood MVs contain many
lactation-related miRNAs and can induce beta-casein production by HBL-100 cells
in vitro. Thus, umbilical cord blood MVs may mediate secretion of beta-casein
through miRNAs, thereby playing an important role in fetal-maternal crosstalk.
PMID- 27885250
TI - CXC Chemokine Receptor 4 (CXCR4) Antagonist, a Novel Pathway to Prevent Chronic
Allograft Nephropathy.
AB - BACKGROUND Chronic allograft nephropathy (CAN) remains a major problem for long
term graft survival and different pathways participate in its development. CXC
chemokine receptor 4 (CXCR4) is significantly upregulated following renal injury
and fibrotic response. We investigated the effect of AMD3100, a CXCR4 antagonist,
on the development of CAN in rat models. MATERIAL AND METHODS CAN rat models
(n=20) were established using male Fisher 344 to Lewis rats. Rats in the
experimental group (n=10) were treated with AMD3100 (1 mg/kg/day subcutaneously,
0-12 weeks), rats in the control group (n=10) were treated with saline. The serum
creatinine levels were monitored every week. Kidney grafts were harvested 12
weeks after modeling for histological analysis. We used chronic allograft damage
index (CADI) scores to evaluate each group. Q-PCR and Western blotting were used
to measure CXCR4, TGF-beta1/Smad3 signaling pathway and alpha-smooth muscle actin
(alpha-SMA) expression in renal allograft tissue. RESULTS CXCR4 expression was
increased significantly in the control group which developed intense chronic
changes after 12 weeks. Histological changes of CAN in the experimental group
were ameliorated by AMD3100 which also had better graft function compare to the
control group. AMD3100 significantly blunted the increase in the mRNA expression
level of CXCR4, TGF-beta1/Smad3, and alpha-SMA. A significant reduction in TGF
beta1 and alpha-SMA protein content was observed only in the experimental group
as shown in a representative Western blot. CONCLUSIONS Based on these findings,
CXCR4 expression may mediate in part the development of CAN. AMD3100 may
ameliorate histological changes of CAN and maintain better allograft function. It
blunts downstream effects of TGF-beta1 signaling and fibroblast activation.
Therefore, antagonism of CXCR4 may provide a novel way to prevent the development
of CAN.
PMID- 27885251
TI - Postprandial and Orthostatic Hypotension Treated by Sitagliptin in a Patient with
Dementia with Lewy Bodies.
AB - BACKGROUND Postprandial hypotension, induced by an absorption of glucose from
intestine, could be treated by acarbose; however, it was unclear whether
dipeptidyl peptidase-4 inhibitor reduced postprandial hypotension. CASE REPORT A
78-year-old woman who had experienced episodes of dizziness and hypotension after
eating was admitted to our hospital. During 24-hour ambulatory blood pressure
monitoring, there were repeated episodes of marked postprandial hypotension;
i.e., a significant systolic blood pressure reduction within two hours after
eating (from -58 to -64 mm Hg after meals). The patient was diagnosed with
dementia with Lewy bodies. The patient exhibited postprandial hyperglycemia and
hypotension after a 75 g oral glucose tolerance test. After the administration of
25 mg sitagliptin, the patient's postprandial and orthostatic hypotension was
reduced remarkably. Moreover, her Mini-Mental State Examination score
subsequently increased (from 22 to 25 points). CONCLUSIONS The dipeptidyl
peptidase-4 inhibitor sitagliptin can delay postprandial increases in glucose
levels and hypotensive episodes, as well as sympathetic nervous system
abnormalities and orthostatic hypotension.
PMID- 27885252
TI - A Reliability Generalization Meta-analysis of the Leyton Obsessional Inventory
Child Version Survey Form.
AB - BACKGROUND: The Leyton Obsessional Inventory Child Version Survey Form (LOI-CV
SF) is a scale created in order to detect obsessive-compulsive symptoms and their
effects on the daily lives of children and adolescents from ages 10 to 18 and for
the screening of non-clinic infant population. With the purpose of estimating the
reliability of the test scores, and how it varies in relation to the
characteristics of the studies, a meta-analysis of reliability generalization was
carried out. METHODS: A meta-analysis was accomplished. An exhaustive research
allowed to select 13 studies that reported some reliability estimate of the test
scores and, through the KR-21 formula, this number was increased to 43
estimations of internal consistency reliability. Taking these coefficients, an
estimation of the average reliability and analyses of the variables that could be
affecting the heterogeneity of reliability coefficients was accomplished.
RESULTS: On average, the reliability by internal consistency of the symptom scale
scores was 0.79 (95%CI:0.76 and 0.82), with minimum and maximum values of 0.52
and 0.97, respectively. A large heterogeneity was found (I2 = 96%).The predictive
model identified the standard deviation of tests scores as the most relevant
variable. The analysis of moderator variables revealed that, mainly, the standard
deviation of the test scores is the most statistically related to the
reliability, presenting a positive relationship with it. CONCLUSIONS: The results
of the LOI-CV-SF showed a satisfactory average reliability for research purposes,
but not for clinical practice purposes.
PMID- 27885253
TI - [Awareness, Treatment and Control of Hypertension in Population Aged 16 to 90
Years Old in the Valencia Region, Spain, 2010].
AB - OBJECTIVE: Hypertension is an important risk factor in terms of mortality
attributable and the main modifiable cardiovascular risk factor. The aim of the
study is to estimate the degree of awareness, treatment and control of
hypertension in population of Valencia Region and identify predictors that
explain the lack of proper control. METHODS: Cross-sectional population-based
study in 413 men and 415 women between 16 and 90 years participants in Nutrition
Survey of Valencia held in 2010. Automatic sphygmomanometer was used for taking
blood pressure. Hypertension was defined according to the criteria of 2007
European Society of Hypertension and the European Society of Cardiology. Data on
knowledge and treatment were obtained by survey. Predictors for awareness,
treatment and control of hypertension were estimated by logistic regression
models. RESULTS: The prevalence of hypertension estimated for the study
population was 38.2% (95% CI: 34.9%-41.5%). 51.4% (95% CI: 45.9%-57.0%) of the
hypertensive population, knew his condition. 88.8% of them (95% CI: 83.9%-93.6%)
were receiving drug therapy and of these, 45.1% (95% CI: 36.9%-53.3%) maintained
blood pressure controlled. CONCLUSIONS: Since the last survey in Valencia Region,
the degree of awareness of hypertension has not improved although an increase in
those treated with antihypertensive drugs is observed.
PMID- 27885254
TI - Aldehyde dehydrogenase is used by cancer cells for energy metabolism.
AB - We found that non-small-cell lung cancer (NSCLC) cells express high levels of
multiple aldehyde dehydrogenase (ALDH) isoforms via an informatics analysis of
metabolic enzymes in NSCLC and immunohistochemical staining of NSCLC clinical
tumor samples. Using a multiple reaction-monitoring mass spectrometry analysis,
we found that multiple ALDH isozymes were generally abundant in NSCLC cells
compared with their levels in normal IMR-90 human lung cells. As a result of the
catalytic reaction mediated by ALDH, NADH is produced as a by-product from the
conversion of aldehyde to carboxylic acid. We hypothesized that the NADH produced
by ALDH may be a reliable energy source for ATP production in NSCLC. This study
revealed that NADH production by ALDH contributes significantly to ATP production
in NSCLC. Furthermore, gossypol, a pan-ALDH inhibitor, markedly reduced the level
of ATP. Gossypol combined with phenformin synergistically reduced the ATP levels,
which efficiently induced cell death following cell cycle arrest.
PMID- 27885256
TI - Evolution of gossip-based indirect reciprocity on a bipartite network.
AB - Cooperation can be supported by indirect reciprocity via reputation. Thanks to
gossip, reputations are built and circulated and humans can identify defectors
and ostracise them. However, the evolutionary stability of gossip is allegedly
undermined by the fact that it is more error-prone that direct observation,
whereas ostracism could be ineffective if the partner selection mechanism is not
robust. The aim of this work is to investigate the conditions under which the
combination of gossip and ostracism might support cooperation in groups of
different sizes. We are also interested in exploring the extent to which errors
in transmission might undermine the reliability of gossip as a mechanism for
identifying defectors. Our results show that a large quantity of gossip is
necessary to support cooperation, and that group structure can mitigate the
effects of errors in transmission.
PMID- 27885255
TI - TFAP2C-mediated upregulation of TGFBR1 promotes lung tumorigenesis and epithelial
mesenchymal transition.
AB - TFAP2C (transcription factor-activating enhancer-binding protein 2C) expression
has been positively correlated with poor prognosis in patients with certain types
of cancer, but the mechanisms underlying TFAP2C-mediated tumorigenesis in non
small-cell lung cancer (NSCLC) are still unknown. We previously performed a
microarray analysis to identify TFAP2C regulation genes, and TGFBR1 (transforming
growth factor-beta receptor type 1) was found to be upregulated by TFAP2C. We
observed that TFAP2C or TGFBR1 overexpression led to oncogenic properties, such
as cell viability, proliferation and cell cycle progression. TGFBR1 upregulation
induced by TFAP2C also promoted cell motility and migration, leading to malignant
development. We also found that PAK1 (p21 protein (Cdc42/Rac)-activated kinase 1)
signaling was involved in TFAP2C/TGFBR1-induced tumorigenesis. These results were
confirmed by an in vivo xenograft model and patient tissue samples. This study
shows that TFAP2C promoted tumor progression by upregulation of TGFBR1 and
consequent activation of PAK1 signaling.
PMID- 27885257
TI - From Quasi-Planar B56 to Penta-Ring Tubular Ca(c)B56: Prediction of Metal
Stabilized Ca(c)B56 as the Embryo of Metal-Doped Boron alpha-Nanotubes.
AB - Motifs of planar metalloborophenes, cage-like metalloborospherenes, and metal
centered double-ring tubular boron species have been reported. Based on extensive
first-principles theory calculations, we present herein the possibility of doping
the quasi-planar C2v B56 (A-1) with an alkaline-earth metal to produce the penta
ring tubular Ca(c)B56 (B-1) which is the most stable isomer of the system
obtained and can be viewed as the embryo of metal-doped (4,0) boron alpha
nanotube Ca(c)BNT(4,0) (C-1). Ca(c)BNT(4,0) (C-1) can be constructed by rolling
up the most stable boron alpha-sheet and is predicted to be metallic in nature.
Detailed bonding analyses show that the highly stable planar C2v B56 (A-1) is the
boron analog of circumbiphenyl (C38H16) in pi-bonding, while the 3D aromatic C4v
Ca(c)B56 (B-1) possesses a perfect delocalized pi system over the sigma-skeleton
on the tube surface. The IR and Raman spectra of C4v Ca(c)B56 (B-1) and
photoelectron spectrum of its monoanion C4v Ca
PMID- 27885258
TI - Circulating periostin in relation to insulin resistance and nonalcoholic fatty
liver disease among overweight and obese subjects.
AB - Recent study showed periostin play a pivotal role in abnormal liver triglyceride
(TG) accumulation and in the development of obesity-related liver fat
accumulation. However, little is known regarding whether periostin plays a key
role in the heightened prevalence of NAFLD and other metabolic phenotypes among
large-scale populations. A cross-sectional sample of 8850 subjects aged 40 yr or
older from China were evaluated in this study. Serum periostin was measured by
ELISA methods. The diagnosis of NAFLD by liver ultrasonic examination. Among
overweight and obese subjects, NAFLD subjects had higher serum periostin levels
than those without NAFLD (126.75 ng/ml vs. 75.96 ng/ml, p < 0.001). Periostin was
associated with a higher risk for NAFLD (OR 1.75 for each SD increase in
periostin, 95% CI 1.04-3.37, p < 0.001) among overweight and obese subjects after
confounder adjustment. Furthermore, periostin levels among overweight and obese
subjects were correlated with aspartate aminotransferase (r = 0.102, p = 0.004),
alanine aminotransferase (r = 0.108, p = 0.003), waist circumference (r = 0.111,
p = 0.002), homeostasis model assessment index-insulin resistance (r = 0.154, p <
0.001) and fasting plasma insulin (r = 0.098, p = 0.006), TG (r = 0.117, p =
0.001). Elevated circulating periostin level was associated with an increased
risk of having NAFLD and insulin resistance among overweight and obese
individuals.
PMID- 27885259
TI - TrackNTrace: A simple and extendable open-source framework for developing single
molecule localization and tracking algorithms.
AB - Super-resolution localization microscopy and single particle tracking are
important tools for fluorescence microscopy. Both rely on detecting, and
tracking, a large number of fluorescent markers using increasingly sophisticated
computer algorithms. However, this rise in complexity makes it difficult to fine
tune parameters and detect inconsistencies, improve existing routines, or develop
new approaches founded on established principles. We present an open-source
MATLAB framework for single molecule localization, tracking and super-resolution
applications. The purpose of this software is to facilitate the development,
distribution, and comparison of methods in the community by providing a unique,
easily extendable plugin-based system and combining it with a novel visualization
system. This graphical interface incorporates possibilities for quick inspection
of localization and tracking results, giving direct feedback of the quality
achieved with the chosen algorithms and parameter values, as well as possible
sources for errors. This is of great importance in practical applications and
even more so when developing new techniques. The plugin system greatly simplifies
the development of new methods as well as adapting and tailoring routines towards
any research problem's individual requirements. We demonstrate its high speed and
accuracy with plugins implementing state-of-the-art algorithms and show two
biological applications.
PMID- 27885261
TI - Curvature-driven bubbles or droplets on the spiral surface.
AB - Directional motion of droplets or bubbles can often be observed in nature and our
daily life, and this phenomenon holds great potential in many engineering areas.
The study shows that droplets or bubbles can be driven to migrate perpetually on
some special substrates, such as the Archimedean spiral, the logarithmic spiral
and a cantilever sheet in large deflection. It is found that a bubble approaches
or deviates from the position with highest curvature of the substrate, when it is
on the concave or convex side. This fact is helpful to explain the repelling
water capability of Nepenthes alata. Based on the force and energy analysis, the
mechanism of the bubble migration is well addressed. These findings pave a new
way to accurately manipulate droplet or bubble movement, which bring inspirations
to the design of microfluidic and water harvesting devices, as well as oil
displacement and ore filtration.
PMID- 27885260
TI - Nitric oxide triggers a transient metabolic reprogramming in Arabidopsis.
AB - Nitric oxide (NO) regulates plant growth and development as well as responses to
stress that enhanced its endogenous production. Arabidopsis plants exposed to a
pulse of exogenous NO gas were used for untargeted global metabolomic analyses
thus allowing the identification of metabolic processes affected by NO. At early
time points after treatment, NO scavenged superoxide anion and induced the
nitration and the S-nitrosylation of proteins. These events preceded an extensive
though transient metabolic reprogramming at 6 h after NO treatment, which
included enhanced levels of polyamines, lipid catabolism and accumulation of
phospholipids, chlorophyll breakdown, protein and nucleic acid turnover and
increased content of sugars. Accordingly, lipid-related structures such as root
cell membranes and leaf cuticle altered their permeability upon NO treatment.
Besides, NO-treated plants displayed degradation of starch granules, which is
consistent with the increased sugar content observed in the metabolomic survey.
The metabolic profile was restored to baseline levels at 24 h post-treatment,
thus pointing up the plasticity of plant metabolism in response to nitroxidative
stress conditions.
PMID- 27885262
TI - Comparison of the effect on bone healing process of different implants used in
minimally invasive plate osteosynthesis: limited contact dynamic compression
plate versus locking compression plate.
AB - Minimally invasive plate osteosynthesis (MIPO) has been widely accepted because
of its satisfactory clinical outcomes. However, the implant construct that works
best for MIPO remains controversial. Different plate designs result in different
influence mechanisms to blood flow. In this study, we created ulnar fractures in
42 beagle dogs and fixed the fractures using MIPO. The dogs were randomly divided
into two groups and were fixed with a limited contact dynamic compression plate
(LC-DCP) or a locking compression plate (LCP). Our study showed that with MIPO,
there was no significant difference between the LCP and the LC-DCP in terms of
fracture fixation, bone formation, or mineralization. Combined with the previous
literature, we inferred that the healing process is affected by the quality of
fracture reduction more than plate selection.
PMID- 27885263
TI - Targeting High Dynamin-2 (DNM2) Expression by Restoring Ikaros Function in Acute
Lymphoblastic Leukemia.
AB - Dynamin-2 (DNM2) is a GTPase essential for intracellular vesicle formation and
trafficking, cytokinesis and receptor endocytosis. Mutations in DNM2 are common
in early T-cell precursor acute lymphoblastic leukemia. However, DNM2 expression
in other types of ALL are not reported. We studied DNM2 mRNA level in adults with
B- and T-cell ALL. We found DNM2 is more highly expressed compared with normals
in both forms of ALL. High DNM2 expression is associated with some clinical and
laboratory features, inferior outcomes and with leukaemia cell proliferation. We
also found Ikaros directly binds the DNM2 promoter and suppresses DNM2
expression. Consequently IKZF1 deletion is associated with high DNM2 expression.
Conversely, casein kinase-2 (CK2)-inhibitor increases Ikaros function thereby
inhibiting DNM2 expression. Inhibiting DNM2 suppresses proliferation of leukemia
cells and synergizes with CK2 inhibition. Our data indicate high DNM2 expression
is associated with Ikaros dysregulation and may be important in the development
of B-ALL.
PMID- 27885266
TI - Electrospray deposition device used to precisely control the matrix crystal to
improve the performance of MALDI MSI.
AB - MALDI MSI has been recently applied as an innovative tool for detection of
molecular distribution within a specific tissue. MALDI MSI requires deposition of
an organic compound, known as matrix, on the tissue of interest to assist analyte
desorption and ionization, in which the matrix crystal homogeneity and size
greatly influence the imaging reproducibility and spatial resolution in MALDI
MSI. In this work, a homemade electrospray deposition device was developed for
deposition of matrix in MALDI MSI. The device could be used to achieve 1 MUm
homogeneous matrix crystals in MALDI MSI analysis. Moreover, it was found, for
the first time, that the electrospray deposition device could be used to
precisely control the matrix crystal size, and the imaging spatial resolution was
increased greatly as the matrix crystals size becoming smaller. In addition, the
easily-built electrospray deposition device was durable for acid, base or organic
solvent, and even could be used for deposition of nanoparticles matrix, which
made it unparalleled for MALDI MSI analysis. The feasibility of the electrospray
deposition device was investigated by combination with MALDI FTICR MSI to analyze
the distributions of lipids in mouse brain and liver cancer tissue section.
PMID- 27885264
TI - Deciphering the divergent roles of progestogens in breast cancer.
AB - Most breast cancers are driven by oestrogen receptor-alpha. Anti-oestrogenic
drugs are the standard treatment for these breast cancers; however, treatment
resistance is common, necessitating new therapeutic strategies. Recent
preclinical and historical clinical studies support the use of progestogens to
activate the progesterone receptor (PR) in breast cancers. However, widespread
controversy exists regarding the role of progestogens in this disease, hindering
the clinical implementation of PR-targeted therapies. Herein, we present and
discuss data at the root of this controversy and clarify the confusion and
misinterpretations that have consequently arisen. We then present our view on how
progestogens may be safely and effectively used in treating breast cancer.
PMID- 27885267
TI - Contributory roles of two l-lactate dehydrogenases for l-lactic acid production
in thermotolerant Bacillus coagulans.
AB - Thermotolerant Bacillus coagulans is considered to be a more promising producer
for bio-chemicals, due to its capacity to withstand harsh conditions. Two L
lactate dehydrogenase (LDH) encoding genes (ldhL1 and ldhL2) and one D-LDH
encoding gene (ldhD) were annotated from the B. coagulans DSM1 genome.
Transcriptional analysis revealed that the expression of ldhL2 was undetectable
while the ldhL1 transcription level was much higher than that of ldhD at all
growth phases. Deletion of the ldhL2 gene revealed no difference in fermentation
profile compared to the wild-type strain, while ldhL1 single deletion or
ldhL1ldhL2 double deletion completely blocked L-lactic acid production.
Complementation of ldhL1 in the above knockout strains restored fermentation
profiles to those observed in the wild-type strain. This study demonstrates ldhL1
is crucial for L-lactic acid production and NADH balance in B. coagulans DSM1 and
lays the fundamental for engineering the thermotolerant B. coagulans strain as a
platform chemicals producer.
PMID- 27885265
TI - The disparate origins of ovarian cancers: pathogenesis and prevention strategies.
AB - Ovarian cancer is the fifth cause of cancer-related death in women and comprises
a histologically and genetically broad range of tumours, including those of
epithelial, sex cord-stromal and germ cell origin. Recent evidence indicates that
high-grade serous ovarian carcinoma, clear cell carcinoma and endometrioid
carcinoma primarily arise from tissues that are not normally present in the
ovary. These histogenetic pathways are informing risk-reduction strategies for
the prevention of ovarian and ovary-associated cancers and have highlighted the
importance of the seemingly unique ovarian microenvironment.
PMID- 27885268
TI - Loss-induced Enhanced Transmission in Anisotropic Density-near-zero Acoustic
Metamaterials.
AB - Anisotropic density-near-zero (ADNZ) acoustic metamaterials are investigated
theoretically and numerically in this paper and are shown to exhibit
extraordinary transmission enhancement when material loss is induced. The
enhanced transmission is due to the enhanced propagating and evanescent wave
modes inside the ADNZ medium thanks to the interplay of near-zero density,
material loss, and high wave impedance matching in the propagation direction. The
equi-frequency contour (EFC) is used to reveal whether the propagating wave mode
is allowed in ADNZ metamaterials. Numerical simulations based on plate-type
acoustic metamaterials with different material losses were performed to
demonstrate collimation and subwavelength imaging enabled by the induced loss in
ADNZ media. This work provides a different way for manipulating acoustic waves.
PMID- 27885269
TI - PbS Colloidal Quantum Dot Photodetectors operating in the near infrared.
AB - Colloidal quantum dots have recently attracted lot of interest in the fabrication
of optoelectronic devices due to their unique optical properties and their simple
and low cost fabrication. PbS nanocrystals emerged as the most advanced colloidal
material for near infrared photodetectors. In this work we report on the
fabrication and characterization of PbS colloidal quantum dot photoconductors. In
order to make devices suitable for the monolithic integration with silicon
electronics, we propose a simple and low cost process for the fabrication of
photodetectors and investigate their operation at very low voltage bias. Our
photoconductors feature high responsivity and detectivity at 1.3 MUm and 1 V bias
with maximum values of 30 A/W and 2.1010 cmHz1/2W-1, respectively. Detectivity
close to 1011 cmHz1/2W-1 has been obtained resorting to bridge sensor readout.
PMID- 27885270
TI - GDP per capita and obesity prevalence worldwide: an ambiguity of effects
modification.
PMID- 27885271
TI - p53 pathway dysfunction is highly prevalent in acute myeloid leukemia independent
of TP53 mutational status.
AB - TP53 mutations are associated with the lowest survival rates in acute myeloid
leukemia (AML). In addition to mutations, loss of p53 function can arise via
aberrant expression of proteins that regulate p53 stability and function. We
examined a large AML cohort using proteomics, mutational profiling and network
analyses, and showed that (1) p53 stabilization is universal in mutant TP53
samples, it is frequent in samples with wild-type TP53, and in both cases
portends an equally dismal prognosis; (2) the p53 negative regulator Mdm2 is
frequently overexpressed in samples retaining wild-type TP53 alleles, coupled
with absence of p21 expression and dismal prognosis similar to that of cases with
p53 stabilization; (3) AML samples display unique patterns of p53 pathway protein
expression, which segregate prognostic groups with distinct cure rates; (4) such
patterns of protein activation unveil potential AML vulnerabilities that can be
therapeutically exploited.
PMID- 27885272
TI - Driver mutations' effect in secondary myelofibrosis: an international multicenter
study based on 781 patients.
PMID- 27885274
TI - Multicolor Colormetric Biosensor for the Determination of Glucose based on the
Etching of Gold Nanorods.
AB - In this work, 3,3',5,5'-tetramethylbenzidine(II) (TMB2+), derived from H2O2
horseradish peroxidase (HRP)-3,3',5,5'-tetramethylbenzidine (H2O2-HRP-TMB)
reaction system, was used to etch AuNRs to generate different colors of solution.
Many enzyme reactions are involved in the production of H2O2 (e.g., glucose can
react with the dissolved oxygen in the presence of glucose oxidase (GOx) to
produce H2O2). Given this information, a simple visual biosensor was developed in
this study, with glucose as the example target. The detection range of the
proposed system varied with the experimental conditions, such as the
concentration of GOx and HRP, and enzymatic reaction time. Under the optimized
conditions, the longitudinal shift of localized surface plasmon resonances (LSPR)
had a linear correlation with the glucose concentration in the range of 0.1~1.0
mM. Meanwhile, the solution displayed a specific color in response to the glucose
concentration, thus enabling the visual quantitative detection of glucose at a
glance. Compared with the traditional monochromic colorimetry, this multicolor
glucose sensor generates various vivid colors, which can be easily distinguished
by naked eyes without any sophisticated instrument. Notably, the proposed method
has been successfully applied to detect glucose in serum samples with satisfied
results.
PMID- 27885273
TI - Fatty acid-binding protein FABP4 mechanistically links obesity with aggressive
AML by enhancing aberrant DNA methylation in AML cells.
AB - Obesity is becoming more prevalent worldwide and is a major risk factor for
cancer development. Acute myeloid leukemia (AML), the most common acute leukemia
in adults, remains a frequently fatal disease. Here we investigated the molecular
mechanisms by which obesity favors AML growth and uncovered the fatty acid
binding protein 4 (FABP4) and DNA methyltransferase 1 (DNMT1) regulatory axis
that mediates aggressive AML in obesity. We showed that leukemia burden was much
higher in high-fat diet-induced obese mice, which had higher levels of FABP4 and
interleukin (IL)-6 in the sera. Upregulation of environmental and cellular FABP4
accelerated AML cell growth in both a cell-autonomous and cell-non-autonomous
manner. Genetic disruption of FABP4 in AML cells or in mice blocked cell
proliferation in vitro and induced leukemia regression in vivo. Mechanistic
investigations showed that FABP4 upregulation increased IL-6 expression and
signal transducer and activator of transcription factor 3 phosphorylation leading
to DNMT1 overexpression and further silencing of the p15INK4B tumor-suppressor
gene in AML cells. Conversely, FABP4 ablation reduced DNMT1-dependent DNA
methylation and restored p15INK4B expression, thus conferring substantial
protection against AML growth. Our findings reveal the FABP4/DNMT1 axis in the
control of AML cell fate in obesity and suggest that interference with the
FABP4/DNMT1 axis might be a new strategy to treat leukemia.
PMID- 27885275
TI - TDB protects vascular endothelial cells against oxygen-glucose
deprivation/reperfusion-induced injury by targeting miR-34a to increase Bcl-2
expression.
AB - Prolonged ischemia can result in apoptotic death of vascular endothelial cells
and lead to ischemic vascular diseases including vascular dementia,
arteriosclerosis and brain oedema. Finding protective strategies to prevent this
is therefore an urgent mission. Recent studies have shown that dysregulation of
microRNAs (miRNAs) can lead to imbalance of Bcl-2 family proteins and
mitochondrial dysfunction, leading to further damage of vascular cells under
ischemic conditions. However, whether miRNAs can be used as a drug target for
treating vascular diseases is not fully understood. In this study, we observed
that the natural product 2,4,5-trihydroxybenzaldehyde (TDB) could effectively
inhibit vascular cell apoptosis following oxygen-glucose deprivation/reperfusion
(OGD/R) by maintaining mitochondrial membrane potential (MMP) and suppressing
activation of the mitochondria-dependent caspase-9/3 apoptosis pathway.
Furthermore, we identified miR-34a, a crucial negative regulator of Bcl-2, as a
target for the protective effect of TDB on vascular cells. TDB-induced
suppression of miR-34a resulted in a significant upregulation of Bcl-2 protein,
MMP maintenance, and the survival of vascular cells following OGD/R. Our findings
suggest that targeting miR-34a with the natural product TDB may provide a novel
strategy for the treatment of ischemic vascular injuries, and demonstrate the
therapeutic potential in targeting miRNAs using appropriate small molecules.
PMID- 27885277
TI - T cells: A tissue checkpoint for TH2s.
PMID- 27885278
TI - Infection: Interferons suppress antibody responses.
PMID- 27885279
TI - Macrophages: Macrophage muscle man.
PMID- 27885280
TI - Infection: Zika virus: end of transmission?
PMID- 27885281
TI - Macrophages: Granuloma macrophage differentiation.
PMID- 27885276
TI - Immunoregulation by members of the TGFbeta superfamily.
AB - The transforming growth factor-beta (TGFbeta) superfamily is encoded by 33 genes
and includes TGFbeta, bone morphogenetic proteins (BMPs) and activins. Although
TGFbeta is well recognized as a crucial regulator of immune responses, the
immunoregulatory functions of other TGFbeta family members are less clear.
However, recent evidence suggests that BMPs and activins have important roles in
regulating immune responses. In this Review, we briefly outline the signalling
pathways of the TGFbeta superfamily and discuss new insights into the
immunoregulatory functions of BMPs and activins in the context of infection,
inflammation and cancer.
PMID- 27885282
TI - Genome-wide association studies of drug response and toxicity: an opportunity for
genome medicine.
PMID- 27885284
TI - Snakebite in Nepal: Neglected Public Health Challenge.
PMID- 27885285
TI - Emergence of Aminoglycoside Resistance Due to armA methylase in Multi-drug
Resistant Acinetobacter Baumannii Isolates in a University Hospital in Nepal.
AB - BACKGROUND: The emergence of multidrug-resistant Acinetobacter baumannii
associated with hospital-acquired infections has been increasingly reported
worldwide. 16S rRNA methylase producing Gram-negative bacteria are highly
resistant to all clinically important aminoglycosides. We analyzed A. baumannii
clinical isolates resistant to aminoglycosides from hospitalized patients. The
objective of this study was to investigate the emergence of armA in A.baumannii
species associated with nosocomial infection in a university hospital in Nepal.
METHODS: This was a cross-sectional study conducted at the department of Clinical
Microbiology, Tribhuvan University Teaching Hospital (TUTH), from December 2013
to December 2014. A total of 246 Acinetobacter species were isolated from
different patients were screened for MDR A. baumannii. Identification at the
species level was confirmed by 16S rRNA sequencing. Drug susceptibility testing
was performed by Kirby- Bauer disc diffusion method and minimum inhibitory
concentrations (MICs) were determined using the guidelines of the Clinical and
Laboratory Standards Institute (CLSI). Screening for 16S rRNA methylase
production was done for the isolates resistant to gentamicin and amikacin.
Detection of 16S rRNA methylase gene was done by PCR. RESULTS: All 122 multidrug
resistant A. baumanniiisolates were resistant to majority of the antibiotics used
except polymyxin and tigecycline. Ninty-six MDR A. baumannii isolates had MICs of
> 512 mg/L to amikacin and arbekacin indicating their high resistance to
aminoglycosides.Of the 96 pan-aminoglycoside resistant isolates, 75 isolates had
16SrRNAmethylasewith all isolates harboring armA gene. CONCLUSIONS: This is the
first report describing multidrug-resistant A. baumannii strains harboring armA
from hospitalized patients in Nepal. A methylase gene (armA), conferring high
level of resistance to aminoglycosides, was detected in majority of our isolates.
PMID- 27885286
TI - Immediate Outcome of Hypoxic Ischaemic Encephalopathy in Hypoxiate Newborns in
Nepal Medical College.
AB - BACKGROUND: Birth asphyxia is the fifth major cause of under-five child deaths
after pneumonia, diarrhoea, neonatal infections and complications of preterm
birth. It is one of the important causes of neonatal mortality and morbidity
accounting up to 30% of neonatal death in Nepal. It is also an important cause of
long-term neurological disability and impairment. The mortality rate due to birth
asphyxia is considered a good guide to the quality of perinatal care. This study
was conducted to assess the rate of birth asphyxia, risk factors and outcome of
the babies who were asphyxiated at birth. METHODS: A prospective study was
conducted during the period of one year from April 2013 to March 2014 in Nepal
Medical College. All the term babies born during the period with APGAR score at 5
minutes of < 7 were considered to have birth asphyxia and included in the study.
Details of maternal risk factors during pregnancy and labor were analyzed. The
newborn babies were assessed for clinical features of hypoxic ischemic
encephalopathy (HIE) and its immediate outcome. RESULTS: Out of 2226 live births,
47 (15.9%) newborns had birth asphyxia with the rate of 21.1/1000 live births.
The mortality rate due to birth asphyxia was 4.25%. Meconium stained liquor was
present in 31(65.96%) cases during delivery and prolonged rupture of membrane in
7(14.89%). CONCLUSIONS: Early identification and close monitoring of high-risk
mothers with maintaining partograph during labor help to reduce birth asphyxia.
PMID- 27885287
TI - Comparative Study of Prevalence of Cataract at High Altitude and Kathmandu
Valley.
AB - BACKGROUND: Cataract is the leading cause of avoidable blindness in the world.
Many etiological and risk factors for age related cataract has been documented.
The present study is conducted to compare the prevalence of cataract at high
altitude and Kathmandu valley.Many etiological and risk factors for age related
cataract has been documented. METHODS: A cross sectional comparative study was
conducted at Jomsom, Kagbeni, Jharkot and Muktinath of Mustang district and
Balaju and Jawalakhel of Kathmandu valley of Nepal in 2009 to 2011 . There were
222 participants at Mustang and186 participants at Kathmandu. The prevalence of
cataract was studied among the Tibetans and Thakali population at Mustang and
Tibetans at Jawalakhel and Thakali population at Balaju of Kathmandu valley.
RESULTS: Prevalence of cataract at high altitude was 31.5% and 10.2% at Kathmandu
valley. The prevalence of cataract is 4.05 times more at high altitude as
compared to Kathmandu valley (p value < 0.001). CONCLUSIONS: The prevalence of
cataract was significantly high at high altitude as compared to lower altitude.
PMID- 27885288
TI - Correlation between Clinical Features and Magnetic Resonance Imaging Findings in
Lumbar Disc Prolapse.
AB - BACKGROUND: Magnetic resonance imaging is routinely done for diagnosis of lumbar
disc prolapse. Many abnormalities of disc are observed even in asymptomatic
patient.This study was conducted tocorrelate these abnormalities observed on
Magnetic resonance imaging and clinical features of lumbar disc prolapse.
METHODS: A This prospective analytical study includes 57 cases of lumbar disc
prolapse presenting to Department of Orthopedics, Tribhuvan University Teaching
Hospital from March 2011 to August 2012. All patientshad Magnetic resonance
imaging of lumbar spine and the findings regarding type, level and position of
lumbar disc prolapse, any neural canal or foraminal compromise was recorded.
These imaging findings were then correlated with clinical signs and symptoms. Chi
square test was used to find out p-value for correlation between clinical
features and Magnetic resonance imaging findings using SPSS 17.0. RESULTS: This
study included 57 patients, with mean age 36.8 years. Of them 41(71.9%) patients
had radicular leg pain along specific dermatome. Magnetic resonance imaging
showed 104 lumbar disc prolapselevel. Disc prolapse at L4-L5 and L5-S1 level
constituted 85.5%.Magnetic resonance imaging findings of neural foramina
compromise and nerve root compression were fairly correlated withclinical
findings of radicular pain and neurological deficit. CONCLUSIONS: Clinical
features and Magnetic resonance imaging findings of lumbar discprolasehad
faircorrelation, but all imaging abnormalities do not have a clinical
significance.
PMID- 27885289
TI - Assessment of Knee Joint Injuries with Low Field Strength Magnetic Resonance
Imaging.
AB - BACKGROUND: Magnetic Resonance Imaging is an appropriate screening tool before
therapeutic arthroscopy, making diagnostic arthroscopy unnecessary in most
patients. This study aims to evaluate the MRI findings in knee injuries and
diagnostic value of low Strength MRI for assessing Meniscal and cruciate ligament
tear. METHODS: A cross sectional study was conducted on patients undergoing
"Magnetic Resonance Imaging of the Knee" for injuries of the knee and excluded
patients undergoing MRI for other causes, poor diagnostic quality MRI and post
operative MRI. All patients were interviewed for mechanism of injury and followed
up for arthroscopic findings. Statistical analysis was doe using IBM SPSS 20.0.
RESULTS: A total of 81 MRIs was included in the study. Arthroscopic finding of
only 32 patients could be followed up. Anterior cruciate ligament (ACL) tear was
the most common internal ligament tear accounting for 34(42%) of cases followed
by medial meniscus tear in 33(40.7%). Twisting 14( 42.4%)was the most common
mechanism involved in medial meniscus tear while combined mechanism of injury was
most common mechanism for ACL tear 16( 47.05%). The sensitivity of MRI for
diagnosis of ACL tear and medial meniscus tear was 96.3% and 94.7% respectively.
Specificity for ACL tear was however only 80% and that for medial meniscus tear
was 100%. CONCLUSIONS: The diagnostic value of MRI for diagnosing internal
derangement of knee was high even with a low Tesla (0.3 T) MRI thus emphasizing
the role of MRI as a non-invasive alternative to diagnostic arthroscopy.
PMID- 27885290
TI - Effectiveness of Spinal Anaesthesia versus General Anaesthesia for Open
Cholecystectomy.
AB - BACKGROUND: Cholecystectomy is performed either as an open or a laparascopic
route. Despite of a number of peri-operative and post-operative benefits of
laparascopic cholecystectomy, the traditional and invasive open cholecystectomy
is still in frequent practice for various reasons. Though general anaesthesia is
regarded as the gold standard anaesthetic technique, alternatives to it such as
spinal anaesthesia, with its advantages, outweighs general anaesthesia. Spinal
anaesthesia, therefore, could be a safe and effective anaesthetic procedure over
general anaesthesia for open cholecystectomy. METHODS: 120 patients with
uncomplicated symptomatic gallstone disease undergoing open cholecystectomy and
complying with ASA I or II physical status, aged between 18 and 70 years of
either sex and BMI <= 30 kg/m2 were enrolled for the study. They were randomly
categorized into SA group (received spinal anaesthesia) and GA group (received
general anaesthesia), each group containing 60 patients. Intra-operative events
and post-operative events were observed up to 48 hours post-surgery and compared
between the groups. Data is in percentage and mean with standard deviation and
median. Statistical analysis was done using independent t-test, chi-square test,
relative risks and ANOVA. RESULTS: Spinal anaesthesia is safe and effective in
pain management post open cholecystectomy. The median pain-free intervalin SA
group was 8hours as compared to 1 hour in GA group. The average mean pain score
was also significantly less in SA group than in GA group at all intervals of time
observed. Majority (90%) in SA groups were managed with intramuscular
diclofenacsodium whereas majority in GA group were managed with intramuscular
pethedine. Intra-operatively, SA group had more cases of haemodynamic instability
than GA group, which were easily managed in both the groups. The differences in
the incidence of post-operative nausea and vomiting and the days of hospital stay
between the groups were not significant. CONCLUSIONS: Spinal anaesthesia is safe
and more effective than general anaesthesia for uncomplicated open
cholecystectomy in terms of peri-operative events and, in reducing post-operative
pain, as well as in terms of surgeon's satisfaction as well.
PMID- 27885283
TI - Induced protein degradation: an emerging drug discovery paradigm.
AB - Small-molecule drug discovery has traditionally focused on occupancy of a binding
site that directly affects protein function, and this approach typically
precludes targeting proteins that lack such amenable sites. Furthermore, high
systemic drug exposures may be needed to maintain sufficient target inhibition in
vivo, increasing the risk of undesirable off-target effects. Induced protein
degradation is an alternative approach that is event-driven: upon drug binding,
the target protein is tagged for elimination. Emerging technologies based on
proteolysis-targeting chimaeras (PROTACs) that exploit cellular quality control
machinery to selectively degrade target proteins are attracting considerable
attention in the pharmaceutical industry owing to the advantages they could offer
over traditional small-molecule strategies. These advantages include the
potential to reduce systemic drug exposure, the ability to counteract increased
target protein expression that often accompanies inhibition of protein function
and the potential ability to target proteins that are not currently
therapeutically tractable, such as transcription factors, scaffolding and
regulatory proteins.
PMID- 27885291
TI - Factors Determining Availability, Utilization and Retention of Child Health Card
in Western Nepal.
AB - BACKGROUND: The immunization card is revised with addition of general information
about child health and is later called as child health card. This card is a tool
used by Health Management Information System in Nepal. It is important for
tracking the records of immunization. Aim is to identify the factors determining
the availability, utilization and retention of the child health card in Western
Nepal. METHODS: A cross sectional study was conducted among mothers having
children < 24 months old from Gorkha (Western Hill) and Nawalparasi (Western
Terai) districts. The sample size for the study was 600 and systematic random
sampling was used to select the mothers having less than 24 months old children.
Data entry and analysis was done by using SPSS. Qualitative data was analyzed by
making matrix. RESULTS: The average age of respondents was 24 years. The majority
of respondents have gained higher level education. Retention of the card was
found to be 82.2%. 90.3% retention was seen among 0-12 months children age group
whereas it was 74 % among12 to 24 months age group. The reasons for less
retention were torn by the child/played by child (54.6%) followed by lack of
proper place,unaware about importance and poor quality of card.The new child
health cards were insufficient, compelling use of both new and old cards which
created problem in consistency. Regarding utilization of child health card, it
was found to be used for birth registration and for further studies in abroad.
CONCLUSIONS: The areas of utilization of child health card should be broadened so
that the retention of card can be increased. The main reasons for less retention
of the card are torn by children and lack of the proper place.
PMID- 27885292
TI - An Assessment of Diabetic Retinopathy and Diabetes Management System in Nepal.
AB - BACKGROUND: Visual damage due to diabetic retinopathy is a major concern which
can be reduced through appropriate coordination and cooperation between the
diabetes management services and diabetic retinopathy services. The study
assessed the existence, availability and accessibility of health care services
for diabetes mellitus and diabetic retinopathy in Nepal. METHODS: The study was
carried out from 1 April to 24 June 2015. The tool for assessment of diabetic
retinopathy and diabetes management systems developed by the World Health
Organization was used for the assessment of major stakeholders like
endocrinologists, ophthalmologists, ophthalmic assistants, nurses involved in
diabetes care, patients and human resources from Ministry of Health and
Population and international non-governmental organizations dealing with eye care
services in Nepal. RESULTS: Thirty-seven key stakeholders were selected for the
study. Six out of fifteen ophthalmologists were unaware about the prioritization
of diabetes as national health concern. The main function of diabetes association
included patient education and awareness 18(48.6%), clinician education and
awareness 16(43.2%). Thirteen professionals (35.1%) said that the patients were
not found to be aware about diabetic patients' organizations. The information to
community is provided occasionally and only through national-level media. All
forms of diabetes care were funded out-of-pocket by the patients themselves.
CONCLUSIONS: Coordination should be strengthened for an effective and holistic
management of diabetes mellitus making diabetes care and diabetic retinopathy
services more accessible. Diabetes mellitus and its complications are becoming a
public health threat in Nepal.
PMID- 27885294
TI - Infant and Young Child Feeding Practices among Mothers at Chapagaun VDC.
AB - BACKGROUND: Since childhood under nutrition and mortality are high in Nepal,
promotion of infant and young child feeding practices among children is most
critical intervention,which contributes to improved nutrition, health and
development of children ultimately having impact on child survival. The objective
of the study was to identify infant and young child feeding practices. METHODS: A
descriptive cross-sectional study was carried out among mothers visiting
Chapagaun Primary Health Care and Resource Centre (PHCRC) with child aged 6-23
months between January1-14, 2016. Interview of 62 respondents was done by using
structured questionnaire. The obtained data was analyzed by using Statistical
Package for Social Sciences (SPSS) version 20 and Chi square test was performed.
RESULTS: Majority (72.6%) initiated breastfeeding within 1 hour of delivery and
gave colostrum as the first feed to the child. The rate of exclusive
breastfeeding was 61.3%. Some of the children were initiated complementary
feeding after 6 months, while 58% mothers practiced complementary feeding after
the recommended age and 38.6% even before the recommended age. One fifth of the
children received dietary diverse meal. Only 12.9% received complementary food
with recommended frequency, 40.3% with appropriate consistency and 12.9% with
adequate amount. Statistically there was significant association of practice with
age of mother and mode of delivery. CONCLUSIONS: The practice of breastfeeding
was good while complementary feeding practices were poor. The feeding practices
were found to be sub-optimal. The findings from the study highlight the
importance of education at immunization clinic in order to improve the practices.
PMID- 27885293
TI - Prevalence of Extended Spectrum Beta-Lactamase Producing Klebsiella Pneumoniae
Isolated From Urinary Tract Infected Patients.
AB - BACKGROUND: Klebsiella pneumoniae, one of the bacterial agents associated with
urinary tract infection has been often implicated as a major extended spectrum
beta-lactamase (ESBL) producer in last few decades. This study was designed to
assess the prevalence of ESBL producing Klebsiella pneumoniae in urinary isolates
at a tertiary care hospital in Kathmandu, Nepal, from July to December 2014.
METHODS: One thousand nine hundred eighty six mid-stream urine specimens were
collected aseptically from the clinically suspected patients of urinary tract
infections attending Capital Hospital and Research Center, Kathmandu. The samples
were processed following standard guidelines as recommended by American Society
for Microbiology (ASM) and the isolates including Klebsiella spp. were identified
using the specific biochemical and sugar fermentation tests recommended by ASM.
Antibiotic sensitivity testing was done by modified Kirby-Bauer disk diffusion
method and interpreted following Clinical and Laboratory Standards Institute
(CLSI) guidelines. Klebsiella pneumoniae isolates showing resistance upon initial
screening with ceftriaxone (30 MUg) disc were then confirmed for ESBL production
by phenotypic confirmatory disc diffusion test (PCDDT) using ceftazidime (30 ug)
and ceftazidime + clavulanic acid (30 ug + 10ug) and cefotaxime (30 ug) and
cefotaxime + clavulanic acid (30 ug +10ug) disc as per CLSI guidelines. RESULTS:
Out of a total 1986 specimens investigated, Escherichia coli was isolated in 309
(83.9%) and Klebsiella pneumoniae in 38 (10.3%) cases. Initial screening with
ceftriaxone disc revealed 18 isolates of Klebsiella pneumoniae to be resistant.
Further testing by PCDDT method confirmed 7 (18.4%) Klebsiella pneumoniae
isolates to be ESBL producers. CONCLUSIONS: Compared to some earlier studies done
in Nepal, higher prevalence of ESBL-producing Klebsiella pneumoniae was observed
warranting a national surveillance for routine monitoring of ESBL producing
Klebsiella pneumoniae isolates.
PMID- 27885295
TI - Scrub Typhus: An Emerging Neglected Tropical Disease in Nepal.
AB - BACKGROUND: Scrub typhus is a neglected tropical disease and is under reported
from Nepal. The objective of this study was to investigate the sero-epidemiology
of scrub typhus in patients suffering from acute febrile illness. METHODS: A
total of 434 specimens collected from July to November 2015 at National Public
Health Laboratory (NPHL) were investigated for detection of immunoglobulin M
(IgM) antibody to Orientiatsutsugamushi.The Scrub Typhus Detect TM kit (InBios,
USA) was used to detect the antibodies to O.tsutsugamushi in human serum.
Randomly selected 10% positive specimens were used for confirmation by dot-
enzyme-linked immunosorbent assay and indirect immunofluorescence assay. RESULTS:
Of the total, 175 (40.3%) were positive for IgM antibodies to O. tsutsugamushi.
Positive results of scrub typhus were highest among female in 11-20 year followed
by males in 41-50 years age group. The IgM antibodies to O. tsutusugamushi were
positive in specimens of various geographical regions including 30 districts of
Nepal. Positive cases were found in various ecological regions of Nepal.
CONCLUSIONS: Scrub typhus is one of the neglected tropical diseases in Nepal.
Patients with acute febrile illness should be investigated for scrub typhus with
high priority. There is an urgent need of reliable and affordable diagnostic
tests at all level of health facilities of Nepal. Surveillance and public health
awareness about the disease transmission and preventive measures needs to be
initiated.
PMID- 27885296
TI - Outcome of Twin Deliveries at a Tertiary Care Centre of Eastern Nepal.
AB - BACKGROUND: Twins, compared to singletons, have higher perinatal mortality and
morbidity. The aim of this study was to describe the twinning rate,
epidemiological variables and hospital outcome of twin deliveries and to find out
ways of better management of twins in our part. METHODS: A retrospective
evaluation of the outcome of 92 twin pregnancies during one year study period
(1st January 2014 to 31st December 2014) was conducted at BPKIHS. Twins delivered
within the institution were included but cases less than 28 weeks gestation were
excluded. Maternal and neonatal data were retrieved and analysed. RESULTS: The
twinning rate was 9.2/1000 (92/10,031). The mean birth weight was 1636.30 +/
339.21 grams and mean gestational age 34.31+/-2.67 weeks(28 - 40 weeks). One
hundred eighty-two babies (98.9%) were low birth weight (LBW) while 32.1% were
small for gestational age (SGA). There was mild, moderate and severe growth
discordance in 63 (68.5%)22 (23.9%)and 7 (7.6%) respectively. Three (3.26%) of 92
pairs had twin-to-twin transfusion syndrome. The hospital mortality rate was
10.87% (20/184) and 69 (37.5%) babies had complications. The clinical causes of
death were hyaline membrane disease in 7 (3.3%), severe birth asphyxia in 5
(2.7%), congenital malformations in 3(1.6%) and sepsis in 3 (1.6%) babies.
CONCLUSIONS: Twin deliveries are common in this hospital and have poor hospital
outcome with more complications and mortality. Hence there is a need for further
studies with long term follow-ups to plan for better management of twins in our
part.
PMID- 27885297
TI - Mimicker of Renal Colic: Mesenteric Panniculitis.
AB - A Mesenteric panniculitis is an uncommon disorder with unknown etiology. It may
cause nonspesific abdominal or systemic symptoms. Radiological modalitis
especially computed tomography are very helpful to make a diagnosis. Hence, the
radiologist should be familiar with its tomographic findings to avoid further
examinations and unnecessary surgery. We report computed tomography findings of
mesenteric panniculitis in an adult patient with renal colic.
PMID- 27885298
TI - Klippel-Trenaunay Syndrome: A Case Report.
AB - Klippel-Trenaunaysyndrome(KTS) is a rare congenital condition usually presenting
with port wine stains, excessive growth of bones and soft tissue and varicose
veins which most commonly occurs in the legs, but it also may affect the arms,
face, head, or internal organs. We report a case of term male neonate with
clinical findings of Port-wine stain, multiple cystic swellings with
ultrasonographic findings suggestive of vascular malformations and limb
abnormalities in the form ofsoft tissue hypertrophy of right upper limb,
polydactyly of right hand and syndactyly of left hand consistent withKlippel
Trenaunay syndrome.
PMID- 27885299
TI - Influence of internal geometry on magnetization reversal in asymmetric permalloy
rings.
AB - We report the magnetization reversal behavior of microstructured Ni80Fe20 rings
using magneto-optic indicator film imaging and magnetometry. While the reversal
behavior of rings with a symmetric (circular) interior hole agrees with
micromagnetic simulations of an onion -> vortex -> onion transition, we
experimentally demonstrate that rings possessing an elliptical hole with an
aspect ratio of 2 exhibit complex reversal behavior comprising incoherent domain
propagation in the rings. Magneto optic images reveal metastable magnetic
configurations that illustrate this incoherent behavior. These results have
important implications for understanding the reversal behavior of asymmetric
ferromagnetic rings.
PMID- 27885300
TI - Rebooting the Franchise: The 2015 International Symposium on GPC/SEC and Related
Techniques.
PMID- 27885301
TI - Prevalence and Mortality of Melanoma in Oklahoma Among Racial Groups, 2000-2008.
AB - INTRODUCTION: This study assessed the period prevalence (2000-2008) and mortality
rates of melanoma, in Oklahoma, among different racial/ethnic strata. METHODS: We
analyzed incident cases of melanoma from 2000-2008 from the Oklahoma Central
Cancer Registry and determined disease duration using Kaplan-Meier survival
analysis to calculate period prevalence of melanoma in Oklahoma. Using a series
of Chi-Square tests, we compared period prevalence and mortality rates among the
racial groups and compared mortality between Oklahoma and the US. RESULTS: White
non-Hispanics in Oklahoma have the highest period prevalence (p<0.0001) among the
racial strata. American Indian or Alaska Native (AI/AN) individuals have the
second highest period prevalence in Oklahoma (p<0.0001). Furthermore, white non
Hispanics (p<0.0001) and AI/AN individuals (p=0.0003) in Oklahoma had higher
mortality rates compared to the US. CONCLUSIONS: There are disparities in the
prevalence and mortality of melanoma among the AI/AN population in Oklahoma, and
prevention and education programs should focus on this population.
PMID- 27885302
TI - Cancers of the Thyroid: Overview and Statistics in the United States and
Oklahoma.
AB - Recent studies have shown an apparent increase in thyroid cancer in the United
States. Whether is due to an actual increase or increased screening is disputed.
We analyzed thyroid cancer incidence and mortality across age and racial groups
in Oklahoma (using data from the Oklahoma Central Cancer Registry) against
Surveillance, Epidemiology, and End Results (SEER) program national data - using
SEER*Stat software for mortality. In the US and Oklahoma, females had a higher
AAIR compared to males, but it was lower in Oklahoma than in the US (Females: US
15.5 per 100,000, OK 10.9 per 100,000; Males: US 5.4 per 100,000, OK 3.8 per
100,000). Overall, five-year relative survival was lower, yet still high, for
Oklahoma than in the US (92.1% v. 97.1%). Survival by stage was lower in Oklahoma
compared to the United States for localized (97.8% v. 99.8%), regional (92.0% v.
97.0%), and distant (36.6% v. 55.3%) stage cancers.
PMID- 27885303
TI - Oral Cavity and Oropharyngeal Cancer: Changing Trends in Incidence in the United
States and Oklahoma.
AB - Oral cavity cancer (OC) has steadily decreased in the United States (US) since
1973 whereas oropharyngeal cancer (OP) has increased. We analyzed OC and OP cases
from the Oklahoma Central Cancer Registry and Surveillance, Epidemiology, and End
Results program comparing those diagnosed from 1997-1999 to those diagnosed from
2010-2012. We compared the incidence of OC and OP cases between Oklahoma and the
US and by demographic factors. We observed an increase in OP cases, but no change
in OC cases in both the US and in Oklahoma, and observed some differences between
Oklahoma and the US by race, gender, and age group. A possible explanation for
the increasing incidence of OP cancers may be the increasing prevalence of HPV.
This study highlighted the differences in temporal trends of OC and OP cancers
and the importance of changing risk factors for these cancers.
PMID- 27885304
TI - Trends in Lung and Bronchus, Prostate, Female Breast, and Colon and Rectum
Cancers Incidence and Mortality in Oklahoma and the United States from 1999 to
2012.
AB - BACKGROUND: Cancer is the second-leading cause of death in the United States (US)
and Oklahoma ranks near the top with the highest rates of mortality from cancer.
The top four major sites of cancer were prostate, female breast, lung and
bronchus, and colon and rectum. METHODS: Joinpoint software was used to examine
the incidence and mortality for the four cancers over time from 1999-2012 for
both the US and Oklahoma. RESULTS: Incidence and mortality rates declined from
1999-2012 for the four cancer sites. The average annual, age-adjusted incidence
rate was higher in the US than Oklahoma for prostate cancer, but higher in
Oklahoma for female breast, lung and bronchus, and colon and rectum cancer sites.
CONCLUSIONS: Over the course of 14 years from 1999-2012, the age-adjusted
incidence and mortality rates of prostate cancer, female breast cancer, lung and
bronchus cancer, and colon and rectum cancer decreased over time nationally and
in Oklahoma.
PMID- 27885305
TI - Racial, Ethnic, and Age Differences in the Incidence and Survival of Childhood
Cancer in Oklahoma, 1997-2012.
AB - While cancer is relatively rare in children under 20, it is the leading cause of
disease-related death among children aged 5 to 14 years. We aimed to describe the
incidence and survival of childhood cancer in Oklahoma from 1997-2012. We
calculated age-adjusted incidence rates and five-year observed survival by cancer
type using Oklahoma Central Cancer Registry and Surveillance, Epidemiology, and
End Results program data among children diagnosed with cancer under the age of 20
from 1997-2012. The average annual age-adjusted incidence rate of childhood
cancer was 168.9 per million for the US and 171.7 per million for Oklahoma.
Overall, Oklahoma had lower survival from childhood cancer compared to the US
(77.0% v. 80.6%). In recent years, research has been conducted on the
epidemiology of childhood cancer. Little research has been done, however, on the
incidence or survival of childhood cancer at state levels and none focused
exclusively on Oklahoma.
PMID- 27885306
TI - Impact of a National Cancer Prevention and Treatment Program on the Prevalence of
Late-Stage Breast Cancer Diagnoses in Oklahoma.
AB - In 2000, Congress passed the Breast and Cervical Cancer Prevention and Treatment
Act (BCCPTA) to provide coverage through Medicaid to women who screened positive
for breast and cervical cancer. We aimed to determine if late-stage breast cancer
prevalence decreased among Oklahoma women after passage of BCCPTA. Data were
obtained from the Oklahoma Central Cancer Registry during 2000-2011. We estimated
prevalence proportion ratios (PPR) using modified Poisson regression between the
proportion of women with late-stage breast cancer and timing of diagnosis related
to BCCPTA. Among uninsured women, the probability of being diagnosed with late
stage cancer after enactment of the BCCPTA was 0.80 (95% CI: 0.67, 0.96) times
the probability before enactment. This was significant among uninsured women
living in metro counties (PPR: 0.74, 95% CI: 0.61, 0.90) but not in non-metro
counties (PPR: 1.05, 95% CI: 0.71, 1.56). These findings may be similar to other
rural states with large uninsured populations.
PMID- 27885307
TI - Epidemiology of Testicular Cancer in Oklahoma and the United States.
AB - Testicular cancer is a rare cause of morbidity and mortality in the US. Marked
disparities in the development of this cancer exist, with testicular cancer being
more common in Caucasian men and men of higher socioeconomic status. The
incidence of testicular cancer is increasing worldwide, and the reasons for this
have not been well documented. It has been proposed that this increase may be due
to highly prevalent environmental factors, or from exposure to polychlorinated
biphenyls, polyvinyl chloride, cigarette smoking, and tetrahydrocannabinol (THC).
For our analysis, data were obtained from the Oklahoma Central Cancer Registry
and the Surveillance, Epidemiology and End Results program. Age-adjusted
incidence rates and five-year relative survival were calculated for Oklahoma and
for the US. Overall, incidence was lower in Oklahoma than the US, but no
differences were observed between the US and Oklahoma regarding survival by year
of diagnosis, race, age, and stage.
PMID- 27885310
TI - Concurrent generation of multivariate mixed data with variables of dissimilar
types.
AB - Data sets originating from wide range of research studies are composed of
multiple variables that are correlated and of dissimilar types, primarily of
count, binary/ordinal and continuous attributes. The present paper builds on the
previous works on multivariate data generation and develops a framework for
generating multivariate mixed data with a pre-specified correlation matrix. The
generated data consist of components that are marginally count, binary, ordinal
and continuous, where the count and continuous variables follow the generalized
Poisson and normal distributions, respectively. The use of the generalized
Poisson distribution provides a flexible mechanism which allows under- and over
dispersed count variables generally encountered in practice. A step-by-step
algorithm is provided and its performance is evaluated using simulated and real
data scenarios.
PMID- 27885308
TI - Pancreatic Cancer: A Survival Analysis Study in Oklahoma.
AB - BACKGROUND: Pancreatic cancer is among the most deadly cancers. Risk factors
associated with the disease include age, race, sex, smoking status, and diabetes
status. METHOD: We conducted a prospective analysis of risk factors and length of
survival among pancreatic cancer patients living in Oklahoma between 1997 and
2012 (n=6,291). Kaplan-Meier survival curves were created followed by the log
rank test to compare difference in the survival time. Cox proportional hazard
regression models were used to examine the strength of association through the
estimated hazard ratios. RESULTS: The median survival time of the cohort was
three months. Significant risk factors for reduced survival times included age,
stage at diagnosis, and year of diagnosis. CONCLUSION: Results are in agreement
with previous research findings. There have been small but noteworthy
improvements in survival times for pancreatic cancer patients in Oklahoma. Length
of survival during the study period was significantly associated with known risk
factors such as age and stage of diagnosis.
PMID- 27885309
TI - Transcranial Direct Current Stimulation Use in the Treatment of Neuropsychiatric
Disorders: A Brief Review.
AB - Transcranial direct current stimulation (tDCS) is a non-invasive brain
stimulation technique that has grown in popularity over the past two decades as
an alternative treatment option for various neuropsychiatric disorders. tDCS
modulates cortical excitability through the application of a weak direct current
to the scalp via electrodes placed over cortical regions of interest. It has been
shown to be a promising and relatively safe treatment tool with few adverse
events. In this article, we will briefly review the efficacy of tDCS in
depression, bipolar disorder, schizophrenia, and obsessive-compulsive disorder.
We will also discuss biomarkers of tDCS efficacy in depression, as it is the most
studied neuropsychiatric disorder using tDCS application. We will then offer
suggestions for future directions. Although efficacy results show promise, more
studies with larger samples and longer treatment periods are needed to better
understand the benefits of using tDCS as an alternative treatment option for
neuropsychiatric disorders.
PMID- 27885311
TI - Mediating pathways in the socio-economic gradient of child development: Evidence
from children 6-42 months in Bogota.
AB - Research has previously shown a gap of near 0.5 of a standard deviation (SD) in
cognition and language development between the top and bottom household wealth
quartile in children aged 6-42 months in a large representative sample of low-
and middle-income families in Bogota, using the Bayley Scales of Infant and
Toddler Development. The gaps in fine motor and socio-emotional development were
about half that size. Developmental deficits increased with age. The current
study explored the associations amongst child development, household socio
economic status (SES), and a set of potential mediating variables-parental
characteristics, child biomedical factors, and the quality of the home
environment-in this sample. We ran mediation tests to quantify the contribution
of these variables to the SES gap, and explored the role of age as a moderator.
Parental education, particularly maternal education, and the quality of the home
environment mediated the SES gap in all outcomes examined. Height-for-age
mediated a small amount of the deficit in language scales only. More educated
mothers provided better home stimulation than less educated mothers and the home
environment partly mediated the effect of maternal education. These results
suggested that in interventions aimed at promoting child development, those
focusing on the quality of the home environment should be effective.
PMID- 27885312
TI - Implementing Speed and Separation Monitoring in Collaborative Robot Workcells.
AB - We provide an overview and guidance for the Speed and Separation Monitoring
methodology as presented in the International Organization of Standardization's
technical specification 15066 on collaborative robot safety. Such functionality
is provided by external, intelligent observer systems integrated into a robotic
workcell. The SSM minimum protective distance function equation is discussed in
detail, with consideration for the input values, implementation specifications,
and performance expectations. We provide analytical analyses and test results of
the current equation, discuss considerations for implementing SSM in human
occupied environments, and provide directions for technological advancements
toward standardization.
PMID- 27885313
TI - Chloride diffusivity in hardened cement paste from microscale analyses and
accounting for binding effects.
AB - The diffusion of chloride ions in hardened cement paste (HCP) under steady-state
conditions and accounting for the highly heterogeneous nature of the material is
investigated. The HCP microstructures are obtained through segmentation of X-ray
images of real samples as well as from simulations using the cement hydration
model CEMHYD3D. Moreover, the physical and chemical interactions between chloride
ions and HCP phases (binding), along with their effects on the diffusive process,
are explicitly taken into account. The homogenized diffusivity of the HCP is then
derived through a least square homogenization technique. Comparisons between
numerical results and experimental data from the literature are presented.
PMID- 27885314
TI - In Pursuit of Optimal Cytoreduction in Ovarian Cancer Patients: The Role of
Surgery and Surgeon.
AB - The standard of care for women with advanced stage epithelial ovarian cancer
(EOC) involves surgery followed by adjuvant platinum-based combination
chemotherapy. One of the goals of surgery is to resect all macroscopic disease.
In this review we will discuss the justification for an aggressive surgical
approach, including a discussion of factors limiting its implementation and
suggestions for providing appropriate surgical intervention for all women with
EOC.
PMID- 27885315
TI - Mortality, Hospital Costs, Payments, and Readmissions Associated With Clostridium
difficile Infection Among Medicare Beneficiaries.
AB - BACKGROUND: The management of Clostridium difficile infection (CDI) among
hospitalized patients is costly, and ongoing payment reform is compelling
hospitals to reduce its burden. To assess the impact of CDI on mortality,
hospital costs, healthcare use, and Medicare payments for beneficiaries who were
discharged with CDI listed as a secondary International Classification of
Diseases, Ninth Revision, Clinical Modification claim diagnosis. METHODS: Data
were analyzed from the 2009 to 2010 5% random sample Medicare Standard Analytic
Files of beneficiary claims. Patients with index hospitalizations with CDI as a
secondary diagnosis and no previous hospitalization within 30 days were
identified. Outcomes included inpatient and 30-day mortality, inpatient costs,
index hospital payments, all-provider payments, net hospital losses, payment to
cost ratio, length of stay (LOS), and 30-day readmission; outcomes were each risk
adjusted using propensity score matching and regression modeling techniques.
RESULTS: A total of 3262 patients with CDI were identified after matching to
patients without a CDI diagnosis. After risk adjustment, secondary CDI was
associated with statistically significantly (all P < 0.05) greater inpatient
mortality (3.1% vs. 1.7%), 30-day mortality (4.1% vs. 2.2%), longer LOS (7.0 days
vs. 3.8 days), higher rates of 30-day hospital readmissions (14.8% vs. 10.4%),
and greater hospital costs ($16,184 vs. $13,954) compared with the non-CDI
cohort. The risk-adjusted payment-to-cost ratio was shown to be lower for
patients with CDI than those without (0.76 vs. 0.85). CONCLUSIONS: Secondary CDI
is associated with greater adjusted mortality, costs, LOS, and hospital
readmissions, while receiving similar hospital reimbursement compared with
patients without CDI in a Medicare population.
PMID- 27885317
TI - Poetry and Neuroscience: : An Interdisciplinary Conversation.
AB - Dialogues and collaborations between scientists and non-scientists are now widely
understood as important elements of scientific research and public engagement
with science. In recognition of this, the authors, a neuroscientist and a poet,
use a dialogical approach to extend questions and ideas first shared during a lab
based poetry residency. They recorded a conversation and then expanded it into an
essayistic form, allowing divergent disciplinary understandings and uses of
experiment, noise, voice and emotion to be articulated, shared and questioned.
PMID- 27885316
TI - Bartonella Endocarditis and Pauci-Immune Glomerulonephritis: A Case Report and
Review of the Literature.
AB - Among culture-negative endocarditis in the United States, Bartonella species are
the most common cause, with Bartonella henselae and Bartonella quintana
comprising the majority of cases. Kidney manifestations, particularly
glomerulonephritis, are common sequelae of infectious endocarditis, with nearly
half of all Bartonella patients demonstrating renal involvement. Although a pauci
immune pattern is a frequent finding in infectious endocarditis-associated
glomerulonephritis, it is rarely reported in Bartonella endocarditis. Anti
neutrophil cytoplasmic antibody (ANCA) positivity can be seen with many pathogens
causing endocarditis and has been previously reported with Bartonella species. In
addition, ANCA-associated vasculitis can also present with renal and cardiac
involvement, including noninfectious valvular vegetations and pauci-immune
glomerulonephritis. Given the overlap in their clinical presentation, it is
difficult to differentiate between Bartonella endocarditis and ANCA-associated
vasculitis but imperative to do so to guide management decisions. We present a
case of ANCA-positive Bartonella endocarditis with associated pauci-immune
glomerulonephritis that was successfully treated with medical management alone.
PMID- 27885318
TI - Multiscale Opening of Conjoined Fuzzy Objects: Theory and Applications.
AB - Theoretical properties of a multi-scale opening (MSO) algorithm for two conjoined
fuzzy objects are established, and its extension to separating two conjoined
fuzzy objects with different intensity properties is introduced. Also, its
applications to artery/vein (A/V) separation in pulmonary CT imaging and carotid
vessel segmentation in CT angiograms (CTAs) of patients with intracranial
aneurysms are presented. The new algorithm accounts for distinct intensity
properties of individual conjoined objects by combining fuzzy distance transform
(FDT), a morphologic feature, with fuzzy connectivity, a topologic feature. The
algorithm iteratively opens the two conjoined objects starting at large scales
and progressing toward finer scales. Results of application of the method in
separating arteries and veins in a physical cast phantom of a pig lung are
presented. Accuracy of the algorithm is quantitatively evaluated in terms of
sensitivity and specificity on patients' CTA data sets and its performance is
compared with existing methods. Reproducibility of the algorithm is examined in
terms of volumetric agreement between two users' carotid vessel segmentation
results. Experimental results using this algorithm on patients' CTA data
demonstrate a high average accuracy of 96.3% with 95.1% sensitivity and 97.5%
specificity and a high reproducibility of 94.2% average agreement between
segmentation results from two mutually independent users. Approximately, twenty
five to thirty-five user-specified seeds/separators are needed for each CTA data
through a custom designed graphical interface requiring an average of thirty
minutes to complete carotid vascular segmentation in a patient's CTA data set.
PMID- 27885319
TI - Work-Related Psychosocial Factors and Mental Health Problems Associated with
Musculoskeletal Pain in Nurses: A Cross-Sectional Study.
AB - Background. Musculoskeletal pain is the most common cause of incapacity among
nurses. This study aimed to report the prevalence of musculoskeletal pain among
hospital nurses and to explore the associations of work-related psychosocial
factors and mental health problems with musculoskeletal pain. Methods. A cross
sectional survey was carried out among registered nurses at Tartu University
Hospital during April and May 2011. Binary logistic regression was used to assess
the associations between dependent and independent variables. Results. Analysis
was based on 404 nurses (45% of the hospital's nursing population). The overall
prevalence of MSP was 70% in the past year and 64% in the past month. Lower back
(57%) and neck (56%) were the body areas most commonly painful in the past year.
Higher quantitative and emotional demands, work pace, low justice and respect in
the workplace, influence on work organisation, and role conflicts were
significantly associated with musculoskeletal pain among nurses (p < 0.05). All
mental health problems and most strongly somatic stress symptoms were associated
with musculoskeletal pain. Conclusions. Work-related psychosocial risk factors
and mental health problems, especially somatic stress symptoms, have an important
impact on the occurrence of musculoskeletal pain among university hospital
nurses.
PMID- 27885320
TI - From by-product to valuable components: Efficient enzymatic conversion of lactose
in whey using beta-galactosidase from Streptococcus thermophilus.
AB - beta-Galactosidase from Streptococcus thermophilus was overexpressed in a food
grade organism, Lactobacillus plantarum WCFS1. Laboratory cultivations yielded
11,000 U of beta-galactosidase activity per liter of culture corresponding to
approximately 170 mg of enzyme. Crude cell-free enzyme extracts obtained by cell
disruption and subsequent removal of cell debris showed high stability and were
used for conversion of lactose in whey permeate. The enzyme showed high
transgalactosylation activity. When using an initial concentration of whey
permeate corresponding to 205 g L-1 lactose, the maximum yield of galacto
oligosaccharides (GOS) obtained at 50 degrees C reached approximately 50% of
total sugar at 90% lactose conversion, meaning that efficient valorization of the
whey lactose was obtained. GOS are of great interest for both human and animal
nutrition; thus, efficient conversion of lactose in whey into GOS using an
enzymatic approach will not only decrease the environmental impact of whey
disposal, but also create additional value.
PMID- 27885321
TI - Experimental measurement and modelling of reactive species generation in TiO2
nanoparticle photocatalysis.
AB - The generation of reactive species in titanium dioxide (TiO2) nanoparticle
photocatalysis was assessed in a laboratory scale setup, in which P25 Aeroxide
TiO2 suspensions were photoactivated by means of UV-A radiation. Photogenerated
holes and hydroxyl radicals were monitored over time by observing their selective
reaction with probe compounds, iodide and terephthalic acid, respectively. TiO2
aggregate size and structure were characterized over the reaction time. Reactive
species quenching was then described by a model, accounting for radiative
phenomena, TiO2 nanoparticle aggregation and kinetic reactions. The interaction
between iodide and photogenerated holes was influenced by iodide adsorption on
TiO2 surface, described by a Langmuir-Hinshelwood mechanism, whose parameters
were studied as a function of TiO2 concentration and irradiation time. Iodide
oxidation was effectively simulated by modelling the reaction volume as a
completely stirred two-dimensional domain, in which irradiation phenomena were
described by a two-flux model and the steady state for reactive species was
assumed. The kinetic parameters for iodide adsorption and oxidation were
estimated and successfully validated in a different experimental setup. The same
model was adapted to describe the oxidation of terephthalic acid by hydroxyl
radicals. The kinetic parameters for terephthalic acid oxidation were estimated
and validated, while the issues in investigating the interaction mechanisms among
the involved species have been discussed. The sensitivity of operating parameters
on model response was assessed and the most relevant parameters were highlighted.
PMID- 27885323
TI - Contested Issues of Efficacy and Safety between Transnational Formulation Regimes
of Tibetan Medicines in China and Europe.
AB - Tibetan medicines are key material objects for medical treatment and have become
part of a global trend of 'pharmaceuticalisation', playing increasingly important
political and socio-economic roles in an 'alternative modernity'. As I argue in
this paper, they also have become key 'sites of contestation' between different
epistemic values and styles of practice related to efficacy and safety that are
reproduced in and through specific formulation regimes. Based on my multisited
ethnography of production, prescription, and use practices of Tibetan medicines
in China and Europe, this paper conceptualises three distinct formulation
regimes, offering a heuristic model for transnational comparison-a classical, an
industrialised or reformulated, and a polyherbal regime. The first two are the
major orientations while the polyherbal is a conjoint hybrid with either the
classical or the industrialised formulation regime. Globalised national drug
safety regulations legalise and confer legitimacy to industrialised Tibetan
formulas that follow biomedically defined efficacy, safety, and disease
categories, while classical formulas produced by private physicians or small
scale cottage pharmacies are increasingly marginalised as producing 'unsafe' and
at times illegal medicines, and need to find new ways for adapting and
circulating their formulas.
PMID- 27885324
TI - Clinical Outcome of a Novel Anti-CD6 Biologic Itolizumab in Patients of Psoriasis
with Comorbid Conditions.
AB - Psoriasis is a common, chronic, immune mediated, inflammatory disease of skin
characterized by red patches enclosed with white scales and affects 2-3% of
people in the world. Topical therapy, phototherapy, and systemic therapy were
employed for management of disease from many last decades. However, long term
uses of these agents are associated with unwanted effects and toxicities.
Recently, Itolizumab has been developed as world's first anti-CD6 humanized
monoclonal IgG1 antibody for the management of moderate-to-severe chronic plaque
psoriasis in India. Here we are presenting the response indicated by Itolizumab
in 7 Indian patients having moderate-to-severe psoriasis with severe
comorbidities and who were intolerant/nonresponding to conventional therapies.
PMID- 27885322
TI - Nedley Depression Hit Hypothesis: Identifying Depression and Its Causes.
AB - Depression is often diagnosed using the Diagnostic and Statistical Manual of
Mental Disorders Fifth Edition (DSM-5) criteria. We propose how certain lifestyle
choices and non-modifiable factors can predict the development of depression. We
identified 10 cause categories (hits or "blows" to the brain) and theorize that
four or more active hits could trigger a depression episode. Methods. A sample of
4271 participants from our community-based program (70% female; ages 17-94 years)
was assessed at baseline and at the eighth week of the program using a custom
test. Ten cause categories were examined as predictors of depression are (1)
Genetic, (2)Developmental, (3)Lifestyle, (4)Circadian Rhythm, (5)Addiction,
(6)Nutrition, (7)Toxic, (8)Social/Complicated Grief, (9)Medical Condition, and
(10)Frontal Lobe. Results. The relationship between the DSM-5 score and a person
having four hits categories in the first program week showed a sensitivity of
89.98 % (95% CI: 89.20 % - 90.73%), specificity 48.84% (CI 45.94-51.75) and
Matthew Correlation Coefficient (MCC) .41 . For the eight-week test, the results
showed a sensitivity 83.6% (CI 81.9-85.5), specificity 53.7% (CI 51.7-55.6) and
MCC .38. Overall, the hits that improved the most from baseline after the eighth
week were: Nutrition (47%), Frontal lobe (36%), Addiction (24%), Circadian rhythm
(24%), Lifestyle (20%), Social (12%) and Medical (10%). Conclusions. The Nedley
four-hit hypothesis seems to predict a depressive episode and correlates well
with the DSM-5 criteria with good sensitivity and MCC but less specificity.
Identifying these factors and applying lifestyle therapies could play an
important role in the treatment of depressed individuals.
PMID- 27885325
TI - Current Role of Minimally Invasive Radical Cholecystectomy for Gallbladder
Cancer.
AB - Background. For Tis and T1a gallbladder cancer (GbC), laparoscopic
cholecystectomy can provide similar survival outcomes compared to open
cholecystectomy. However, for patients affected by resectable T1b or more
advanced GbC, open approach radical cholecystectomy (RC), consisting in
gallbladder liver bed resection or segment 4b-5 bisegmentectomy, with
locoregional lymphadenectomy, is considered the gold standard while minimally
invasive RC (MiRC) is skeptically considered. Aim. To analyze current literature
on perioperative and oncologic outcomes of MiRC for patients affected by GbC.
Methods. A Medline review of published articles until June 2016 concerning MiRC
for GbC was performed. Results. Data relevant for this review were presented in
13 articles, including 152 patients undergoing an attempt of MiRC for GbC. No
randomized clinical trial was found. The approach was laparoscopic in 147
patients and robotic in five. Conversion was required in 15 (10%) patients.
Postoperative complications rate was 10% with no mortality. Long-term survival
outcomes were reported by 11 studies, two of them showing similar oncologic
results when comparing MiRC with matched open RC. Conclusions. Although
randomized clinical trials are still lacking and only descriptive studies
reporting on limited number of patients are available, current literature seems
suggesting that when performed at highly specialized centers, MiRC for GbC is
safe and feasible and has oncologic outcomes comparable to open RC.
PMID- 27885326
TI - Review of Clinical Studies of the Treatment of Ulcerative Colitis Using
Acupuncture and Moxibustion.
AB - Background. Clinical studies suggest that acupuncture and moxibustion therapy in
ulcerative colitis (UC) can regulate bowel inflammation, and these treatments
have the advantages of low rates of adverse reactions and recurrence as well as
good long-term efficacy. We reviewed the current status of clinical studies of
the treatment. Methods. Randomized controlled trials (RCTs) using the therapy as
the major intervention for treating UC were included from 1995 to 2015. The
extracted data mainly included diagnostic standards, treatment methods, selection
of acupoints, treatment times and courses, and efficacy determination criteria.
Results. The use of diagnostic standards and efficacy criteria lacked unification
and standardization. There were two main groups: acupuncture and moxibustion
therapy combined with drug treatment and the use of all types of acupuncture and
moxibustion therapy alone or in combination. The acupoint compositions included
distal-proximal point combinations, back-shu point and front-mu point
combinations, and acupuncture through meridians. The treatment courses in all the
clinical trials had large variations. Conclusion. The treatment of UC in the
examined articles was mainly based on the classical theory. However, many links
of the clinical regimen design were still lacking, which affected the
repeatability of the clinical studies and the accuracy of the clinical
conclusions.
PMID- 27885327
TI - Surgical Removal of an Extrauterine Device Migrating to Appendix.
AB - Intrauterine devices (IUDs) remain highly effective reversible family planning
methods in developing countries. We aimed to report one of the complications of
extrauterine and intrauterine devices. A 44-year-old woman was admitted to our
hospital with mislocated intrauterine device and abnormal uterine bleeding.
Extrauterine IUD device was proven by ultrasound and X-ray. She had normal blood
test count with a negative pregnancy test. There are several cases of
complications with intrauterine devices, but this is the first case report about
an extrauterine IUD embedded by inflame enlarged appendix presenting with
abnormal uterine bleeding. Although intrauterine devices are a common safe method
for contraception, there is no risk-free insertion even with advanced
ultrasounds. A regular self-examination should be taught to the patients and
ultrasonography should be performed in the follow-up of the patients especially
for inserted devices during lactation period. Extrauterine IUDs can be
successfully removed by laparotomy.
PMID- 27885328
TI - Preparative Purification of Bioactive Compounds from Flos Chrysanthemi Indici and
Evaluation of Its Antiosteoporosis Effect.
AB - To understand the material basis and underlying molecular machinery of
antiosteoporosis activity of the Flos Chrysanthemi Indici (FCI), the consequences
of ethanol extract on the bone loss in mice induced due to ovariectomy (OVX) was
evaluated. Also, the antiosteoporosis fraction obtained from the FCI ethanol
extract was isolated and purified using a preparative high-speed countercurrent
chromatography (HSCCC). The in vitro impact of the compounds was investigated on
osteoblast proliferation and differentiation. The results revealed that ethyl
acetate fraction with robust in vivo antiosteoporosis activity was obtained. The
important compounds purified by HSCCC using gradient elution system included
acacetin, apigenin, luteolin, and linarin. The four compounds enhanced the
differentiation and proliferation of osteoblasts in MC3T3-E1 cells. They also
augmented the mRNA levels of runt-related transcription factor 2 (Runx2),
osteocalcin (OCN), osteopontin (OPN), and type I collagen (COL I). The AKT
signaling pathway was also activated in MC3T3-E1 cells by the four compounds. The
present study demonstrated that the antiosteoporosis effects of FCI did not
depend on a single component, and HSCCC efficiently isolated and purified the
antiosteoporosis bioactive compounds from FCI.
PMID- 27885330
TI - Formulation of Propolis Phenolic Acids Containing Microemulsions and Their
Biopharmaceutical Characterization.
AB - Microemulsions (MEs) were formulated using PEG-8 caprylic/capric glycerides and
ethanolic propolis extracts. Characterization of MEs was performed by determining
mean droplet size, polydispersity index, stability under varying external
factors, and formulation effect on delivery of phenolic compounds into the skin
ex vivo. Essential oils were included into the formulations of MEs and their
influence on physical characteristics of the nanostructured systems as well as
penetration into epidermis and dermis were evaluated. The droplet size, their
distribution, and stability of the formulated MEs were not affected. Presence of
essential oils in the formulation increased penetration of phenolic compounds in
general, but only the amount of ferulic acid increased significantly. Mean
droplet size increased with increase of oily phase amount, suggesting that
phenolic compounds and components of essential oils were not modifying the
formation of the interphase film composition and/or structure. Phenolic compounds
were predominantly located in the lipid phase of the MEs thus minimizing their
availability at the surface of the skin.
PMID- 27885329
TI - Physical-Performance Outcomes and Biomechanical Correlates from the 32-Week Yoga
Empowers Seniors Study.
AB - Background. Yoga Empowers Seniors Study (YESS) quantified physical demands
associated with yoga performance using biomechanical methods. This study
evaluated the efficacy of the program on physical function outcomes. Methods.
Twenty community-dwelling older adults aged 70.7 +/- 3.8 years attended biweekly
60-minute Hatha yoga classes for 32 weeks. Four domains of the physical
measurements including (1) functional performance, (2) flexibility, (3) muscle
strength, and (4) balance were taken at the baseline, 16-week and 32-week time
points. Repeated-measures ANOVA omnibus tests and Tukey's post hoc tests were
employed to examine the differences in each outcome variable across the 3 time
points. Results. Improved timed chair stands (p < 0.01), 8-foot up and go (p <
0.05), 2-min step test (p < 0.05), and vertical reach (p = 0.05) performance were
evident. Isometric knee flexor strength (p < 0.05) and repetitions of the heel
rise test (p < 0.001) also increased following the 32-week intervention. Both
flexibility and balance performance remained unchanged. Conclusions. Significant
improvements in physical function and muscle-specific lower-extremity strength
occur with the regular practice of a modified Hatha yoga program designed for
seniors. These adaptations corresponded with the previously reported
biomechanical demands of the poses.
PMID- 27885331
TI - Guided Growth of Horizontal p-Type ZnTe Nanowires.
AB - A major challenge toward large-scale integration of nanowires is the control over
their alignment and position. A possible solution to this challenge is the guided
growth process, which enables the synthesis of well-aligned horizontal nanowires
that grow according to specific epitaxial or graphoepitaxial relations with the
substrate. However, the guided growth of horizontal nanowires was demonstrated
for a limited number of materials, most of which exhibit unintentional n-type
behavior. Here we demonstrate the vapor-liquid-solid growth of guided horizontal
ZnTe nanowires and nanowalls displaying p-type behavior on four different planes
of sapphire. The growth directions of the nanowires are determined by epitaxial
relations between the nanowires and the substrate or by a graphoepitaxial effect
that guides their growth along nanogrooves or nanosteps along the surface. We
characterized the crystallographic orientations and elemental composition of the
nanowires using transmission electron microscopy and photoluminescence. The
optoelectronic and electronic properties of the nanowires were studied by
fabricating photodetectors and top-gate thin film transistors. These measurements
showed that the guided ZnTe nanowires are p-type semiconductors and are
photoconductive in the visible range. The guided growth of horizontal p-type
nanowires opens up the possibility of parallel nanowire integration into
functional systems with a variety of potential applications not available by
other means.
PMID- 27885332
TI - 2,3,5,4'-Tetrahydroxystilbene-2-O-beta-D-glucoside Promotes Expression of the
Longevity Gene Klotho.
AB - The longevity gene klotho has numerous physiological functions, such as
regulating calcium and phosphorus levels, delaying senescence, improving
cognition, reducing oxidative stress, and protecting vascular endothelial cells.
This study tested whether 2,3,5,4'-Tetrahydroxystilbene-2-O-beta-D-glucoside
(THSG), a small molecule with antiaging effects, regulates the expression and
physiological effects of klotho. Our results showed that THSG dose-dependently
increased the luciferase reporter activity of the klotho gene, reversed the
decrease in mRNA and protein expression of klotho which was induced by
angiotensin II in NRK-52E renal tubular epithelial cells, and increased klotho
mRNA expression in the cerebral cortex, hippocampus, testis, and kidney medulla
of spontaneously hypertensive rats. THSG also reduced the number of senescent
cells induced by angiotensin II and improved the antioxidant capacity and
enhanced the bone strength in vivo. Based on klotho's role in promoting
cognition, regulating bone metabolism, and improving renal function, the effect
of THSG on klotho expression will be beneficial to the functional improvement or
enhancement of the expressed organs or tissues.
PMID- 27885333
TI - Astragalus Polysaccharide Suppresses 6-Hydroxydopamine-Induced Neurotoxicity in
Caenorhabditis elegans.
AB - Astragalus membranaceus is a medicinal plant traditionally used in China for a
variety of conditions, including inflammatory and neural diseases. Astragalus
polysaccharides are shown to reduce the adverse effect of levodopa which is used
to treat Parkinson's disease (PD). However, the neuroprotective effect of
Astragalus polysaccharides per se in PD is lacking. Using Caenorhabditis elegans
models, we investigated the protective effect of astragalan, an acidic
polysaccharide isolated from A. membranaceus, against the neurotoxicity of 6
hydroxydopamine (6-OHDA), a neurotoxin that can induce parkinsonism. We show that
6-OHDA is able to degenerate dopaminergic neurons and lead to the deficiency of
food-sensing behavior and a shorter lifespan in C. elegans. Interestingly, these
degenerative symptoms can be attenuated by astragalan treatment. Astragalan is
also shown to alleviate oxidative stress through reducing reactive oxygen species
level and malondialdehyde content and increasing superoxide dismutase and
glutathione peroxidase activities and reduce the expression of proapoptotic gene
egl-1 in 6-OHDA-intoxicated nematodes. Further studies reveal that astragalan is
capable of elevating the decreased acetylcholinesterase activity induced by 6
OHDA. Together, our results demonstrate that the protective effect of astragalan
against 6-OHDA neurotoxicity is likely due to the alleviation of oxidative stress
and regulation of apoptosis pathway and cholinergic system and thus provide an
important insight into the therapeutic potential of Astragalus polysaccharide in
neurodegeneration.
PMID- 27885335
TI - Rapid Synthesis of Redox-Active Dodecaborane B12(OR)12 Clusters Under Ambient
Conditions.
AB - We have developed a fast and efficient route to obtain perfunctionalized ether
linked alkyl and benzyl derivatives of the closo-[B12(OH)12]2- icosahedral
dodecaborate cluster via microwave-assisted synthesis. These icosahedral boron
clusters exhibit three-dimensional delocalization of the cage-bonding electrons,
tunable photophysical properties, and a high degree of stability in air in both
solid and solution states. A series of closo-[B12(OR)12]2-, hypocloso
[B12(OR)12]1- and hypercloso-[B12(OR)12]0 clusters have been prepared with
reaction times ranging from hours to several minutes. This method is superior to
previously reported protocols since it dramatically decreases the reaction times
required and eliminates the need for inert atmosphere conditions. The generality
of the new microwave-based method has been further demonstrated through the
synthesis of several new derivatives, which feature redox potentials up to 0.6 V
more positive than previously known B12(OR)12 cluster compounds. We further show
how this method can be applied to a one-pot synthesis of hybrid, vertex
differentiated species B12(OR)11(OR) that was formerly accessible only via multi
step reaction sequence.
PMID- 27885334
TI - (-)-Epicatechin Prevents Blood Pressure Increase and Reduces Locomotor
Hyperactivity in Young Spontaneously Hypertensive Rats.
AB - This study investigated the effects of subchronic (-)-epicatechin (Epi) treatment
on locomotor activity and hypertension development in young spontaneously
hypertensive rats (SHR). Epi was administered in drinking water (100 mg/kg/day)
for 2 weeks. Epi significantly prevented the development of hypertension (138 +/-
2 versus 169 +/- 5 mmHg, p < 0.001) and reduced total distance traveled in the
open-field test (22 +/- 2 versus 35 +/- 4 m, p < 0.01). In blood, Epi
significantly enhanced erythrocyte deformability, increased total antioxidant
capacity, and decreased nitrotyrosine concentration. In the aorta, Epi
significantly increased nitric oxide (NO) synthase (NOS) activity and elevated
the NO-dependent vasorelaxation. In the left heart ventricle, Epi increased NOS
activity without altering gene expressions of nNOS, iNOS, and eNOS. Moreover, Epi
reduced superoxide production in the left heart ventricle and the aorta. In the
brain, Epi increased nNOS gene expression (in the brainstem and cerebellum) and
eNOS expression (in the cerebellum) but had no effect on overall NOS activity. In
conclusion, Epi prevented the development of hypertension and reduced locomotor
hyperactivity in young SHR. These effects resulted from improved cardiovascular
NO bioavailability concurrently with increased erythrocyte deformability, without
changes in NO production in the brain.
PMID- 27885336
TI - Investigation of Structural Dynamics of Enzymes and Protonation States of
Substrates Using Computational Tools.
AB - This review discusses the use of molecular modeling tools, together with existing
experimental findings, to provide a complete atomic-level description of enzyme
dynamics and function. We focus on functionally relevant conformational dynamics
of enzymes and the protonation states of substrates. The conformational
fluctuations of enzymes usually play a crucial role in substrate recognition and
catalysis. Protein dynamics can be altered by a tiny change in a molecular system
such as different protonation states of various intermediates or by a significant
perturbation such as a ligand association. Here we review recent advances in
applying atomistic molecular dynamics (MD) simulations to investigate allosteric
and network regulation of tryptophan synthase (TRPS) and protonation states of
its intermediates and catalysis. In addition, we review studies using quantum
mechanics/molecular mechanics (QM/MM) methods to investigate the protonation
states of catalytic residues of beta-Ketoacyl ACP synthase I (KasA). We also
discuss modeling of large-scale protein motions for HIV-1 protease with coarse
grained Brownian dynamics (BD) simulations.
PMID- 27885337
TI - The Nonmydriatic Fundus Camera in Diabetic Retinopathy Screening: A Cost
Effective Study with Evaluation for Future Large-Scale Application.
AB - Aims. The study aimed to present the experience of a screening programme for
early detection of diabetic retinopathy (DR) using a nonmydriatic fundus camera,
evaluating the feasibility in terms of validity, resources absorption, and future
advantages of a potential application, in an Italian local health authority.
Methods. Diabetic patients living in the town of Ponzano, Veneto Region (Northern
Italy), were invited to be enrolled in the screening programme. The "no
prevention strategy" with the inclusion of the estimation of blindness related
costs was compared with screening costs in order to evaluate a future extensive
and feasible implementation of the procedure, through a budget impact approach.
Results. Out of 498 diabetic patients eligible, 80% was enrolled in the screening
programme. 115 patients (34%) were referred to an ophthalmologist and 9 cases
required prompt treatment for either proliferative DR or macular edema. Based on
the pilot data, it emerged that an extensive use of the investigated screening
programme, within the Greater Treviso area, could prevent 6 cases of blindness
every year, resulting in a saving of ?271,543.32 (-13.71%). Conclusions. Fundus
images obtained with a nonmydriatic fundus camera could be considered an
effective, cost-sparing, and feasible screening tool for the early detection of
DR, preventing blindness as a result of diabetes.
PMID- 27885338
TI - Effects of Intravitreal Ranibizumab Injection on Chinese Patients with Wet Age
Related Macular Degeneration: 5-Year Follow-Up Results.
AB - Purpose. To observe the effect of intravitreal ranibizumab injection on wet age
related macular degeneration (wAMD) over 5 years in Chinese patients. Methods.
Thirty-seven patients who were diagnosed with wAMD in our hospital from June 2007
to June 2014 were retrospectively reviewed. The PRN regimen and the treatment and
extend regimen were applied. Best corrected visual acuity (BCVA), number of
ranibizumab injections, and changes in the choroidal neovascularization (CNV)
lesion over 5 years were analyzed. Results. The mean BCVA measured by the ETDRS
chart at baseline was 47.4 and 5 years after the treatment it was 34.89 letters,
which was significantly different (p = 0.013). Fourteen eyes (37.8%) had improved
visual acuity after 5 years. The number of injections in 5 years was 11.53, and
most of the injections were in the first two years. Seventeen (45.9%) cases
developed fibrous lesions, and 2 (5.4%) cases had atrophic lesions after 5 years.
The fibrosis/atrophy was significantly correlated with the injection numbers
(Pearson, r = 0.663, and p = 0.000). Conclusion. Most of the patients can
maintain visual acuity treated by ranibizumab in the first 3 years. After 5
years, some patients can still improve or maintain visual acuity. Fibrous
scarring of the lesion is the main reason for a decrease in vision of wAMD
patients.
PMID- 27885339
TI - Establishing Waist-to-Height Ratio Standards from Criterion-Referenced BMI Using
ROC Curves in Low-Income Children.
AB - The purpose of this study was to establish health-related waist-to-height ratio
(WHtR) cut-points associating with FITNESSGRAM's body mass index (BMI) criterion
referenced standards in low-income children. A secondary aim was to examine the
classification agreement between the derived WHtR cut-points and various
cardiometabolic blood markers using current recommendations. Participants were
219 children from low-income schools (mean age = 10.5 +/- 0.6 years). Waist
circumference, height, weight, and cardiometabolic blood markers were collected
in a fasting state before school hours. Receiver operating characteristic (ROC)
curves were used to determine WHtR cut-points that associated with a child
meeting FITNESSGRAM's age- and sex-specific criterion-referenced standards for
BMI. The derived WHtR cut-point was 0.50 (AUC = 0.89, p < 0.001; sensitivity =
0.86, specificity = 0.82, and accuracy = 84.3%). Classification agreement using
the derived WHtR cut-point with various blood marker standards was statistically
significant but considered weak to fair (kappa 0.14-0.34, agreement = 59%-67%,
and p < 0.01). The WHtR cut-point of 0.50 can be used with strong accuracy to
distinguish low-income children who met FITNESSGRAM's criterion-referenced
standards for body composition; however, the evidence was weaker for its use in
distinguishing low-income children meeting specific cardiometabolic blood marker
recommendations.
PMID- 27885341
TI - Characteristics of the Relationship of Kidney Dysfunction with Cardiovascular
Disease in High Risk Patients with Diabetes.
AB - We aimed at comparing the relationship of reduced estimated glomerular filtration
rate (eGFR) with cardiovascular disease (CVD) and mortality between high risk
patients with and without type 2 diabetes mellitus (T2DM). The cross-sectional
study evaluated 16,298 participants (1,627 T2DM) acutely admitted to hospital.
The longitudinal study comprised 7,508 patients (673 with diabetes and 6,835
without). eGFR was categorized into 6 stages from >90 to <15 mL/min/1.73 m2.
Kidney dysfunction was defined by an eGFR < 60 mL/min/1.73 m2. Patients with T2D
showed a higher prevalence of CVD (37.9% versus 23.6%; P < 0.001) and kidney
dysfunction (25% versus 13.2%; P < 0.001) than in the general population. An
association with CVD was found with eGFR stages from 30 to 90 mL/min/1.73 m2 in
T2D and from <15 to 90 mL/min/1.73 m2 in general population, in whom the
association of eGFR with coronary heart disease was in an inverse relationship (P
< 0.01 for trend). Survival, in diabetes, was lower (P = 0.037) but not
associated with kidney dysfunction. Conclusions. In a high risk population,
patients admitted to hospital, the relationship of kidney function with CVD is
different between T2D and the general population. Competing mortality and the
presence of other major risk factors in diabetes may be responsible for this
difference.
PMID- 27885340
TI - Acute Kidney Injury in Hematopoietic Stem Cell Transplantation: A Review.
AB - Hematopoietic stem cell transplantation (HSCT) is a highly effective treatment
strategy for lymphoproliferative disorders and bone marrow failure states
including aplastic anemia and thalassemia. However, its use has been limited by
the increased treatment related complications, including acute kidney injury
(AKI) with an incidence ranging from 20% to 73%. AKI after HSCT has been
associated with an increased risk of mortality. The incidence of AKI reported in
recipients of myeloablative allogeneic transplant is considerably higher in
comparison to other subclasses mainly due to use of cyclosporine and development
of graft-versus-host disease (GVHD) in allogeneic groups. Acute GVHD is by itself
a major independent risk factor for the development of AKI in HSCT recipients.
The other major risk factors are sepsis, nephrotoxic medications (amphotericin B,
acyclovir, aminoglycosides, and cyclosporine), hepatic sinusoidal obstruction
syndrome (SOS), thrombotic microangiopathy (TMA), marrow infusion toxicity, and
tumor lysis syndrome. The mainstay of management of AKI in these patients is
avoidance of risk factors contributing to AKI, including use of reduced intensity
conditioning regimen, close monitoring of nephrotoxic medications, and use of
alternative antifungals for prophylaxis against infection. Also, early
identification and effective management of sepsis, tumor lysis syndrome, marrow
infusion toxicity, and hepatic SOS help in reducing the incidence of AKI in HSCT
recipients.
PMID- 27885343
TI - Qualitative Inquiry into Challenges Experienced by Registered General Nurses in
the Emergency Department: A Study of Selected Hospitals in the Volta Region of
Ghana.
AB - Registered General Nurses (RGNs) play crucial roles in emergency departments
(EDs). EDs in Ghana are primarily staffed by RGNs who have had no additional
formal education in emergency care. Additionally, basic, master's, or doctoral
level nursing education programs provide limited content on the complexities of
emergency nursing. Nurses in EDs are affected by many challenges such as growing
patient population, financial pressures, physical violence, verbal abuse,
operational inefficiencies, overcrowding, and work overload. There is a paucity
of research on challenges experienced by RGNs in EDs in the Volta Region of
Ghana. In this qualitative study, twenty RGNs in EDs from three selected
hospitals in the Volta Region of Ghana were interviewed. All recorded interviews
were transcribed, reviewed several times by researchers and supervisors, and
analyzed using content analysis. Five thematic categories were identified. These
thematic categories of challenges were lack of preparation for ED role, verbal
abuse from patients relatives, lack of resources in ED, stressful and time
consuming nature of ED, and overcrowding in ED. Formal education of RGNs in the
advanced role of emergency care, adequate supply of resources, increased hospital
management support, and motivations for RGNs working in ED are necessary to
improve the practice of emergency care.
PMID- 27885342
TI - Venous Adventitial Cystic Disease: A Review of 45 Cases Treated Since 1963.
AB - Purpose. To review and identify the most accurate ways of diagnosing and treating
adventitial cystic disease (ACD) of the venous system. Methods. Cases of ACD were
collected through three popular medical databases, including PubMed, Cochrane,
OVID, and MEDLINE. After reviewing the literature, the sites of occurrence of 323
cases of adventitial cystic disease were documented, and all cases of arterial
ACD were excluded. The clinical features, treatment, and subsequent course of 45
cases of venous ACD are included in this paper. Results. After reviewing all 45
cases of venous ACD , we have confirmed that the most common vessel affected is
the common femoral vein, which reproduces the most common symptom of venous ACD:
asymmetric lower extremity swelling worsening over time. Conclusion. Venous ACD
most commonly affects the common femoral vein. When unilateral leg swelling
occurs with or without a noticeable mass, ACD should be considered. It is best
confirmed with CT venography and the treatment of choice is transluminal cyst
evacuation and excision.
PMID- 27885344
TI - Drug-Induced Hypersensitivity Syndrome Caused by Carbamazepine Used for the
Treatment of Trigeminal Neuralgia.
AB - An 88-year-old man was diagnosed with trigeminal neuralgia, and treatment of
carbamazepine 200 mg/day was initiated. About 6 weeks later, the patient
developed a skin rash accompanied by fever. He was admitted to hospital and
diagnosed with drug-induced hypersensitivity syndrome (DIHS) caused by
carbamazepine. Oral carbamazepine treatment was stopped, but blood tests showed
acute liver and acute renal failure. Drug-induced lymphocyte stimulation test
(DLST) for carbamazepine, human herpes virus-6 (HHV-6) IgG, and CMV-HRP were
negative. Oral prednisolone therapy was begun 18 days later. The titer of HHV-6
IgG antibodies was then detected (640 times). Following treatment, liver and
renal function improved and the erythema disappeared.
PMID- 27885345
TI - Effectiveness of Long Term Supervised and Assisted Physiotherapy in Postsurgery
Oral Submucous Fibrosis Patients.
AB - Oral submucous fibrosis is one of the leading potentially malignant disorders
prevailing in India. A number of conservative and surgical treatment options have
been suggested for this potentially malignant disorder (Arakeri and Brennan,
2013). While the role of physiotherapy has been highlighted in the conservative
management, its importance in postsurgical cases to avoid scar contracture and
subsequent relapse has not been given due importance in the literature. The
following is a case report of a male patient surgically treated for OSMF (oral
submucous fibrosis) and meticulously followed up for recalls and physiotherapy.
The constant supervision and motivation for physiotherapy along with the constant
assistance helped achieve satisfying results.
PMID- 27885347
TI - Fludarabine Treatment of Patient with Chronic Lymphocytic Leukemia Induces a
Digital Ischemia.
AB - We report a 63-year-old man with a history of chronic lymphocytic leukemia (CLL)
who presented with asymmetrical Raynaud's phenomenon of sudden onset which
progressed to acral gangrene rapidly in a week. These symptoms began
approximately one week after the fourth cycle of fludarabine and cyclophosphamide
chemotherapy and were accompanied by pain, numbness, and cyanosis in the fingers
of his right hand except the first finger. Fludarabine may play a role in acral
vascular syndrome. The treatment with fludarabine in patients with evolving
digital ischemia should be carried out with caution.
PMID- 27885346
TI - Association between Oesophageal Diverticula and Leiomyomas: A Report of Two
Cases.
AB - We report two rare cases of female patients presenting with oesophageal leiomyoma
associated with oesophageal diverticulum, both of whom were surgically managed.
Oesophageal leiomyoma and oesophageal diverticulum are uncommon as separate
entities and rare as combined disease presentation. Clinicians need to be aware
of the rare combination of the two entities and need to be able to exclude the
presence of a tumour (benign or malignant) within a diverticulum and so plan the
optimum treatment. Herein, we present two cases of oesophageal leiomyoma within
oesophageal diverticulum and we try to elucidate the association between the two.
To date, there is no consensus whether a diverticulum is secondary to a leiomyoma
or, on the contrary, a leiomyoma arises within a diverticulum.
PMID- 27885349
TI - Chronic Lymphocytic Leukemia with Translocation (2;14)(p16;q32): A Case Report
and Review of the Literature.
AB - We report the case of a young African American male with no significant past
medical history presenting with low back and bilateral leg pain; presenting CBC
and chemistries revealed elevated white blood cell count of 250,000, with anemia
(Hb 6.8 g/dL) and thrombocytopenia (platelets 9 K/MUL), and elevated LDH, 1008.
Physical examination findings were notable for diffuse lymphadenopathy and lower
extremity skin nodules. Interestingly the bone marrow biopsy revealed involvement
by CLL/SLL with translocation (2;14)(p16;q32) and trisomy 12. The patient was
treated with fludarabine-based chemotherapy and steroids for CLL-related ITP with
excellent response. After three cycles of chemotherapy, all the enlarged lymph
nodes and skin nodules disappeared, and patient had achieved complete hematologic
response. In this paper we also reviewed the available literature of CLL patients
with translocation (2;14).
PMID- 27885348
TI - Should Prophylactic Anticoagulation Be Considered with Large Uterine Leiomyoma? A
Case Series and Literature Review.
AB - Introduction. Uterine leiomyomas, also called uterine fibroids or myomas, are the
most common pelvic tumors in women. They are very rarely the cause of acute
complications. However, when complications occur they cause significant morbidity
and mortality. Thromboembolic disease has been described as a rare complication
of uterine leiomyomas. DVT is a serious illness, sometimes causing death due to
acute PE. Cases. We report a case series of 3 patients with thromboembolic
disease associated with uterine leiomyoma at Hurley Medical Center, Flint,
Michigan, during 2015 and conduct a literature review on the topic. A literature
search was conducted using Medline, PubMed, and PMC databases from 1966 to 2015.
Conclusion. The uterine leiomyoma is a very rare cause of PE and only few cases
have been reported. DVT secondary to uterine leiomyoma should be considered in a
female presenting with abdominal mass and pelvic pressure, if there is no clear
common cause for her symptoms. Thromboembolic disease secondary to large uterine
leiomyoma should be treated with acute stabilization and then hysterectomy.
Prophylactic anticoagulation would be beneficial for lowering the risk of VTE in
patients with large uterine leiomyoma.
PMID- 27885350
TI - A Case of Dermatomyositis and Anti-EJ Autoantibody with Chronic Intestinal
Pseudoobstruction Successfully Treated with Octreotide.
AB - Chronic intestinal pseudoobstruction (CIPO) is a serious complication in patients
with connective tissue disease (CTD) and is sometimes life-threatening or fatal
despite intensive medical treatment. Here, we report a patient with
dermatomyositis (DM) and anti-EJ autoantibody who developed CIPO that was
improved by octreotide. Because her abdominal pain and bloatedness were so severe
and persistent, we introduced octreotide to relieve symptoms. In this case,
continuous intravenous administration as well as long-acting subcutaneous
injection of octreotide was effective for treating CIPO.
PMID- 27885352
TI - Formulation and Evaluation of Antibacterial Creams and Gels Containing Metal Ions
for Topical Application.
AB - Background. Skin infections occur commonly and often present therapeutic
challenges to practitioners due to the growing concerns regarding multidrug
resistant bacterial, viral, and fungal strains. The antimicrobial properties of
zinc sulfate and copper sulfate are well known and have been investigated for
many years. However, the synergistic activity between these two metal ions as
antimicrobial ingredients has not been evaluated in topical formulations.
Objective. The aims of the present study were to (1) formulate topical creams and
gels containing zinc and copper alone or in combination and (2) evaluate the in
vitro antibacterial activity of these metal ions in the formulations. Method.
Formulation of the gels and creams was followed by evaluating their organoleptic
characteristics, physicochemical properties, and in vitro antibacterial activity
against Escherichia coli and Staphylococcus aureus. Results. Zinc sulfate and
copper sulfate had a strong synergistic antibacterial activity in the creams and
gels. The minimum effective concentration was found to be 3 w/w% for both active
ingredients against the two tested microorganisms. Conclusions. This study
evaluated and confirmed the synergistic in vitro antibacterial effect of copper
sulfate and zinc sulfate in a cream and two gels.
PMID- 27885351
TI - Coronary Angiography Safety between Transradial and Transfemoral Access.
AB - Background and Aim. The aim of study was to evaluate safety, feasibility, and
procedural variables of transradial approach compared with transfemoral approach
in a standard population of patients undergoing coronary catheterization as one
of the major criticisms of the transradial approach is that it takes longer
overall procedure and fluoroscopy time, thereby causing more radiation exposure.
Method. Between January 2015 and December 2015, a total of 1,997 patients in LPS
Institute of Cardiology, GSVM Medical College, Kanpur, UP, India, undergoing
coronary catheterization were randomly assigned to the transradial or
transfemoral approach. Result. Successful catheterization was achieved in 1045 of
1076 patients (97.1%) in the transradial group and in 918 of 921 patients (99.7%)
in the transfemoral group (p = 0.001). Comparing the transradial and transfemoral
approaches, fluoroscopy time (2.46 +/- 1.22 versus 2.83 +/- 1.31 min; p = 0.32),
procedure time (8.89 +/- 2.72 versus 9.33 +/- 2.82 min; p = 0.56), contrast
volume (67.52 +/- 22.54 versus 71.63 +/- 25.41 mL; p = 0.32), radiation dose as
dose area product (24.2 +/- 4.21 versus 22.3 +/- 3.46 Gycm2; p = 0.43), and
postprocedural rise of serum creatinine (6 +/- 4.5% versus 8 +/- 2.6%; p = 0.41)
were not significantly different while vascular access site complications were
significantly lower in transradial group than transfemoral group (3.9% versus
7.6%; p = 0.04). Conclusion. The present study shows that transradial access for
coronary angiography is safe among patients compared to transfemoral access with
lower rate of local vascular complications.
PMID- 27885353
TI - A Comparison of the Effects of Alpha and Medical-Grade Honey Ointments on
Cutaneous Wound Healing in Rats.
AB - Introduction. This study compared the healing efficacy and possible adverse
effects of topical Alpha and medical-grade honey ointments on cutaneous wounds in
rats. Methods. To conduct the study, 22 male Sprague-Dawley rats were randomly
allocated into two equal groups: (1) rats with Alpha ointment applied to the
wound surface area and (2) rats with medical-grade honey ointment applied to
their wounds. The ointments were applied daily during the 21-day study period.
Wound contraction was examined photographically with images taken on days 0, 7,
and 21 after wounding. The healing process was histopathologically assessed using
skin biopsies taken from the wound sites on days 7 and 21. Results. No
statistically significant difference in mean wound surface area was observed
between the two study groups. According to histopathological assessment, a
significant reduction in the amount of collagen deposition (P value: 0.007) and
neovascularisation (P value: 0.002) was seen in the Alpha-treated rats on day 21.
No tissue necrosis occurred following the application of Alpha ointment.
Conclusion. Daily topical usage of Alpha ointment on a skin wound can negatively
affect the healing process by inhibiting neovascularization. Topical Alpha
ointment can reduce the possibility of excessive scar formation by reducing
collagen deposition.
PMID- 27885354
TI - A Potential Biofilm Metabolite Signature for Caries Activity - A Pilot Clinical
Study.
AB - BACKGROUND: This study's aim was to compare the dental biofilm metabolite-profile
of caries-active (N=11) or caries-free (N=4) children by gas chromatography-mass
spectrometry (GC/MS) analyses. METHODS: Samples collected after overnight
fasting, with or without a previous glucose rinse, were combined for each child
based on the caries status of the site, re-suspended in ethanol and analyzed by
GC/MS. RESULTS: Biofilm from caries-active sites exhibited a different
chromatographic profile compared to caries-free sites. Qualitative and
quantitative analysis suggested a special cluster of branched alcohols and esters
present at substantially higher intensity in biofilms of caries-active sites.
CONCLUSIONS: This pilot study indicates that there are metabolites present in the
biofilm which have the potential to provide a characteristic metabolomics
signature for caries activity.
PMID- 27885355
TI - Applying the Mini-Open Anterolateral Lumbar Interbody Fusion with Self-Anchored
Stand-Alone Polyetheretherketone Cage in Lumbar Revision Surgery.
AB - The author retrospectively studied twenty-two patients who underwent revision
lumbar surgeries using ALLIF with a self-anchored stand-alone
polyetheretherketone (PEEK) cage. The operation time, blood loss, and
perioperative complications were evaluated. Oswestry disability index (ODI)
scores and visual analog scale (VAS) scores of leg and back pain were analyzed
preoperatively and at each time point of postoperative follow-up. Radiological
evaluation including fusion, disc height, foraminal height, and subsidence was
assessed. The results showed that the ALLIF with a self-anchored stand-alone PEEK
cage is safe and effective in revision lumbar surgery with minor surgical trauma,
low access-related complication rates, and satisfactory clinical and radiological
results.
PMID- 27885356
TI - Magnetization Transfer and Amide Proton Transfer MRI of Neonatal Brain
Development.
AB - Purpose. This study aims to evaluate the process of brain development in neonates
using combined amide proton transfer (APT) imaging and conventional magnetization
transfer (MT) imaging. Materials and Methods. Case data were reviewed for all
patients hospitalized in our institution's neonatal ward. Patients underwent APT
and MT imaging (a single protocol) immediately following the routine MR
examination. Single-slice APT/MT axial imaging was performed at the level of the
basal ganglia. APT and MT ratio (MTR) measurements were performed in multiple
brain regions of interest (ROIs). Data was statistically analyzed in order to
assess for significant differences between the different regions of the brain or
correlation with patient gestational age. Results. A total of 38 neonates were
included in the study, with ages ranging from 27 to 41 weeks' corrected
gestational age. There were statistically significant differences in both APT and
MTR measurements between the frontal lobes, basal ganglia, and occipital lobes
(APT: frontal lobe versus occipital lobe P = 0.031 and other groups P = 0.00;
MTR: frontal lobe versus occipital lobe P = 0.034 and other groups P = 0.00).
Furthermore, APT and MTR in above brain regions exhibited positive linear
correlations with patient gestational age. Conclusions. APT/MT imaging can
provide valuable information about the process of the neonatal brain development
at the molecular level.
PMID- 27885358
TI - Miniopen Transforaminal Lumbar Interbody Fusion with Unilateral Fixation: A
Comparison between Ipsilateral and Contralateral Reherniation.
AB - The aim of this study was to evaluate the risk factors between ipsilateral and
contralateral reherniation and to compare the effectiveness of miniopen
transforaminal lumbar interbody fusion (TLIF) with unilateral fixation for each
group. From November 2007 to December 2014, clinical and radiographic data of
each group (ipsilateral or contralateral reherniation) were collected and
compared. Functional assessment (Visual Analog Scale (VAS) score and Japanese
Orthopaedic Association (JOA)) and radiographic evaluation (fusion status, disc
height, lumbar lordosis (LL), and functional spine unit (FSU) angle) were applied
to compare surgical effect for each group preoperatively and at final followup.
MacNab questionnaire was applied to further evaluate the satisfactory rate after
the discectomy and fusion. No difference except pain-free interval was found
between ipsilateral and contralateral groups. There was a significant difference
in operative time between two groups. No differences were found in clinical and
radiographic data for assessment of surgical effect between two groups. The
satisfactory rate was decreasing in both groups with time passing after
discectomy. Difference in pain-free interval may be a distinction for ipsilateral
and contralateral reherniation. Miniopen TLIF with unilateral pedicle screw
fixation can be a recommendable way for single level reherniation regardless of
ipsilateral or contralateral reherniation.
PMID- 27885359
TI - Corrigendum to "Nutritional Risk Factors for Age-Related Macular Degeneration".
AB - [This corrects the article DOI: 10.1155/2014/413150.].
PMID- 27885360
TI - Neurodegeneration: Etiologies and New Therapies 2016.
PMID- 27885357
TI - Achilles Tendinopathy: Current Concepts about the Basic Science and Clinical
Treatments.
AB - Achilles tendinopathy is one of the most frequently ankle and foot overuse
injuries, which is a clinical syndrome characterized by the combination of pain,
swelling, and impaired performance. The two main categories of Achilles
tendinopathy are classified according to anatomical location and broadly include
insertional and noninsertional tendinopathy. The etiology of Achilles
tendinopathy is multifactorial including both intrinsic and extrinsic factors.
Failed healing response and degenerative changes were found in the tendon. The
failed healing response includes three different and continuous stages (reactive
tendinopathy, tendon disrepair, and degenerative tendinopathy). The histological
studies have demonstrated an increased number of tenocytes and concentration of
glycosaminoglycans in the ground substance, disorganization and fragmentation of
the collagen, and neovascularization. There are variable conservative and
surgical treatment options for Achilles tendinopathy. However, there has not been
a gold standard of these treatments because of the controversial clinical results
between various studies. In the future, new level I researches will be needed to
prove the effect of these treatment options.
PMID- 27885361
TI - First principles search for n-type oxide, nitride, and sulfide thermoelectrics.
AB - Oxides have many potentially desirable characteristics for thermoelectric
applications, including low cost and stability at high temperatures, but thus far
there are few known high zT n-type oxide thermoelectrics. In this work, we use
high-throughput first principles calculations to screen transition metal oxides,
nitrides, and sulfides for candidate materials with high power factors and low
thermal conductivity. We find a variety of promising materials, and we
investigate these materials in detail in order to understand the mechanisms that
cause them to have high power factors. These materials all combine a high density
of states near the Fermi level with dispersive bands, reducing the trade-off
between the Seebeck coefficient and the electrical conductivity, but they do so
for several different reasons. In addition, our calculations indicate that many
of our candidate materials have low thermal conductivity.
PMID- 27885363
TI - Mitotic Protein Kinase 1: Role in Spindle Assembly Checkpoint Revisited.
PMID- 27885364
TI - Bidirectional RNN for Medical Event Detection in Electronic Health Records.
AB - Sequence labeling for extraction of medical events and their attributes from
unstructured text in Electronic Health Record (EHR) notes is a key step towards
semantic understanding of EHRs. It has important applications in health
informatics including pharmacovigilance and drug surveillance. The state of the
art supervised machine learning models in this domain are based on Conditional
Random Fields (CRFs) with features calculated from fixed context windows. In this
application, we explored recurrent neural network frameworks and show that they
significantly out-performed the CRF models.
PMID- 27885365
TI - Hydrolysis of trivalent plutonium and solubility of Pu(OH)3 (am) under
electrolytic reducing conditions.
AB - The aim of this work is to determine the solubility product of plutonium
hydroxide under reducing conditions and to ascertain the stability of Pu(OH)3
(am) in water. Hydrolysis of Pu(iii) and solubility of Pu(OH)3 (am) were
investigated at a constant ionic strength of 0.1 M NaClO4. Coulometric titration
was adopted to adjust the pH of plutonium solutions, during which the
electrolytic reducing conditions maintained the oxidation state of Pu(iii).
Chemical speciation for dissolved plutonium was investigated using sensitive
spectrophotometry coupled with a liquid waveguide capillary cell. The
spectroscopic investigations indicated that dissolved Pu(iv), Pu(v), and Pu(vi)
species were ignorable under these experimental conditions. The absorbance of
Pu3+ ions decreased due to hydrolysis of Pu(iii) but the absorbance of Pu(iii)
hydrolysis species was not distinguishable. The formation constant for the first
hydrolysis species (log *beta'1) determined in the present study is -6.62 +/-
0.25. The non-crystalline structure of the plutonium precipitate was observed
through X-ray diffraction. The solubility product of Pu(OH)3 (am), log *K's,0 is
determined to be 15.23 +/- 0.50. These results indicate a stronger tendency for
the hydrolysis of Pu(iii) and higher stability (lower solubility) of Pu(OH)3 (am)
compared to Am(iii).
PMID- 27885362
TI - Current Rural Drug Use in the US Midwest.
AB - The nature and challenge of illicit drug use in the United States continues to
change rapidly, evolving in reaction to myriad social, economic, and local
forces. While the use of illicit drugs affects every region of the country, most
of our current information about drug use comes from large urban areas. Data on
rural drug use and its harms justify greater attention. Record overdose rates,
unexpected outbreaks of HIV, and a dearth of treatment facilities point to a
rapidly worsening health situation. While health sciences have made considerable
progress in understanding the etiology of drug use and uncovering the link
between drug use and its myriad associated harms, this promising scientific news
has not always translated to better health outcomes. The scope of the problem in
the Central Plains of the US is growing, and can be estimated from available
sources. Clear remedies for this rising level of abuse are available, but few
have been implemented. Suggestions for short-term policy remedies are discussed.
PMID- 27885366
TI - Controlling the magnetic properties of dysprosium metallofullerene within metal
organic frameworks.
AB - Magnetic endohedral metallofullerenes represent a new family of single-molecule
magnets with a spherical form and solid framework. In this manuscript, we
illustrate the controllable quantum tunneling of magnetism for metallofullerene
DySc2N@C80 by means of molecule encapsulation in a porous metal-organic framework
(MOF-177) with strong host-guest interactions.
PMID- 27885367
TI - Olive oil and postprandial hyperlipidemia: implications for atherosclerosis and
metabolic syndrome.
AB - Olive oil is the primary source of fat in the Mediterranean diet, which is
associated with a significant improvement in health status, as measured by
reduced mortality from several chronic diseases. The current pandemic of obesity,
metabolic syndrome, and type 2 diabetes is intimately associated with an
atherogenic dyslipidemic phenotype. The core components of the dyslipidemia of
the metabolic syndrome, which most likely initiate atherosclerosis, are the
"lipid triad" consisting of high plasma triglycerides, low levels of high-density
lipoproteins, and a preponderance of small, dense low-density lipoproteins at
fasting. However, postprandial (non-fasting) TGs (postprandial hyperlipidemia)
are also recognized as an important component for atherosclerosis. Herein, the
purpose of this review was to provide an update on the effects and mechanisms
related to olive oil on postprandial hyperlipidemia and its implications for the
onset and progression of atherosclerosis and metabolic syndrome.
PMID- 27885368
TI - Structural characterization of EasH (Aspergillus japonicus) - an oxidase involved
in cycloclavine biosynthesis.
AB - Aj_EasH is a non-heme iron- and alpha-keto-glutarate-dependent oxidase that is
responsible for an unusual cyclopropyl ring formation in the biosynthesis of the
fungal ergot alkaloid cycloclavine. The three dimensional structure of Aj_EasH
(2.2 A resolution) reported here provides insight into the mechanism of this
unusual and complex reaction.
PMID- 27885369
TI - Electrospun poly(lactic acid) fibers containing novel chlorhexidine particles
with sustained antibacterial activity.
AB - The treatment of persistent infections often requires a high local drug
concentration and sustained release of antimicrobial agents. This paper proposes
the use of novel electrospinning of poly(lactic acid) (PLA) fibers containing
uncoated and encapsulated chlorhexidine particles. Chlorhexidine particles with a
mean (SD) diameter of 17.15 +/- 1.99 MUm were fabricated by the precipitation of
chlorhexidine diacetate with calcium chloride. Layer-by-layer (LbL) encapsulation
of the chlorhexidine particles was carried out to produce encapsulated particles.
The chlorhexidine particles had a high chlorhexidine content (90%), and when they
were electrospun into PLA fibers a bead-in-string structure was obtained. The
chlorhexidine content in the fibers could be tuned and a sustained release over
650 h was produced, via chlorhexidine particle encapsulation. Chlorhexidine
release was governed by the polyelectrolyte multilayer encapsulation as
demonstrated by SEM and confocal imaging. The incorporation of uncoated and
encapsulated chlorhexidine particles (0.5% and 1% wt/wt chlorhexidine) into the
fibers did not cause toxicity to healthy fibroblasts or affect cell adhesion to
the fibers over a period of 5 days. The chlorhexidine-containing fibers also
demonstrated sustained antibacterial activity against E. coli via an agar
diffusion assay and broth transfer assay. Therefore, the chlorhexidine-containing
PLA fibers may be useful in the treatment of persistent infections in medicine
and dentistry.
PMID- 27885370
TI - Water assisted high proton conductance in a highly thermally stable and superior
water-stable open-framework cobalt phosphate.
AB - Proton-conducting materials show important technological applications as key
components in energy conversion, electrochemical sensing and electrochromic
devices; the exploration for new types of proton-conducting materials is crucial
for the development of efficient electrochemical devices. In this study, we
investigated the proton transport nature of an inorganic-organic hybrid crystal
of open-framework cobalt phosphate, (C2N2H10)0.5CoPO4. The structure of the
hybrid crystal consists of the [CoPO4]-infinity anionic framework, and the proton
carriers, H2en2+ cations (en = ethylenediamine), are located in the pores to
compensate the negative charges of the inorganic framework. The open-framework is
thermally stable up to 653 K (380 degrees C) at least, and also shows superior
water stability. The open-framework exhibits negligible conductance in an
anhydrous environment even at 473 K; however, there is evident water-assisted
proton conduction. The conductivity reaches 2.05 * 10-3 S cm-1 at 329 K and 98%
RH. Such high proton conductivity can compete with numerous state-of-the-art
MOFs/PCPs-based proton conductors, and this material has promising applications
in diverse electrochemical devices.
PMID- 27885371
TI - Hierarchical ternary Ni-Co-Se nanowires for high-performance supercapacitor
device design.
AB - Large-scale uniform Ni-Co-Se bimetallic ternary nanowires have been successfully
synthesized through a successive cation exchange. First, NiSe nanowires in situ
grown on nickel foam (NF) were prepared by a facile solvothermal route. Next, a
series of ternary materials possessing different proportions of Ni and Co were
fabricated by a Co-exchange method using the Ni@NiSe material as a template,
which effectively achieved morphological inheritance from the parent material. To
explore the electrochemical performance, all synthetic materials were assembled
into asymmetric supercapacitor devices. Among asymmetric supercapacitor devices,
the Ni@Ni0.8Co0.2Se//active carbon (AC) device exhibited a high specific
capacitance of 86 F g-1 at a current density of 1 A g-1 and excellent cycling
stability with virtually no decrease in capacitance after 2000 continuous charge
discharge cycles. This device still delivered an energy density of 17 Wh kg-1
even at a high power density of 1526.8 W kg-1. These superior electrochemical
properties of Ni@Ni0.8Co0.2Se as an electrode material for supercapacitor devices
confirmed the synergistic effect between Co and Ni ions, suggesting their
potential application in the field of energy storage.
PMID- 27885373
TI - A new era of treatment for patients with haemophilia A?
AB - Treatment and prevention of bleeding episodes in patients with severe haemophilia
A require frequent intravenous injection of factor VIII. Inhibitory antibodies
against factor VIII occur in approximately 30 % of these patients during the
first exposure days and immune tolerance induction to eradicate the inhibitor is
challenging. Prevention of bleeds in patients with haemophilia A and inhibitors
is less effective and there is ongoing research for alternative treatment
options. A promising approach in 2016 is the development of emicizumab (ACE910),
a bi-specific IgG antibody to factor IXa and factor X, that mimics the cofactor
function of factor VIII. Due to the different structure of this antibody it
cannot be neutralized by factor VIII inhibitors and has the possibility to
achieve haemostasis in patients with severe haemophilia A with and without
inhibitors. First studies in healthy volunteers and in patients showed a
shortened activated partial thromboplastin time and increased peak height of
thrombin generation in a dose-dependent manner. The half-life of the drug was 4
to 5 weeks. There were no clinical signs of thrombosis and no laboratory
abnormalities indicating hypercoagulability. In a first study with 18 patients
with severe haemophilia A with and without inhibitors a remarkable reduction in
the annualised bleeding rate occurred. Safety of the drug has to be proven in
ongoing research. Mimicking the cofactor activity of factor VIII by a bispecific
antibody for the treatment of severe haemophilia A is so far safe and seems to be
effective and is one highlight in haemostasis 2016.
PMID- 27885372
TI - Treatment planning in PRRT based on simulated PET data and a PBPK model.
Determination of accuracy using a PET noise model.
AB - AIM: To investigate the accuracy of treatment planning in peptide-receptor
radionuclide therapy (PRRT) based on simulated PET data (using a PET noise model)
and a physiologically based pharmacokinetic (PBPK) model. METHODS: The parameters
of a PBPK model were fitted to the biokinetic data of 15 patients. True
mathematical phantoms of patients (MPPs) were the PBPK model with the fitted
parameters. PET measurements after bolus injection of 150 MBq 68Ga-DOTATATE were
simulated for the true MPPs. PET noise with typical noise levels was added to the
data (i.e. c = 0.3 [low], 3, 30 and 300 [high]). Organ activity data in the
kidneys, tumour, liver and spleen were simulated at 0.5, 1 and 4 h p.i. PBPK
model parameters were fitted to the simulated noisy PET data to derive the PET
predicted MPPs. Therapy was simulated assuming an infusion of 3.3 GBq of 90Y
DOTATATE over 30 min. Time-integrated activity coefficients (TIACs) of simulated
therapy in tumour, kidneys, liver, spleen and remainder were calculated from
both, true MPPs (true TIACs) and predicted MPPs (predicted TIACs). Variability v
between true TIACs and predicted TIACs were calculated and analysed. Variability
<= 10 % was considered to be an accurate prediction. RESULTS: For all noise
level, variabilities for the kidneys, liver, and spleen showed an accurate
prediction for TIACs, e.g. c = 300: vkidney = (5 +/- 2)%, vliver = (5 +/- 2)%,
vspleen = (4 +/- 2)%. However, tumour TIAC predictions were not accurate for all
noise levels, e.g. c = 0.3: vtumour = (8 +/- 5)%. CONCLUSION: PET-based treatment
planning with kidneys as the dose limiting organ seems possible for all reported
noise levels using an adequate PBPK model and previous knowledge about the
individual patient.
PMID- 27885374
TI - Cardiovascular risk and inflammation in rheumatic diseases.
PMID- 27885375
TI - Chylothorax in dermatomyositis complicated with interstitial pneumonia.
AB - Chylothorax is a disease in which chyle leaks and accumulates in the thoracic
cavity. Interstitial pneumonia and pneumomediastinum are common thoracic
manifestations of dermatomyositis, but chylothorax complicated with
dermatomyositis is not reported. We report a case of dermatomyositis with
interstitial pneumonia complicated by chylothorax. A 77-year-old woman was
diagnosed as dermatomyositis with Gottron's papules, skin ulcers, anti-MDA5
antibody and rapid progressive interstitial pneumonia. Treatment with
betamethasone, tacrolimus and intravenous high-dose cyclophosphamide was
initiated, and her skin symptoms and interstitial pneumonia improved once.
However, right-sided chylothorax began to accumulate and gradually increase, and
at the same time, her interstitial pneumonia began to exacerbate, and skin ulcers
began to reappear on her fingers and auricles. Although her chylothorax improved
by fasting and parenteral nutrition, she died due to further exacerbations of
dermatomyositis and interstitial pneumonia in spite of steroid pulse therapy,
increase in the betamethasone dosage, additional intravenous high-dose
cyclophosphamide and plasma pheresis. An autopsy showed no lesions such as
malignant tumors in the thoracic cavity. This is the first report of chylothorax
complicated by dermatomyositis with interstitial pneumonia.
PMID- 27885376
TI - High prevalence of subclinical atherosclerosis in psoriatic arthritis patients: a
study based on carotid ultrasound.
AB - Analyse the presence of subclinical atherosclerosis in psoriatic arthritis
patients (PsA). A cross-sectional study of 53 patients with PsA and 53 controls
matched for age and sex was designed. Carotid intima-media thickness (IMT) and
the presence of carotid plaques (CP) were assessed with carotid ultrasound. Data
on cardiovascular (CV) risk factors were collected. Patients with PsA had a
higher prevalence rate of obesity and tobacco smoking. CP were detected more
frequently in patients with PsA than in controls with an OR of 4.15, 95% CI 1.4
12.1, which adjusted for smoking and those with history of CV disease gave an OR
of 3.9, 95% CI 1.2-12.7, p = 0.026. Carotid IMT was significantly higher in
patients with PsA adjusted for age and tobacco smoking. According to ultrasound
data, 30.2% of patients with PsA had carotid atherosclerosis (presence of CP
and/or carotid IMT > 0.90 mm) compared with 9.4% of controls. The SCORE index
(Systematic Coronary Risk Evaluation) underestimated the CV risk in these
patients: most patients with CP had an intermediate CV risk. According to carotid
ultrasound data, PsA patients have a high prevalence of subclinical
atherosclerosis. These results support the importance of screening for CV risk
and to include carotid ultrasound in CV prevention strategies in these patients.
PMID- 27885377
TI - [Partial pericardium defect with a cardiac heart diverticulum and extensive
intrauterine hypoxic myocardial lesions].
AB - The autopsy of a fetus at 23 weeks gestational age revealed a partial pericardial
defect with subsequent herniation of parts of the left ventricle. The myocard was
impinged by the fibrous rim of the residual pericardium. Microscopic examination
showed signs of recurrent myocardial infarctions with necrosis, calcification,
fibrosis and prominent deposition of iron.Partial pericardial defects result from
incomplete fusion of the pleuropericardial membrane and may lead to myocardial
infarction via compression of the coronary arteries.
PMID- 27885378
TI - NETosis provides the link between activation of neutrophils on hemodialysis
membrane and comorbidities in dialyzed patients.
AB - INTRODUCTION: Neutrophil extracellular traps (NETs) are formed by activated
neutrophils during the process of NETosis in which the nuclear material is
released into extracellular space, including DNA molecules, citrullinated
histones, and neutrophil granule enzymes, such as elastase. This material forms
networks that are able not only to physically entrap bacteria but also to provide
elevated concentration of bactericidal components. Over the last years, it has
become clear that NETs can also be formed under numerous sterile inflammatory
conditions, i.e., thrombosis, cancer, SLE, atherosclerosis, and diabetes. METHOD:
We reviewed studies published until July 2016 to find possible associations
between elevated cell-free DNA levels in dialyzed patients and the process of
NETosis and its consequences. RESULTS: The process of NETosis, its elevated
activation, or impaired clearance provides the link between clinical conditions
and elevated levels of cell-free DNA found in plasma after the hemodialytic
procedure which itself is able to activate neutrophils via platelets and ROS
formation. NETs stimulate thrombosis and endothelial damage, and their formation
may contribute to the development of spectrum of comorbidities described in
dialyzed patients. CONCLUSION: The study of plasma cell-free DNA levels together
with markers of NETosis could contribute to the evaluation of the influence of
hemodialysis on the immune system of patients.
PMID- 27885381
TI - Newborn with rhizomelia and difficulty breathing.
PMID- 27885379
TI - Preoperative radioactive seed localization of nonpalpable soft tissue masses: an
established localization technique with a new application.
AB - OBJECTIVE: To describe the technique of iodine125 (I125) seed deployment into
nonpalpable soft tissue masses under direct ultrasound (US) or CT guidance for
intraoperative localization. MATERIALS AND METHODS: Patients considered
candidates for radioactive seed localization (RSL) based on advanced imaging
findings underwent an ultrasound examination of the area of concern to verify
sonographic visualization of the targeted mass. If the mass was not visible
sonographically, CT was used for guidance. Patients were scheduled for surgery 1
4 days after seed implantation. Intraoperative frozen section pathological
analysis was performed on all patients. Operative time, specimen volume,
intraoperative margin status, and final margin status were recorded. Following
the surgery, patients and surgeons completed satisfaction surveys. RESULTS: Ten
patients underwent seed placement between 1 and 4 days prior to surgery. All
patients had successful surgical resection of the targeted mass with removal of
all implanted radioactive seed(s). There was no seed migration. Intraoperative
frozen-section margins were negative (>2 mm) in 6/10 patients. Final surgical
margins were negative in 9/10 patients. The patient with a positive margin at
final pathology did not undergo further resection due to the benign nature of the
mass. Patient and surgeon satisfaction survey results were highly positive. All
four surgeons reported a strong preference for seed localization over wire
localization. CONCLUSIONS: RSL is an effective, reliable, and safe technique for
preoperative localization of nonpalpable soft tissue masses and yields high
patient and surgeon satisfaction.
PMID- 27885380
TI - Anterior cruciate ligament reconstruction tunnel size: causes of tunnel
enlargement and implications for single versus two-stage revision reconstruction.
AB - Anterior cruciate ligament (ACL) reconstructions have increased over the past 25
years. The increased incidence of ACL reconstructions has translated into a
larger number of graft failures and revision ACL procedures. It is important to
understand the causes of graft failure when evaluating for a revision ACL
reconstruction and to appreciate changes in tunnel anatomy over time prior to
planning revision surgery. In this manuscript, tunnel size for ACL reconstruction
and implications for single-stage versus two-stage revision ACL reconstruction
will be discussed, as well as causes of tunnel enlargement, including mechanical
and biological factors.
PMID- 27885382
TI - MRI in flexor tendon rupture after collagenase injection.
AB - Flexor tendon rupture is an unusual complication following collagenase injection
to relieve contractures. These patients require a close follow-up and in the
event of tendon rupture, a decision has to be made whether to repair the tendon
or manage the complication conservatively. The authors report the utility of MRI
in the prognostication and management of a patient with Dupuytren's contracture,
who underwent collagenase injection and subsequently developed flexor digitorum
profundus tendon rupture.
PMID- 27885384
TI - Use of the arthroereisis screw with tendoscopic delivered platelet-rich plasma
for early stage adult acquired flatfoot deformity.
AB - PURPOSE: Early stage adult acquired flatfoot deformity (AAFD) is traditionally
treated with osteotomy and tendon transfer. Despite a high success rate, the long
recovery time and associated morbidity are not sufficient. This study aims to
evaluate the functional and radiological outcomes following the use of the
arthroereisis screw with tendoscopic delivered PRP for early stage AAFD. METHODS:
Patients with stage IIa AAFD who underwent the use of the arthroereisis screw
with tendoscopic delivered PRP with a minimum follow-up time of 24 months were
retrospectively evaluated. Clinical outcomes for pain were evaluated with the
Foot and Ankle Outcomes Score (FAOS) and Visual Analog Score (VAS). Radiographic
deformity correction was assessed using weight-bearing imaging. RESULTS: Thirteen
patients (13 feet) with mean follow-up of 29.5 months were included. The mean age
was 37.3 years (range, 28-65 years). FAOS-reported symptoms, pain, daily
activities, sports activities, and quality of life significantly improved from
52.1, 42.6, 57.6, 35.7, and 15.4 pre-operatively to 78.5, 68.2, 83.3, 65.0, and
49.6 post-operatively, respectively (p < 0.05). Statistically significant
radiographic improvements (lateral talus first metatarsal angle, calcaneal pitch,
and cuneiform to ground distance) were also observed between the pre- and post
operative images. CONCLUSIONS: This study elucidates the successful
implementation of a less invasive approach to stage IIa AAFD. Through the use of
a subtalar arthroereisis screw, PTT tendoscopy, and PRP injection, clinical and
radiographic outcomes were improved.
PMID- 27885385
TI - In-Package Inactivation of Pathogenic and Spoilage Bacteria Associated with
Poultry Using Dielectric Barrier Discharge-Cold Plasma Treatments.
AB - The goal of this study was to test the efficacy of in-package dielectric barrier
discharge-cold plasma (DBD-CP) treatment to inactivate poultry-associated
spoilage (Pseudomonas fluorescens) and pathogenic (Salmonella enterica
Typhimurium, Campylobacter jejuni) bacteria. Liquid cultures of the bacterial
isolates were sealed within packages containing ambient air (Trial 1) or modified
air (65% O2:30% CO2:5% N2; Trial 2). The packages were subjected to treatment
times ranging from 30 to 180 s, and after 24 h incubation at 4 degrees C,
bacterial titers were determined. The DBD-CP system completely inactivated the
four isolates tested, although the in-package gas composition and treatment times
were isolate-specific. Both C. jejuni isolates were completely inactivated
between 30 s (modified air) and 120 s (ambient air), while modified air was
required for the complete inactivation of S. typhimurium (90 s) and P.
fluorescens (180 s). This DBD-CP system is effective for inactivating major
poultry-associated spoilage and pathogenic bacteria in liquid culture, and
through this study, system parameters to optimize inactivation were determined.
This study demonstrates the potential for DBD-CP treatment to inactivate major
bacteria of economic interest to the poultry industry, thus potentially allowing
for reduced spoilage (e.g., longer shelf life) and increased safety of poultry
products.
PMID- 27885383
TI - Schwann cells: a new player in the tumor microenvironment.
AB - Cancerous cells must cooperate with the surrounding stroma and non-malignant
cells within the microenvironment to support the growth and invasion of the
tumor. The nervous system is a component of every organ system of the body, and
therefore, is invariably at the front line of the tumor invasion. Due to the
complexity of the nervous system physiology, this review separately discusses the
contributions of the central and peripheral nervous systems to the tumorigenesis
and tumor progression. We further focus the discussion on the evidence that
Schwann cells aid in tumor growth and invasion. Schwann cells, a largely
unexplored element of the tumor microenvironment, may participate in the creation
of tumor-favorable conditions through both bi-directional interaction with cancer
cells and the facilitation of the immune-suppressive microenvironment through the
mechanism of neural repair and immunomodulation.
PMID- 27885386
TI - Wrist denervation of the posterior interosseous nerve through a volar approach: a
new technique with anatomical considerations.
AB - PURPOSE: Full or selective wrist denervation is an effective treatment for
chronic wrist pain. In this cadaveric study, a volar approach for prophylactic
denervation of the posterior interosseous nerve (PIN) and the anterior
interosseous nerve (AIN) was assessed, which can simultaneously be performed
during volar approaches for distal radius fracture fixation or in combination
with metalwork removal. MATERIALS AND METHODS: In total 40 adult upper limbs,
embalmed using Thiel's method, were investigated. Group 1 included 20 limbs of
which the distances between AIN and PIN to the ulnar margin of radius were
measured at levels 6, 8 and 10 cm proximal to the styloid process and the
distance radial styloid process to proximal border of pronator quadratus which
might be useful as an intraoperative landmark. Subsequently further additional 20
adult limbs (group 2) were used. Transection of the PIN via this volar approach
at the evaluated best level of step 1 was performed and evaluated by dissection
via a dorsal approach. RESULTS: In group 1, the PIN runs within the interosseous
membrane, from the ulnar border of the radius, 6.4 mm (SD 2.66) at 6 cm, 8.4 mm
(SD 2.28) at 8 cm and 3.75 mm (SD 5.46) at 10 cm proximal to the radial styloid.
The AIN runs within the interosseous membrane, from the ulna edge of the radius,
7.5 mm (SD 2.4) at 6 cm, 7.3 mm (SD 1.95) at 8 cm and 2.35 mm (3.42) at 10 cm
proximal to the radial styloid. AIN and PIN were in close proximity at the 8-cm
level which equals a 1-cm distance proximal to the pronator quadratus border.
Group 2 showed a successful transection of the PIN through a single volar
surgical approach in additional 18 out of 20 adult upper limbs. CONCLUSIONS: This
study shows the local anatomy of the PIN, allowing denervation via a volar
approach.
PMID- 27885387
TI - The Contribution of Project Environmental Assessment to Assessing and Managing
Cumulative Effects: Individually and Collectively Insignificant?
AB - This paper explores the opportunities and constraints to project-based
environmental assessment as a means to support the assessment and management of
cumulative environmental effects. A case study of the hydroelectric sector is
used to determine whether sufficient information is available over time through
project-by-project assessments to support an adequate understanding of cumulative
change. Results show inconsistency from one project to the next in terms of the
components and indicators assessed, limited transfer of baseline information
between project assessments over time, and the same issues and concerns being
raised by review panels-even though the projects reviewed are operating in the
same watershed and operated by the same proponent. Project environmental
assessments must be managed, and coordinated, as part of a larger system of
impact assessment, if project-by-project assessments are to provide a meaningful
forum for learning and understanding cumulative change. The paper concludes with
recommendations for improved project-based assessment practice in support of
cumulative effects assessment and management.
PMID- 27885388
TI - Recovery of Forest and Phylogenetic Structure in Abandoned Cocoa Agroforestry in
the Atlantic Forest of Brazil.
AB - Cocoa agroforests like the cabrucas of Brazil's Atlantic forest are among the
agro-ecosystems with greatest potential for biodiversity conservation. Despite a
global trend for their intensification, cocoa agroforests are also being
abandoned for socioeconomic reasons especially on marginal sites, because they
are incorporated in public or private protected areas, or are part of mandatory
set-asides under Brazilian environmental legislation. However, little is known
about phylogenetic structure, the processes of forest regeneration after
abandonment and the conservation value of former cabruca sites. Here we compare
the vegetation structure and composition of a former cabruca 30-40 years after
abandonment with a managed cabruca and mature forest in the Atlantic forest
region of Espirito Santo, Brazil. The forest in the abandoned cabruca had
recovered a substantial part of its original structure. Abandoned cabruca have a
higher density (mean +/- CI95 %: 525.0 +/- 40.3 stems per ha), basal area (34.0
+/- 6.5 m2 per ha) and species richness (148 +/- 11.5 species) than managed
cabruca (96.0 +/- 17.7; 24.15 +/- 3.9 and 114.5 +/- 16.0, respectively) but no
significant differences to mature forest in density (581.0 +/- 42.2), basal area
(29.9.0 +/- 3.3) and species richness (162.6 +/- 15.5 species). Thinning
(understory removal) changes phylogenetic structure from evenness in mature
forest to clustering in managed cabruca, but after 30-40 years abandoned cabruca
had a random phylogenetic structure, probably due to a balance between biotic and
abiotic filters at this age. We conclude that abandoned cocoa agroforests present
highly favorable conditions for the regeneration of Atlantic forest and could
contribute to the formation of an interconnected network of forest habitat in
this biodiversity hotspot.
PMID- 27885389
TI - MRI features of perianal fistulas: is there a difference between Crohn's and non
Crohn's patients?
AB - PURPOSE: Though perianal fistulas are commonly seen in patients with Crohn's
disease, they can also be seen in patients without inflammatory bowel disease.
The purpose of this study was to evaluate MR imaging differences of perianal
fistulas in patients with and without Crohn's disease. METHODS: Our retrospective
search from January 2012 to December 2015 of the Radiology database for perianal
fistula yielded 207 patients. Only patients with dedicated MR fistula protocol
studies were included, whereas patients with previous anal surgery or
anastomosis, anorectal tumors, and equivocal findings that could not be
definitely assessed as a fistula were excluded. The following features were
assessed: anatomic type of fistula (Parks Classification), luminal origin (hour
clock position), anal verge distance, signs of acute inflammation, circumference
of anus involved by inflammation, presence of rectal inflammation. and abscess.
RESULTS: One hundred and twenty six of 207 patients met inclusion criteria. Of
these, 96 (76.2%) had Crohn's disease and 30 (23.8%) did not. The most common
fistulas identified were transphincteric (38.5% of Crohn's and 50% of non
Crohn's) and intersphincteric (33.3% of Crohn's and 35.4% of non-Crohn's). An
abscess was associated in 41 cases, 32 (33.3%) in the Crohn's group and 9 (30.0%)
in the non-Crohn's group. Rectal inflammation was present in 29 patients with
Crohn's disease (29.2%) and in 2 without Crohn's (6.7%). This finding was
statistically significant (p = 0.0009). CONCLUSIONS: Our study demonstrates that
while both groups can have similar MR imaging features, accompanying rectal
inflammation was more commonly seen in Crohn's disease.
PMID- 27885390
TI - CT angiography in the setting of suspected acute mesenteric ischemia: prevalence
of ischemic and alternative diagnoses.
AB - PURPOSE: The purpose of the study was to determine the prevalence of ischemic and
alternative diagnoses and the diagnostic accuracy of CT angiography (CTA) in the
setting of suspected acute mesenteric ischemia (AMI). MATERIAL AND METHODS: We
included 959 patients undergoing CTA for the evaluation of suspected AMI. The
final clinical diagnosis was used to determine the prevalence of ischemic and
alternative diagnoses and to calculate the diagnostic accuracy of CTA. Prevalence
of diagnoses by age, sex, and admission status was compared using Cochran
Armitage and chi 2 tests. RESULTS: Prevalence was 18.8% (180/959) for AMI and
61.2% (587/959) for specific alternative diagnoses. In the remaining 20.0%
(192/959), no clear clinical diagnosis was established. The most frequent
alternative diagnoses were small-bowel obstruction (10.4%; 61/587), infectious
colitis (8.7%; 51/587), pneumonia (6.5%; 38/587), cholecystitis (6.1%; 36/587),
and diverticulitis (5.6%; 33/587). Prevalence of specific alternative diagnoses
varied significantly according to both age (p < .013) and admissions status (p <
0.001). CTA had a sensitivity and specificity for diagnosing AMI of 89.4%/99.5%
and for alternative diagnoses of 86.7%/96.9%, respectively. CONCLUSION: In the
setting of suspected AMI, the prevalence of ischemic and alternative diagnoses
varies significantly by age, sex, and admission status. CTA provides for rapid
and non-invasive assessment of ischemic and alternative diagnoses with high
diagnostic accuracy.
PMID- 27885391
TI - Screening CT colonography reimbursement: triumphs and navigating a path forward.
PMID- 27885392
TI - Imaging of acute anorectal conditions with CT and MRI.
AB - Anorectal disorders are a common cause of presentation to the emergency
department (ED). While the most frequently encountered anorectal conditions, such
as hemorrhoids and anal fissures, are relatively benign and do not require
imaging for diagnosis or management, there are multiple potentially life
threatening anorectal conditions for which imaging is an important component of
evaluation, diagnosis, and management. Although computed tomography (CT) is the
most commonly used imaging modality for evaluation of anorectal pathology in the
ED, magnetic resonance imaging (MRI) has an increasingly important role in the
detection, characterization and management of specific anorectal conditions. This
pictorial essay will review the imaging anatomy of the anorectum, summarize
imaging protocols, and discuss the clinical presentation, imaging appearance, and
differential diagnosis of anorectal conditions that may present to the emergency
department, including infectious, inflammatory, malignant and vascular
conditions.
PMID- 27885393
TI - Erratum to: Venoarterial extracorporeal membrane oxygenation for cardiac
arrest/cardiogenic shock.
PMID- 27885394
TI - Sports-specific differences in postsurgical infections after arthroscopically
assisted anterior cruciate ligament reconstruction.
AB - PURPOSE: Post-operative infection after arthroscopically assisted anterior
cruciate ligament (ACL) reconstruction is a rare but severe complication,
particularly for young and active patients. It is unclear whether the prevalence
of knee infection is correlated with the type of sports or the level of
performance. METHODS: From 2008 to 2012, the internal single-centre ACL registry
of the FIFA Medical Centre of Excellence Regensburg was retrospectively screened
for sex, age, time between isolated primary ACL rupture and surgery, surgical
technique, rate of infection after ACL reconstruction and the type of sports
practised. RESULTS: In total, 4801 ACL reconstructions had been conducted over 5
years, 4579 in amateur and 221 in professional athletes. After application of the
exclusion criteria, 1809 athletes with ACL reconstruction were analysed regarding
postsurgical infection and the type of sports practised. Professionals and
amateurs did not significantly differ with regard to infection rates (n.s.) but
in the timing of ACL repair (p < 0.001). Eleven of 1130 football players had
developed postsurgical infection after ACL reconstruction (1.0%) in contrast to
557 skiers and snowboarders without infection (p = 0.02). The timing of ACL
repair did not differ between the different types of sports (n.s.).
Staphylococcus aureus and epidermidis were the predominant detected bacteria. All
patients were hospitalised and successfully treated with arthroscopic lavage and
antibiotic medication. CONCLUSION: ACL infections showed sports-related
differences. Athletes practising summer outdoor sports such as football had a
significantly higher risk of infection after ACL reconstruction than winter
sports athletes. No difference was found between professional and amateur
athletes. Relevant prevention strategies for postsurgical ACL infections should
consider influencing patient factors such as the type of sports activity and
attendant circumstances. LEVEL OF EVIDENCE: III.
PMID- 27885396
TI - The Effect of Liming and Sewage Sludge Application on Heavy Metal Speciation in
Soil.
AB - The aim of this paper is to assess the effect of liming and low doses of
municipal sewage sludge (5%, 10%, 15% of the soil mass) on lead, chromium and
nickel speciation in soil. The 420-day experiment was carried out in laboratory
conditions. In all the samples lead, chromium and nickel concentration was
determined with the ICP-AES method, while the content of those metals in
different fractions was measured with the seven-step Zeien and Brummer method, on
the 30th and 420th days of the experiment. Sewage sludge doses significantly
diversified lead, chromium and nickel amounts in the soil. The highest dose of
sludge caused a significant increase, compared to the control, in the content of
those metals. In the sludge the dominant forms of metals tested in the experiment
were lead and chromium bound to organic matter (F4) as well as nickel bound to
amorphous iron oxides (F5). Liming decreased the mobility of the metals in the
soil.
PMID- 27885395
TI - Hip instability treated with arthroscopic capsular plication.
AB - PURPOSE: Atraumatic microinstability of the hip is felt to be a cause of intra
articular pathology, particularly tears of the anterior labrum. The purpose of
this study is to evaluate a consecutive series of patients with atraumatic hip
microinstability that resulted in anterior labral and cartilage pathology,
treated with hip arthroscopy and capsular plication without any associated bony
procedures. METHODS: Thirty-two patients underwent hip arthroscopy and suture
capsular plication for the treatment of hip instability without concomitant bony
resections of the acetabulum or proximal femur between November 2009 and November
2012 and were followed for a minimum of 12 months. Patients were clinically
evaluated preoperatively and again at 3, 6, 12, 24, and 36 months postoperatively
with the modified Harris hip score (mHHS) and iHOT score in some patients (as
this was introduced late in the study). Comparison was made evaluating centre
edge angle, Tonnis angle, physical examination findings, and demographics on
outcome. RESULTS: There was significant improvement in the mean mHHS from 67 (SD
= 8.7) to 97 (SD = 4.7) and iHOT score from 41 (SD = 18.3) to 85 (SD = 10.1) at
final follow-up. When comparing patients with mild hip dysplasia to patients
without hip dysplasia, there was no significant difference in clinical outcome at
any point in follow-up. There was no significant association between patient age,
duration of preoperative symptoms, previous ipsilateral hip arthroscopy, nature
of onset of symptoms, centre-edge angle, Tonnis angle, or preoperative physical
examination findings with clinical outcome at final follow-up. CONCLUSION:
Isolated arthroscopic suture capsular plication performed for the treatment of
hip instability resulted in improved patient pain and function at a minimum of 1
year follow-up.
PMID- 27885397
TI - Assessment of Indexes for Heavy Metal Contamination in Remote Areas: A Case Study
in a Pyrenean Forest, Navarra, Spain.
AB - The objective of the present work was to verify and compare the performance of
different geochemical indices employed to identify the anthropogenic origin of
selected heavy metals and other trace elements in soils. To that end, two
background values, the upper continental crust and the metal content in the bed
rock, were used and obtained from a forested basin of the western Pyrenees. The
enrichment factor (EF), geo-accumulation index (Igeo), and contamination factor
(Cif) were finally evaluated for their ability to determine anthropogenic
contamination: Results indicate that an in-depth knowledge of the bed rock
geochemistry and the geological background content is essential to distinguish
between the natural variability of soils and any anthropogenic contribution of
heavy metals. Although both EF and Cif show a similar ability to detect soil
contamination, the latter is proposed as a more appropriate and sensitive marker
given its ability for finding episodically elevated contamination levels.
PMID- 27885398
TI - Real-world risk of diabetes with antipsychotic use in older New Zealanders: a
case-crossover study.
AB - PURPOSE: The primary aim was to examine and compare the increased risk of
incident diabetes associated with second-generation antipsychotics (SGAs) and
first-generation antipsychotics (FGAs), with and without adjusting for potential
confounding factors. The secondary aim was to recalculate the relative risks of
diabetes onset using a semi-symmetric bidirectional case-crossover (SSBC) design
to adjust for time-trend bias. METHOD: Prescription records (2005-2015) of
antipsychotics were sourced from New Zealand Pharmaceutical Collections. The
first-time diabetes diagnosis was extracted from the National Minimal Dataset.
Relative risks (RRs) of diabetes onset were calculated using conditional logistic
regression. Time-trend bias was corrected by recalculating the RR using a SSBC
design. RESULTS: Among 645 individuals, the risk of diabetes onset is higher in
SGA users (ARR = 8.72, 95% CI = [5.57, 13.67]) compared to FGA users (ARR = 5.68,
95% CI = [3.43, 9.39]). The increased risk of diabetes onset associated with
quetiapine is higher (ARR = 7.47, 95% CI = [4.10, 13.62]), compared to
haloperidol (ARR = 5.05, 95% CI = [2.91, 8.75]). However, the increased risk of
diabetes onset associated with olanzapine (ARR = 2.27, 95% CI = [0.86, 5.98]) is
insignificant after adjusting for concomitant use of effect modifiers and other
antipsychotic drugs. CONCLUSION: The results support that the magnitude of the
risk of diabetes is higher with SGA use compared with FGA use, and the risk is
higher when co-prescribed. Confounding by indication and time-varying confounders
such as body mass index could bias the risk of onset of diabetes. Marginal
structural models could provide more precise estimates of the risk of onset of
diabetes following exposure to antipsychotics.
PMID- 27885399
TI - Absolute oral bioavailability of selexipag, a novel oral prostacyclin IP receptor
agonist.
AB - PURPOSE: The aim of this single-center, open-label study was to assess the
absolute bioavailability of an oral (tablet) versus intravenous (i.v.)
formulation of selexipag in healthy subjects. METHODS: A pilot phase in three
healthy male subjects, which preceded the main study, consisted of a single 20
minute i.v. infusion of 50 MUg selexipag. Its objectives were to ensure the
safety of the i.v. formulation and to select the i.v. dose for the main study.
The main study had a randomized, two-way crossover design in 16 healthy male
subjects. Subjects received a single oral dose of 400 MUg selexipag and a single
80-minute i.v. infusion of 200 MUg selexipag. RESULTS: Three subjects in the
pilot and 15 in the main phase completed the study as planned, whereas one
subject of the main study withdrew the consent. A geometric mean total body
clearance (95% confidence interval (CI)) of 17.9 L/h (15.0-21.5) and a volume of
distribution of 11.7 L (10.6-13.0) were determined. The absolute oral
bioavailability of selexipag (90% CI) was 49.4% (42.6-57.2). Selexipag was well
tolerated; no adverse event (AE) occurred during the pilot phase, and the main
observed AEs were headache, nausea, and vomiting. CONCLUSION: A single i.v.
administration of selexipag in healthy subjects was safe and well-tolerated. The
bioavailability of selexipag after oral administration is approximately 50%.
PMID- 27885400
TI - Influences on antidepressant prescribing trends in the UK: 1995-2011.
AB - PURPOSE: The number of antidepressants prescribed in the UK has been increasing
over the last 25 years; however, the reasons for this are not clear. This study
examined trends in antidepressant prescribing in the UK between 1995 and 2011
according to age, sex, and drug class, and investigated reasons for the increase
in prescribing over this period. METHODS: This is a retrospective analysis of
antidepressant prescribing data from the Clinical Practice Research Datalink: a
large, anonymised, primary care database in the UK. The dataset used in this
study included 138 practices, at which a total of 1,524,201 eligible patients
were registered across the 17-year period. The proportion of patients who
received at least one antidepressant prescription and the number of patients who
started a course of antidepressants were calculated for each year of the study.
We used person years (PY) at risk as the denominator. The duration of treatment
for those starting antidepressants was also examined. RESULTS: 23% of patients
were prescribed an antidepressant on at least one occasion over the 17-year study
period. Antidepressant prescriptions rose from 61.9 per 1000 PY in 1995 to 129.9
per 1000 PY in 2011. This was largely driven by an increase in prescribing of
selective serotonin reuptake inhibitors and 'other' antidepressants. In contrast,
incidence rates of those starting antidepressants remained relatively stable
(1995: 21.3 per 1000 PY; 2011: 17.9 per 1000 PY). The duration of treatment
increased with later starting years, with an increasing proportion of long-term
use, and decrease in short-term use. CONCLUSION: The increase in antidepressant
prescribing over the study period appears to be driven by an increase in long
term use of these medications.
PMID- 27885401
TI - [Cutaneous side effects of targeted cancer drugs].
AB - In the past decades many new drugs were approved for the treatment of cancer and
have been established as essential parts of various therapeutic regimens. In
particular targeted therapies and immune checkpoint inhibitors that aim at
specific carcinogenic signaling pathways or modulate the tumor-immune response
have revolutionized cancer therapy. Despite their targeted actions, these drugs
may lead to diverse adverse reactions. In particular, cutaneous toxicities
represent a serious threat to patients' quality of life and may lead to dose
reduction or therapy cessation. In most cases, basic management is performed by
the treating oncologist. Nevertheless, more severe reactions may require the
expertise of a dermatologist. In this review, we present specific cutaneous
adverse reactions of new drug classes such as epidermal growth factor receptor
inhibitors (EGFR-I), multikinase inhibitors (MKI), BRAF inhibitors, MEK
inhibitors, and immune checkpoint inhibitors (anti-PD1-, anti-CTLA4-antibodies).
Furthermore, we give recommendations concerning the prevention and management of
respective cutaneous reactions.
PMID- 27885402
TI - [Retention of contrast media in the history of radiology : Sequelae of the former
use of thorotrast and new challenges].
AB - Detection of gadolinium deposits in patients who have repeatedly been
administered intravenous gadolinium chelates have given rise to concern regarding
the long-term safety of magnetic resonance imaging (MRI) contrast media.
Nevertheless, negative long-term clinical effects have not yet been observed. In
some publications parallels have been drawn to the sequelae of thorotrast that
was formerly used for arterial angiography. In this article the history of
thorotrast use is briefly described and in particular why, despite warnings, this
substance was used frequently and worldwide. A brief summary of the results of
the German Thorotrast Study revealed that high excess rates were only observed
for primary malignant liver tumors after a 15-year or longer latency period and
to a lesser degree of leukemias, as well as for severe local complications due to
paravascular injections, particularly in the neck region. Based on this
historical review, we will venture to take stock of the outcome from the "success
story" of this contrast agent.
PMID- 27885403
TI - [When a name becomes a unit: Antoine Henri Becquerel].
PMID- 27885404
TI - [Health examination of asylum seekers: A nationwide analysis of state policies in
Germany : S 62 of the asylum law].
AB - BACKGROUND: A health examination of newly arrived asylum seekers, aimed at
detecting infectious diseases and preventing disease outbreaks in accommodation
facilities, is mandated by national law in all German states. Due to the
decentralized German federal system, different state policies are in place and
lead to substantial variation in the content and implementation of the health
examination. OBJECTIVES: To compare health examination policies in the 16 German
states with a focus on conducted tests, preventive measures and the general
procedure. METHODS: A comparative content analysis of policy documents addressing
the health examination was conducted. Relevant documents were identified through
a nationwide search (conducted June-October 2015) through public sources,
inquiries at responsible authorities and interviews with representatives of
public health services. RESULTS: In the study period, relevant policy documents
for 13 states were identified, of which eight were administrative decrees of the
responsible state ministries. Policies differed strongly with respect to the
content of the health examination and the selection of compulsory screening
measures. We identified three main groups: (A) states with compulsory screening
limited to measures enshrined in federal law, (B) states with extended
tuberculosis screening for children and pregnant women, and (C) states with
extended mandatory screening measures for further infectious diseases beyond
tuberculosis. Considerable differences were also found with regard to the
implementation of the examinations, and the purchasing and re-imbursement
policies. CONCLUSIONS: The stark heterogeneity in health examination policies
between the states cannot be rationally explained from a public health
perspective. The indication for certain measures remains unclear. A broad
discussion of the medical necessity of screening tests, combined with further
systematic analyses, is necessary in order to develop nationwide evidence-based
recommendations and decision-making tools for the conduct of health examinations
of asylum seekers.
PMID- 27885405
TI - Executive control and working memory are involved in sub-second repetitive motor
timing.
AB - The nature of the relationship between timing and cognition remains poorly
understood. Cognitive control is known to be involved in discrete timing tasks
involving durations above 1 s, but has not yet been demonstrated for repetitive
motor timing below 1 s. We examined the latter in two continuation tapping
experiments, by varying the cognitive load in a concurrent task. In Experiment 1,
participants repeated a fixed three finger sequence (low executive load) or a
pseudorandom sequence (high load) with either 524-, 733-, 1024- or 1431-ms inter
onset intervals (IOIs). High load increased timing variability for 524 and 733-ms
IOIs but not for the longer IOIs. Experiment 2 attempted to replicate this
finding for a concurrent memory task. Participants retained three letters (low
working memory load) or seven letters (high load) while producing intervals (524-
and 733-ms IOIs) with a drum stick. High load increased timing variability for
both IOIs. Taken together, the experiments demonstrate that cognitive control
processes influence sub-second repetitive motor timing.
PMID- 27885406
TI - Abnormal connectivity in the sensorimotor network predicts attention deficits in
traumatic brain injury.
AB - The aim of this study was to explore modifications of functional connectivity in
multiple resting-state networks (RSNs) after moderate to severe traumatic brain
injury (TBI) and evaluate the relationship between functional connectivity
patterns and cognitive abnormalities. Forty-three moderate/severe TBI patients
and 34 healthy controls (HC) underwent resting-state fMRI. Group ICA was applied
to identify RSNs. Between-subject analysis was performed using dual regression.
Multiple linear regressions were used to investigate the relationship between
abnormal connectivity strength and neuropsychological outcome. Forty (93%) TBI
patients showed moderate disability, while 2 (5%) and 1 (2%) upper severe
disability and low good recovery, respectively. TBI patients performed worse than
HC on the domains attention and language. We found increased connectivity in
sensorimotor, visual, default mode (DMN), executive, and cerebellar RSNs after
TBI. We demonstrated an effect of connectivity in the sensorimotor RSN on
attention (p < 10-3) and a trend towards a significant effect of the DMN
connectivity on attention (p = 0.058). A group-by-network interaction on
attention was found in the sensorimotor network (p = 0.002). In TBI, attention
was positively related to abnormal connectivity within the sensorimotor RSN,
while in HC this relation was negative. Our results show altered patterns of
functional connectivity after TBI. Attention impairments in TBI were associated
with increased connectivity in the sensorimotor network. Further research is
needed to test whether attention in TBI patients is directly affected by changes
in functional connectivity in the sensorimotor network or whether the effect is
actually driven by changes in the DMN.
PMID- 27885407
TI - Effect of metal sulfide pulp density on gene expression of electron transporters
in Acidithiobacillus sp. FJ2.
AB - In Acidithiobacillus ferrooxidans, one of the most important bioleaching
bacterial species, the proteins encoded by the rus operon are involved in the
electron transfer from Fe2+ to O2. To obtain further knowledge about the
mechanism(s) involved in the adaptive responses of the bacteria to growth on the
different uranium ore pulp densities, we analyzed the expression of the four
genes from the rus operon by real-time PCR, when Acidithiobacillus sp. FJ2 was
grown in the presence of different uranium concentrations. The uranium
bioleaching results showed the inhibitory effects of the metal pulp densities on
the oxidation activity of the bacteria which can affect Eh, pH, Fe oxidation and
uranium extractions. Gene expression analysis indicated that Acidithiobacillus
sp. FJ2 tries to survive in the stress with increasing in the expression levels
of cyc2, cyc1, rus and coxB, but the metal toxicity has a negative effect on the
gene expression in different pulp densities. These results indicated that
Acidithiobacillus sp. FJ2 could leach the uranium even in high pulp density (50%)
by modulation in rus operon gene responses.
PMID- 27885408
TI - Erratum to: A novel CYP24A1 genotype associated to a clinical picture of
hypercalcemia, nephrolithiasis and low bone mass.
PMID- 27885409
TI - [Simultaneous surgical treatment of the long head of the biceps tendon during
operative treatment of proximal humeral fractures].
AB - BACKGROUND: Pathologic conditions of the long biceps tendon can be found within
treatment of proximal humeral fractures or as a source of pain after surgery.
However, simultaneous surgical treatment at the index surgical intervention is so
far not well established. The purpose of this study is to evaluate the results of
a simultaneous biceps treatment during plate osteosynthesis of proximal humeral
fractures. MATERIALS AND METHODS: Twenty-seven patients were included into this
study. In 14 patients (high cosmetic and functional shoulder demand) a biceps
tenodesis (LHB-TD) was carried out (7 women, 7 men; o57 years). In 13 patients
(12 women, 1 man; o72 years) a biceps tenotomy (LHB-TT) was performed. In
addition to the range of motion (ROM), the Constant score and the LHB score were
evaluated. RESULTS: All 27 patients were investigated after a mean follow-up of
25 months (range: 18-32 months). The ROM did not reveal any significant
differences in either group. The Constant score was significantly decreased
compared to the non-affected side (CS) without differences between the groups
(LBS-TT 77 +/- 9 vs. LBS-TD 77 +/- 14; LBS-TT (CS) 82 +/- 4 vs. LBS-TD (CS) 87 +/
4). The LHB score showed excellent results for both groups without significant
differences (LBS-TT 98 +/- 3 vs. LBS-TD 93 +/- 10). In one patient of each group,
an examiner-dependent upper arm deformity was detected. No patient complained of
a subjective cosmetic deformity. CONCLUSION: The simultaneous surgical treatment
of the LHB during plate osteosynthesis of proximal humeral fractures shows good
clinical and cosmetic results. In a preselected patient population (cosmetic and
functional demand) the kind of treatment (LHB tenotomy or LHB tenodesis) is
indifferent.
PMID- 27885410
TI - Is osteoporosis a predictor for future sarcopenia or vice versa? Four-year
observations between the second and third ROAD study surveys.
AB - : In a 4-year follow-up study that enrolled 1099 subjects aged >=60 years,
sarcopenia prevalence was estimated at 8.2%. Moreover, the presence of
osteoporosis was significantly associated with short-term sarcopenia occurrence,
but the reciprocal relationship was not observed, suggesting that osteoporosis
would increase the risk of osteoporotic fracture and sarcopenia occurrence.
INTRODUCTION: The present 4-year follow-up study was performed to clarify the
prevalence, incidence, and relationships between sarcopenia (SP) and osteoporosis
(OP) in older Japanese men and women. METHODS: We enrolled 1099 participants
(aged, >=60 years; 377 men) from the second survey of the Research on
Osteoarthritis/Osteoporosis against Disability (ROAD) study (2008-2010) and
followed them up for 4 years. Handgrip strength, gait speed, skeletal muscle
mass, and bone mineral density were assessed. SP was defined according to the
Asian Working Group for Sarcopenia. OP was defined based on the World Health
Organization criteria. RESULTS: SP prevalence was 8.2% (men, 8.5%; women, 8.0%)
in the second survey. In those with SP, 57.8% (21.9%; 77.6%) had OP at the lumbar
spine L2-4 and/or femoral neck. SP cumulative incidence was 2.0%/year (2.2%/year;
1.9%/year). Multivariate regression analysis revealed that OP was significantly
associated with SP occurrence within 4 years (odds ratio, 2.99; 95% confidence
interval, 1.46-6.12; p < 0.01), but the reciprocal relationship was not
significantly observed (2.11; 0.59-7.59; p = 0.25). CONCLUSIONS: OP might raise
the short-term risk of SP incidence. Therefore, OP would not only increase the
risk for osteoporotic fracture but may also increase the risk for SP occurrence.
PMID- 27885412
TI - Kynurenic acid and alcohol and cocaine dependence: novel effects and multiple
mechanisms?
PMID- 27885411
TI - Scopolamine disrupts place navigation in rats and humans: a translational
validation of the Hidden Goal Task in the Morris water maze and a real maze for
humans.
AB - RATIONALE: Development of new drugs for treatment of Alzheimer's disease (AD)
requires valid paradigms for testing their efficacy and sensitive tests validated
in translational research. OBJECTIVES: We present validation of a place
navigation task, a Hidden Goal Task (HGT) based on the Morris water maze (MWM),
in comparable animal and human protocols. METHODS: We used scopolamine to model
cognitive dysfunction similar to that seen in AD and donepezil, a symptomatic
medication for AD, to assess its potential reversible effect on this scopolamine
induced cognitive dysfunction. We tested the effects of scopolamine and the
combination of scopolamine and donepezil on place navigation and compared their
effects in human and rat versions of the HGT. Place navigation testing consisted
of 4 sessions of HGT performed at baseline, 2, 4, and 8 h after dosing in humans
or 1, 2.5, and 5 h in rats. RESULTS: Scopolamine worsened performance in both
animals and humans. In the animal experiment, co-administration of donepezil
alleviated the negative effect of scopolamine. In the human experiment, subjects
co-administered with scopolamine and donepezil performed similarly to subjects on
placebo and scopolamine, indicating a partial ameliorative effect of donepezil.
CONCLUSIONS: In the task based on the MWM, scopolamine impaired place navigation,
while co-administration of donepezil alleviated this effect in comparable animal
and human protocols. Using scopolamine and donepezil to challenge place
navigation testing can be studied concurrently in animals and humans and may be a
valid and reliable model for translational research, as well as for preclinical
and clinical phases of drug trials.
PMID- 27885414
TI - Clinical implementation of an emergency department coronary computed tomographic
angiography protocol for triage of patients with suspected acute coronary
syndrome.
AB - OBJECTIVES: To evaluate the efficiency and safety of emergency department (ED)
coronary computed tomography angiography (CTA) during a 3-year clinical
experience. METHODS: Single-center registry of coronary CTA in consecutive ED
patients with suspicion of acute coronary syndrome (ACS). The primary outcome was
efficiency of coronary CTA defined as the length of hospitalization. Secondary
endpoints of safety were defined as the rate of downstream testing, normalcy
rates of invasive coronary angiography (ICA), absence of missed ACS, and major
adverse cardiac events (MACE) during follow-up, and index radiation exposure.
RESULTS: One thousand twenty two consecutive patients were referred for clinical
coronary CTA with suspicion of ACS. Overall, median time to discharge home was
10.5 (5.7-24.1) hours. Patient disposition was 42.7 % direct discharge from the
ED, 43.2 % discharge from emergency unit, and 14.1 % hospital admission. ACS rate
during index hospitalization was 9.1 %. One hundred ninety two patients underwent
additional diagnostic imaging and 77 underwent ICA. The positive predictive value
of CTA compared to ICA was 78.9 % (95 %-CI 68.1-87.5 %). Median CT radiation
exposure was 4.0 (2.5-5.8) mSv. No ACS was missed; MACE at follow-up after
negative CTA was 0.2 %. CONCLUSIONS: Coronary CTA in an experienced tertiary care
setting allows for efficient and safe management of patients with suspicion for
ACS. KEY POINTS: * ED Coronary CTA using advanced systems is associated with low
radiation exposure. * Negative coronary CTA is associated with low rates of MACE.
* CTA in ED patients enables short median time to discharge home. * CTA strategy
is characterized by few downstream tests including unnecessary ICA.
PMID- 27885413
TI - Novel brewing yeast hybrids: creation and application.
AB - The natural interspecies Saccharomyces cerevisiae * Saccharomyces eubayanus
hybrid yeast is responsible for global lager beer production and is one of the
most important industrial microorganisms. Its success in the lager brewing
environment is due to a combination of traits not commonly found in pure yeast
species, principally low-temperature tolerance, and maltotriose utilization.
Parental transgression is typical of hybrid organisms and has been exploited
previously for, e.g., the production of wine yeast with beneficial properties.
The parental strain S. eubayanus has only been discovered recently and newly
created lager yeast strains have not yet been applied industrially. A number of
reports attest to the feasibility of this approach and artificially created
hybrids are likely to have a significant impact on the future of lager brewing.
De novo S. cerevisiae * S. eubayanus hybrids outperform their parent strains in a
number of respects, including, but not restricted to, fermentation rate, sugar
utilization, stress tolerance, and aroma formation. Hybrid genome function and
stability, as well as different techniques for generating hybrids and their
relative merits are discussed. Hybridization not only offers the possibility of
generating novel non-GM brewing yeast strains with unique properties, but is
expected to aid in unraveling the complex evolutionary history of industrial
lager yeast.
PMID- 27885416
TI - Favorable renal outcome in Japanese children with ANCA-associated pauci-immune
glomerulonephritis: impact of urine screening program.
PMID- 27885415
TI - Resting heart rate and risk of metabolic syndrome in adults: a dose-response meta
analysis of observational studies.
AB - The magnitude of the risk of metabolic syndrome (MetS) with increased resting
heart rate (RHR) has been inconsistently reported in some observational studies,
and whether a dose-response relationship exists between RHR and MetS is unclear.
We performed a meta-analysis including dose-response analysis to quantitatively
evaluate this association in adults. We searched PubMed, Web of Knowledge, China
National Knowledge Infrastructure, and WanFang databases for articles published
up to April 2, 2016. A random-effects model was used to pool relative risks (RRs)
and 95% confidence intervals (CIs); restricted cubic spline function was used to
assess the dose-response relationship. Seven prospective cohort studies and 10
cross-sectional studies with a total of 169,786 participants were included. The
pooled RR was 2.10 (95% CI 1.80-2.46, I 2 = 79.8%, n = 13) for the highest versus
reference RHR category and 1.28 (95% CI 1.23-1.34, I 2 = 87.7%, n = 15) for each
10 beats per minute (bpm) increment in RHR. We found no evidence of a nonlinear
dose-response association between RHR and MetS (P nonlinearity = 0.201). The
relationship was consistent in most subgroup analyses and robust on sensitivity
analysis. No significant publication bias was observed. This meta-analysis
suggests that risk of MetS may be increased with elevated RHR.
PMID- 27885417
TI - Favorable renal outcome in Japanese children with ANCA-associated pauci-immune
glomerulonephritis: authors' response to comments.
PMID- 27885419
TI - Ketoconazole inhibits Malassezia furfur morphogenesis in vitro under
filamentation optimized conditions.
AB - Malassezia furfur, a constituent of the normal human skin flora, is an
etiological agent of pityriasis versicolor, which represents one of the most
common human skin diseases. Under certain conditions, both exogenous and
endogenous, the fungus can transition from a yeast form to a pathogenic mycelial
form. To develop a standardized medium for reproducible production of the
mycelial form of M. furfur to develop and optimize susceptibility testing for
this pathogen, we examined and characterized variables, including kojic acid and
glycine concentration, agar percentage, and pH, to generate a chemically defined
minimal medium on which specific inoculums of M. furfur generated the most robust
filamentation. Next, we examined the capacity of ketoconazole to inhibit the
formation of M. furfur mycelial form. Both low and high, 0.01, 0.05 and 0.1 ug/ml
concentrations of ketoconazole significantly inhibited filamentation at 11.9,
54.5 and 86.7%, respectively. Although ketoconazole can have a direct antifungal
effect on both M. furfur yeast and mycelial cells, ketoconazole also has a
dramatic impact on suppressing morphogenesis. Since mycelia typified the
pathogenic form of Malassezia infection, the capacity of ketoconazole to block
morphogenesis may represent an additional important effect of the antifungal.
PMID- 27885420
TI - Erratum to: Effectiveness of adalimumab for the treatment of ulcerative colitis
in clinical practice: comparison between anti-tumour necrosis factor-naive and
non-naive patients.
PMID- 27885418
TI - Phylogenetic and functional traits of ectomycorrhizal assemblages in top soil
from different biogeographic regions and forest types.
AB - Ectomycorrhizal (EM) fungal taxonomic, phylogenetic, and trait diversity
(exploration types) were analyzed in beech and conifer forests along a north-to
south gradient in three biogeographic regions in Germany. The taxonomic community
structures of the ectomycorrhizal assemblages in top soil were influenced by
stand density and forest type, by biogeographic environmental factors (soil
physical properties, temperature, and precipitation), and by nitrogen forms
(amino acids, ammonium, and nitrate). While alpha-diversity did not differ
between forest types, beta-diversity increased, leading to higher gamma-diversity
on the landscape level when both forest types were present. The highest taxonomic
diversity of EM was found in forests in cool, moist climate on clay and silty
soils and the lowest in the forests in warm, dry climate on sandy soils. In the
region with higher taxonomic diversity, phylogenetic clustering was found, but
not trait clustering. In the warm region, trait clustering occurred despite
neutral phylogenetic effects. These results suggest that different forest types
and favorable environmental conditions in forests promote high EM species
richness in top soil presumably with both high functional diversity and
phylogenetic redundancy, while stressful environmental conditions lead to lower
species richness and functional redundancy.
PMID- 27885421
TI - Role of vernalization-mediated demethylation in the floral transition of Brassica
rapa.
AB - MAIN CONCLUSION: Vernalization-mediated demethylation of BrCKA2 (casein kinase II
alpha-subunit) and BrCKB4 (casein kinase II beta-subunit) shorten the period of
the clock gene BrCCA1 (circadian clock associated 1) in Brassica rapa.
Photoperiod and vernalization are two environmental cues involved in the
regulation of floral transition, but the ways in which they interact remain
unclear. DNA methylation is one of the main mechanisms involved in controlling
the functional state of chromatin and gene expression in response to
environmental signals. To study the interaction between photoperiod and
vernalization in floral transition, we carried out a comparative genomic analysis
of genome-wide DNA methylation profiles in normal (CK) and vernalized (CA) leaves
from Brassica rapa using methylated-DNA immunoprecipitation sequencing (MeDIP
seq). Two subunits of casein kinase II (CK2), BrCKA2 (catalytic alpha-subunit of
CK2) and BrCKB4 (regulatory beta-subunit of CK2), exhibited gradual DNA
demethylation and increased expression in vernalized B. rapa. DNA methylation
defective plants demonstrated the causal link between DNA demethylation changes
and changes in gene expression. Virus-induced gene silencing (VIGS) of BrCKA2 and
BrCKB4 in B. rapa resulted in no change to the period of BrCCA1 (circadian clock
associated 1) and a 1-week late flowering time. Finally, we demonstrated that
increased levels of BrCKA2 and BrCKB4 in vernalized B. rapa confer elevated CK2
activity, resulting in a shortened period of the clock gene BrCCA1, which plays
an important role in perceiving photoperiod in plants. Thus, our results suggest
that there is a direct interaction between photoperiod and vernalization through
DNA methylation mechanisms.
PMID- 27885422
TI - CD44 induces FOXP3 expression and is related with favorable outcome in breast
carcinoma.
AB - We studied the relationship between CD44 and Forkhead box P3 (FOXP3) gene
expression in cell lines and breast carcinomas and their association with
clinicopathological variables and patient outcome. We assessed messenger RNA
(mRNA) expression of CD44 and FOXP3 by quantitative real-time PCR and determined
the number of FOXP3+ Tregs by immunohistochemistry in 264 breast cancer
specimens. CD44 was stimulated with hyaluronan treatment, and the accompanying
changes in FOXP3 mRNA expression in breast cancer cell lines representing breast
cancer subtype were assessed. We found that lower CD44 expression correlated with
the presence of necrosis, lymph-vascular invasion, grade 3 tumors, and aggressive
phenotype (HER2 and basal-like). FOXP3 mRNA correlated positively with CD44 mRNA
expression and Treg content. Moreover, stimulation of CD44 expression by
hyaluronan in cell lines increased FOXP3 expression, which supports that their
regulation is associated. Survival analysis revealed that low CD44 expression is
associated with higher frequency of recurrence. Our findings indicate that CD44
has a regulatory role in FOXP3 expression and is associated with good prognostic
factors in breast cancer.
PMID- 27885424
TI - Editorial.
PMID- 27885427
TI - [The Homburger Curriculum as a model for medical resident education for
ophthalmologists at Saarland University Medical Center].
AB - BACKGROUND: The Homburger Curriculum was introduced in 2012 to enhance the
medical resident education and professional satisfaction. At the same time
different steps were taken to encourage applications of eligible candidates.
METHODS: To address candidates, the Homburger Curriculum is presented on the
department's website and a short-term hospitation was introduced. The curriculum
has been divided into time slots of 4 months throughout the 5 years of residency.
In addition, a booklet of documented evidence of the rotations and a Resident's
Compendium were introduced. Internal and external teaching programs, additional
research projects and involvement of the residents in the organization of their
curriculum were introduced. The paper describes the rationale behind the new
structure of the curriculum and its practical outcomes for the department (e. g.
a regular standby resident to fill in unexpected gaps). RESULTS: It is discussed
in detail which steps were easy to implement and which steps were more difficult
to introduce. After consolidation of the numerous steps, the number of resigning
residents dropped significantly and the number of applicants increased.
CONCLUSIONS: The new rotation schedule guarantees every young resident to be able
to work at each work area of the Department of Ophthalmology. External training
courses can be planned suitable to his/her rotations. An "internal competition"
for popular rotations is no longer necessary. Clear organization and transparency
in all areas provide good interpersonal climate in which much work still has to
be done, but by motivated and satisfied residents.
PMID- 27885423
TI - Publication trends in cachexia and sarcopenia in elderly heart failure patients.
AB - The loss of skeletal mass - sarcopenia and cachexia - is considered to be a major
contributor to morbidity and mortality in chronic heart failure (CHF).
Unfortunately, sarcopenia is generally considered to be a geriatric syndrome, but
not necessarily seen as a comorbidity in CHF, even though it has a wide range of
adverse health outcomes. While there were 15,574 publication with the title word
"heart failure" in PubMed in the 5-year period from 1 June 2011 to 31 May 2016,
only 22 or 71 publications were found with the search combination "sarcopenia" or
"cachexia" (title word) and "heart failure" (all fields), respectively. This
shows very clearly that loss of muscle quality and function due to heart failure
is still an underappreciated problem in the medical field.
PMID- 27885425
TI - Intrathecal morphine versus intravenous opioid administration to impact
postoperative analgesia in hepato-pancreatic surgery: a randomized controlled
trial.
AB - PURPOSE: Inadequate analgesia following abdominal surgery may affect outcome.
Data in patients undergoing liver surgery suggested that postoperative
coagulopathy might delay epidural catheter removal. Thus, alternative analgesic
techniques should be evaluated. METHODS: We compared the analgesic efficacy of
intraoperative intrathecal morphine [single injection 4 ug/kg before skin
incision (ITM group, n = 23)] to intravenous opioids [iv remifentanil infusion
during surgery followed by i.v. bolus of morphine, 0.15 mg/kg before the end of
surgery (IVO group, n = 26)]. Forty-nine adult patients undergoing elective open
resection of liver or pancreas lesions in the Tel Aviv Medical Center were
randomized into the two analgesic protocols. Postoperatively both groups received
i.v. morphine via a patient-controlled analgesia pump. Follow-up was till the 3rd
postoperative day (POD). RESULTS: There was no significant difference in
demographics and intraoperative data between groups. The primary outcome, pain
scores on movement, was significantly worse in the IVO group when compared with
the ITM group at various time points till POD3. In the secondary outcomes - need
for rescue drugs - the IVO group required significantly more rescue morphine
boluses. Complication related to the analgesia and recovery parameters were
similar between groups. CONCLUSIONS: The findings suggest that a single dose of
ITM before hepatic/pancreatic surgery may offer better postoperative pain control
than i.v. opioid administration during surgery. This beneficial effect is
maintained throughout the first three PODs and is not associated with a higher
complication rate; neither did it influence recovery parameters. ITM provides an
appropriate alternative to i.v. morphine during major abdominal surgery.
PMID- 27885426
TI - Prospective cohort study assessing chronic pain in patients following minor
surgery for breast cancer.
AB - PURPOSE: Pain after tumorectomy and sentinel lymph node dissection is poorly
reported in the literature. We carried out a prospective survey aimed at
assessing pain three months after such minor surgery for breast cancer. METHODS:
The study was approved by the local ethics committee. Most surgeries followed a
standard protocol involving general anesthesia with no regional analgesia
technique, laryngeal mask, sufentanil and propofol for induction, and multimodal
analgesia during the postoperative period. Three months after the surgery, a
questionnaire was sent to the patients with a pre-stamped envelope for return.
The questions probed responses required to calculate a Brief Pain Inventory score
and modified neuropathic pain score (DN3). RESULTS: Over a 5-month period, 150
patients (aged 60 (11) years, body mass index of 25 (6) kg/m2) were included in
the final analysis. In the recovery room, 43% of patients required morphine at a
mean dose of 5.2 (1.8) mg. Three months post surgery, 60 patients (40%) reported
persistent pain for which 62% took analgesic drugs. We found no risk factor
associated with this persistent pain among our studied population. Neuropathic
pain was noted in 61% of patients who reported persistent pain primarily
associated with periareolar incision. CONCLUSIONS: Pain persisted up to three
months after minor surgery for breast cancer in 40% of patients with mostly a
neuropathic component (61%).
PMID- 27885429
TI - Defect width: the prognostic index for vaginal repair of cesarean section
diverticula.
AB - PURPOSE: To evaluate the clinical parameter associated with cesarean section
diverticula anatomic healing via vaginal repair management. METHODS:
Observational cohort study. From Jul 2014 to Dec 2015, 143 women with CSD
underwent vaginal repair surgery in Shanghai First Maternity and Infant Hospital,
and 137(95.80%) were diagnosed using both transvaginal ultrasound and MRI. A
total of 124 patients (86.71%) who were followed-up for more than 6 months after
surgery were enrolled in this study. Excision and suture of CSD was performed
through the vaginal approach. The defect sizes of the width, length, depth and
TRM before or after repair were evaluated. RESULTS: The mean preoperative
duration of menstruation was 14.47 +/- 3.30 days and the thickness of the
remaining muscular layer was 2.65 +/- 1.13 mm before surgery. The study revealed
that the healing effects of CSD repair stabilized 3 months after surgery. At the
median follow-up time (11.28 months), CSD disappeared after surgery in 64.52% of
patients (80/124), and 60.0% of patients (48/80) reached <=7 days of
menstruation. Meanwhile, for 35.48% of patients (44/124), CSD persisted at the
median follow-up after surgery, and 31.82% (14/44) of these patients reached <=7
days of menstruation(P < 0.05). TRM at a median follow-up time after vaginal
repair >7.88 mm, 92.11% (70/76) of CSD disappeared. Moreover, when preoperative
CSD width <=18.85 mm indicates that only 18.75% (12/64) of patients will present
with CSD after vaginal repair, as determined by MRI (95% CI 0.515-0.737).
CONCLUSION: The defect width of the preoperative CSD was the prognostic index of
CSD anatomical repair effect. When the preoperative CSD width >18.85 mm, we
should pay more attention to the edge of the defect during vaginal repairing.
PMID- 27885428
TI - Normative biometry of the fetal brain using magnetic resonance imaging.
AB - The fetal brain shows accelerated growth in the latter half of gestation, and
these changes can be captured by 2D and 3D biometry measurements. The aim of this
study was to quantify brain growth in normal fetuses using Magnetic Resonance
Imaging (MRI) and to produce reference biometry data and a freely available
centile calculator ( https://www.developingbrain.co.uk/fetalcentiles/ ). A total
of 127 MRI examinations (1.5 T) of fetuses with a normal brain appearance (21-38
gestational weeks) were included in this study. 2D and 3D biometric parameters
were measured from slice-to-volume reconstructed images, including 3D
measurements of supratentorial brain tissue, lateral ventricles, cortex,
cerebellum and extra-cerebral CSF and 2D measurements of brain biparietal
diameter and fronto-occipital length, skull biparietal diameter and
occipitofrontal diameter, head circumference, transverse cerebellar diameter,
extra-cerebral CSF, ventricular atrial diameter, and vermis height, width, and
area. Centiles were constructed for each measurement. All participants were
invited for developmental follow-up. All 2D and 3D measurements, except for
atrial diameter, showed a significant positive correlation with gestational age.
There was a sex effect on left and total lateral ventricular volumes and the
degree of ventricular asymmetry. The 5th, 50th, and 95th centiles and a centile
calculator were produced. Developmental follow-up was available for 73.1% of
cases [mean chronological age 27.4 (+/-10.2) months]. We present normative
reference charts for fetal brain MRI biometry at 21-38 gestational weeks.
Developing growth trajectories will aid in the better understanding of normal
fetal brain growth and subsequently of deviations from typical development in
high-risk pregnancies or following premature delivery.
PMID- 27885430
TI - Blood species discrimination using proton nuclear magnetic resonance
spectroscopy.
AB - Blood species identification is an important challenge in forensic science.
Conventional methods used for blood species analysis are destructive and
associated with time-consuming sample preparation steps. Nuclear magnetic
resonance (NMR) spectroscopy is known for its nondestructive properties and fast
results. This research study presents a proton (1H) NMR method to discriminate
blood species including human, cat, dog, elephant, and bison. Characteristic
signals acting as markers are observed for each species. Moreover, the data are
evaluated by principle component analysis (PCA) and support vector machines
(SVM). A 100% correct species recognition between human and nonhuman species is
achieved using radial basis kernel function (RBF) and standardized data. The
research study shows that 1H NMR spectroscopy is a powerful tool for
differentiating human and nonhuman blood showing a great significance to forensic
science.
PMID- 27885431
TI - Forensic age assessment of asylum seekers in Finland.
AB - In Finland, forensic age assessment is strictly regulated by legislation.
According to the Aliens Act (301/2004) and the amendment of the Act (549/2010),
the police authorities, the frontier guard authorities, and the immigration
authorities have the right to refer asylum seekers to the University of Helsinki,
Department of Forensic Medicine, for age assessment. These assessments are
especially performed to solve if the person is of major age, the cutoff being 18
completed years. The forensic age assessment is largely based on dental
development, since the special permit of the Radiation and Nuclear Safety
Authority (STUK) to the Department of Forensic Medicine of the University of
Helsinki, allowing the use of ionizing radiation for non-medical purposes,
includes dental and hand X-rays. Forensic age assessment is always performed by
two forensic odontologists. In 2015, the total number of forensic age assessment
examinations was 149, and the countries of origin of the asylum seekers were most
commonly Iraq, Afghanistan, and Somalia. The current legislation on forensic age
assessment has been well received and approved. Radiological and other
examinations can be performed in different parts of Finland, but the forensic
odontologist at the University of Helsinki is always involved in the process and
ensures joint quality standards for the forensic age assessment.
PMID- 27885432
TI - Immunohistochemical detection of early myocardial infarction: a systematic
review.
AB - The postmortem diagnosis of early myocardial infarction is a challenge for
forensic pathologists because the routine histology is neither specific. Many
authors have suggested the use of the immunohistochemistry to fill the gaps in
the histological diagnosis of early myocardial infarction. This review aims to
analyse advances of immunohistochemical detection of early cardiac damage due to
ischaemia. To this purpose, we reviewed experimental studies that investigated
immunohistochemical markers and their estimated timing of expression. The review
was performed according to specific inclusion and exclusion criteria, and a total
of 23 studies assessing the immunohistochemical markers for the diagnosis and
timing of early myocardial infarction were identified. The literature review
highlights that the analysed markers are complement components, others being
inflammatory mediators, cardiac cell proteins, plasma proteins, stress or hypoxia
induced factors and proteins associated with heart failure. All studies
demonstrate the effectiveness of the tested markers in the early detection of
myocardial infarction in both animal and human samples.
PMID- 27885433
TI - Discussing sexuality with patients with Parkinson's disease: a survey among Dutch
neurologists.
AB - Sexual functioning is often impaired in patients with Parkinson's disease (PD)
and may affect quality of life of patients and their spouse. However, little is
known about the practice patterns of neurologists with regard to discussing
sexuality in this field. The aim of this cross-sectional study was to evaluate to
what extent neurologists discuss sexuality with PD patients. A 22-item
questionnaire was sent to 139 neurologists specializing in PD. The survey
contained questions about their attitudes, knowledge, and practice patterns with
respect to sexual dysfunction (SD) in patients with PD. The response rate of the
survey was 66.9%. Most participants (56.8%) stated that they address sexuality in
less than half of their PD patients. High age of patients (42.0%), insufficient
consultation time (37.5%), and a lack of patients' initiative to raise the topic
themselves (36.4%) were frequently reported barriers towards discussing
sexuality. The majority of participants considered that discussing sexuality is a
responsibility that lay with neurologists (85.2%), nurses (73.9%), and patients
(72.7%). One quarter of the neurologists reported to have insufficient or no
knowledge on SD. The majority of participants regarded screening for SD important
or slightly important (85.2%). A large proportion of Dutch neurologists
specializing in PD do not routinely discuss sexuality with their PD patients.
Sexual healthcare in PD patients may benefit from time-efficient tools and
agreements on who is responsible for discussing SD. Furthermore, recommendations
in PD guidelines on screening and managing SD should be adapted to fit everyday
practice.
PMID- 27885434
TI - Evaluation of miR-21 and miR-375 as prognostic biomarkers in oesophageal cancer
in high-risk areas in China.
AB - MicroRNAs have been associated with prognosis in oesophageal cancer (EC),
suggesting that miRNAs could play a role in guiding treatment decisions. The aim
of this study was to evaluate the prognostic potential of miRNAs found to be
associated with zinc deficiency in a geographical area with a high incidence of
EC. miRNAs found to be associated with zinc deficiency were isolated from EC cell
lines cultured with various Zn levels. The expression levels of the miRNAs were
quantified using qRT-PCR. The potential prognostic value of the selected miRNAs
was assessed in a cohort study of 88 patients from an area in China with a high
incidence of EC. Correlations between miRNAs and patient characteristics were
assessed using chi2 statistical tests or Fisher's exact test. A Cox proportional
hazards model was used to assess the correlations between miRNAs and overall
survival (OS). Forest plots were performed to evaluate the prognostic impact of
the miRNAs examined in the present study in the Asian population. The expression
levels of miR-21, miR-31, miR-93 and miR-375 were different when Zn levels were
varied in EC cell lines, but only miR-21 and miR-375 were associated with patient
characteristics and prognosis in patients with EC from an area of China with a
high incidence of EC. The patients expressing high levels of miR-21 had poor OS
(HR 2.15, 95% CI 1.16-3.97), whereas those with high levels of miR-375 had
improved OS (HR 0.47, 95% CI 0.26-0.87).The patients with both a high level of
miR-375 and a low level of miR-21 had significantly better outcomes. Forest plots
based on an analysis of this Asian population indicated that a high level of miR
21 significantly predicted a shortened OS (HR 1.83, 95% CI 1.42-2.37), whereas a
high level of miR-375 was significantly correlated with increased survival (HR
0.56, 95% CI 0.43-0.73). MiR-21 and miR-375 could be used as prognostic
biomarkers in areas with a high incidence of EC, and combining these markers may
results in a better effect.
PMID- 27885436
TI - Effects of aluminum trichloride on the cartilage stimulatory growth factors in
rats.
AB - Aluminum (Al) is considered to be a potentially toxic metal and inhibits
cartilage formation. Transforming growth factor beta1 (TGF-beta1) and bone
morphogenetic protein 2 (BMP-2) are cartilage stimulatory growth factors, which
play important roles in regulating the cartilage formation. To investigate the
effects of aluminum trichloride (AlCl3) on the regulation of cartilage formation.
Eighty Wistar rats were orally exposed to 0 (control group), 0.4 g/L (low-dose
group), 0.8 g/L (mid-dose group) and 1.6 g/L (high-dose group) AlCl3 for 120
days, respectively. The rats body weight were decreased, the cartilage
histological structure were disrupted, the cartilage and serum contents of Al and
the serum level of C-telopeptide of type II collagen were all increased, the
serum level of type II collagen (Col II) and alkaline phosphatase (ALP), and the
mRNA expressions of TGF-beta1, BMP-2, ALP and Col II were all decreased in the
AlCl3-treated groups compared with those in control group. These results indicate
that AlCl3 inhibits the cartilage formation through inhibition of the cartilage
stimulatory growth factors expressions.
PMID- 27885437
TI - Association of STAT5A Gene Variants with Milk Production Traits in Agerolese
Cattle.
AB - Two polymorphisms at STAT5A gene were investigated in a sample of Agerolese cows.
The aims of the present study were to estimate the allele and genotype
frequencies and to investigate the relationship among genotypes and milk
production traits. Milk production traits were analyzed for each animal in the
first, second, third, and fourth lactation. No genetic variability was found at
STAT5A/AvaI locus. At STAT5A/MslI locus, the frequencies of T and C alleles were
0.875 and 0.125, respectively. Significant differences between genotypes were
found: TT cow produced a milk with a higher content of fat and protein when
compared with TC.
PMID- 27885435
TI - Comparison of immunophenotypes of primary breast carcinomas and multiple
corresponding distant metastases: an autopsy study of 25 patients.
AB - Phenotypical change in metastatic breast carcinoma has widely been accepted as an
inherent biological feature rather than technical fault. We analyzed the
immunohistochemical phenotype and histopathological features of 25 primary breast
carcinomas and 90 corresponding distant metastases in 23 organs retrospectively.
Histological slides were reviewed for prognostic and predictive factors. Overall,
metastases were more similar to each other and often differed from the primary
tumor. We created a 3-step grouping system based on the localization of
metastases. Regions: tumors metastasizing to the abdominal region were likely to
lose ER (p = 0.002); we detected loss of PR in metastases to the thorax (p =
0.039) and abdomen (p < 0.001). Organ systems: loss of ER and PR was observed in
metastases to the gastrointestinal system (p = 0.026 and p = 0.001,
respectively), in the respiratory system only the loss of PR was significant (p =
0.05). Individual organs: the primaries were likely to lose the hormone receptors
in liver metastases (ER p = 0.026; PR p = 0.004). In lung metastases only loss of
PR was apparent (p = 0.049). We did not observe significant change in HER2
status, regarding Ki67 change occurred only in bone metastases compared to the
primary (p = 0.048). 7/25 patients' distant metastases had heterogeneous
immunoprofiles. The later the metastasis was discovered the more likely it had a
differing IHC profile compared to the primary tumor, patients who had longer OS
had a higher chance to develop a discordant metastasis. Immunoprofile of
metastases may differ from primary breast cancer and from each other, probably
resulting in different response to therapy.
PMID- 27885440
TI - ?
PMID- 27885439
TI - Weekly paclitaxel plus carboplatin with or without trastuzumab as neoadjuvant
chemotherapy for HER2-positive breast cancer: loss of HER2 amplification and its
impact on response and prognosis.
AB - PURPOSE: Neoadjuvant chemotherapy (NCT) plus anti-HER2 agents are the standard of
care for locally advanced HER2-positive breast cancer. The aim of this study was
to evaluate the prevalence and prognostic impact of HER2 loss in patients with
HER2-positive disease treated with neoadjuvant therapy with or without
trastuzumab. METHODS: 549 consecutive HER2-positive patients were included in
this study. 379 patients were treated with paclitaxel, carboplatin, and
trastuzumab (PCH cohort) and 170 were treated with paclitaxel and carboplatin
only (PC cohort). Conversion of biomarkers before and after NCT was evaluated via
immunohistochemistry (IHC) test. Cox regression model was used to investigate
prognostic markers to relapse-free survival (RFS). RESULTS: 50.9% patients were
considered as pCR responder in PCH cohort, whereas only 25.9% of patients
experienced pCR in PC cohort (P < 0.001). HER2 loss were more frequently shown in
PCH cohort with a proportion of 19.8%, compared to 9.4% in PC cohort (P = 0.009).
In PCH cohort, patients with a loss of HER2 expression tended to have a higher
risk of relapse compared to patients with maintained HER2 expression (HR = 2.639,
95% CI 1.103-6.311, P = 0.029). However, it did not correlate to patient outcome
in the PC cohort (P = 0.296). Loss of HER2 was also correlated to ER conversion
in PCH cohort. CONCLUSION: Our study has provided new evidence that anti-HER2
treatment has a significant impact on HER2 loss. Far more importantly, the loss
of HER2 amplification could identify non-pCR patients with high risk of disease
relapse, which might help in tailoring following systemic treatment.
PMID- 27885441
TI - Bayesian comparative assessment of diagnostic accuracy of low-dose CT scan and
ultrasonography in the diagnosis of urolithiasis after the application of the
STONE score.
AB - OBJECTIVE: The objective of our study was to assess the diagnostic quality of low
dose computed tomography (CT) when compared to ultrasound (US) in diagnosis of
urolithiasis using STONE score as a predictor of pre-test probability and the
Bayesian statistical model to calculate post-test probabilities (POST) for both
diagnostic tests. METHODS: STONE score was used to form risk groups to obtain pre
test probabilities. Likelihood ratios (LR) were calculated from external data for
low-dose CT and US. POST were obtained using pre-test probabilities and
likelihood ratios with Bayesian nomogram. Absolute (ADG) and relative (RDG) gains
in diagnostic value were calculated. RESULTS: Calculated +LR for US was 12 and
LR was 0.32; for CT, +LR was 19 and -LR 0.04. +LR and low STONE for US yielded
POST 57% and RDG 470%; intermediate STONE POST 92% and RDG 84%; and high STONE
POST 99% and RDG 10%. -LR and low STONE for US POST 3% and RDG -70%; intermediate
POST 24% and RDG -52%; and high STONE POST 74% and RDG -17.7%. +LR and low STONE
for CT POST 68% and RDG 580%; moderate STONE POST 95% and RDG 90%; and high STONE
POST 99% and RDG 10%. -LR and low STONE for CT POST 0% and RDG -100%;
intermediate POST 4% and RDG -92%; and high STONE POST 26% and RDG -71.1%. ANOVA
calculations comparing CT vs US for +LR showed no statistical significance (P
value = 0.9893; LR- P value = 0.5488). CONCLUSION: Bayesian statistical analysis
demonstrated slight superiority of CT scan over US on STONE score low- and
moderate-risk stratified subtypes, whereas no significant advantage was seen when
evaluating high-probability patients.
PMID- 27885438
TI - Phylogeny-guided (meta)genome mining approach for the targeted discovery of new
microbial natural products.
AB - Genomics-based methods are now commonplace in natural products research. A
phylogeny-guided mining approach provides a means to quickly screen a large
number of microbial genomes or metagenomes in search of new biosynthetic gene
clusters of interest. In this approach, biosynthetic genes serve as molecular
markers, and phylogenetic trees built with known and unknown marker gene
sequences are used to quickly prioritize biosynthetic gene clusters for their
metabolites characterization. An increase in the use of this approach has been
observed for the last couple of years along with the emergence of low cost
sequencing technologies. The aim of this review is to discuss the basic concept
of a phylogeny-guided mining approach, and also to provide examples in which this
approach was successfully applied to discover new natural products from microbial
genomes and metagenomes. I believe that the phylogeny-guided mining approach will
continue to play an important role in genomics-based natural products research.
PMID- 27885443
TI - Roles of the haustorium and endosperm during the development of seedlings of
Acrocomia aculeata (Arecaceae): dynamics of reserve mobilization and
accumulation.
AB - The mobilization of palm seed reserves is a complex process because of the
abundance and diversity of stored compounds and results from the development of a
highly specialized haustorium. This work focused on the important Neotropical
oleaginous palm Acrocomia aculeata, with the aim of defining phases of seedling
development associated with mobilization of reserves and elucidating the role of
haustorium and endosperm in this process. Standard methods were performed,
including biometric, anatomical, and histochemical analyses, as well as the
evaluation of the activities of the enzymes endo-beta-mannanase and lipase,
throughout the reserve mobilization in seeds during germination and in seedlings.
Seeds of A. aculeata stored large quantities of proteins, lipids, and
polysaccharides in the embryo and endosperm. The mobilization of reserves
initiated in the haustorium during germination and subsequently occurred in the
endosperm adjacent to the haustorium, forming a gradually increasing zone of
digestion. Proteins and polysaccharides were the first to be mobilized, followed
by lipids and cell wall constituents. The haustorium activates and controls the
mobilization, forming transitory reserves and translocating them to the
vegetative axis, while the endosperm, which also has an active role, serves as a
site of intense enzymatic activity associated with protein bodies. Seedling
development can be described as occurring in six phases over a long period
(approximately 150 days) due to the large amount of seed reserves. This process
exhibits an alternation between stages of accumulation and translocation of
protein, lipid, and carbohydrate reserves in the haustorium, which favors the
seedling establishment and the reproductive success of the species.
PMID- 27885444
TI - Emergency Pacing via the Umbilical Vein and Subsequent Permanent Pacemaker
Implantation in a Neonate.
AB - A dying neonate with congenital complete atrioventricular block underwent an
emergency temporary pacing via the umbilical vein 1 h after birth. Implantation
of a permanent epicardial pacemaker system was performed at the age of 10 days.
During the follow-up period of 3 months, the child had been growing well with the
VVIR pacemaker.
PMID- 27885442
TI - Patterns and trends of pediatric bloodstream infections: a 7-year surveillance
study.
AB - We characterize the epidemiology of pediatric bloodstream infections (BSIs) in
Switzerland. We analyzed pathogen distribution and resistance patterns in
monomicrobial and polymicrobial BSIs in children from 2008 to 2014 using data
from the Swiss antibiotic resistance centre (ANRESIS). A confirmatory statistical
analysis was performed comparing pathogens and resistance across 20 acute care
hospitals. We identified 3,067 bacteremia episodes, of which 1,823 (59 %) were
considered true BSI episodes. Overall, S. aureus (16.5 %, 300) was the most
frequent pathogen, followed by E. coli (15.1 %, 276), coagulase-negative
staphylococci (CoNS, 12.9 %, 235), S. pneumoniae (11.1 %, 202) and non-E. coli
Enterobacteriaceae (8.7 %, 159). S. aureus and E. coli showed similar frequencies
in all of the variables analyzed (e.g., hospital acquisition, hospital type,
medical specialty). The proportion of these microorganisms did not change over
time, resistance rates remained low (4.3 % methicillin resistance in S. aureus;
7.3 % third-/fourth-generation cephalosporin resistance in E. coli), and no
significant resistance trends were observed. We observed a 50 % increase of CoNS
BSIs from 2008 (9.8 %, 27) to 2014 (15.2 %, 46, p value for trend = 0.03). S.
pneumoniae decreased from 17.5 % (48) to 6.6 % (20) during that timeframe (p for
trend = 0.007). S. aureus and E. coli remained the most significant pathogens
among pediatric BSIs in Switzerland, exhibiting low resistance rates. CoNS
accounted for a greater proportion of BSIs over time. The decrease in bacteremic
pneumococcal infections can likely be attributed to the introduction of the 13
valent conjugate vaccine in 2011.
PMID- 27885445
TI - Limited Ventricular Preload is the Main Reason for Reduced Stress Reserve After
Atrial Baffle Repair.
AB - The atrial baffle repair (ABR) significantly improved the fate of patients with
transposition of the great arteries (TGA). However, these patients show impaired
exercise tolerance and some present severe decline of systemic ventricular
function. Intrinsic myocardial weakness, low heart rate response to exercise and
diastolic filling impairment are discussed to be causative. Forty-nine long-term
survivors with TGA (median age 23.7 year) after ABR were catheterized with
measured oxygen consumption in four conditions (baseline, volume, atrial pacing,
dobutamine) and the results were compared to 10 normal controls. Median cardiac
output was significantly lower in the ABR group (2.2 vs. 2.6 l/min/m2; p =
0.015), and systemic resistance was significantly elevated (28.9 vs. 22.2 U m2; p
= 0.04) in comparison with normals. While stroke volume rose by 27% in the
control group, it dropped by 7% in patients after ABR at atrial pacing (80/min).
Stroke volume increase after dobutamine was significantly lower after ABR in
comparison with normal controls (34 vs. 106%; p = 0.001). Higher NYHA class (p =
0.043), degree of tricuspid regurgitation (p = 0.009) and ventricular function (p
= 0.028) were associated with lower stroke volume increase. Limited exercise
capability of patients after ABR for TGA is primarily due to limited diastolic
filling of the ventricles due to stiff non-compliant atrial pathways. Elevated
systemic resistance may lead to severe myocardial hypertrophy with possible
ischemia and contribute to the multifactorial decline of ventricular function in
some patients.
PMID- 27885446
TI - Post-cardiotomy Rescue Extracorporeal Cardiopulmonary Resuscitation in Neonates
with Single Ventricle After Intractable Cardiac Arrest: Attrition After Hospital
Discharge and Predictors of Outcome.
AB - Extracorporeal cardiopulmonary resuscitation (ECPR) in children with cardiac
arrest refractory to conventional cardiopulmonary resuscitation (CPR) has been
reported with encouraging results. We reviewed outcomes of neonates with
functional single ventricle (FSV) surviving post-cardiotomy ECPR after hospital
discharge. Fifty-eight patients who required post-cardiotomy extracorporeal
membrane oxygenation (ECMO) since the introduction of our ECPR protocol (January
2007-December 2011) were identified. Forty-one were neonates. Survival analysis
was conducted. Of 41 neonates receiving post-cardiotomy ECMO, 32 had FSV. Twenty
one had ECPR. Fourteen underwent Norwood operation (NO) for hypoplastic left
heart syndrome (HLHS). Seven had non-HLHS FSV. Four (of 7) underwent modified
NO/DKS with systemic-to-pulmonary shunt (SPS), 2 SPS only and 1 SPS with
anomalous pulmonary venous connection repair. Mean age was 6.8 +/- 2.1 days. ECMO
median duration was 7 days [interquartile range (IQR25-75: 4-18)]. Survival to
ECMO discontinuation was 72% (15 of 21 patients) and at hospital discharge 62%
(13 of 21 patients). The most common cause of late attrition was cardiac. At last
follow-up (median: 22 months; IQR25-75: 3-36), 47% of patients were alive.
Duration of ECMO and failure of lactate clearance within 24 h from ECMO
deployment determined late survival after hospital discharge (p < 0.05). Rescue
post-cardiotomy ECMO support in neonates with FSV carries significant late
attrition. ECMO duration and failure in lactate clearance after deployment are
associated with unfavorable outcome. Emphasis on CPR quality, refinement of
management directives early during ECMO and aggressive early identification of
patients requiring heart transplantation might improve late survival.
PMID- 27885447
TI - Contrast extravasation through MRI precedes cerebral hemorrhage in a patient with
eclampsia.
PMID- 27885449
TI - ?
PMID- 27885448
TI - Cognitive dysfunction in patients with multiple sclerosis treated with first-line
disease-modifying therapy: a multi-center, controlled study using the BICAMS
battery.
AB - Multiple sclerosis (MS) can impair cognitive functions even in the early stages.
The Brief International Cognitive Assessment for Multiple Sclerosis (BICAMS)
battery is very short and highly sensitive and can be used to evaluate cognitive
status in the disease. Several clinical trials have shown beneficial effects of
disease-modifying drugs (DMDs) on long-term cognitive measures which may even
reduce cognitive deficits in MS patients. Relapsing remitting MS patients using
DMDs were enrolled in the study and monitored for 12 months. BICAMS and the
Expanded Disability Status Scale were applied to the study group. We evaluated
and monitored 161 newly diagnosed cases of definite MS by the end of the trial.
110 patients (68.2%) were female. One hundred and two healthy subjects (female to
male ratio 68:34) were enrolled into the study. MS patients were categorized into
three DMT groups: IFNB1-a SC, IFNB1-b, and GA. Mean scores of all three cognitive
tests (SDMT, BVMT-R, and CVLT-II) were significantly higher in the control group
than in the MS patients. The number of cognitively impaired patients decreased
from 31.7 to 21.7% on the basis of CVLT (p = 0.024), and 42 (26.1%) to 30 (18.6%)
on the basis of BVMT-R at month 12. A significant difference was determined in
terms of cognitive status between MS patients using both IFNB and GA and the
healthy control group. Ours is the first study to compare IFNB and GA in terms of
evaluating cognitive involvement and to use the BICAMS battery in monitoring
treatment.
PMID- 27885450
TI - ?
PMID- 27885451
TI - Increased nucleophosmin expression is a strong predictor of recurrence and
prognosis in patients with N0M0 upper tract urothelial carcinoma undergoing
radical nephroureterectomy.
AB - PURPOSE: We aimed to evaluate whether increased nucleophosmin expression predicts
recurrence and survival in upper tract urothelial carcinoma (UTUC). METHODS:
Specimens from 101 patients with N0M0 UTUC undergoing radical nephroureterectomy
were evaluated. Nucleophosmin expression was determined immunohistochemically and
categorized into two groups according to nucleophosmin staining intensity. The
association between nucleophosmin expression and various clinicopathological
factors including Ki-67 expression was analyzed. Multivariate analyses were
performed to identify the independent predictors of extraurothelial recurrence
and cancer-specific survival. RESULTS: High nucleophosmin expression was
significantly correlated with tumor location, pT >=3, lymphovascular invasion,
lymph node metastasis, and high Ki-67 expression. Patients whose tumors
demonstrated high nucleophosmin expression had a significantly higher rate of
extraurothelial recurrence and a lower survival rate than those with low
nucleophosmin expression. Multivariate analysis showed that pT >=3, lymph node
metastasis, high nucleophosmin expression, and high Ki-67 expression were
independent predictors of extraurothelial recurrence. When patients were
stratified into three groups according to the number of risk factors, the 2-year
extraurothelial recurrence-free survival rates were 92.9% in patients with 0 or 1
risk factor, 76.5% in patients with 2 risk factors, and 9.1% in patients with 3
or 4 risk factors. Regarding cancer-specific survival, lymphovascular invasion
and high nucleophosmin expression were independent predictors. CONCLUSIONS:
Increased nucleophosmin expression was a strong predictor of extraurothelial
recurrence and cancer-specific survival in patients with N0M0 UTUC undergoing
radical nephroureterectomy. Our risk stratification models integrating
nucleophosmin expression may provide valuable information on disease recurrence
and prognosis.
PMID- 27885453
TI - [Innovative radionuclide use in urology].
PMID- 27885454
TI - [The urologist Eugen Joseph and his suicide].
PMID- 27885452
TI - The zero ischemia index (ZII): a novel criterion for predicting complexity and
outcomes of off-clamp partial nephrectomy.
AB - PURPOSES: Although several anatomical classification systems that aimed to
standardize the description of renal tumors were previously reported, a special
classification system is required to help predict the complexity and
perioperative outcomes of off-clamp nephron-sparing surgery (NSS). We developed a
novel criterion-zero ischemia index (ZII), aiming to help predict the
perioperative outcomes after off-clamp NSS and guide patient selection. METHODS:
We retrospectively evaluated 149 patients between June 2009 and July 2014 in our
institution who underwent off-clamp NSS with available computed tomography
images. ZII was defined as the product of the tumor diameter and depth within
renal parenchyma. ZII was then analyzed to investigate its association with
perioperative outcomes. A specific ZII score was further selected to best guide
patient selection in off-clamp NSS. RESULTS: ZII was significantly associated
with estimated blood loss >500 mL (OR 1.270, 95% CI 1.036-1.557, p = 0.021),
operative time >2 h (OR 1.286, 95% CI 1.051-1.573, p = 0.014), surgical
complications (OR 1.251, 95% CI 1.035-1.511, p = 0.020), overall complications
(OR 1.208, 95% CI 1.016-1.436, p = 0.032), and >10% decrease in estimated
glomerular filtration rate (OR 1.362, 95% CI 1.045-1.776, p = 0.022). Patients
with ZII > 6 may bear a higher risk of hemorrhage, perioperative complications,
and a longer operative time, if they underwent an off-clamp NSS, compared to
those with ZII <= 6. CONCLUSIONS: The ZII is a novel and readily measurable
criterion which can help predict renal complexity of tumor and risk of
perioperative outcomes after off-clamp NSS. ZII = 6 is established as a
preliminary threshold for patient selection of off-clamp NSS. A more robust
criterion is to be validated with more samples in a prospective study.
PMID- 27885455
TI - [PSMA-radioguided surgery in localized recurrent prostate cancer : Current and
future aspects].
AB - Recently, PSMA-radioguided surgery (PSMA-RGS) was introduced for targeted
resection of localized prostate cancer recurrence. Prerequisite for preoperative
patient selection and localization of tumor recurrence is a positive 68Ga-HBED-CC
PSMA positron emission tomography (PET) scan with preferably only singular soft
tissue or lymph node recurrence. After injection of In-PSMA I&T or Tc-PSMA-I&S
single photon emission computer tomography (SPECT)/computer tomography (CT)
examination is performed in every patient to verify radiotracer uptake in tumor
lesions. In a preliminary study, 111In-PSMA I&T SPECT/CT could detect about half
of the 68Ga-HBED-CC PSMA PET-positive lesions, while nearly all PET-positive
lesions could be detected using PSMA-RGS and also five additional lesions
compared to 68Ga-HBED-CC-PSMA PET. Follow-up data from 55 patients show a PSA
reduction >50% and >90% in 44 (80%) and 29 (53%) patients, respectively. In 34
(62%) patients, a PSA drop to <0.2 ng/ml was observed. In all, 15 (27%) patients
received further PC-specific treatment; the remaining 40 (73%) patients did not
undergo further treatment. In 33% of patients, surgery-related complications were
noted; however, most were regarded as minor. Thus, PSMA-RGS seems to be of high
value in patients with localized prostate cancer recurrence with exact
localization and resection of metastatic tissue. However, patient selection based
on 68Ga-PSMA PET imaging and clinical parameters is crucial to obtain
satisfactory oncological results.
PMID- 27885456
TI - [Intravesical radioimmunotherapy of carcinoma in situ of the urinary bladder
after BCG failure].
AB - BACKGROUND: In failure to respond to bacillus Calmette-Guerin (BCG) in patients
with carcinoma in situ (CIS) of the urinary bladder, radical cystectomy remains
the mainstay after BCG failure. OBJECTIVES: The aim of this pilot study was to
evaluate tolerability and safety of the alpha-emitter radioimmunoconjugate
instillation in patients after BCG failure. MATERIALS AND METHODS: Nine patients
were included. After emptying the bladder via a transurethral catheter, Bi-213
anti-EGFR-mAb was instilled. Treatment was terminated by emptying of the
radioimmunoconjugate from the bladder 120 min after instillation. Efficacy was
evaluated via endoscopy and histology 6 weeks after instillation. RESULTS: All
patients showed excellent toleration of the treatment without any side effects.
Treatment resulted in complete eradication of tumor cells in 3 patients and
persistent tumor detection in the other 6 patients. CONCLUSIONS: Intravesical
instillation of Bi-213-anti-EGFR-mAb is a promising therapeutic option for
treatment of in situ bladder cancer after BCG failure for patients who wish to
preserve the bladder.
PMID- 27885458
TI - [Enuresis and pediatric urinary incontinence : Diagnostics and therapy].
AB - Differentiated non-invasive diagnostic procedures allow a discrimination between
nocturnal enuresis and behavior-linked urinary incontinence in children with
daytime symptoms, which are different entities of a pathological pediatric
micturition syndrome. The article describes the diagnostic procedure as well as
the therapeutic approach to all forms of micturition disorders in childhood. All
behavioral, medical and biofeedback therapeutic methods according to the recently
published S2k guidelines from the Association of the Scientific Medical Societies
in Germany (AWMF) on enuresis and non-organic (functional) incontinence in
children and adolescents are mentioned and discussed.
PMID- 27885457
TI - [PSMA-targeted radioligand therapy in prostate cancer].
AB - Radioligand therapy (RLT) directed against prostate-specific membrane antigen
(PSMA) enables tumor-specific treatment directed against PSMA-overexpressing
prostate cancer cells. Several PSMA ligands such as PSMA-617 or PSMA-I&T have
been developed that can be labeled with beta-radiating lutetium-177. These are
currently applied in compassionate use programs to treat metastatic castration
resistant prostate cancer (mCRPC). PSMA-directed RLT is currently being offered
in several nuclear medicine departments throughout Germany. Several retrospective
case series demonstrate its activity with a prostate-specific antigen (PSA)
decrease >50% in 30-60% of mCRPC patients. The toxicity seems to be low.
Hematologic grade 4 toxicity has not been observed and grade 3 toxicities rarely
occur. The main nonhematologic adverse events are intermittent dry mouth because
of unspecific PSMA expression in the salivary glands as well as fatigue and
nausea. Currently there are no prospective studies available for evaluation of
PSMA-targeted RLT and a survival benefit over approved standard therapies such as
abiraterone, enzalutamide, radium-223-dichloride, docetaxel or cabazitaxel has
not been shown. PSMA-targeted RLT should therefore currently only be offered
after critical evaluation in patients who exhausted the approved standard
therapies.
PMID- 27885459
TI - Diabetes prevalence in patients with takotsubo syndrome in a Polish cohort: the
meaning of 'controls'.
PMID- 27885461
TI - Prevention and treatment effect of evogliptin on hepatic steatosis in high-fat
fed animal models.
AB - Dipeptidyl peptidase 4 (DPP4) is an adipokine that interrupts insulin signaling.
The resulting insulin resistance exacerbates hepatic steatosis. We previously
reported that the novel DPP4 inhibitor evogliptin improves insulin resistance.
This study aimed to verify the therapeutic potential of evogliptin for fatty
liver. Evogliptin treatment was initiated simultaneously with a high-fat diet
(HFD) feeding in normal mice and in a post-24 week HFD-fed rats. In a prevention
study, insulin sensitivity was preserved in evogliptin-treated mice after a 16
week treatment. Overall plasma lipid levels stayed lower and hepatic lipid
accumulation was drastically suppressed by evogliptin treatment. Evogliptin
reduced hepatic expression of Srebf1, a key transcriptional factor for
lipogenesis. Additionally, DPP4 inhibitor-treated mice showed less weight gain.
In a treatment study, after evogliptin treatment for 14 weeks in pre-established
HFD-fed obese rats, weight loss was marginal, while hepatic lipid accumulation
and liver damage assessed by measuring plasma aminotransferase levels were
completely resolved, suggesting weight loss-independent beneficial effects on
fatty liver. Moreover, reduction in plasma non-esterified fatty acids supported
the improvement of insulin resistance by evogliptin treatment. Conclusively, our
findings suggest that evogliptin treatment ameliorates fatty liver by increasing
insulin sensitivity and suppressing lipogenesis.
PMID- 27885462
TI - The cytoprotective effect of Rumex Aquaticus Herba extract against hydrogen
peroxide-induced oxidative stress in AGS cells.
AB - The Rumex Aquaticus Herba extract containing quercetin-3-beta-D
glucuronopyranoside (ECQ) has been reported to exhibit various pharmacological
activities, including anti-inflammatory and anti-oxidative effects. This plant
has been traditionally used for the treatment of diarrhea, disinfestation, edema
and jaundice, and as an antipyretic drug. The aim of the present study was to
investigate the ability of ECQ to protect against oxidative damage and to
determine its signaling mechanism in AGS cells. The protein expressions of heme
oxygenase-1 (HO-1) and nuclear factor-erythroid 2 related factor 2 (Nrf2) were
measured by Western blots. Cell viability was measured by MTT assay.
Intracellular reactive oxygen species (ROS) levels were measured using 2',7'
dichlorofluorescein diacetate. Glutathione peroxidase levels were measured using
kits. The protein expressions of HO-1 and its upstream mediator, Nrf2, increased
after ECQ treatment. The HO-1 inhibitor, ZnPP, repressed the protective effect of
ECQ on H2O2-induced cell damage. We found that LY294002, a specific PI3 K/Akt
inhibitor, suppressed ECQ-induced HO-1 expression. ECQ significantly attenuated
H2O2-induced cytotoxicity and ROS generation. Also, ECQ enhanced the antioxidant
enzyme activities of glutathione peroxidase. These results suggest that ECQ
exerts a cytoprotective effect against H2O2-induced oxidative stress by
upregulation of Nrf2/HO-1 via the PI3 K/Akt pathway.
PMID- 27885463
TI - Molecular and morphological descriptions of Ceratomyxa collarae n. sp. and
Ceratomyxa leucosternoni n. sp. from marine ornamental fishes of Indian waters.
AB - Two novel species of Ceratomyxa infecting marine ornamental fishes from Indian
waters are described. Marine ornamentals, Chaetodon collare and Chaetodon
decussatus, collected from Vizhinjam, along the southwest coast of India and
Acanthurus leucosternon collected from Lakshadweep islands of Arabian Sea
revealed Ceratomyxa infections in their gall bladders. Mature spores of
Ceratomyxa from Chaetodon collare and Chaetodon decussatus were elongate and
slightly crescentic, with rounded ends, and measured 5.20 +/- 0.32 MUm in length
and 16.32 +/- 1.29 MUm in thickness. Polar capsules spherical, equal in size and
measured 2.23 +/- 0.16 MUm long and 2.24 +/- 0.20 MUm wide. Posterior angle
measured 157.75 +/- 8.650. Principle Component Analysis and molecular analysis
using partial SSUrDNA sequences showed the isolates from these two hosts to be
identical. Morphological, morphometric and molecular analysis using partial
SSUrDNA sequences revealed the taxonomic novelty of isolates and are hence
treated as Ceratomyxa collarae n. sp. Mature spores of Ceratomyxa from Acanthurus
leucosternon were elongate, slightly tapering with rounded ends, and measured
7.34 +/- 0.92 MUm in length and 24.37 +/- 2.34 MUm in thickness. Shell valves
were equal, joined by a narrow suture line. Polar capsules spherical in shape,
equal in size, 2.59 +/- 0.32 MUm long and 2.46 +/- 0.32 MUm wide, and polar
filament measured 18.68 +/- 2.54 MUm. Based on morphological, morphometric and
molecular analyses, the present species of Ceratomyxa is distinct, considered as
a new species and named Ceratomyxa leucosternoni n. sp. The paper also discusses
the prevalence of the recovered parasites and host specificity of Ceratomyxa
collarae n. sp.
PMID- 27885460
TI - Contraceptive Considerations for Women with Gastrointestinal Disorders.
AB - Gastroenterologists are in a unique position to assist women with chronic
gastrointestinal disorders in order to optimize their health prior to pregnancy.
Women, whether with chronic conditions or not, and their infants are more likely
to be healthy when pregnancies are planned. Achieving a planned pregnancy at the
ideal time or preventing pregnancy altogether requires the use of appropriate
contraceptives. There is a broad range of contraceptives available to women in
the USA, and the majority of women with digestive diseases will be candidates for
all effective methods. Guidance from the Centers for Disease Control and
Prevention aids clinicians in prescribing appropriate contraceptives to women
with medical disorders. This review will focus on contraception for women with
inflammatory bowel disease and chronic liver disease, including liver transplant.
PMID- 27885464
TI - Erratum to: Evolutionary modularity and morphological integration in the haptoral
anchor structures of Ligophorus spp. (Monogenea: Dactylogyridae).
PMID- 27885465
TI - Multilocus sequence analysis of Giardia spp. isolated from patients with diarrhea
in Austria.
AB - Giardia duodenalis is a protozoan parasite causing intestinal infections in a
wide range of mammals. Two distinct assemblages, A and B, infect humans
predominantly; however, both are believed to be generally zoonotic. Giardia
strains associated with infections in Austria have not been investigated at the
molecular level. In this study, 65 human stool samples microscopically positive
for Giardia spp. were subjected to DNA isolation and nested PCR targeting
fragments of the glutamate dehydrogenase (gdh), triose phosphate isomerase (tpi),
and beta-gardin (bg) genes. A total of 52 samples were successfully analyzed
using PCR and DNA sequencing. Assemblage B was detected most frequently and
accounted for 65.4% (34/52) of infections, while Assemblage A accounted for 34.6%
(18/52). There was a high level of genetic diversity among the isolates with
46.2% designated as sub-assemblage BIV (24/52), 25% sub-assemblage AII (13/52),
19.2% sub-assemblage BIII (10/52), and 9.6% sub-assemblage AI (5/52). No mixed
infections were detected. The results suggest that the majority of infections
were imported and that endemic anthroponotic transmission plays a minor role in
Austria.
PMID- 27885467
TI - Response to letter to the Editors-Safety of long-term denosumab therapy.
PMID- 27885466
TI - Molecular epidemiology and multilocus sequence analysis of potentially zoonotic
Giardia spp. from humans and dogs in Jamaica.
AB - Giardia spp. are the causative agents of intestinal infections in a wide variety
of mammals including humans and companion animals. Dogs may be reservoirs of
zoonotic Giardia spp.; however, the potential for transmission between dogs and
humans in Jamaica has not been studied. Conventional PCR was used to screen 285
human and 225 dog stool samples for Giardia targeting the SSU rDNA gene followed
by multilocus sequencing of the triosephosphate isomerase (tpi), glutamate
dehydrogenase (gdh), and beta-giardin (bg) genes. Prevalence of human infections
based on PCR was 6.7 % (19/285) and canine infections 19.6 % (44/225). Nested PCR
conducted on all 63 positive samples revealed the exclusive presence of
assemblage A in both humans and dogs. Sub-assemblage A-II was responsible for
79.0 % (15/19) and 70.5 % (31/44) of the infections in humans and dogs,
respectively, while sub-assemblage A-I was identified at a rate of 15.8 % (3/19)
and 29.5 % (13/44) in humans and dogs, respectively. The predominance of a single
circulating assemblage among both humans and dogs in Jamaica suggests possible
zoonotic transmission of Giardia infections.
PMID- 27885468
TI - Staphylococcus lugdunensis infections, filling in the gaps: a 3-year
retrospective review from a comprehensive cancer center.
AB - OBJECTIVE: Staphylococcus lugdunensis is considered to be more aggressive than
other coagulase-negative staphylococci (CoNS). There are gaps in knowledge
regarding the importance of isolating S. lugdunensis from different sources and
in different patient subsets. Our objective was to describe the spectrum,
clinical manifestations, and outcomes of infections caused by S. lugdunensis in
patients with cancer. METHODS: A retrospective review of all cancer patients from
whom S. lugdunensis was isolated in a pure culture from clinically significant
sites. RESULTS: Between 2011 and 2014, 2263 CoNS were isolated, of them 45 S.
lugdunensis were isolated in a pure culture and were included in this analysis.
Only three patients were neutropenic. Skin and skin structure infections (SSSIs)
occurred most often (36 cases) followed by five blood stream infections, one of
which had destructive endocarditis and four infections at other sites. Of the 36
SSSIs, 29 were related to surgical or invasive procedures, and six of these
involved an implanted medical device. All isolates were susceptible to
vancomycin, 98% to levofloxacin and 89% to oxacillin. All patients responded to
the therapy. CONCLUSIONS: Cancer patients including those with neutropenia do not
appear to have an increased frequency of infections caused by S. lugdunensis.
SSSIs are predominant and are often associated with surgical procedures and/or
implanted medical devices. Blood stream infections caused by S. lugdunensis are
uncommon but may have an increased rate of serious complications such as
endocarditis. Nevertheless, these organisms are generally susceptible to multiple
classes of antimicrobial agents, and the overall response to therapy is high.
PMID- 27885469
TI - NEPA, a fixed oral combination of netupitant and palonosetron, improves control
of chemotherapy-induced nausea and vomiting (CINV) over multiple cycles of
chemotherapy: results of a randomized, double-blind, phase 3 trial versus oral
palonosetron.
AB - PURPOSE: Antiemetic guidelines recommend co-administration of targeted
prophylactic medications inhibiting molecular pathways involved in emesis. NEPA
is a fixed oral combination of a new NK1 receptor antagonist (RA), netupitant
(NETU 300 mg), and palonosetron (PALO 0.50 mg), a pharmacologically distinct 5
HT3 RA. NEPA showed superior prevention of chemotherapy-induced nausea and
vomiting (CINV) compared with oral PALO in a single chemotherapy cycle;
maintenance of efficacy/safety over continuing cycles is the objective of this
study. METHODS: This study is a multinational, double-blind study comparing a
single oral dose of NEPA vs oral PALO in chemotherapy-naive patients receiving
anthracycline/cyclophosphamide-based chemotherapy along with dexamethasone 12 mg
(NEPA) or 20 mg (PALO) on day 1. The primary efficacy endpoint was delayed (25
120 h) complete response (CR: no emesis, no rescue medication) in cycle 1.
Sustained efficacy was evaluated during the multicycle extension by calculating
the proportion of patients with overall (0-120 h) CR in cycles 2-4 and by
assessing the probability of sustained CR over multiple cycles. RESULTS: Of 1455
patients randomized, 1286 (88 %) participated in the multiple-cycle extension for
a total of 5969 cycles; 76 % completed >=4 cycles. The proportion of patients
with an overall CR was significantly greater for NEPA than oral PALO for cycles 1
4 (74.3 vs 66.6 %, 80.3 vs 66.7 %, 83.8 vs 70.3 %, and 83.8 vs 74.6 %,
respectively; p <= 0.001 each cycle). The cumulative percentage of patients with
a sustained CR over all 4 cycles was also greater for NEPA (p < 0.0001). NEPA was
well tolerated over cycles. CONCLUSIONS: NEPA, a convenient, guideline
consistent, fixed antiemetic combination is effective and safe over multiple
cycles of chemotherapy.
PMID- 27885470
TI - A randomised controlled trial of transforaminal endoscopic discectomy vs
microdiscectomy.
AB - PURPOSE: Transforaminal endoscopic discectomy (TED) minimises paraspinal muscle
damage. The aim of this trial was to compare clinical outcomes of TED to
Microdiscectomy (Micro). METHODS: 143 patients, age 25-70 years and <115 kg, with
single level lumbar prolapse and radiculopathy, were recruited and randomised. 70
received TED under conscious sedation and 70 Micro under general anaesthesia.
Oswestry Disability Index (ODI), visual analogue scores (VAS) of back and leg
pain, and Short Form Health Survey indices (SF-36) were measured preoperatively
and at 3, 12 and 24 months. RESULTS: All outcome measures improved significantly
in both groups (p < 0.001). Affected side leg pain was lower in the TED group at
2 years (1.9 +/- 2.6 vs 3.5 +/- 3.1, p = 0.002). Hospital stay was shorter
following TED (0.7 +/- 0.7 vs 1.4 +/- 1.3 days, p < 0.001). Two Micro patients
and five TED patients required revision giving a relative risk of revision for
TED of 2.62 (95% CI 0.49-14.0). CONCLUSIONS: Functional improvements were
maintained at 2 years in both groups with less ongoing sciatica after TED. A
greater revision rate after TED was offset by a more rapid recovery.
PMID- 27885471
TI - Modic changes in the adjacent vertebrae due to disc material infection with
Propionibacterium acnes in patients with lumbar disc herniation.
AB - INTRODUCTION: Modic changes (MCs) in vertebral bones are induced by two
mechanisms of mechanical factors and infection. As Propionibacterium acnes (P.
acnes) have been reported to be associated with LBP. The aim of this study is to
evaluate the MCs in patients with disc herniation and positive for P. acnes.
METHODS AND MATERIAL: A total of 120 patients with disc herniation surgery were
enrolled into the study. The samples were excised during discectomy and then
cultured in both anaerobic and aerobic incubations. Gram staining was employed
for investigation of all colonies. The cultured P. acnes were detected by 16S
rRNA-based polymerase chain reaction (PCR). MCs of baseline MRI were evaluated.
RESULTS: In this study, 120 subjects (69 male and 51 female) with mean age of
43.15 +/- 12.62 years were investigated. Sixty disc samples and eight muscle
samples were positive for microorganisms. Moreover, 16S rDNA gene was identified
in 46 (38.3%) disc samples. Moreover, 36/46 patients with P. acnes in their
sample had MCs. CONCLUSION: According to the results and presence of 36/46 MCs in
patients with lumbar disc herniation, positive for P. acnes suggests that P.
acnes can lead to edema on the vertebrae endplates near to infected area.
PMID- 27885472
TI - A prospective randomized trial comparing anterior cervical discectomy and fusion
versus plate-only open-door laminoplasty for the treatment of spinal stenosis in
degenerative diseases.
AB - OBJECTIVE: For three or more involved cervical levels, there is a debate over
which approach yields the best outcomes for the treatment of multilevel cervical
degenerative disease. Our objective is to compare the radiological and clinical
outcomes of two treatments for multilevel cervical degenerative disease: anterior
cervical discectomy and fusion (ACDF) versus plate-only open-door laminoplasty
(laminoplasty). METHODS: Patients were randomized on a 1:1 randomization schedule
with 17 patients in the ACDF group and 17 patients in the laminoplasty group.
Clinical outcomes were assessed by a visual analog scale (VAS), Japanese
Orthopedic Association (JOA) scores, operative time, blood loss, rates of
complications, drainage volume, discharge days after surgery, and complications.
The cervical spine curvature index (CI) and range of motion (ROM) were assessed
with radiographs. RESULTS: The mean VAS score, the mean JOA score, and the rate
of complications did not differ significantly between groups. The laminoplasty
group had greater blood loss, a longer operative time, more drainage volume, and
a longer hospital stay than the ACDF group. There were no significant differences
in the CI and ROM between the two groups at baseline and at each follow-up time
point. ROM in both groups decreased significantly after surgery. CONCLUSIONS:
Both ACDF and laminoplasty are effective and safe treatments for multilevel
cervical degenerative disease. ACDF causes fewer traumas than laminoplasty.
PMID- 27885475
TI - Erratum to: Validity and responsiveness of the French version of the Orebro
Musculoskeletal Pain Screening Questionnaire in chronic low back pain.
PMID- 27885473
TI - The application of a new type of titanium mesh cage in hybrid anterior
decompression and fusion technique for the treatment of continuously three-level
cervical spondylotic myelopathy.
AB - PURPOSE: To evaluate the efficacy and safety of a new type of titanium mesh cage
(NTMC) in hybrid anterior decompression and fusion method (HDF) in treating
continuously three-level cervical spondylotic myelopathy (TCSM). METHODS: Ninety
four cases who had TCSM and accepted the HDF from Jan 2007 to Jan 2010 were
included. Clinical and radiological outcomes were compared between cases who had
the NTMC (Group A, n = 45) and traditional titanium mesh cage (TTMC, Group B, n =
49) after corpectomies. Each case accepted one polyetheretherketone cage (PEEK)
after discectomy. RESULTS: Mean follow-up were 74.4 and 77.3 months in Group A
and B, respectively (p > 0.05). Differences in cervical lordosis (CL), segmental
lordosis (SL), anterior segmental height (ASH) and posterior segmental height
(PSH) between two groups were not significant preoperatively, 3-days
postoperatively or at final visit. However, losses of the CL, SL, ASH and PSH
were all significantly larger in Group B at the final visit, so did incidences of
segmental subsidence and severe subsidence. Difference in preoperative Japanese
Orthopedic Association (JOA), visual analog scale (VAS), neck disability index
(NDI) or SF-36 between two groups was not significant. At the final visit, fusion
rate, JOA, and SF-36 were all comparable between two groups, but the VAS and NDI
were both significantly greater in Group B. CONCLUSIONS: For cases with TCSM, HDF
with the NTMC and TTMC can provide comparable radiological and clinical
improvements. But application of the NTMC in HDF is of advantages in decreasing
the subsidence incidence, losses of lordosis correction, VAS and NDI.
PMID- 27885474
TI - Comparison of MRI-defined back muscles volume between patients with ankylosing
spondylitis and control patients with chronic back pain: age and spinopelvic
alignment matched study.
AB - PURPOSE: To compare MRI-defined back muscle volume between AS patients and age,
and spinopelvic alignment matched control patients with chronic back pain.
METHODS: 51 male patients with AS were enrolled. Age and spinopelvic alignment
matched controls (male) were found among non-AS patients with chronic back pain.
After matching procedure, fully matched controls were found in 31 of 51 AS
patients (60.8%), who represent AS patients without deformity. However, matched
controls were not found in 20 of 51 AS patients (39.2%), who represent AS
patients with deformity. MRI parameters of back muscle (paraspinal muscle and
psoas muscle) at L4/5 disc level including cross-sectional area (CSA) and fat
free cross-sectional area (FCSA) were compared between AS patients and matched
controls. Covariates, including BMI, self-reported physical activity, and the
presence of chronic disease, which can influence back muscle volume, were also
investigated. RESULTS: There were no statistical differences in age, body mass
index, score of back pain (NRS), and spinopelvic alignment, and physical activity
between matched AS patients and control patients except for duration of back
pain. All MRI parameters for paraspinal muscle volume in matched AS patients
(without deformity) were significantly less than those of control patients, and
significantly larger than those of non-matched AS patients (with deformity). Body
size adjusted MRI parameters (relative CSA and relative FCSA) of paraspinal
muscle showed strong correlations with lumbar lordosis and sacral slope. Such
relationship between paraspinal muscle and spinopelvic parameters remained
significant even after multivariate adjustment. CONCLUSIONS: AS patients without
deformity already have decreased paraspinal muscle volume compared with age and
spinopelvic alignment matched non-AS patients with chronic back pain. Such
decrease in paraspinal muscle volume was significantly associated with kyphotic
deformity of AS patients even after multivariate adjustment. Although the result
of our study supports the causal relationship between muscle degeneration and
kyphotic deformity in AS patients, further study is required to prove the
causality.
PMID- 27885476
TI - Does T2 mapping of the posterior annulus fibrosus indicate the presence of lumbar
intervertebral disc herniation? A 3.0 Tesla magnetic resonance study.
AB - PURPOSE: Indicating lumbar disc herniation via magnetic resonance imaging (MRI)
T2 mapping in the posterior annulus fibrosus (AF). METHODS: Sagittal T2 maps of
313 lumbar discs of 64 patients with low back pain were acquired at 3.0 Tesla
(3T). The discs were rated according to disc herniation and bulging. Region of
interest (ROI) analysis was performed on median, sagittal T2 maps. T2 values of
the AF, in the most posterior 10% (PAF-10) and 20% of the disc (PAF-20), were
compared. RESULTS: A significant increase in the T2 values of discs with
herniations affecting the imaged area, compared to bulging discs and discs with
lateral herniation, was shown in the PAF-10, where no association to the NP was
apparent. The PAF-20 exhibited a moderate correlation to the nucleus pulposus
(NP). CONCLUSIONS: High T2 values in the PAF-10 suggest the presence of disc
herniation (DH). The results indicate that T2 values in the PAF-20 correspond
more to changes in the NP.
PMID- 27885478
TI - The Roland-Morris Disability Questionnaire: one or more dimensions?
AB - PURPOSE: The Roland-Morris Disability Questionnaire (RMDQ) is one of the most
recommended questionnaires to assess disability. Some previous studies support
the assumption that the RMDQ is a unidimensional measure; however, recent studies
have suggested that this measure has more than one domain and should be
considered as a multidimensional scale. Therefore, the aim of this study was to
analyse the structure of the RMDQ in a large sample of patients with low back
pain using two different statistical approaches. METHODS: We analysed existing
datasets from previous clinical studies. We assessed unidimensionality using
Rasch analysis of item fit statistics and through principle component analysis of
residuals. We also performed confirmatory factor analysis (CFA) to test the
hypothesis of a 3-factor solution. RESULTS: We included data from 2826 patients
with non-specific low back pain. The average age of all participants included was
46.4 years, and half of the participants were women (50.1%). The Rasch analysis
model showed that the RMDQ is unidimensional, with only two items demonstrating
slight excessive positive outfit. Results from the CFA suggested poor fit to the
data of a 3-factor solution. CONCLUSIONS: We recommend that the RMDQ should still
be used as a unidimensional scale for measuring disability as the only construct.
PMID- 27885477
TI - Impact of old age on patient-report outcomes and cost utility for anterior
cervical discectomy and fusion surgery for degenerative spine disease.
AB - PURPOSE: With growing older population and increasing rates of cervical spinal
surgery, it is vital to understand the value of cervical surgery in this
population. We set forth to determine the cost utility following anterior
cervical decompression and fusion (ACDF) for degenerative disease in older
patients. METHODS: Patients undergoing ACDF for degenerative diseases were
enrolled into prospective longitudinal registry. Patient-reported outcomes (PROs)
were recorded at baseline, 1-year, and 2-year postoperatively. Two-year medical
resource utilization, missed work, and health-state values [quality-adjusted life
years (QALYs)] were assessed to compute cost per QALY gained. Patients were
dichotomized based on age: <65 years (younger) and >=65 years (older) to compare
the cost utility in these age groups. RESULTS: Total 218 (87%) younger patients
and 33 (13%) older patients who underwent ACDF were analyzed. Both the groups
demonstrated a significant improvement in PROs 2-year following surgery. The
older patients had a lower mean cumulative gain in QALYs compared to younger
patients at 1 year (0.141 vs. 0.28, P = 0.05) and 2 years (0.211 vs. 0.424, P =
0.04). There was no significant difference in the mean total 2-year cost between
older [$21,041 (95% CI $18,466-$23,616)] and younger [$22,669 (95% CI $$21,259
$24,079)] patients (P = 0.27). Two-year cost per QALY gained in older vs. younger
patients was ($99,720/QALYs gained vs. ($53,464/QALYs gained, P = 0.68).
CONCLUSION: ACDF surgery provided a significant gain in health-state utility in
older patients with degenerative cervical pathology, with a mean cumulative 2
year cost per QALY gained of $99,720/QALY. While older patients have a slightly
higher cost utility compared to their younger counterparts, surgery in the older
cohort does provide a significant improvement in pain, disability, and quality-of
life outcomes.
PMID- 27885479
TI - Wait and see approach for rectal cancer with a clinically complete response after
neoadjuvant concurrent chemoradiotherapy.
AB - PURPOSE: Rectal cancer patients with a pathological complete response (pCR) after
neoadjuvant concurrent chemoradiotherapy (CCRT) have a better prognosis compared
to those without a pCR. Therefore, the "Wait and See" (W&S) approach in those who
achieved clinically complete response (cCR) after CCRT was introduced as an
alternative modality to the total mesorectal excision (TME). The aim of this
study was to compare the oncological outcomes between W&S and TME via meta
analysis. METHODS: We performed a comprehensive literature search on January 14,
2016, using MEDLINE, EMBASE, the Cochrane Central Register of Controlled Trials,
Web of Science, and Scopus. In addition, the references of all articles obtained
were searched manually. The qualities of each study were assessed using the
Newcastle-Ottawa quality assessment scale. The main outcomes were recurrence,
disease-free survival (DFS), and overall survival (OS). We calculated the risk
ratio (RR) and hazard ratio (HR) for the recurrence and survival rates,
respectively. RESULTS: The RR of patients whose initial recurrences was local
recurrence (LR), distant metastasis (DM), LR + DM, or overall recurrences were
0.18, 1.00, 0.61, and 0.49, respectively. There was no heterogeneity in the
results. The HR of DFS was 0.59 and indicated that DFS in the TME group was
superior compared with that in the W&S group. The OS has no significant
difference between the studies. CONCLUSIONS: Although the W&S approach seemed
feasible for rectal cancer patients with a cCR after neoadjuvant CCRT, concrete
evidence obtained in well-controlled randomized trials with a long-term follow-up
is required to validate potential treatment options.
PMID- 27885480
TI - A single institution's long-term follow-up of patients with pathological complete
response in locally advanced rectal adenocarcinoma following neoadjuvant
chemoradiotherapy.
AB - PURPOSE: This paper aimed to study the long term follow-up of patients with
primary rectal adenocarcinoma receiving neoadjuvant chemoradiotherapy who
obtained a pathological complete response (pCR) and identify factors predicting
complete response. METHODS: Retrospective review of notes, histology, pre
operative full blood count and imaging of patients with primary rectal
adenocarcinoma diagnosed in our institute from 2000 to 2012 from a prospectively
maintained database were used. SPSS version 22.0 was used for statistical
analysis. RESULTS: Three hundred eighty patients diagnosed with primary rectal
adenocarcinoma were identified, 277 received neoadjuvant chemoradiotherapy
followed by curative resection. Forty-six patients obtained a pCR (ypT0N0) with
no local recurrence and two metastatic recurrences on follow-up. Patients with a
pCR have a significantly improved overall survival and disease-free survival
compared to a non-pCR (150.0 and 136.1 vs 77.5 and 84.7 months, p = 0.001). On
univariate analysis, increased tumour height above anal verge, low lymph node
yield, high pre-operative haemoglobin and a low neutrophil-lymphocyte ratio are
significant factors identifying a pCR. Multivariable analysis of the above
factors confirmed tumour height above anal verge as significant in obtaining a
pCR. CONCLUSION: Patients with rectal adenocarcinoma who develop a pCR following
neoadjuvant chemoradiotherapy have improved overall and disease-free survival. We
have identified distance from anal verge, low lymph node yield, high pre
operative haemoglobin and low neutrophil-lymphocyte ratio as significant
predictors of developing a pCR.
PMID- 27885482
TI - Traveling through the perineum(s): to the discovery of an old world.
AB - INTRODUCTION: The migration of large numbers of people and refugees induces
various fears in their receiving countries; the arrival of potential terrorists,
and, among others, the negative impact that their need for health care can have
on the sustainability of health services. In this sense, migrants are considered
by many a threat. METHOD: In this brief "letter narrative", based on the
experience gained in the treatment of various diseases of the perineum, I mean to
suggest that the perineal disorders, especially in women, is common event, shared
by women of different ethnicity, culture, and religion. Moreover, they do not
threaten the sustainability of health services. DICUSSION AND CONCLUSION: For the
scientific community, there is a need for greater attention and conscious
analysis of pathologies and fundamental human rights that accompany these
populations to better understand the scope and value also in terms of scientific
research in this epochal event.
PMID- 27885481
TI - Post-operative recurrence of Crohn's disease after definitive stoma: an
underestimated risk.
AB - INTRODUCTION: Crohn's disease (CD) is a progressive inflammatory disease
affecting the entire gastrointestinal tract. The need for a definitive stoma (DS)
is considered as the ultimate phase of damage. It is often believed that the risk
of further disease progression is small when a DS has been performed. AIMS: The
goals of the study were to establish the rate of CD recurrence above the DS and
to identify predictive factors of CD recurrence at the time of DS. METHODS: We
retrospectively reviewed all medical records of consecutive CD patients having
undergone DS between 1973 and 2010. We collected clinical data at diagnosis, CD
phenotype, treatment, and surgery after DS and mortality. Stoma was considered as
definitive when restoration of continuity was not possible due to proctectomy,
rectitis, anoperineal lesions (APL), or fecal incontinence. Clinical recurrence
(CR) was defined as the need for re-introduction or intensification of medical
therapy, and surgical recurrence (SR) was defined as a need for a new intestinal
resection. RESULTS: Eighty-three patients (20 males, 63 females) with a median
age of 34 years at CD diagnosis were included. The median time between diagnosis
and DS was 9 years. The median follow-up after DS was 10 years. Thirty-five
patients (42%) presented a CR after a median time of 28 months (2-211) and 32
patients (38%) presented a SR after a median time of 29 months (4-212). In a
multivariate analysis, APL (HR = 5.1 (1.2-21.1), p = 0.03) and colostomy at time
of DS (HR = 3.8 (1.9-7.3), p = 0.0001) were associated factors with the CR.
CONCLUSION: After DS for CD, the risk of clinical recurrence was high and
synonymous with surgical recurrence, especially for patients with APL and
colostomy.
PMID- 27885483
TI - Neuroborreliosis.
AB - Appropriate, critical application of evidence-based diagnostic criteria enables
both a clear definition of what constitutes neuroborreliosis-nervous system
infection with Borrelia burgdorferi sensu stricto in the US, B garinii and less
commonly B. afzelii and other species in Europe-and recognition that this
disorder is quite similar in Europe and the US. Most commonly evidenced by
lymphocytic meningitis and/or multifocal inflammation of the peripheral (common;
cranial neuropathy, radiculopathy, mononeuropathy multiplex) or central (rare)
nervous system, it is readily diagnosed and highly antibiotic responsive.
Encephalopathy-altered cognition or memory-can occur as part of the systemic
infection and inflammatory state, but is not evidence of neuroborreliosis. Post
treatment Lyme disease syndrome-persistent neurobehavioral symptoms 6 months or
more after usually curative antibiotic treatment-if real and not simply an
example of anchoring bias-is unrelated to neuroborreliosis. The pathophysiology
of neuroborreliosis remains unclear, but appears to involve both a requirement
for viable micro-organisms and significant immune amplification.
PMID- 27885485
TI - Heinrich Simon Frenkel (1860-1931).
PMID- 27885484
TI - The impact of cerebral microbleeds on intracerebral hemorrhage and poor
functional outcome of acute ischemic stroke patients treated with intravenous
thrombolysis: a systematic review and meta-analysis.
AB - It is still controversial whether pre-existing cerebral microbleeds (CMBs)
increase the risks of intracranial hemorrhage (ICH) and poor functional outcome
(PFO) in acute ischemic stroke (AIS) patients treated with intravenous
thrombolysis (IVT). Therefore, we performed a systematic review and meta-analysis
to determine the impact of CMBs on ICH and PFO of AIS patients with IVT. We
searched PubMed, EMBASE and Web of Science from inception to August 3, 2016, with
language restriction in English. We included studies that reported the
relationship between CMBs and ICH or PFO after thrombolysis. Two retrospective
and nine prospective studies met inclusion criteria (total 2702 patients). The
overall prevalence of CMBs on pre-IVT MRI scans was 24.0%. Pre-existing CMBs on
MRI scans were not significantly associated with a higher risk of early sICH (OR
1.74; 95% CI 0.91-3.33; I 2 = 44.5%). Subgroup analyses did not substantially
influence these associations. The presence of CMBs was associated with the
increased risk of 3-month PFO (OR 1.58; 95% CI 1.08-2.31; I 2 = 54.2%), PH (OR
2.14; 95% CI 1.34-3.42; I 2 = 11.0%) and any ICH (OR 1.42; 95% CI 1.04-1.95; I 2
= 0.0%), respectively. This meta-analysis showed that CMBs presence was not
significantly associated with the increased risk of early sICH after IVT.
However, the results also demonstrated that CMBs presence increased the risks of
3-month PFO, PH and any ICH after IVT. Due to a small number of included studies
and methodological limitations, the results of this meta-analysis should be
interpreted cautiously. CMBs presence should not be a contraindication to IVT for
AIS patients based on the existing evidence.
PMID- 27885487
TI - Molecular Analysis of the CTNS Gene in Indians with Nephropathic Cystinosis.
PMID- 27885486
TI - Pathological laughter as onset symptom in atypical parkinsonisms.
PMID- 27885488
TI - Claudins in viral infection: from entry to spread.
AB - Tight junctions are critically important for many physiological functions,
including the maintenance of cell polarity, regulation of paracellular
permeability, and involvement in signal transduction pathways to regulate
integral cellular processes. Furthermore, tight junctions enable epithelial cells
to form physical barriers, which act as an innate immune mechanism that can
impede viral infection. Viruses, in turn, have evolved mechanisms to exploit
tight junction proteins to gain access to cells or spread through tissues in an
infected host. Claudin family proteins are integral components of tight junctions
and are thought to play crucial roles in regulating their permeability. Claudins
have been implicated in the infection process of several medically important
human pathogens, including hepatitis C virus, dengue virus, West Nile virus, and
human immunodeficiency virus, among others. In this review, we summarize the role
of claudins in viral infections and discuss their potential as novel antiviral
targets. A better understanding of claudins during viral infection may provide
insight into physiological roles of claudins and uncover novel therapeutic
antiviral strategies.
PMID- 27885491
TI - Erratum to: nab-Paclitaxel Plus Gemcitabine Versus Gemcitabine in Patients with
Metastatic Pancreatic Adenocarcinoma: Canadian Subgroup Analysis of the Phase 3
MPACT Trial.
PMID- 27885492
TI - Polymorphism of growth hormone receptor (GHR) gene in Nilagiri sheep.
AB - The allelic variation in the regulatory sequence of growth hormone receptor (GHR)
gene influences the growth traits of sheep. A study was carried out to find out
the polymorphisms associated with exon 10 of GHR gene and its association with
growth traits of Nilagiri sheep. The blood samples were collected from Nilagiri
sheep (n = 103) reared at Sheep Breeding Research Station, Sandynallah, Tamil
Nadu, India. DNA was isolated using the phenol-chloroform extraction procedure
and eight samples having amplified product of part of exon 10 (895 bp) sequenced.
The results indicated transitions of nucleotide G>A at loci G177624A and
G177878A. The genotyping frequencies estimated using the tetra-primer
amplification refractory mutation system-PCR for GG, GA and AA were 0.262, 0.544
and 0.194, and 0.349, 0.505 and 0.146, respectively. The estimated allele
frequencies of G and A nucleotides were 0.5340 and 0.4660, and 0.6015 and 0.3985,
respectively, at loci G177624A and G177878A. The effects of both the mutations on
growth-related traits viz., birth, weaning (3 months) 6, 9 and 12 months weight
in Nilagiri sheep were found to be non-significant. This can be a novel approach
to assess growth of sheep using the mutation in GHR gene. Thus, this approach can
be useful for further investigation as a molecular marker associated with genetic
improvement.
PMID- 27885490
TI - Localized cortical chronic traumatic encephalopathy pathology after single,
severe axonal injury in human brain.
AB - Chronic traumatic encephalopathy (CTE) is a neurodegenerative disease associated
with repetitive mild impact traumatic brain injury from contact sports. Recently,
a consensus panel defined the pathognomonic lesion for CTE as accumulations of
abnormally hyperphosphorylated tau (p-tau) in neurons (neurofibrillary tangles),
astrocytes and cell processes distributed around small blood vessels at sulcal
depths in irregular patterns within the cortex. The pathophysiological mechanism
for this lesion is unknown. Moreover, a subset of CTE cases harbors cortical beta
amyloid plaques. In this study, we analyzed postmortem brain tissues from five
institutionalized patients with schizophrenia and history of surgical leucotomy
with subsequent survival of at least another 40 years. Because leucotomy involves
severing axons bilaterally in prefrontal cortex, this surgical procedure
represents a human model of single traumatic brain injury with severe axonal
damage and no external impact. We examined cortical tissues at the leucotomy site
and at both prefrontal cortex rostral and frontal cortex caudal to the leucotomy
site. For comparison, we analyzed brain tissues at equivalent neuroanatomical
sites from non-leucotomized patients with schizophrenia, matched in age and
gender. All five leucotomy cases revealed severe white matter damage with dense
astrogliosis at the axotomy site and also neurofibrillary tangles and p-tau
immunoreactive neurites in the overlying gray matter. Four cases displayed p-tau
immunoreactivity in neurons, astrocytes and cell processes encompassing blood
vessels at cortical sulcal depths in irregular patterns, similar to CTE. The
three cases with apolipoprotein E epsilon4 haplotype showed scattered beta
amyloid plaques in the overlying gray matter, but not the two cases with
apolipoprotein E epsilon3/3 genotype. Brain tissue samples from prefrontal cortex
rostral and frontal cortex caudal to the leucotomy site, and all cortical samples
from the non-leucotomized patients, showed minimal p-tau and beta-amyloid
pathology. These findings suggest that chronic axonal damage contributes to the
unique pathology of CTE over time.
PMID- 27885493
TI - Hepatic encephalopathy: present and future.
PMID- 27885495
TI - Erratum to: Prognostic value of right ventricular free wall strain in pulmonary
hypertension patients with pseudo-normalized tricuspid annular plane systolic
excursion values.
PMID- 27885494
TI - Comparison of arterial stiffness/compliance in the ascending aorta and common
carotid artery in healthy subjects and its impact on left ventricular structure
and function.
AB - Arterial stiffness and compliance parameters from two adjacent elastic arteries
[aorta and common carotid artery (CCA)] were compared and their relationship with
left ventricular (LV) structure and function and clinical parameters was
assessed. 584 healthy subjects were prospectively enrolled [mean age 47.8 +/-
18.4 years, range 16-94; 318 (54.4%) men]. They underwent comprehensive
transthoracic echocardiography; M-mode diameters were measured at the level of
the ascending aorta in systole and diastole and by echo-tracking at the level of
the left CCA. The beta-stiffness, pressure-strain elastic modulus, arterial
compliance and one-point pulse wave velocity were derived. A significant
correlation was observed between aortic and CCA stiffness and compliance
parameters (p < 0.0001 for all). At multiple regression analysis, CCA stiffness
parameters were constantly correlated with age and systolic blood pressure, and
accounted for up to 56% of the variability (vs. only 29% in aortic stiffness and
compliance). CCA stiffness parameters were found to better predict LV structure,
diastolic function than aortic stiffness parameters. Aortic and CCA stiffness and
compliance were found to correlate with each other and with age, with the
correlation being higher for CCA stiffness. At multiple regression analysis, CCA
stiffness parameters were better predictors of LV structure and function than
aortic stiffness.
PMID- 27885496
TI - Descending aortic mechanics and atrial fibrillation: a two-dimensional speckle
tracking transesophageal echocardiography study.
AB - Vascular mechanics assessed with two-dimensional speckle tracking
echocardiography (2D-STE) could be used as a new imaging surrogate of vascular
stiffening. The CHA2DS2-VASc score is considered accurate as an estimate of
stroke risk in non-valvular AF, although many potential stroke risk factors have
not been included in this scoring method. The purpose of this research is to
study the feasibility of evaluating vascular mechanics at the descending aorta in
non-valvular AF patients using transesophageal 2D-STE and to analyze the
association between descending aortic mechanics and stroke. We prospectively
recruited a group of 44 patients referred for a transesophageal echocardiogram
(TEE) in the context of cardioversion for non-valvular AF. A short-axis view of
the descending aorta, one to two centimeters after the aortic arch was selected
for the vascular mechanics assessment with the 2D-STE methodology. The vascular
mechanics parameters analyzed were circumferential aortic strain (CAS) and early
circumferential aortic strain rate (CASR). A clinical assessment was performed
with focus on the past stroke history and the CHA2DS2-VASc score. The mean age of
our cohort was 65 +/- 13 years and 75% were men; AF was known for 2.8 +/- 2.5
years and it was considered paroxystic in 41% of cases. Waveforms adequate for
measuring 2D-STE were present in 85% of the 264 descending aortic wall segments.
The mean CAS was 3.5 +/- 1.2% and the mean CASR was 0.7 +/- 0.3 s-1. The inter-
and intra-observer variability for aortic mechanics was considered adequate. The
median CHA2DS2VASc score was 2 (2-3). As the score increased we noted that both
the CAS (r = -0.38, P = 0.01) and the CASR (r = -0.42, P < 0.01) decreased. Over
16% of the AF patients had a past history of stroke. These patients had lower
values of both descending aortic strain [2.2 (1.8-2.6) vs. 3.9 (3.3-4.9)%, P <
0.01] and strain rate [0.4 (0.3-0.4) vs. 0.7 (0.6-1.1) s-1, P < 0.01]. CAS
remained independently associated with a past history of stroke after adjustment
for the CHA2DS2VASc score. Our data showed that non-valvular AF patients with a
past history of stroke had lower values of aortic mechanics assessed with
transesophageal 2D-STE.
PMID- 27885497
TI - Erratum to: A zebrafish mosaic assay to study mammalian stem cells in real time
in vivo.
PMID- 27885499
TI - The effects of alpha 1-adrenoceptor blockade and angiotensin converting enzyme
inhibition on central and brachial blood pressure and vascular reactivity: the
doxazosin-ramipril study.
AB - We aimed to study whether inhibition of the renin-angiotensin-aldosterone system
has effects on vascular structure and function beyond the effects on blood
pressure reduction alone. Patients with mild-to-moderate hypertension (n = 61,
age 54 +/- 12 years, 34% women) received the angiotensin converting enzyme
inhibitor ramipril 10 mg or the alpha 1-adrenoceptor blocker doxazosin 8 mg
double-blind for 12 weeks. Aortic blood pressure, pulse wave velocity, and
augmentation index were assessed by applanation tonometry. Endothelial function
was studied by forearm post-ischemic flow mediated vasodilatation and by pulse
wave analysis with beta 2-adrenoceptor agonist stimulation. Skin microvascular
reactivity was assessed by laser Doppler fluxmetry and iontophoresis. Treatment
with doxazosin or ramipril reduced aortic and brachial blood pressures (all P <
0.001), with greater reductions in aortic than brachial systolic blood pressures
(P = 0.021) and aortic/brachial pulse pressure ratio (P = 0.005). Compared to
doxazosin, ramipril reduced carotid-femoral and carotid-radial pulse wave
velocity (both P < 0.05). Forearm endothelial dependent and independent
vasodilatation, assessed by post-ischemic flow mediated vasodilatation and
glyceryl trinitrate, and by pulse wave analysis remained unchanged by both
doxazosin and ramipril. In addition, skin microvascular endothelial dependent
(acetylcholine) and independent vasodilatation (sodium nitroprusside) remained
unchanged. In conclusion, ramipril reduced indices of aortic stiffness,
suggesting that angiotensin converting enzyme inhibitor therapy may have effects
beyond blood pressure reduction. However, treatment did not appear to influence
endothelial function. Evidence of endothelial dysfunction and its possible
improvement by antihypertensive treatment might require more advanced
hypertension.This study is registered at ClinicalTrials.gov (NCT02901977) and at
EudraCT (# 2007-000631-25).
PMID- 27885498
TI - Prognostic predictive value of gene mutations in Japanese patients with
hypertrophic cardiomyopathy.
AB - Although some studies have attempted to find useful prognostic factors in
hypertrophic cardiomyopathy (HCM), those results are not fully helpful for use in
actual clinical practice. Furthermore, several genetic abnormalities associated
with HCM have been identified. However, the genotype-phenotype correlation in HCM
remains to be elucidated. Here, we attempted to assess patients with different
types of gene mutations causing HCM and investigate the prognosis. A total of 140
patients with HCM underwent a screening test for myofilament gene mutations by
direct sequencing of eight sarcomeric genes. Patients with a single mutation in
cardiac troponin T, cardiac troponin I, alpha-tropomyosin, and regulatory and
essential light chains were excluded from the study because the number of cases
was too small. The clinical presentations and outcomes of the remaining 127
patients with HCM, 31 beta-myosin heavy chain (MYH7) mutation carriers, 19
cardiac myosin-binding protein C (MYBPC3) mutation carriers, and 77 mutation non
carriers were analyzed retrospectively. MYBPC3 mutation carriers had a high
frequency of ventricular arrhythmia and syncope. Kaplan-Meier curves revealed no
significant difference in prognosis among the three groups, but a lack of family
history of sudden death (SD) and a past history of syncope were significantly
related to poor prognosis. An absence of family history of SD and past history of
syncope are useful prognostic factors in patients with HCM. MYH7 and MYBPC3
mutations did not significantly influence prognosis compared to non-carriers.
However, patients with the MYBPC3 mutation should be closely followed for the
possibility of SD.
PMID- 27885500
TI - A review of anaesthetic outcomes in patients with genetically confirmed
mitochondrial disorders.
AB - : Mitochondrial disorders are a clinically and biochemically diverse group of
disorders which may involve multiple organ systems. General anaesthesia (GA)
poses a potential risk of decompensation in children with mitochondrial
disorders, and there is little guidance for anaesthetists and other clinicians
regarding the optimal anaesthetic agents and perioperative management to provide
to patients with mitochondrial disease[15]. The aim of this review was to
document adverse events and perioperative complications from GA in patients with
genetically confirmed mitochondrial disorders. A retrospective chart review of
patients with genetically confirmed mitochondrial disorders who had undergone GA
was undertaken. The indication for GA, anaesthetic agents utilised, length of
admission and post anaesthetic complications were documented and analysed. Twenty
six patients with genetically proven mitochondrial disease underwent 65 GAs.
Thirty-four (52%), received propofol as their induction agent. Thirty-three (51%)
patients received sevoflurane for the maintenance of anaesthesia, while 8 (12%)
received isoflurane and 24 (37%) received propofol. The duration of most GAs was
short with 57 (87%) lasting less than 1 h. Perioperative complications occurred
in five patients while under GA including ST segment depression, hypotension and
metabolic acidosis in one. All five patients were stabilised successfully and
none required ICU admission as a consequence of their perioperative
complications. The duration of hospital stay post GA was <24 h in 25 (38%)
patients. CONCLUSION: No relationship between choice of anaesthetic agent and
subsequent perioperative complication was observed. It is likely that individual
optimisation on a case-by-case basis is more important overall than choice of any
one particular technique. What is Known: * General anaesthesia (GA) poses a
potential risk of decompensation in children with mitochondrial disorders. *
There is a great diversity in the anaesthetic approaches undertaken in this
cohort, and little guidance exists for anaesthetists and other clinicians
regarding the optimal anaesthetic agents and perioperative management to provide
to patients with mitochondrial disease. What is New: * In this study of 26
patients with genetically confirmed mitochondrial disease who underwent 65 GAs,
no relationship between choice of anaesthetic agent and subsequent perioperative
complication was observed * It is likely that individual optimisation on a case
by-case basis is more important overall than choice of any one particular
technique.
PMID- 27885501
TI - Valence of Affective Verbal Fluency: fMRI Studies on Neural Organization of
Emotional Concepts Joy and Fear.
AB - The present study was designed to examine the underlying brain mechanisms of
positive and negative emotional verbal fluency. Three verbal fluency tasks (one
non-emotional phonemic task, two emotional tasks: Joy and Fear) were used in this
study. The results were analyzed for 35 healthy, Polish-speaking, right-handed
adults aged 20-35. Functional magnetic resonance imaging (3T) was used to show
brain activity during active participation in emotional verbal fluency tasks. The
results reported for emotional fluency confirmed activation of different brain
regions for the negative and positive emotional verbal fluency: in positive
emotional verbal fluency Joy elicits greater activation in the frontal regions
and the cingulate cortex, while in negative verbal fluency Fear is reflected in
activation of parietal and temporal areas. The study provides an evidence for
differentiation in neural mechanisms between positive and negative emotional
verbal fluency and/or positive and negative retrieving processes, and
differentiation in brain-related determinants of the emotional concepts
organization.
PMID- 27885502
TI - Fading of wound-induced volatile release during Populus tremula leaf expansion.
AB - The release of stress-driven volatiles throughout leaf development has been
little studied. Therefore, we subjected poplar leaves during their developmental
stage (from 2 days to 2 weeks old) to wounding by a single punch hole, and
measured online the wound-induced volatile organic compound emissions. Our study
shows that the emission of certain volatile compounds fades with increasing leaf
age. Among these compounds we found lipoxygenase products (LOX products),
acetaldehyde, methyl benzoate, methyl salicylate, and mono- and sesquiterpenes.
In parallel, we studied the fading of constitutive emissions of methanol during
leaf maturation, as well as the rise in isoprene constitutive emission during
leaf maturation and its relationship to leaf photosynthetic capacity. We found
highly significant relationships between leaf chlorophyll content, photosynthetic
capacity, and leaf size during leaf ageing. As the level of constitutive defences
increases with increasing leaf age, the strength of the volatile signal is
expected to be gradually reduced. The higher elicitation of volatile organic
compound emissions (especially LOX products) in younger leaves could be an
evolutionary defence against herbivory, given that younger leaves are usually
more subjected to infestation and herbivory.
PMID- 27885503
TI - Shoot density of Miscanthus sinensis populations in different habitats and their
maintenance mechanisms in relation to shoot growth.
AB - How perennial grass populations are maintained in different climates is poorly
understood at the level of individual shoots (ramets). During the years 1982-1987
and 1991-1993, measurements of shoot dynamics and growth in populations of a
clonal grass, Miscanthus sinensis, were made at two sites in Japan that differed
by approximately 5 degrees C in mean temperature. While annual shoot births were
very stable during the period 1982-1987 at both sites, the number of flowering
shoots fluctuated cyclically every year. The clonal propagation of shoots was
size-independent, whereas the reproduction (flowering) of shoots was size
dependent and negatively affected their own offspring size. Shoot size negatively
affected the overwintering of shoots. In the warm climate with a long growing
period (9 months), both early-emerging shoots and the subsequent high order
tillering shoots developed in large numbers. In the cool climate with a short
growing period (6 months), more than half of the annual births occurred in August
and September. Nevertheless, average longevity and wintering competency of shoots
were not greatly different between the two populations. In response to a warmer
climate, tillerings started earlier. This appeared to increase the total number
of new shoots that would die within the year; nevertheless, the shoot densities
remained much higher because a longer growing season would increase the number of
high order tillerings. There was thus a trade-off between the annual survival
ratio of new shoots and the number of annual shoot births.
PMID- 27885504
TI - Plant dicer-like proteins: double-stranded RNA-cleaving enzymes for small RNA
biogenesis.
AB - Dicer, a double-stranded RNA (dsRNA)-specific endoribonuclease, plays an
essential role in triggering both transcriptional and post-transcriptional gene
silencing in eukaryotes by cleaving dsRNAs or single-stranded RNAs bearing stem
loop structures such as microRNA precursor transcripts into 21- to 24-nt small
RNAs. Unlike animals, plants have evolved to utilize at least four Dicer-like
(DCL) proteins. Extensive genetic studies have revealed that each DCL protein
participates in a specific gene silencing pathway, with some redundancy. However,
a mechanistic understanding of how the specific action of each DCL protein is
regulated in its respective pathway is still in its infancy due to the limited
number of biochemical studies on plant DCL proteins. In this review, we summarize
and discuss the biochemical properties of plant DCL proteins revealed by studies
using highly purified recombinant proteins, crude extracts, and
immunoprecipitates. With help from co-factor proteins and an ATPase/DExH-box RNA
helicase domain, the microRNA-producing enzyme DCL1 recognizes bulges and
terminal loop structures in its substrate transcripts to ensure accurate and
efficient processing. DCL4 prefers long dsRNA substrates and requires the dsRNA
binding protein DRB4 for its activity. The short-dsRNA preference of DCL3 is well
suited for short-RNA transcription and subsequent dsRNA formation by coupling
between a plant-specific DNA-dependent RNA-polymerase IV and RNA-dependent RNA
polymerase 2 in the transcriptional gene silencing pathway. Inorganic phosphate
also seems to play a role in differential regulation of DCL3 and DCL4 activities.
Further development of biochemical approaches will be necessary for better
understanding of how plant DCL proteins are fine-tuned in each small RNA
biogenesis pathway under various physiological conditions.
PMID- 27885505
TI - Pre-microRNA processing activity in nuclear extracts from Arabidopsis suspension
cells.
AB - MicroRNAs (miRNAs) play important roles in a variety of biological phenomena,
such as development and responses to abiotic and biotic stresses, by regulating
complementary target transcripts. Primary MIRNA transcripts (pri-miRNAs) are
processed into miRNA/miRNA* duplexes via pre-miRNA intermediates by DICER family
proteins. In plants miRNA/miRNA* duplexes are produced from pri-miRNAs
exclusively by a complex containing DICER-LIKE1 (DCL1), Hyponastic leaves1 (HYL1)
and SERREATE (SE) in the nucleus. Pri-miRNA or pre-miRNA processing activity has
been detected using recombinant DCL1, HYL1 and SE proteins expressed in insect
cells and immunopurified DCL1-HYL1-SE-containing complexes that transiently co
overexpressed in Nicotiana benthamiana. However, the processing of pre-miRNAs
into miRNA/miRNA* using nuclear extracts has not been reported. Here I report the
detection of pre-miRNA processing in nuclear extracts prepared from Arabidopsis
suspension cells. In the nuclear extracts including DCL1, small RNAs that were 21
nucleotides in length were excised from a part of miRNA/miRNA* regions on pre
miRNAs. This system is potentially useful for in vitro analyses of pre-miRNA
processing.
PMID- 27885507
TI - Erratum to: Genomic and functional features of the biosurfactant producing
Bacillus sp. AM13.
PMID- 27885506
TI - Marsh frogs, Pelophylax ridibundus, determine migratory direction by magnetic
field.
AB - Orientation by magnetic cues appears to be adaptive during animal migrations.
Whereas the magnetic orientation in birds, mammals, and urodele amphibians is
being investigated intensively, the data about anurans are still scarce. This
study tests whether marsh frogs could determine migratory direction between the
breeding pond and the wintering site by magnetic cues in the laboratory. Adult
frogs (N = 32) were individually tested in the T-maze 127 cm long inside the
three-axis Helmholtz coil system (diameter 3 m). The arms of the maze were
positioned parallel to the natural migratory route of this population when
measured in accordance with magnetic field. The frogs were tested under two
motivational conditions mediated by temperature/light regime: the breeding
migratory state and the wintering state. The frogs' choice in a T-maze was
evident only when analyzed in accordance with the direction of the magnetic
field: they moved along the migratory route to the breeding pond and followed the
reversion of the horizontal component of the magnetic field. This preference has
been detected in both sexes only in the breeding migratory state. This suggests
that adult ranid frogs can obtain directional information from the Earth's
magnetic field as was shown earlier in urodeles and anuran larvae.
PMID- 27885509
TI - Inhibitory effect of flavonoids against NS2B-NS3 protease of ZIKA virus and their
structure activity relationship.
AB - OBJECTIVES: To determine the inhibitory activities of flavonoids against NS2B-NS3
protease of ZIKA virus (ZIKV NS2B-NS3pro) expressed in Escherichia coli BL21
(DE3) and their structure activity relationship. RESULTS: ZIKV NS2B-NS3pro was
expressed in E. coli BL21(DE3) as a 35 kDa protein. It had a K m of 26 uM with
the fluorogenic peptide Dabcyl-KTSAVLQSGFRKME-Edan. The purified ZIKV NS2B-NS3pro
was used for inhibition and kinetic assays to determine the activities of 22
polyphenol compounds. These polyphenol compounds at 100 uM inhibited the activity
of ZIKV NS2B-NS3pro by 6.2-88%. Seven polyphenol compounds had IC50 ranging from
22 +/- 0.2 to 112 +/- 5.5 uM. Myricetin showed a mixed type inhibitory pattern
against ZIKV NS2B-NS3pro protease. Its IC50 value was 22 +/- 0.2 uM with a K i
value of 8.9 +/- 1.9 uM. CONCLUSION: The chemical structure of a polyphenol
compound and its inhibitory activity against ZIKV NS2B-NS3pro can be explored to
develop highly selective inhibitors against ZIKV NS2B-NS3pro.
PMID- 27885510
TI - Left main crossover stenting in a patient with severe thrombocytopenia due to
aplastic anemia.
AB - A 76-year-old man with aplastic anemia presented with recurrent acute myocardial
infarction (AMI) with heart failure. After the initial appearance of AMI
approximately 2 months earlier, he had received conservative
treatment/transfusion alone because of severe thrombocytopenia and anemia
(platelet 11 * 103/MUL, hemoglobin 6.4 g/dL). Refractory heart failure persisted
despite repeated conservative treatment/transfusion for the second AMI, and
therefore, we performed transradial coronary angiography and left main crossover
stenting with a bare metal stent. His critical condition markedly improved;
however, soon after discharge, he complicated with subdural hematoma. He has
since been free of cardiovascular/hemorrhagic events for 7 months without
antiplatelet/anticoagulant therapy.
PMID- 27885511
TI - Does anticoagulation therapy prevent thrombosis in coronary stent grafts?
AB - A polytetrafluoroethylene (PTFE)-covered stent was deployed for enlarging
coronary aneurysms in a 73-year-old man. Optical coherence tomography (OCT) and
coronary angioscopy performed 3 months later revealed naked stent struts and thin
patches of red thrombi covering the PTFE membrane even under dual antiplatelet
therapy (DAPT). After 5-month warfarin administration under DAPT, OCT and
angioscopy showed a clear extinction of the thin patches of red thrombi on the
PTFE membrane and stent struts, although most of the struts were still naked. The
addition of anticoagulation therapy to DAPT may reduce thrombosis events in
patients treated with PTFE-covered stents.
PMID- 27885512
TI - Clinicopathological features of thyroid cancer in the elderly compared to younger
counterparts: single-center experience.
AB - PURPOSE: The incidence of thyroid cancer is increased in elderly patients. It
tends to be larger and have more aggressive characteristics in these patients.
Our aim was to compare features of thyroid carcinoma in geriatric and non
geriatric patients. METHODS: In total, 933 patients with thyroid cancer were
retrospectively reviewed. Thyroid functions, ultrasonography features of
malignant nodules, cytological and histopathological findings and the rates of
recurrence and persistence were compared in patients >=65 and <65 years old.
RESULTS: There were 153 malignant foci in 109 (11.7%) patients >=65 and 1185
malignant foci in 824 (88.3%) patients <65 years old. Mean nodule diameter was
significantly higher in geriatric patients (p = 0.008). Most of the
ultrasonographical features of malignant nodules were similar in two groups.
Hypoechoic halo was observed in 16.4 and 28.6% of malignant nodules in geriatric
and non-geriatric group, respectively (p = 0.034). There was no significant
difference in cytological diagnosis. Histopathologically, tumor diameter, rates
of microcarcinomas and incidentality were similar. Of all cancer types, 88.8% in
geriatric and 93.9% in non-geriatric group were papillary thyroid cancer (p =
0.028). Hurthle cell cancer constituted 3.9 and 1.1% of carcinomas in geriatric
and non-geriatric patients, respectively (p = 0.015); 2.0 and 0.2% of tumors in
geriatric and non-geriatric group were anaplastic, respectively (p = 0.012).
Capsular and vascular invasion, extrathyroidal extension, persistence and
recurrence rates were similar. CONCLUSIONS: Rates of anaplastic cancer and
Hurthle cell cancer which is known to have worser prognosis among other
differentiated thyroid cancers are increased in geriatric ages. Cytological
evaluation of thyroid nodules should strongly be considered due to increased
tendency for aggressive tumor types in these patients.
PMID- 27885513
TI - The comparison of the quality of life and intranasal edema between the patients
with or without nasal packing after septoplasty.
AB - Septoplasty is one of the most common operations performed in otolaryngology and
anterior nasal packing is done routinely to prevent postoperative bleeding,
septal hematoma or nasal synechia. Currently, transseptal sutures have gained a
broader application area, not only for preventing the complications such as
septal hematoma and bleeding but also closing any accidental tears of septal
mucosa and providing additional support for the cartilage pieces retained in
septoplasty. We evaluated the quality of life of the patients in early
postoperative period (in the first postoperative week), intranasal edema with
endoscopic examination and the intranasal changes with acoustic rhinometry. We
performed a prospective and randomized study with patients undergoing septoplasty
without inferior turbinectomy. As packing material, there were two groups: in
group A, gauze in a glove finger and in group B, Doyle splint were used, and in
the additional group C, only transseptal suture with 4/0 vicryl among the
cartilaginous septum was performed. The patients were invited to control
examinations on the postoperative 2nd, 4th and 7th days to evaluate the scores
from 1 to 5 on the questionnaire for the pain, nasal fullness, sneezing,
epiphora, difficulty in swallowing and sleep disturbances. The patients were also
administered an endoscopic nasal examination for the purpose of detecting the
intranasal edema, and acoustic rhinometry was performed during the control
examinations to detect the intranasal changes. Total occluding packing was found
to cause much more frequent and higher scores of epiphora, sneezing, difficulty
in swallowing, but mainly, the pain compared to in silicone packing with airway
and transseptal suture only. Although the silicone packing with airway was found
to be much more comfortable, it also led to sneezing and epiphora. The patients
without nasal packing had more comfortable period especially in the early
postoperative days (the first 4 days). However, 1 week after surgery, groups with
and without nasal packing were found to be equalized on behalf of the objective
and subjective parameters. As any complication was not observed due to not using
nasal packing, it is thought that nasal packing usage following septoplasty is
not a necessity.
PMID- 27885514
TI - Speech evoked auditory brainstem response and gap detection threshold in middle
aged individual.
AB - This study aimed at characterizing the gap detection threshold (GDT) and speech
evoked ABR (SABR) in younger and middle-aged individuals. Two groups of subjects
were participated in the study which includes 15 young adults in the age range of
15-25 years and 15 middle-aged individuals in the age range of 40-60 years. SABR
with stimulus/da/of 40 ms and GDT were investigated on both groups. For SABR,
Mann-Whitney U test revealed that ageing has significantly adverse effect on the
encoding of F1 and F2 at brainstem level. However, no significant effect of
ageing (till middle age) on the encoding of F0 was observed in present study.
Mann-Whitney U test also showed significant longer latency of wave V in middle
aged individuals compared to younger adults. Furthermore, GDT was significantly
better in younger adults compared to middle-aged individuals according to Mann
Whitney U test. This study also revealed no significant correlation between GDT
and F0, F1, F2 for younger as well as middle-aged individuals. The findings of
this study showed poor encoding of certain aspects of speech at brainstem level
in middle-aged individuals compared to younger adults. This study also revealed
deterioration of auditory processes in middle-aged individuals.
PMID- 27885516
TI - Development of a high-pressure set-up for measurements of binary diffusion
coefficients in supercritical carbon dioxide.
AB - We present the development of a high-pressure apparatus for measurements of
diffusion coefficients in supercritical fluids. The Taylor dispersion method has
been adapted to conduct experiments at the pressures up to 25.0 MPa. In order to
test the developed set-up, binary diffusion coefficients D at infinite dilution
in supercritical carbon dioxide have been measured for a reference system,
benzene, at temperatures in the range of 309.50-319.95 K. The effects of flow
velocity, number of consecutive injections and absorbance at different wave
numbers on the diffusion coefficient have been analysed. The obtained diffusion
coefficients are of the order of 10-8 m 2/s and in excellent agreement with the
available literature data.
PMID- 27885515
TI - Biological mesh extrusion months after laparoscopic ventral rectopexy.
PMID- 27885517
TI - Octupolar order in three dimensions.
AB - Octupolar order in three space dimensions is described by a real-valued, fully
symmetric and traceless, third-rank tensor A. The real generalized eigenvalues of
A are also the critical values of a real-valued potential [Formula: see text]
defined on the unit sphere [Formula: see text] by A. Generalized eigenvalues of A
and critical points of [Formula: see text] are equivalent means to describe
octupolar order in a molecular assembly according to Buckingham's formula for the
probability density distribution. Intuition suggests that [Formula: see text]
would generically have four maxima, corresponding to the most probable molecular
orientations, so that a (possibly distorted) tetrahedron would effectively
describe A. This paper shows that another generic octupolar state flanks the
expected one, featuring three maxima of [Formula: see text]. The two generic
states are divided by a separatrix manifold, which may physically represent an
intra-octupolar transition.
PMID- 27885518
TI - Does ozone clinically enhance the remineralizing potential of nano-hydroxyapatite
on initial enamel lesions?
PMID- 27885519
TI - Domestic horses send signals to humans when they face with an unsolvable task.
AB - Some domestic animals are thought to be skilled at social communication with
humans due to the process of domestication. Horses, being in close relationship
with humans, similar to dogs, might be skilled at communication with humans.
Previous studies have indicated that they are sensitive to bodily signals and the
attentional state of humans; however, there are few studies that investigate
communication with humans and responses to the knowledge state of humans. Our
first question was whether and how horses send signals to their potentially
helpful but ignorant caretakers in a problem-solving situation where a food item
was hidden in a bucket that was accessible only to the caretakers. We then
examined whether horses alter their behaviours on the basis of the caretakers'
knowledge of where the food was hidden. We found that horses communicated to
their caretakers using visual and tactile signals. The signalling behaviour of
the horses significantly increased in conditions where the caretakers had not
seen the hiding of the food. These results suggest that horses alter their
communicative behaviour towards humans in accordance with humans' knowledge
state.
PMID- 27885520
TI - The effect of pre-operative topical anaesthetic cream on the ablative width and
coagulative depth of ablative fractional resurfacing laser.
AB - Topical anaesthetic cream (TAC) is commonly used as a pre-treatment of ablative
fractional resurfacing (AFR) laser. Most of anaesthetic cream contains distilled
water as major component. Therefore, pre-operative TAC may interfere the
photothermal reaction in the skin treated with fractional carbon-dioxide (FCO2)
laser and fractional erbium-doped yttrium aluminium garnet (FEr:YAG) laser. The
objective of the study was to compare the ablative width (AW) and coagulative
depth (CD) of AFR laser with and without pre-treatment with TAC. Four Thai
females who underwent abdominoplasty were included in the study. The excised skin
of each subject was divided into four areas. TAC (eutectic mixture of local
anaesthesia; EMLA) with 1-h occlusion was applied only on the first and second
areas. The first and third areas were treated with FCO2 at 15 mj and 5% density.
The second and fourth areas were treated with FEr:YAG at 28 J/cm2 and 5% density.
Six biopsied specimens were obtained from each area. A total of 96 specimens (24
specimens from each area) were collected from four patients and examined randomly
by two dermatopathologists. The ablative width and coagulative depth from each
specimen were determined. In FCO2-treated specimens, the mean AW of the specimens
that were pre-treated with TAC and control was 174.86 +/- 24.57 and 188.52 +/-
41.32 MUm. The mean CD of the specimens that were pre-treated with TAC and
control was 594.96 +/- 111.72 and 520.03 +/- 147.40 MUm. There were no
significant differences in AW and CD between both groups (p = 0.53 and p = 0.15).
In FEr:YAG-treated specimens, the mean AW of the specimens that were pre-treated
with TAC and control was 381.11 +/- 48.02 and 423.65 +/- 60.16 MUm. The mean CD
of the specimens that were pre-treated with TAC and control was 86.03 +/- 29.44
and 71.59 +/- 18.99 MUm. There were no significant differences in AW and CD
between both groups (p = 0.16 and p = 0.24). The pre-treatment with TAC provided
no statistically difference from the control group on AW and CD of both FCO2 and
FEr:YAG laser irradiation. However, there was a tendency to have narrower AW and
deeper CD of the areas that were pre-treated with TAC when comparing to that of
the control.
PMID- 27885521
TI - Laser and LED phototherapy on midpalatal suture after rapid maxilla expansion:
Raman and histological analysis.
AB - The aim of this study was to analyze the effect of laser or LED phototherapy on
the acceleration of bone formation at the midpalatal suture after rapid maxilla
expansion. Forty-five rats were divided into groups at 7 days (control,
expansion, expansion and laser irradiation, and expansion and LED irradiation)
and into 14 days (expansion, expansion and laser in the 1st week, expansion and
LED in the 1st week, expansion and laser in the 1st and 2nd weeks, expansion and
LED in the 1st and 2nd weeks). Laser/LED irradiation occurred every 48 h.
Expansion was accomplished with a spatula and maintained with a triple helicoid
of 0.020-in stainless steel orthodontic wire. A diode laser (lambda780 nm, 70 mW,
spot of 0.04 cm2, t = 257 s, SAEF of 18 J/cm2) or a LED (lambda850 +/- 10 nm, 150
+/- 10 mW, spot of 0.5 cm2, t = 120 s, SAEF of 18 J/cm2) was applied in one point
in the midpalatal suture immediately behind the upper incisors. Raman
spectroscopy and histological analyses of the suture region were carried and data
was submitted to statistical analyses (p <= 0.05). Raman spectrum analysis
demonstrated that irradiation increases hydroxyapatite in the midpalatal suture
after expansion. In the histological analysis of various inflammation, there was
a higher production of collagen and osteoblastic activity and less osteoclastic
activity. The results showed that LED irradiation associated to rapid maxillary
expansion improves bone repair and could be an alternative to the use of laser in
accelerating bone formation in the midpalatal suture.
PMID- 27885522
TI - Efficacy and safety of fractional CO2 laser versus fractional Er:YAG laser in the
treatment of facial skin wrinkles.
AB - Ablative fractional lasers were introduced for treating facial rhytides. Few
studies have compared fractional CO2 and Er:YAG lasers on cutaneous photodamages
by a split trial. The aim of the present study was to compare these modalities in
a randomized controlled double-blind split-face design with multiple sessions and
larger sample size compared to previous studies done before. Forty patients with
facial wrinkles were enrolled. Patients were randomly assigned to receive three
monthly treatments on each side of the face, one with a fractional CO2 and one
with a fractional Er:YAG laser. The evaluations included investigating clinical
outcome determined by two independent dermatologists not enrolled in the
treatment along with measuring skin biomechanical property of cheeks using a
sensitive biometrologic device with the assessment of cutaneous resonance running
time (CRRT). Moreover, possible side effects and patients' satisfaction have been
recorded at baseline, 1 month after each treatment, and 3 months after the last
treatment session. Clinical assessment showed both modalities significantly
reduce facial wrinkles (p value < 0.05), with no appreciable difference between
two lasers. Mean CRRT values also decreased significantly after the laser
treatment compared to the baseline in both laser groups. There was no serious
long-standing adverse effect after both laser treatments, but the discomfort was
more pronounced by the participants after CO2 laser treatment. According to the
present study, both fractional CO2 and fractional Er:YAG lasers show considerable
clinical improvement of facial skin wrinkles with no serious adverse effects, but
post-treatment discomfort seems to be lower with Er:YAG laser.
PMID- 27885523
TI - A review of the mechanism of action of lasers and photodynamic therapy for
onychomycosis.
AB - Onychomycosis is one of the most common diseases in the field of dermatology. It
refers to the fungal infection of the nail plate or nail bed with high incidence
in the general population. The available treatment options for onychomycosis have
limited use due to side effects, drug interactions, and contraindications, which
necessitates the application of an alternative treatment for onychomycosis. In
the recent years, lasers and photodynamic therapy (PDT) have been recognized as
alternative treatment options. Most of the previous studies have found them to be
safe and effective treatment modalities in this indication; however, the results
varied greatly and the in vitro and in vivo outcomes are contradictory. In the
present review, studies related to the mechanism of action of lasers and PDT for
the treatment of onychomycosis will be discussed, with a focus on to find
explanation to the contradictory results.
PMID- 27885524
TI - cAMP-Dependent Protein Kinase and cGMP-Dependent Protein Kinase as Cyclic
Nucleotide Effectors.
AB - The cAMP-dependent protein kinase (PKA) and the cGMP-dependent protein kinase
(PKG) are homologous enzymes with different binding and activation specificities
for cyclic nucleotides. Both enzymes harbor conserved cyclic nucleotide-binding
(CNB) domains. Differences in amino acid composition of these CNB domains mediate
cyclic nucleotide selectivity in PKA and PKG, respectively. Recently, the
presence of the noncanonical cyclic nucleotides cCMP and cUMP in eukaryotic cells
has been proven, while the existence of cellular cIMP and cXMP remains unclear.
It was shown that the main effectors of cyclic nucleotide signaling, PKA and PKG,
can be activated by each of these noncanonical cyclic nucleotides. With unique
effector proteins still missing, such cross-activation effects might have
physiological relevance. Therefore, we approach PKA and PKG as cyclic nucleotide
effectors in this chapter. The focus of this chapter is the general cyclic
nucleotide-binding properties of both kinases as well as the selectivity for cAMP
or cGMP, respectively. Furthermore, we discuss the binding affinities and
activation potencies of noncanonical cyclic nucleotides.
PMID- 27885525
TI - Histamine H1 Receptor Gene Expression and Drug Action of Antihistamines.
AB - The upregulation mechanism of histamine H1 receptor through the activation of
protein kinase C-delta (PKCdelta) and the receptor gene expression was
discovered. Levels of histamine H1 receptor mRNA and IL-4 mRNA in nasal mucosa
were elevated by the provocation of nasal hypersensitivity model rats.
Pretreatment with antihistamines suppressed the elevation of mRNA levels. Scores
of nasal symptoms were correlatively alleviated to the suppression level of mRNAs
above. A correlation between scores of nasal symptoms and levels of histamine H1
receptor mRNA in the nasal mucosa was observed in patients with pollinosis. Both
scores of nasal symptoms and the level of histamine H1 receptor mRNA were
improved by prophylactic treatment of antihistamines. Similar to the
antihistamines, pretreatment with antiallergic natural medicines showed
alleviation of nasal symptoms with correlative suppression of gene expression in
nasal hypersensitivity model rats through the suppression of PKCdelta. Similar
effects of antihistamines and antiallergic natural medicines support that
histamine H1 receptor-mediated activation of histamine H1 receptor gene
expression is an important signaling pathway for the symptoms of allergic
diseases. Antihistamines with inverse agonist activity showed the suppression of
constitutive histamine H1 receptor gene expression, suggesting the advantage of
therapeutic effect.
PMID- 27885526
TI - Twelve-year follow-up of penetrating keratoplasty.
AB - PURPOSE: To evaluate the long-term outcomes of penetrating keratoplasty (PKP)
according to the corneal disease diagnosis and the number of PKP procedures
performed. METHODS: Five-hundred-and-nine eyes from 403 patients who underwent
PKP at Miyata Eye Hospital in Japan from 1998 through 2014, were included in this
study. Medical charts were retrospectively examined to ascertain the corneal
disease diagnosis and the period of graft survival. Graft survival rates were
compared among various corneal disease diagnoses and among the number of PKP
procedures performed. Changes in corneal endothelial cell density (ECD) were
analyzed using a mixed-effects model. The presence/absence of various risk
factors was compared between transparent grafts and failed grafts. RESULTS: The
overall rate of graft survival at 12 years was 60.4%. The rates of graft survival
in keratoconus was 100%, in corneal dystrophy 100%, in leukoma 70.8%, and in
bullous keratopathy 51.7%. The rates of graft survival at 12 years for the first
PKP was 65.4% and for the second PKP, 43.4% (p < 0.001). All cases of third PKP
and fourth PKP failed within 8 years. Preoperative mean ECD (95% confidence
interval) was 2722 (2666-2778) cells/mm2; it decreased exponentially after PKP.
Mean ECD was 659 (440-878) cells/mm2 at 10 years. Rejection, trauma, and
infection occurred significantly more frequently in failed grafts than in
transparent grafts. CONCLUSIONS: The long-term prognosis of PKP depends on the
original diagnosis. The long-term prognosis of re-grafting is worse than that of
primary grafts. Rejection, trauma, and infection are risk factors for graft
failure.
PMID- 27885528
TI - A network biology approach to understanding the importance of chameleon proteins
in human physiology and pathology.
AB - Chameleon proteins are proteins which include sequences that can adopt alpha
helix-beta-strand (HE-chameleon) or alpha-helix-coil (HC-chameleon) or beta
strand-coil (CE-chameleon) structures to operate their crucial biological
functions. In this study, using a network-based approach, we examined the
chameleon proteins to give a better knowledge on these proteins. We focused on
proteins with identical chameleon sequences with more than or equal to seven
residues long in different PDB entries, which adopt HE-chameleon, HC-chameleon,
and CE-chameleon structures in the same protein. One hundred and ninety-one human
chameleon proteins were identified via our in-house program. Then, protein
protein interaction (PPI) networks, Gene ontology (GO) enrichment, disease
network, and pathway enrichment analyses were performed for our derived data set.
We discovered that there are chameleon sequences which reside in protein-protein
interaction regions between two proteins critical for their dual function.
Analysis of the PPI networks for chameleon proteins introduced five hub proteins,
namely TP53, EGFR, HSP90AA1, PPARA, and HIF1A, which were presented in four PPI
clusters. The outcomes demonstrate that the chameleon regions are in critical
domains of these proteins and are important in the development and treatment of
human cancers. The present report is the first network-based functional study of
chameleon proteins using computational approaches and might provide a new
perspective for understanding the mechanisms of diseases helping us in developing
new medical therapies along with discovering new proteins with chameleon
properties which are highly important in cancer.
PMID- 27885527
TI - Insights into mortality patterns and causes of death through a process point of
view model.
AB - Process point of view (POV) models of mortality, such as the Strehler-Mildvan and
stochastic vitality models, represent death in terms of the loss of survival
capacity through challenges and dissipation. Drawing on hallmarks of aging, we
link these concepts to candidate biological mechanisms through a framework that
defines death as challenges to vitality where distal factors defined the age
evolution of vitality and proximal factors define the probability distribution of
challenges. To illustrate the process POV, we hypothesize that the immune system
is a mortality nexus, characterized by two vitality streams: increasing vitality
representing immune system development and immunosenescence representing vitality
dissipation. Proximal challenges define three mortality partitions: juvenile and
adult extrinsic mortalities and intrinsic adult mortality. Model parameters,
generated from Swedish mortality data (1751-2010), exhibit biologically
meaningful correspondences to economic, health and cause-of-death patterns. The
model characterizes the twentieth century epidemiological transition mainly as a
reduction in extrinsic mortality resulting from a shift from high magnitude
disease challenges on individuals at all vitality levels to low magnitude stress
challenges on low vitality individuals. Of secondary importance, intrinsic
mortality was described by a gradual reduction in the rate of loss of vitality
presumably resulting from reduction in the rate of immunosenescence. Extensions
and limitations of a distal/proximal framework for characterizing more explicit
causes of death, e.g. the young adult mortality hump or cancer in old age are
discussed.
PMID- 27885530
TI - Protein Secretion in Gram-Positive Bacteria: From Multiple Pathways to
Biotechnology.
AB - A number of Gram-positive bacteria are important players in industry as producers
of a diverse array of economically interesting metabolites and proteins. As
discussed in this overview, several Gram-positive bacteria are valuable hosts for
the production of heterologous proteins. In contrast to Gram-negative bacteria,
proteins secreted by Gram-positive bacteria are released into the culture medium
where conditions for correct folding are more appropriate, thus facilitating the
isolation and purification of active proteins. Although seven different protein
secretion pathways have been identified in Gram-positive bacteria, the majority
of heterologous proteins are produced via the general secretion or Sec pathway.
Not all proteins are equally well secreted, because heterologous protein
production often faces bottlenecks including hampered secretion, susceptibility
to proteases, secretion stress, and metabolic burden. These bottlenecks are
associated with reduced yields leading to non-marketable products. In this
chapter, besides a general overview of the different protein secretion pathways,
possible hurdles that may hinder efficient protein secretion are described and
attempts to improve yield are discussed including modification of components of
the Sec pathway. Attention is also paid to omics-based approaches that may offer
a more rational approach to optimize production of heterologous proteins.
PMID- 27885529
TI - Lack of Aquaporin 9 Reduces Brain Angiogenesis and Exaggerates Neuronal Loss in
the Hippocampus Following Intracranial Hemorrhage in Mice.
AB - Intracranial hemorrhage (ICH) is a common subtype of stroke with high morbidity
and mortality. However, few clinical therapies that can reduce ICH-induced brain
injury and promote the recovery outcome in ICH patients are available to improve
the recovery from ICH. Given that aquaporin 9 (AQP9) plays a critical role in
brain edema after ischemic stroke and traumatic brain injury and is involved in
the regulation of angiogenesis, we examined the role of AQP9 in preventing
neuronal loss and in neovascularization in the dorsal hippocampus (DH) after ICH.
We found that intra-DH collagenase-induced ICH increased AQP9 protein levels in
the hippocampus, which was associated with behavioral deficits in wild-type mice.
However, ICH robustly enhanced behavioral deficits in the AQP9-null mice, as
compared with the wild-type mice. Furthermore, neovascularization and
proliferation of brain microvascular endothelial cells following ICH were
severely impaired in the AQP9-null mice, as compared with the wild-type mice.
Finally, hippocampal neuronal loss following ICH became severer in the AQP9-null
mice, relative to the wild-type mice. Taken together, our findings indicated that
AQP9 in the brain may play a compensatory role in response to ICH, promote brain
angiogenesis, and prevent subsequent neuronal death, thus preventing the
deterioration of neurological outcome of ICH.
PMID- 27885531
TI - Evolution of Liver Steatosis Quantified by MR Imaging and MR Spectroscopy, in
Morbidly Obese Patients Undergoing Sleeve Gastrectomy: Short-Term Outcomes.
AB - BACKGROUND: Currently, the standard procedure used to evaluate hepatic steatosis
is the liver biopsy. This is an invasive practice that presents inherent risks.
Increasing evidence suggests that magnetic resonance imaging (MRI) and MR
spectroscopy (MRS) may represent an accurate method to determine the hepatic
lipid content. The aim of this study was to evaluate the effect of sleeve
gastrectomy on liver steatosis, quantified by MRI and MRS. PATIENTS AND METHODS:
A prospective observational study of patients undergoing laparoscopic sleeve
gastrectomy was performed. All patients underwent a MRI and a MRS study 2 weeks
before the intervention and 6 months after the surgery. Anthropometric,
biochemical, and radiological parameters were analyzed. RESULTS: Twenty-three
patients were included, 21 females and 2 males, with a mean age of 47.6 +/- 10.6
years and mean pre-op BMI 47.6 +/- 6.7 Kg/m2. Six months after surgery, mean BMI
was 32.2 +/- 5.1 Kg/m2, with a mean excess weight loss of 68.2 +/- 18.6%. Mean
preoperative hepatic volume was 1999.9 +/- 436.2 ml and 6 months after surgery it
decreased to 1568 +/- 170.3 ml (p = 0.005). Mean preoperative percentage of lipid
content was 14.2 +/- 15.4% and 6 months after surgery, it decreased to 4.3 +/-
3.2% (p = 0.007). A significant reduction of steatosis grade was observed, with
disappearance of preoperative steatosis in 54.9% of the patients. CONCLUSION: Six
months after sleeve gastrectomy, a significant reduction of liver steatosis is
observed, as demonstrated by reduction in the percentage of intrahepatocitary
lipids and liver volume, determined by MRS and MRI. These imaging techniques can
be considered as noninvasive, accurate methods for monitoring liver steatosis in
morbidly obese patients undergoing bariatric surgery.
PMID- 27885533
TI - The Yield and Validity of Preoperative Gastroscopy in Bariatric Surgery.
PMID- 27885532
TI - Whey Protein Supplementation Enhances Body Fat and Weight Loss in Women Long
After Bariatric Surgery: a Randomized Controlled Trial.
AB - BACKGROUND: The ideal nutritional approach for weight regain after bariatric
surgery remains unclear. OBJECTIVE: The objective of this study is to assess the
effect of whey protein supplementation on weight loss and body composition of
women who regained weight 24 or more months after bariatric surgery. METHODS:
This is a 16-week open-label, parallel-group, randomized controlled trial of
women who regained at least 5 % of their lowest postoperative weight after a Roux
en-Y gastric bypass (RYGB). A total of 34 participants were treated with
hypocaloric diet and randomized (1:1) to receive or not supplementation with whey
protein, 0.5 g/kg of the ideal body weight. The primary outcomes were changes in
body weight, fat free mass (FFM), and fat mass (FM), evaluated by tetrapolar
bioelectrical impedance analysis (BIA). Secondary outcomes included resting
energy expenditure, blood glucose, lipids, adiponectin, interleukin 6 (IL-6), and
cholecystokinin levels. Statistical analyses included generalized estimating
equations adjusted for age and physical activity. RESULTS: Fifteen patients in
each group were evaluated: mean age was 45 +/- 11 years, body mass index (BMI)
was 35.7 +/- 5.2 kg/m2, and time since surgery was 69 +/- 23 months. Protein
intake during follow-up increased by approximately 75 % in the intervention group
(p = 0.01). The intervention group presented more body weight loss (1.86 kg, p =
0.017), accounted for FM loss (2.78, p = 0.021) and no change in FFM, as compared
to controls (gain of 0.42 kg of body weight and 0.6 kg of FM). No differences in
secondary outcomes were observed between groups. CONCLUSIONS: Whey protein
supplementation promoted body weight and FM loss in women with long-term weight
regain following RYGB.
PMID- 27885534
TI - Zinc Deficiency after Gastric Bypass for Morbid Obesity: a Systematic Review.
AB - Up to 50% of patients have zinc deficiency before bariatric surgery. Roux-en-Y
gastric bypass (RYGB) is the commonest bariatric procedure worldwide. It can
further exacerbate zinc deficiency by reducing intake as well as absorption. The
British Obesity and Metabolic Surgery Society, therefore, recommends that zinc
level should be monitored routinely following gastric bypass. However, the
American guidance does not recommend such monitoring for all RYGB patients and
reserves it for patients with 'specific findings'. This review concludes that
clinically relevant Zn deficiency is rare after RYGB. Routine monitoring of zinc
levels is hence unnecessary for asymptomatic patients after RYGB and should be
reserved for patients with skin lesions, hair loss, pica, dysgeusia, hypogonadism
or erectile dysfunction in male patients, and unexplained iron deficiency
anaemia.
PMID- 27885535
TI - Preserved Fat-Free Mass after Gastric Bypass and Duodenal Switch.
AB - BACKGROUND: Concerns for the possibility of an excessive loss of fat-free mass
(FFM) and resting metabolic rate (RMR) after bariatric surgery, such as Roux-en-Y
gastric bypass (RYGB) and duodenal switch (BPD/DS), have been raised. OBJECTIVES:
This study aims to examine body composition and RMR in patients after RYGB and
BPD/DS and in non-operated controls. METHODS: Body composition and RMR were
studied with Bod Pod and indirect calorimetry in weight-stable RYGB (n = 15) and
BPD/DS patients (n = 12) and compared with non-operated controls (n = 17). All
patients were 30-55 years old and weight stable with BMI 28-35 kg/m2. RESULTS:
FFM% was 58% (RYGB), 61% (BPD/DS), and 58% (controls). Body composition did not
differ after RYGB and BPD/DS compared to controls, despite 27 and 40% total body
weight loss, respectively. No difference in RMR or RMR/FFM was observed (1539,
1617, and 1490 kcal/24 h; and 28.9, 28.4, and 28.8 kcal/24 h/kg). CONCLUSION:
Weight-stable patients with BMI 28-35 kg/m2 after RYGB and BPD/DS have a body
composition and RMR similar to that of non-operated individuals within the same
BMI interval.
PMID- 27885537
TI - Safety Analysis of Bariatric Patients Undergoing Outpatient Upper Endoscopy with
Non-Anesthesia Administered Propofol Sedation.
AB - BACKGROUND: Non-anesthesia administered propofol (NAAP) has been shown to be a
safe and effective method of sedation for patients undergoing gastrointestinal
endoscopy. Bariatric surgery patients are potentially at a higher risk for
sedation-related complications due to co-morbidities including obstructive sleep
apnea. The outcomes of NAAP in bariatric patients have not been previously
reported. METHODS: In this retrospective cohort study, severely obese patients
undergoing pre-surgical outpatient esophagogastroduodenoscopy (EGD) were compared
to non-obese control patients (BMI <= 25 kg/m2) undergoing diagnostic EGD at our
institution from March 2011-September 2015 using our endoscopy database.
Patients' demographics and procedural and recovery data, including any airway
interventions, were statistically analyzed. RESULTS: We included 130 consecutive
pre-operative bariatric surgical patients with average BMI 45.8 kg/m2 (range 34
80) and 265 control patients with average BMI 21.9 kg/m2 (range 14-25). The
severely obese group had a higher prevalence of sleep apnea (62 vs 8%; p <
0.001), experienced more oxygen desaturations (22 vs 7%; p < 0.001), and received
more chin lift maneuvers (20 vs 6%; p < 0.001). Advanced airway interventions
were rarely required in either group and were not more frequent in the bariatric
group. CONCLUSIONS: With appropriate training of endoscopy personnel, NAAP is a
safe method of sedation in severely obese patients undergoing outpatient upper
endoscopy.
PMID- 27885536
TI - Safety and Outcome of Laparoscopic Sleeve Gastrectomy Following Removal of
Adjustable Gastric Banding: Lessons from 109 Patients in a Single Center and
Review of the Literature.
AB - BACKGROUND: Although considered a common bariatric procedure, laparoscopic
adjustable gastric banding (LAGB) is associated with high rates of weight loss
failure and long-term complications. PURPOSE: The purpose of this study was to re
assess the safety and outcome of conversion of failed LAGB to laparoscopic sleeve
gastrectomy (LSG). MATERIALS AND METHODS: One hundred and nine patients underwent
conversion from LAGB to LSG (78 females, mean age 43 +/- 11.3 years, mean BMI
42.4 +/- 7.4 kg/m2). Patient demographics, obesity-related co-morbidities, BMI
before and after the procedure, post-operative complications, and length of
hospital stay were documented. RESULTS: All cases were completed laproscopically,
with 88% (n = 96) performed in a single stage. Fourteen patients developed early
post-operative complications (12.8%), including two leaks and three post
operative bleeding. There were no mortalities in this series. Average BMI at
least 1-year following surgery was 33 +/- 5.3 kg/m2 (excess weight loss (EWL) =
53.7%). CONCLUSIONS: Our data suggests that conversion of failed LAGB to LSG is
both safe and effective. Randomized controlled studies comparing conversion of a
failed LAGB to sleeve gastrectomy versus other bariatric operations are necessary
to clarify the optimal conversion procedure.
PMID- 27885539
TI - "PQRST": the shamrock method for lumbar plexus blocks.
PMID- 27885538
TI - Multi-institutional prospective feasibility study to explore tolerability and
efficacy of oral nutritional supplements for patients with gastric cancer
undergoing gastrectomy (CCOG1301).
AB - BACKGROUND: Postoperative malnutrition after gastrectomy is deemed inevitable,
which could have prejudicial influence on survival for gastric cancer patients. A
prospective feasibility study was conducted to evaluate the efficacy of
postoperative oral nutritional supplements. METHODS: Stage I-III gastric cancer
patients who underwent distal or total gastrectomy received oral administration
of Racol(r) NF (Otsuka Pharmaceutical Factory, Japan), a liquid enteral
nutritional formula, as a supplement to regular meals. Racol(r) NF administration
at a recommended dosage of 400 kcal/400 ml per day was started within 7 days
postoperatively and was continued for 3 months postoperatively. The primary end
point was ratio of the weight loss at 3 months postoperatively to the
preoperative body weight (body weight loss ratio). Secondary end points were the
adherence to Racol(r) NF therapy and changes in body composition. RESULTS: One
hundred eighteen patients were registered before surgery, 82 of whom were
eligible for efficacy analyses. The average rate of body weight loss after 3
months postoperatively was 8.3%. The mean daily intake of Racol(r) NF was 211 ml.
There was a significant correlation between adherence to Racol(r) NF therapy and
body weight loss ratio (P < 0.001). Adherence to Racol(r) NF therapy was the only
factor that correlated with the body weight loss ratio among all clinical
characteristics by the multiple linear regression analysis (P = 0.007).
CONCLUSIONS: Oral nutritional supplementation with Racol(r) NF led to a
significant reduction in body weight loss for gastrectomized patients who
tolerated more than 200 ml of the nutrient per day compared with those who could
not tolerate this amount.
PMID- 27885541
TI - Erratum to: Workplace Outcomes in Work-Disability Prevention Research: A Review
with Recommendations for Future Research.
PMID- 27885540
TI - Automatic abdominal multi-organ segmentation using deep convolutional neural
network and time-implicit level sets.
AB - PURPOSE: Multi-organ segmentation from CT images is an essential step for
computer-aided diagnosis and surgery planning. However, manual delineation of the
organs by radiologists is tedious, time-consuming and poorly reproducible.
Therefore, we propose a fully automatic method for the segmentation of multiple
organs from three-dimensional abdominal CT images. METHODS: The proposed method
employs deep fully convolutional neural networks (CNNs) for organ detection and
segmentation, which is further refined by a time-implicit multi-phase evolution
method. Firstly, a 3D CNN is trained to automatically localize and delineate the
organs of interest with a probability prediction map. The learned probability map
provides both subject-specific spatial priors and initialization for subsequent
fine segmentation. Then, for the refinement of the multi-organ segmentation,
image intensity models, probability priors as well as a disjoint region
constraint are incorporated into an unified energy functional. Finally, a novel
time-implicit multi-phase level-set algorithm is utilized to efficiently optimize
the proposed energy functional model. RESULTS: Our method has been evaluated on
140 abdominal CT scans for the segmentation of four organs (liver, spleen and
both kidneys). With respect to the ground truth, average Dice overlap ratios for
the liver, spleen and both kidneys are 96.0, 94.2 and 95.4%, respectively, and
average symmetric surface distance is less than 1.3 mm for all the segmented
organs. The computation time for a CT volume is 125 s in average. The achieved
accuracy compares well to state-of-the-art methods with much higher efficiency.
CONCLUSION: A fully automatic method for multi-organ segmentation from abdominal
CT images was developed and evaluated. The results demonstrated its potential in
clinical usage with high effectiveness, robustness and efficiency.
PMID- 27885543
TI - Realism and Impartiality: Making Sustainability Effective in Decision-Making.
AB - There is both individual and collective widespread concern in society about the
impact of human activity and the effects of our decisions on the physical and
social environment. This concern is included within the idea of sustainability.
The meaning of the concept is still ambiguous and its practical effectiveness
disputed. Like many other authors, this article uses as a starting point the
definition proposed by the World Commission on Environment and Development (Our
common future, Oxford University Press, Oxford, 1987), considering it to be a
proposal for changing the assessment of the effects of decisions, from at least
two perspectives: (1) what effects we should consider and (2) how we should
assess them. Based on this double perspective, sustainability is explored as a
method for decision-making which both expands the assessment of the consequences,
and also provides an objective criterion for such assessment. It will be argued
that the idea of sustainability, seen from this perspective, brings to decision
making two qualities which had been partially lost: realism and impartiality. In
turn, the criteria for realism and impartiality in decision-making can be used to
identify the limitations of some partial approaches to sustainability, which
suffer from insufficient realism (emotional altruism), insufficient impartiality
(tactical altruism) or both phenomena at once (egoism). The article concludes by
demonstrating how realism and impartiality provide the basis for a new form of
sustainable decision-making (ethical sustainability), which is dependent on the
development of two moral virtues, prudence and benevolence, and which brings
practical effectiveness and ethical sense to the concept of sustainability.
PMID- 27885544
TI - Do You Ignore Information Security in Your Journal Website?
AB - Nowadays, web-based applications extend to all businesses due to their advantages
and easy usability. The most important issue in web-based applications is
security. Due to their advantages, most academic journals are now using these
applications, with papers being submitted and published through their websites.
As these websites are resources for knowledge, information security is primary
for maintaining their integrity. In this opinion piece, we point out
vulnerabilities in certain websites and introduce the potential for future
threats. We intend to present how some journals are vulnerable and what will
happen if a journal can be infected by attackers. This opinion is not a technical
manual in information security, it is a short inspection that we did to improve
the security of academic journals.
PMID- 27885542
TI - A consensus statement on the gender perspective in lung cancer.
AB - Lung cancer is the most common cancer globally and has the highest mortality.
Although this disease is not associated with a particular gender, its incidence
is rising among women, who are diagnosed at an increasingly younger age compared
with men. One of the main reasons for this rise is women taking up smoking.
However, many non-smoking women also develop this disease. Other risk factors
implicated in the differential development of lung cancer in women are genetic
predisposition, tumour histology and molecular profile. Proportionally more women
than men with lung cancer have a mutation in the EGFR gene. This consensus
statement reviews the available evidence about the epidemiological, biological,
diagnostic, therapeutic, social and psychological aspects of lung cancer in
women.
PMID- 27885545
TI - Optimization of scan initiation timing after 11C-methionine administration for
the diagnosis of suspected recurrent brain tumors.
AB - OBJECTIVE: 11C-Methionine (MET) positron emission tomography (PET) imaging is a
valuable technique for the evaluation of primary and recurrent brain tumors. Many
studies have used MET-PET for data acquisition starting at 20 min after the
tracer injection, while others have used scan initiation times at 5-15 min
postinjection. No previous studies have identified the best acquisition timing
during MET-PET imaging for suspected recurrent brain tumors. Here we sought to
determine the optimal scan initiating timing after MET administration for the
detection of recurrent brain tumors. MATERIALS AND METHODS: Twenty-three
consecutive patients with suspected recurrent brain tumors underwent MET-PET
examinations. Brain PET images were reconstructed from the four serial data sets
(10-15, 15-20, 20-25, and 25-30 min postinjection) that were obtained using the
list-mode acquisition technique. We determined the maximal standardized uptake
values (SUVmax) of the target lesions and the target-to-normal-tissue ratios
(TNRs), calculated as the SUVmax to the SUVmean of a region of interest placed on
the normal contralateral frontal cortex. Target lesions without significant MET
uptake were excluded. RESULTS: Thirty-one lesions from 23 patients were enrolled.
There were no significant differences in MET SUVmax or TNR values among the PET
images that were reconstructed with the data extracted from the four phases
postinjection. CONCLUSION: The MET uptake in the suspected recurrent brain tumors
was comparable among all data extraction time phases from 10 to 30 min
postinjection. The scan initiation time of MET-PET at 10 min after the injection
is allowable for the detection of recurrent brain tumors. The registration
identification number of the original study is 1002.
PMID- 27885546
TI - Target-specific NMR detection of protein-ligand interactions with antibody
relayed 15N-group selective STD.
AB - Fragment-based drug design has been successfully applied to challenging targets
where the detection of the weak protein-ligand interactions is a key element. 1H
saturation transfer difference (STD) NMR spectroscopy is a powerful technique for
this work but it requires pure homogeneous proteins as targets. Monoclonal
antibody (mAb)-relayed 15N-GS STD spectroscopy has been developed to resolve the
problem of protein mixtures and impure proteins. A 15N-labelled target-specific
mAb is selectively irradiated and the saturation is relayed through the target to
the ligand. Tests on the anti-Gal-1 mAb/Gal-1/lactose system showed that the
approach is experimentally feasible in a reasonable time frame. This method
allows detection and identification of binding molecules directly from a protein
mixture in a multicomponent system.
PMID- 27885548
TI - Health-related quality of life in long-term survivors of acute lymphoblastic
leukemia in childhood and adolescence.
AB - PURPOSE: Children with acute lymphoblastic leukemia (ALL), the commonest form of
cancer in this age group, suffer considerable morbidity during treatment, with
the majority returning to good health soon after therapy has been completed, as
reflected in health-related quality of life (HRQL). However, survivors are at
risk of many adverse health outcomes later, including obesity, measured by body
mass index (BMI), that is compounded by limited physical activity. This study
examined the HRQL of long-term survivors of ALL and its relationship to BMI and
physical activity. METHODS: A cohort of 75 subjects who were more than 10 years
from diagnosis was assessed for BMI (weight in kg/height in m2) and completed two
questionnaires. HRQL was measured by the multi-attribute, preference-based Health
Utilities Index (HUI) instrument HUI23S4.15Q designed for self-report, and
physical activity was quantified by the Habitual Activity Estimation Scale.
RESULTS: The mean utility scores for overall HRQL (HUI2 = 0.88, HUI3 = 0.83) were
similar to those in the Canadian and US general population segments of equivalent
age (HUI2 = 0.86, HUI3 = 0.85). However, the minimum scores (HUI2 = 0.23, HUI3 =
0.09) revealed a group of survivors with notable disabilities in the attributes
of hearing, emotion, cognition, and pain. There were no statistically significant
correlations between HRQL and BMI or between HRQL and physical activity, except
for deafness and inactivity on weekdays. CONCLUSIONS: Overall, long-term
survivors of ALL in childhood enjoy good HRQL but some experience appreciable
disability, though this is not associated with BMI or, in the main, with physical
activity.
PMID- 27885547
TI - Identifying High Ability Children with DSM-5 Autism Spectrum or Social
Communication Disorder: Performance on Autism Diagnostic Instruments.
AB - This study was a replication of Mazefsky et al.'s (Journal of Autism and
Developmental Disabilities 43:1236-1242, 2013) investigation among a sample of 45
high ability children and adolescents diagnosed with ASD under DSM-IV-TR. Items
from the ADOS and ADI-R were mapped onto DSM-5 diagnostic criteria for ASD and
SCD to determine whether participants would meet either diagnosis under DSM-5. If
the ADOS were administered alone, 62% of individuals diagnosed with ASD would no
longer meet criteria under DSM-5; however, when the ADI-R and ADOS scores were
combined, 100% of individuals would continue to meet ASD diagnosis. The ADOS was
determined to be an insufficient measure for SCD due to the small number of
algorithm items measuring SCD diagnostic criteria, suggesting the development of
SCD measures is required.
PMID- 27885549
TI - Memory Reconsolidation.
AB - Scientific advances in the last decades uncovered that memory is not a stable,
fixed entity. Apparently stable memories may become transiently labile and
susceptible to modifications when retrieved due to the process of
reconsolidation. Here, we review the initial evidence and the logic on which
reconsolidation theory is based, the wide range of conditions in which it has
been reported and recent findings further revealing the fascinating nature of
this process. Special focus is given to conceptual issues of when and why
reconsolidation happen and its possible outcomes. Last, we discuss the potential
clinical implications of memory modifications by reconsolidation.
PMID- 27885551
TI - Condomless Sex Among Homeless Youth: The Role of Multidimensional Social Norms
and Gender.
AB - Most studies of condomless sex among homeless youth have focused on peer norms,
while excluding other potentially pertinent influences. This study explored how
different types of relationships contributed to norms about condomless sex and
whether such norms were associated with engagement in condomless sex among
homeless youth. Additionally, because recent work has noted gender differences in
social networks of male and female homeless youth, gender differences in social
network norms of condomless sex were also assessed. Egocentric network data were
collected from homeless youth accessing services at two drop-in centers in Los
Angeles, CA (N = 976). Multivariate analyses (non-stratified and stratified by
gender) assessed associations between descriptive, injunctive, and communicative
norms and participants' engagement in condomless sex. Multivariate analyses
indicated that perception of peer condom use and communication with sexual
partners were significantly associated with not engaging in condomless sex. These
relationships, however, varied by gender. Implications for interventions are
discussed.
PMID- 27885552
TI - PrEP Awareness, Familiarity, Comfort, and Prescribing Experience among US Primary
Care Providers and HIV Specialists.
AB - HIV pre-exposure prophylaxis (PrEP) was FDA approved in 2012, but uptake remains
low. To characterize what would facilitate health care providers' increased PrEP
prescribing, we conducted a 10-city, online survey of 525 primary care providers
(PCPs) and HIV providers (HIVPs) to assess awareness, knowledge, and experience
with prescribing PrEP; and, comfort with and barriers to PrEP-related activities.
Fewer PCPs than HIVPs had heard of PrEP (76 vs 98%), felt familiar with
prescribing PrEP (28 vs. 76%), or had prescribed it (17 vs. 64%). PCPs were less
comfortable than HIVPs with PrEP-related activities such as discussing sexual
activities (75 vs. 94%), testing for acute HIV (83 vs. 98%), or delivering a new
HIV diagnosis (80 vs. 95%). PCPs most frequently identified limited knowledge
about PrEP and concerns about insurance coverage as prescribing barriers. PCPs
and HIVPs differ in needs that will facilitate their PrEP prescribing. Efforts to
increase PrEP uptake will require interventions to increase the knowledge,
comfort, and skills of providers to prescribe PrEP.
PMID- 27885553
TI - Biosimilars for the Treatment of Chronic Inflammatory Diseases: A Systematic
Review of Published Evidence.
AB - BACKGROUND: Clinicians are required to assimilate, critically evaluate, and
extrapolate information to support appropriate use of biosimilars across
indications. OBJECTIVES: The objective of this study was to systematically
collate all published data in order to assess the weight (quantity and quality)
of available evidence for each molecule and inform and support healthcare
decision-making in chronic inflammatory diseases. METHODS: MEDLINE(r), EMBASE(r),
and ISI Web of Science(r) were searched to September 2015. Selected conference
proceedings were searched from 2012 to July 2015. Studies disclosing biosimilars
with unique identifiers were categorized by originator, study type, and
indication. Risk of bias assessments were performed. Intended copies were
differentiated as commercially available agents without evidence of rigorous
comparative biosimilarity evaluations. RESULTS: Proposed biosimilars for
adalimumab, etanercept, infliximab, and rituximab are reported in the published
literature. Across indications, approved biosimilars infliximab CT-P13, SB2, and
etanercept SB4 have published studies involving the largest number of patients or
healthy subjects (n = 1405, 743, and 734, respectively), mostly in rheumatoid
arthritis. At data cut-off, only CT-P13 had published data in ankylosing
spondylitis (n = 250; randomized control trial) and ulcerative colitis/Crohn's
disease (n = 336; observational studies). Published data were not available for
ongoing studies in psoriasis patients. Four intended copies were identified in
published studies (total: n = 1430; n = 1372 in observational studies). Thematic
analysis of non-empirical publications showed that indication extrapolation
remains an issue, particularly for gastroenterologists. CONCLUSIONS: While most
agents display a moderate to high degree of similarity to their originator in the
published studies identified, large discrepancies persist in the overall amount
and type of data available in the public domain. Significant gaps exist
particularly for intended copies, reinforcing the need to maintain a clear
differentiation between these molecules and true biosimilars.
PMID- 27885554
TI - Short-term effects of a green coffee extract-, Garcinia c ambogia- and L
carnitine-containing chewing gum on snack intake and appetite regulation.
AB - INTRODUCTION: Different studies have assessed the influence of chewing gum to aid
control of appetite and reduce food intake. PURPOSE: The aims of the present
study were to evaluate the effects of chewing gum on satiety, food hedonics and
snack intake and to explore the potential effects of the combination of Garcinia
c ambogia, green coffee extract and L-carnitine on satiety, when administered in
a gum format. METHODS: This was a prospective study in which 57 subjects randomly
received three kinds of treatments, in a crossover design: (1) active gum; (2)
placebo gum; and (3) no gum. Food preferences and appetite sensations were
evaluated by means of the Leeds Food Preference Questionnaire and visual analog
scales. RESULTS: There was a significant reduction in low-fat sweet snack intake
with placebo gum and the active gum compared to no gum and a reduction in high
fat sweet snack intake with the active gum compared to placebo gum and no gum.
Total caloric intake was only reduced in the active gum condition. Both the
active and placebo gum conditions significantly reduced hunger and prospective
food consumption and increased fullness compared to no gum and were associated
with a reduced wanting for sweet food in the LFPQ, consistent in a reduction in
the relative preference for sweet snacks versus savoury snacks. CONCLUSION: This
study supports the notion that chewing gum containing nutraceutical products
might aid in the control over snack intake and reduce hunger sensations.
PMID- 27885556
TI - Influence of Selenium on the Production of T-2 Toxin by Fusarium poae.
AB - The objective of this study was to investigate the effects of selenium on the
production of T-2 toxin by a Fusarium poae strain cultured in a synthetic medium
containing different concentrations of selenium. The T-2 toxin contents in
fermentative products were evaluated by a high performance liquid chromatography
(HPLC). The results showed that the production of T-2 toxin was correlated with
the concentration of selenium added to the medium. In all three treatments, the
addition of 1 mg/L selenium to the medium resulted in a lower toxin yield than
the control (0 mg/L); the yield of the toxin began to increase when selenium
concentration was 10 mg/L, while it decreased again at 20 mg/L. In summary, T-2
toxin yield in the fermentative product was affected by the addition of selenium
to the medium, and a selenium concentration of 20 mg/L produced the maximum
inhibitory effect of T-2 toxin yield in the fermentative product of F. poae.
PMID- 27885557
TI - Isolation of Paenibacillus tumbae sp. nov., from the tomb of the emperor Yang of
the Sui dynasty, and emended description of the genus Paenibacillus.
AB - A novel strain, designated strain CSA42T, was isolated from the tomb of emperor
Yang of Sui in Yangzhou, Jiangsu province, China. Strain CSA42T was observed to
be Gram-stain positive, strictly aerobic, rod-shaped, spore-forming and motile.
The optimum conditions for growth were found to be 30 degrees C, pH 8.0 and
without NaCl. Phylogenetic analysis, based on 16S rRNA gene sequences, revealed
strain CSA42T to be closely related to Paenibacillus larvae DSM 7030T (94.7%),
Paenibacillus doosanensis CAU 1055T (94.4%) and Paenibacillus gansuensis B518T
(94.2%). The major cellular fatty acids were identified as anteiso-C15:0, anteiso
C17:0 and iso-C16:0. MK-8 was found to be the only respiratory quinone. The polar
lipids were found to be comprised of diphosphatidylglycerol,
phosphatidylethanolamine, phosphatidylglycerol and two aminophospholipids. The
cell wall peptidoglycan was found to contain meso-diaminopimelic acid and ribose
as the only whole cell sugar. The genomic G+C content of strain CSA42T was
determined to be 47.6 mol%. The low DNA-DNA relatedness values between strain
CSA42T and the reference strain P. larvae KACC 11540T and many phenotypic
properties support the classification of strain CSA42T (=KACC 18941T =CCTCC AB
2016201T) as the type strain of a novel species of the genus Paenibacillus, for
which the name Paenibacillus tumbae sp. nov. is proposed. An emended description
of the genus Paenibacillus based on the new data is also given.
PMID- 27885555
TI - Meat intake, cooking methods and doneness and risk of colorectal tumours in the
Spanish multicase-control study (MCC-Spain).
AB - PURPOSE: Although there is convincing evidence that red and processed meat intake
increases the risk of colorectal cancer (CRC), the potential role of meat cooking
practices has not been established yet and could partly explain the current
heterogeneity of results among studies. Therefore, we aimed to investigate the
association between meat consumption and cooking practices and the risk of CRC in
a population-based case-control study. METHODS: A total of 1671 CRC cases and
3095 controls recruited in Spain between September 2008 and December 2013
completing a food frequency questionnaire with a meat-specific module were
included in the analyses. Odds ratios (OR) and confidence intervals (CI) were
estimated by logistic regression models adjusted for known confounders. RESULTS:
Total meat intake was associated with increased risk of CRC (OR T3-T1 1.41; 95%
CI 1.19-1.67; p trend < 0.001), and similar associations were found for white,
red and processed/cured/organ meat. Rare-cooked meat preference was associated
with low risk of CRC in red meat (ORrare vs. medium 0.66; 95% CI 0.51-0.85) and
total meat (ORrare vs. medium 0.56; 95% CI 0.37-0.86) consumers, these
associations being stronger in women than in men. Griddle-grilled/barbecued meat
was associated with an increased CRC risk (total meat: OR 1.45; 95% CI 1.13
1.87). Stewing (OR 1.25; 95% CI 1.04-1.51) and oven-baking (OR 1.18; 95% CI 1.00
1.40) were associated with increased CRC risk of white, but not red, meat.
CONCLUSIONS: Our study supports an association of white, red,
processed/cured/organ and total meat intake with an increased risk of CRC.
Moreover, our study showed that cooking practices can modulate such risk.
PMID- 27885559
TI - Erratum to: Synergistic effect of programmed cell death protein 1 blockade and
secondary lymphoid tissue chemokine in the induction of anti-tumor immunity by a
therapeutic cancer vaccine.
PMID- 27885558
TI - Aeromonas and Plesiomonas species from scarlet ibis (Eudocimus ruber) and their
environment: monitoring antimicrobial susceptibility and virulence.
AB - The present study aimed at evaluating the role of captive scarlet ibises
(Eudocimus ruber) and their environment as reservoirs of Aeromonas spp. and
Plesiomonas spp., and analyzing the in vitro antimicrobial susceptibility and
virulence of the recovered bacterial isolates. Thus, non-lactose and weak-lactose
fermenting, oxidase positive Gram-negative bacilli were recovered from cloacal
samples (n = 30) of scarlet ibises kept in a conservational facility and from
water samples (n = 30) from their environment. Then, the antimicrobial
susceptibility, hemolytic activity and biofilm production of the recovered
Aeromonas spp. and Plesiomonas shigelloides strains were assessed. In addition,
the virulence-associated genes of Aeromonas spp. were detected. Ten Aeromonas
veronii bv. sobria, 2 Aeromonas hydrophila complex and 10 P. shigelloides were
recovered. Intermediate susceptibility to piperacillin-tazobactam and cefepime
was observed in 2 Aeromonas spp. and 1 P. shigelloides, respectively, and
resistance to gentamicin was observed in 4 P. shigelloides. The automated
susceptibility analysis revealed resistance to piperacillin-tazobactam and
meropenem among Aeromonas spp. and intermediate susceptibility to gentamicin
among P. shigelloides. All Aeromonas isolates presented hemolytic activity, while
3 P. shigelloides were non-hemolytic. All Aeromonas spp. and 3/10 P. shigelloides
were biofilm-producers, at 28 degrees C, while 10 Aeromonas spp. and 6/10 P.
shigelloides produced biofilms, at 37 degrees C. The most prevalent virulence
genes of Aeromonas spp. were asa1 and ascV. Scarlet ibises and their environment
harbour potentially pathogenic bacteria, thus requiring monitoring and measures
to prevent contamination of humans and other animals.
PMID- 27885560
TI - Expression of human endogenous retrovirus K and W in babies.
AB - Here we determined the relative expression of HERV-K and W proviruses in HIV
infected and non-infected mothers as well as their respective babies up to 1 year
old. HIV-infected mothers, their babies and uninfected control groups presented
expression of both HERV-K and HERV-W with relatively high frequency. While the
level of HERV-K expression was similar among groups, the level of HERV-W
expression in HIV-infected mothers was four-fold higher than the uninfected
mothers from the control group (p < 0.01). HERV-W was down regulated in HIV
exposed babies in comparison to non-exposed babies. To our knowledge, this is the
first report of HERV transcriptional activity in babies from 0-1 year-old.
PMID- 27885562
TI - Respiratory syncytial virus and influenza are the key viral pathogens in children
<2 years hospitalized with bronchiolitis and pneumonia in Islamabad Pakistan.
AB - Pneumonia remains a leading cause of morbidity and mortality in developing
countries. Comprehensive surveillance data are needed to review the prevention
and control strategies. We conducted active surveillance of acute lower
respiratory infections among children aged <2 years hospitalized at two hospitals
of Islamabad, Pakistan. Viral etiology was determined using real-time PCR on
respiratory specimens collected during March 2011-April 2012. The overall mean
age was 7.83 +/- 5.25 months while no statistical difference between age or sex
distribution of patients with positive and negative viral etiology (p > 0.05).
The average weight of the study group was 6.1 +/- 2.25 kg. >=1 viral pathogens
were detected in 75% cases. Major respiratory viruses included RSV-A: 44%, RSV-B:
23%, Influenza-A: 24.5%, Influenza-B: 7%, Adenovirus: 8.4% and HmPV: 5.2%. A
single, dual or multiple viral pathogens were detected in 43%, 27% and 5.2%
patients respectively. Common symptoms were cough (95%), apnoea (84%), fever
(78%), wheeze (64.5%), nasal congestion (55%) and rhinorrhea (48%). Among the RSV
positive cases, 2-6 months age group had highest detection rate for RSV-A (30%, n
= 21/69) and RSV-B (20%, n = 14/69) while patients infected with Influenza-A were
in 2.1-6 months age group (61%, 23/38). Statistically significant difference was
observed between RSV-positive and negative cases for nutrition status (p =
0.001), cigarette/wood smoke exposure (p = 0.001) and concomitant clinical
findings. Most patients had successful outcome on combination therapy with
bronchodilators, inhaled steroids and antibiotics. Our findings underscore high
burden of ALRI in Pakistan. Interventions targeting viral pathogens coupled with
improved diagnostic approaches are critical for better prevention and control.
PMID- 27885561
TI - Outbreak of severe pseudorabies virus infection in pig-offal-fed farmed mink in
Liaoning Province, China.
AB - An outbreak of severe pseudorabies virus (PRV) infection in farmed mink occurred
in northern China in late 2014, causing significant economic losses in the local
fur industry. Here, we report the first case of a PRV outbreak in mink in
northeastern China, caused by feeding farmed mink with raw pork or organs
contaminated by PRV. Mink infected with virulent PRV exhibited diarrhea,
neurologic signs, and higher mortality, which can be misdiagnosed as highly
pathogenic mink enteritis virus (MEV), canine distemper virus (CDV), and food
poisoning. However, these were excluded as causative agents by PCR or bacteria
isolation. The duration of disease was 3-7 days, and the mortality rate was 80
90%. PRV was characterized using indirect immunofluorescence assays (IFA) and
electron microscopy (EM). Phylogenetic analysis based on full-length genome
sequences and those of individual genes of this novel virus strain showed that it
clustered in an independent branch with several other PRV isolates from China.
PMID- 27885563
TI - Quasispecies composition and diversity do not reveal any predictors for chronic
classical swine fever virus infection.
AB - Classical swine fever (CSF) can run acute, chronic, and prenatal courses in both
domestic pigs and wild boar. Although chronic infections are rare events, their
epidemiological impact is very high due to the long-term shedding of virus. So
far, little is known about the factors that influence disease course and outcome
from either the host or virus's perspective. To elucidate the viral determinants,
we analyzed the role of the viral populations for the development of chronic CSF
virus (CSFV) infections. Three different animal trials that had led to both
chronic and acute infections were chosen for a detailed analysis by deep
sequencing. The three inocula represented sub-genogroups 2.1 and 2.3, and two
viruses were wild-type CSFV, one derived from an infectious cDNA clone. These
viruses and samples derived from acutely and chronically infected animals were
subjected to next-generation sequencing. Subsequently, the derived full-length
genomes were compared at both the consensus and the quasispecies level. At
consensus level, no differences were observed between the parental viruses and
the viruses obtained from chronically infected animals. Despite a considerable
level of variability at the quasispecies level, no indications were found for any
predictive pattern with regard to the chronicity of the CSFV infections. While
there might be no direct marker for chronicity, moderate virulence of some CSFV
strains in itself seems to be a crucial prerequisite for the establishment of
long-term infections which does not need further genetic adaption. Thus, general
host and virus factors need further investigation.
PMID- 27885550
TI - Neural Activity Patterns Underlying Spatial Coding in the Hippocampus.
AB - The hippocampus is well known as a central site for memory processing-critical
for storing and later retrieving the experiences events of daily life so they can
be used to shape future behavior. Much of what we know about the physiology
underlying hippocampal function comes from spatial navigation studies in rodents,
which have allowed great strides in understanding how the hippocampus represents
experience at the cellular level. However, it remains a challenge to reconcile
our knowledge of spatial encoding in the hippocampus with its demonstrated role
in memory-dependent tasks in both humans and other animals. Moreover, our
understanding of how networks of neurons coordinate their activity within and
across hippocampal subregions to enable the encoding, consolidation, and
retrieval of memories is incomplete. In this chapter, we explore how information
may be represented at the cellular level and processed via coordinated patterns
of activity throughout the subregions of the hippocampal network.
PMID- 27885564
TI - Hepatitis E virus infection in patients on dialysis and in solid organ transplant
recipients in Argentina: exploring associated risk factors.
AB - Infection with hepatitis E virus (HEV) leads to acute hepatitis infection in
immunocompetent hosts. HEV genotype 3 can present with high frequency and lead to
chronic infection in individuals with a compromised immune system. The risk
factors related to increased seroprevalence or chronicity in this population are
not entirely understood. Moreover, most studies addressing risk factors for HEV
in non-endemic areas come from developed areas such as North America and Europe.
In this study we evaluated seroprevalence, chronicity and risk factors for HEV in
120 transplant recipients and 88 patients on dialysis in Argentina. We found a
significantly higher seroprevalence of HEV IgG in those undergoing dialysis
compared with healthy controls (10.2% and 4.3% respectively, p = 0.03). No
difference in HEV seroprevalence was observed between healthy controls and
transplant recipients (5.8%). We found no association between previously
identified risk factors for HEV, such as pork consumption or use of tacrolimus,
and HEV seroprevalence. In univariate and multivariate analyses, consumption of
fish was associated with higher seroprevalence of HEV (OR = 9.33; 95% CI: 2.07
42.2; p = 0.04). None of the samples showed HEV RNA amplification, indicating
that chronicity does not seem to be an issue in these cohorts. Our results show
increased seroprevalence of HEV in individuals undergoing dialysis but not in
transplant recipients. We also found that fish consumption can be a potential
risk factor for acquiring HEV.
PMID- 27885565
TI - Analysis of bacterial, fungal and archaeal populations from a municipal
wastewater treatment plant developing an innovative aerobic granular sludge
process.
AB - Mature granules and flocs from aerobic sludge were collected from the wastewater
treatment plant (WWTP) treating both municipal and industrial effluents in
Haining city China. This plant has been operating under conditions favoring
aerobic granular sludge formation, for over 3 years, suggesting that the
granules, which stably retained under changing effluent conditions, may contain
unique microbial populations. Microbial analysis indicated that the granular
sludge was primarily composed of Planctomycetes, Proteobacteria and Bacteroidetes
from the bacterial phyla. Interestingly, microbial communities were also observed
to be stratified between the structural features of the sludge. For example,
Euryarchaeota was found to make up the majority of the archaea found in the
granules while Methanosaeta was dominant in the flocs. Additionally, granules
were found to contain, 34 phyla and 222 genera of bacteria, 4 phyla and 13 genera
of fungi, and 2 phyla and 17 genera of archaea. While flocs contained, 32 phyla
and 203 genera of bacteria, 6 phyla and 26 genera of fungi, and 2 phyla and 12
genera of archaea. This biodiversity signifying a preservation of bacterial and
archaeal population in granules, and fungal populations in flocs may result from
the sedimentary characteristics of the granules. This suggests microbes uniquely
associated in the granules are playing a key role in structure formation and
stability of the granular ecosystem, which is maintained by the longer sludge
retention time.
PMID- 27885566
TI - Vertical zonation of soil fungal community structure in a Korean pine forest on
Changbai Mountain, China.
AB - Changbai Mountain, with intact montane vertical vegetation belts, is located at a
sensitive area of global climate change and a central distribution area of Korean
pine forest. Broad-leaved Korean pine mixed forest (Pinus koraiensis as an
edificator) is the most representative zonal climax vegetation in the humid
region of northeastern China; their vertical zonation is the most intact and
representative on Changbai Mountain. In this study, we analyzed the composition
and diversity of soil fungal communities in the Korean pine forest on Changbai
Mountain at elevations ranging from 699 to 1177 m using Illumina High-throughput
sequencing. We obtained a total 186,663 optimized sequences, with an average
length of 268.81 bp. We found soil fungal diversity index was decreased with
increasing elevation from 699 to 937 m and began to rise after reaching 1044 m;
the richness and evenness indices were decreased with an increase in elevation.
Soil fungal compositions at the phylum, class and genus levels varied
significantly at different elevations, but with the same dominant fungi. Beta
diversity analysis indicated that the similarity of fungal communities decreased
with an increased vertical distance between the sample plots, showing a distance
decay relationship. Variation partition analysis showed that geographic distance
(mainly elevation gradient) only explained 20.53 % of the total variation of
fungal community structure, while soil physicochemical factors explained 69.78 %.
PMID- 27885567
TI - Biodegradation of feather waste keratin by a keratinolytic soil fungus of the
genus Chrysosporium and statistical optimization of feather mass loss.
AB - This paper assesses the ability of strains of Aphanoascus fulvescens and
Chrysosporium articulatum isolated from soil (phaesol) to degrade native feather
keratin. Strains were identified based on phenotypic traits and nucleotide
sequencing. Response Surface Methodology was used to optimize cultivation
conditions exhibiting the highest keratinolytic activity. The experiments were
based on Box-Behnken designs for the loss of substrate mass (chicken feathers).
While substrate mass loss is an "economic coefficient" that reliably indicates
feather keratin degradation, it has not been studied before. Stationary liquid
cultures of five selected strains were conducted in laboratory conditions at 28
degrees C using poultry feathers (1 g) as the sole source of carbon, nitrogen and
energy. Enzymatic activities, keratin mineralization products and substrate mass
loss were determined periodically. The mineralization of keratin proteins by
strains yielded a high number of ammonium ions alkalinizing the medium. Increased
ammonium ions inhibited the activity of caseinian protease and keratinase. A
decrease in the concentration of these ions induced proteolytic enzymes, chiefly
the activity of keratinase, at the end of fungal cultivation. Keratinase activity
was related to protein- and peptide release and that of caseinian protease to
sulfate ions. The highest loss of substrate mass in comparison to the reference
strain CBS104.62 (35.4%) was recorded for Aphanoascus fulvescens B21/4-5 (65.9%).
Based on a Box-Behnken design, the maximum loss of substrate mass for the
Aphanoascus fulvescens strain (71.08%) can be achieved at pH 7.58 and temperature
28.7 degrees C.
PMID- 27885568
TI - Massive internal jugular vein tumor thrombus derived from squamous cell carcinoma
of the head and neck: two case reports.
AB - PURPOSE: Tumor thrombosis of the internal jugular vein (IJV) is an extremely rare
disease, and the reported cases have been exclusively associated with
differentiated thyroid cancer. In the present study, we describe two cases of IJV
tumor thrombosis originated from squamous cell carcinoma (SCC), which is the
first case report. METHODS: Case 1 was a 67-year-old man diagnosed with advanced
supraglottic SCC with a massive tumor thrombus in the IJV. He was treated with
bio-radiotherapy followed by radical surgery. Case 2 was a 65-year-old woman who
underwent radical surgery for SCC of thyroid with tumor thrombosis in the IJV.
RESULTS: These cases rapidly developed local recurrences and distant metastases
and died within 10 months after surgery. CONCLUSIONS: IJV tumor thrombosis
originated from SCC apparently reflects extremely aggressive state of the tumor.
Recognition and precaution to this condition is essential for the development of
a clinically effective treatment strategy.
PMID- 27885569
TI - Delayed retrieval of a displaced maxillary third molar from infratemporal space
via trans-sinusoidal approach: a case report and the review of the literature.
AB - AIM: The aim of this case report is to present the trans-sinusoidal pathway used
to remove a displaced maxillary third molar from the infratemporal fossa and
review the English literature regarding the techniques used. CASE REPORT: A 21
year-old male patient was referred with the findings of an oroantral fistula on
the left maxillary vestibular first molar region and slight restriction of mouth
opening. The patient underwent a maxillary sinus surgery in order to remove a
sinus retention cyst via Caldwell-Luc access in a dental clinic 4 years ago. A
computerized tomography scan showed the inverted third molar to be located in the
infratemporal fossa, just between zygomatic arch and lateral pterygoid plate. The
tooth was accessed through the remaining lateral bone defect from the Caldwell
Luc approach of the lateral sinus wall. The bone defect was extended. The
posterior bony wall of the maxillary sinus was removed via a surgical burr. After
that, the displaced tooth was exposed. The tooth was mobilized via Warwick James
elevator downwards and removed with a forceps. CONCLUSION: Access for surgical
removal of the tooth from the infratemporal fossa is not only difficult but also
has potential for morbidity due to the structures running through it. Wide
incision in the maxillary sulcus and blunt dissection are reported with lower
success rates and usually necessitate a second intervention via extraoral route.
Trans-sinusoidal approach might be an old fashioned but relatively successfully
attempt in the removal of the upper third molars from the infratemporal fossa.
Considering the time of removal, if no symptoms were present, it is beneficial to
wait for a couple of weeks thus facilitating development of fibrous surrounding
around the tooth.
PMID- 27885570
TI - Neo-Darwinists and Neo-Aristotelians: how to talk about natural purpose.
AB - This paper examines the points of disagreement between Neo-Darwinian and recent
Neo-Aristotelian discussions of the status of purposive language in biology. I
discuss recent Neo-Darwinian "evolutionary" treatments and distinguish three ways
to deal with the philosophical status of teleological language of purpose:
teleological error theory, methodological teleology, and Darwinian teleological
realism. I then show how "non-evolutionary" Neo-Aristotelian approaches in the
work of Michael Thompson and Philippa Foot differ from these by offering a view
of purposiveness grounded in life-cycle patterns, rather than in long-term
evolutionary processes or natural selection. Finally, I argue that the crucial
difference between Neo-Darwinian and Neo-Aristotelian approaches regards the
question of whether or not reproduction deserves the status of an "ultimate" aim
of organisms. I offer reasons to reject the concept of an "ultimate" aim in
evolutionary biology and to reject the notion that reproduction serves a purpose.
I argue that evolutionary biology is not in the position to determine what the
"ultimate" explanation of natural purpose is.
PMID- 27885571
TI - ?
PMID- 27885572
TI - Improving tribological and anti-bacterial properties of titanium external
fixation pins through surface ceramic conversion.
AB - In this study, an advanced ceramic conversion surface engineering technology has
been applied for the first time to self-drilling Ti6Al4V external fixation pins
to improve their performance in terms of biomechanical, bio-tribological and
antibacterial properties. Systematic characterisation of the ceramic conversion
treated Ti pins was carried out using Scanning electron microscope, X-ray
diffraction, Glow-discharge optical emission spectroscopy, nano- and micro
indentation and scratching; the biomechanical and bio-tribological properties of
the surface engineered Ti pins were evaluated by insertion into high density bone
simulation material; and the antibacterial behaviour was assessed with
Staphylococcus aureus NCTC 6571. The experimental results have demonstrated that
the surfaces of Ti6Al4V external fixation pins were successfully converted into a
TiO2 rutile layer (~2 MUm in thickness) supported by an oxygen hardened case (~15
MUm in thickness) with very good bonding due to the in-situ conversion nature.
The maximum insertion force and temperature were reduced from 192N and 31.2
degrees C when using the untreated pins to 182N and 26.1 degrees C when the
ceramic conversion treated pins were tested. This is mainly due to the
significantly increased hardness (more than three times) and the effectively
enhanced wear resistance of the cutting edge of the self-drilling Ti pins
following the ceramic conversion treatment. The antibacterial tests also revealed
that there was a significantly reduced number of bacteria isolated from the
ceramic conversion treated pins compared to the untreated pins of around 50 %
after 20 h incubation, P < 0.01 (0.0024). The results reported are encouraging
and could pave the way towards high-performance anti-bacterial titanium external
fixation pins with reduced pin-track infection and pin loosing.
PMID- 27885574
TI - NADPH oxidase-dependent degradation of single-walled carbon nanotubes in
macrophages.
AB - Previous studies have shown that carboxylated single-walled carbon nanotubes
(SWCNTs) could be oxidatively biodegraded by neutrophil myeloperoxidase (MPO) and
peroxynitrite (ONOO-). However, the biodegradation mechanism of nanotubes in
macrophages has not been explored enough. Here, we showed that both MPO and ONOO-
could effectively oxidize SWCNTs to generate shorter and oxidative nanotubes in
vitro. SWCNTs were significantly degraded in zymosan-stimulated macrophages, and
the degradation mechanism was dependent on MPO and ONOO--driven oxidative
pathways of activated macrophages, where NADPH oxidase was found to be a major
determinant of the biodegradation process. Moreover, the functionalization of IgG
to SWCNTs could stimulate MPO release and ONOO- formation in macrophages, thereby
creating the conditions favorable for degradation of nanotubes and subsequently
contributing to the higher degradation degree of IgG-coated SWCNTs. Therefore,
our discovery of NADPH oxidase-dependent SWCNTs degradation in activated
macrophages will open new opportunities for the regulation of SWCNTs fate in
vivo.
PMID- 27885573
TI - Poly(gamma-glutamic acid) and poly(gamma-glutamic acid)-based nanocomplexes
enhance type II collagen production in intervertebral disc.
AB - Intervertebral disc (IVD) degeneration often leads to low back pain, which is one
of the major causes of disability worldwide, affecting more than 80% of the
population. Although available treatments for degenerated IVD decrease symptoms'
progression, they fail to address the underlying causes and to restore native IVD
properties. Poly(gamma-glutamic acid) (gamma-PGA) has recently been shown to
support the production of chondrogenic matrix by mesenchymal stem/stromal cells.
gamma-PGA/chitosan (Ch) nanocomplexes (NCs) have been proposed for several
biomedical applications, showing advantages compared with either polymer alone.
Hence, this study explores the potential of gamma-PGA and gamma-PGA/Ch NCs for
IVD regeneration. Nucleotomised bovine IVDs were cultured ex vivo upon injection
of gamma-PGA (pH 7.4) and gamma-PGA/Ch NCs (pH 5.0 and pH 7.4). Tissue metabolic
activity and nucleus pulposus DNA content were significantly reduced when NCs
were injected in acidic-buffered solution (pH 5.0). However, at pH 7.4, both
gamma-PGA and NCs promoted sulphated glycosaminoglycan production and significant
type II collagen synthesis, as determined at the protein level. This study is a
first proof of concept that gamma-PGA and gamma-PGA/Ch NCs promote recovery of
IVD native matrix, opening new perspectives on the development of alternative
therapeutic approaches for IVD degeneration.
PMID- 27885575
TI - Administration of Huperzia quadrifariata Extract, a Cholinesterase Inhibitory
Alkaloid Mixture, has Neuroprotective Effects in a Rat Model of Cerebral Hypoxia
Ischemia.
AB - Neonatal hypoxia-ischemia (HI) is an etiologic component of several neurologic
pathologies associated to cognitive impairment. The mechanisms involved in HI
induced tissue damage start immediately after HI and extend for days.
Acetylcholine is an important neurotransmitter in the central nervous system and
exerts a protector effect on tissue damage by modulating inflammation, and
cholinesterase inhibitors have shown neuroprotective properties and their action
are often attributed to inhibition of the immune response. The administration of
Huperzia quadrifariata alkaloid extract (HqAE), with potent and selective
cholinesterase inhibitor properties, will reduce the HI induced behavioral
deficits and tissue damage. A total of 84 newborn Wistar rat pups at post natal
day 7 (PND7) were subjected to right carotid occlusion followed by 1 h of hypoxia
(8% of O2) and i.p. injections of saline, vehicle or HqAE (10 mg/kg). Morris
Water Maze and inhibitory avoidance tests were used to assess the cognitive
function. Flow cytometry was performed at PND11. Histological analysis was
performed at PND45. HqAE treatment was able to prevent the HI induced cognitive
deficits in both tests and, at PND45, histological analysis showed that HqAE
treatment reduced hippocampus tissue damage. Flow cytometry of the injured
hippocampus revealed that the treatment was able to reduce cellular death and the
number of infiltrating T cells. Altogether, these results show the therapeutic
potential of the Huperzia quadrifariata alkaloid extract to prevent cognitive
deficits and histological damage caused by neonatal hypoxia-ischemia, probably by
reducing cellular death and T cell mobilization.
PMID- 27885577
TI - Distinct Expression of Various Angiogenesis Factors in Mice Brain After Whole
Brain Irradiation by X-ray.
AB - Radiation-induced brain injury (RBI) is the most serious complication after
radiotherapy. However, the etiology of RBI remains elusive. In order to evaluate
the effect of X-rays on normal brain tissue, adult male BALB/C mice were
subjected to whole-brain exposure with a single dose of 10 Gy or sham radiation.
The structure and number of mice brain vessels were investigated 1, 7, 30, 90 and
180 days after irradiation by H&E staining and immune-fluorescence staining.
Compared with sham control mice, in addition to morphological changes, a
significant reduction of microvascular density was detected in irradiated mice
brains. Whole-brain irradiation also caused damage in tight junction (TJ).
Increased expression of glial fibrillary acidic protein (GFAP) and vascular
endothelial growth factor (VEGF) was observed in irradiated mouse brains showed
by Western Blot. Immune-fluorescence staining results also verified the co
labeling of GFAP and VEGF after whole-brain irradiation. Furthermore, the protein
expression levels of other angiogenesis factors, angiopoietin-1 (Ang-1),
endothelial-specific receptor tyrosine kinase (Tie-2), and angiopoietin-2 (Ang-2)
in brain were determined by Western Blot. Increased expression of Ang-2 was shown
in irradiated mouse brains. In contrast, whole-brain irradiation significantly
decreased Ang-1 and Tie-2 expression. Our data indicated that X-rays induced time
dependent microvascular injury and activation of astrocytes after whole-brain
irradiation in mouse brain. Distinct regulation of VEGF/Ang2 and Ang-1/Tie-2 are
closely associated with RBI, suggesting that angiogenesis interventions might be
beneficial for patients with RBI.
PMID- 27885578
TI - EGb-761 Attenuates the Anti-proliferative Activity of Fluoride via DDK1 in PC-12
Cells.
AB - EGb-761 is commonly used as a treatment for ischemic brain injury,
neurodegenerative diseases and some types of tumors (Christen and Maixent, in
Cell Mol Biol 48(6):601-611, 2002). However, it is unclear whether EGb-761
affects the proliferation of cells exposed to fluoride. In this study, the
proliferation and apoptosis of PC-12 cells exposed to fluoride were investigated
and EGb-761 was used to protect PC-12 cells against the effects of fluoride. We
found that the canonical Wnt signaling pathway was involved in the anti
proliferation of PC-12 cells exposed to fluoride. Furthermore, the results also
showed that EGb-761 could attenuate the anti-proliferative activity of fluoride
via DDK1 in PC-12 cells. This study may provide a new method for protecting
against the inhibition of cell proliferation induced by fluoride.
PMID- 27885576
TI - Asymmetric Dimethylarginine and Hepatic Encephalopathy: Cause, Effect or
Association?
AB - The methylated derivative of L-arginine, asymmetric dimethylarginine (ADMA) is
synthesized in different mammalian tissues including the brain. ADMA acts as an
endogenous, nonselective, competitive inhibitor of all three isoforms of nitric
oxide synthase (NOS) and may limit L-arginine supply from the plasma to the
enzyme via reducing its transport by cationic amino acid transporters. Hepatic
encephalopathy (HE) is a relatively frequently diagnosed complex neuropsychiatric
syndrome associated with acute or chronic liver failure, characterized by
symptoms linked with impaired brain function leading to neurological
disabilities. The L-arginine-nitric oxide (NO) pathway is crucially involved in
the pathomechanism of HE via modulating important cerebral processes that are
thought to contribute to the major HE symptoms. Specifically, activation of this
pathway in acute HE leads to an increase in NO production and free radical
formation, thus, contributing to astrocytic swelling and cerebral edema.
Moreover, the NO-cGMP pathway seems to be involved in cerebral blood flow (CBF)
regulation, altered in HE. For this reason, depressed NO-cGMP signaling
accompanying chronic HE and ensuing cGMP deficit contributes to the cognitive and
motor failure. However, it should be remembered that ADMA, a relatively little
known element limiting NO synthesis in HE, may also influence the NO-cGMP pathway
regulation. In this review, we will discuss the contribution of ADMA to the
regulation of the NO-cGMP pathway in the brain, correlation of ADMA level with
CBF and cognitive alterations observed during HE progression in patients and/or
animal models of HE.
PMID- 27885579
TI - An integrated assessment of pollution and biological effects in flounder, mussels
and sediment in the southern Baltic Sea coastal area.
AB - Organic and metal contaminants and biological effects were investigated in
flounder, mussels, and sediments in the southern Baltic Sea coastal area in order
to assess environmental quality status in that area. Four sites were selected,
including two within the Gulf of Gdansk (GoG). In biota and sediment at each
site, DDTs dominated over PCBs and PBDEs were the least abundant among organic
contaminants. Their concentrations decreased progressively outward from GoG.
Among metal contaminants, the levels of Hg, Pb, and Cd were elevated in GoG.
Biomarkers in flounder, EROD activity and DNA SB, showed moderate positive
correlations with organic and metal contaminants. In flounder, the integrated
biomarker index (IBR/n) presented a spatial trend coherent with chemical
pollution index (CPI), but there was no clear spatial correspondence between
IBR/n and CPI in mussels nor between sediment toxicity index (STI) and sediment
CPI. The integrated assessment of contaminant and biological effect data against
available assessment criteria indicated that in biota, the contaminant assessment
thresholds were most often exceeded by CB-118, heptachlor, PBDE, and Hg (in the
GoG sediments by p,p'-DDT, Hg and Cd), while of the biological determinants, the
threshold was breeched by AChE activity in mussels in GoG. Applying the
ICES/OSPAR traffic-light approach showed that of the 50 parameters assessed at
each site, there were 18% of determinants in the red color category in the two
GoG sites and 8% of determinants in the two sites outside GoG, which indicated
that none of the four investigated sites attained good environmental status
(GES).
PMID- 27885581
TI - Effects of Praxelis clematidea invasion on soil nitrogen fractions and
transformation rates in a tropical savanna.
AB - Plant invasion has been reported to affect a mass of soil ecological processes
and functions, although invasion effects are often context-, species- and
ecosystem- specific. This study was conducted to explore potential impacts of
Praxelis clematidea invasion on contents of total and available soil nitrogen (N)
and microbial N transformations in a tropical savanna. Soil samples were
collected from the surface and sub-surface layers in plots with non-, slight, or
severe P. clematidea invasion in Hainan Province of southern China, which remains
less studied, and analyzed for contents of the total and available N fractions
and microbial N transformations. Results showed that total N content
significantly increased in the surface soil but trended to decrease in the sub
surface soil in the invaded plots relative to the non-invaded control. Slight
invasion significantly increased soil alkali-hydrolysable N content in the two
soil layers. Soil net N mineralization rate was not significantly changed in both
the soil layers, although soil microbial biomass N was significantly higher in
plots with severe invasion than the control. There was no significant difference
in content of soil N fractions between plots with slight and severe invasion. Our
results suggest that invasion of P. clematidea promotes soil N accumulation in
the surface soil layer, which is associated with increased microbial biomass N.
However, the invasion-induced ecological impacts did not increase with further
invasion. Significantly higher microbial biomass N was maintained in plots with
severe invasion, implying that severe P. clematidea invasion may accelerate
nutrient cycling in invaded ecosystems.
PMID- 27885580
TI - Does the groundwater nitrate pollution in China pose a risk to human health? A
critical review of published data.
AB - Nitrate pollution has pervaded many parts of the world, especially in developing
countries such as China. Based on the available groundwater nitrate data sets in
China (2000-2015), the groundwater pollution levels at the provincial scale are
evaluated which contains 33 provinces (units) except for Macau because of lacking
data. Then, the potential risks posed to human health in national scale are
quantified. In order to make the results more precise and systematical, both
drinking and dermal contact exposure pathways are considered, and the influenced
crowd are more finely divided into four groups to study the impacts of age and
gender on the outcome, which include infants (0-6 months), children (7 months-17
years old), adult males (18 years old-), and adult females (18 years old-).
Results indicate that there are seven units whose groundwater nitrate
concentrations exceed the standard value with Shaanxi being a seriously poor
condition. Facing the same level of nitrate, the health risk level changes in the
order of infants > children > adult males > adult females. That is to say, minors
and males are more vulnerable compared with adults and females, respectively.
There is no adverse effect on adult females of the whole country, while gender
really impacts on the health risk assessment result. Adult males, children, and
infants face various degrees of health risk respectively in Shaanxi and Shandong,
which are needed to pay more attention to.
PMID- 27885582
TI - Biotransformation of herbicides by aquatic microbial communities associated to
submerged leaves.
AB - Leaf microbial communities possess a large panel of enzymes permitting the
breakdown of leaf polymers as well as the transformation of organic xenobiotic
compounds present in stream waters. This study aims to assess the potential of
leaf microbial communities, exhibiting different exposure histories to pesticides
(upstream versus downstream), to biotransform three maize herbicides (mesotrione,
S-metolachlor, and nicosulfuron) in single and cocktail molecule exposures. The
results showed a high dissipation of nicosulfuron (sulfonylurea herbicide) (from
29.1 +/- 10.8% to 66 +/- 16.2%, day 40) in both single and cocktail exposures,
respectively, but not of mesotrione and S-metolachlor. The formation of
nicosulfuron metabolites such as ASDM (2-(aminosulfonyl)-N,N-dimethyl-3
pyridinecarboxamide) and ADMP (2-amino-4,6-dimethoxypyrimidine) and the weak
sorption (<0.4%) on the leaf matrix confirmed the transformation of this molecule
by leaf microorganisms. In addition, the downstream communities showed a greater
ability to transform nicosulfuron than the upstream communities suggesting that
the exposure history to pesticides is an important parameter and can enhance the
biotransformation potential of leaf microorganisms. After 40-day single exposure
to nicosulfuron, the downstream communities were also those experiencing the
greatest shifts in fungal and bacterial community diversity suggesting a
potential adaptation of microorganisms to this herbicide. Our study emphasizes
the importance of leaf microbial communities for herbicide biotransformation in
polluted stream ecosystems where fungi could play a crucial role.
PMID- 27885583
TI - Using GIS Mapping to Target Public Health Interventions: Examining Birth Outcomes
Across GIS Techniques.
AB - With advances in spatial analysis techniques, there has been a trend in recent
public health research to assess the contribution of area-level factors to health
disparity for a number of outcomes, including births. Although it is widely
accepted that health disparity is best addressed by targeted, evidence-based and
data-driven community efforts, and despite national and local focus in the U.S.
to reduce infant mortality and improve maternal-child health, there is little
work exploring how choice of scale and specific GIS visualization technique may
alter the perception of analyses focused on health disparity in birth outcomes.
Retrospective cohort study. Spatial analysis of individual-level vital records
data for low birthweight and preterm births born to black women from 2007 to 2012
in one mid-sized Midwest city using different geographic information systems
(GIS) visualization techniques [geocoded address records were aggregated at two
levels of scale and additionally mapped using kernel density estimation (KDE)].
GIS analyses in this study support our hypothesis that choice of geographic scale
(neighborhood or census tract) for aggregated birth data can alter programmatic
decision-making. Results indicate that the relative merits of aggregated
visualization or the use of KDE technique depend on the scale of intervention.
The KDE map proved useful in targeting specific areas for interventions in cities
with smaller populations and larger census tracts, where they allow for greater
specificity in identifying intervention areas. When public health programmers
seek to inform intervention placement in highly populated areas, however,
aggregated data at the census tract level may be preferred, since it requires
lower investments in terms of time and cartographic skill and, unlike
neighborhood, census tracts are standardized in that they become smaller as the
population density of an area increases.
PMID- 27885584
TI - WT1 and NPHS2 gene mutation analysis and clinical management of steroid-resistant
nephrotic syndrome.
AB - Nephrotic syndrome (NS) is a kidney disease predominantly present in children
with idiopathic condition; final stage of the disease progresses into end-stage
renal disease. Generally, NS is treated using standard steroid therapy, however;
most of the children are steroid sensitive and about 15-20% are non-responders
(SRNS). Non-responsiveness of these children would be a risk with the possibility
of mutational changes in podocyte genes (NPHS1, NPHS2, WT1, PLCE1). The mutation
in podocyte genes is associated with SRNS. NPHS1, NPHS2, and WT1 genes are
identified/directly linked to SRNS. The present study is a surveillance on the
mutation analysis of WT1 (exons 8 and 9) and NPHS2 (exons 1-8) gene in SRNS
followed by clinical management. In the present study, we analyzed these two
genes in a total of 117 SRNS (73 boys and 44 girls) children. A total of five
mutations were detected in six children. First, WT1 mutation was detected at 9th
intron-IVS 9 + 4C > T position in one SRNS female patient. This WT1 mutation was
identified in a girl having Frasier Syndrome (FS) with focal segmental
glomerulosclerosis and a complete sex reversal found through molecular and
karyological screening. In NPHS2, missense mutations of P20L (in two children),
P316S, and p.R229Q, and a frame shift mutation of 42delG were detected. Thus,
applying molecular investigation helped us to decide on treatment plan of SRNS
patients, mainly to avoid unnecessary immunosuppressive treatment.
PMID- 27885587
TI - Assaults against U.S. law enforcement officers in the line-of-duty: situational
context and predictors of lethality.
AB - BACKGROUND: Research on occupational safety of law enforcement officers (LEOs)
has primarily focused on fatal assaults. Nonfatal assaults, however, have
received little attention. The goal of this study was to describe the situational
contexts in which LEOs are assaulted, and compare these contexts and risks
between fatal and nonfatal assaults in the U.S. Analyzing both types of assaults
provides a more complete understanding of occupational safety and opportunities
for intervention. METHODS: This study includes a descriptive epidemiology of
fatal and nonfatal assaults of LEOs in the U.S. and a pooled cross-sectional
analysis of risk factors contributing to the odds of lethal assault. Data were
collected from the Law Enforcement Officers Killed and Assaulted database.
Descriptive statistics were used to characterize fatal and nonfatal assaults.
Odds ratios were generated to understand the odds that an assault would result in
a fatality. RESULTS: Between 1998 and 2013, there were 791 fatal assaults and
2,022 nonfatal assaults of LEOs. Nearly 60% of primary wounds in fatal assaults
were received to the head, neck, or throat while nearly 50% of primary wounds in
nonfatal assaults were received to the arms/hands or below the waist. The odds
that an assault resulted in a fatality decreased by 57% (OR 0.43, 95% CI 0.32 to
0.58) when a LEO was wearing body armor. LEOs experiencing an ambush or
unprovoked attack had significantly increased odds of an assault resulting in a
fatality (OR 3.27, 95% CI 1.83 to 5.85 and OR 2.24, 95% CI 1.44 to 3.47
respectively). LEOs that were disarmed during an encounter with a suspect had
more than 2-fold increased odds of an assault resulting in a fatality (OR 2.24,
95% CI 1.48 to 3.38). CONCLUSIONS: There are specific situational and encounter
characteristics that influence the lethality of an assault, which suggest
strategies for prevention. Mandatory wear policies for the use of body armor
could significantly reduce mortality among assaulted LEOs.
PMID- 27885585
TI - The conundrums of chronic kidney disease and aging.
AB - Chronic kidney disease (CKD), as presently defined, is a common disorder. Aging
is a nearly universal phenomenon that can affect renal anatomy and function, but
at variable rates in individuals. Loss of nephrons and a decline in glomerular
filtration rate (GFR) is a characteristic of normal aging, called renal
senescence. Using fixed and absolute thresholds for defining CKD on the basis of
GFR for all ages may lead to diagnostic uncertainty (a conundrum) in both young
and older subjects. This brief review will consider the physiological and
anatomical changes of the kidney occurring in the process of normal renal
senescence focusing on GFR and will examine the relevance of these observation
for the diagnosis of CKD using GFR as the distinguishing parameter. Once a better
understanding of the pathobiology underlying renal senescence is obtained,
specific interventions may become available to slow the process.
PMID- 27885586
TI - An in vitro comparison of three delivery techniques for obturation of root canals
in primary molars.
AB - AIM: This in vitro study evaluated the efficacy of three different obturation
techniques with regards to quality of two filling pastes-Ca(OH)2/iodoform syringe
paste and zinc oxide eugenol paste in primary molars. METHODS: Root canals of 45
extracted primary molars were prepared and randomly divided into three groups of
15 teeth each. Group A-canals were filled with Ca(OH)2/iodoform syringe paste,
Group B-zinc oxide eugenol paste with handheld lentulo spiral and Group C-zinc
oxide eugenol paste with rotary lentulo spiral. The quality of filled root canals
was evaluated with conventional radiography in antero-posterior and lateral
dimensions. RESULTS: There were significant differences between all groups in the
presence of voids (p = 0.03) and length of filling (p = 0.002). Half of the
sampled teeth in handheld lentulo spiral group had voids in the filled canals,
while 80% of the teeth filled with Ca(OH)2/iodoform syringe resulted in
overfilling of the paste. CONCLUSIONS: All three delivery methods for the
obturation of primary molars' root canals showed inherent limitations in terms of
voids and quality of filling. Voids are inevitable and were present in all the
techniques. Overfilling was more frequently seen with the syringe method, while
the lentulo spiral technique, both handheld and rotary, showed a better quality
of filling.
PMID- 27885588
TI - A mouse model reveals that Mfsd2a is critical for unfolded protein response upon
exposure to tunicamycin.
AB - Major facilitator superfamily domain containing 2a (Mfsd2a) is a member of the
major facilitator superfamily. Mfsd2a functions as a transporter for
docosahexaenoic acid and also plays a role in the unfolded protein response (UPR)
upon tunicamycin (TM) exposure. UPR is involved in the pathogenesis of various
human diseases. TM and thapsigargin are representative experimental reagents that
induce UPR. To elucidate the detailed function of Mfsd2a in UPR in vivo, we
generated Mfsd2a-deficient mice and investigated the role of Mfsd2a during UPR
induced by TM or thapsigargin. Phenotypically, Mfsd2a-deficient mice were small
and short-lived. No gross anatomical abnormalities in Mfsd2a-deficient mice
compared with the wild-type mice were exhibited. Embryonic fibroblasts derived
from Mfsd2a-null mice failed to show induction of GRP78 and DDIT3 expressions
upon TM exposure but not upon Tg exposure. This phenomenon could not be overcome
despite the exposure under high TM concentration. Reconstitution of Mfsd2a in
Mfsd2a-null MEF showed hypersensitivity to TM. Furthermore, we examined the
physiological role of Mfsd2a against TM using an in vivo mouse model. DDIT3
induction by TM was drastically attenuated in both the liver and brain of Mfsd2a
deficient mice. These results reveal that Mfsd2a plays a critical role in UPR
upon TM exposure.
PMID- 27885589
TI - Organic Cocrystals: New Strategy for Molecular Collaborative Innovation.
AB - Organic cocrystals that are composed of two or more components usually exhibit
novel, unpredictable, and even unique properties rather than a simple combination
of the properties of their components, such as white-light emission, ambipolar
charge transport, nonlinear optics, and ferroelectricity. Since cocrystal
engineering represents a novel strategy for synthesizing multifunctional
materials, which opens the door for molecular collaborative innovation, it has
aroused much attention in recent years. However, as it is also a relatively new
research field, it is only in its early stages of development. In order to
provide readers with an understanding of the future design of cocrystals for
potential applications, a brief review of organic cocrystals is presented here,
including an introduction to organic cocrystals as well as discussions of
cocrystal preparation, methods and techniques of characterization, and
multifunctional applications of cocrystals. Moreover, the outlook for further
studies and applications of cocrystal engineering is considered.
PMID- 27885590
TI - Payment Reform Needed to Address Health Disparities of Undiagnosed Diabetic
Retinopathy in the City of Chicago.
AB - INTRODUCTION: The Affordable Care Act (ACA) has expanded health coverage for
thousands of Illinois residents. Expanded coverage, however, does not guarantee
appropriate health care. Diabetes and its ocular complications serve as an
example of how providers in underserved urban areas may not be able to keep up
with new demand for labor- and technology-intensive health care unless changes in
reimbursement policies are instituted. METHODS: A retrospective cohort study was
conducted using medical encounter information from the Chicago HealthLNK Data
Repository (HDR), an assembly of non-duplicated and de-identified patient medical
records. We used a method of estimating the geographic distribution of
undiagnosed diabetic retinopathy in the city of Chicago to illustrate the
magnitude of potentially preventable eye disease. All rates were calculated for
all ZIP Codes within Chicago (Cook County), and statistical differences between
observed and geographically adjusted expected rates (p < 0.10, p < 0.05, p <
0.01) were highlighted as underserved areas. RESULTS: This analysis included
150,661 patients with diabetes identified from a total of nearly two million
patients in Chicago. High rates of undetected diabetic retinopathy were found in
low-income and minority areas. Within these areas, 37% of the identified
diabetics were uninsured, with rates ranging widely from 20% to 68.6%. Among
those with insurance, 32.8% were covered by Medicare and only 10% by Medicaid.
Most patients with untreated diabetic retinopathy were found to reside in areas
where primary health care is provided through Federally Qualified Health Centers.
CONCLUSIONS: With 150,661 diabetics identified in the city of Chicago, and this
number continuing to rise each year, a manpower approach with ophthalmologist
screening for diabetic retinopathy is not realistic. The ability to identify the
growing number of diabetic patients with retinopathy in low-income areas will
likely require the adoption of cost-effective screening technologies that are
currently not funded by Medicare and Medicaid.
PMID- 27885591
TI - The Impact of Non-Steroidal Anti-Inflammatory Agents after Phacoemulsification on
Quality of Life: A Randomized Study.
AB - INTRODUCTION: The purpose of our study was to investigate the impact of ketorolac
addition to the well-established combination of antibiotic-steroid agent in terms
of vision-related quality of life. METHODS: Patients were randomized to: (1)
fixed combination of tobramycin 0.3%-dexamethasone 0.1%, one drop qid (n = 68)
and (2) fixed combination of tobramycin 0.3%-dexamethasone 0.1%, one drop qid,
plus ketorolac tromethamine 0.5%, one drop tid (n = 70). All patients completed
the VFQ-25 questionnaire to assess their functional vision before cataract
surgery and postoperatively on days 7, 28 and 42. The statistical analysis
comprised the point-wise comparison between the two groups at the four time
points for all sub-scales of the VFQ-25 questionnaire, as well as the composite
score. RESULTS: No significant differences were noted regarding the composite
score, as well as all subscales in all examined time points. CONCLUSIONS: The
addition of ketorolac did not seem to offer any additional benefit in terms of
vision-related quality of life.
PMID- 27885592
TI - Normal Threshold Size of Stimuli in Children Using a Game-Based Visual Field
Test.
AB - INTRODUCTION: The aim of this study was to demonstrate and explore the ability of
novel game-based perimetry to establish normal visual field thresholds in
children. METHODS: One hundred and eighteen children (aged 8.0 +/- 2.8 years old)
with no history of visual field loss or significant medical history were
recruited. Each child had one eye tested using a game-based visual field test
'Caspar's Castle' at four retinal locations 12.7 degrees (N = 118) from
fixation. Thresholds were established repeatedly using up/down staircase
algorithms with stimuli of varying diameter (luminance 20 cd/m2, duration 200 ms,
background luminance 10 cd/m2). Relationships between threshold and age were
determined along with measures of intra- and intersubject variability. RESULTS:
The Game-based visual field test was able to establish threshold estimates in the
full range of children tested. Threshold size reduced with increasing age in
children. Intrasubject variability and intersubject variability were inversely
related to age in children. CONCLUSIONS: Normal visual field thresholds were
established for specific locations in children using a novel game-based visual
field test. These could be used as a foundation for developing a game-based
perimetry screening test for children.
PMID- 27885593
TI - Campylobacter jejuni Isolation/Enumeration from Environmental Samples.
AB - Currently, there is no universally accepted standard media or method for the
recovery of Campylobacter species. This is likely due to the ubiquity of the
organism in nature, the complex sample matrices from which the organism is often
recovered, as well as the fragile/viable-but nonculturable state the organism
assumes in response to stress. The use of a sterile filter placed upon a
nonselective Brucella Agar Blood Plate (BAB), followed by incubation at 37
degrees C in a hydrogen-containing atmosphere (Campycheck), is one method to
recover stressed and emerging Campylobacter spp. from complex environmental
matrices; however, this technique does not currently allow for the enumeration of
the recovered organisms. Enumeration is performed using serial dilutions of
sample homogenate plated onto modified Campy-Cefex media followed by incubation
at either 37 degrees C or 42 degrees C in a microaerobic atmosphere.
PMID- 27885595
TI - Methods for Isolation, Purification, and Propagation of Bacteriophages of
Campylobacter jejuni.
AB - Here, we describe the methods for isolation, purification, and propagation of
Campylobacter jejuni bacteriophages from samples expected to contain high number
of phages such as chicken feces. The overall steps are (1) liberation of phages
from the sample material; (2) observation of plaque-forming units on C. jejuni
lawns using a spot assay; (3) isolation of single plaques; (4) consecutive
purification procedures; and (5) propagation of purified phages from a plate
lysate to prepare master stocks.
PMID- 27885594
TI - Recovery of Campylobacter spp. from Food and Environmental Sources.
AB - The recovery of Campylobacter species from food and environmental sources is
challenging due to the slow growth of these bacteria and the need to suppress
competing organisms during the isolation procedures. The addition of multiple
selective antimicrobials to growth media can negatively impact recovery of some
Campylobacter spp. Here, we describe our current method for the isolation of
thermotolerant Campylobacter species, mainly C. jejuni and C. coli, from food and
environmental samples. We emphasize the use of membrane filtration during plating
for the specific isolation of Campylobacter spp. and a reduced use of
antimicrobial supplements throughout the whole isolation process.
PMID- 27885596
TI - Methods to Study Antimicrobial Resistance in Campylobacter jejuni.
AB - Campylobacter jejuni is a leading bacterial cause of foodborne gastroenteritis
worldwide and is increasingly resistant to clinically important antibiotics.
Detection of antibiotic resistance in C. jejuni can be performed with both
phenotypic and genotypic methods. In this chapter, we describe the most commonly
used molecular biology methods for detection of resistance to clinically
important antibiotics. These methods can be employed in both clinical and
research settings to facilitate clinical therapy and to monitor the emergence and
dissemination of antibiotic-resistant C. jejuni.
PMID- 27885597
TI - Method of Peptide Nucleic Acid (PNA)-Mediated Antisense Inhibition of Gene
Expression in Campylobacter jejuni.
AB - Peptide nucleic acid (PNA) is an oligonucleotide mimic that recognizes and binds
to nucleic acids. The strong binding affinity of PNA to mRNA coupled with its
high sequence specificity enable antisense PNA to selectively inhibit (i.e.,
knockdown) the protein synthesis of a target gene. This novel technology provides
a powerful tool for Campylobacter studies because molecular techniques have been
relatively less well-developed for this bacterium as compared to other pathogens,
such as Escherichia coli and Salmonella. This chapter describes a protocol for
PNA-mediated antisense inhibition of gene expression in Campylobacter jejuni.
PMID- 27885598
TI - Identification of Ligand-Receptor Interactions: Ligand Molecular Arrays, SPR and
NMR Methodologies.
AB - Despite many years of research into bacterial chemotaxis, the only well
characterized system to date is that of E. coli. Even for E. coli, the direct
ligand binding had been fully characterized only for aspartate and serene
receptors Tar and Tsr. In 30 years since, no other direct receptor-ligand
interaction had been described for bacteria, until the characterization of the C.
jejuni aspartate and multiligand receptors (Hartley-Tassell et al. Mol Microbiol
75:710-730, 2010). While signal transduction components of many sensory pathways
have now been characterized, ligand-receptor interactions remain elusive due to
paucity of high-throughput screening methods. Here, we describe the use of
microarray screening we developed to identify ligands, surface plasmon resonance,
and saturation transfer difference nuclear magnetic resonance (STD-NMR) we used
to verify the hits and to determine the affinity constants of the interactions,
allowing for more targeted verification of ligands with traditional chemotaxis
and in vivo assays described in Chapter 13 .
PMID- 27885599
TI - Characterization of High Affinity Iron Acquisition Systems in Campylobacter
jejuni.
AB - Iron acquisition systems are critical for bacterial pathogenesis and thus have
been proposed as attractive targets for iron-dependent pathogen control. Of these
systems, high-affinity iron acquisition mediated by siderophore, a small iron
chelator, is the most efficient iron-scavenging mechanism in gram-negative
bacteria. Campylobacter does not produce any siderophores but has the ability to
utilize exogenous siderophores. In particular, the enterobactin (Ent)-mediated
iron scavenging is tightly linked to Campylobacter pathogenesis. To date, Ent, a
triscatecholate with the highest known affinity for ferric iron, is a well
characterized siderophore used by Campylobacter for iron acquisition during in
vivo infection. Here, we describe the key methods used to characterize Ent
mediated high affinity iron acquisition system in Campylobacter jejuni.
PMID- 27885600
TI - Method for the Successful Crystallization of the Ferric Uptake Regulator from
Campylobacter jejuni.
AB - The Ferric Uptake Regulator (FUR) is a transcription factor (TF) regulating the
expression of several genes to control iron levels in prokaryotes. Members of
this family of TFs share a common structural scaffold that typically comprises
two regions that include a DNA binding and dimerization domains. While this
structural organization is conserved, FUR proteins employ different mechanisms to
bind divergent DNA binding elements and regulate gene expression in the absence
or presence of regulatory metals. These findings, combined with the observations
that FUR proteins display different geometries in regard to the relative
orientation of the DNA binding and dimerization domains, have highlighted an
expanding repertoire of molecular mechanisms controlling the activity of this
family of TFs. In this chapter, we present an overview of the methods to purify,
crystallize, and solve the structure of Campylobacter jejuni FUR.
PMID- 27885601
TI - Methods for Initial Characterization of Campylobacter jejuni Bacteriophages.
AB - Here we describe an initial characterization of Campylobacter jejuni
bacteriophages by host range analysis, genome size determination by pulsed-field
gel electrophoresis, and receptor-type identification by screening mutants for
phage sensitivity.
PMID- 27885602
TI - Methods to Assess the Direct Interaction of C. jejuni with Mucins.
AB - Studies of the interaction of bacteria with mucus-secreting cells can be
complemented at a more mechanistic level by exploring the interaction of bacteria
with purified mucins. Here we describe a far Western blotting approach to show
how C. jejuni proteins separated by SDS PAGE and transferred to a membrane or
slot blotted directly onto a membrane can be probed using biotinylated mucin. In
addition we describe the use of novel mucin microarrays to assess bacterial
interactions with mucins in a high-throughput manner.
PMID- 27885603
TI - Methods to Study Campylobacter jejuni Adherence to and Invasion of Host
Epithelial Cells.
AB - Measuring bacterial adherence and invasion of cells in vitro has enabled
researchers to dissect the interactions of Campylobacter jejuni with eukaryotic
cells. Numerous C. jejuni virulence determinants and host cell factors that
contribute to the process of adherence, invasion, and immune modulation have been
identified utilizing in vitro adherence and invasion assays. In this chapter, we
describe the evaluation of C. jejuni adherence to and invasion of HeLa cells
using the gentamicin-protection assay.
PMID- 27885605
TI - Characterization of Ligand-Receptor Interactions: Chemotaxis, Biofilm, Cell
Culture Assays, and Animal Model Methodologies.
AB - Chemotactic motility is an essential virulence factor for the pathogenesis of
Campylobacter spp. infection. In Chapter 6 , we described technologies that
enable initial screening and identification of ligands able to interact with
chemoreceptor sensory domains. These include amino acid and glycan arrays, NMR,
and SPR that are utilized to identify potential ligands interacting with
Campylobacter jejuni. Here we describe techniques that enable the
characterization and evaluation of ligand-receptor binding in chemotaxis through
the assessment of motility and directed chemotactic motility as well as the
associated phenotypes-autoagglutination behavior, biofilm formation, ability to
adhere and invade cultured mammalian cells, and colonization ability in avian
hosts.
PMID- 27885606
TI - Using Galleria mellonella as an Infection Model for Campylobacter jejuni
Pathogenesis.
AB - Nonmammalian model systems of infection have been employed recently to study
bacterial virulence. For instance, Galleria mellonella (the greater wax moth) has
been shown to be susceptible to infection by many bacterial pathogens including
the enteric pathogen Campylobacter jejuni. In contrast to the traditional animal
models for C. jejuni such as the chick colonization model and ferret diarrheal
model, the Galleria mellonella infection model has the advantages of lower cost,
ease of use and no animal breeding is required. However, injecting the larvae
with bacteria requires care to avoid killing of larvae, which could lead to
misleading results. Here, we describe the infection of G. mellonella larvae by C.
jejuni and how to record/interpret results.
PMID- 27885604
TI - Assays to Study the Interaction of Campylobacter jejuni with the Mucosal Surface.
AB - Mucosal colonization and overcoming the mucosal barrier are essential steps in
the establishment of infection by Campylobacter jejuni. The interaction between
C. jejuni and host cells, including binding and invasion, is thought to be the
key virulence factor important for pathogenesis of C. jejuni infections in
animals or humans. The intestinal mucosal barrier is composed of a polarized
epithelium covered by a thick adherent mucus gel layer. There is a requirement
for cell culture assays of infection to accurately represent the in vivo mucosal
surface. In this chapter, we describe the use of a number of cell culture models
and the use of polarized in vitro organ culture to examine the interaction of C.
jejuni with mucosal surfaces.
PMID- 27885607
TI - Mouse Models for Campylobacter jejuni Colonization and Infection.
AB - Relevant animal models for Campylobacter jejuni infection have been difficult to
establish due to C. jejuni's inability to cause disease in many common animal
research models. Fortunately, recent work has proven successful in developing
several new and relevant mouse models of C. jejuni infection, including the
SIGIRR-deficient mouse strain that develops acute enterocolitis in response to C.
jejuni. Here we describe how to properly infect mice with C. jejuni, as well as a
number of accompanying histological techniques to aid in studying C. jejuni
colonization and infection in mice.
PMID- 27885608
TI - Metabolomic Analysis of Campylobacter jejuni by Direct-Injection Electrospray
Ionization Mass Spectrometry.
AB - Direct-injection mass spectrometry (DIMS) is a means of rapidly obtaining
metabolomic phenotype data in both prokaryotes and eukaryotes. Given our
generally poor understanding of Campylobacter metabolism, the high-throughput and
relatively simple sample preparation of DIMS has made this an attractive
technique for metabolism-related studies and hypothesis generation, especially
when attempting to analyze metabolic mutants with no clear phenotype. Here we
describe a metabolomic fingerprinting approach with sampling and extraction
methodologies optimized for direct-injection electrospray ionization mass
spectrometry (ESI-MS), which we have used as a means of comparing wild-type and
isogenic mutant strains of C. jejuni with various metabolic blocks.
PMID- 27885609
TI - Methods for Genome-Wide Methylome Profiling of Campylobacter jejuni.
AB - Methylation has a profound role in the regulation of numerous biological
processes in bacteria including virulence. The study of methylation in bacteria
has greatly advanced thanks to next-generation sequencing technologies. These
technologies have expedited the process of uncovering unique features of many
bacterial methylomes such as characterizing previously uncharacterized
methyltransferases, cataloging genome-wide DNA methylations in bacteria,
identifying the frequency of methylation at particular genomic loci, and
revealing regulatory roles of methylation in the biology of various bacterial
species. For instance, methylation has been cited as a potential source for the
pathogenicity differences observed in C. jejuni strains with syntenic genomes as
seen in recent publications. Here, we describe the methodology for the use of
Pacific Biosciences' single molecule real-time (SMRT) sequencing for detecting
methylation patterns in C. jejuni and bioinformatics tools to profile its
methylome.
PMID- 27885610
TI - Characterizing Glycoproteins by Mass Spectrometry in Campylobacter jejuni.
AB - The glycosylation systems of Campylobacter jejuni (C. jejuni) are considered
archetypal examples of both N- and O-linked glycosylations in the field of
bacterial glycosylation. The discovery and characterization of these systems both
have revealed important biological insight into C. jejuni and have led to the
refinement and enhancement of methodologies to characterize bacterial
glycosylation. In general, mass spectrometry-based characterization has become
the preferred methodology for the study of C. jejuni glycosylation because of its
speed, sensitivity, and ability to enable both qualitative and quantitative
assessments of glycosylation events. In these experiments the generation of
insightful data requires the careful selection of experimental approaches and
mass spectrometry (MS) instrumentation. As such, it is essential to have a deep
understanding of the technologies and approaches used for characterization of
glycosylation events. Here we describe protocols for the initial characterization
of C. jejuni glycoproteins using protein-/peptide-centric approaches and discuss
considerations that can enhance the generation of insightful data.
PMID- 27885611
TI - Methods for Proteome Analysis of Campylobacter jejuni Using 2-D Electrophoresis.
AB - This chapter describes protocols used for two-dimensional electrophoretic
analysis of the proteome or subproteome of Campylobacter jejuni, a major human
food-borne pathogen. The following protocols, adapted to Campylobacter strains,
include all the steps from cultivation to gel-support protein separation.
PMID- 27885612
TI - Analyzing Prokaryotic RNA-Seq Data: A Case Study Identifying Holo-Fur Regulated
Genes in Campylobacter jejuni.
AB - In recent years, RNA-seq has become an important method in the process of
measuring gene expression in various cells and organisms. This chapter will
detail all the bioinformatic steps that should be undertaken to determine
differentially expressed genes from a typical RNA-seq experiment. Each step will
be clearly explained in "non-bioinformatic" terminology so that readers embarking
on RNA-seq analysis will be able to understand the rationale and reasoning behind
each step. Moreover, the exact command lines used to process the data will be
presented along with a description of the various flags and commands.
PMID- 27885613
TI - Generation and Screening of an Insertion Sequencing-Compatible Mutant Library of
Campylobacter jejuni.
AB - The advent of next-generation sequencing technology has enabled experimental
approaches to characterize large, complex populations of DNA molecules with high
resolution. Included among these are methods to assess populations of transposon
insertion libraries for the fitness cost of any particular mutant allele after
applying selection to a population. These approaches have proven invaluable for
identifying genetic factors that influence survival of bacterial pathogens within
different environments, including animal hosts. One such method, termed insertion
site sequencing (INSeq), was designed to generate a 16 bp fragment of transposon
flanking genomic DNA captured during the protocol, which then serves as the
substrate for massively parallel sequencing. Here we describe the generation of a
transposon mutant library of Campylobacter jejuni amenable to INSeq and its use
in identifying colonization determinants in a day-of-hatch chicken colonization
model.
PMID- 27885614
TI - Family income and body mass index - what have we learned from China.
AB - Obesity poses lots of health risks in both developing and developed countries.
One thing that remains unclear is the relationship between family income and
weight gain. This paper explores the relationship between family income and Body
Mass Index (BMI) given variations in individual choice towards basic consumption
and life quality improvement consumption as income increases. We use a nationally
representative longitudinal data from China, the China Health and Nutrition
Survey (CHNS), to estimate the relationship between income and weight gain. We
conduct both cross sectional and panel data analysis to study the causal effects
of family income on weight development. Unlike other literature that found
inverse relationship between prevalence of obesity and family income in
developing countries, in this paper, we find that BMI will first increase with
family income at a decreasing rate, and then decrease which suggests that the
group of middle class may suffer the high risk of being overweight and obese.
PMID- 27885615
TI - The white mullet (Mugil curema) as biological indicator to assess environmental
stress in tropical coastal lagoons.
AB - Several coastal lagoons and estuaries in Mexico receive untreated domestic and
industrial discharges which contain complex mixtures of contaminants. In order to
assess the effects of chemical contamination, we used the White mullet (Mugil
curema) as biological indicator. We worked in two estuaries located in Northwest
Mexico: Urias (highly polluted) and Teacapan (less polluted, therefore used as
reference site). We measured several endpoints at different levels of biological
organization: vitellogenin transcription in males as biomarker of estrogenic
contamination, as well as reproductive, morphological (deformities),
morphometric, and meristic parameters. Total RNA was isolated from the liver, and
a partial sequence of the mullet vitellogenin gene was obtained; gene expression
was analyzed by quantitative PCR. At the same time, gonad samples were analyzed
by histologic techniques to determine sex ratios and the reproductive cycle
stage. The reproductive season was detected from February to June in both sites,
but the gonadosomatic index was consistently higher in Teacapan. Sex ratios were
female-biased in both estuaries, and one intersex gonad and several malformations
were found in fish from Urias. Vitellogenin gene transcription in males was
detected in both sites, although gene expression was slightly higher in Urias.
The results obtained in this study indicate that biological effects of
contamination are evident in fish, environmental estrogens may be present in both
estuaries, and the white mullet is useful as biological indicator to identify and
characterize environmental stressors in tropical coastal ecosystems.
PMID- 27885616
TI - Phytoplankton dynamics of a subtropical reservoir controlled by the complex
interplay among hydrological, abiotic, and biotic variables.
AB - This study was conducted to identify the key factors related to the
spatiotemporal variations in phytoplankton abundance in a subtropical reservoir
from 2006 to 2010 and to assist in developing strategies for water quality
management. Dynamic factor analysis (DFA), a dimension-reduction technique, was
used to identify interactions between explanatory variables (i.e., environmental
variables) and abundance (biovolume) of predominant phytoplankton classes. The
optimal DFA model significantly described the dynamic changes in abundances of
predominant phytoplankton groups (including dinoflagellates, diatoms, and green
algae) at five monitoring sites. Water temperature, electrical conductivity,
water level, nutrients (total phosphorus, NO3-N, and NH3-N), macro-zooplankton,
and zooplankton were the key factors affecting the dynamics of aforementioned
phytoplankton. Therefore, transformations of nutrients and reactions between
water quality variables and aforementioned processes altered by hydrological
conditions may also control the abundance dynamics of phytoplankton, which may
represent common trends in the DFA model. The meandering shape of Shihmen
Reservoir and its surrounding rivers caused a complex interplay between
hydrological conditions and abiotic and biotic variables, resulting in
phytoplankton abundance that could not be estimated using certain variables.
Additional water quality and hydrological variables at surrounding rivers and
monitoring plans should be executed a few days before and after reservoir
operations and heavy storm, which would assist in developing site-specific
preventive strategies to control phytoplankton abundance.
PMID- 27885617
TI - Assessment of impacts of land use changes on surface water using L-THIA model
(case study: Zayandehrud river basin).
AB - Land use changes in a basin are the most important factors affecting its
hydrology and water quality. A hydrological model is an effective tool in
assessing the effects of land use change on surface water. In this study, the
effects of land use changes in the Zayandehrud basin are estimated using long
term hydrologic impact assessment model. This model is applicable using long-term
data on climate, soil hydrological groups, and land use maps. The study covered
three land uses across 18 years (from 1997 to 2015), and we used data on 30 years
of precipitation (from 1985 to 2015) in the model. The results of modeling
revealed that the average runoff volume increased from around 5,765,034 m3 in
1997 to 8,894,525 m3 in 2015. The results also showed an increase in runoff
depth. Land use changes over the study period showed an increase of residential
areas, bare land, and agricultural lands and a decrease of pasture and forests.
The results can be used to make decisions and monitor changes in land use to
control the depth and volume of runoff. Using output maps helps in delimitation
of the areas that have high runoff average and in implementation of the
management plans for controlling the amount of runoff in these areas. Appropriate
land use design can decrease impacts of land use changes including hydrologic
effects.
PMID- 27885618
TI - Pre-clinical quantitative imaging and mouse-specific dosimetry for 111In-labelled
radiotracers.
AB - BACKGROUND: Accurate quantification in molecular imaging is essential to improve
the assessment of novel drugs and compare the radiobiological effects of
therapeutic agents prior to in-human studies. The aim of this study was to
investigate the challenges and feasibility of pre-clinical quantitative imaging
and mouse-specific dosimetry of 111In-labelled radiotracers. Attenuation, scatter
and partial volume effects were studied using phantom experiments, and an
activity calibration curve was obtained for varying sphere sizes. Six SK-OV-3
tumour bearing mice were injected with 111In-labelled HER2-targeting monoclonal
antibodies (mAbs) (range 5.58-8.52 MBq). Sequential SPECT imaging up to 197 h
post-injection was performed using the Albira SPECT/PET/CT pre-clinical scanner.
Mice were culled for quantitative analysis of biodistribution studies. The tumour
activity, mass and percentage of injected activity per gram of tissue (%IA/g)
were calculated at the final scan time point and compared to the values
determined from the biodistribution data. Delivered 111In-labelled mAbs tumour
absorbed doses were calculated using mouse-specific convolution dosimetry, and
absorbed doses for 90Y-labelled mAbs were extrapolated under the assumptions of
equivalent injected activities, biological half-lives and uptake distributions as
for 111In. RESULTS: For the sphere sizes investigated (volume 0.03-1.17 ml), the
calibration factor varied by a factor of 3.7, whilst for the range of tumour
masses in the mice (41-232 mg), the calibration factor changed by a factor of
2.5. Comparisons between the mice imaging and the biodistribution results showed
a statistically significant correlation for the tumour activity (r = 0.999, P <
0.0001) and the tumour mass calculations (r = 0.977, P = 0.0008), whilst no
correlation was found for the %IA/g (r = 0.521, P = 0.29). Median tumour-absorbed
doses per injected activity of 52 cGy/MBq (range 36-69 cGy/MBq) and 649 cGy/MBq
(range 441-950 cGy/MBq) were delivered by 111In-labelled mAbs and extrapolated
for 90Y-labelled mAbs, respectively. CONCLUSIONS: This study demonstrates the
need for multidisciplinary efforts to standardise imaging and dosimetry protocols
in pre-clinical imaging. Accurate image quantification can improve the
calculation of the activity, %IA/g and absorbed dose. Diagnostic imaging could be
used to estimate the injected activities required for therapeutic studies,
potentially reducing the number of animals used.
PMID- 27885619
TI - The Crystal Structure of Micro- and Nanopowders of ZnS Studied by EPR of Mn2+ and
XRD.
AB - The crystal structure of micro- and nanopowders of ZnS doped with different
impurities was analyzed by the electron paramagnetic resonance (EPR) of Mn2+ and
XRD methods. The powders of ZnS:Cu, ZnS:Mn, ZnS:Co, and ZnS:Eu with the particle
sizes of 5-7 MUm, 50-200 nm, 7-10 MUm, and 5-7 nm, respectively, were studied.
Manganese was incorporated in the crystal lattice of all the samples as
uncontrolled impurity or by doping. The Mn2+ ions were used as EPR structural
probes. It is found that the ZnS:Cu has the cubic structure, the ZnS:Mn has the
hexagonal structure with a rhombic distortion, the ZnS:Co is the mixture of the
cubic and hexagonal phases in the ratio of 1:10, and the ZnS:Eu has the cubic
structure and a distorted cubic structure with stacking defects in the ratio 3:1.
The EPR technique is shown to be a powerful tool in the determination of the
crystal structure for mixed-polytype ZnS powders and powders with small
nanoparticles. It allows observation of the stacking defects, which is revealed
in the XRD spectra.
PMID- 27885620
TI - Removal of Metal Nanoparticles Colloidal Solutions by Water Plants.
AB - The ability of seven species of aquatic plants (Elodea canadensis, Najas
guadelupensis, Vallisneria spiralis L., Riccia fluitans L., Limnobium laevigatum,
Pistia stratiotes L., and Salvinia natans L.) to absorb metal nanoparticles from
colloidal solutions was studied. It was established that investigated aquatic
plants have a high capacity for removal of metal nanoparticles from aqueous
solution (30-100%) which indicates their high phytoremediation potential.
Analysis of the water samples content for elements including the mixture of
colloidal solutions of metal nanoparticles (Mn, Cu, Zn, Ag + Ag2O) before and
after exposure to plants showed no significant differences when using submerged
or free-floating hydrophytes so-called pleuston. However, it was found that the
presence of submerged hydrophytes in aqueous medium (E. canadensis, N.
guadelupensis, V. spiralis L., and R. fluitans L.) and significant changes in the
content of photosynthetic pigments, unlike free-floating hydrophytes (L.
laevigatum, P. stratiotes L., S. natans L.), had occur. Pleuston possesses higher
potential for phytoremediation of contaminated water basins polluted by metal
nanoparticles. In terms of removal of nanoparticles among studied free-floating
hydrophytes, P. stratiotes L. and S. natans L. deserve on special attention.
PMID- 27885621
TI - Reduction of Polarization Field Strength in Fully Strained c-Plane InGaN/(In)GaN
Multiple Quantum Wells Grown by MOCVD.
AB - The polarization fields in c-plane InGaN/(In)GaN multiple quantum well (MQW)
structures grown on sapphire substrate by metal-organic chemical vapor deposition
are investigated in this paper. The indium composition in the quantum wells
varies from 14.8 to 26.5% for different samples. The photoluminescence
wavelengths are calculated theoretically by fully considering the related effects
and compared with the measured wavelengths. It is found that when the indium
content is lower than 17.3%, the measured wavelengths agree well with the
theoretical values. However, when the indium content is higher than 17.3%, the
measured ones are much shorter than the calculation results. This discrepancy is
attributed to the reduced polarization field in the MQWs. For the MQWs with lower
indium content, 100% theoretical polarization can be maintained, while, when the
indium content is higher, the polarization field decreases significantly. The
polarization field can be weakened down to 23% of the theoretical value when the
indium content is 26.5%. Strain relaxation is excluded as the origin of the
polarization reduction because there is no sign of lattice relaxation in the
structures, judging by the X-ray diffraction reciprocal space mapping. The
possible causes of the polarization reduction are discussed.
PMID- 27885622
TI - The Role of Air Adsorption in Inverted Ultrathin Black Phosphorus Field-Effect
Transistors.
AB - Few-layer black phosphorus (BP) attracts much attention owing to its high
mobility and thickness-tunable band gap; however, compared with the commonly
studied transition metal dichalcogenides (TMDCs), BP has the unfavorable property
of degrading in ambient conditions. Here, we propose an inverted dual gates
structure of ultrathin BP FET to research the air adsorption on BP. In
fabrication process of back-gate BP FET, BP was transferred directly onto a wafer
covered with electrodes. Thus, we can exclude the BP degradation during the
process of electrodes fabrication, such as electron beam lithography (EBL) and
thermal evaporation process. Furthermore, without any electrode covering BP, BP
could be in full contact with the air; then the accurate effect of the air
adsorption on BP can be researched in detail. The results clearly show that
annealing can remove the p-doping resulted from the metastable oxygen adsorbed on
the surface of BP, but the adsorption can be restored in a few hours exposure. In
addition, both back and top gate inverted BP FETs exhibit a favorable
performance. Therefore, this inverted structure is also an optional structure to
reduce the influence of the instability of BP devices.
PMID- 27885623
TI - Shape- and Size-Controlled Synthesis of Silver Nanoparticles Using Aloe vera
Plant Extract and Their Antimicrobial Activity.
AB - Biogenic synthesis of silver nanoparticles (AgNP) was performed at room
temperature using Aloe vera plant extract in the presence of ammoniacal silver
nitrate as a metal salt precursor. The formation of AgNP was monitored by UV
visible spectroscopy at different time intervals. The shape and size of the
synthesized particle were visualized by scanning electron microscopy (SEM) and
transmission electron microscopy (TEM) observations. These results were confirmed
by X-ray powder diffraction (XRD) and Fourier transform infrared spectroscopy
(FTIR) analyses and further supported by surface-enhanced Raman
spectroscopy/Raman scattering (SERS) study. UV-visible spectrum has shown a sharp
peak at 420 nm and further evidenced by FTIR peak profile (at 1587.6, 1386.4, and
1076 cm-1 with corresponding compounds). The main band position with SERS was
noticed at 1594 cm-1 (C-C stretching vibration). When samples were heated under
microwave radiation, AgNP with octahedron shapes with 5-50 nm were found and this
method can be one of the easier ways to synthesis anisotropic AgNP, in which the
plant extract plays a vital role to regulate the size and shape of the
nanoparticles. Enhanced antibacterial effects (two- to fourfold) were observed in
the case of Aloe vera plant protected AgNP than the routinely synthesized
antibiotic drugs. Shape and size-controlled synthesis of silver nanoparticles
using Aloe vera plant extract.
PMID- 27885624
TI - Introduction to the Glutamate-Glutamine Cycle.
AB - The term 'glutamate-glutamine cycle' was coined several decades ago based on the
observation that using certain 14C-labeled precursors for studies of brain
metabolism the specific radioactivity of glutamine generated from glutamate was
higher than that of glutamate, its immediate precursor. This is metabolically
impossible unless it is assumed that at least two distinct pools of these amino
acids exist. This combined with the finding that the enzyme synthesizing
glutamine from glutamate was expressed in astrocytes but not in neurons formed
the basis of the notion that a cycle must exist in which glutamate released from
neurons is transported into astrocytes, converted to glutamine which is
subsequently returned to neurons and converted to glutamate by an enzyme the
activity of which is much higher in neurons than in astrocytes. Originally this
cycle was supposed to function in a stoichiometric fashion but more recent
research has seriously questioned this.This volume of Advances in Neurobiology is
intended to provide a detailed discussion of recent developments in research
aimed at delineating the functional roles of the cycle taking into account that
in order for this system to work there must be a tight coupling between
metabolism of glutamate in astrocytes, transfer of glutamine to neurons and de
novo synthesis of glutamine in astrocytes. To understand this, knowledge about
the activity and regulation of the enzymes and transporters involved in these
processes is required and as can be seen from the table of contents these issues
will be dealt with in detail in the individual chapters of the book.
PMID- 27885625
TI - Glucose, Lactate, beta-Hydroxybutyrate, Acetate, GABA, and Succinate as
Substrates for Synthesis of Glutamate and GABA in the Glutamine-Glutamate/GABA
Cycle.
AB - The glutamine-glutamate/GABA cycle is an astrocytic-neuronal pathway transferring
precursors for transmitter glutamate and GABA from astrocytes to neurons. In
addition, the cycle carries released transmitter back to astrocytes, where a
minor fraction (~25 %) is degraded (requiring a similar amount of resynthesis)
and the remainder returned to the neurons for reuse. The flux in the cycle is
intense, amounting to the same value as neuronal glucose utilization rate or 75
80 % of total cortical glucose consumption. This glucose:glutamate ratio is
reduced when high amounts of beta-hydroxybutyrate are present, but beta
hydroxybutyrate can at most replace 60 % of glucose during awake brain function.
The cycle is initiated by alpha-ketoglutarate production in astrocytes and its
conversion via glutamate to glutamine which is released. A crucial reaction in
the cycle is metabolism of glutamine after its accumulation in neurons. In
glutamatergic neurons all generated glutamate enters the mitochondria and its
exit to the cytosol occurs in a process resembling the malate-aspartate shuttle
and therefore requiring concomitant pyruvate metabolism. In GABAergic neurons one
half enters the mitochondria, whereas the other one half is released directly
from the cytosol. A revised concept is proposed for the synthesis and metabolism
of vesicular and nonvesicular GABA. It includes the well-established neuronal
GABA reuptake, its metabolism, and use for resynthesis of vesicular GABA. In
contrast, mitochondrial glutamate is by transamination to alpha-ketoglutarate and
subsequent retransamination to releasable glutamate essential for the
transaminations occurring during metabolism of accumulated GABA and subsequent
resynthesis of vesicular GABA.
PMID- 27885626
TI - Anaplerosis for Glutamate Synthesis in the Neonate and in Adulthood.
AB - A central task of the tricarboxylic acid (TCA, Krebs, citric acid) cycle in brain
is to provide precursors for biosynthesis of glutamate, GABA, aspartate and
glutamine. Three of these amino acids are the partners in the intricate
interaction between astrocytes and neurons and form the so-called glutamine
glutamate (GABA) cycle. The ketoacids alpha-ketoglutarate and oxaloacetate are
removed from the cycle for this process. When something is removed from the TCA
cycle it must be replaced to permit the continued function of this essential
pathway, a process termed anaplerosis. This anaplerotic process in the brain is
mainly carried out by pyruvate carboxylation performed by pyruvate carboxylase.
The present book chapter gives an introduction and overview into this
carboxylation and additionally anaplerosis mediated by propionyl-CoA carboxylase
under physiological conditions in the adult and in the developing rodent brain.
Furthermore, examples are given about pathological conditions in which
anaplerosis is disturbed.
PMID- 27885627
TI - Enzyme Complexes Important for the Glutamate-Glutamine Cycle.
AB - Transient multienzyme and/or multiprotein complexes (metabolons) direct
substrates toward specific pathways and can significantly influence the
metabolism of glutamate and glutamine in the brain. Glutamate is the primary
excitatory neurotransmitter in brain. This neurotransmitter has essential roles
in normal brain function including learning and memory. Metabolism of glutamate
involves the coordinated activity of astrocytes and neurons and high affinity
transporter proteins that are selectively distributed on these cells. This
chapter describes known and possible metabolons that affect the metabolism of
glutamate and related compounds in the brain, as well as some factors that can
modulate the association and dissociation of such complexes, including protein
modifications by acylation reactions (e.g., acetylation, palmitoylation,
succinylation, SUMOylation, etc.) of specific residues. Development of strategies
to modulate transient multienzyme and/or enzyme-protein interactions may
represent a novel and promising therapeutic approach for treatment of diseases
involving dysregulation of glutamate metabolism.
PMID- 27885629
TI - Glutaminases.
AB - Mammalian glutaminases catalyze the stoichiometric conversion of L-glutamine to L
glutamate and ammonium ions. In brain, glutaminase is considered the prevailing
pathway for synthesis of the neurotransmitter pool of glutamate. Besides
neurotransmission, the products of glutaminase reaction also fulfill crucial
roles in energy and metabolic homeostasis in mammalian brain. In the last years,
new functional roles for brain glutaminases are being uncovered by using
functional genomic and proteomic approaches. Glutaminases may act as
multifunctional proteins able to perform different tasks: the discovery of
multiple transcript variants in neurons and glial cells, novel extramitochondrial
localizations, and isoform-specific proteininteracting partners strongly support
possible moonlighting functions for these proteins. In this chapter, we present a
critical account of essential works on brain glutaminase 80 years after its
discovery. We will highlight the impact of recent findings and thoughts in the
context of the glutamate/glutamine brain homeostasis.
PMID- 27885628
TI - BCAA Metabolism and NH3 Homeostasis.
AB - The branched chain amino acids (BCAA) are essential amino acids required not only
for growth and development, but also as nutrient signals and as nitrogen donors
to neurotransmitter synthesis and glutamate/glutamine cycling. Transamination and
oxidative decarboxylation of the BCAAs are catalysed by the branched-chain
aminotransferase proteins (BCATm, mitochondrial and BCATc, cytosolic) and the
branched-chain alpha-keto acid dehydrogenase enzyme complex (BCKDC),
respectively. These proteins show tissue, cell compartmentation, and protein
protein interactions, which call for substrate shuttling or channelling and
nitrogen transfer for oxidation to occur. Efficient regulation of these pathways
is mediated through the redox environment and phosphorylation in response to
dietary and hormonal stimuli. The wide distribution of these proteins allows for
effective BCAA utilisation. We discuss how BCAT, BCKDC, and glutamate
dehydrogenase operate in supramolecular complexes, allowing for efficient
channelling of substrates. The role of BCAAs in brain metabolism is highlighted
in rodent and human brain, where differential expression of BCATm indicates
differences in nitrogen metabolism between species. Finally, we introduce a new
role for BCAT, where a change in function is triggered by oxidation of its redox
active switch. Our understanding of how BCAA metabolism and nitrogen transfer is
regulated is important as many studies now point to BCAA metabolic dysregulation
in metabolic and neurodegenerative conditions.
PMID- 27885630
TI - Vesicular Glutamate Uptake.
AB - Glutamate is an excitatory neurotransmitter widely used in the vertebrate central
nervous systems. The synaptic transmission process is characterized by three
steps: (1) presynaptic vesicular transmitter uptake, (2) presynaptic release, and
(3) postsynaptic receptor activation. Presynaptic vesicular glutamate uptake
plays an initial pivotal role in glutamate transmission by concentrating
glutamate in the vesicular lumen prior to its release. This active glutamate
transport harnesses energy derived from ATP hydrolysis, and intra- or
extravesicular chloride, and is highly specific to glutamate. The uptake system
consists of a vesicular glutamate transporter (VGLUT) and v-type proton-pump
ATPase, which generates an electrochemical proton gradient, the driving force of
the transport. The major source of ATP is likely to be supplied by glycolytic
vesicle-bound enzymes, glyceraldehyde 3-phosphate dehydrogenase, and 3
phosphoglycerate kinase, rather than by mitochondrial ATP synthase. The VGLUT
substrate glutamate is proposed to be synthesized by vesicle-bound aspartate
amino transferase from alpha-ketoglutarate, not directly from glutamine. VGLUT
has three isoforms, and gaged by their distributions they perform different
physiological functions. The mechanism and regulation of vesicular glutamate
uptake are discussed. The pharmacology of vesicular glutamate uptake is a
developing field of inquiry.
PMID- 27885631
TI - The Glutamine Transporters and Their Role in the Glutamate/GABA-Glutamine Cycle.
AB - Glutamine is a key amino acid in the CNS, playing an important role in the
glutamate/GABA-glutamine cycle (GGC). In the GGC, glutamine is transferred from
astrocytes to neurons, where it will replenish the inhibitory and excitatory
neurotransmitter pools. Different transporters participate in this neural
communication, i.e., the transporters responsible for glutamine efflux from
astrocytes and influx into the neurons, such as the members of the SNAT, LAT,
y+LAT, and ASC families of transporters. The SNAT family consists of the
transporter isoforms SNAT3 and SNAT5 that are related to efflux from the
astrocytic compartment, and SNAT1 and SNAT2 that are associated with glutamine
uptake into the neuronal compartment. The isoforms SNAT7 and SNAT8 do not have
their role completely understood, but they likely also participate in the GGC.
The isoforms LAT2 and y+LAT2 facilitate the exchange of neutral amino acids and
cationic amino acids (y+LAT2 isoform) and have been associated with glutamine
efflux from astrocytes. ASCT2 is a Na+-dependent antiporter, the participation of
which in the GGC also remains to be better characterized. All these isoforms are
tightly regulated by transcriptional and translational mechanisms, which are
induced by several determinants such as amino acid deprivation, hormones, pH, and
the activity of different signaling pathways. Dysfunctional glutamine transporter
activity has been associated with the pathophysiological mechanisms of certain
neurologic diseases, such as Hepatic Encephalopathy and Manganism. However, there
might also be other neuropathological conditions associated with an altered GGC,
in which glutamine transporters are dysfunctional. Hence, it appears to be of
critical importance that the physiological and pathological aspects of glutamine
transporters are thoroughly investigated.
PMID- 27885632
TI - Glutamine Metabolism in Gliomas.
AB - By histological, morphological criteria, and malignancy, brain tumors are
classified by WHO into grades I (most benign) to IV (highly malignant), and
gliomas are the most frequently occurring class throughout the grades. Similar to
peripheral tumors, the growth of glia-derived tumor cells largely depends on
glutamine (Gln), which is vividly taken up by the cells, using mostly ASCT2 and
SN1 as Gln carriers. Tumor growth-promoting effects of Gln are associated with
its phosphate-activated glutaminase (GA) (specifically KGA)-mediated degradation
to glutamate (Glu) and/or with its entry to the energy- and intermediate
metabolite-generating pathways related to the tricarboxylic acid cycle. However,
a subclass of liver-type GA are absent in glioma cells, a circumstance which
allows phenotype manipulations upon their transfection to the cells. Gln-derived
Glu plays a major role in promoting tumor proliferation and invasion. Glu is
relatively inefficiently recycled to Gln and readily leaves the cells by exchange
with the extracellular pool of the glutathione (GSH) precursor Cys mediated by xc
transporter. This results in (a) cell invasion-fostering interaction of Glu with
ionotropic Glu receptors in the surrounding tissue, (b) intracellular
accumulation of GSH which increases tumor resistance to radio- and chemotherapy.
PMID- 27885633
TI - Oligodendrocytes: Development, Physiology and Glucose Metabolism.
AB - The glutamate-glutamine cycle is an outstanding example of how essential neuronal
glial interactions are for brain function. For several decades, this and other
metabolic cycles in the brain have only included neurons and astrocytes but not
oligodendrocytes, the myelinating cells of the central nervous system (CNS).
Recent data revealed that oligodendrocytes are highly metabolically active cells
in the brain and, therefore, should not be ignored. Using 13C-labelled glucose in
combination with nuclear magnetic resonance spectroscopy (MRS) and/or mass
spectrometry (MS) it is possible to characterize metabolic functions in primary
oligodendrocyte cultures. Mature rat oligodendrocytes avidly metabolize glucose
in the cytosol and pyruvate derived from glucose in mitochondria. Moreover, they
seem to have the ability of performing anaplerosis from pyruvate, which might
enable them to synthesize metabolites de novo and transfer them to neighbouring
cells. All these original findings highlight the importance of investigating
oligodendrocyte metabolism separately from that of astrocytes and neurons to be
able to discern the roles played by the individual partners. This is of
particular importance in the white matter where the number of oligodendrocytes is
considerable. The present book chapter provides some background on
oligodendrocyte biology and physiology and summarizes the not very extensive
information published on glucose metabolism in oligodendrocytes.
PMID- 27885634
TI - Dysregulation of Glutamate Cycling Mediates Methylmercury-Induced Neurotoxicity.
AB - To examine the toxicological implications of glutamate, this chapter will focus
specifically on its impact in the brain. More explicitly, it will illustrate the
role glutamate plays in mediating methylmercury (MeHg)-induced neurotoxicity. In
this chapter, one intends to highlight the processes that occur prior to
glutamate-stimulated excitotoxicity and subsequent neurodegeneration. As such, it
will emphasize three main routes by which MeHg alters glutamate homeostasis. It
is essential to recognize that these effects are not mutually exclusive, and that
they synergistically influence glutamate dysregulation. Furthermore, the
consequences of MeHg exposure will be presented here as a direct pathway;
however, it must be noted these effects occur simultaneously. First, glutamate
uptake will be reviewed emphasizing the function of astrocytes. Next, the
induction of oxidative stress by MeHg exposure will be discussed. This process
has a two-fold effect on glutamate homeostasis by (1) inhibiting extracellular
glutamate uptake and (2) altering transcription of genes vital to glutamate
cycling. Finally, the impact glutamate dysregulation has on glutathione synthesis
will be examined. Although this chapter centers on the link between glutamate and
MeHg toxicity, it is imperative that the reader acknowledges the processes
discussed here can be extended to any pro-oxidant.
PMID- 27885635
TI - Astroglia, Glutamatergic Transmission and Psychiatric Diseases.
AB - Astrocytes are primary homeostatic cells of the central nervous system. They
regulate glutamatergic transmission through the removal of glutamate from the
extracellular space and by supplying neurons with glutamine. Glutamatergic
transmission is generally believed to be significantly impaired in the contexts
of all major neuropsychiatric diseases. In most of these neuropsychiatric
diseases, astrocytes show signs of degeneration and atrophy, which is likely to
be translated into reduced homeostatic capabilities. Astroglial glutamate
uptake/release and glutamate homeostasis are affected in all forms of major
psychiatric disorders and represent a common mechanism underlying
neurotransmission disbalance, aberrant connectome and overall failure on
information processing by neuronal networks, which underlie pathogenesis of
neuropsychiatric diseases.
PMID- 27885636
TI - Glutamine Synthetase: Role in Neurological Disorders.
AB - Glutamine synthetase (GS) is an ATP-dependent enzyme found in most species that
synthesizes glutamine from glutamate and ammonia. In brain, GS is exclusively
located in astrocytes where it serves to maintain the glutamate-glutamine cycle,
as well as nitrogen metabolism. Changes in the activity of GS, as well as its
gene expression, along with excitotoxicity, have been identified in a number of
neurological conditions. The literature describing alterations in the activation
and gene expression of GS, as well as its involvement in different neurological
disorders, however, is incomplete. This review summarizes changes in GS gene
expression/activity and its potential contribution to the pathogenesis of several
neurological disorders, including hepatic encephalopathy, ischemia, epilepsy,
Alzheimer's disease, amyotrophic lateral sclerosis, traumatic brain injury,
Parkinson's disease, and astroglial neoplasms. This review also explores the
possibility of targeting GS in the therapy of these conditions.
PMID- 27885637
TI - The Glutamate-Glutamine Cycle in Epilepsy.
AB - Epilepsy is a complex, multifactorial disease characterized by spontaneous
recurrent seizures and an increased incidence of comorbid conditions such as
anxiety, depression, cognitive dysfunction, and sudden unexpected death. About 70
million people worldwide are estimated to suffer from epilepsy, and up to one
third of all people with epilepsy are expected to be refractory to current
medications. Development of more effective and specific antiepileptic
interventions is therefore requisite. Perturbations in the brain's glutamate
glutamine cycle, such as increased extracellular levels of glutamate, loss of
astroglial glutamine synthetase, and changes in glutaminase and glutamate
dehydrogenase, are frequently encountered in patients with epilepsy. Hence,
manipulations of discrete glutamate-glutamine cycle components may represent
novel approaches to treat the disease. The goal of his review is to discuss some
of the glutamate-glutamine cycle components that are altered in epilepsy,
particularly neurotransmitters and metabolites, enzymes, amino acid transporters,
and glutamate receptors. We will also review approaches that potentially could be
used in humans to target the glutamate-glutamine cycle. Examples of such
approaches are treatment with glutamate receptor blockers, glutamate scavenging,
dietary intervention, and hypothermia.
PMID- 27885638
TI - When will biomedical research enter the 21st century? A 'young' perspective.
PMID- 27885639
TI - Cosmopolitanism and the relevance of 'zombie concepts': the case of anomic
suicide amongst Alevi Kurd youth.
AB - Against Beck's claims that conventional sociological concepts and categories are
zombie categories, this paper argues that Durkheim's theoretical framework in
which suicide is a symptom of an anomic state of society can help us understand
the diversity of trajectories that transnational migrants follow and that shape
their suicide rates within a cosmopolitan society. Drawing on ethnographic data
collected on eight suicides and three attempted suicide cases of second
generation male Alevi Kurdish migrants living in London, this article explains
the impact of segmented assimilation/adaptation trajectories on the incidence of
suicide and how their membership of a 'new rainbow underclass', as a
manifestation of cosmopolitan society, is itself an anomic social position with a
lack of integration and regulation.
PMID- 27885640
TI - Differences in Topographical Pressure Pain Sensitivity Maps of the Scalp Between
Patients With Migraine and Healthy Controls.
AB - OBJECTIVE: To investigate differences in topographical pressure pain sensitivity
maps of the scalp between patients with migraine and healthy controls considering
the chronicity (episodic/chronic) and side (strictly unilateral/bilateral) of the
symptoms. BACKGROUND: It seems that the trigeminal area is sensitized in
migraine. No study has investigated topographical pressure sensitivity maps of
the scalp in patients with migraine. METHODS: Pressure pain thresholds (PPTs)
were assessed from 21 points distributed over the scalp in 86 patients with
episodic migraine, 76 with chronic migraine, and 42 healthy age and matched
healthy controls in a blinded design. Topographical pressure pain sensitivity
maps based on interpolation of the PPTs were constructed. Clinical features of
migraine, anxiety, and depression (Hospital Anxiety and Depression Scale, HADS)
were collected. RESULTS: The multivariate ANCOVA revealed significant differences
in PPT between points (F = 55.674; P < .001) and groups (F = 5.316; P = .006),
but not sides (F = 0.880; P = .417). No significant effect of gender (F = 0.897;
P = .656), depression (F = 1.109; P = .220), or anxiety (F = 0.981; F = 0.569)
was found. Post hoc comparisons revealed: (1) lower PPTs in both migraine groups
than in healthy controls in all points (P < .001); (2) no significant differences
between chronic or episodic migraine (P > .335) except for Fp1 (P = .045) and Fp2
(P = .017) points where subjects with chronic migraine had lower PPTs than those
with episodic migraine; (3) no differences between bilateral/unilateral migraine
(P > .417). An anterior to posterior gradient was found, with the lowest PPTs
located in frontal regions and the highest PPTs in occipital areas (all groups, P
< .001). CONCLUSIONS: We found that patients with migraine exhibited generalized
pressure pain hypersensitivity in the head as compared to healthy controls and
that hypersensitivity was similar between episodic/chronic and
unilateral/bilateral migraine. Topographical pressure pain sensitivity maps
revealed an anterior to posterior gradient of pressure pain sensitivity in both
migraine and control groups.
PMID- 27885641
TI - Apophyseal Ossification of the Iliac Crest in Forensic Age Estimation: Computed
Tomography Standards for Modern Australian Subadults.
AB - This study contrasts the ontogeny of the iliac crest apophysis using conventional
radiography and multislice computed tomography (MSCT), providing probabilistic
information for age estimation of modern Australian subadults. Retrospective
abdominopelvic MSCT data acquired from 524 Australian individuals aged 7-25 and
surveillance radiographs of adolescent idiopathic scoliosis patients included in
the Paediatric Spine Research Group Progression Study (n = 531) were assessed.
Ossification scoring of pseudo-radiographs and three-dimensional (3D) volume
rendered reconstructions using Risser (1958) quantitative descriptors indicate
discrepancies in age estimates, stage allocation, and conflicting morphological
progression. To mitigate visualization limitations associated with two
dimensional radiographs, we provide and validate a modified 3D-MSCT scoring tier
of ossification, demonstrating complete fusion between 17.3-19.2 and 17.1-20.1
years in males and females. Legal demarcation for doli incapax presumption and
age of majority (18 years) can be achieved using probability estimates from a
fitted cumulative probit model for apophyseal fusion using the recalibrated
standards.
PMID- 27885650
TI - Anti-IL-12/23p40 antibodies for induction of remission in Crohn's disease.
AB - BACKGROUND: Ustekinumab (CNTO 1275) and briakinumab (ABT-874) are monoclonal
antibodies that target the standard p40 subunit of the cytokines interleukin-12
and interleukin-23 (IL-12/23p40), which are involved in the pathogenesis of
Crohn's disease. OBJECTIVES: The objectives of this review were to assess the
efficacy and safety of anti-IL-12/23p40 antibodies for induction of remission in
Crohn's disease. SEARCH METHODS: We searched the following databases from
inception to 12 September 2016: PubMed, MEDLINE, EMBASE, and the Cochrane Library
(CENTRAL). References and conference abstracts were searched to identify
additional studies. SELECTION CRITERIA: Randomized controlled trials (RCTs)
trials in which monoclonal antibodies against IL-12/23p40 were compared to
placebo or another active comparator in patients with active Crohn's disease were
included. DATA COLLECTION AND ANALYSIS: Two authors independently screened
studies for inclusion and extracted data. Methodological quality was assessed
using the Cochrane risk of bias tool. The primary outcome was failure to induce
clinical remission, defined as a Crohn's disease activity index (CDAI) of < 150
points. Secondary outcomes included failure to induce clinical improvement,
adverse events, serious adverse events, and withdrawals due to adverse events.
Clinical improvement was defined as decreases of > 70 or > 100 points in the CDAI
from baseline. We calculated the risk ratio (RR) and 95% confidence intervals
(95% CI) for each outcome. Data were analyzed on an intention-to-treat basis. The
overall quality of the evidence supporting the outcomes was evaluated using the
GRADE criteria. MAIN RESULTS: Six RCTs (n = 2324 patients) met the inclusion
criteria. A low risk of bias was assigned to all studies. The two briakinumab
trials were not pooled due to differences in doses and time points for analysis.
In both studies there was no statistically significant difference in remission
rates. One study (n = 79) compared doses of 1 mg/kg and 3 mg/kg to placebo. In
the briakinumab group 70% (44/63) of patients failed to enter clinical remission
at 6 or 9 weeks compared to 81% (13/16) of placebo patients (RR 0.86, 95% CI 0.65
to 1.14). Subgroup analysis revealed no significant differences by dose. The
other briakinumab study (n = 230) compared intravenous doses of 200 mg, 400 mg
and 700 mg with placebo. Eighty-four per cent (154/184) of briakinumab patients
failed to enter clinical remission at six weeks compared to 91% (42/46) of
placebo patients (RR 0.92, 95% CI 0.83 to 1.03). Subgroup analysis revealed no
significant differences by dose. GRADE analyses of the briakinumab studies rated
the overall quality of the evidence for the outcome clinical remission as low.
Based on the results of these two studies the manufacturers of briakinumab
stopped production of this medication. The ustekinumab studies were pooled
despite differences in intravenous doses (i.e. 1mg/kg, 3 mg/kg, 4.5 mg/kg, and 6
mg/kg), however the subcutaneous dose group was not included in the analysis, as
it was unclear if subcutaneous was equivalent to intravenous dosing. There was a
statistically significant difference in remission rates. At week six, 84%
(764/914) of ustekinumab patients failed to enter remission compared to 90%
(367/406) of placebo patients (RR 0.92, 95% CI 0.88 to 0.96; 3 studies; high
quality evidence). Subgroup analysis showed a statistically significant
difference for the 6.0 mg/kg dose group (moderate-quality evidence). There were
statistically significant differences in clinical improvement between ustekinumab
and placebo-treated patients. In the ustekinumab group, 55% (502/914) of patients
failed to improve clinically (i.e. 70-point decline in CDAI score), compared to
71% (287/406) of placebo patients (RR 0.78, 95% CI 0.71 to 0.85; 3 studies).
Subgroup analysis revealed significant differences compared to placebo for the 1
mg/kg, 4.5 mg/kg and 6 mg/kg dosage subgroups. Similarly for a 100-point decline
in CDAI, 64% (588/914) of patients in the ustekinumab group failed to improve
clinically compared to 78% (318/406) of placebo patients (RR 0.82, 95% CI 0.77 to
0.88; 3 studies; high-quality evidence). Subgroup analysis showed a significant
difference compared to placebo for the 4.5 mg/kg and 6.0 mg/kg (high-quality
evidence) dose groups. There were no statistically significant differences in the
incidence of adverse events, serious adverse events or withdrawal due to adverse
events. Sixty-two per cent (860/1386) of ustekinumab patients developed at least
one adverse event compared to 64% (407/637) of placebo patients (RR 0.97, 95% CI
0.90 to 1.04; 4 studies; high-quality evidence). Five per cent (75/1386) of
ustekinumab patients had a serious adverse event compared to 6% (41/637) of
placebo patients (RR 0.83, 95% CI 0.58 to 1.20; 4 studies; moderate-quality
evidence). The most common adverse events in briakinumab patients were injection
site reactions and infections. Infections were the most common adverse event in
ustekinumab patients. Worsening of Crohn's disease and serious infections were
the most common serious adverse events. AUTHORS' CONCLUSIONS: High quality
evidence suggests that ustekinumab is effective for induction of clinical
remission and clinical improvement in patients with moderate to severe Crohn's
disease. Moderate to high quality evidence suggests that the optimal dosage of
ustekinumab is 6 mg/kg. Briakinumab and ustekinumab appear to be safe. Moderate
quality evidence suggests no increased risk of serious adverse events. Future
studies are required to determine the long-term efficacy and safety of
ustekinumab in patients with moderate to severe Crohn's disease.
PMID- 27885651
TI - Barossa Night: cohesion in the British Army officer corps.
AB - Contrasting the classical explanation of military group cohesion as sustained by
interpersonal bonds, recent scholars have highlighted the importance of
ritualized communication, training and drills in explaining effective military
performance in professional armies. While this has offered a welcome addition to
the cohesion literature and a novel micro-sociological method of examining
cohesion, its primary evidential base has been combat groups. Indeed, despite
their prominent role in directing operations over the past decade, the British
Army's officer corps has received relatively little attention from sociologists
during this period. No attempt has been made to explain cohesion in the officer
corps. Using a similar method to recent cohesion scholars, this paper seeks to
address this imbalance by undertaking a micro-sociology of one ritual in
particular: 'Barossa Night' in the Royal Irish Regiment. Firstly, it draws on the
work of Durkheim to examine how cohesion amongst the officer corps is created and
sustained through a dense array of practises during formal social rituals. It
provides evidence that the use of rituals highlights that social solidarity is
central to understanding officer cohesion. Secondly, following Hockey's work on
how private soldiers negotiate order, the paper shows how this solidarity in the
officer corps is based on a degree of negotiated order and the need to release
organizational tensions inherent in a strictly hierarchical rank structure. It
highlights how the awarding of gallantry medals can threaten this negotiated
order and fuel deviancy. In examining this behaviour, the paper shows that even
amongst an officer class traditionally viewed as the elite upholders of
organizational discipline, the negotiation of rank and hierarchy can be fluid.
How deviant behaviour is later accepted and normalized by senior officers
indicates that negotiated order is as important to understanding cohesion in the
British Army's officer corps as it is amongst private soldiers.
PMID- 27885652
TI - Intercellular translocation of molecules via plasmodesmata in the multiseriate
filamentous brown alga, Halopteris congesta (Sphacelariales, Phaeophyceae).
AB - Despite the high number of studies on the fine structure of brown algal cells,
only limited information is available on the intercelluar transportation of
molecules via plasmodesmata in brown algae. In this study, plasmodesmatal
permeability of Halopteris congesta was examined by observing the translocation
of microinjected fluorescent tracers of different molecular sizes. The tip region
of H. congesta consists of a cylindrical apical cell, while the basal region is
multiseriate. Fluorescein isothiocyanate-dextran (FD; 3, 10, and 20 kDa) and
recombinant green fluorescent protein (27 kDa) were injected into the apical cell
and were observed to diffuse into the neighboring cells. FD of 40 kDa was
detected only in the injected apical cell. The plasmodesmatal size exclusion
limit was considered to be more than 20 kDa and less than 40 kDa. The extent of
translocation of 3 and 10 kDa FD from the apical to neighboring cells 2 h
postinjection was estimated based on the fluorescence intensity. It was suggested
that the diffusing capacity of plasmodesmata varied according to molecular size.
In order to examine acropetal and/or basipetal direction of molecular movement, 3
and 10 kDa FD were injected into the third cell from the apical cell. Successive
observations indicated that the diffusion of fluorescence in the acropetal
direction took longer than that in the basipetal direction. No ultrastructural
difference in plasmodesmata was noted among the cross walls.
PMID- 27885653
TI - Comparing Standard and Selective Degradation DNA Extraction Methods: Results from
a Field Experiment with Sexual Assault Kits.
AB - : A growing number of U.S. cities have large numbers of untested sexual assault
kits (SAKs) in police property facilities. Testing older kits and maintaining
current case work will be challenging for forensic laboratories, creating a need
for more efficient testing methods. METHODS: We evaluated selective degradation
methods for DNA extraction using actual case work from a sample of previously
unsubmitted SAKs in Detroit, Michigan. We randomly assigned 350 kits to either
standard or selective degradation testing methods and then compared DNA testing
rates and CODIS entry rates between the two groups. RESULTS AND CONCLUSIONS:
Continuation-ratio modeling showed no significant differences, indicating that
the selective degradation method had no decrement in performance relative to
customary methods. Follow-up equivalence tests indicated that CODIS entry rates
for the two methods could differ by more than +/-5%. Selective degradation
methods required less personnel time for testing and scientific review than
standard testing.
PMID- 27885654
TI - Historical Trends in Graduate Research and Training of Diplomates of the American
Board of Forensic Anthropology.
AB - The history of forensic anthropology has been documented by numerous scholars.
These contributions have described the work of early pioneers in the field and
have described important milestones, such as the founding of the Physical
Anthropology Section of the American Academy of Forensic Sciences (AAFS) in 1972
and the American Board of Forensic Anthropology (ABFA) in 1977. This paper
contributes to the growing literature on the history of forensic anthropology by
documenting the academic training of all individuals who have been granted
diplomate status by the ABFA (n = 115). Doctoral dissertation titles were queried
to discern broad patterns of research foci. A total of 39 doctoral granting
institutions have trained diplomates and 77.3% of board-certified forensic
anthropologists wrote dissertations involving skeletal biology, bioarchaeology,
or forensic anthropology. Board-certified forensic anthropologists are a broadly
trained group of professionals with far-reaching anthropological interests and
expertise.
PMID- 27885655
TI - A landscape-scale field experiment reveals the importance of dispersal in a
resource-limited metacommunity.
AB - Dispersal may play a strong role in driving species diversity across landscapes.
Theoretically, dispersal permits species to remain extant within a metacommunity,
even if they are periodically excluded from some local communities. Field tests
of dispersal effects are difficult, and most non-experimental data suggest that
environmental conditions play the predominant role in setting species diversity.
However, most such studies cannot differentiate between patterns caused primarily
by dispersal constraints vs. abiotic factors vs. biotic constraints (e.g.,
priority effects). In 22 km of a sand-bed stream in southeastern Australia,
strong longitudinal gradients in the abiotic environment and detritus densities
(resources) mean that downstream locations have abiotic conditions that may be
physiologically stressful, low resource densities and low species diversities. We
experimentally increased the retention of detritus over 40 m stream lengths along
the gradient, with other sites acting as controls. If dispersal is constrained,
or abiotic or biotic factors primarily control community structure, then we
predicted that increasing resources would result in no change in species
composition. Alternatively, if dispersal is common, we predicted that species
diversity would increase at treatment sites through colonization by species able
to tolerate abiotic conditions downstream and able to invade established
communities. Invertebrates were sampled prior to manipulation and then four times
(1, 4, 9 and 12 months) following manipulation. Detrital standing stocks
increased by an order of magnitude at treatment sites. Over 1 yr, invertebrate
densities and species richness also increased in treatment sites. Effect sizes
were strong in middle and downstream areas, which were colonized by upstream
species. Thus, faunal composition of the downstream treatment sites became more
similar to upstream locales, and beta-diversity across treatment sites declined
as alpha-diversity increased. Out of 54 common taxa, roughly half responded to
the experiment; responders and non-responders had similar proportions of upstream
specialists and of different functional feeding groups. Contrary to many non
experimental studies, our results demonstrate that extensive dispersal can be
very important and, for many species, potentially more important than the abiotic
environment or biotic constraints in affecting community structure when adequate
resources are available.
PMID- 27885656
TI - PHF6 mutations in paediatric acute myeloid leukaemia.
PMID- 27885659
TI - Tribute to Reviewers.
PMID- 27885658
TI - Early skin-to-skin contact for mothers and their healthy newborn infants.
AB - BACKGROUND: Mother-infant separation post birth is common. In standard hospital
care, newborn infants are held wrapped or dressed in their mother's arms, placed
in open cribs or under radiant warmers. Skin-to-skin contact (SSC) begins ideally
at birth and should last continually until the end of the first breastfeeding.
SSC involves placing the dried, naked baby prone on the mother's bare chest,
often covered with a warm blanket. According to mammalian neuroscience, the
intimate contact inherent in this place (habitat) evokes neuro-behaviors ensuring
fulfillment of basic biological needs. This time frame immediately post birth may
represent a 'sensitive period' for programming future physiology and behavior.
OBJECTIVES: To assess the effects of immediate or early SSC for healthy newborn
infants compared to standard contact on establishment and maintenance of
breastfeeding and infant physiology. SEARCH METHODS: We searched the Cochrane
Pregnancy and Childbirth Group's Trials Register (17 December 2015), made
personal contact with trialists, consulted the bibliography on kangaroo mother
care (KMC) maintained by Dr Susan Ludington, and reviewed reference lists of
retrieved studies. SELECTION CRITERIA: Randomized controlled trials that compared
immediate or early SSC with usual hospital care. DATA COLLECTION AND ANALYSIS:
Two review authors independently assessed trials for inclusion and risk of bias,
extracted data and checked them for accuracy. Quality of the evidence was
assessed using the GRADE approach. MAIN RESULTS: We included 46 trials with 3850
women and their infants; 38 trials with 3472 women and infants contributed data
to our analyses. Trials took place in 21 countries, and most recruited small
samples (just 12 trials randomized more than 100 women). Eight trials included
women who had SSC after cesarean birth. All infants recruited to trials were
healthy, and the majority were full term. Six trials studied late preterm infants
(greater than 35 weeks' gestation). No included trial met all criteria for good
quality with respect to methodology and reporting; no trial was successfully
blinded, and all analyses were imprecise due to small sample size. Many analyses
had statistical heterogeneity due to considerable differences between SSC and
standard care control groups. Results for womenSSC women were more likely than
women with standard contact to be breastfeeding at one to four months post birth,
though there was some uncertainty in this estimate due to risks of bias in
included trials (average risk ratio (RR) 1.24, 95% confidence interval (CI) 1.07
to 1.43; participants = 887; studies = 14; I2 = 41%; GRADE: moderate quality).
SSC women also breast fed their infants longer, though data were limited (mean
difference (MD) 64 days, 95% CI 37.96 to 89.50; participants = 264; studies =
six; GRADE:low quality); this result was from a sensitivity analysis excluding
one trial contributing all of the heterogeneity in the primary analysis. SSC
women were probably more likely to exclusively breast feed from hospital
discharge to one month post birth and from six weeks to six months post birth,
though both analyses had substantial heterogeneity (from discharge average RR
1.30, 95% CI 1.12 to 1.49; participants = 711; studies = six; I2 = 44%; GRADE:
moderate quality; from six weeks average RR 1.50, 95% CI 1.18 to 1.90;
participants = 640; studies = seven; I2 = 62%; GRADE: moderate quality).Women in
the SCC group had higher mean scores for breastfeeding effectiveness, with
moderate heterogeneity (IBFAT (Infant Breastfeeding Assessment Tool) score MD
2.28, 95% CI 1.41 to 3.15; participants = 384; studies = four; I2 = 41%). SSC
infants were more likely to breast feed successfully during their first feed,
with high heterogeneity (average RR 1.32, 95% CI 1.04 to 1.67; participants =
575; studies = five; I2 = 85%). Results for infantsSSC infants had higher SCRIP
(stability of the cardio-respiratory system) scores overall, suggesting better
stabilization on three physiological parameters. However, there were few infants,
and the clinical significance of the test was unclear because trialists reported
averages of multiple time points (standardized mean difference (SMD) 1.24, 95% CI
0.76 to 1.72; participants = 81; studies = two; GRADE low quality). SSC infants
had higher blood glucose levels (MD 10.49, 95% CI 8.39 to 12.59; participants =
144; studies = three; GRADE: low quality), but similar temperature to infants in
standard care (MD 0.30 degree Celcius ( degrees C) 95% CI 0.13 degrees C to 0.47
degrees C; participants = 558; studies = six; I2 = 88%; GRADE: low quality).
Women and infants after cesarean birthWomen practicing SSC after cesarean birth
were probably more likely to breast feed one to four months post birth and to
breast feed successfully (IBFAT score), but analyses were based on just two
trials and few women. Evidence was insufficient to determine whether SSC could
improve breastfeeding at other times after cesarean. Single trials contributed to
infant respiratory rate, maternal pain and maternal state anxiety with no power
to detect group differences. SubgroupsWe found no differences for any outcome
when we compared times of initiation (immediate less than 10 minutes post birth
versus early 10 minutes or more post birth) or lengths of contact time (60
minutes or less contact versus more than 60 minutes contact). AUTHORS'
CONCLUSIONS: Evidence supports the use of SSC to promote breastfeeding. Studies
with larger sample sizes are necessary to confirm physiological benefit for
infants during transition to extra-uterine life and to establish possible dose
response effects and optimal initiation time. Methodological quality of trials
remains problematic, and small trials reporting different outcomes with different
scales and limited data limit our confidence in the benefits of SSC for infants.
Our review included only healthy infants, which limits the range of physiological
parameters observed and makes their interpretation difficult.
PMID- 27885657
TI - CDC25B is involved in the centrosomal microtubule nucleation in two-cell stage
mouse embryos.
AB - CDC25B has been demonstrated to activate the complex of CDK1/Cyclin B and trigger
mitosis. We have recently demonstrated that p-CDC25B-Ser351 is located at the
centrosomes of mouse oocytes and contributes to the release of mouse oocytes from
prophase I arrest. But much less is known about CDC25B function at the centrosome
in two-cell stage mouse embryos. Here we investigate the effect of CDC25B
regulating the microtubules nucleation. Microinjection of anti-CDC25B antibody
caused aberrant microtubule nucleation. In addition, embryos injected with anti
CDC25B antibody showed the marked absence of microtubule repolymerization and
Nek2 foci after nocodazole washout. CDC25B overexpression caused microtubule
organizing center (MTOC) overduplication. Moreover, overexpression of CDC25B-?65
mutant resulted in the loss of CDC25B localization in the perinuclear region and
made CDC25B less efficient in inducing mitosis. We additionally identified that
CDC25B is responsible for the pericentrin localization to the MTOC. Our data
suggest an important role of CDC25B for microtubule nucleation and organization.
N-terminal of CDC25B is required for regulating the microtubule dynamics and
mitotic function.
PMID- 27885660
TI - In-Transit Melanoma Metastasis Mimicking Nodular Fasciitis.
PMID- 27885661
TI - Pyogenic granuloma association with isotretinoin treatment for acne.
PMID- 27885662
TI - Hearing loss in association with topical imiquimod use for squamous cell
carcinoma in situ (Bowen disease).
PMID- 27885663
TI - Forensic Investigation of Cooperative Storage Cloud Service: Symform as a Case
Study.
AB - Researchers envisioned Storage as a Service (StaaS) as an effective solution to
the distributed management of digital data. Cooperative storage cloud forensic is
relatively new and is an under-explored area of research. Using Symform as a case
study, we seek to determine the data remnants from the use of cooperative cloud
storage services. In particular, we consider both mobile devices and personal
computers running various popular operating systems, namely Windows 8.1, Mac OS X
Mavericks 10.9.5, Ubuntu 14.04.1 LTS, iOS 7.1.2, and Android KitKat 4.4.4.
Potential artefacts recovered during the research include data relating to the
installation and uninstallation of the cloud applications, log-in to and log-out
from Symform account using the client application, file synchronization as well
as their time stamp information. This research contributes to an in-depth
understanding of the types of terrestrial artifacts that are likely to remain
after the use of cooperative storage cloud on client devices.
PMID- 27885665
TI - Can Cephalometrics Discriminate Between the Sexes in a Diverse Juvenile Sample?
AB - A critical component of the biological profile is sex estimation. Methods
commonly used for sex estimation in adults do not work well for juveniles.
Population-specific studies have used cephalometrics to estimate juvenile sex
with 80-90% accuracy. Our study attempts to estimate sex in individuals less than
18 years of age using a sample of 1618 lateral cephalograms incorporating all
three Angle Class occlusions as well as population diversity. For the sample as a
whole, 10 skeletal cephalometrics were found to have significant differences
between the sexes. Males and females classify correctly about 50% of the time.
Dividing the sample by age groups and race/ethnicity improves results for older
age groups and Native Americans, Hispanics, and Asian Americans. Our results
indicate that cephalometrics are not useful in determining sex of unidentified
juveniles when age and race are not known.
PMID- 27885664
TI - Haloperidol plus promethazine for psychosis-induced aggression.
AB - BACKGROUND: Health services often manage agitated or violent people, and such
behaviour is particularly prevalent in emergency psychiatric services (10%). The
drugs used in such situations should ensure that the person becomes calm swiftly
and safely. OBJECTIVES: To examine whether haloperidol plus promethazine is an
effective treatment for psychosis-induced aggression. SEARCH METHODS: On 6 May
2015 we searched the Cochrane Schizophrenia Group's Register of Trials, which is
compiled by systematic searches of major resources (including MEDLINE, EMBASE,
AMED, BIOSIS, CINAHL, PsycINFO, PubMed, and registries of clinical trials) and
their monthly updates, handsearches, grey literature, and conference proceedings.
SELECTION CRITERIA: All randomised clinical trials with useable data focusing on
haloperidol plus promethazine for psychosis-induced aggression. DATA COLLECTION
AND ANALYSIS: We independently extracted data. For binary outcomes, we calculated
risk ratio (RR) and its 95% confidence interval (CI), on an intention-to-treat
basis. For continuous data, we estimated the mean difference (MD) between groups
and its 95% CI. We employed a fixed-effect model for analyses. We assessed risk
of bias for included studies and created 'Summary of findings' tables using
GRADE. MAIN RESULTS: We found two new randomised controlled trials (RCTs) from
the 2015 update searching. The review now includes six studies, randomising 1367
participants and presenting data relevant to six comparisons.When haloperidol
plus promethazine was compared with haloperidol alone for psychosis-induced
aggression for the outcome not tranquil or asleep at 30 minutes, the combination
treatment was clearly more effective (n=316, 1 RCT, RR 0.65, 95% CI 0.49 to 0.87,
high-quality evidence). There were 10 occurrences of acute dystonia in the
haloperidol alone arm and none in the combination group. The trial was stopped
early as haloperidol alone was considered to be too toxic.When haloperidol plus
promethazine was compared with olanzapine, high-quality data showed both
approaches to be tranquillising. It was suggested that the combination of
haloperidol plus promethazine was more effective, but the difference between the
two approaches did not reach conventional levels of statistical significance
(n=300, 1 RCT, RR 0.60, 95% CI 0.22 to 1.61, high-quality evidence). Lower
quality data suggested that the risk of unwanted excessive sedation was less with
the combination approach (n=116, 2 RCTs, RR 0.67, 95% CI 0.12 to 3.84).When
haloperidol plus promethazine was compared with ziprasidone all data were of
lesser quality. We identified no binary data for the outcome tranquil or asleep.
The average sedation score (Ramsay Sedation Scale) was lower for the combination
approach but not to conventional levels of statistical significance (n=60, 1 RCT,
MD -0.1, 95% CI - 0.58 to 0.38). These data were of low quality and it is unclear
what they mean in clinical terms. The haloperidol plus promethazine combination
appeared to cause less excessive sedation but again the difference did not reach
conventional levels of statistical significance (n=111, 2 RCTs, RR 0.30, 95% CI
0.06 to 1.43).We found few data for the comparison of haloperidol plus
promethazine versus haloperidol plus midazolam. Average Ramsay Sedation Scale
scores suggest the combination of haloperidol plus midazolam to be the most
sedating (n=60, 1 RCT, MD - 0.6, 95% CI -1.13 to -0.07, low-quality evidence).
The risk of excessive sedation was considerably less with haloperidol plus
promethazine (n=117, 2 RCTs, RR 0.12, 95% CI 0.03 to 0.49, low-quality evidence).
Haloperidol plus promethazine seemed to decrease the risk of needing restraints
by around 12 hours (n=60, 1 RCT, RR 0.24, 95% CI 0.10 to 0.55, low-quality
evidence). It may be that use of midazolam with haloperidol sedates swiftly, but
this effect does not last long.When haloperidol plus promethazine was compared
with lorazepam, haloperidol plus promethazine seemed to more effectively cause
sedation or tranquillisation by 30 minutes (n=200, 1 RCT, RR 0.26, 95% CI 0.10 to
0.68, high-quality evidence). The secondary outcome of needing restraints or
seclusion by 12 hours was not clearly different between groups, with about 10% in
each group needing this intrusive intervention (moderate-quality evidence).
Sedation data were not reported, however, the combination group did have less
'any serious adverse event' in 24-hour follow-up, but there were not clear
differences between the groups and we are unsure exactly what the adverse effect
was. There were no deaths.When haloperidol plus promethazine was compared with
midazolam, there was clear evidence that midazolam is more swiftly tranquillising
of an aggressive situation than haloperidol plus promethazine (n=301, 1 RCT, RR
2.90, 95% CI 1.75 to 4.8, high-quality evidence). On its own, midazolam seems to
be swift and effective in tranquillising people who are aggressive due to
psychosis. There was no difference in risk of serious adverse event overall
(n=301, 1 RCT, RR 1.01, 95% CI 0.06 to 15.95, high-quality evidence). However, 1
in 150 participants allocated haloperidol plus promethazine had a swiftly
reversed seizure, and 1 in 151 given midazolam had swiftly reversed respiratory
arrest. AUTHORS' CONCLUSIONS: Haloperidol plus promethazine is effective and
safe, and its use is based on good evidence. Benzodiazepines work, with midazolam
being particularly swift, but both midazolam and lorazepam cause respiratory
depression. Olanzapine intramuscular and ziprasidone intramuscular do seem to be
viable options and their action is swift, but resumption of aggression with
subsequent need to re-inject was more likely than with haloperidol plus
promethazine. Haloperidol used on its own without something to offset its
frequent and serious adverse effects does seem difficult to justify.
PMID- 27885666
TI - Differential expression of foxl2 and cyp19a1a mRNA during gonad developmental
stages in great sturgeon Huso huso.
AB - This study aimed to determine the sex specificity and expression pattern of foxl2
and cyp19a1a genes in great sturgeon Huso huso gonads during gonadal sex
differentiation and development. The results revealed that foxl2 and cyp19a1a
mainly expressed in female gonads and during gonad development the foxl2 and
cyp19a1a mRNA expression is required for ovarian development.
PMID- 27885667
TI - Validation of photo-identification as a mark-recapture method in the spotted
eagle ray Aetobatus narinari.
AB - The spotted eagle ray Aetobatus narinari is characterized by pigmentation
patterns that are retained for up to 3.5 years. These pigmentations can be used
to identify individuals through photo-identification. Only one study has
validated this technique, but no study has estimated the percentage of correct
identification of the rays using this technique. In order to carry out
demographic research, a reliable photographic identification technique is needed.
To achieve this validation for A. narinari, a double-mark system was established
over 11 months and photographs of the dorsal surface of 191 rays were taken.
Three body parts with distinctive natural patterns were analysed (dorsal surface
of the cephalic region, dorsal surface of the pectoral fins and dorsal surface of
the pelvic fins) in order to determine the body part that could be used to give
the highest percentage of correct identification. The dorsal surface of the
pectoral fins of A. narinari provides the most accurate photo-identification to
distinguish individuals (88.2%).
PMID- 27885668
TI - Characterization of Gambierdiscus lapillus sp. nov. (Gonyaulacales, Dinophyceae):
a new toxic dinoflagellate from the Great Barrier Reef (Australia).
AB - Gambierdiscus is a genus of benthic dinoflagellates found worldwide. Some species
produce neurotoxins (maitotoxins and ciguatoxins) that bioaccumulate and cause
ciguatera fish poisoning (CFP), a potentially fatal food-borne illness that is
common worldwide in tropical regions. The investigation of toxigenic species of
Gambierdiscus in CFP endemic regions in Australia is necessary as a first step to
determine which species of Gambierdiscus are related to CFP cases occurring in
this region. In this study, we characterized five strains of Gambierdiscus
collected from Heron Island, Australia, a region in which ciguatera is endemic.
Clonal cultures were assessed using (i) light microscopy; (ii) scanning electron
microscopy; (iii) DNA sequencing based on the nuclear encoded ribosomal 18S and
D8-D10 28S regions; (iv) toxicity via mouse bioassay; and (v) toxin profile as
determined by Liquid Chromatography-Mass Spectrometry. Both the morphological and
phylogenetic data indicated that these strains represent a new species of
Gambierdiscus, G. lapillus sp. nov. (plate formula Po, 3', 0a, 7", 6c, 7-8s,
5''', 0p, 2"" and distinctive by size and hatchet-shaped 2' plate). Culture
extracts were found to be toxic using the mouse bioassay. Using chemical
analysis, it was determined that they did not contain maitotoxin (MTX1) or known
algal-derived ciguatoxin analogs (CTX3B, 3C, CTX4A, 4B), but that they contained
putative MTX3, and likely other unknown compounds.
PMID- 27885669
TI - Occult Adrenocortical Carcinoma and Unexpected Early Childhood Death.
AB - A four-year-old previously well boy collapsed unexpectedly and was taken
immediately to hospital, where he developed seizures and cardiogenic shock with
lethal, rapidly progressing multi-organ failure. At autopsy, the height was >90th
percentile and there were indications of early virilization. Internally, a
friable tumor of the left adrenal gland was identified that had invaded the left
renal vein and inferior vena cava. Histology revealed typical features of an
adrenocortical carcinoma with aggregated trabeculae of cells containing abundant
eosinophilic cytoplasm and large pleomorphic nuclei. There was strong positive
cytoplasmic staining for inhibin; mitochondria were shown on electron microscopy
to contain prominent electron-dense granules. Death was due to massive pulmonary
tumor embolism. Although adrenocortical carcinomas are very rare and are more
commonly found in adults, the current case demonstrates that they may also occur
in childhood and be responsible for unexpected death by the very unusual
mechanism of tumor embolism.
PMID- 27885670
TI - Telomeric localization of the Arabidopsis-type heptamer repeat, (TTTAGGG)n , at
the chromosome ends in Saccharina japonica (Phaeophyta).
AB - Telomeres generally consist of short repeats of minisatellite DNA sequences and
are useful in chromosome identification and karyotype analysis. To date,
telomeres have not been characterized in the economically important brown seaweed
Saccharina japonica, thus its full cytogenetic research and genetic breeding
potential has not been realized. Herein, the tentative sequence of telomeres in
S. japonica was identified by PCR amplification with primers designed based on
the Arabidopsis-type telomere sequence (TTTAGGG)n , which was chosen out of three
possible telomeric repeat DNA sequences typically present in plants and algae.
After PCR optimization and cloning, sequence analysis of the amplified products
from S. japonica genomic DNA showed that they were composed of repeat units,
(TTTAGGG)n , in which the repeat number ranged from 15 to 63 (n = 46). This type
of repeat sequence was verified by a Southern blot assay with the Arabidopsis
type telomere sequence as a probe. The digestion of S. japonica genomic DNA with
the exonuclease Bal31 illustrated that the target sequence corresponding to the
Arabidopsis-type telomere sequence was susceptible to Bal31 digestion, suggesting
that the repeat sequence was likely located at the outermost ends of the kelp
chromosomes. Fluorescence in situ hybridizations with the aforementioned probe
provided the initial cytogenetic evidence that the hybridization signals were
principally localized at both ends of S. japonica chromosomes. This study
indicates that the telomeric repeat of the kelp chromosomes is (TTTAGGG)n which
differs from the previously reported (TTAGGG)n sequence in Ectocarpus siliculosus
through genome sequencing, thereby suggesting distinct telomeres in brown
seaweeds.
PMID- 27885672
TI - Defining oral health: a new comprehensive definition.
PMID- 27885671
TI - Recruited monocytic myeloid-derived suppressor cells promote the arrest of tumor
cells in the premetastatic niche through an IL-1beta-mediated increase in E
selectin expression.
AB - The tumor premetastatic niche initiated by primary tumors is constructed by
multiple molecular factors and cellular components and provides permissive
condition that allows circulating tumor cells to successfully metastasize.
Myeloid-derived suppressor cells (MDSCs), a population of immature cells in
pathological conditions, play a critical role in the formation of the
premetastatic niche. However, few researches are focused on the function of
monocytic MDSCs (mo-MDSCs), a subtype of MDSCs, in the construction of the niche.
Here, we show that the number of mo-MDSCs is significantly increased in the
premetastatic lungs of tumor-bearing mice, thus promoting tumor cell arrest and
metastasis. Before the arrival of tumor cells, the lung-recruited mo-MDSCs
produced IL-1beta, thereby increasing E-selectin expression and promoting tumor
cell arrest on endothelial cells. Depletion of mo-MDSCs in the premetastatic
lungs decreased IL-1beta production, resulting in reduced E-selectin expression.
In addition, compared with alveolar macrophages and interstitial macrophages, mo
MDSCs were the major source of IL-1beta expression in the premetastatic lungs.
Cytokine array analyses and transwell experiments revealed that CCL12 recruits mo
MDSCs to premetastatic lungs. CCL12 knockdown in tumor-bearing mice significantly
decreased mo-MDSC infiltration into the premetastatic lungs, leading to reduced E
selectin expression. Overall, the permissive conditions produced by the
infiltrated mo-MDSCs correlated with increased tumor cell arrest and metastasis.
These results reveal a novel role of mo-MDSCs in constructing the premetastatic
niche. Thus, inhibition of mo-MDSCs infiltration may change the premetastatic
niche to normal condition and attenuate tumor metastasis.
PMID- 27885673
TI - A new definition for oral health developed by the FDI World Dental Federation
opens the door to a universal definition of oral health.
PMID- 27885674
TI - Gender Differences in the Use of Complementary and Alternative Medicine and Their
Association With Moderate Mental Distress in U.S. Adults With Migraines/Severe
Headaches.
AB - OBJECTIVE: We examined whether the prevalence of complementary and alternative
medicine (CAM) use varies by gender, and assessed the interaction of gender and
CAM use on moderate mental distress (MMD) in U.S. adults with migraines/severe
headaches. METHODS: We used data from the 2012 National Health Interview Survey,
which represents non-institutionalized U.S. adults with migraines/severe
headaches (n = 4645 unweighted). Using a cross-sectional design with survey
sampling techniques, we conducted descriptive analyses for prevalence of CAM use
by gender. Multivariate logistic regression analyses were run to investigate
potential roles of migraines/severe headaches and CAM use on MMD by gender.
RESULTS: The overall prevalence of past year CAM use was 44.4%. Women
consistently used CAM more frequently than men (P = .004). The most commonly used
CAM types included herbal supplements (22.2%), massage (14.2%), and
chiropractic/osteopathic (13.9%). After controlling for covariates, the odds of
MDD were increased by the presence of migraines/severe headaches (P < .001) and
the use of any CAM (P < .001). The interaction effect of migraines/severe
headaches and CAM use decreased the odds of MMD by 27% in women (P < .05), but
not in men. CONCLUSION: Women used CAM more frequently than men among adults with
migraines/severe headaches in the United States. In addition, the interaction of
gender and CAM use exists; the CAM use was associated with decreased odds of MMD
among women only, suggesting that women with migraines/severe headaches may have
benefited from CAM for their mental distress. Future research is needed to
explore why such patterns vary by gender.
PMID- 27885675
TI - Intratissue lymphovenous communications in the mechanism of action of
vascularized lymph node transfer.
AB - BACKGROUND AND OBJECTIVES: Vascularized lymph node transfer (VLNT) as a surgical
treatment for cancer-related lymphedema has shown beneficial effects. The
mechanism of action of this procedure involves lymphangiogenesis and lymphovenous
communications (LVC) within the lymph nodes. We propose intratissue LVC as an
additional element responsible for drainage of lymph to blood in the flap and
examine this hypothesis in the current study. METHODS: This prospective study
determined the passage of lymph to the venous system via intratissue LVC in 26
free flaps used for breast reconstruction. We evaluated whether fluorescence was
positive in the pedicle vein after increasing time intervals following
intradermal injection of indocyanine green (ICG) dye into the flaps using near
infra-red fluorescence lymphography. RESULTS: We studied 26 free flaps, 22 deep
inferior epigastric perforator (DIEP) flaps (84.6%), and 4 superior gluteal
artery perforator (SGAP) flaps (15.4%). Fluorescence in the pedicle vein was
positive in 22 of the 26 flaps (P = 0.000). The median time for fluorescence
evaluation in the pedicle vein was 120 min. CONCLUSIONS: Fluorescence in the
pedicle vein after ICG intradermal injection indicates functional intratissue LVC
in free flaps. J. Surg. Oncol. 2017;115:27-31. (c) 2016 Wiley Periodicals, Inc.
PMID- 27885677
TI - Chemical composition of microalgae Heterochlorella luteoviridis and Dunaliella
tertiolecta with emphasis on carotenoids.
AB - BACKGROUND: Microalgae have been used as food supplements owing to their high
protein, polyunsaturated fatty acid and carotenoid contents. As different
carotenoids have distinct properties and the carotenoid composition of microalgae
has been poorly explored in the literature, this study determined the complete
carotenoid composition of two microalgae species, Heterochlorella luteoviridis
and Dunaliella tertiolecta, using high-performance liquid chromatography coupled
with diode array detection and tandem mass spectrometry (HPLC-DAD/MS2 ).
Additionally, the proximate composition and major minerals were evaluated.
RESULTS: The carotenoid composition of the two microalgae was similar, with 13
carotenoids being found in H. luteoviridis and 12 in D. tertiolecta. The major
carotenoids were all-trans-lutein (1.18 mg g-1 in H. luteoviridis and 1.59 mg g-1
in D. tertiolecta), all-trans-violaxanthin (0.52 mg g-1 in H. luteoviridis and
0.45 mg g-1 in D. tertiolecta) and all-trans-beta-carotene (0.50 mg g-1 in H.
luteoviridis and 0.62 mg g-1 in D. tertiolecta). All-trans-lutein was the
predominant carotenoid in both microalgae, representing around 40% (mass
fraction) of the total carotenoids. The lutein content found in these microalgae
was significantly higher (2-40 times) than that in other important food sources
of lutein (e.g. parsley, carrot, red pepper and broccoli). CONCLUSION: The
microalgae H. luteoviridis and D. tertiolecta are excellent sources of lutein
that could be commercially exploited by the food and pharmaceutical industries.
Moreover, it was confirmed that both microalgae are good sources of protein,
lipids and calcium. (c) 2016 Society of Chemical Industry.
PMID- 27885676
TI - Effect of deamidation-induced modification on umami and bitter taste of wheat
gluten hydrolysates.
AB - BACKGROUND: Bitter taste is the main limiting factor for various applications of
protein hydrolysates. Frequently used physicochemical methods for debittering
protein hydrolysates come with some undesired side effects. Deamidation-induced
modification would be a very promising technique to improve the flavor of wheat
gluten hydrolysates (WGHs). This study was designed to determine the effect of
deamidation with certain enzymes or acid treatment on the chemical composition,
bitterness and umami properties of WGHs. The difference between umami peptide and
free glutamic acid on the suppression of bitterness is emphatically discussed.
The optimal scheme is proposed based on the flavor of WGHs and the yield of
peptides. RESULTS: The generation of umami substances suppressed bitter signal
transduction. When the content of umami substances was relatively high, the umami
enhancing properties of umami peptides were obviously effective. The intensity of
umami taste was high enough to further suppress bitter taste in the course of
neurocognitive functioning. CONCLUSION: When WGHs were treated with Glutaminase
for 180 min, the umami taste score increased from 1.62 to 4.27 and the bitter
taste score decreased from 1.33 to 0.65. (c) 2016 Society of Chemical Industry.
PMID- 27885678
TI - What happens when N = 1 and you want plus 1?
AB - We present a personal case study of what happens when a family has a child with
an undiagnosed genetic disorder yet wishes to have more children free of the
disease. After an intractable diagnostic odyssey for our oldest son, our family
turned to exome sequencing. Exome sequencing found likely pathogenic variants of
uncertain significance in the gene NGLY1. We used social media to uncover more
cases for the newly discovered disorder and confirm the diagnosis in the process.
With a diagnosis, we then explored and experienced a broad range of options for
conceiving a child free of the disorder. Our success in having another child free
of the disorder created a pathway for other families in our newly discovered
disease community to do the same. (c) 2016 John Wiley & Sons, Ltd.
PMID- 27885680
TI - Effect of high hydrostatic pressure on the enzymatic hydrolysis of bovine serum
albumin.
AB - BACKGROUND: The extent of enzymatic proteolysis mainly depends on accessibility
of the peptide bonds, which stabilize the protein structure. The high hydrostatic
pressure (HHP) process is able to induce, at certain operating conditions,
protein displacement, thus suggesting that this technology can be used to modify
protein resistance to the enzymatic attack. This work aims at investigating the
mechanism of enzymatic hydrolysis assisted by HHP performed under different
processing conditions (pressure level, treatment time). Bovine serum albumin was
selected for the experiments, solubilized in sodium phosphate buffer (25 mg mL-1
, pH 7.5) with alpha-chymotrypsin or trypsin (E/S ratio = 1/10) and HPP treatment
(100-500 MPa, 15-25 min). RESULTS: HHP treatment enhanced the extent of the
hydrolysis reaction of globular proteins, being more effective than conventional
hydrolysis. At HHP treatment conditions maximizing the protein unfolding, the
hydrolysis degree of proteins was increased as a consequence of the increased
exposure of peptide bonds to the attack of proteolytic enzymes. The maximum
hydrolysis degree (10% and 7% respectively for the samples hydrolyzed with alpha
chymotrypsin and trypsin) was observed for the samples processed at 400 MPa for
25 min. At pressure levels higher than 400 MPa the formation of aggregates was
likely to occur; thus the degree of hydrolysis decreased. CONCLUSION: Protein
unfolding represents the key factor controlling the efficiency of HHP-assisted
hydrolysis treatments. The peptide produced under high pressure showed lower
dimensions and a different structure with respect to those of the hydrolysates
obtained when the hydrolysis was carried out at atmospheric pressure, thus
opening new frontiers of application in food science and nutrition. (c) 2016
Society of Chemical Industry.
PMID- 27885679
TI - Clinical auditing as an instrument for quality improvement in breast cancer care
in the Netherlands: The national NABON Breast Cancer Audit.
AB - BACKGROUND: In 2011, the NABON Breast Cancer Audit (NBCA) was instituted as a
nation-wide audit to address quality of breast cancer care and guideline
adherence in the Netherlands. The development of the NBCA and the results of 4
years of auditing are described. METHODS: Clinical and pathological
characteristics of patients diagnosed with invasive breast cancer or in situ
carcinoma (DCIS) and information regarding diagnosis and treatment are collected
in all hospitals (n = 92) in the Netherlands. Thirty-two quality indicators
measuring care structure, processes and outcomes were evaluated over time and
compared between hospitals. RESULTS: The NBCA contains data of 56,927 patients
(7,649 DCIS and 49,073 invasive cancers). Patients being discussed in pre- and
post-operative multidisciplinary team meetings improved (2011: 83% and 91%; 2014:
98% and 99%, respectively) over the years. Tumour margin positivity rates after
breast-conserving surgery for invasive cancer requiring re-operation were
consistently low (~5%). Other indicators, for example, the use of an MRI-scan
prior to surgery or immediate breast reconstruction following mastectomy showed
considerable hospital variation. CONCLUSIONS: Results shown an overall high
quality of breast cancer care in all hospitals in the Netherlands. For most
quality indicators improvement was seen over time, while some indicators showed
yet unexplained variation. J. Surg. Oncol. 2017;115:243-249. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27885681
TI - Dynamics of occurrence of refractory coeliac disease and associated complications
over 25 years.
AB - BACKGROUND: Refractory coeliac disease, enteropathy associated T-cell lymphoma
and small bowel adenocarcinoma are rare but prognostically important
complications in coeliac disease. AIM: To analyse potential changes in occurrence
of complicated coeliac disease over the last 25 years. METHODS: One thousand one
hundred and thirty eight patients were included and evaluated based on their time
of first presentation at the Medical University of Vienna, Austria. Occurrences
of refractory coeliac disease and associated malignancies were evaluated for 5
year intervals from January 1990 until December 2014 and were compared over time.
RESULTS: 2.6% (n = 29) were diagnosed with refractory coeliac disease (females
65.6%, mean age at diagnosis 62.8 years). The proportion of those patients was
2.6%, 3.1%, 3.3%, 2.7% and 0.5% for the 5 year intervals from 1990 onwards. Thus,
the number of refractory cases has been decreasing since 2000 (P = 0.024). The
number of patients presenting with lymphoma (n = 7) was 0.6%, 0.4%, 1.1%, 0.8%
and 0% from 1990 to 2014. Similarly the number of patients with adenocarcinoma (n
= 4) decreased to 0% until 2014. Overall mortality in patients suffering from
refractory disease was 48%. Of all patients diagnosed with lymphoma 71.4% died
with a 5-year survival rate of 28.6%. CONCLUSIONS: Over the past 15 years the
occurrence of complicated coeliac disease has been decreasing. This possibly
reflects a higher awareness of coeliac disease and optimised diagnosis and
treatment with avoidance of long-term immunological disease activity. Symptomatic
disease and a delay in diagnosis are risk factors for refractory coeliac disease
and related cancer.
PMID- 27885682
TI - Fractional laser-assisted drug uptake: Impact of time-related topical application
to achieve enhanced delivery.
AB - BACKGROUND AND OBJECTIVE: Ablative fractional laser (AFXL) is acknowledged to
increase uptake of topically applied agents in skin. AFXL channels gradually
close over time, which may impair this capability. The time frame for applying a
drug after AFXL exposure remains to be established. The aim of this study, was to
investigate the importance of time-related topical application after AFXL
exposure and to relate resultant uptake in skin with AFXL channel morphology and
skin integrity. STUDY DESIGN/MATERIALS AND METHODS: Buttock skin of healthy
volunteers (n = 11) was exposed to 10,600 nm fractional CO2 laser using 5%
density, 120 MUm beam diameter, 15 mJ pulse energy. Sodium fluorescein (NaF) a
small, hydrophilic molecule (370 MW, log P = -1.52) was applied under
standardized conditions at specific time points after laser exposure (0, 2, 5,
10, 30, 60, 90 minute, 6, 24, and 48 hours). Fluorescence photography collected
fluorescence images up to 180 minute after NaF application. Optical coherence
tomography (OCT) assessed AFXL channel dimensions and transepidermal water loss
(TEWL) estimated loss of skin integrity. RESULTS: Fluorescence intensities (FI)
were significantly elevated when NaF was applied up to 6 hours after laser
exposure compared to non-laser-processed skin (median FI 1947 arbitrary units
[interquartile range 1,246-3,560] versus 1,004 [350-1,538], P < 0.02). The
highest FI occurred when NaF was applied within 30 minute after laser exposure
and similar FI were reached for applications at 0, 2, 5, 10, and 30 minute after
AFXL exposure (0 minute: 3,866 [3,526-4,575], 30 minute: 3,775 AU [3,070-4,484],
P > 0.1). NaF application later than 30 minute after AFXL exposure resulted in
gradually decreasing FI, becoming similar to intact skin when applied at 24-48
hours after AFXL exposure (P > 0.2). OCT images demonstrated that AFXL channels
closed over time (100% [100-100%] open up to 30 minute, 75% [4-86%] at 6 hours
and 3% [0-15%] at 24-48 hours after AFXL exposure). TEWL measurements proved loss
of skin integrity up to 6 hours after AFXL exposure, while integrity was similar
in laser-exposed and non-laser-exposed skin at 24-48 hours. CONCLUSIONS: The time
frame to maintain enhanced drug delivery sustained for several hours after AFXL
exposure, corresponding to channel morphology and loss of skin integrity. Lasers
Surg. Med. 49:348-354, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27885683
TI - The effect of pH and amino acids on the formation of methylglyoxal in a glucose
amino acid model system.
AB - BACKGROUND: Methylglyoxal (MGO) as a alpha-dicarbonyl compound not only affects
food flavor and color but also contributes to the loss of nutrition and the
generation of toxic compounds. The study was carried out using a glucose-amino
acid model system with incubation at 120 degrees C to investigate the effect of
amino acids and pH on the formation of MGO. MGO derivative (2-methylquinoxaline)
was detected by high-performance liquid chromatography with a diode array
detector. Changes in glucose, amino acids and products such as acetic acid were
tested using high-performance anion exchange chromatography with an
electrochemical detector or an electrical conductivity detector. RESULTS: Lysine
and glycine had higher reactivity to form MGO and melanoidins than arginine and
proline. More acetic acid was produced and a higher consumption of arginine was
observed in glucose-arginine solution. Moreover, higher pH significantly
accelerated the formation of MGO. CONCLUSION: Amino reactivity and pH were two
important factors affecting the formation of MGO in the Maillard reaction. (c)
2016 Society of Chemical Industry.
PMID- 27885684
TI - Interference-free mass spectrometric detection of capillary isoelectric focused
proteins, including charge variants of a model monoclonal antibody.
AB - CIEF represents an elegant technique especially for the separation of structural
similar analytes, whereas MS is a state-of-the-art instrumentation for the
identification and characterization of biomolecules. The combination of both
techniques can be realized by hyphenating CIEF with CZE-ESI-MS applying a
mechanical valve. During the CZE step, the remaining ESI-interfering components
of the CIEF electrolyte are separated from the analytes prior to MS detection. In
this work, a multiple heart-cut approach is presented expanding our previous
single heart-cut concept resulting in a dramatical reduction of analysis time.
Moreover, different sample transfer loop volumes are systematically compared and
discussed in regard to peak width and transfer efficiency. With this major
enhancement, model proteins (1.63-9.75 mg/L), covering a wide pI range (5-10),
and charge variants from a deglycosylated model antibody were analyzed on intact
level. The promising CIEF-CZE-MS setup is expected to be applicable in different
bioanalytical fields, e.g. for the fast and information rich characterization of
therapeutic antibodies.
PMID- 27885685
TI - Gastric and small intestine gastrointestinal stromal tumors: Do outcomes differ?
AB - BACKGROUND AND OBJECTIVES: Gastrointestinal stromal tumors (GISTs) are the most
common mesenchymal tumors of the gastrointestinal tract. Previous literature has
suggested that small intestine GISTs are more aggressive than gastric GISTs. Our
primary objective was to compare the outcomes of gastric and small intestine
GISTs in the decade after approval of imatinib for treatment. METHODS: The SEER
database was queried for cases of gastric and small intestine GIST between the
years 2002 and 2012, using the ICD-O-3 histology code 8936. Survival analysis was
performed using generalized gamma models for time to cause-specific mortality
(CSM). RESULTS: CSM was 14.0% for the 3,759 gastric GIST patients and 14.3% for
the 1,848 small intestine GIST patients. Five-year survival was 82.2% and 83.3%
for gastric and small intestine patients, respectively. The number of diagnosed
cases of GIST increased over the course of this study, especially for tumors <5
cm in size and in patients over age 50 years. CONCLUSIONS: In this large nation
wide study, we found that patients with gastric and small intestine GISTs had
similar outcomes, in contrast to previous reports. The diagnosis of GIST has
significantly increased in the last decade, which may reflect the increased
recognition of this entity and frequent use of imaging. J. Surg. Oncol.
2017;115:351-357. (c) 2016 Wiley Periodicals, Inc.
PMID- 27885686
TI - Repeated oral administration of a cathepsin K inhibitor significantly suppresses
bone resorption in exercising horses with evidence of increased bone formation
and maintained bone turnover.
AB - Our investigations evaluated the effect of VEL-0230, a highly specific
irreversible inhibitor of cathepsin K (CatK). The objectives of our study were to
determine whether repeated dosing of a CatK inhibitor (CatKI) produced a desired
inhibition of the bone resorption biomarker (CTX-1), and document the effect of
repeated dosing on bone homeostasis, structure, and dynamics of bone resorption
and formation in horses. Twelve young exercising horses were randomized in a
prospective, controlled clinical trial and received 4 weekly doses of a CatKI or
vehicle. Baseline and poststudy nuclear scintigraphy, blood sampling and analysis
of plasma bone biomarkers (CTX-1 and osteocalcin), poststudy bone fluorescent
labeling, and bone biopsy were performed. Bone specimens were further processed
for microcomputed tomography and bone histomorphometry. Each dose of this CatKI
transiently inhibited plasma CTX-1 (reflecting inhibition of bone collagen
resorption) and increased bone plasma osteocalcin concentrations, with no
detectable adverse effect on normal bone turnover in the face of exercise. Bone
morphology, density, and formation rate were not different between control and
treated group. Further investigation of CatK inhibition in abnormal bone turnover
is required in animals with bone diseases.
PMID- 27885687
TI - Isotope ratio mass spectrometry in combination with chemometrics for
characterization of geographical origin and agronomic practices of table grape.
AB - BACKGROUND: Although table grape is one of the most cultivated and consumed
fruits worldwide, no study has been reported on its geographical origin or
agronomic practice based on stable isotope ratios. This study aimed to evaluate
the usefulness of isotopic ratios (i.e. 2 H/1 H, 13 C/12 C, 15 N/14 N and 18 O/16
O) as possible markers to discriminate the agronomic practice (conventional
versus organic farming) and provenance of table grape. RESULTS: In order to
quantitatively evaluate which of the isotopic variables were more discriminating,
a t test was carried out, in light of which only delta13 C and delta18 O provided
statistically significant differences (P <= 0.05) for the discrimination of
geographical origin and farming method. Principal component analysis (PCA) showed
no good separation of samples differing in geographical area and agronomic
practice; thus, for classification purposes, supervised approaches were carried
out. In particular, general discriminant analysis (GDA) was used, resulting in
prediction abilities of 75.0 and 92.2% for the discrimination of farming method
and origin respectively. CONCLUSION: The present findings suggest that stable
isotopes (i.e. delta18 O, delta2 H and delta13 C) combined with chemometrics can
be successfully applied to discriminate the provenance of table grape. However,
the use of bulk nitrogen isotopes was not effective for farming method
discrimination. (c) 2016 Society of Chemical Industry.
PMID- 27885688
TI - Effect of high-pressure processing and thermal pasteurization on overall quality
parameters of white grape juice.
AB - BACKGROUND: The aim of this study was to investigate the microbial levels,
physicochemical and antioxidant properties and polyphenol oxidase (PPO) and
peroxidase (POD) activities as well as to conduct a sensory analysis of white
grape juice treated with high-pressure processing (HPP) and thermal
pasteurization (TP), over a period of 20 days of refrigerated storage. RESULTS:
HPP treatment of 600 MPa and TP significantly reduced aerobic bacteria, coliform
and yeast/mold counts. At day 20 of storage, HPP-600 juice displayed no
significant differences compared with fresh juice in terms of physicochemical
properties such as titratable acidity, pH and soluble solids, and retained less
than 50% PPO and POD activities. Although significant differences were observed
in the color, antioxidant contents and antioxidant capacity of HPP-treated juice,
the extent of these differences was substantially lower than that in TP-treated
juice, indicating that HPP treatment can better retain the quality of grape
juice. Sensory testing showed no significant difference between HPP-treated juice
and fresh juice, while TP reduced the acceptance of grape juice. CONCLUSION: This
study shows that HPP treatment maintained the overall quality parameters of white
grape juice, thus effectively extending the shelf life during refrigerated
storage. (c) 2016 Society of Chemical Industry.
PMID- 27885689
TI - The role of aberrant methylation of trophoblastic stem cell origin in the
pathogenesis and diagnosis of placental disorders.
AB - OBJECTIVES: The objective of the study is to investigate the role of methylation
levels at promoter regions of placental vascularization genes (VEGF, EGFR, and c
jun) in pathogenesis and diagnosis of placental disorders. METHODS: We analyzed
DNA and histone methylation at promoters of VEGF, EGFR, and c-jun via methylation
sensitive high-resolution melting and chromatin immunoprecipitation assay in
pregnant women with normal pregnancy in first, second, and third trimesters (n =
30 in each group) and pregnant women with pregnancy complicated with preeclampsia
(n = 30) and hydatidiform mole (n = 15). RESULTS: The higher expression of VEGF,
EGFR, and c-jun in early pregnancy was observed to be independent of DNA
methylation, while it was associated with H3 K9/K27 trimethylations. Also,
abnormally higher expression of c-jun in GTDs was associated with lower H3K9me3
level at its promoter. Under preeclampsia conditions, we observed dysregulation
of both DNA methylation and H3 trimethylation and subsequent low expression of
VEGF, EGFR, and c-jun. Importantly, our promoter methylation data indicated that
VEGF may act as novel fetal DNA diagnostic marker for preeclampsia and molar
pregnancies in maternal plasma. CONCLUSION: These findings emphasize the
importance of dysregulated epigenetic phenomenon behind the pathologies of
placental disorders and use of promoter region DNA methylation as an epigenetic
marker for these pathological pregnancies. (c) 2016 John Wiley & Sons, Ltd.
PMID- 27885690
TI - Long-term straw returning affects Nitrospira-like nitrite oxidizing bacterial
community in a rapeseed-rice rotation soil.
AB - Nitrospira are the most widespread and well known nitrite-oxidizing bacteria
(NOB) and putatively key nitrite-oxidizers in acidic ecosystems. Nevertheless,
their ecology in agriculture soils has not been well studied. To understand the
impact of straw incorporation on soil Nitrospira-like bacterial community, a
cloned library analysis of the nitrite oxidoreductase gene-nxrB was performed for
a long-term rapeseed-rice rotation system. In this study, most members of the
Nitrospira-like NOB in the paddy soils from the Wuxue field experiment station
were phylogenetically related with Nitrospira lineages II. The Shannon diversity
index possessed a decrease trend in the straw applied soils. The relative
abundances of 16 OTUs (accounting 72% of the total OTUs, including 11 unique OTUs
and 5 shared OTUs) were different between in the straw applied and control soils.
These data suggested a selection effect from the long-term straw fertilization.
Canonical correspondence analysis data showed that a centralized group of
Nitrospira-like NOB OTUs in the community was partly explained by the soil
ammonium, nitrate, available phosphorus, and the available potassium. This could
suggest that straw fertilization led to the soil Nitrospira-like NOB community
shift, which was correlated with the change of available nutrients in the bulk
soil.
PMID- 27885691
TI - Psychological need satisfaction, control, and disordered eating.
AB - OBJECTIVES: Unfulfilled basic psychological needs have been associated with
disordered eating behaviours, but the mechanisms underlying that associations are
not well understood. This study examined a two-stage path model linking basic
psychological need satisfaction to disordered eating behaviours via issues of
control. METHODS: Female university students (N = 323; Mage = 19.61), community
participants (N = 371; Mage = 29.75), and women who self-reported having been
diagnosed with an eating disorder (ED; N = 41; Mage = 23.88) completed measures
of psychological need satisfaction (i.e., autonomy and competence), issues of
control (i.e., feelings of ineffectiveness and fear of losing self-control
[FLC]), and ED pathology. RESULTS: Path analysis revealed that unsatisfied needs
of autonomy and competence were indirectly related to disordered eating
behaviours through feelings of ineffectiveness and FLC. CONCLUSIONS: The results
indicate that issues of control might be one of the mechanisms through which lack
of psychological need satisfaction is associated with disordered eating. Although
the model was constructed using cross-sectional data, these findings suggest
potential targets for prevention and treatment efforts aimed at reducing
disordered eating in young females. PRACTITIONER POINTS: Our results indicate
that young women with chronically unfulfilled basic psychological needs might be
vulnerable to developing disordered eating behaviours. The observed patterns
suggest that persistent experience of need frustration may engender an internal
sense of ineffectiveness and lack of control, which then compels individuals to
engage in disordered eating behaviours in an attempt to regain autonomy and
competence. Interventions for eating disorders may be most effective when
emphasizing the promotion of people's needs for autonomy and competence.
Limitations The model was constructed using cross-sectional data. Future
experimental and longitudinal studies are needed to confirm the temporal sequence
from basic psychological needs to issues of control. The sample only consisted of
young women. Further research should explore how thwarting of psychological need
satisfaction functions in men. Our clinical sample was small and diagnosis was
not confirmed through clinical interview; therefore, those data should be
interpreted with caution.
PMID- 27885692
TI - Physiologically based pharmacokinetic model for ethyl tertiary-butyl ether and
tertiary-butyl alcohol in rats: Contribution of binding to alpha2u-globulin in
male rats and high-exposure nonlinear kinetics to toxicity and cancer outcomes.
AB - In cancer bioassays, inhalation, but not drinking water exposure to ethyl
tertiary-butyl ether (ETBE), caused liver tumors in male rats, while tertiary
butyl alcohol (TBA), an ETBE metabolite, caused kidney tumors in male rats
following exposure via drinking water. To understand the contribution of ETBE and
TBA kinetics under varying exposure scenarios to these tumor responses, a
physiologically based pharmacokinetic model was developed based on a previously
published model for methyl tertiary-butyl ether, a structurally similar chemical,
and verified against the literature and study report data. The model included
ETBE and TBA binding to the male rat-specific protein alpha2u-globulin, which
plays a role in the ETBE and TBA kidney response observed in male rats.
Metabolism of ETBE and TBA was described as a single, saturable pathway in the
liver. The model predicted similar kidney AUC0-infinity for TBA for various
exposure scenarios from ETBE and TBA cancer bioassays, supporting a male-rat
specific mode of action for TBA-induced kidney tumors. The model also predicted
nonlinear kinetics at ETBE inhalation exposure concentrations above ~2000 ppm,
based on blood AUC0-infinity for ETBE and TBA. The shift from linear to nonlinear
kinetics at exposure concentrations below the concentration associated with liver
tumors in rats (5000 ppm) suggests the mode of action for liver tumors operates
under nonlinear kinetics following chronic exposure and is not relevant for
assessing human risk. Copyright (c) 2016 The Authors Journal of Applied
Toxicology Published by John Wiley & Sons Ltd.
PMID- 27885694
TI - Pharmacokinetics and tolerability of a new formulation of omeprazole in the
horse.
AB - A new formulation of omeprazole in gastro-resistant granules was tested with
regard to its pharmacokinetics and tolerability. Twenty-four horses were randomly
divided into three groups (8 horses/group) and treated, according a parallel
study design, as follows: Group A untreated (control group), Group B received 4
mg/kg of omeprazole, and Group C received 12 mg/kg of omeprazole, both of which
were treated orally once a day for 90 days. Blood samples, taken from Group B
subjects during the 1st and the 29th day of treatment at pre-established time
points, were used to determine the concentration-time curves of omeprazole. The
treatments were found to be safe and well tolerated by the horses. The serum
hematological and biochemical values were within reference ranges for the entire
observational time. No accumulation of the drug was found after 29 days of
treatment. Lower Cmax and AUCs were obtained at the 29th day of treatment.
PMID- 27885693
TI - Association of single nucleotide polymorphism in melatonin receptor 1A gene with
egg production traits in Yangzhou geese.
AB - In the present study the melatonin receptor 1A gene (MTNR1A) was proposed to be a
candidate gene for egg production in Yangzhou geese. A total of 210 goose blood
samples were collected to investigate the association of the MTNR1A gene with the
number of eggs produced. Using a direct sequencing method, a single nucleotide
polymorphism (SNP; g.177G>C) was detected in the 5' regulatory region of the
MTNR1A gene (Genbank ss1985399687). Two alleles (G and C) and three genotypes
were identified. Association analysis results showed that the g.177G>C SNP
significantly affected the level of egg production within a 34-week egg-laying
period (P < 0.05). Furthermore, the geese with the GG genotype produced
significantly more eggs compared to the geese with the CC genotype. Quantitative
real-time PCR analysis showed that the MTNR1A gene was highly expressed in small
intestine, granulosa cell and ovary compared to other examined tissues. In
addition, the mRNA expression level of MTNR1A in ovary indicated that
significantly higher expression levels were recorded for geese with the GG
genotype compared to those with the CC genotype. Moreover, a luciferase reporter
assay showed that the CC genotype had significantly lower promoter activity than
did GG. These results suggest that the identified SNP in the MTNR1A gene may
influence the number of eggs produced and mRNA expression levels in Yangzhou
geese and could be considered as a useful molecular marker in goose selection and
improvement, especially for egg production.
PMID- 27885695
TI - Vaccination mitigates the impact of PRRSv infection on the pharmacokinetics of
ceftiofur crystalline-free acid in pigs.
AB - The pharmacokinetics of intramuscularly administered ceftiofur crystalline-free
acid (CCFA) were determined in pigs that were clinically healthy (n = 8),
vaccinated with a Porcine reproductive and respiratory syndrome modified live
virus (PRRS MLV) (n = 10), challenged with wild-type porcine reproductive and
respiratory syndrome virus (PRRSv) VR-2385 (n = 10), or vaccinated with PRRS MLV
and later challenged with wild-type PRRSv VR-2385 (n = 10). Animals were given a
single dose of CCFA intramuscularly at 5 mg/kg body weight. Blood was collected
at 0 (pretreatment), 0.25, 0.5, 1, 6, 12, 24, 48, 96, 144, 192, and 240 h
postinjection. Plasma was analyzed using liquid chromatography-mass spectrometry.
Plasma concentration-time curves for each group were evaluated with
noncompartmental modeling. When compared to control animals, those receiving the
PRRSv wild-type challenge only had a lower AUC0-last , higher Cl/F, and higher
Vz/F. The PRRSv wild-type challenge only group had the longest T1/2lambda . The
Cmax did not differ among all four treatments. Control animals had no
statistically significant differences from animals vaccinated with PRRS MLV alone
or animals vaccinated with PRRS MLV and later challenged with wild-type PRRSv.
Our results suggest that PRRSv wild-type infection has the potential to alter
CCFA pharmacokinetics and PRRS MLV vaccination may attenuate those changes.
PMID- 27885696
TI - Pharmacokinetics of oral terbinafine in adult horses.
AB - The primary study objective was to compare the pharmacokinetics of p.o.
terbinafine alone to p.o. terbinafine administered with p.o. cimetidine in
healthy adult horses. The second objective was to assess the pharmacokinetics of
terbinafine when administered per rectum in two different suspensions at 30 mg/kg
to adult horses. Six healthy adult horses were included in this crossover study.
Plasma terbinafine concentrations were quantified with liquid chromatography and
mass spectrometry. The half-life (geometric mean) was 8.38 and 10.76 h, for p.o.
alone and p.o. with cimetidine, respectively. The mean maximum plasma
concentrations were 0.291 MUg/mL at 1.54 h and 0.418 MUg/mL at 1.28 h for p.o.
alone and p.o. with cimetidine, respectively. Terbinafine with cimetidine had an
average CMAX 44% higher and the relative F was 153% compared p.o. terbinafine
alone, but was not statistically different (P > 0.05). Terbinafine was
infrequently detected when administered per rectum in two different suspensions
(water or olive oil). Minor adverse effects included oral irritation, fever, and
colic. All resolved spontaneously. More pharmacokinetic studies are indicated
assessing drug-drug interactions and using multiple dosing intervals to improve
our knowledge of effective oral dosing, the potential for drug accumulation, and
systemic adverse effect of terbinafine in horses.
PMID- 27885697
TI - Dynamic effects of CYP3A5 polymorphism on dose requirement and trough
concentration of tacrolimus in renal transplant recipients.
AB - WHAT IS KNOWN AND OBJECTIVE: Tacrolimus is a widely used immunosuppressive drug
with marked pharmacokinetic variability partly due to CYP3A5 polymorphism. Our
study aimed to investigate the dynamic effects of CYP3A5 genotypes on dose
requirement and trough concentration (C0 ) of tacrolimus in renal transplant
recipients. METHODS: A total of 194 Chinese renal transplant recipients received
oral tacrolimus twice daily. Whole-blood C0 of tacrolimus were measured on the
3rd day, 7th day, 14th day, 1st month, 3rd month and 6th month post
transplantation. CYP3A5 genotypes were determined and the recipients were
categorized as CYP3A5 expressers (CYP3A5*1 allele carriers) and non-expressers
(homozygous CYP3A5*3). The correlated serum creatinine, haematocrit and albumin
were also detected. RESULTS: The allele frequencies for CYP3A5*1/*1, *1/*3 and
*3/*3 were 7.7%, 44.8% and 47.4%, respectively. There were no significant
variability in serum creatinine, haematocrit and albumin values between CYP3A5
expressers and non-expressers. Larger doses were administered to CYP3A5
expressers than to non-expressers after surgery except the initial dose. C0 were
much lower in CYP3A5 expressers than in non-expressers on the 3rd day, 7th day,
14th day and 1st month post-transplantation (P < 0.01); however, no significant
differences were found on the 3rd and 6th months post-transplantation. All of the
dose-adjusted C0 in CYP3A5 expressers were significantly lower than non
expressers (P < 0.01). Less of the recipients achieving target C0 (4-8 ng/mL)
were found in CYP3A5 expressers than in non-expressers after initial dose (35.7%
vs. 50%). Meanwhile, CYP3A5 non-expressers were detected having higher C0 (>8
ng/mL) during 3 months post-transplantation. Besides, the proportions in the two
groups both increased gradually over time and up to 91.8% and 94% on the 6th
month, respectively. WHAT IS NEW AND CONCLUSION: There are no significant
differences in serum creatinine, haematocrit and albumin values between CYP3A5
expressers and non-expressers. CYP3A5 expressers have decreased dose-adjusted
tacrolimus C0 when compared to non-expressers. Dose-adjusted C0 of tacrolimus
increases in a time-dependent manner in both groups.
PMID- 27885699
TI - Strains of Group B streptococci from septic patients induce platelet activation
via Toll-like Receptor 2.
AB - Group B Streptococcus (GBS) causes life-threatening bacterial sepsis, especially
in newborns and pregnant women. Patients suffering from sepsis often display low
platelet counts, characterized by thrombocytopenia, because of platelet
activation. In the present study, the roles of six GBS strains from septic
patients in platelet aggregation, as well as the underlying mechanisms, were
investigated. Incubation of platelets with three of the strains induced platelet
aggregation, increased the secretion of cellular adhesin molecule CD62P and
activation of GPIIb/IIIa. Furthermore, the GBS strains that induced platelet
activation also caused an increase in the expression of Toll-like receptor (TLR)
2 in platelets. Pre-incubation of platelets with anti-TLR2 monoclonal antibody,
but not anti-TLR4 monoclonal antibody, inhibited these functional responses
induced by GBS. TLR2 stimulation also activated the phosphoinositide 3-kinase
(PI3-K)/Akt signalling pathway in platelets, and inhibition of PI3-K
significantly reduced GBS-induced platelet responses. Our results indicate that
three of the GBS strains from the septic patients can trigger platelet activation
by interacting with platelets, which involves the elevation of platelet TLR2
expression.
PMID- 27885698
TI - Long-term excess risk of stroke in people with Type 2 diabetes in Sweden
according to blood pressure level: a population-based case-control study.
AB - AIMS: To estimate the risk of stroke in people with Type 2 diabetes with
different blood pressure levels compared with the risk in the general population
in Sweden. METHODS: This prospective case-control study included 408 076 people
with Type 2 diabetes, aged >= 18 years, and free of prior stroke, registered in
the Swedish National Diabetes Register 1998-2011. Age- and sex-matched control
subjects (n = 1 913 507) without stroke from the general population were
included. Stroke diagnoses were retrieved using International Classification of
Disease codes from the Swedish patient and death registers. Cox hazard ratios and
95% confidence intervals (CIs) were estimated at six different blood pressure
levels. RESULTS: During a median follow-up of 4 years, 19 548 (4.8%) people with
Type 2 diabetes and 61 690 (3.2%) without diabetes were diagnosed with stroke,
corresponding to an adjusted hazard ratio of 1.43 (95% CI 1.41-1.46) for people
with Type 2 diabetes as a group. Compared with people without diabetes, the risk
of stroke for people with Type 2 diabetes with different blood pressure levels
was significantly higher, starting at blood pressure levels > 130/80 mmHg. Hazard
ratios for stroke were 1.20 (95% CI 1.16-1.24), 1.47 (95% CI 1.43-1.50), and 1.97
(95% CI 1.90-2.03) for blood pressure categories of 130-139/80-89 mmHg, 140
159/90-99 mmHg and >= 160/>= 100 mmHg, respectively, after adjustment for age,
sex, diabetes duration, being born in Sweden, maximum education level and
baseline comorbidities. CONCLUSIONS: People with Type 2 diabetes and blood
pressure < 130/80 mmHg had a risk of stroke similar to that of the general
population.
PMID- 27885700
TI - Heterogeneous Monolithic Integration of Single-Crystal Organic Materials.
AB - Manufacturing high-performance organic electronic circuits requires the effective
heterogeneous integration of different nanoscale organic materials with uniform
morphology and high crystallinity in a desired arrangement. In particular, the
development of high-performance organic electronic and optoelectronic devices
relies on high-quality single crystals that show optimal intrinsic charge
transport properties and electrical performance. Moreover, the heterogeneous
integration of organic materials on a single substrate in a monolithic way is
highly demanded for the production of fundamental organic electronic components
as well as complex integrated circuits. Many of the various methods that have
been designed to pattern multiple heterogeneous organic materials on a substrate
and the heterogeneous integration of organic single crystals with their crystal
growth are described here. Critical issues that have been encountered in the
development of high-performance organic integrated electronics are also
addressed.
PMID- 27885702
TI - When I say ... critical pedagogy.
PMID- 27885701
TI - Bifunctional Oxygen Reaction Catalysis of Quadruple Manganese Perovskites.
AB - Bifunctional electrocatalysts for oxygen evolution/reduction reaction (OER/ORR)
are desirable for the development of energy conversion technologies. It is
discovered that the manganese quadruple perovskites CaMn7 O12 and LaMn7 O12 show
bifunctional catalysis in the OER/ORR. A possible origin of the high OER activity
is the unique surface structure through corner-shared planar MnO4 and octahedral
MnO6 units to promote direct O?O bond formations.
PMID- 27885703
TI - Immunological Tolerance. Part I of a Report of a Workshop on Foundational
Concepts of Immune Regulation.
AB - This report, the first of two, arose from a one-week workshop directed at
discussing concepts of immune regulation, and focuses on immunological tolerance.
We first outline the major ideas we thought sufficiently plausible to provide a
context for discussing more controversial issues around tolerance. We then report
on our discussion of different experiments that appear paradoxical in terms of
the different, contemporary models of CD4 T cell inactivation/activation, and how
such observations might be resolved in terms of insights provided by these
contemporary models. These discussions bear on the plausibility of the Pathogen
Associated Molecular Pattern (PAMP), Danger and Two Step, Two Signal Models for
the activation of naive CD4 T cells. Some of the observations considered appear
paradoxical in terms of the PAMP and Danger Models, but not with the Two Step,
Two Signal Model. For example, genetically immunodeficient mice have been given
foreign, sterile ectopic grafts, and the immune system allowed to develop once
these grafts were well-healed in, and so in the absence of PAMPs or danger. The
grafts were rejected, unexpected on the PAMP or Danger Models. We also discussed
considerations and observations bearing on the widely held idea that antigen must
crosslink the membrane Ig receptors of a B cell to initiate the generation of
signal 1, or the alternative possibility that monovalent binding by antigen can
do so. We favored the latter possibility, and discussed a particular model, "the
Elbow Model," for how this might be achieved.
PMID- 27885704
TI - Effects of genetic variations in the genes encoding NOD1 and NOD2 on type 2
diabetes mellitus and insulin resistance.
AB - WHAT IS KNOWN AND OBJECTIVE: Nucleotide-binding oligomerization domain (NOD) 1
and NOD 2 are members of the NOD-like receptor (NLR) family and contain a caspase
recruitment domain. NLRs are located in the cytosol, bind bacterial and viral
ligands and play a key role in the realization of innate and adaptive immune
response, inflammation, apoptosis and reactive oxygen species generation. Insulin
resistance (IR) is a leading cause of type 2 diabetes mellitus (T2DM) and
associated with obesity, inflammation and pro-inflammatory responses. NOD1 and
NOD2 gene variants may affect the risk of chronic inflammation, insulin
resistance and T2DM by shifting the balance between pro- and anti-inflammatory
cytokines. The aim of our study was to determine whether the NOD1/2 gene variants
might contribute to the risk of T2DM and IR. METHODS: The rs5743336 variant of
NOD1 and rs2066847 variant of NOD2 were analysed by PCR-RFLP analysis in 200
subjects (T2DM: n = 100; healthy controls: n = 100) of Turkish origin. PCR
products were digested with the AvaI and ApaI restriction enzymes. For the NOD1
site, the presence of the G allele was indicated by cleavage of the 379 bp
amplified PCR product that yielded 209-bp and 170-bp fragments. For the NOD2
site, 151-bp PCR products were cleaved and yielded 130-bp and 21-bp fragments
when the WT-insC mutation was present. Comparisons of the genotypes between
controls and patients were performed by chi-square tests. RESULTS AND DISCUSSION:
The genotypes of the rs5743336 variant of NOD1 and the rs2066847 variant of NOD2
are presented, and no significant differences were observed in the genotype
frequencies of the NOD1 and NOD2 variants between the healthy controls and T2DM
patients (P > 0.05). According to our preliminary data, NOD1/2 gene variants are
not linked with T2DM and IR. WHAT IS NEW AND CONCLUSION: This study is the first
to look for possible association of the genotype frequencies of NOD1 and NOD2
genes with T2DM and IR. The significant finding of this report is that the
rs5743336 and rs2066847 variations in the NOD1/2 gene are not associated with
T2DM and IR risk in patients of Turkish origin.
PMID- 27885707
TI - Spatial resolution and velocity field improvement of 4D-flow MRI.
AB - PURPOSE: 4D-flow MRI obtains a time-dependent 3D velocity field; however, its use
for the calculation of higher-order parameters is limited by noise. We present an
algorithm for denoising 4D-flow data. THEORY AND METHODS: By integrating a
velocity field and eliminating streamlines in noisy flow, depicted by high
curvature, a denoised dataset may be extracted. This method, defined as the
velocity field improvement (VFIT) algorithm, was validated in an analytical
dataset and using in vivo data in comparison with a computation fluid dynamics
(CFD) simulation. As a proof of principal, wall shear stress (WSS) measurements
in the descending aorta were compared with those defined by CFD. RESULTS: The
VFIT algorithm achieved a >100% noise reduction of a corrupted analytical
dataset. In addition, 4D-flow data were cleaned to show improved spatial
resolution and near wall velocity representation. WSS measures compared well with
CFD data and bulk flow dynamics were retained (<2% difference in flow
measurements). CONCLUSION: This study presents a method for denoising 4D-flow
datasets with improved spatial resolution. Bulk flow dynamics are accurately
conserved while velocity and velocity gradient fields are improved; this is
important in the calculation of higher-order parameters such as WSS, which are
shown to be more comparable to CFD measures. Magn Reson Med 78:1959-1968, 2017.
(c) 2016 International Society for Magnetic Resonance in Medicine.
PMID- 27885705
TI - Multiple linear combination (MLC) regression tests for common variants adapted to
linkage disequilibrium structure.
AB - By jointly analyzing multiple variants within a gene, instead of one at a time,
gene-based multiple regression can improve power, robustness, and interpretation
in genetic association analysis. We investigate multiple linear combination (MLC)
test statistics for analysis of common variants under realistic trait models with
linkage disequilibrium (LD) based on HapMap Asian haplotypes. MLC is a
directional test that exploits LD structure in a gene to construct clusters of
closely correlated variants recoded such that the majority of pairwise
correlations are positive. It combines variant effects within the same cluster
linearly, and aggregates cluster-specific effects in a quadratic sum of squares
and cross-products, producing a test statistic with reduced degrees of freedom
(df) equal to the number of clusters. By simulation studies of 1000 genes from
across the genome, we demonstrate that MLC is a well-powered and robust choice
among existing methods across a broad range of gene structures. Compared to
minimum P-value, variance-component, and principal-component methods, the mean
power of MLC is never much lower than that of other methods, and can be higher,
particularly with multiple causal variants. Moreover, the variation in gene
specific MLC test size and power across 1000 genes is less than that of other
methods, suggesting it is a complementary approach for discovery in genome-wide
analysis. The cluster construction of the MLC test statistics helps reveal within
gene LD structure, allowing interpretation of clustered variants as haplotypic
effects, while multiple regression helps to distinguish direct and indirect
associations.
PMID- 27885708
TI - Oldest medical description of osteogenesis imperfecta (17th Century, France).
AB - Osteogenesis imperfecta (OI), also known as Lobstein's syndrome or Vrolik's
syndrome, comprises a heterogeneous group of rare genetic connective tissue
disorders. It is characterized by increased bone fragility, low bone mass, and
susceptibility to bone fractures of variable severity. Originally named
"osteomalacia congenita," the condition was first medically described in a family
by Ekman in 1778. Here, we report a 17th century medical account from France,
which predates Eckman's doctoral dissertation by about a century. Medical
analysis of this anatomical presentation indicates a precise diagnosis of Type I
OI. Clin. Anat. 30:128-129, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27885706
TI - Insulin pump failures in Italian children with Type 1 diabetes: retrospective 1
year cohort study.
AB - AIMS: Insulin pump failure and/or malfunction requiring replacement have not been
thoroughly investigated. This study evaluated pump replacement in children and
adolescents with Type 1 diabetes using insulin pump therapy. METHODS: Data were
collected for all participants younger than 19 years, starting insulin pump
therapy before 31 December 2013. For each child, age, disease duration, date of
insulin pump therapy initiation, insulin pump model,
failure/malfunction/replacement yes/no and reason were considered for the year
2013. RESULTS: Data were returned by 40 of 43 paediatric centres belonging to the
Diabetes Study Group of the Italian Society of Paediatric Endocrinology and
Diabetology. In total, 1574 of 11 311 (13.9%) children and adolescents with Type
1 diabetes were using an insulin pump: 29.2% Animas VIBETM , 9.4% Medtronic
MiniMed 715/515TM , 34.3% Medtronic MiniMed VEOTM , 24.3% Accu-Check Spirit
ComboTM and 2.8% other models. In 2013, 0.165 insulin pump replacements per
patient-year (11.8% due to pump failure/malfunction and 4.7% due to accidental
damage) were recorded. Animas VIBETM (22.1%) and Medtronic MiniMed VEOTM (17.7%)
were the most replaced. CONCLUSIONS: In a large cohort of Italian children and
adolescents with Type 1 diabetes, insulin pump failure/malfunction and consequent
replacement are aligned with rates previously reported and higher in more
sophisticated pump models.
PMID- 27885710
TI - Clinical imaging of macular pigment optical density and spatial distribution.
AB - Clinical research continues to provide an increasing number of studies that
reveal an association between macular pigment optical density (MPOD) and both
visual function and ocular health. As a result, there is a growing need for
repeatable, accurate measures of MPOD that can describe peak optical density as
well as spatial distribution. Measurement of MPOD in a research setting has an
established history encompassing a number of both objective and subjective
techniques. Transition of these techniques to a clinical setting has produced an
array of commercial devices using three primary methods: heterochromatic flicker
photometry, fundus autofluorescence and fundus reflectometry. The inherent
differences among the techniques create difficulty in making direct comparisons
between MPOD measurement devices. Understanding the limitations of each technique
is critical in the clinical interpretation of MPOD results. Here, both the
objective and subjective methods of MPOD measurement are reviewed with emphasis
on the commercially available devices used in clinical settings.
PMID- 27885711
TI - The Importance of Research and Scholarly Activity in Pharmacy Training.
AB - Regardless of practice setting, it is imperative that pharmacists be able to
either participate in generating new knowledge or use the ever-expanding body of
literature to guide patient care. However, competing priorities in Pharm.D.
curricula and residency training programs have resulted in limited emphasis on
acquiring research and scholarly skills. Factors likely contributing to this
reduced focus include the lack of curricular and postgraduate training standards
emphasizing the development of research skills, time to commit to scholarly
activity, and accessibility to experienced mentors. Strategies for increasing
scholarly activity for pharmacy students and residents should therefore continue
to be a focus of professional degree and residency training programs. Several
resources are available for academic planners, program directors, and
institutions to augment scholarly experience for pharmacy trainees and
clinicians. This commentary highlights the importance of providing research
opportunities for students and residents, describes the potential barriers to
these activities, and provides recommendations on how to increase the instruction
and mentoring of trainees to generate and use research.
PMID- 27885709
TI - The median hazard ratio: a useful measure of variance and general contextual
effects in multilevel survival analysis.
AB - Multilevel data occurs frequently in many research areas like health services
research and epidemiology. A suitable way to analyze such data is through the use
of multilevel regression models (MLRM). MLRM incorporate cluster-specific random
effects which allow one to partition the total individual variance into between
cluster variation and between-individual variation. Statistically, MLRM account
for the dependency of the data within clusters and provide correct estimates of
uncertainty around regression coefficients. Substantively, the magnitude of the
effect of clustering provides a measure of the General Contextual Effect (GCE).
When outcomes are binary, the GCE can also be quantified by measures of
heterogeneity like the Median Odds Ratio (MOR) calculated from a multilevel
logistic regression model. Time-to-event outcomes within a multilevel structure
occur commonly in epidemiological and medical research. However, the Median
Hazard Ratio (MHR) that corresponds to the MOR in multilevel (i.e., 'frailty')
Cox proportional hazards regression is rarely used. Analogously to the MOR, the
MHR is the median relative change in the hazard of the occurrence of the outcome
when comparing identical subjects from two randomly selected different clusters
that are ordered by risk. We illustrate the application and interpretation of the
MHR in a case study analyzing the hazard of mortality in patients hospitalized
for acute myocardial infarction at hospitals in Ontario, Canada. We provide R
code for computing the MHR. The MHR is a useful and intuitive measure for
expressing cluster heterogeneity in the outcome and, thereby, estimating general
contextual effects in multilevel survival analysis. (c) 2016 The Authors.
Statistics in Medicine published by John Wiley & Sons Ltd.
PMID- 27885712
TI - Randomized controlled trial comparing lactulose plus albumin versus lactulose
alone for treatment of hepatic encephalopathy.
AB - BACKGROUND: Hepatic encephalopathy (HE) is associated with poor prognosis and
treatment of HE is primarily directed at the reduction of the blood ammonia
levels. The study evaluated the efficacy and safety of albumin plus lactulose
versus lactulose alone for treatment of overt HE. METHODS: In prospective
randomized controlled trial, 120 patients with overt HE were randomized in two
groups: group A lactulose plus albumin (n = 60) and group B lactulose alone (n =
60). Primary end point was complete reversal of HE, and secondary end points were
mortality and hospital stay. RESULTS: A total of 120 patients (mean age 40.4 +/-
9.3 years) were included in this study. Thirty-six (30%) patients were in Child
Turcotte-Pugh (CTP) class B, and 84 (70%) were in CTP Class C. Mean CTP score was
9.8 +/- 2.1, and model for end-stage liver disease score was 26.1 +/- 5.3. Twenty
seven (22.5%) had grade 2, 57 (47.5%) had grade 3, and 36 (30%) had grade 4 HE at
the time of admission. Forty-five (75%) patients in group A compared with 32
(53.3%) patients in group B had complete reversal of HE (P = 0.03). Mortality was
significantly lower in lactulose plus albumin group (11[18.3%]) versus lactulose
alone (19 [31.6%], [P < 0.05]). There was significant decrease in levels of
arterial ammonia, interleukin-6, interleukin-18, tumor necrosis factor-alpha, and
endotoxins after treatment in both groups; however, the delta decrease was
significantly higher in group A compared with group B. Hospital stay was shorter
in group A. CONCLUSIONS: Combination of lactulose plus albumin is more effective
than lactulose alone in treatment of overt HE.
PMID- 27885713
TI - A new tool to quantify the geometrical characteristics of facial skin pores.
Changes with age and a making-up procedure in Caucasian women.
AB - BACKGROUND: Facial skin pores (FSP) are common and benign signs that generate
frequent esthetic concerns or complaints. Despite their worldwide prevalence,
related literature remains scarce. Hence, a new device has been developed and
applied to validating studies, aiming at best describing FSP as they are self
perceived, i.e. their anatomic features, their possible alterations with age and
their appearance after application of a make-up product. METHODS: Dermascore+(r)
is an imaging device dedicated to a direct observation and acquisition of various
characteristics of the skin surface. Images are captured under a high
magnification and under different lighting configurations, to highlight the skin
relief, based upon parallel polarized images. Dedicated software allows FSP to
being detected and their morphological parameters to being extracted and
computed. By measuring each detected FSP in a given region of interest, a
statistically significant impact of both age and an applied cosmetic product upon
their morphological features can be observed and quantified. RESULTS: Although
the size and density of FSP are not affected by aging, their shape becomes
elongated. A few tested make up products show variable effects that closely
correlate with visual assessments made by trained estheticians. CONCLUSION: The
shape of FSP present on cheeks shows age-related changes, toward a more elongated
aspect, likely linked to a progressively altered (more isotropic) skin surface
micro-relief. The new tool Dermascore+(r) allows foundations to being rapidly
differentiated and screened according to their variable effects upon the visual
appearance through instrumental, objective depiction of FSP.
PMID- 27885714
TI - High-Performance THz Emitters Based on Ferromagnetic/Nonmagnetic
Heterostructures.
AB - A low-cost, intense, broadband, noise resistive, magnetic field controllable,
flexible, and low power driven THz emitter based on thin
nonmagnetic/ferromagnetic metallic heterostructures is demonstrated. The THz
emission origins from the inverse spin Hall Effect. The proposed devices are not
only promising for a wide range of THz equipment, but also offer an alternative
approach to characterize the spin-orbit interaction in nonmagnetic/ferromagnetic
bilayers.
PMID- 27885715
TI - Response to: The two faces of the translaminar pressure difference: the
biomechanical one and the biochemical one.
PMID- 27885716
TI - Organic Planar Heterojunctions: From Models for Interfaces in Bulk
Heterojunctions to High-Performance Solar Cells.
AB - Recent progress regarding planar heterojunctions (PHJs) is reviewed, with respect
to the fundamental understanding of the photophysical processes at the
donor/acceptor interfaces in organic photovoltaic devices (OPVs). The current
state of OPV research is summarized and the advantages of PHJs as models for
exploring the relationship between organic interfaces and device characteristics
described. The preparation methods and the characterization of PHJ structures to
provide key points for the appropriate handling of PHJs. Next, we describe the
effects of the donor/acceptor interface on each photoelectric conversion process
are reviewed by examining various PHJ systems to clarify what is currently known
and not known. Finally, it is discussed how we the knowledge obtained by studies
of PHJs can be used to overcome the current limits of OPV efficiency.
PMID- 27885717
TI - Salsalate treatment for prediabetes: a therapeutic alternative?
PMID- 27885719
TI - Targeting Nanocarriers with Anisamide: Fact or Artifact?
AB - Encapsulating chemotherapeutics in nanoparticles can reduce the side effects of
intravenous administration and improve their antitumor efficacy. Additionally,
surface decoration of the nanocarriers with tumor-targeting ligands may enhance
their specificity for cancer cells overexpressing the corresponding ligand
binding counterpart. The focus here is on anisamide, a low-molecular-weight
benzamide derivative used as a tumor-directing moiety in functionalized
nanosystems, based on its alleged interaction with Sigma receptors. The
scintigraphic agents that initially inspired the use of anisamide for tumor
targeting are described, and the published anisamide-tethered nanocarrier
formulations are reviewed, together with a critical overview of the ligand's
tumor-targeting properties. Moreover, anisamide's putative but dubious cellular
target, the Sigma-1 receptor, is discussed with regard to its subcellular
localization and implications in cancer. Data from in vivo studies reveal that
the effect of anisamide on the antitumor efficacy of the decorated nanosystems
varies considerably among the published reports. Together with the evidence
questioning the interaction of anisamide with the Sigma receptors, the
variability of anisamide's effect on the tumor deposition and the antitumor
efficacy of the decorated drug carriers calls into question the extent of the
ligand's tumor-targeting effect. Further research is necessary to elucidate the
ligand's utility in tumor targeting.
PMID- 27885718
TI - Purinergic receptors: new targets for the treatment of gout and fibrosis.
AB - Adenosine triphosphate is involved in many metabolic reactions, but it has also a
role as a cellular danger signal transmitted through purinergic receptors (PRs).
Indeed, adenosine 5'-triphosphate (ATP) can bind to PRs which are found in the
membrane of many cell types, although the relative proportions of the receptor
subtypes differ. PRs are classified according to genetic and pharmacological
criteria and especially their affinities for agonists and their transduction
mechanism (i.e. as metabotropic P2YRs or ionotropic P2XRs). Extracellular ATP
release by activated or necrotic cells may activate various PRs and especially
P2X7R, the best-characterized PR, on immune cells. P2X7R is known to regulate the
activation of the Nod-like receptor (NLR)-family protein, NLRP3 inflammasome,
which permit the release of IL-1beta, a potent pro-inflammatory cytokine. The
P2X7R/NLRP3 pathway is involved in many inflammatory diseases, such as gout, and
in fibrosis diseases associated with inflammatory process, liver or lung
fibrosis. Some authors imaging also a real promising therapeutic potential of
P2X7R blockage. Thus, several pharmaceutical companies have developed P2X7R
antagonists as novel anti-inflammatory drug candidates. Clinical trials of the
efficacy of these antagonists are now underway. A better understanding of the
P2X7R/NLRP3 signalling pathways permits the identification of targets and the
development of a new class of drugs able to inhibit the fibrogenesis process and
collagen deposition.
PMID- 27885720
TI - A retrospective clinical study of endoscopic-assisted transcervical insemination
in the bitch with frozen-thawed dog semen.
AB - Since the conclusion of data collation from previously published work, a further
352 inseminations using frozen-thawed dog semen by endoscopic-assisted
transcervical insemination (EIU) have been performed by the author. Insemination
was performed on the second day in which crenulation of the anterior vagina was
detected in conjunction with a progesterone concentration of >10 ng/ml. All semen
samples were analysed for total number of sperm, total motility and progressive
motility using computer-assisted semen analysis (CASA). The insemination dose was
based on the progressively motile normal spermatozoa (PMNS). Insemination was
performed on all bitches as previously described using a ureterorenoscope.
Additional extender was inseminated subsequent to the semen to expand and fill
the uterus. The semen and additional extender were inseminated slowly over a
period of 15-20 min. Pregnancy was determined by B-mode ultrasound equipped with
a 7.5-MHz probe whilst standing and/or via the whelping rate. The number of sperm
inseminated ranged from 9 * 106 PMNS to 519 * 106 PMNS, with progressive motility
values ranging between 20% and 80%. The overall pregnancy rate was 68% (238/352).
When stratified by PMNS, pregnancy rates were as follows: >150 * 106 PMNS - 76%
(110/145), 100-150 * 106 - 68% (87/128) and <100 * 106 PMNS - 52% (41/79).
Pregnancy rate was significantly higher when >150 * 106 PMNS (p = .003) or 100
150 *106 PMNS (p = .027) were inseminated compared to <100 * 106 PMNS. These data
are concordant with previous reports recommending the insemination of >150 * 106
PMNS to maximize pregnancy rate. These results indicate that one optimally timed
EIU insemination results in similar pregnancy rates to previous publications of
one optimally timed, or two or more non-optimally timed inseminations using the
Norwegian catheter.
PMID- 27885721
TI - Physicochemical and Electronic Properties of Cationic [6]Helicenes: from Chemical
and Electrochemical Stabilities to Far-Red (Polarized) Luminescence.
AB - The physicochemical properties of cationic dioxa (1), azaoxa (2), and diaza (3)
[6]helicenes demonstrate a much higher chemical stability of the diaza adduct 3
(pKR+ =20.4, Ered1/2 =-0.72 V) compared to its azaoxa 2 (pKR+ =15.2, Ered1/2 =
0.45 V) and dioxa 1 (pKR+ =8.8, Ered1/2 =-0.12 V) analogues. The fluorescence of
these cationic chromophores is established, and ranges from the orange to the far
red regions. From 1 to 3, a bathochromic shift of the lowest energy transitions
(up to 614 nm in acetonitrile) and an enhancement of the fluorescence quantum
yields and lifetimes (up to 31 % and 9.8 ns, respectively, at 658 nm) are
observed. The triplet quantum yields and circularly polarized luminescence are
also reported. Finally, fine tuning of the optical properties of the diaza
[6]helicene core is achieved through selective and orthogonal post
functionalization reactions (12 examples, compounds 4-15). The electronic
absorption is modulated from the orange to the far-red spectral range (560-731
nm), and fluorescence is observed from 591 to 755 nm with enhanced quantum
efficiency up to 70 % (619 nm). The influence of the peripheral auxochrome
substituents is rationalized by first-principles calculations.
PMID- 27885722
TI - Thrombin-Responsive Transcutaneous Patch for Auto-Anticoagulant Regulation.
AB - A thrombin-responsive closed-loop patch is developed for prolonged heparin
delivery in a feedback-controlled manner. This microneedle-based patch can sense
activated thrombin and subsequently releases heparin to prevent coagulation in
the blood flow. This "smart" heparin patch can be transcutaneously inserted into
skin without drug leakage and can sustainably regulate blood coagulation in
response to thrombin.
PMID- 27885723
TI - Formation of Onion-Like NiCo2 S4 Particles via Sequential Ion-Exchange for Hybrid
Supercapacitors.
AB - Onion-like NiCo2 S4 particles with unique hollow structured shells are
synthesized by a sequential ion-exchange strategy. With the structural and
compositional advantages, these unique onion-like NiCo2 S4 particles exhibit
enhanced electrochemical performance as an electrode material for hybrid
supercapacitors.
PMID- 27885724
TI - Identification of lactoferrin and glutamate receptor-interacting protein 1 in
bovine cervical mucus: A putative marker for oestrous detection.
AB - Accurate detection of oestrus is important for artificial insemination. The aim
of this study was to identify oestrous-specific bovine cervical mucus proteins
that could be used to determine the optimal time for artificial insemination. Non
oestrous and controlled internal drug release (CIDR)-induced oestrous-stage mucus
proteins were purified and subjected to surface-enhanced laser
desorption/ionization time-of-flight mass spectrometry, sodium dodecyl sulphate
polyacrylamide gel electrophoresis and MALDI-TOF/TOF. Among differentially
expressed proteins, lactoferrin (LF) and glutamate receptor-interacting protein 1
(GRIP1) showed a twofold increase during the CIDR-induced oestrous stage compared
to the levels in non-oestrous stage in bovine cervical mucus. The RT-PCR, Western
blotting and immunohistochemistry results showed that LF and GRIP1 expression was
significantly increased during the oestrous stage in the uterus. This study
demonstrated that bovine LF and GRIP1 exist during the oestrous stage, but not
during the non-oestrous stage, suggesting that cervical mucus LF and GRIP1 are
useful oestrous detection markers in cattle.
PMID- 27885725
TI - Recyclable and Green Triboelectric Nanogenerator.
AB - A recyclable and green triboelectronic nanogenerator (TENG) is developed based on
triboelectrification and designed cascade reactions. Once triggered by water, the
TENG can fully dissolve and degrade into environmentally benign end products.
With features of rapid dissolution, reproductivity, and green electronic, the
TENG has potential of serving as clearable energy harvester and nanosensor for
health monitoring and motion sensing.
PMID- 27885726
TI - Cryopreservation of feline oocytes by vitrification using commercial kits and
slush nitrogen technique.
AB - Assisted reproductive techniques are a valuable tool for conservation breeding of
endangered species. Cryopreservation methods are the basis of gamete banks,
supporting genetic diversity preservation. Unfortunately, cryopreservation of
feline oocytes is still considered an experimental technique. The aim of this
study was to compare two commercial kits, with our protocol for vitrification of
cat oocytes (IZW), which comprises a three-step method with ethylene glycol,
DMSO, fetal calf serum, trehalose and Ficoll PM-70. Furthermore, we applied slush
nitrogen (SN2 ) for ultra-rapid freezing to improve survival rates. Cumulus
oocyte complexes were collected from domestic cat ovaries by slicing and
vitrified at immature stage using Cryotop as storage device. Vit Kit(r)
Freeze/Thaw (n = 89) showed the lowest maturation percentage obtained after
warming (10.1%). A significant difference in maturation percentage of oocytes was
found between Kitazato(r) kit (38.7%, n = 137) and IZW protocol (24.5%, n = 143).
The cleavage after ICSI of warmed and matured oocytes (20.7% and 28.6%,
respectively) and the morula percentage (18. 2% and 22.5%, respectively),
however, did not reveal any significant difference between the two methods.
Application of SN2 did not result in any improvement of oocytes'
cryopreservation. Maturation percentage of the oocytes vitrified by IZW method
with SN2 (n = 144) decreased until 6.1%, without any cleavage after
fertilization. For Kitazato(r) (n = 62), only 17.7% were able to undergo
maturation and cleavage percentage dropped to 18.2%, not reaching morula stage.
These data demonstrate that feline oocytes can be vitrified either by our IZW
method or by commercial Kitazato(r) kit, but the use of SN2 is improving neither
maturation nor cleavage percentages when combined with these procedures.
PMID- 27885727
TI - Growth inhibitory and proapoptotic effects of l-asparaginase from Fusarium
culmorum ASP-87 on human leukemia cells (Jurkat).
AB - The objective of this study was to evaluate the anticancer properties of l
asparaginase purified from fungal isolate Fusarium culmorum ASP-87 against human
T-cell leukemia cell line (Jurkat). The growth inhibitory and proapoptotic
effects of purified l-asparaginase on Jurkat cell lines were investigated by
determining its influence on cell viability, colony formation, DNA fragmentation,
and cell cycle progression. The results revealed that purified l-asparaginase
showed significant decrease in cell survival with IC50 value of 90 MUg/mL (9
IU/mL). The enzyme inhibited colony formation and showed characteristic laddering
pattern on agarose gel thereby confirming the induction of apoptosis. Further,
cell cycle analysis revealed that the enzyme induced apoptotic cell death by
arresting the growth of cells at G2 -M phase. However, the enzyme did not elicit
any toxic effects on human erythrocytes. l-asparaginase purified from F. culmorum
ASP-87 showed significant and selective cytotoxic and apoptotic effects on human
T-cell leukemic cells in dose-dependent manner. Results of the study give leads
for the anticancer effects of fungal l-asparaginase and its potential usefulness
in the chemotherapy of leukemia.
PMID- 27885728
TI - Tailoring Graphene Oxide-Based Aerogels for Efficient Solar Steam Generation
under One Sun.
AB - Graphene oxide-based aerogels with carefully tailored properties are developed to
enable efficient solar steam generation. Aerogels, with inherent porous
structures, are excellent thermal insulators and provide channels for water
supply and vapor escape. With enhanced absorption and hydrophilicity by
incorporation of carbon nanotubes and sodium alginate, the resulting aerogels can
enable efficient (~83%) solar steam generation under one-sun illumination.
PMID- 27885730
TI - Artificial Olfactory System for Trace Identification of Explosive Vapors Realized
by Optoelectronic Schottky Sensing.
AB - A rapid, ultrasensitive artificial olfactory system based on an individual
optoelectronic Schottky junction is demonstrated for the discriminative detection
of explosive vapors, including military explosives and improvised explosives.
PMID- 27885729
TI - New biosourced chiral molecularly imprinted polymer: Synthesis, characterization,
and evaluation of the recognition capacity of methyltestosterone.
AB - New biosourced chiral cross-linkers were reported for the first time in the
synthesis of methyltestosterone (MT) chiral molecularly imprinted polymers
(cMIPs). Isosorbide and isomannide, known as 1,4:3,6-dianhydrohexitols, were
selected as starting diols. The cMIPs were synthesized following a noncovalent
approach via thermal radical polymerization and monitored by Raman spectroscopy.
These cross-linkers were fully characterized by 1 H and 13 C nuclear magnetic
resonance (NMR) spectroscopy and high-resolution mass spectrometry. The cross
polarization magic angle spinning 13 C NMR, Fourier transform infrared
spectroscopy, scanning electron microscopy, and specific surface areas following
the Brunauer-Emmett-Teller (BET) method were used to characterize the cMIPs. The
effect of stereochemistry of cross-linkers on the reactivity of polymerization,
morphology, and adsorption-recognition properties of the MIP was evaluated. The
results showed that the cMIP exhibited an obvious improvement in terms of
rebinding capacity for MT as compared with the nonimprinted polymer (NIP). The
highest binding capacity was observed for cMIP-Is (27.298 mg g-1 ) for high
concentrations (500 mg L-1 ). However, the isomannide homologue cMIP-Im showed
higher recovery-up to 65% and capacity for low concentrations (15 mg L-1 ). The
experimental data were properly fitted by the Freundlich adsorption isothermal
model.
PMID- 27885731
TI - Development of a Nucleotide Exchange Inhibitor That Impairs Ras Oncogenic
Signaling.
AB - Despite more than three decades of intense effort, no anti-Ras therapies have
reached clinical application. Contributing to this failure has been an
underestimation of Ras complexity and a dearth of structural information. In this
regard, recent studies have revealed the highly dynamic character of the Ras
surface and the existence of transient pockets suitable for small-molecule
binding, opening up new possibilities for the development of Ras modulators.
Herein, a novel Ras inhibitor (compound 12) is described that selectively impairs
mutated Ras activity in a reversible manner without significantly affecting wild
type Ras, reduces the Ras-guanosine triphosphate (GTP) levels, inhibits the
activation of the mitogen-activated protein kinase (MAPK) pathway, and exhibits
remarkable cytotoxic activity in Ras-driven cellular models. The use of molecular
dynamics simulations and NMR spectroscopy experiments has enabled the molecular
bases responsible for the interactions between compound 12 and Ras protein to be
explored. The new Ras inhibitor binds partially to the GTP-binding region and
extends into the adjacent hydrophobic pocket delimited by switch II. Hence, Ras
inhibitor 12 could represent a new compound for the development of more
efficacious drugs to target Ras-driven cancers; a currently unmet clinical need.
PMID- 27885733
TI - S-Doped N-Rich Carbon Nanosheets with Expanded Interlayer Distance as Anode
Materials for Sodium-Ion Batteries.
AB - 2D composites with S doping into N-rich carbon nanosheets are fabricated, whose
interlayer distance becomes large enough for Na+ insertion and diffusion. The
large surface area and stable structure also provide more sites for Na+
adsorption, leading to high Na-storage capacity and excellent rate performance.
Moreover, Faradaic reactions between Na+ and tightly bound S is beneficial for
further improvement of Na-storage capacity.
PMID- 27885732
TI - Surface-Charge-Mediated Formation of H-TiO2 @Ni(OH)2 Heterostructures for High
Performance Supercapacitors.
AB - An electrochemically favorable Ni(OH)2 with porously hierarchical structure and
ultrathin nanosheets in a core-shell structure H-TiO2 @Ni(OH)2 is achieved
through modulating the surface chemical activity of TiO2 by hydrogenation, which
creates a defect-rich surface of TiO2 , thereby facilitating the subsequent
nucleation and growth of Ni(OH)2 . These configuration-tailored H-TiO2 @Ni(OH)2
core-shell nanowires exhibit a superior electrochemical performance and good
flexibility.
PMID- 27885734
TI - Simultaneous determination of thermodynamic and kinetic parameters of
aminopolycarbonate complexes of cobalt(II) and nickel(II) based on isothermal
titration calorimetry data.
AB - The influence of the different side chain residues on the thermodynamic and
kinetic parameters for complexation reactions of the Co2+ and Ni2+ ions has been
investigated by using the isothermal titration calorimetry (ITC) technique
supported by potentiometric titration data. The study was concerned with the 2
common tripodal aminocarboxylate ligands, namely, nitrilotriacetic acid and N-(2
hydroxyethyl) iminodiacetic acid. Calorimetric measurements (ITC) were run in the
2-(N-morpholino)ethanesulfonic acid hydrate (2-(N-morpholino) ethanesulfonic
acid), piperazine-N,N'-bis(2-ethanesulfonic acid), and dimethylarsenic acid
buffers (0.1 mol L-1 , pH 6) at 298.15 K. The quantification of the metal-buffer
interactions and their incorporation into the ITC data analysis enabled to obtain
the pH-independent and buffer-independent thermodynamic parameters (K, DeltaG,
DeltaH, and DeltaS) for the reactions under study. Furthermore, the kinITC method
was applied to obtain kinetic information on complexation reactions from the ITC
data. Correlations, based on kinetic and thermodynamic data, between the kinetics
of formation of Co2+ and Ni2+ complexes and their thermodynamic stabilities are
discussed.
PMID- 27885736
TI - Palladium Nanoparticles Encapsulated in Hollow Titanosilicate Spheres as an Ideal
Nanoreactor for One-pot Oxidation.
AB - One-pot reaction involving Pd-catalyzed H2 O2 production from H2 and O2 and Ti
catalyzed successive oxidation with H2 O2 in a single reaction vessel is an
alluring strategy for the synthesis of targeted chemicals in terms of
sustainability and economic competitiveness. In this study, a yolk-shell
nanostructured catalyst, consisting of Pd nanoparticles (NPs) with core diameter
ca. 4.0 nm and a porous titanosilicate shell of ca. 15 nm thickness, was
fabricated by using an oil-in-water (O/W) microemulsion-based interfacial self
assembly approach. Compared with prototype titanosilicate-supported Pd NP
catalysts and core-shell structured analogues, the yolk-shell nanostructured
catalyst exhibited superior catalytic efficiency in the one-pot oxidation
reaction of sulfides with 83 % H2 O2 utilization efficiency, because of the
productive effect of the titanosilicate shell in limiting the diffusion of H2 O2
generated in situ over the encapsulated Pd NPs and the efficient access of the H2
O2 to the neighboring active Ti sites. This study provides promising avenues for
the development of multifunctional nanostructured catalysts that are useful for
one-pot reactions.
PMID- 27885737
TI - A Zirconium Macrocyclic Metal-Organic Framework with Predesigned Shape-Persistent
Apertures.
AB - A microporous metal-organic framework (MOF) was synthesized from [Zr6 O4 (OH)4
(C6 H5 COO)12 ] clusters and a triacid ligand based on a shape-persistent arylene
ethynylene macrocycle. This framework, dubbed Zr-MCMOF, is held together by metal
ligand coordination and multiple weak interactions: hydrogen bonding, [pi???pi]
stacking, and [C-H???pi] interactions. The rigid ligand has a 9 A-wide central
void, which serves as a predesigned aperture for the 1D channels; all of the
porosity of Zr-MCMOF comes from the ligand. The resulting framework possesses
high hydrolytic and thermal stability and a flexible structure unique among Zr
based MOFs.
PMID- 27885735
TI - Small acidic protein 1 and SCFTIR1 ubiquitin proteasome pathway act in concert to
induce 2,4-dichlorophenoxyacetic acid-mediated alteration of actin in Arabidopsis
roots.
AB - 2,4-Dichlorophenoxyacetic acid (2,4-D), a functional analogue of auxin, is used
as an exogenous source of auxin as it evokes physiological responses like the
endogenous auxin, indole-3-acetic acid (IAA). Previous molecular analyses of the
auxin response pathway revealed that IAA and 2,4-D share a common mode of action
to elicit downstream physiological responses. However, recent findings with 2,4-D
specific mutants suggested that 2,4-D and IAA might also use distinct pathways to
modulate root growth in Arabidopsis. Using genetic and cellular approaches, we
demonstrate that the distinct effects of 2,4-D and IAA on actin filament
organization partly dictate the differential responses of roots to these two
auxin analogues. 2,4-D but not IAA altered the actin structure in long-term and
short-term assays. Analysis of the 2,4-D-specific mutant aar1-1 revealed that
small acidic protein 1 (SMAP1) functions positively to facilitate the 2,4-D
induced depolymerization of actin. The ubiquitin proteasome mutants tir1-1 and
axr1-12, which show enhanced resistance to 2,4-D compared with IAA for inhibition
of root growth, were also found to have less disrupted actin filament networks
after 2,4-D exposure. Consistently, a chemical inhibitor of the ubiquitin
proteasome pathway mitigated the disrupting effects of 2,4-D on the organization
of actin filaments. Roots of the double mutant aar1-1 tir1-1 also showed enhanced
resistance to 2,4-D-induced inhibition of root growth and actin degradation
compared with their respective parental lines. Collectively, these results
suggest that the effects of 2,4-D on actin filament organization and root growth
are mediated through synergistic interactions between SMAP1 and SCFTIR1 ubiquitin
proteasome components.
PMID- 27885738
TI - A collaborative exploration of the reasons for lower satisfaction with services
among Bangladeshi and Pakistani social care users.
AB - This study explored underlying reasons for the expression of dissatisfaction with
services among Bangladeshi and Pakistani social care users in England and
investigated, using a collaborative approach, how these could be addressed. In
depth interviews were conducted in Birmingham, Leeds and London during 2012-2013
with 63 Bangladeshi, Pakistani and white British service users and 24 social care
managers, social workers and care workers. A further 34 cognitive interviews were
conducted within the same study. Following data analysis, three collaborative
workshops involving service users and providers were held to validate the
findings and to draw out policy and practice recommendations. Analysis of the
cognitive interviews showed that higher dissatisfaction among Bangladeshi and
Pakistani service users reported in social care surveys was not due to
questionnaire design. Instead in-depth interviews showed that dissatisfaction
across all three groups was expressed along the social care journey, including
accessing care, communication with social workers and the nature of care
received. While many issues were common to all three groups, cultural differences
also emerged as affecting experiences of social care. These included
misunderstandings about family roles in care; gender issues, especially relating
to women; language and communication barriers, alongside the need for a more
nuanced approach to ethnic 'matching'; and continuing limited cultural
understanding among care workers. The collaborative workshops identified
practical actions that could address some of the issues identified. These covered
raising awareness of services within communities; improving support for informal
carers; service user input to assessments; consistent and ongoing sharing of
information; improving access; and more efforts to diversify and appropriately
train the social care workforce. In conclusion, the paper presents the reality of
dissatisfaction among these groups and argues for more action involving
communities and service providers to address these persistent issues
collaboratively.
PMID- 27885739
TI - ANALYSIS OF FACTORS AFFECTING OUTCOME OF ULTRASOUND-GUIDED RADIOFREQUENCY HEAT
ABLATION FOR TREATMENT OF PRIMARY HYPERPARATHYROIDISM IN DOGS.
AB - Radiofrequency (RF) parathyroid ablation is a noninvasive treatment for
hyperparathyroidism in dogs. There are no published data assessing factors
associated with RF parathyroid ablation success or failure in order to guide
patient selection and improve outcome. The purpose of this retrospective
analytical study was to determine whether imaging findings, biochemical data, or
concurrent diseases were associated with RF heat ablation treatment failure. For
inclusion in the study, dogs must have had a clinical diagnosis of primary
hyperparathyroidism, undergone cervical ultrasound and RF ablation of abnormal
parathyroid tissue, and must have had at least 3 months of follow-up information
available following the date of ultrasound-guided parathyroid ablation. Dogs were
grouped based on those with recurrent or persistent hypercalcemia and those
without recurrent or persistent hypercalcemia following therapy. Parathyroid
nodule size, thyroid lobe size, nodule location, and presence of concurrent
disease were recorded. Recurrence of hypercalcemia occurred in 9/32 dogs that had
ablation of abnormal parathyroid tissue (28%) and one patient had persistent
hypercalcemia (3%) following parathyroid ablation. Nodule width (P = 0.036),
height (P = 0.028), and largest cross-sectional area (P = 0.023) were larger in
dogs that had recurrent or persistent hypercalcemia following ablation.
Hypothyroidism was more common in dogs with recurrent disease (P = 0.044).
Radiofrequency ablation was successful in 22/32 (69%) dogs. Larger parathyroid
nodule size and/or concurrent hypothyroidism were associated with treatment
failure in dogs that underwent ultrasound-guided RF parathyroid nodule ablation.
PMID- 27885741
TI - Zika and pregnancy: A comprehensive review.
AB - Zika virus (ZIKV) infection is a well-nurtured topic for healthcare personnel
nowadays. Central nervous system involvement including microcephaly and ocular
involvements has already been reported in neonates of affected pregnant ladies.
In this article, we have discussed these effects on the newborns of ZIKV-infected
mothers. The proposed pathogenesis, modes of transmission of this infection from
mothers to the fetuses, diagnosis of the cases and precaution for the pregnant
ladies have also been discussed. We have gathered the recently available data on
the risk of ZIKV for expectant mothers from PubMed,
https://www.gov.uk/guidance/zika-virus as well as from centers for disease
control and prevention websites.
PMID- 27885742
TI - Catheter Ablation in the Right Ventricular Outflow Tract Associated With
Occlusion of Left Anterior Descending Coronary Artery.
AB - Major vessel injury during right ventricular outflow tract ablation is not
something widely recognized, and routine evaluation of the left anterior
descending (LAD) artery location in relation to the septal right ventricle is not
routinely performed. In the present article, we report a case of acute LAD
occlusion after right ventricular outflow tract ablation and then illustrate the
intimately close relationship of the LAD artery to the anterior septal site of
the RVOT (approximately 2-3 cm under the pulmonic valve), using a combination of
intracardiac echocardiography and 3-dimensional electroanatomical mapping
recorded during a second case, in order to specifically point to the area at
risk.
PMID- 27885743
TI - Influence of polymerisation method and type of fibre on fracture strength of
endodontically treated teeth.
AB - The aim of this study was to investigate (i) the effect of direct or indirect
polymerisation of adhesive-impregnated ribbon fibre under 4-mm bulk-filled
composites on fracture strength; (ii) to compare polyethylene ribbon fibre
reinforcement composites with short fibre-reinforced composite; and (iii) the
effectiveness of polyethylene ribbon fibre according to the restorative materials
used (low-viscous bulk-fill composite, high-viscous flowable composite or
conventional paste composite). Seventy molars were divided into seven groups;
(groups 1-2) Ribbond-reinforced Surefil-SDR; (group 3) Ribbond-reinforced G
Aenial Flo; (group 4) Ribbond-reinforced G Aenial Posterior; (group 5) short
fibre-reinforced composite everX Posterior; (group 6) unfilled cavity; (group 7)
intact teeth. Ribbond was adopted to cavity walls by impregnating an adhesive and
using a flowable composite. In group 1, adhesive-impregnated Ribbond was
polymerised directly using a light-curing-unit, and indirectly in group 2 under 4
mm bulk-filled composite. Direct or indirect polymerisation of adhesive
impregnated ribbon fibre under 4-mm bulk-filled composite did not change the
fracture strength results. Polyethylene ribbon fibre-reinforced groups (groups 1
4) and short fibre-reinforced composite group (group 5) displayed similar
results. Polyethylene ribbon fibre can be used safely under 4-mm bulk-filled
composites. Ribbond-reinforced low-viscous bulk-fill, high-viscous flowable, and
conventional paste composite exhibited similar fracture strength results.
PMID- 27885740
TI - ASP5878, a selective FGFR inhibitor, to treat FGFR3-dependent urothelial cancer
with or without chemoresistance.
AB - FGF/FGFR gene aberrations such as amplification, mutation and fusion are
associated with many types of human cancers including urothelial cancer. FGFR
kinase inhibitors are expected to be a targeted therapy for urothelial cancer
harboring FGFR3 gene alternations. ASP5878, a selective inhibitor of FGFR1, 2, 3
and 4 under clinical investigation, selectively inhibited cell proliferation of
urothelial cancer cell lines harboring FGFR3 point mutation or fusion (UM-UC-14,
RT-112, RT4 and SW 780) among 23 urothelial cancer cell lines. Furthermore,
ASP5878 inhibited cell proliferation of adriamycin-resistant UM-UC-14 cell line
harboring MDR1 overexpression and gemcitabine-resistant RT-112 cell line. The
protein expression of c-MYC, an oncoprotein, in gemcitabine-resistant RT-112 cell
line was higher than that in RT-112 parental cell line and ASP5878 decreased the
c-MYC expression in both RT-112 parental and gemcitabine-resistant RT-112 cell
lines. Once-daily oral administration of ASP5878 exerted potent antitumor
activities in UM-UC-14, RT-112 and gemcitabine-resistant RT-112 xenograft models
without affecting body weight. These findings suggest that ASP5878 has the
potential to be an oral targeted therapy against urothelial cancer harboring
FGFR3 fusion or FGFR3 point mutation after the acquisition of gemcitabine- or
adriamycin-resistance.
PMID- 27885744
TI - Glutathione in combination with trehalose has supplementary beneficial effects on
cryopreserved red deer (cervus elaphus) sperm.
AB - OBJECTIVES: In this study, we evaluated the effects of glutathione in combination
with trehalose addition to semen extenders on the quality parameters of frozen
thawed red deer (cervus elaphus) spermatozoa. METHOD OF STUDY: The semen samples
collected from six mature red deer once a week were diluted with Tris-egg yolk
based extenders. The diluted semen samples were supplemented with glutathione (8
mmol L-1 ) and or trehalose (5%, w/v), cryopreserved, thawed and then subjected
to sperm quality parameter evaluation. RESULTS: Both glutathione and trehalose
addition to the extender significantly improved progressive motility, acrosome
integrity, membrane integrity, superoxide dismutase and glutathione peroxidase
activity and decreased percentage abnormality and sperm malondialdehyde level
compared with the control group (P<.05). Moreover, glutathione in combination
with trehalose addition to semen extenders had higher efficiency compared with
the glutathione or trehalose addition alone (P<.05). CONCLUSION: Therefore,
glutathione in combination with trehalose could be a promising cryoprotectant for
red deer sperm.
PMID- 27885745
TI - Exaggerated Reactivity of Parasympathetic Nerves Is Involved in Ventricular
Fibrillation in J-Wave Syndrome.
AB - INTRODUCTION: Brugada syndrome (BrS) and early repolarization syndrome (ERS) are
termed the J-wave syndrome. In most cases of J-wave syndrome, ventricular
fibrillation (VF) often occurs around midnight or in the early morning when
parasympathetic tone is augmented. OBJECTIVE: The purpose of this study was to
clarify the relationship between VF and autonomic nervous activity in patients
with J-wave syndrome. METHODS AND RESULTS: We enrolled 28 consecutive patients
with J-wave syndrome (20 BrS and 8 ERS) in whom implantable cardioverter
defibrillators (ICDs) were implanted between January 2002 and December 2014.
Eleven patients (39%) experienced ICD shock delivery due to VF recurrence after
ICD implantation (recurrent-VF group). We investigated baroreflex sensitivity
(BRS) using the phenylephrine method, heart rate variability (HRV) with Holter
electrocardiography, plasma levels of norepinephrine, and cardiac 123 I
metaiodobenzylguanidine (MIBG) scintigraphy to estimate autonomic nervous
function. Upon measurement of HRV, plasma levels of norepinephrine, and 123 I
MIBG testing, there was no significant difference between recurrent-VF and
nonrecurrent-VF groups. However, BRS was significantly higher in the recurrent-VF
group than in the nonrecurrent-VF group (P = 0.03). Kaplan-Meier curves suggested
that high-BRS patients had higher VF recurrence than those with nonhigh-BRS (P =
0.04). Cox proportional hazards regression analyses showed that high BRS was
associated independently with VF recurrence (P = 0.002). CONCLUSIONS: Our results
suggest that exaggerated reactivity of parasympathetic nerves, as represented by
increased BRS, may underlie VF in patients with J-wave syndrome.
PMID- 27885746
TI - Group therapy processes and treatment outcomes in 2 couple-focused group
interventions for breast cancer patients.
AB - BACKGROUND: There has been little attention paid to the role of therapeutic
processes in group therapy outcomes for cancer patients participating in group.
The goal was to evaluate the contribution of 3 group processes-group climate
(conflict, engagement, and avoidance) working alliance and therapeutic
realizations-to the outcomes of 2 couple-focused approaches to group treatment.
METHODS: Three hundred and two women with early stage breast cancer and their
partners were randomized to one of 2 conditions: an 8-session enhanced couple
focused group (ECG) intervention or a couples' support group participated.
Couples completed measures of depressive symptoms and well-being before and 6
months after group. Group process measures were completed after sessions 4 and 8.
RESULTS: Support group participants (both patients and partners) perceived higher
engagement and less avoidance than ECG participants. Conflict, working alliance,
and therapeutic realizations did not differ. Group engagement, working alliance,
and therapeutic realizations increased, and group conflict decreased over the
course of both treatments. Greater conflict was associated with more
posttreatment anxiety and lower well-being, and engagement was associated with
higher posttreatment well-being. Patients whose partners reported higher conflict
reported greater posttreatment anxiety. Working alliance was associated with
posttreatment anxiety for ECG patients and with well-being among participants
whose partners reported higher working alliance. CONCLUSIONS: Fostering a
positive group environment bolsters treatment efficacy for women with early stage
breast cancer and their partners attending couple-focused groups. Facilitating
the leader-member alliance bolsters treatment efficacy. Improving engagement with
one member of a couple impacts the other member.
PMID- 27885747
TI - Exploring the relationship between nursing hours per patient day and mortality
rate of hospitalised patients in Taiwan.
AB - AIM: To investigate the relationship between nursing hours per patient day and
the inpatient mortality rate in Taiwan. BACKGROUND: Nursing hours per patient day
has been associated with better patient outcomes. The literature is inconclusive
on the relationship between nursing hours per patient day and the inpatient
mortality rate, and no studies have yet examined this issue in Taiwan. METHODS: A
retrospective longitudinal study analysed data from the 'Nursing Utilization of
Resources, Staffing and Environment on Outcome Study: NURSE-outcome study'.
Hierarchical regression estimated the relationship between nursing hours per
patient day and in-hospital mortality rate after controlling for confounding
variables. RESULTS: The mean nursing hours per patient day in Taiwan was 2.3,
while the mean inpatient mortality rate was 0.73% higher nursing hours per
patient day was associated with a lower inpatient mortality rate after
controlling for confounding variables. The total explained variance of this study
in inpatient mortality rate was 19.9%. Significant relationships to inpatient
mortality were found in levels of hospitals, seasonal variation and nurses' work
experience. CONCLUSION: Nursing hours per patient day affects the mortality rate
among hospitalised patients in Taiwan. IMPLICATIONS FOR NURSING MANAGEMENT:
According to the results, we suggested the government and managers in Taiwan
double the nursing hours per patient day so that the inpatient mortality rate
will decline by 1.1%. This might be the optimal nurse configuration that could
provide a balance between cost-effectiveness and patient safety.
PMID- 27885748
TI - Protective efficacy and hepatitis B virus clearance in mice enhanced by cell
mediated immunity with novel prime-boost regimens.
AB - In this study, anti-hepatitis B virus (HBV) immunity was evaluated in mice using
several regimens of the HBV recombinant protein vaccine HBSS1 that expressed in
CHO cells containing S (1-223 aa) and preS1 (21-47 aa) and recombinant adenovirus
rAdSS1 vaccine. Further, the protective efficacy of these vaccine regimens was
studied in a mouse model. High titres of antigen-specific antibodies and
neutralizing activity were elicited in mice after vaccination. However, robust
multi-antigen (preS1 and S)-specific cell-mediated immunity (CMI) was only
detected in mice primed with HBSS1 and boosted with rAdSS1. Moreover, functional
T-cell responses with high levels of cytokines and antigen-specific cytotoxic T
cell responses (CD107a+ CD8+ ) were also detected in the mice. Rapid clearance of
hepatitis B surface antigen and HBV DNA in blood and significantly decreased
hepatitis B envelope antigen levels were observed in mice immunized with the
heterogeneous prime-boost vaccine after hepatitis B virus challenge by
hydrodynamic injection (HI) of pCS-HBV1.3. The clearance of HBV correlated well
with antigen-specific CMI (Th1 and CTL responses) and cytokine profiles (IFN
gamma, TNF-alpha, IL-2) elicited by vaccination. Taken together, our results
might contribute to the development of new human HBV vaccines and a better
understanding of the mechanisms underlying immune protection and clearance of
hepatitis B virus infection.
PMID- 27885749
TI - Biophysical Modeling to Determine the Optimization of Left Ventricular Pacing
Site and AV/VV Delays in the Acute and Chronic Phase of Cardiac Resynchronization
Therapy.
AB - BACKGROUND: Cardiac anatomy and function adapt in response to chronic cardiac
resynchronization therapy (CRT). The effects of these changes on the optimal left
ventricle (LV) lead location and timing delay settings have yet to be fully
explored. OBJECTIVE: To predict the effects of chronic CRT on the optimal LV lead
location and device timing settings over time. METHODS: Biophysical computational
cardiac models were generated for 3 patients, immediately post-implant (ACUTE)
and after at least 6 months of CRT (CHRONIC). Optimal LV pacing area and device
settings were predicted by pacing the ACUTE and CHRONIC models across the LV
epicardium (49 sites each) with a range of 9 pacing settings and simulating the
acute hemodynamic response (AHR) of the heart. RESULTS: There were statistically
significant differences between the distribution of the AHR in the ACUTE and
CHRONIC models (P < 0.0005 in all cases). The site delivering the maximal AHR
shifted location between the ACUTE and CHRONIC models but provided a negligible
improvement (<2%). The majority of the acute optimal LV pacing regions (76-100%)
and device settings (76-91%) remained optimal chronically. CONCLUSION:
Optimization of the LV pacing location and device settings were important at the
time of implant, with a reduced benefit over time, where the majority of the
acute optimal LV pacing region and device settings remained optimal with chronic
CRT.
PMID- 27885750
TI - They're NICE and Neat, but Are They Useful? A Grounded Theory of Clinical
Psychologists' Beliefs About and Use of NICE Guidelines.
AB - : Guidelines are ubiquitous but inconsistently used in UK mental health services.
Clinical psychologists are often influential in guideline development and
implementation, but opinion within the profession is divided. This study utilized
grounded theory methodology to examine clinical psychologists' beliefs about and
use of NICE guidelines. Eleven clinical psychologists working in the NHS were
interviewed. The overall emerging theme was; NICE guidelines are considered to
have benefits but to be fraught with dangers. Participants were concerned that
guidelines can create an unhelpful illusion of neatness. They managed the tension
between the helpful and unhelpful aspects of guidelines by relating to them in a
flexible manner. The participants reported drawing on specialist skills such as
idiosyncratic formulation and integration. However, due to the pressures and
dominant discourses within services they tended to practice in ways that prevent
these skills from being recognized. This led to fears that their professional
identity was threatened, which impacted upon perceptions of the guidelines. To
our knowledge, the theoretical framework presented in this paper is the first
that attempts to explain why NICE guidelines are not consistently utilized in UK
mental health services. The current need for services to demonstrate 'NICE
compliance' may be leading to a perverse incentive for clinical psychologists in
particular to do one thing but say another and for specialist skills to be
obscured. If borne out by future studies, this represents a threat to continued
quality improvement and also to the profession. Copyright (c) 2016 John Wiley &
Sons, Ltd. KEY PRACTITIONER MESSAGE: Guidelines have many benefits, but the
current pressure for services to be 'NICE compliant' may be having unintended
negative as well as positive effects. Lack of implementation may be partly the
result of active choice by clinicians concerned to use the full range of
professional skills and to offer flexibility and choice to service users. The
current context is creating a perverse incentive for clinicians to say one thing
but do another. This is problematic for services and a potential threat to the
profession of clinical psychology.
PMID- 27885751
TI - Effect of PR Interval on Outcomes Following Cardiac Resynchronization Therapy: A
Secondary Analysis of the COMPANION Trial.
AB - BACKGROUND: Prolonged PR intervals may impair atrioventricular mechanical
coupling and adversely affect cardiac performance. We hypothesize that patients
with advanced systolic heart failure, wide QRS complexes, and prolonged PR
intervals will have improved survival from CRT-D regardless of whether left
bundle branch block (LBBB) or non-LBBB is present. METHODS AND RESULTS: A total
of 308 patients enrolled in the optimal pharmacologic therapy (OPT) and 595
patients in the cardiac resynchronization therapy with defibrillation (CRT-D)
arms of the Comparison of Medical Therapy, Pacing, and Defibrillation in Heart
Failure trial were stratified according to normal (<=230 ms) or prolonged PR
interval (>230 ms). The incidence of all-cause mortality (ACM) or hospitalization
(primary endpoint) and ACM (secondary endpoint) was compared using Kaplan-Meier
curves. Cox proportional hazards models for the primary and secondary endpoints
were fit with LBBB status and baseline PR interval. CRT-D treatment reduced both
hospitalization/ACM (P = 0.002) and ACM (P = 0.003) compared to OPT. However, CRT
D was increasingly more effective in reducing ACM hazard in patients with longer
baseline PR intervals (P = 0.002) regardless of LBBB status. In particular, in
the prolonged baseline PR interval subgroup, ACM was reduced with CRT-D compared
to OPT (P = 0.001) with little evidence of ACM reduction in the normal PR
subgroup (P = 0.07). CONCLUSIONS: In patients with advanced systolic heart
failure, wide QRS complexes, and prolonged PR intervals, restoration of
atrioventricular mechanical coupling with CRT-D may improve survival regardless
of LBBB status. In patients with non-LBBB, a benefit from CRT-D may occur with
prolonged PR intervals.
PMID- 27885752
TI - Biventricular Paced QRS Area Predicts Acute Hemodynamic CRT Response Better Than
QRS Duration or QRS Amplitudes.
AB - INTRODUCTION: Vectorcardiographic (VCG) QRS area of left bundle branch block
(LBBB) predicts acute hemodynamic response in cardiac resynchronization therapy
(CRT) patients. We hypothesized that changes in QRS area occurring with
biventricular pacing (BV) might predict acute hemodynamic CRT response (AHR).
METHODS AND RESULTS: VCGs of 624 BV paced electrocardiograms (25 LBBB patients
with 35 different pacing configurations) were calculated according to Frank's
orthogonal lead system. Maximum QRS vector amplitudes (XAmpl , YAmpl , ZAmpl ,
and 3DAmp ) and QRS areas (XArea , YArea , ZArea , and 3DArea ) in the orthogonal
leads (X, Y, and Z) and in 3-dimensional projection were measured. Volume of the
3D vector loop and global QRS duration (QRSD) on the surface electrocardiogram
were assessed. Differences (Delta) in VCG parameters between BV paced and LBBB
QRS complexes were calculated. An increase of 10% in dP/dt max was considered as
AHR. LBBB conduction is characterized by a large ZArea (109 MUVs, interquartile
range [IQR]:75;135), significantly larger than XArea (22 MUVs, IQR:10;57) and
YArea (44 MUVs, IQR:32;62, P < 0.001). Overall, QRS duration, amplitudes, and
areas decrease significantly with BV pacing (P < 0.001). Of all VCG parameters,
3DAmpl , Delta3DAmpl , ZArea, DeltaZArea , Delta3DArea , and DeltaQRSD
differentiate AHR response from nonresponse (P < 0.05). DeltaZArea predicted best
positive AHR (area under the curve = 0.813) and outperformed any other VCG
parameter or QRSD measurement. CONCLUSION: Of all VCG parameters, reduction in
QRS area, calculated in Frank's Z lead, predicts acute hemodynamic response best.
This method might be an easy, noninvasive tool to guide CRT implantation and
optimization.
PMID- 27885753
TI - Prognosis of 1169 hepatitis C chronically infected patients with decompensated
cirrhosis in the predirect-acting antiviral era.
AB - At a population level, little is known regarding the risk of liver- and nonliver
related mortality and hospitalization and the development of hepatocellular
carcinoma (HCC) in hepatitis C virus (HCV)-infected patients with decompensated
cirrhosis (DC). This large-scale national record-linkage study estimates these
outcomes following first hospital admission for DC. Record-linkages between
national HCV diagnosis and clinical databases and the national inpatient hospital
episode database and mortality register were conducted to follow-up the disease
course of all identified HCV-diagnosed and chronically infected persons. The
study population consisted of 1169 HCV chronically infected persons who had a
first hospital admission for DC within the period 1994-2013. We observed an
overall average annual percentage change of 12.6% in new DC patients (from 63 in
1994-1999 to 541 in 2009-2013), with no evidence for any improvement in the
relative risks of liver-related or all-cause death over time. Between 1 January
1994 and 31 May 2014, 722 and 95 DC patients had died of a liver- and a nonliver
related cause, respectively, and 106 patients had a subsequent first admission
for HCC. The 5-year cumulative incidence of liver-related mortality, nonliver
related mortality and first subsequent HCC admission was 61.3%, 8.2% and 8.8%,
respectively. The health burden in HCV-infected patients associated with
development of decompensated cirrhosis has increased dramatically over the last
20 years. Our findings establish the baseline mortality and HCC progression rates
in DC patients against which the impact of new antiviral therapies can be
measured.
PMID- 27885754
TI - Adaptive and plastic responses of Quercus petraea populations to climate across
Europe.
AB - How temperate forests will respond to climate change is uncertain; projections
range from severe decline to increased growth. We conducted field tests of
sessile oak (Quercus petraea), a widespread keystone European forest tree
species, including more than 150 000 trees sourced from 116 geographically
diverse populations. The tests were planted on 23 field sites in six European
countries, in order to expose them to a wide range of climates, including sites
reflecting future warmer and drier climates. By assessing tree height and
survival, our objectives were twofold: (i) to identify the source of differential
population responses to climate (genetic differentiation due to past divergent
climatic selection vs. plastic responses to ongoing climate change) and (ii) to
explore which climatic variables (temperature or precipitation) trigger the
population responses. Tree growth and survival were modeled for contemporary
climate and then projected using data from four regional climate models for years
2071-2100, using two greenhouse gas concentration trajectory scenarios each.
Overall, results indicated a moderate response of tree height and survival to
climate variation, with changes in dryness (either annual or during the growing
season) explaining the major part of the response. While, on average, populations
exhibited local adaptation, there was significant clinal population
differentiation for height growth with winter temperature at the site of origin.
The most moderate climate model (HIRHAM5-EC; rcp4.5) predicted minor decreases in
height and survival, while the most extreme model (CCLM4-GEM2-ES; rcp8.5)
predicted large decreases in survival and growth for southern and southeastern
edge populations (Hungary and Turkey). Other nonmarginal populations with
continental climates were predicted to be severely and negatively affected
(Berce, France), while populations at the contemporary northern limit (colder and
humid maritime regions; Denmark and Norway) will probably not show large changes
in growth and survival in response to climate change.
PMID- 27885755
TI - Marine assemblages respond rapidly to winter climate variability.
AB - Even species within the same assemblage have varied responses to climate change,
and there is a poor understanding for why some taxa are more sensitive to climate
than others. In addition, multiple mechanisms can drive species' responses, and
responses may be specific to certain life stages or times of year. To test how
marine species respond to climate variability, we analyzed 73 diverse taxa off
the southeast US coast in 26 years of scientific trawl survey data and determined
how changes in distribution and biomass relate to temperature. We found that
winter temperatures were particularly useful for explaining interannual variation
in species' distribution and biomass, although the direction and magnitude of the
response varied among species from strongly negative, to little response, to
strongly positive. Across species, the response to winter temperature varied
greatly, with much of this variation being explained by thermal preference. A
separate analysis of annual commercial fishery landings revealed that winter
temperatures may also impact several important fisheries in the southeast United
States. Based on the life stages of the species surveyed, winter temperature
appears to act through overwinter mortality of juveniles or as a cue for
migration timing. We predict that this assemblage will be responsive to projected
increases in temperature and that winter temperature may be broadly important for
species relationships with climate on a global scale.
PMID- 27885756
TI - Structure of Monomeric Transthyretin Carrying the Clinically Important T119M
Mutation.
AB - Mutations in the protein transthyretin can cause as well as protect individuals
from transthyretin amyloidosis, an incurable fatal inherited disease. Little is
known, however, about the structural basis of pathogenic and clinically
protective transthyretin mutants. Here we determined the solution structure of a
transthyretin monomer that carries the clinically important T119M mutation. The
structure displays a non-native arrangement that is distinct from all known
structures of transthyretin and highlights the importance of high-resolution
studies in solution for understanding molecular processes that lead to amyloid
diseases.
PMID- 27885757
TI - Role of primary T-cell immunodeficiency and hepatitis B coinfection on
spontaneous clearance of hepatitis C: The BC Hepatitis Testers Cohort.
AB - T-cell host immune response against hepatitis C virus (HCV) has been suggested to
play an important role in determining HCV infection outcome. However, data from
human studies are not available. This study examined the effect of primary T-cell
deficiency along with other factors on the spontaneous clearance of HCV in a
large population-based cohort in British Columbia, Canada. The BC Hepatitis
Testers Cohort includes all individuals tested for HCV in BC in 1990-2013 linked
with data on their medical visits, hospitalizations and prescription drugs. HCV
positive individuals with at least one valid HCV PCR test on/after HCV diagnosis
(n=46 783) were included in this study. To examine factors associated with the
spontaneous clearance of HCV, multivariable logistic regression was fitted on the
full sample, and Cox proportional hazards model on the HCV seroconverters.
Spontaneous clearance was observed in 25.1% (n=11 737) of those tested for HCV.
After adjusting for potential confounders, the odds of spontaneous clearance of
HCV was lower in people with primary T-cell immunodeficiency (adjusted odds ratio
[aOR]: 0.55, 95% CI: 0.32-0.94), and higher in females (aOR: 1.61, 95% CI: 1.54
1.68) and in those coinfected with HBV (aOR: 2.31, 95% CI: 1.93-2.77). Similar
results were observed in HCV seroconverters except HBV coinfection was not
significant. In conclusion, primary T-cell immunodeficiency is associated with a
lower spontaneous clearance of HCV while female sex and coinfection with HBV are
associated with a higher spontaneous clearance.
PMID- 27885758
TI - Cost-Effective, High-Performance Porous-Organic-Polymer Conductors Functionalized
with Sulfonic Acid Groups by Direct Postsynthetic Substitution.
AB - We demonstrate the facile microwave-assisted synthesis of a porous organic
framework 1 and the sulfonated solid (1S) through postsubstitution. Remarkably,
the conductivity of 1S showed an approximately 300-fold enhancement at 30
degrees C as compared to that of 1, and reached 7.72*10-2 S cm-1 at 80 degrees
C and 90 % relative humidity. The superprotonic conductivity exceeds that
observed for any conductive porous organic polymer reported to date. This
material, which is cost-effective and scalable for mass production, also revealed
long-term performance over more than 3 months without conductivity decay.
PMID- 27885759
TI - Transanal minimally invasive rectal resection for deep endometriosis: a promising
technique.
AB - AIM: Surgical management of patients with deep endometriosis (DE) of the rectum
is difficult. Inflammation and subsequent adhesions due to DE impede access to
the lower pelvis and may lead to complications during laparoscopic low anterior
resection (LAR). Transanal minimally invasive surgery (TAMIS) is an alternative
to an abdominal approach with potential advantages. The aim of this study was to
provide a description of the TAMIS technique and to present the perioperative
results of TAMIS and of conventional LAR in patients with DE. METHOD: A
prospective consecutive cohort of patients undergoing rectal resection for DE had
either conventional laparoscopic LAR or TAMIS rectal excision. Pre-, intra- and
postoperative parameters, such as patient symptomatology, operating time and
postoperative complications were compared between the groups. Quality of life was
assessed using the EORTC-QLQ-29/30 questionnaires. RESULTS: Between May 2014 and
March 2016 a total of 11 rectal resections were performed, including five TAMIS
procedures. No differences were found in the pre-, intra- or postoperative
parameters. Two major complications occurred after conventional LAR and none
after TAMIS. No differences in quality of life were found between the groups.
CONCLUSION: Transanal minimally invasive surgery for DE of the rectum is
feasible. Potential advantages include better surgical access to the pelvis,
possibly fewer complications than LAR and no extraction incision with no
difference in quality of life. Larger prospective studies are required to compare
TAMIS with conventional rectal resection.
PMID- 27885760
TI - Haploidentical hematopoietic stem cell transplantation for a case with X-linked
chronic granulomatous disease.
AB - CGD is a rare primary immunodeficiency with high mortality rates when treated
conventionally, especially for the X-chromosome-linked form. HSCT is the only
curative therapy for CGD; however, haploidentical transplantation in CGD is rare.
Here, we report a case of X-linked CGD treated successfully by haploidentical
HSCT. The patient showed a positive result with full donor chimerism, good
quality of life, and the absence of recurrent infectious diseases at follow-up
(68 months). Thus, haploidentical HSCT may serve as an acceptable treatment
approach for patients who have CGD, but no HLA-matched related or unrelated
donor.
PMID- 27885761
TI - A Stably Protonated Adenine Nucleotide with a Highly Shifted pKa Value Stabilizes
the Tertiary Structure of a GTP-Binding RNA Aptamer.
AB - RNA tertiary structure motifs are stabilized by a wide variety of hydrogen
bonding interactions. Protonated A and C nucleotides are normally not considered
to be suitable building blocks for such motifs since their pKa values are far
from physiological pH. Here, we report the NMR solution structure of an in vitro
selected GTP-binding RNA aptamer bound to GTP with an intricate tertiary
structure. It contains a novel kind of base quartet stabilized by a protonated A
residue. Owing to its unique structural environment in the base quartet, the pKa
value for the protonation of this A residue in the complex is shifted by more
than 5 pH units compared to the pKa for A nucleotides in single-stranded RNA.
This is the largest pKa shift for an A residue in structured nucleic acids
reported so far, and similar in size to the largest pKa shifts observed for amino
acid side chains in proteins. Both RNA pre-folding and ligand binding contribute
to the pKa shift.
PMID- 27885762
TI - Esophageal tuberculosis with coexisting opportunistic infections in a renal
allograft transplant recipient.
AB - We report a renal allograft transplant recipient with esophageal tuberculosis
(TB) coinfected with herpes simplex virus (HSV) and Candida. The patient
presented with oropharyngeal candidiasis and was started on fluconazole. Upper
gastrointestinal endoscopy showed whitish patches with mucosal ulcers in the
esophagus. Histopathological examination confirmed TB and HSV infection. The
patient recovered after antiviral, antifungal, and anti-tubercular therapy with
reduction in immunosuppression. In a TB-endemic zone, TB can coexist with
opportunistic infections in an immunocompromised host.
PMID- 27885763
TI - Meditation awareness training for the treatment of fibromyalgia syndrome: A
randomized controlled trial.
AB - OBJECTIVES: The purpose of this study was to conduct the first randomized
controlled trial (RCT) to evaluate the effectiveness of a second-generation
mindfulness-based intervention (SG-MBI) for treating fibromyalgia syndrome (FMS).
Compared to first-generation mindfulness-based interventions, SG-MBIs are more
acknowledging of the spiritual aspect of mindfulness. DESIGN: A RCT employing
intent-to-treat analysis. METHODS: Adults with FMS received an 8-week SG-MBI
known as meditation awareness training (MAT; n = 74) or an active control
intervention known as cognitive behaviour theory for groups (n = 74). Assessments
were performed at pre-, post-, and 6-month follow-up phases. RESULTS: Meditation
awareness training participants demonstrated significant and sustained
improvements over control group participants in FMS symptomatology, pain
perception, sleep quality, psychological distress, non-attachment (to self,
symptoms, and environment), and civic engagement. A mediation analysis found that
(1) civic engagement partially mediated treatment effects for all outcome
variables, (2) non-attachment partially mediated treatment effects for
psychological distress and sleep quality, and (3) non-attachment almost fully
mediated treatment effects for FMS symptomatology and pain perception. Average
daily time spent in meditation was found to be a significant predictor of changes
in all outcome variables. CONCLUSIONS: Meditation awareness training may be a
suitable treatment for adults with FMS and appears to ameliorate FMS
symptomatology and pain perception by reducing attachment to self. Statement of
contribution What is already known on this subject? Designing interventions to
treat fibromyalgia syndrome (FMS) continues to be a challenge. There is growing
interest into the applications of mindfulness-based interventions for treating
FMS. Second-generation mindfulness-based interventions (SG-MBIs) are a key new
direction in mindfulness research. What does this study add? Meditation awareness
training - an SG-MBI - resulted in significant reductions in FMS symptomatology.
SG-MBIs recognize the spiritual aspect of mindfulness and may have a role in the
treatment of FMS.
PMID- 27885764
TI - Development of rubber-enriched dandelion varieties by metabolic engineering of
the inulin pathway.
AB - Natural rubber (NR) is an important raw material for a large number of industrial
products. The primary source of NR is the rubber tree Hevea brasiliensis, but
increased worldwide demand means that alternative sustainable sources are
urgently required. The Russian dandelion (Taraxacum koksaghyz Rodin) is such an
alternative because large amounts of NR are produced in its root system. However,
rubber biosynthesis must be improved to develop T. koksaghyz into a commercially
feasible crop. In addition to NR, T. koksaghyz also produces large amounts of the
reserve carbohydrate inulin, which is stored in parenchymal root cell vacuoles
near the phloem, adjacent to apoplastically separated laticifers. In contrast to
NR, which accumulates throughout the year even during dormancy, inulin is
synthesized during the summer and is degraded from the autumn onwards when root
tissues undergo a sink-to-source transition. We carried out a comprehensive
analysis of inulin and NR metabolism in T. koksaghyz and its close relative T.
brevicorniculatum and functionally characterized the key enzyme fructan 1
exohydrolase (1-FEH), which catalyses the degradation of inulin to fructose and
sucrose. The constitutive overexpression of Tk1-FEH almost doubled the rubber
content in the roots of two dandelion species without any trade-offs in terms of
plant fitness. To our knowledge, this is the first study showing that energy
supplied by the reserve carbohydrate inulin can be used to promote the synthesis
of NR in dandelions, providing a basis for the breeding of rubber-enriched
varieties for industrial rubber production.
PMID- 27885765
TI - Conversion of the Native 24-mer Ferritin Nanocage into Its Non-Native 16-mer
Analogue by Insertion of Extra Amino Acid Residues.
AB - Protein assemblies with high symmetry are widely distributed in nature. Most
efforts so far have focused on repurposing these protein assemblies, a strategy
that is ultimately limited by the structures available. To overcome this
limitation, methods for fabricating novel self-assembling proteins have received
intensive interest. Herein, by reengineering the key subunit interfaces of native
24-mer protein cage with octahedral symmetry through amino acid residues
insertion, we fabricated a 16-mer lenticular nanocage whose structure is unique
among all known protein cages. This newly non-native protein can be used for
encapsulation of bioactive compounds and exhibits high uptake efficiency by
cancer cells. More importantly, the above strategy could be applied to other
naturally occurring protein assemblies with high symmetry, leading to the
generation of new proteins with unexplored functions.
PMID- 27885766
TI - Crystal Structure Determination of the Pentagonal-Pyramidal Hexamethylbenzene
Dication C6 (CH3 )62.
AB - In contrast to the well-known 2-norbornyl cation, the structure of which was a
matter of long debate until its pentacoordinated nature was recently proven by an
X-ray structure, the pentagonal-pyramidal dication of hexamethylbenzene has
received considerably less attention. This species was first prepared by Hogeveen
in 1973 at low temperatures in magic acid (HSO3 F/SbF5 ), for which he proposed a
non-classical structure (containing a hexacoordinated carbon) based on NMR
spectroscopy and reactivity studies, but no X-ray crystal structure has been
reported. C6 (CH3 )62+ can be obtained through the dissolution of hexamethyl
Dewar benzene epoxide in HSO3 F/SbF5 and crystallized as the SbF6- salt upon
addition of excess anhydrous hydrogen fluoride. The crystal structure of C6 (CH3
)62+ (SbF6- )2 ?HSO3 F confirms the pentagonal pyramidal structure of the
dication. The apical carbon is bound to one methyl group (distance 1.479(3) A)
and to the five basal carbon atoms (distances 1.694(2)-1.715(3) A).
PMID- 27885767
TI - New Amino-Acid-Based beta-Phosphorylated Nitroxides for Probing Acidic pH in
Biological Systems by EPR Spectroscopy.
AB - There is increasing interest in measuring pH in biological samples by using
nitroxides with pH-dependent electron paramagnetic resonance (EPR) spectra.
Aiming to improve the spectral sensitivity (DeltaaX ) of these probes (i.e., the
difference between the EPR hyperfine splitting (hfs) in their protonated and
unprotonated forms), we characterized a series of novel linear alpha-carboxy,
alpha'-diethoxyphosphoryl nitroxides constructed on an amino acid core and
featuring an (alpha or alpha')-C-H bond. In buffer, the three main hfs (aN , aH ,
and aP ) of their EPR spectra vary reversibly with pH and, from aP or aH
titration curves, a two- to fourfold increase in sensitivity was achieved
compared to reference imidazoline or imidazolidine nitroxides. The crystallized
carboxylate 10 b (pKa ~3.6), which demonstrated low cytotoxicity and good
resistance to bioreduction, was applied to probe stomach acidity in rats. The
results pave the way to a novel generation of highly sensitive EPR pH markers.
PMID- 27885768
TI - Gram-Scale Synthesis of Chiral Cyclopropane-Containing Drugs and Drug Precursors
with Engineered Myoglobin Catalysts Featuring Complementary Stereoselectivity.
AB - Engineered hemoproteins have recently emerged as promising systems for promoting
asymmetric cyclopropanations, but variants featuring predictable, complementary
stereoselectivity in these reactions have remained elusive. In this study, a
rationally driven strategy was implemented and applied to engineer myoglobin
variants capable of providing access to 1-carboxy-2-aryl-cyclopropanes with high
trans-(1R,2R) selectivity and catalytic activity. The stereoselectivity of these
cyclopropanation biocatalysts complements that of trans-(1S,2S)-selective
variants developed here and previously. In combination with whole-cell
biotransformations, these stereocomplementary biocatalysts enabled the multigram
synthesis of the chiral cyclopropane core of four drugs (Tranylcypromine,
Tasimelteon, Ticagrelor, and a TRPV1 inhibitor) in high yield and with excellent
diastereo- and enantioselectivity (98-99.9% de; 96-99.9% ee). These biocatalytic
strategies outperform currently available methods to produce these drugs.
PMID- 27885769
TI - Diverging shrub and tree growth from the Polar to the Mediterranean biomes across
the European continent.
AB - Climate warming is expected to enhance productivity and growth of woody plants,
particularly in temperature-limited environments at the northernmost or uppermost
limits of their distribution. However, this warming is spatially uneven and
temporally variable, and the rise in temperatures differently affects biomes and
growth forms. Here, applying a dendroecological approach with generalized
additive mixed models, we analysed how the growth of shrubby junipers and
coexisting trees (larch and pine species) responds to rising temperatures along a
5000-km latitudinal range including sites from the Polar, Alpine to the
Mediterranean biomes. We hypothesize that, being more coupled to ground
microclimate, junipers will be less influenced by atmospheric conditions and will
less respond to the post-1950 climate warming than coexisting standing trees.
Unexpectedly, shrub and tree growth forms revealed divergent growth trends in all
the three biomes, with juniper performing better than trees at Mediterranean than
at Polar and Alpine sites. The post-1980s decline of tree growth in Mediterranean
sites might be induced by drought stress amplified by climate warming and did not
affect junipers. We conclude that different but coexisting long-living growth
forms can respond differently to the same climate factor and that, even in
temperature-limited area, other drivers like the duration of snow cover might
locally play a fundamental role on woody plants growth across Europe.
PMID- 27885770
TI - The power of symbolic capital in patient and public involvement in health
research.
AB - BACKGROUND: Policy-makers and health research funders increasingly require
researchers to demonstrate that they have involved patients in the design and
conduct of research. However, the extent to which patients and public have the
power to get involved on an equal footing is dependent on their economic,
cultural, social and symbolic capital. OBJECTIVE: To explore power relations in
patient and public involvement (PPI) in research, particularly how patients may
wield symbolic capital to develop a more equal relationship. METHODS: Narrative
interviews with a maximum variation sample of 38 people involved as patients,
carers or public in health research, analysed thematically. FINDINGS: Symbolic
capital may be demonstrated in a range of ways (sometimes alongside or in the
absence of other forms of capital): illness experience, technical illness
knowledge and the challenging outsider. Symbolic capital is unstable and
dependent on others for recognition and legitimacy. Nonetheless, participants
identify a gradual shift in power relations over time. DISCUSSION AND
CONCLUSIONS: Research into PPI has been conceptually and theoretically poor,
limiting our understanding of its mechanisms and wider contextual elements. Our
findings demonstrate the importance of reflecting on the forms of power and
capital wielded by the health research community, and of acknowledging the way in
which PPI is challenging the status quo. As one of the first papers to
conceptualize how different forms of symbolic capital operate and their critical
role in challenging the balance of power, our findings may help researchers
better plan their PPI activities and reflect on their own power.
PMID- 27885774
TI - CORRIGENDUM.
PMID- 27885771
TI - Selective gene dosage by CRISPR-Cas9 genome editing in hexaploid Camelina sativa.
AB - In many plant species, gene dosage is an important cause of phenotype variation.
Engineering gene dosage, particularly in polyploid genomes, would provide an
efficient tool for plant breeding. The hexaploid oilseed crop Camelina sativa,
which has three closely related expressed subgenomes, is an ideal species for
investigation of the possibility of creating a large collection of combinatorial
mutants. Selective, targeted mutagenesis of the three delta-12-desaturase (FAD2)
genes was achieved by CRISPR-Cas9 gene editing, leading to reduced levels of
polyunsaturated fatty acids and increased accumulation of oleic acid in the oil.
Analysis of mutations over four generations demonstrated the presence of a large
variety of heritable mutations in the three isologous CsFAD2 genes. The different
combinations of single, double and triple mutants in the T3 generation were
isolated, and the complete loss-of-function mutants revealed the importance of
delta-12-desaturation for Camelina development. Combinatorial association of
different alleles for the three FAD2 loci provided a large diversity of Camelina
lines with various lipid profiles, ranging from 10% to 62% oleic acid
accumulation in the oil. The different allelic combinations allowed an unbiased
analysis of gene dosage and function in this hexaploid species, but also provided
a unique source of genetic variability for plant breeding.
PMID- 27885772
TI - Clinical practice patterns on the use of magnesium sulphate for treatment of pre
eclampsia and eclampsia: a multi-country survey.
AB - OBJECTIVE: To characterise the current clinical practice patterns regarding the
use of magnesium sulphate (MgSO4 ) for eclampsia prevention and treatment in a
multi-country network of health facilities and compare with international
recommendations. DESIGN: Cross-sectional survey. SETTING: A total of 147 health
facilities in 15 countries across Africa, Latin America and Asia. POPULATION:
Heads of obstetric departments or maternity units. METHODS: Anonymous online and
paper-based survey conducted in 2015. MAIN OUTCOME MEASURES: Availability and use
of MgSO4 ; availability of a formal clinical protocol for MgSO4 administration;
and MgSO4 dosing regimens for eclampsia prevention and treatment. RESULTS:
Magnesium sulphate and a formal protocol for its administration were reported to
be always available in 87.4% and 86.4% of all facilities, respectively. MgSO4 was
used for the treatment of mild pre-eclampsia, severe pre-eclampsia and eclampsia
in 24.3%, 93.5% and 96.4% of all facilities, respectively. Regarding the
treatment of severe pre-eclampsia, 26.4% and 7.0% of all facilities reported
using dosing regimens that were consistent with Zuspan and Pritchard regimens,
respectively. Across regions, intramuscular maintenance regimens were more
commonly used in the African region (45.7%) than in the Latin American (3.0%) and
Asian (22.9%) regions, whereas intravenous maintenance regimens were more often
used in the Latin American (94.0%) and Asian (60.0%) regions than in the African
region (21.7%). Similar patterns were found for the treatment of eclampsia across
regions. CONCLUSIONS: The reported clinical use of MgSO4 for eclampsia prevention
and treatment varied widely, and was largely inconsistent with current
international recommendations. TWEETABLE ABSTRACT: MgSO4 regimens for eclampsia
prevention and treatment in many hospitals are inconsistent with international
recommendations.
PMID- 27885775
TI - The coffee leaf rust pathogen Hemileia vastatrix: one and a half centuries around
the tropics.
AB - TAXONOMY AND HISTORY: Hemileia vastatrix Berk. and Broome (Basidiomycota,
Pucciniales) was described in 1869 in eastern Africa and Ceylon as the agent of
coffee leaf rust and has spread to all coffee cultivation areas worldwide. Major
disease outbreaks in Asia, Africa and America caused and continue to cause severe
yield losses, making this the most important disease of Arabica coffee, a cash
crop for many tropical and sub-tropical countries. LIFE CYCLE AND DISEASE
SYMPTOMS: Hemileia vastatrix is a hemicyclic fungus with the urediniosporic life
cycle as its most important (if not only) source of inoculum. Chlorotic spots are
the first macroscopic symptoms, preceding the differentiation of suprastomatal,
bouquet-shaped, orange-coloured uredinia. The disease can cause yield losses of
up to 35% and have a polyetic epidemiological impact on subsequent years. DISEASE
CONTROL: Although the use of fungicides is one of the preferred immediate control
measures, the use of resistant cultivars is considered to be the most effective
and durable disease control strategy. The discovery of 'Hibrido de Timor'
provided sources of resistance that have been used in several breeding programmes
and that have been proven to be effective and durable, as some have been in use
for more than 30 years. GENETIC DIVERSITY AND MOLECULAR PATHOGENICITY: Although
exhibiting limited genetic polymorphism, the very large genome of H. vastatrix
(c. 797 Mbp) conceals great pathological diversity, with more than 50
physiological races. Gene expression studies have revealed a very precocious
activation of signalling pathways and production of putative effectors,
suggesting that the plant-fungus dialogue starts as early as at the germ tube
stage, and have provided clues for the identification of avr genes.
PMID- 27885776
TI - Multidisciplinary care ensures successful pregnancy following intestinal
transplantation: a case report.
PMID- 27885777
TI - Variable Time Normalization Analysis: General Graphical Elucidation of Reaction
Orders from Concentration Profiles.
AB - The recent technological evolution of reaction monitoring techniques has not been
paralleled by the development of modern kinetic analyses. The analyses currently
used disregard part of the data acquired, thus requiring an increased number of
experiments to obtain sufficient kinetic information for a given chemical
reaction. Herein, we present a simple graphical analysis method that takes
advantage of the data-rich results provided by modern reaction monitoring tools.
This analysis uses a variable normalization of the time scale to enable the
visual comparison of entire concentration reaction profiles. As a result, the
order in each component of the reaction, as well as kobs , is determined with
just a few experiments using a simple and quick mathematical data treatment. This
analysis facilitates the rapid extraction of relevant kinetic information and
will be a valuable tool for the study of reaction mechanisms.
PMID- 27885778
TI - Single dose versus multiple dose of antibiotic prophylaxis in caesarean section:
a systematic review and meta-analysis.
AB - BACKGROUND: Prophylactic antibiotics are traditionally given as a single dose for
caesarean section. However, inconsistent application of recommendations and
recent evidence prompted a literature review. OBJECTIVES: To assess the optimal
regimen for antibiotic prophylaxis in caesarean section by comparing single
versus multiple doses of the same intervention. SEARCH STRATEGY: MEDLINE, Web of
Knowledge, SCOPUS, CENTRAL and ongoing trials databases were searched. Reference
lists were reviewed and international groups contacted. SELECTION CRITERIA:
Randomised controlled trials (RCT) comparing single with multiple dose regimens
of the same antibiotic prophylaxis. Quasi-RCT and abstracts were suitable for
inclusion. DATA COLLECTION AND ANALYSIS: Reviewers independently extracted data
and assessed quality of evidence. A random-effects model was used and results
presented as risk ratio (RR) with 95% confidence intervals (CI). MAIN RESULTS:
Sixteen studies were included, involving 2695 women. Nonsignificant differences
were observed between single dose and multiple dose antibiotic prophylaxis in the
incidence of postpartum infectious morbidity (RR 0.95, 95% CI 0.75-1.20, I2 =
25%), endometritis (RR 1.03, 95% CI 0.74-1.42, I2 = 0%) and wound infection (RR
1.22, 95% CI 0.72-2.08, I2 = 0%). A trend towards lower risk of urinary tract
infection was seen with multiple dose (RR 0.65, 95% CI 0.34-1.24, I2 = 0%).
CONCLUSIONS: There was insufficient evidence to determine whether there is a
difference between single and multiple dose regimens in reducing the incidence of
infectious morbidity after caesarean section. The quality of evidence was very
low and well-designed RCTs are needed. TWEETABLE ABSTRACT: Insufficient evidence
of difference between dosage regimens of antibiotic prophylaxis in caesarean
section.
PMID- 27885779
TI - Explaining the Cyclic Voltammetry of a Poly(1,4-phenylene-ethynylene)-alt
poly(1,4-phenylene-vinylene) Copolymer upon Oxidation by using Spectroscopic
Techniques.
AB - Poly(1,4-phenylene-ethynylene)-alt-poly(1,4-phenylene-vinylene) (PPE-PPV)
copolymers have attracted quite a lot of attention in the last few years for
electronic device applications owing to their enhanced fluorescence. In this
work, we focus on one particular PPE-PPV copolymer with dissymmetrically
substituted 1,4-phenylene-ethynylene and symmetrically substituted 1,4-phenylene
vinylene building units. Six successively performed cyclic voltammograms are
presented, measured during the oxidation reactions. As the oxidation onset of the
electrochemical reaction shifts to lower potentials in each cycle, this behavior
is elucidated by using spectroscopic techniques ranging from UV/Vis/near-IR to
mid-IR including spin-resonance techniques. Hence, these findings help to explain
some of the copolymer's most advantageous properties in terms of possible
oxidation products.
PMID- 27885780
TI - Synthesis and Investigation of Advanced Energetic Materials Based on
Bispyrazolylmethanes.
AB - Herein we present the preparation and characterization of three new bispyrazolyl
based energetic compounds with great potential as explosive materials. The
reaction of sodium 4-amino-3,5-dinitropyrazolate (5) with dimethyl iodide yielded
bis(4-amino-3,5-dinitropyrazolyl)methane (6), which is a secondary explosive with
high heat resistance (Tdec =310 degrees C). The oxidation of this compound
afforded bis(3,4,5-trinitropyrazolyl)methane (7), which is a combined nitrogen-
and oxygen-rich secondary explosive with very high theoretical and estimated
experimental detonation performance (Vdet (theor)=9304 m s-1 versus Vdet
(exp)=9910 m s-1 ) in the range of that of CL-20. Also, the thermal stability
(Tdec =205 degrees C) and sensitivities of 7 are auspicious. The reaction of 6
with in situ generated nitrous acid yielded the primary explosive bis(4-diazo-5
nitro-3-oxopyrazolyl)methane (8), which showed superior properties to those of
currently used diazodinitrophenol (DDNP).
PMID- 27885781
TI - Cellular response of osteoblasts to low modulus Ti-24Nb-4Zr-8Sn alloy mesh
structure.
AB - Titanium alloys (Ti-6Al-4V and Ti-6Al-7Nb) are widely used for implants, which
are characterized by high elastic modulus (~110 GPa) with (alpha + beta)
structure and that may induce undesirable stress shielding effect and immune
responses associated with the presence of toxic elements. In this regard, we have
combined the attributes of a new alloy design and the concept of additive
manufacturing to fabricate 3D scaffolds with an interconnected porous structure.
The new alloy is a beta-type Ti-24Nb-4Zr-8Sn (Ti2448) alloy with significantly
reduced modulus. In the present study, we explore the biological response of
electron beam melted low modulus Ti2448 alloy porous mesh structure through the
elucidation of bioactivity and osteoblast functions. The cellular activity was
explored in terms of cell-to-cell communication involving proliferation,
spreading, synthesis of extracellular and intracellular proteins,
differentiation, and mineralization. The formation of fine apatite-like crystals
on the surface during immersion test in simulated body fluid confirmed the
bioactivity of the scaffold surface, which provided the favorable osteogenic
microenvironment for cell-material interaction. The combination of unique surface
chemistry and interconnected porous architecture provided the desired pathway for
supply of nutrients and oxygen to cells and a favorable osteogenic micro
environment for incorporation (on-growth and in-growth) of osteoblasts. The
proliferation and differentiation of pre-osteoblasts and their ability to form a
well mineralized bone-like extracellular matrix (ECM) by secreting bone markers
(ALP, calcium, etc.) over the struts of the scaffold point toward the determining
role of unique surface chemistry and 3D architecture of the Ti2448 alloy mesh
structure in modulating osteoblasts functions. (c) 2016 Wiley Periodicals, Inc. J
Biomed Mater Res Part A: 105A: 859-870, 2017.
PMID- 27885782
TI - Field evaluation of 3-hydroxy-2-hexanone and ethanol as attractants for the
cerambycid beetle pest of vineyards, Xylotrechus arvicola.
AB - BACKGROUND: The beetle Xylotrechus arvicola (Coleoptera: Cerambycidae) is a
serious pest of vineyards in the Iberian Peninsula. In previous work, the male
beetles, but not females, were shown to produce (R)-3-hydroxy-2-hexanone, and
female beetles were attracted to this compound in a laboratory bioassay. In this
study, release rates of 3-hydroxy-2-hexanone from different dispensers were
measured in the laboratory, and the attractiveness of these to X. arvicola adults
was determined in trapping tests in three traditional wine-growing regions in
Spain. RESULTS: As a result of laboratory experiments, for field experiments 3
hydroxy-2-hexanone was formulated as 100 MUL in a polyethylene sachet (50 mm * 50
mm * 250 um), and ethanol was formulated as 1 mL in a polyethylene press-seal bag
(76 mm * 57 mm *50 um). Field catches were similar at all three study sites.
Catches in traps baited with 3-hydroxy-2-hexanone alone were not significantly
different from those in unbaited control traps, but catches in traps baited with
3-hydroxy-2-hexanone and ethanol in separate sachets, with 3-hydroxy-2-hexanone
and ethanol in the same sachet or with ethanol alone were significantly greater
than those in control traps. These results confirm that the beetles are attracted
to ethanol, and the addition of 3-hydroxy-2-hexanone does not seem to make any
difference. CONCLUSIONS: Attraction of females for the male-produced compound (R)
3-hydroxy-2-hexanone has been observed in laboratory but not in field
experiments. Traps baited with ethanol are highly attractive to both sexes of
adults of X. arvicola, and these can be used for improved monitoring of the adult
emergence and for population control by mass trapping. (c) 2016 Society of
Chemical Industry.
PMID- 27885783
TI - Protective effects of a novel nutritional and phytonutrient blend on ultraviolet
radiation-induced skin damage and inflammatory response through aging defense
mechanisms.
AB - BACKGROUND: The human body relies on several aging defense mechanisms (ADMs) to
limit damage induced from pro-aging stressors (aging aggressors). However, such
protective mechanisms can be compromised, leading to accelerated aging. The skin
provides a model to probe the effects of an oral nutritional intervention on ADMs
in response to ultraviolet radiation (UVR)-induced damage. OBJECTIVE: To
determine whether supplementation with a novel nutritional and phytonutrient
blend could protect against UVR-induced skin damage and positively influence
facial skin attributes and characteristics by bolstering ADMs. METHODS: Thirty
six healthy, nonsmoking women (40-75 years) with Fitzpatrick skin types I and II
were recruited. UVR-induced erythema and the number of apoptotic cells were
determined before (pre-) and after 8-week (post-) supplementation. Other clinical
variables included skin carotenoid concentrations, facial skin attributes and
characteristics. RESULTS: Eight-week supplementation led to protection against
UVR-induced skin damage as evidenced by reductions in erythema at all three
minimal erythema doses (MEDs) (9.1 to 7.4 [P = 0.10]; 15.8 to 13.6 [P = 0.02];
and 19.6 to 17.3 [P = 0.01] for one, two, and three MEDs and a reduction in the
average number of apoptotic cells [11.3 to 5.3, P < 0.0001] pre- and post
supplementation, respectively). Skin carotenoid concentrations increased from 28
111 Raman intensity units to 38 472 (P < 0.0001) along with noticeable
improvements in facial skin attributes and characteristics: elasticity,
transepidermal water loss, radiance, texture, and overall appearance (all P <
0.05) following supplementation. CONCLUSION: Eight weeks of oral supplementation
positively impacted ADMs resulting in protection against UVR-induced skin damage
and improvements in facial skin attributes and characteristics.
PMID- 27885784
TI - MicroRNA and dsRNA targeting chitin synthase A reveal a great potential for pest
management of the hemipteran insect Nilaparvata lugens.
AB - BACKGROUND: Two RNA silencing pathways in insects are known to exist that are
mediated by short interfering RNAs (siRNAs) and microRNAs (miRNAs), which have
been hypothesised to be promising methods for insect pest control. However, a
comparison between miRNA and siRNA in pest control is still unavailable,
particularly in targeting chitin synthase gene A (CHSA). RESULTS: The dsRNA for
Nilaparvata lugens CHSA (dsNlCHSA) and the microR-2703 (miR-2703) mimic targeting
NlCHSA delivered via feeding affected the development of nymphs, reduced their
chitin content and led to lethal phenotypes. The protein level of NlCHSA was
downregulated after female adults were injected with dsNlCHSA or the miR-2703
mimic, but there were no significant differences in vitellogenin (NlVg)
expression or in total oviposition relative to the control group. However, 90.68
and 46.13% of the eggs laid by the females injected with dsNlCHSA and miR-2703
mimic were unable to hatch, respectively. In addition, a second-generation miRNA
and RNAi effect on N. lugens was observed. CONCLUSION: Ingested miR-2703 seems to
be a good option for killing N. lugens nymphs, while NlCHSA may be a promising
target for RNAi-based pest management. These findings provide important evidence
for applications of small non-coding RNAs (snRNAs) in insect pest management. (c)
2016 Society of Chemical Industry.
PMID- 27885785
TI - Osteogenic activity and antibacterial effect of porous titanium modified with
metal-organic framework films.
AB - As a new class of crystalline nanoporous materials, metal-organic frameworks
(MOFs) have recently been used for biomedical applications due to their large
surface area, high porosity, and theoretically infinite structures. To improve
the biological performance of titanium, MOF films were applied to surface
modification of titanium. Zn-based MOF films composed of zeolitic imidazolate
framework-8 (ZIF-8) crystals with nanoscale and microscale sizes (nanoZIF-8 and
microZIF-8) were prepared on porous titanium surfaces by hydrothermal and
solvothermal methods, respectively. The ZIF-8 films were characterized by powder
X-ray diffraction (PXRD), Fourier transform infrared spectroscopy (FTIR), and
scanning electron microscopy (SEM). The nanoZIF-8 film exhibited good
biocompatibility, whereas the microZIF-8 film showed obvious cytotoxicity to MG63
cells. Compared to pure titanium and alkali- and heat-treated porous titanium,
the nanoZIF-8 film not only enhanced alkaline phosphatase (ALP) activity,
extracellular matrix mineralization, and expression of osteogenic genes (ALP,
Runx2) in MG63 cells but also inhibited the growth of Streptococcus mutans. These
results indicate that MOF films or coatings may be promising candidates for bone
tissue engineering. (c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part A:
105A: 834-846, 2017.
PMID- 27885786
TI - Effects of flaxseed oil on blood hepcidin and hematologic factors in hemodialysis
patients.
AB - INTRODUCTION: In hemodialysis (HD) patients, one of the common complications is
renal anemia. Therefore, the present study was designed to investigate the
effects of flaxseed oil consumption on blood hepcidin and hematologic factors in
HD patients. METHODS: In this randomized, double-blind, clinical trial, 38 HD
patients were randomly assigned to either the flaxseed oil or the control group.
The patients in the flaxseed oil group received 6 g/d flaxseed oil for 8 wk,
whereas the control group received 6 g/d medium chain triglycerides (MCT) oil. At
baseline and the end of week 8, serum hepcidin, and blood hemoglobin, hematocrit,
red blood cells (RBCs), mean corpuscular volume (MCV), mean corpuscular
hemoglobin (MCH), and mean corpuscular hemoglobin concentration (MCHC) were
measured after a 12- to 14-h fast. FINDINGS: Flaxseed oil consumption
significantly reduced serum hepcidin concentration up to 25% during 8 weeks, and
the reduction was significant in comparison with the MCT oil group. In addition,
the number of RBCs, blood hemoglobin, hematocrit, MCH and MCHC increased
significantly in the flaxseed oil group up to 6%, 10%, 6%, 5%, and 2%,
respectively, and these elevations were significant in comparison with the MCT
oil group. There was no significant difference between the two groups in mean
changes of MCV. DISCUSSION: This study indicates that daily consumption of 6 g
flaxseed oil reduces serum hepcidin and improves hematologic factors in HD
patients.
PMID- 27885787
TI - Cyclic Amino(Ylide) Silylene: A Stable Heterocyclic Silylene with Strongly
Electron-Donating Character.
AB - An isolable heterocyclic silylene (4) with two different pi-donating
substituents, namely a classical amino group and a more electropositive and
stronger carbon-based pi-donating phosphonium ylide, was synthesized and fully
characterized. The combination of these two different pi-donating substituents
confers high thermal stability and an unusual nucleophilic character on silylene
4. Therefore, silylene 4 behaves as a strong donor ligand toward transition
metals with a donating character comparable to N-heterocyclic carbenes, in
contrast to classical N-heterocyclic silylenes, which generally present a weak
donating character.
PMID- 27885788
TI - Barriers to live donor kidney transplants in the pediatric population: A single
center experience.
AB - A decrease in live donor pediatric kidney transplants has occurred in the United
States. This study investigates barriers that may influence access to live donor
kidney transplants in children. Retrospective chart review was conducted for 91
children (69% male, mean age 11.9 years) who underwent pretransplant workup from
2005 to 2015 at an urban pediatric hospital. Fifty-four percent were African
American, 32% Caucasian, 8% Arabic, 3% Hispanic, and 3% Others. Government
sponsored insurance (Medicaid/Medicare) was utilized by 73%, and 54% had dual
caregivers. Only nine of 68 kidney transplants were live donor transplants. Live
donor transplants (11%) were significantly (P=.008) lower than deceased donor
transplants (59%) in African Americans. Private insurance was reported by 56% of
live donor recipients and 25% of deceased donor recipients. Among live donor
recipients, 78% were from dual caregiver families. Caregiver, health-related,
financial, and religious/cultural barriers to live donor transplants were
reported, several of which may be amenable to positive intervention.
PMID- 27885789
TI - Zika virus associated microcephaly/micrencephaly-fetal brain imaging in
comparison with neuropathology.
PMID- 27885790
TI - Hardiness, avoidance coping, and alcohol consumption in war veterans: A moderated
mediation study.
AB - Military personnel often engage in excessive alcohol use after returning from
deployments. Thus far, research has paid scant attention to personality factors
that may increase or diminish the risk for increased alcohol consumption in this
population. The present study explores how psychological hardiness, avoidance
coping, and stress exposure may interact to influence alcohol consumption
patterns in soldiers following deployment. U.S. Army National Guard soldiers (N =
357) were surveyed shortly after returning from combat operations in Afghanistan.
Conditional process analysis was used to test for mediation and moderation
effects. Mediation effects were further tested in a replication sample of
Norwegian Army soldiers (N = 230) deployed to Kosovo. Findings show that
hardiness is a significant (negative) predictor of increased alcohol use and that
this relation is mediated by avoidance coping. Further, this effect was moderated
by combat stress exposure in the U.S. sample, such that the mediation is stronger
for those with greater exposure (moderated-mediation). Avoidance coping also
mediated the effects of hardiness on alcohol consumption in the Norwegian sample.
These findings suggest that avoidance coping and hardiness may be fruitful areas
for interventions aimed at reducing risky drinking in high-stress groups like the
military.
PMID- 27885792
TI - Editorial overview: Membrane traffic and cell polarity.
PMID- 27885791
TI - Simple and fast analysis of tetrabromobisphenol A, hexabromocyclododecane
isomers, and polybrominated diphenyl ethers in serum using solid-phase extraction
or QuEChERS extraction followed by tandem mass spectrometry coupled to HPLC and
GC.
AB - Two simplified sample preparation procedures for simultaneous extraction and
clean-up of tetrabromobisphenol A, alpha-, beta-, and gamma
hexabromocyclododecane and polybrominated diphenyl ethers in human serum were
developed and validated. The first procedure was based on solid-phase extraction.
Sample extraction, purification, and lipid removal were carried out directly on
an Oasis HLB cartridge. The second procedure was a quick, easy, cheap, effective,
rugged, and safe-based approach using octadecyl-modified silica particles as a
sorbent. After sample extraction and cleanup, tetrabromobisphenol
A/hexabromocyclododecane was separated from polybrominated diphenyl ethers by
using a Si-based cartridge. Tetrabromobisphenol A and hexabromocyclododecane were
then detected by high-performance liquid chromatography coupled to tandem mass
spectrometry, while polybrominated diphenyl ethers were detected by gas
chromatography coupled to tandem mass spectrometry. The results of the spike
recovery test using fetal bovine serum showed that the average recoveries of the
analytes ranged from 87.3 to 115.3% with relative standard deviations equal to or
lower than 13.4 %. Limits of detection of the analytes were in the range of 0.4
19 pg/mL except for decabromodiphenyl ether. The developed method was
successfully applied to routine analysis of human serum samples from occupational
workers and the general population. Extremely high serum polybrominated diphenyl
ethers levels up to 3.32 * 104 ng/g lipid weight were found in occupational
workers.
PMID- 27885793
TI - Lipopolysaccharide binding protein predicts decompensated cirrhosis mortality? It
is too early.
PMID- 27885794
TI - A beekeeper's perspective on the neonicotinoid ban.
AB - Bees and agrochemicals have a long history. For example, the first volume of
IBRA's journal Bee World in 1919 contains mention of poisoning of bees by
spraying an orchard with lead arsenate. Bees being insects, it is self-evident
that the use of insecticides to control crop pests poses a risk to them. Bee
poisoning incidents became a very serious problem in the 1960s and 1970s with
spraying of, in particular, oilseed rape with organophosphorus compounds. The
introduction of carbamates and then especially synthetic pyrethroids reduced
these problems. Data from the Wildlife Incident Investigation Scheme show that in
recent years there have been very few poisoning incidents in the United Kingdom
that can be attributed to agricultural insecticides. The introduction of
neonicotinoid insecticides has, however, been very controversial. Almost as soon
as they were introduced in the 1990s, French beekeepers blamed colony losses on
imidacloprid used on sunflowers and maize, but restrictions on its use did not
lead to a reduction in losses or to a reduction in beekeepers' concerns. Acute
pesticide poisoning incidents by neonicotinoids in Germany and Italy in 2008
further sealed their reputation. Despite laboratory evidence showing their harm,
field experience remains equivocal, and many commercial beekeepers continue to
move their colonies to oilseed rape crops for honey production. The neonicotinoid
moratorium has undoubtedly led to the increased use of older insecticides, and
the effect of this on bee populations is unknown and unquantified. Many
beekeepers are currently confused by the conflicting evidence. (c) 2016 Society
of Chemical Industry.
PMID- 27885795
TI - A digital tool for incorporating right atrial pressure into fractional flow
reserve determination.
PMID- 27885797
TI - Postpartum haemorrhage: a single definition is no longer enough.
PMID- 27885796
TI - Hepatocellular carcinomas with intracellular hyaline bodies have a poor
prognosis.
AB - BACKGROUND & AIMS: Mallory-Denk bodies (MDBs) and intracellular hyaline bodies
(IHBs) are cytoplasmic inclusions found in a subset of hepatocellular carcinoma
(HCC). MDBs are mainly composed of the intermediate filament proteins keratin (K)
8 and K18, the cellular stress- and adapter-protein sequestosome 1/p62 (p62) and
ubiquitin, whereas IHBs consist of p62 and/or ubiquitin. Of note, cytoplasmic
inclusions containing p62 can serve as markers of suppressed autophagy, which in
turn has been associated with poor prognosis. The aim of this study was to
evaluate the prognostic significance of p62-containing MDB and IHB in patients
with HCC. METHODS: Ninety resected HCCs were assessed by H&E histology for MDB or
IHB, and their presence was confirmed by immunohistochemistry using K8/18, p62
and ubiquitin antibodies. The prognostic impact of inclusions was assessed using
Kaplan-Meier and multivariate Cox proportional model. RESULTS: Mallory-Denk
bodies and/or IHB were found in about 50% of HCC. Both types of inclusions were
seen in 21%, MDB only in 19% and IHB only in 10% of cases. The presence of MDB in
tumours was associated with the steatohepatitic variant of HCC, which also showed
fatty change, ballooning of tumour cells, MDBs, inflammation and pericellular
fibrosis (P<.001). In contrast, IHBs were not associated with steatohepatitic
morphology but were associated with significantly shorter overall survival
(P=.006). Multivariate analysis revealed macroscopic vascular invasion (P=.045)
and presence of IHB in HCC cells (P=.005) as independently associated with
overall survival. CONCLUSIONS: Intracellular hyaline bodies and macroscopic
vascular invasion identify a subset of HCC patients with poor prognosis.
PMID- 27885798
TI - In their own words: A short report of patients' experiences of recovering from
total knee replacement.
PMID- 27885800
TI - Sacral neuromodulation for the treatment of faecal incontinence following
proctectomy.
AB - AIM: This study assessed the effectiveness of sacral neuromodulation (SNM) for
faecal incontinence (FI) following proctectomy with colorectal or coloanal
anastomosis. METHODS: An Institutional Review Board (IRB)-approved database
identified patients treated for FI following proctectomy (SNM-P) for benign or
malignant disease, who were matched 1:1 according to preoperative Cleveland
Clinic Florida Faecal Incontinence Scores (CCF-FIS) with patients without
proctectomy (SNM-NP). Primary outcome was change in CCF-FIS. RESULTS: Twelve
patients (seven women) were in the SNM-P group and 12 (all women) were in the SNM
NP group. In the SNM-P group, six patients underwent proctectomy for low rectal
cancer and five received neoadjuvant chemoradiation. Five patients had handsewn
anastomosis, and one had stapled coloanal anastomosis. One lead explantation
occurred after a failed 2-week SNM percutaneous trial. Six patients underwent
proctectomy for benign conditions. Within-group analyses revealed significant
improvement in CCF-FIS in the SNM-P group (reduction from a score of 18 to a
score of 14; P = 0.02), which was more profound for benign disease (reduction
from 14.5 to 8.5) than for rectal cancer (reduction from 19.5 to 15). SNM was
explanted in 66% and 33% of patients after proctectomy for malignant and benign
conditions, respectively. In the SNM-NP group, 41% underwent overlapping
sphincteroplasty. One patient received chemoradiation for anal cancer. Within
group analysis for the SNM-NP group showed significant improvement in CCF-FIS (a
reduction from 17.5 to 4.0; P = 0.003). There was significant improvement in CCF
FIS in patients without previous proctectomy (mean delta CCF-FIS: 11.1 vs 4.7; P
= 0.011). Analysis of covariance (ANCOVA) reaffirmed that controls outperformed
proctectomy patients (P = 0.006). CONCLUSION: SNM for FI after proctectomy
appears less effective than SNM in patients without proctectomy, with high device
explantation rates, particularly after neoadjuvant chemoradiation and proctectomy
for low rectal cancer.
PMID- 27885799
TI - The C-terminal extension of Mycobacterium tuberculosis Hsp16.3 regulates its
oligomerization, subunit exchange dynamics and chaperone function.
AB - Mycobacterium tuberculosis is a human pathogen that secretes a major
immunodominant antigen, namely Hsp16.3, throughout the course of infection.
Hsp16.3 belongs to the small heat shock protein family and exhibits a molecular
chaperone function that is important for the growth and survival of M.
tuberculosis in host cell macrophages. The importance of the N-terminal region
for the structure and chaperone function of Hsp16.3 is well understood. However,
the effect of the C-terminal region on these properties is far from clear.
Therefore, we cloned, over-expressed and purified wild-type and seven C-terminal
truncated mutant proteins of Hsp16.3. Mutants with deletions of one and two C
terminal extension (CTE) residues had a structure and chaperone function similar
to wild-type protein. Intriguingly, deletion of three residues from the CTE
triggered perturbation of the tertiary structure, dissociation of the oligomeric
assembly (dodecamer to octamer and dimer), enhancement of subunit exchange
dynamics and improvement in the chaperone function of Hsp16.3. Interestingly,
these structural modulations (except oligomeric dissociation) as well as
chaperoning strength reached their apex upon truncation of the entire CTE (141
RSTN144 ). Further deletions from the C-terminal region beyond the CTE increased
only the degree of oligomeric dissociation, and the complete removal of this
region made the protein into a dimer. Overall, our study suggests a 'new
structural element' in the C-terminal region, i.e. the C-terminal extension,
which plays an important role in the oligomerization, subunit exchange dynamics
and chaperone function of Hsp16.3.
PMID- 27885801
TI - Fraction transfer process in on-line comprehensive two-dimensional liquid-phase
separations.
AB - Two-dimensional liquid-phase separations have gained increasing attention for
their ability to separate complex sample mixtures. Among the experimental setups
used, an on-line approach is preferred to reduce the probability of sample
contamination, for easier automation and high-sample throughput. The interfacing
of the separation techniques in the on-line mode brings additional demands on
proper optimization of the two-dimensional system. In this review, the
possibilities of the on-line coupling of liquid chromatography and liquid
chromatography with capillary electrophoresis in two-dimensional systems are
discussed. Special attention is paid to the fraction transfer process, which
includes an overview of interfaces and experimental setups applied, the
compatibility issues of separation systems, and instrumental parameters. The
benefits and drawbacks of using electromigration separations in combination with
liquid chromatography are presented as well.
PMID- 27885803
TI - Rapid and sensitive determination of phytosterols in functional foods and
medicinal herbs by using UHPLC-MS/MS with microwave-assisted derivatization
combined with dual ultrasound-assisted dispersive liquid-liquid microextraction.
AB - In this work, a hyphenated technique of dual ultrasound-assisted dispersive
liquid-liquid microextraction combined with microwave-assisted derivatization
followed by ultra high performance liquid chromatography tandem mass spectrometry
has been developed for the determination of phytosterols in functional foods and
medicinal herbs. Multiple reaction monitoring mode was used for the tandem mass
spectrometry detection. A mass spectrometry sensitive reagent, 4'-carboxy
substituted rosamine, has been used as the derivatization reagent for five
phytosterols, and internal standard diosgenin was used for the first time.
Parameters for the dual microextraction, microwave-assisted derivatization, and
ultra high performance liquid chromatography tandem mass spectrometry were all
optimized in detail. Satisfactory linearity, recovery, repeatability, accuracy
and precision, absence of matrix effect, extremely low limits of detection (0.005
0.015 ng/mL) and limits of quantification (0.030-0.10 ng/mL) were achieved. The
proposed method was compared with previously reported methods. It showed better
sensitivity, selectivity, and accuracy. The matrix effect was also significantly
reduced. The proposed method was successfully applied to the determination of
five phytosterols in vegetable oil (sunflower oil, olive oil, corn oil, peanut
oil), milk and orange juice (soymilk, peanut milk, orange juice), and medicinal
herbs (Ginseng, Ganoderma lucidum, Cordyceps, Polygonum multiflorum) for the
quality control of functional foods and medicinal herbs.
PMID- 27885804
TI - Financial strain, dyadic coping, relationship satisfaction, and psychological
distress: A dyadic mediation study in Greek couples.
AB - Financial strain typically has a severe impact on a couple's functioning and the
well-being of its members. In this study, we examined the indirect relation of
financial strain to partners' relationship satisfaction and psychological
distress, using dyadic coping as a mediator, in a sample of Greek couples. One
hundred and eighteen couples participated in a cross-sectional study. Perceived
material loss in the past and perceived threat of loss in the future were used as
financial strain indices. The actor-partner interdependence mediation model was
employed to test for the mediation hypotheses. According to the results, the
complete mediation (i.e., only indirect) effects models showed an unsatisfactory
fit to the data and were rejected. The partial mediation actor-partner
interdependence mediation model revealed several statistically significant direct
and indirect (actor and partner) effects of the financial strain indices. The
results provide more support to the hypothesized mediated impact of financial
strain on partners' relationship satisfaction than on psychological distress. The
findings underline the importance of dyadic coping for couple's adaptation to
financial strain. They also point to the need to examine responses to stress at a
dyadic level.
PMID- 27885802
TI - A novel P53/POMC/Galphas/SASH1 autoregulatory feedback loop activates mutated
SASH1 to cause pathologic hyperpigmentation.
AB - p53-Transcriptional-regulated proteins interact with a large number of other
signal transduction pathways in the cell, and a number of positive and negative
autoregulatory feedback loops act upon the p53 response. P53 directly controls
the POMC/alpha-MSH productions induced by ultraviolet (UV) and is associated with
UV-independent pathological pigmentation. When identifying the causative gene of
dyschromatosis universalis hereditaria (DUH), we found three mutations encoding
amino acid substitutions in the gene SAM and SH3 domain containing 1 (SASH1), and
SASH1 was associated with guanine nucleotide-binding protein subunit-alpha
isoforms short (Galphas). However, the pathological gene and pathological
mechanism of DUH remain unknown for about 90 years. We demonstrate that SASH1 is
physiologically induced by p53 upon UV stimulation and SASH and p53 is
reciprocally induced at physiological and pathophysiological conditions. SASH1 is
regulated by a novel p53/POMC/alpha-MSH/Galphas/SASH1 cascade to mediate
melanogenesis. A novel p53/POMC/Galphas/SASH1 autoregulatory positive feedback
loop is regulated by SASH1 mutations to induce pathological hyperpigmentation
phenotype. Our study demonstrates that a novel p53/POMC/Galphas/SASH1
autoregulatory positive feedback loop is regulated by SASH1 mutations to induce
pathological hyperpigmentation phenotype.
PMID- 27885805
TI - School absenteeism among school-aged children with medically attended acute viral
respiratory illness during three influenza seasons, 2012-2013 through 2014-2015.
AB - BACKGROUND: Acute respiratory illnesses (ARIs) are common in school-aged
children, but few studies have assessed school absenteeism due to specific
respiratory viruses. OBJECTIVE: To evaluate school absenteeism among children
with medically attended ARI due to common viruses. METHODS: We analyzed follow-up
surveys from children seeking care for acute respiratory illness who were
enrolled in the influenza vaccine effectiveness study at Marshfield Clinic during
the 2012-2013 through 2014-2015 influenza seasons. Archived influenza-negative
respiratory swabs were retested using multiplex RT-PCR to detect 16 respiratory
virus targets. Negative binomial and logistic regression models were used to
examine the association between school absence and type of respiratory viruses;
endpoints included mean days absent from school and prolonged (>2 days) absence.
We examined the association between influenza vaccination and school absence
among children with RT-PCR-confirmed influenza. RESULTS: Among 1027 children,
2295 days of school were missed due to medically attended ARIs; influenza
accounted for 39% of illness episodes and 47% of days missed. Mean days absent
were highest for influenza (0.96-1.19) and lowest for coronavirus (0.62).
Children with B/Yamagata infection were more likely to report prolonged absence
than children with A/H1N1 or A/H3N2 infection [OR (95% CI): 2.1 (1.0, 4.5) and
1.7 (1.0, 2.9), respectively]. Among children with influenza, vaccination status
was not associated with prolonged absence. CONCLUSIONS: School absenteeism due to
medically attended ARIs varies by viral infection. Influenza B infections
accounted for the greatest burden of absenteeism.
PMID- 27885807
TI - Loading of BMP-2-related peptide onto three-dimensional nano-hydroxyapatite
scaffolds accelerates mineralization in critical-sized cranial bone defects.
AB - Extrusion free-forming, as a rapid prototyping technique, is extensively applied
in fabricating ceramic material in bone tissue engineering. To improve the
osteoinductivity of nano-hydroxyapatite (nHA) scaffold fabricated by extrusion
free-forming, in this study, we incorporated a new peptide (P28) and optimized
the superficial microstructure after shaping by controlling the sintering
temperature. P28, a novel bone morphogenic protein 2 (BMP-2)-related peptide, was
designed in this study. Analysis of the structure, physicochemical properties and
release kinetics of P28 from nHA sintered at temperatures ranging from 1000
degrees C to 1400 degrees C revealed that nHA sintered at 1000 degrees C had
higher porosity, preferable pore size and better capacity to control P28 release
than that sintered at other temperatures. Moreover, the nHA scaffold sintered at
1000 degrees C with P28 showed improved adhesion, proliferation and osteogenic
differentiation of MC3T3-E1 cells compared with scaffolds lacking P28 or BMP-2.
In vivo, nHA scaffolds sintered at 1000 degrees C with P28 or BMP-2 induced
greater bone regeneration in critical-sized rat cranial defects at 6 and 12 weeks
post-implantation compared with scaffolds lacking P28 or BMP-2. Thus, nHA
scaffolds sintered at 1000 degrees C and loaded with P28 may be excellent
biomaterials for bone tissue engineering. Copyright (c) 2016 John Wiley & Sons,
Ltd.
PMID- 27885806
TI - Mental health of women entering fertility treatment: What role do age and
internal resources play?
AB - Drawing on Lazarus and Folkman's (1984) model of stress and coping, the study
aimed at (a) examining the associations between the mental health of women
entering fertility treatment and their internal resources (hope and two aspects
of self-consciousness: reflection and rumination); (b) indicating whether mental
health is associated with age (above or below 35), and whether this association
is mediated by the internal resources. The sample consisted of 137 women (76 aged
20-34; 61 aged 35-44) at the start of fertility treatment who completed a series
of self-report questionnaires. Results indicated that younger women reported
higher distress and rumination than older women. Higher hope was associated with
greater well-being, and higher rumination was associated with greater distress.
Furthermore, hope and rumination were found to mediate the association between
age and mental health. These findings highlight the importance of developing age
based interventions for women entering fertility treatment, aimed at
strengthening their resilience to effectively cope with the demanding process
ahead.
PMID- 27885808
TI - VP1, the major capsid protein of the mouse polyomavirus, binds microtubules,
promotes their acetylation and blocks the host cell cycle.
AB - VP1, the major structural protein of the mouse polyomavirus (MPyV), is the major
architectural component of the viral capsid. Its pentamers are able to self
assemble into capsid-like particles and to non-specifically bind DNA. Surface
loops of the protein interact with sialic acid of ganglioside receptors. Although
the replication cycle of the virus, including virion morphogenesis, proceeds in
the cell nucleus, a substantial fraction of the protein is detected in the
cytoplasm of late-phase MPyV-infected cells. In this work, we detected VP1 mainly
in the cytoplasm of mammalian cells transfected with plasmid expressing VP1. In
the cytoplasm, VP1-bound microtubules, including the mitotic spindle, and the
interaction of VP1 with microtubules resulted in cell cycle block at the G2/M
phase. Furthermore, in the late phase of MPyV infection and in cells expressing
VP1, microtubules were found to be hyperacetylated. We then sought to understand
how VP1 interacts with microtubules. Dynein is not responsible for the VP1
microtubule association, as neither overexpression of p53/dynamitin nor treatment
with ciliobrevin-D (an inhibitor of dynein activity) prevented binding of VP1 to
microtubules. A pull-down assay for VP1-interacting proteins identified the heat
shock protein 90 (Hsp90) chaperone, and Hsp90 was also detected in the VP1
microtubule complexes. Although Hsp90 is known to be associated with acetylated
microtubules, it does not mediate the interaction between VP1 and microtubules.
Our study provides insight into the role of the major structural protein in MPyV
replication, indicating that VP1 is a multifunctional protein that participates
in the regulation of cell cycle progression in MPyV-infected cells.
PMID- 27885809
TI - Selective Production of Toluene from Biomass-Derived Isoprene and Acrolein.
AB - Toluene is a basic chemical that is currently produced from petroleum resources.
In this paper, we report a new route for the effective synthesis of toluene from
isoprene and acrolein, two reactants readily available from biomass, through a
simple two-step reaction. The process includes Diels-Alder cycloaddition of
isoprene and acrolein in a Zn-containing ionic liquid at room temperature to
produce methylcyclohex-3-enecarbaldehydes (MCHCAs) as intermediates, followed by
M (M=Pt, Pd, Rh)/Al2 O3 -catalyzed consecutive dehydrogenation-decarbonylation of
the MCHCAs at 573 K to generate toluene with an overall yield up to 90.7 %. Model
reactions indicated that a synergistic inductive effect of the C=C double bond
and the aldehyde group in MCHCA plays a key role in initiating the consecutive
dehydrogenation-decarbonylation, and that methyl benzaldehydes are the key
intermediates in the gas-phase transformation of MCHCAs. Microcalorimetric
adsorption of CO on different catalysts showed that decarbonylation of the
substrate occurs more likely on the strong adsorption sites. To the best of our
knowledge, it is the first report of Pt/Al2 O3 -catalyzed consecutive
dehydrogenation-decarbonylation of a given compound in one reactor. This work
provides a highly efficient and environmental friendly route to toluene by
utilizing two compounds that can be prepared from biomass.
PMID- 27885810
TI - Value of 18 F-FDG PET/MRI for the outcome of CT-guided facet block therapy in
cervical facet syndrome: initial results.
AB - INTRODUCTION: The aim of this study was to evaluate the ability of 18 F
fluorodeoxyglucose positron emission tomography/magnetic resonance imaging (18 F
FDG PET/MRI) to detect PET-positive cervical facet arthropathy and identify
patients who benefit from facet block therapy. METHODS: Ten patients with
cervical facet syndrome (mean age: 65 +/- 12 years) underwent 18 F-FDG PET/MRI of
the neck. Focal 18 F-FDG uptake in PET-positive facet joints served as target for
computed tomography (CT)-guided facet blocks. In PET-negative patients, the
target joint for facet block therapy was selected by current clinical standards
considering the level of maximum facet arthrosis and pain. Neck pain was measured
on visual analogue scale (VAS) before and after therapy. Bone marrow signal
intensity (SI) ratio on turbo inversion recovery magnitude (TIRM) images and
maximum standard uptake values (SUVmax) was calculated for each facet joint.
Pearson's correlation coefficient (r) was calculated between bone marrow SI
ratios on TIRM and SUVmax. RESULTS: 18 F-FDG PET/MRI detected PET-positive facet
arthropathy in six patients. Patients with PET-positive facet arthropathy had
significantly less pain compared with the pretreatment pain 3 h (P = 0.002), 4
weeks (P = 0.002) and 3 months (P = 0.026) after facet block therapy. Pain did
not change significantly in patients with PET-negative facet arthropathy. TIRM SI
ratio was higher in PET-positive facet arthropathy than in PET-negative facet
arthropathy (P < 0.001). Correlation was strong between bone marrow SI ratio on
TIRM images and SUVmax (r = 0.7; P < 0.001). CONCLUSIONS: 18 F-FDG PET/MRI can
detect PET-positive cervical facet arthropathy and help to identify patients
benefitting from facet block therapy. Bone marrow TIRM SI ratio might be a
surrogate for PET-positive facet arthropathy.
PMID- 27885811
TI - 17,beta-estradiol inhibits hepatitis C virus mainly by interference with the
release phase of its life cycle.
AB - BACKGROUND & AIMS: Oestrogen and oestrogen-mediated signalling protect from
hepatitis C virus through incompletely understood mechanisms. We aimed to
ascertain which phase(s) of hepatitis C virus life cycle is/are affected by
oestrogens. METHODS: Huh7 cells infected with the JFH1 virus (genotype 2a) were
exposed to dehydroepiandrosterone, testosterone, progesterone and 17beta
estradiol (tested with/without its receptor antagonist fulvestrant). Dose
response curves were established to calculate half maximal inhibitory
concentration values. To dissect how 17beta-estradiol interferes with phases of
hepatitis C virus life cycle, its effects were measured on the hepatitis C virus
pseudo-particle system (viral entry), the subgenomic replicon N17/JFH1 and the
replicon cell line Huh7-J17 (viral replication). Finally, in a dual-step
infection model, infectious supernatants, collected from infected cells exposed
to hormones, were used to infect naive cells. RESULTS: Progesterone and
testosterone showed no inhibitory effect on hepatitis C virus;
dehydroepiandrosterone was only mildly inhibitory. In contrast, 17beta-estradiol
inhibited infection by 64%-67% (IC50 values 140-160 nmol/L). Fulvestrant reverted
the inhibition by 17beta-estradiol in a dose-dependent manner. 17beta-estradiol
exerted only a slight inhibition (<20%) on hepatitis C virus pseudo-particles,
and had no effect on cells either transiently or stably (Huh7-J17 cells)
expressing the N17/JFH1 replicon. In the dual-step infection model, a significant
half maximal inhibitory concentration decline occurred between primary (134
nmol/L) and secondary (100 nmol/L) infections (P=.02), with extracellular
hepatitis C virus RNA and infectivity being reduced to a higher degree in
comparison to its intracellular counterpart. CONCLUSIONS: 17beta-estradiol
inhibits hepatitis C virus acting through its intracellular receptors, mainly
interfering with late phases (assembly/release) of the hepatitis C virus life
cycle.
PMID- 27885813
TI - A novel modelling approach to energy transport in a respiratory system.
AB - In this paper, energy transport in a respiratory tract is modelled using the
finite element method for the first time. The upper and lower respiratory tracts
are approximated as a 1-dimensional domain with varying cross-sectional and
surface areas, and the radial heat conduction in the tissue is approximated using
the 1-dimensional cylindrical coordinate system. The governing equations are
solved using 1-dimensional linear finite elements with convective and evaporative
boundary conditions on the wall. The results obtained for the exhalation
temperature of the respiratory system have been compared with the available
animal experiments. The study of a full breathing cycle indicates that
evaporation is the main mode of heat transfer, and convection plays almost
negligible role in the energy transport. This is in-line with the results
obtained from animal experiments.
PMID- 27885814
TI - Trends, microbiology, and outcomes of infective endocarditis in children during
2000-2010 in the United States.
AB - BACKGROUND: We studied the incidence, trend, underlying conditions, microbiology,
and outcomes of infective endocarditis (IE) in children during 11 years using
Nationwide Inpatient Sample (NIS) database. This is the largest all-payer
inpatient care database in the United States containing data for more than 8
million hospital stays from over 1000 hospitals. METHODS: NIS data from 2000 to
2010 of primary discharge diagnosis of IE in children aged <=19 years old were
studied. Children with underlying congenital heart defects and acquired heart
conditions were identified. Microbiological causative agents were recorded.
Linear regression was used to assess trend of incidence over time. RESULTS: An
estimated 3,840 (95% CI: 3,395-4,285) children had a discharge diagnosis of IE.
The overall incidence was 0.43 per 100 000 children. The incidence was stable
over the study period (P = .4 for trend). The majority of patients 56.2% were
>=11 years old and 15.4% were <= 1 year. Underlying cardiac conditions were
present in 53.5% of patients. Overall 30.2% of cases were culture-negative. Among
those with identified pathogens, Staphylococcus species were most common (43.1%)
followed by Streptococcus species (39.5%). Viridans Streptococcus group was most
common in those with underlying heart disease (32.7%) and S. aureus was most
common in those without heart disease (46.9%). Among culture-positive patients,
there was a decline in proportion of Staphylococcal IE (P = .03) and an increase
in proportion of Streptococcal IE (P = .04). Overall mortality was 2.8%. Patients
with Staphylococcal IE had longer median length of stay (12 vs. 9 days; P < .01)
and the highest mortality (4.7%). CONCLUSION: The incidence of IE in children has
remained unchanged in the United States during the 11-year study period. Among
culture-positive patients there was a significant decrease in Staphylococcal IE
and a significant increase of Streptococcal IE. Staphylococcal IE was associated
with increased LOS and highest mortality.
PMID- 27885816
TI - Recent advances in pediatric rheumatology: July-September 2016.
PMID- 27885812
TI - A whole animal chemical screen approach to identify modifiers of intestinal
neutrophilic inflammation.
AB - By performing two high-content small molecule screens on dextran sodium sulfate-
and trinitrobenzene sulfonic acid-induced zebrafish enterocolitis models of
inflammatory bowel disease, we have identified novel anti-inflammatory drugs from
the John Hopkins Clinical Compound Library that suppress neutrophilic
inflammation. Live imaging of neutrophil distribution was used to assess the
level of acute inflammation and concurrently screen for off-target drug effects.
Supporting the validity of our screening strategy, most of the anti-inflammatory
drug hits were known antibiotics or anti-inflammatory agents. Novel hits included
cholecystokinin (CCK) and dopamine receptor agonists. Using a pharmacological
approach, we show that while CCK and dopamine receptor agonists alleviate
enterocolitis-associated inflammation, receptor antagonists exacerbate
inflammation in zebrafish. This work highlights the utility of small molecule
screening in zebrafish enterocolitis models as a tool to identify novel bioactive
molecules capable of modulating acute inflammation.
PMID- 27885817
TI - Serum ferritin level is a prognostic marker in patients with peripheral T-cell
lymphoma.
AB - INTRODUCTION: The prognostic value of serum ferritin level in patients with
peripheral T-cell lymphoma (PTCL) remains unknown. METHODS: We retrospectively
analyzed clinical data from 78 consecutive patients with newly diagnosed PTCL
that were treated with anthracycline-containing regimens between 1998 and 2011.
RESULTS: The patients consisted of 50 males and 28 females with a median age of
64 years (range, 16-83 years). The subtypes of PTCL were 39 PTCL, not otherwise
specified and 39 angioimmunoblastic T-cell lymphoma (AITL). The median
observation period for the surviving patients was 50 months. The overall survival
(OS) was poorer in patients with serum ferritin level above the upper normal
limit (n = 28), compared with patients with serum ferritin level within normal
range (n = 50; 4-year OS: 23% vs. 72%; P < 0.001). In the multivariate analysis,
poor performance status (P = 0.006) and elevated serum ferritin level (P = 0.018)
were independent risk factors for poor OS. CONCLUSION: Serum ferritin level is a
useful prognostic marker for PTCL.
PMID- 27885815
TI - Changes in urine volume and serum albumin in incident hemodialysis patients.
AB - INTRODUCTION: Hypoalbuminemia is a predictor of poor outcomes in dialysis
patients. Among hemodialysis patients, there has not been prior study of whether
residual kidney function or decline over time impacts serum albumin levels. We
hypothesized that a decline in residual kidney function is associated with an
increase in serum albumin levels among incident hemodialysis patients. METHODS:
In a large national cohort of 38,504 patients who initiated hemodialysis during
1/2007-12/2011, we examined the association of residual kidney function,
ascertained by urine volume and renal urea clearance, with changes in serum
albumin over five years across strata of baseline residual kidney function, race,
and diabetes using case-mix adjusted linear mixed effects models. FINDINGS: Serum
albumin levels increased over time. At baseline, patients with greater urine
volume had higher serum albumin levels: 3.44 +/- 0.48, 3.50 +/- 0.46, 3.57 +/-
0.44, 3.59 +/- 0.45, and 3.65 +/- 0.46 g/dL for urine volume groups of <300, 300
<600, 600-<900, 900-<1,200, and >=1,200 mL/day, respectively (Ptrend < 0.001).
Over time, urine volume and renal urea clearance declined and serum albumin
levels rose, while the baseline differences in serum albumin persisted across
groups of urinary volume. In addition, the rate of decline in residual kidney
function was not associated with the rate of change in albumin. DISCUSSION:
Hypoalbuminemia in hemodialysis patients is associated with lower residual kidney
function. Among incident hemodialysis patients, there is a gradual rise in serum
albumin that is independent of the rate of decline in residual kidney function,
suggesting that preservation of residual kidney function does not have a
deleterious impact on serum albumin levels.
PMID- 27885818
TI - The impact of bevacizumab in metastatic colorectal cancer with an intact primary
tumor: Results from a large prospective cohort study.
AB - BACKGROUND: Debate continues regarding the benefits versus risks of initial
resection of the primary tumor in metastatic colorectal cancer (mCRC) patients
with an asymptomatic primary tumor. Although the benefit of the anti-vascular
endothelial growth factor agent bevacizumab alongside first-line chemotherapy in
mCRC is established, the impact of bevacizumab on the intact primary tumor (IPT)
is less well understood. METHODS: Data from an Australian mCRC registry were used
to assess the impact of bevacizumab-based regimens in the presence of an IPT, to
see if this differs from effects in resected primary tumor (RPT) patients and to
understand the safety profile of bevacizumab in patients with IPT. Progression
free survival (PFS), overall survival (OS) and safety endpoints were analyzed.
RESULTS: Of 1204 mCRC patients, 826 (69%) were eligible for inclusion.
Bevacizumab use was similar in both arms (IPT (64%) versus RPT (70%)); compared
with chemotherapy alone, bevacizumab use was associated with significantly longer
PFS (IPT: 8.5 months vs 4.7 months, P = 0.017; RPT: 10.8 months vs 5.8 months, P
< 0.001) and OS (IPT: 20 months vs 14.8 months, P = 0.005; RPT: 24.4 months vs
17.3 months, P = 0.004)).1 Bevacizumab use in an IPT was associated with more GI
perforations (4.5% vs 1.8%, P = 0.210) but less frequent bleeding (1.5% vs 5.3%,
P = 0.050) and thrombosis (1.5% vs 2.7%, P = 0.470), versus chemotherapy alone.
Median survival was equivalent between patients that did or did not experience
bevacizumab-related adverse events - 20.0 months versus 19.9 months, hazard ratio
= 0.98, P = 0.623.1 CONCLUSIONS: The addition of bevacizumab significantly
improved survival outcomes in mCRC with an IPT. The occurrence of bevacizumab
related adverse events did not significantly impact survival outcomes.
PMID- 27885819
TI - Annual banned-substance review: analytical approaches in human sports drug
testing.
AB - There has been an immense amount of visibility of doping issues on the
international stage over the past 12 months with the complexity of doping
controls reiterated on various occasions. Hence, analytical test methods
continuously being updated, expanded, and improved to provide specific,
sensitive, and comprehensive test results in line with the World Anti-Doping
Agency's (WADA) 2016 Prohibited List represent one of several critical
cornerstones of doping controls. This enterprise necessitates expediting the
(combined) exploitation of newly generated information on novel and/or superior
target analytes for sports drug testing assays, drug elimination profiles,
alternative test matrices, and recent advances in instrumental developments. This
paper is a continuation of the series of annual banned-substance reviews
appraising the literature published between October 2015 and September 2016
concerning human sports drug testing in the context of WADA's 2016 Prohibited
List. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27885820
TI - Coupling of homogeneous liquid-liquid extraction and dispersive liquid-liquid
microextraction for the extraction and preconcentration of polycyclic aromatic
hydrocarbons from aqueous samples followed by GC with flame ionization detection.
AB - In the present study, a simple and rapid method for the extraction and
preconcentration of some polycyclic aromatic hydrocarbons in water samples has
been developed. In this method, two sample preparation methods were combined to
obtain high extraction recoveries and enrichment factors for sensitive analysis
of the selected analytes. In the first stage of the method, a homogeneous
solution containing an aqueous solution and cyclohexyl amine is broken by the
addition of a salt. After centrifugation, the upper collected phase containing
the extracted analytes is subjected to the following dispersive liquid-liquid
microextraction method. Rapid injection of the mixture of cyclohexyl amine
resulted from the first stage and 1,1,2-trichloroethane (as an extraction
solvent) into an acetic acid solution is led to form a cloudy solution. After
centrifuging, the fine droplets of the extraction solvent are settled down in the
bottom of the test tube, and an aliquot of it is analyzed by gas chromatography.
Under the optimum extraction conditions, enrichment factors and limits of
detection for the studied analytes were obtained in the ranges of 616-752 and
0.08-0.20 MUg/L, respectively. The simplicity, high extraction efficiency, short
sample preparation time, low cost, and safety demonstrated the efficiency of this
method relative to other approaches.
PMID- 27885821
TI - Exploring simulation in the internal medicine clerkship.
AB - BACKGROUND: Simulation-based medical education has been shown to produce
substantial educational benefits; however, the integration and effectiveness of
high-fidelity simulation within the internal medicine (IM) clerkship remains
largely unexplored. Investigators sought to determine the effectiveness of
simulation in improving student confidence in acute coronary syndrome (ACS) and
the Advanced Cardiac Life Support (ACLS) curriculum. Secondary goals included
examining student perceptions of the role of simulation in medical education.
METHODS: Investigators implemented a formative high-fidelity simulation
curriculum in the IM clerkship at a large teaching institution. Third-year
medical students enrolled in the IM clerkship between January and June 2014
attended a simulation course during their ambulatory block. Following a 2-hour
session, participants completed a 17-item questionnaire. Descriptive statistical
analyses and a thematic qualitative analysis were performed. Integration of high
fidelity simulation within the internal medicine clerkship remains largely
unexplored RESULTS: The response rate was 100 per cent (n = 43). Students
reported improvements in their ability to identify and manage ACS and ACLS before
and after the simulation course: 93 per cent felt that simulation boosted their
self-confidence in performing these tasks on a real patient; 86 per cent reported
receiving useful feedback during the training sessions; 98 per cent agreed that
their experience was enjoyable; and 95 per cent would recommend this course to
other students. CONCLUSIONS: Internal medicine (IM) clerkship students
participating in our pilot course demonstrated increased confidence in
identifying and managing pathologies associated with ACS and arrhythmias.
Students viewed simulation as an engaging and useful activity, desiring
additional training sessions.
PMID- 27885822
TI - From Type I to Type II: Design, Synthesis, and Characterization of Potent Pyrazin
2-ones as DFG-Out Inhibitors of PDGFRbeta.
AB - Reversible protein kinase inhibitors that bind in the ATP cleft can be classified
as type I or type II binders. Of these, type I inhibitors address the active
form, whereas type II inhibitors typically lock the kinase in an inactive form.
At the molecular level, the conformation of the flexible activation loop holding
the key DFG motif controls access to the ATP site, thereby determining an active
or inactive kinase state. Accordingly, type I and type II kinase inhibitors bind
to so-called DFG-in or DFG-out conformations, respectively. Based on our former
study on highly selective platelet-derived growth factor receptor beta
(PDGFRbeta) pyrazin-2-one type I inhibitors, we expanded this scaffold toward the
deep pocket, yielding the highly potent and effective type II inhibitor 5 (4-[(4
methylpiperazin-1-yl)methyl]-N-[3-[[6-oxo-5-(3,4,5-trimethoxyphenyl)-1H-pyrazin-3
yl]methyl]phenyl]benzamide). In vitro characterization, including selectivity
panel data from activity-based assays (300 kinases) and affinity-based assays (97
kinases) of these PDGFRbeta type I (1; 5-(4-hydroxy-3-methoxy-phenyl)-3-(3,4,5
trimethoxyphenyl)-1H-pyrazin-2-one) and II (5) inhibitors showing the same
pyrazin-2-one chemotype are compared. Implications are discussed regarding the
data for selectivity and efficacy of type I and type II ligands.
PMID- 27885823
TI - Novel functionalization strategies of polymeric nanoparticles as carriers for
brain medications.
AB - For targeted brain delivery, nanoparticles (NPs) should bypass the blood-brain
barrier (BBB). Novel functionalization strategies, based on low-density
lipoprotein receptor (LDLR) binding domain, have been here tested to increase the
brain targeting efficacy of poly d,l-lactic-co-glycolic acid (PLGA) NPs,
biodegradable and suited for biomedical applications. Custom-made PLGA NPs were
functionalized with an apolipoprotein E modified peptide (pep-apoE) responsible
for LDLR binding, or with lipocalin-type prostaglandin-d-synthase (L-PGDS),
highly expressed in the brain. At the comparison of pep-apoE and L-PGDS
sequences, a highly homologs region was here identified, indicating that also L
PGDS could bind LDLR. Non-functionalized and functionalized NPs did not affect
the viability of cultured human dendritic cells, protagonists of the immune
response, and did not activate them to a proinflammatory profile. At 2 h after
intravenous injection in mice, functionalized, but not the non-functionalized
ones, fluorescent-tagged NPs were observed in the cerebral cortex parenchyma. The
NPs were mostly internalized by neurons and microglia; glial cells showed a weak
activation. The findings indicate that the tested functionalization strategies do
not elicit adverse immune responses and that the peptidic moieties enable BBB
traversal of the NPs, thus providing potential brain drug carriers. These could
be especially effective for brain diseases in which LDLR is involved. (c) 2016
Wiley Periodicals, Inc. J Biomed Mater Res Part A: 105A: 847-858, 2017.
PMID- 27885824
TI - The Quest for Value-Added Products from Carbon Dioxide and Water in a Dielectric
Barrier Discharge: A Chemical Kinetics Study.
AB - Recycling of carbon dioxide by its conversion into value-added products has
gained significant interest owing to the role it can play for use in an
anthropogenic carbon cycle. The combined conversion with H2 O could even mimic
the natural photosynthesis process. An interesting gas conversion technique
currently being considered in the field of CO2 conversion is plasma technology.
To investigate whether it is also promising for this combined conversion, we
performed a series of experiments and developed a chemical kinetics plasma
chemistry model for a deeper understanding of the process. The main products
formed were the syngas components CO and H2 , as well as O2 and H2 O2 , whereas
methanol formation was only observed in the parts-per-billion to parts-per
million range. The syngas ratio, on the other hand, could easily be controlled by
varying both the water content and/or energy input. On the basis of the model,
which was validated with experimental results, a chemical kinetics analysis was
performed, which allowed the construction and investigation of the different
pathways leading to the observed experimental results and which helped to clarify
these results. This approach allowed us to evaluate this technology on the basis
of its underlying chemistry and to propose solutions on how to further improve
the formation of value-added products by using plasma technology.
PMID- 27885825
TI - Multiphase fluid-solid coupled analysis of shock-bubble-stone interaction in
shockwave lithotripsy.
AB - A novel multiphase fluid-solid-coupled computational framework is applied to
investigate the interaction of a kidney stone immersed in liquid with a
lithotripsy shock wave (LSW) and a gas bubble near the stone. The main objective
is to elucidate the effects of a bubble in the shock path to the elastic and
fracture behaviors of the stone. The computational framework couples a finite
volume 2-phase computational fluid dynamics solver with a finite element
computational solid dynamics solver. The surface of the stone is represented as a
dynamic embedded boundary in the computational fluid dynamics solver. The
evolution of the bubble surface is captured by solving the level set equation.
The interface conditions at the surfaces of the stone and the bubble are enforced
through the construction and solution of local fluid-solid and 2-fluid Riemann
problems. This computational framework is first verified for 3 example problems
including a 1D multimaterial Riemann problem, a 3D shock-stone interaction
problem, and a 3D shock-bubble interaction problem. Next, a series of shock
bubble-stone-coupled simulations are presented. This study suggests that the
dynamic response of a bubble to LSW varies dramatically depending on its initial
size. Bubbles with an initial radius smaller than a threshold collapse within 1
MUs after the passage of LSW, whereas larger bubbles do not. For a typical LSW
generated by an electrohydraulic lithotripter (pmax = 35.0MPa, pmin =-
10.1MPa), this threshold is approximately 0.12mm. Moreover, this study suggests
that a noncollapsing bubble imposes a negative effect on stone fracture as it
shields part of the LSW from the stone. On the other hand, a collapsing bubble
may promote fracture on the proximal surface of the stone, yet hinder fracture
from stone interior.
PMID- 27885826
TI - Posterior reversible encephalopathy syndrome due to combination of vemurafenib
and cobimetinib for metastatic melanoma.
PMID- 27885828
TI - Superionic Conductivity in Hybrid of 3-Hydroxypropanesulfonic Acid and Graphene
Oxide.
AB - Insertion of 3-hydroxypropanesulfonicacid (HPS) in the graphene oxide (GO)
interlayer results in high proton conductivity (10-2 -10-1 S cm-1 ), owing to an
improvement in oxygen content, interlayer distance and water absorbing capacity.
This result indicates that hydroxyalkylsulfonicacids can be perfect guest
molecules for improving the proton conductivity of carbon materials.
PMID- 27885827
TI - A Mathematical Modeling Approach to Understanding the Effect of Anti-Interleukin
Therapy on Eosinophils.
AB - Emerging T-helper type 2 (Th2 ) cytokine-based asthma therapies, such as
tralokinumab, lebrikizumab (anti-interleukin (IL)-13), and mepolizumab (anti-IL
5), have shown differences in their blood eosinophil (EOS) response. To better
understand these effects, we developed a mathematical model of EOS dynamics. For
the anti-IL-13 therapies, lebrikizumab and tralokinumab, the model predicted an
increase of 30% and 10% in total and activated EOS in the blood, respectively,
and a decrease in the total and activated EOS in the airways. The model predicted
a rapid decrease in total and activated EOS levels in blood and airways for the
anti-IL-5 therapy mepolizumab. All model-based predictions were consistent with
published clinical observations. The modeling approach provided insights into EOS
response after treatment with Th2 -targeted therapies, and supports the
hypothesis that an increase in blood EOS after anti-IL-13 therapy is part of the
pharmacological action of these therapies.
PMID- 27885830
TI - Extended dual antiplatelet therapy after acute coronary syndrome in Spain:
Results from the EPICOR study.
AB - INTRODUCTION: Real-world, country-specific studies of dual antiplatelet therapy
(DAPT) duration among survivors of acute coronary syndrome (ACS) are important
for improving long-term prognosis. AIMS: To investigate DAPT duration after
hospital discharge for ACS in Spain. RESULTS: Data from patients enrolled in the
Spanish cohort of the EPICOR (long-tErm follow-up of antithrombotic management
Patterns In acute CORonary syndrome patients) study (NCT01171404) were analyzed
for changes to antithrombotic medication up to 2 years postdischarge according to
index event diagnosis and patient characteristics. Deaths, coronary events, and
bleeding events were analyzed over the same period. Overall, a high proportion of
patients remained on DAPT at 2 years (53.1%). Among patients who experienced any
on-treatment bleeding event, almost two-thirds remained on DAPT at the end of
follow-up. Patients >65 years, diabetic, or those that were medically managed
were more likely to continue with DAPT until 2 years following discharge. At 2
years, the incidence of bleeding events requiring hospitalization was low
compared with the incidence of coronary events (1.4% vs 6.6%). There was a
numerical reduction in coronary events, but no increase in bleeding events, with
DAPT continuation compared with single antiplatelet therapy. CONCLUSIONS: More
than half of patients in this unselected cohort study remained on DAPT at 2 years
following discharge for ACS. Continuation with DAPT was greater among patients
with additional cardiovascular risk factors, which suggests that treating
physicians in Spain prioritizes ischemic risk reduction over bleeding risk in
patients with ACS, according to patient's risk profile.
PMID- 27885829
TI - Autoclave Sterilization of PEDOT:PSS Electrophysiology Devices.
AB - Autoclaving, the most widely available sterilization method, is applied to
poly(3,4-ethylenedioxythiophene) doped with polystyrene sulfonate (PEDOT:PSS)
electrophysiology devices. The process does not harm morphology or electrical
properties, while it effectively kills E. coli intentionally cultured on the
devices. This finding paves the way to widespread introduction of PEDOT:PSS
electrophysiology devices to the clinic.
PMID- 27885832
TI - Defining pediatric inpatient cardiology care delivery models: A survey of
pediatric cardiology programs in the USA and Canada.
AB - BACKGROUND: The treatment of children with cardiac disease is one of the most
prevalent and costly pediatric inpatient conditions. The design of inpatient
medical services for children admitted to and discharged from noncritical
cardiology care units, however, is undefined. North American Pediatric Cardiology
Programs were surveyed to define noncritical cardiac care unit models in current
practice. METHOD: An online survey that explored institutional and functional
domains for noncritical cardiac care unit was crafted. All questions were multi
choice with comment boxes for further explanation. The survey was distributed by
email four times over a 5-month period. RESULTS: Most programs (n = 45, 60%)
exist in free-standing children's hospitals. Most programs cohort cardiac
patients on noncritical cardiac care units that are restricted to cardiac
patients in 39 (54%) programs or restricted to cardiac and other subspecialty
patients in 23 (32%) programs. The most common frontline providers are
categorical pediatric residents (n = 58, 81%) and nurse practitioners (n = 48,
67%). However, nurse practitioners are autonomous providers in only 21 (29%)
programs. Only 33% of programs use a postoperative fast-track protocol. When
transitioning care to referring physicians, most programs (n = 53, 72%) use
facsimile to deliver pertinent patient information. Twenty-two programs (31%) use
email to transition care, and eighteen (25%) programs use verbal communication.
CONCLUSION: Most programs exist in free-standing children's hospitals in which
the noncritical cardiac care units are in some form restricted to cardiac
patients. While nurse practitioners are used on most noncritical cardiac care
units, they rarely function as autonomous providers. The majority of programs in
this survey do not incorporate any postoperative fast-track protocols in their
practice. Given the current era of focused handoffs within hospital systems,
relatively few programs utilize verbal handoffs to the referring pediatric
cardiologist/pediatrician.
PMID- 27885831
TI - A Bioinspired Ultraporous Nanofiber-Hydrogel Mimic of the Cartilage Extracellular
Matrix.
AB - A true biomimetic of the cartilage extracellular matrix (ECM) could greatly
contribute to our ability to regenerate this tissue in a mechanically demanding,
often inflamed environment. Articular cartilage is a composite tissue made of
cells and fibrillar proteins embedded in a hydrophilic polymeric meshwork. Here,
a polyanionic functionalized alginate is used to mimic the glycosaminoglycan
component of the native ECM. To create the fibrillar component,
cryoelectrospinning of poly(epsilon-caprolactone) on a -78 degrees C mandrel,
subsequently treated by O2 plasma, is used to create a stable, ultraporous and
hydrophillic nanofiber network. In this study, cell-laden, fiber-reinforced
composite scaffolds thicker than 1.5 mm can be created by infiltrating a
chondrocyte/alginate solution into the fiber mesh, which is then physically cross
linked. The fibrillar component significantly reinforces the chondroinductive,
but mechanically weak sulfated alginate hydrogels. This allows the production of
a glycosaminoglycan- and collagen type II-rich matrix by the chondrocytes as well
as survival of the composite in vivo. To further enhance the system, the
electrospun component is loaded with dexamethasone, which protected the cells
from an IL-1beta-mediated inflammatory insult.
PMID- 27885833
TI - Identification of the novel HLA-DRB1*08:69 allele by polymerase chain reaction
sequence-based typing in a Chinese cord blood donor.
AB - HLA-DRB1*08:69 has one nucleotide change from HLA-DRB1*08:03:02 at position 262
G>A.
PMID- 27885834
TI - Presence of an Immune System Increases Anti-Tumor Effect of Ag Nanoparticle
Treated Mice.
AB - To date, most nanomedical studies rely on the use of immune-deficient mice in
which the contribution of the immune system on the applied therapy is ignored.
Here, the degradation of silver nanoparticles (Ag NPs) is exploited as a means to
treat subcutaneous tumor models in mice. To investigate the impact of the immune
system, the same tumor cell type (KLN 205 murine squamous cell carcinoma) is used
in a xenograft model in NOD SCIDgamma immune-deficient mice and as a syngeneic
model in immune-competent DBA/2 mice. The Ag NPs are screened for their
cytotoxicity on various cancer cell lines, indicating a concentration-dependent
induction of oxidative stress, mitochondrial damage, and autophagy on all cell
types tested. At subcytotoxic concentrations, prolonged cellular exposure to the
Ag NPs results in toxicity due to NP degradation and the generation of toxic Ag+
ions. At subcytotoxic conditions, the NPs are found to cause inflammation in
vitro. Similar results are obtained in the immune-competent mouse model, where
clear inflammation is observed after treatment of the implanted tumors with Ag
NPs. This inflammation leads to an ongoing antitumoral effect, which results in a
significantly reduced tumor growth compared to Ag NP-treated tumors in an immune
deficient model.
PMID- 27885835
TI - Sequential Enzymatic Conversion of alpha-Angelica Lactone to gamma-Valerolactone
through Hydride-Independent C=C Bond Isomerization.
AB - A case of hydride-independent reaction catalyzed by flavin-dependent ene
reductases from the Old Yellow Enzyme (OYE) family was identified. alpha-Angelica
lactone was isomerized to the conjugated beta-isomer in a nicotinamide-free and
hydride-independent process. The catalytic cycle of C=C bond isomerization
appears to be flavin-independent and to rely solely on a deprotonation
reprotonation sequence through acid-base catalysis. Key residues in the enzyme
active site were mutated and provided insight on important mechanistic features.
The isomerization of alpha-angelica lactone by OYE2 in aqueous buffer furnished
6.3 mm beta-isomer in 15 min at 30 degrees C. In presence of nicotinamide
adenine dinucleotide (NADH), the latter could be further reduced to gamma
valerolactone. This enzymatic tool was successfully applied on semi-preparative
scale and constitutes a sustainable process for the valorization of platform
chemicals from renewable resources.
PMID- 27885836
TI - Texture analysis of medical images for radiotherapy applications.
AB - The high-throughput extraction of quantitative information from medical images,
known as radiomics, has grown in interest due to the current necessity to
quantitatively characterize tumour heterogeneity. In this context, texture
analysis, consisting of a variety of mathematical techniques that can describe
the grey-level patterns of an image, plays an important role in assessing the
spatial organization of different tissues and organs. For these reasons, the
potentiality of texture analysis in the context of radiotherapy has been widely
investigated in several studies, especially for the prediction of the treatment
response of tumour and normal tissues. Nonetheless, many different factors can
affect the robustness, reproducibility and reliability of textural features, thus
limiting the impact of this technique. In this review, an overview of the most
recent works that have applied texture analysis in the context of radiotherapy is
presented, with particular focus on the assessment of tumour and tissue response
to radiations. Preliminary, the main factors that have an influence on features
estimation are discussed, highlighting the need of more standardized image
acquisition and reconstruction protocols and more accurate methods for region of
interest identification. Despite all these limitations, texture analysis is
increasingly demonstrating its ability to improve the characterization of
intratumour heterogeneity and the prediction of clinical outcome, although
prospective studies and clinical trials are required to draw a more complete
picture of the full potential of this technique.
PMID- 27885837
TI - Imaging findings of flexion type of hangman's fracture; an attempt for a more
objective evaluation with newly introduced scoring system.
AB - OBJECTIVE: To identify the flexion type of hangman's fracture on imaging studies.
METHODS: 38 cases of hangman's fracture were retrospectively studied and
categorized into flexion and non-flexion groups. Plain radiograph, CT and MRI of
these patients were evaluated; 13 radiological parameters that might define
flexion injuries were measured. The data were statistically analyzed to identify
good criteria and to rank them according to their importance in predicting
flexion. RESULTS: Seven radiological criteria that have the highest correlation
with flexion injury were identified. These are C2-3 lower end-plate angle, C2-3
posterior body angle, interspinous angle, disc disruption (MRI), widening of
interspinous distance, disruption of the posterior ligamentous complex (MRI) and
angle at the fracture site. Scoring 1 point for each positive criterion, a total
score of 4 predicts flexion injury with 100% sensitivity and 96.9% specificity.
Score of 5 has 83.3% sensitivity and 100% specificity. CONCLUSION: Flexion
hangman's injury can be diagnosed by the presence of four out of seven
radiological criteria in the newly introduced scoring system. The authors believe
that this method may help spinal surgeons in their selection of therapeutic
strategy. Advances in knowledge: This study introduces fast, simple and more
objective imaging criteria for the diagnosis of flexion hangman's injury and
separates it from the non-flexion pattern.
PMID- 27885838
TI - Osimertinib for EGFR T790M mutation-positive non-small cell lung cancer.
AB - INTRODUCTION: Significant advances have been made since the development of
epidermal growth factor receptor tyrosine kinase inhibitors (EGFR-TKIs) targeting
EGFR mutations in non-small-cell lung cancer (NSCLC), however, lung cancer cells
eventually acquire resistance to those agents. Osimertinib (AZD9291) has been
developed as 3rd generation EGFR-TKI with activities against sensitizing
mutations and T790 M resistance mutation, which account for about 50% of the
mechanisms of acquired resistance to 1st or 2nd generation EGFR-TKIs. A recent
phase I/II clinical trial with osimertinib for advanced NSCLC patients with known
sensitizing EGFR mutations and documented disease progression on prior EGFR-TKIs
revealed promising effect with acceptable toxicities. Areas covered: This article
summarizes current understanding and available preclinical and clinical data on
osimertinib and also discusses future directions. The literature search included
PubMed and the latest articles from international conferences. Expert commentary:
The development of osimertinib has provided new therapeutic options for NSCLC
patients harboring T790 M. Compared with other EGFR-TKIs including rociletinib,
osimertinib seems to possess an advantage with respect to the effect and safety
profile among existing EGFR-TKIs. However, tumor progression still occurs even
when treating with osimertinib. A further understanding of the mechanisms of
resistance is eagerly anticipated in order to develop next generation EGFR-TKIs.
PMID- 27885839
TI - The association of plasma miR-155 and VCAM-1 levels with coronary collateral
circulation.
AB - AIM: Inflammation plays an important role in development of coronary collateral
circulation (CCC). The aim of this study is to determine whether the inflammation
related miRNA miR-155 and the inflammation marker VCAM-1 could be a biomarker for
CCC. PATIENTS & METHODS: We measured levels of plasma VCAM-1 and miR-155 in
patients with CCC according to Rentrop grade by ELISA or real-time polymerase
chain reaction, respectively (n = 112). RESULTS: Plasma miR-155 was negatively
correlated with VCAM-1 in the poor CCC group and with Rentrop grade in all
patients with CCC. In addition, plasma VCAM-1 was significantly decreased in CAD
patients with CCC. CONCLUSION: Plasma miR-155 might be a potent independent
predictor of collateral formation.
PMID- 27885840
TI - Factors associated with the reduction of albumin excretion in diabetic
hypertensive patients: differential effect of manidipine versus amlodipine.
AB - AIMS: In AMANDHA trial, the addition of manidipine, but not amlodipine, in
diabetic patients with uncontrolled hypertension, microalbuminuria and preserved
renal function resulted in a large decrease of urinary albumin excretion (UAE)
despite similar blood pressure (BP) reductions. Factors associated with the
reduction of UAE were analyzed. METHODS: For this purpose, a multivariable
analysis was performed. RESULTS: Although after 6 months of treatment, manidipine
and amlodipine decreased BP to a similar extent, reductions of UAE were higher
with manidipine. The assigned treatment, changes in mean BP, sympathetic tone and
glycemic control were associated with changes in UAE. CONCLUSION: The assigned
treatment, changes in mean BP, sympathetic tone and glycemic control were
independently associated with changes in UAE. Compared with amlodipine,
manidipine reduced UAE to a higher extent, independently of BP reduction.
PMID- 27885841
TI - The utility of saliva for the assessment of anti-pneumococcal antibodies:
investigation of saliva as a marker of antibody status in serum.
AB - CONTEXT: Salivary antibodies may act as non-invasive marker of systemic immunity
enabling assessment of vaccination and protection against bacterial infections.
OBJECTIVE: To assess if levels of anti-pneumococcal (Pn) antibodies in saliva
reflect concentrations in serum and determine whether saliva can accurately
identify protective concentrations in serum. METHODS: IgG, IgA and IgM antibody
levels in paired saliva and serum samples were measured against 12 Pn
polysaccharide antigens in 72 healthy adults. RESULTS: Antibody levels in saliva
correlated positively with serum across immunoglobulin classes, most strongly for
IgA. Individuals who had protective antibody levels in serum demonstrated
significantly higher IgG and IgA salivary antibody concentrations/secretion
rates. Salivary IgG and IgA Pn antibodies were able to distinguish between those
with/without protective levels in serum for the majority of serotypes. Salivary
IgM antibodies were not able to differentiate protective status. Median IgG and
IgA Pn salivary parameters were able to identify individuals who had protective
levels in serum on >=8/12 serotypes with moderate accuracy: median IgA secretion
rates provided the best sensitivity (73%) and specificity (71%). CONCLUSIONS:
These findings suggest that IgG and IgA Pn specific antibodies in saliva may be
useful surrogate markers of antibody status in serum.
PMID- 27885842
TI - Crucial role of noncoding RNA in driving prostate cancer development and
progression.
PMID- 27885843
TI - Current evaluation and management of anemia in patients with inflammatory bowel
disease.
AB - INTRODUCTION: Anemia is a common extraintestinal manifestation in IBD patients
and considerably impacts disease prognosis, hospitalization rates and time lost
from work. While iron deficiency anemia is predominant, combinations of
hematimetric and biochemical markers enable detection and targeted therapy of
other etiologies including vitamin B12/folic acid deficiencies, hemolysis,
myelosuppression and pharmacotherapies. Areas covered: Current literature was
searched for articles focusing on etiology, diagnostics and therapy of anemia in
IBD. In the light of their own experience, the authors describe the physiology of
anemia in IBD and present current evidence endorsing diagnostic and therapeutic
options, focusing particularly on non-iron-related etiologies. Expert commentary:
Anemia in IBD is polyetiological, reaching far beyond iron deficiency anemia.
While clinicians need to be aware of the increasing pallet of diagnostic tools
and therapeutic options, detailed studies are needed to develop more convenient
test procedures, long-term treatment and monitoring strategies, and unified
guidelines for daily practice.
PMID- 27885844
TI - Guidance to manage inappropriate polypharmacy in older people: systematic review
and future developments.
AB - INTRODUCTION: Single disease state led evidence-based guidelines do not provide
sufficient coverage of issues of multimorbidities, with the cumulative impact of
recommendations often resulting in overwhelming medicines burden. Inappropriate
polypharmacy increases the likelihood of adverse drug events, drug interactions
and non-adherence. Areas covered: A detailed description of a pan-European
initiative, 'Stimulating Innovation Management of Polypharmacy and Adherence in
the Elderly, SIMPATHY', which is a project funded by the European Commission to
support innovation across the European Union. This includes a systematic review
of the literature aiming to summarize and review critically current policies and
guidelines on polypharmacy management in older people. The policy driven,
evidence-based approach to managing inappropriate polypharmacy in Scotland is
described, with consideration of a change management strategy based on Kotter's
eight step process for leading sustainable change. Expert opinion: The challenges
around promoting appropriate polypharmacy are on many levels, primarily clinical,
organisational and political, all of which any workable solution will need to
address. To be effective, safe and efficient, any programme that attempts to deal
with the complexities of prescribing in this population must be patient-centred,
clinically robust, multidisciplinary and designed to fit into the healthcare
system in which it is delivered.
PMID- 27885847
TI - Receptor-specific TRAIL as a means to achieve targeted elimination of activated
hepatic stellate cells.
AB - Activated hepatic stellate cells (HSCs) are known to play a central role in liver
fibrosis and their elimination is a crucial step toward the resolution and
reversion of liver fibrosis. Tumor necrosis factor-related apoptosis-inducing
ligand (TRAIL) is a molecule that may contribute to the apoptotic removal of
activated HSC through binding to its dedicated receptors. In the present study,
we investigated the potential application of recombinant receptor-specific TRAIL
proteins in the efficient elimination of activated HSCs. Our finding revealed
differential contribution of TRAIL receptors among HSCs populations with
activated hepatic stellate cells expresses more TRAIL receptors DR5. In vitro
treatment of activated HSCs with DR5-specific or wild-type TRAIL variants induced
a significant reduction in viability and extracellular matrix production, whereas
no significant decrease in viability was associated with the treatment of cells
by DR4-specific TRAIL. Our analysis indicate the successful application of the
DR5 receptor-specific TRAIL variant in the targeted elimination of activated HSCs
via interference with collagen production and simultaneous induction of apoptosis
via activation of the caspase pathway. DR5 receptor-specific TRAIL may thus
represent a new therapeutic compound for the treatment of liver fibrosis.
PMID- 27885846
TI - Big endothelin-1 level is a useful marker for predicting the presence of isolated
coronary artery ectasia.
AB - CONTEXT: Endothelin-1(ET-1) has been implicated in coronary artery disease (CAD)
and may be associated with coronary artery ectasia (CAE). OBJECTIVE: To clarify
the relationship between big ET-1 and isolated CAE. METHODS: We measured big ET-1
with ELISA in 216 patients (CAE, n = 72; CAD, n = 72; normal, n = 72) and
evaluated the link with isolated CAE. RESULTS: The level of plasma big ET-1 was
significantly higher in patients with isolated CAE (p < 0.001). Big ET-1 was
strongly and independently associated with CAE by multivariate analysis (OR
95%CI: 1.026 (1.018-1.034), p = 0.000). CONCLUSIONS: Big ET-1 may be a useful
predictor for the presence of isolated CAE.
PMID- 27885848
TI - Conveying a newly designed hydrophilic anti-human thymidylate synthase peptide to
cisplatin resistant cancer cells: are pH-sensitive liposomes more effective than
conventional ones?
AB - CONTEXT: LR-peptide, a novel hydrophilic peptide synthetized and characterized in
previous work, is able to reduce the multi-drug resistance response in cisplatin
(cDPP) resistant cancer cells by inhibiting human thymidylate synthase (hTS)
overexpressed in several tumors, including ovarian and colon-rectal cancers, but
it is unable to enter the cells spontaneously. OBJECTIVE: The aim of this work
was to design and characterize liposomal vesicles as drug delivery systems for
the LR peptide, evaluating the possible benefits of the pH-responsive feature in
improving intracellular delivery. MATERIALS AND METHODS: For this purpose,
conventional and pH-sensitive liposomes were formulated, compared regarding their
physical-chemical properties (size, PDI, morphology, in vitro stability and drug
release) and studied for in vitro cytotoxicity against a cDDP-resistant cancer
cells. RESULTS AND DISCUSSION: Results indicated that LR peptide was successfully
encapsulated in both liposomal formulations but at short incubation time only LR
loaded pH-sensitive liposomes showed cell inhibition activity while for long
incubation time the two kinds of liposomes demonstrated the same efficacy.
CONCLUSIONS: Data provide evidence that acidic pH-triggered liposomal delivery is
able to significantly reduce the time required by the systems to deliver the drug
to the cells without inducing an enhancement of the efficacy of the drug.
PMID- 27885849
TI - DNA methylation at diagnosis is associated with response to disease-modifying
drugs in early rheumatoid arthritis.
AB - AIM: A proof-of-concept study to explore whether DNA methylation at first
diagnosis is associated with response to disease-modifying antirheumatic drugs
(DMARDs) in patients with early rheumatoid arthritis (RA). PATIENTS & METHODS:
DNA methylation was quantified in T-lymphocytes from 46 treatment-naive patients
using HumanMethylation450 BeadChips. Treatment response was determined in 6
months using the European League Against Rheumatism (EULAR) response criteria.
RESULTS: Initial filtering identified 21 cytosine-phosphate-guanines (CpGs) that
were differentially methylated between responders and nonresponders. After
conservative adjustment for multiple testing, six sites remained statistically
significant, of which four showed high sensitivity and/or specificity (>=75%) for
response to treatment. Moreover, methylation at two sites in combination was the
strongest factor associated with response (80.0% sensitivity, 90.9% specificity,
AUC 0.85). CONCLUSION: DNA methylation at diagnosis is associated with disease
modifying antirheumatic drug treatment response in early RA.
PMID- 27885850
TI - Detour sign in the diagnosis of subluxation of the long head of the biceps tendon
with arthroscopic correlation.
AB - OBJECTIVE: To determine whether detection of the detour sign via MRI indicates
subluxation of the long head of the biceps tendon (SLBT) in the shoulder joint
and to investigate the association of SLBT with the degeneration of the long head
of the biceps tendon (LBT) and rotator cuff tears. METHODS: This retrospective
study included 65 patients with shoulder pain who underwent shoulder MRI and
arthroscopic surgery. When axial images revealed that the LBT was displaced over
the inner rim of the bicipital groove with some remaining contact with the groove
(Criterion 1), or demonstrated a "detour sign" of the biceps tendon (Criterion
2), the lesion was diagnosed as an SLBT. Shoulder arthroscopy was used as the
reference standard. RESULTS: Arthroscopy identified SLBT in 18 patients. When the
MRI diagnosis was based on Criterion 1 alone, SLBT was diagnosed with a
sensitivity of 44.4-55.6% and 75.4-80% accuracy. However, when the MRI diagnosis
was based on Criteria 1 plus 2, SLBT was diagnosed with a sensitivity of 83.3
94.4% and 78.5-81.5% accuracy. There was a significant difference (p < 0.05) in
the diagnostic sensitivity of Criteria 1 and 2. CONCLUSION: The detour sign based
on axial MRI may be regarded an additional useful anatomical feature that
improves the diagnostic performance of MRI in the identification of SLBT lesions.
Advances in knowledge: Recognition of the detour sign may enhance the diagnostic
performance of the conventional MRI protocol over the MR arthrography protocol
for SLBT.
PMID- 27885845
TI - DNA methylation in systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is a systemic autoimmune disease facilitated
by aberrant immune responses directed against cells and tissues, resulting in
inflammation and organ damage. In the majority of patients, genetic
predisposition is accompanied by additional factors conferring disease
expression. While the exact molecular mechanisms remain elusive, epigenetic
alterations in immune cells have been demonstrated to play a key role in disease
pathogenesis through the dysregulation of gene expression. Since epigenetic marks
are dynamic, allowing cells and tissues to differentiate and adjust, they can be
influenced by environmental factors and also be targeted in therapeutic
interventions. Here, we summarize reports on DNA methylation patterns in SLE,
underlying molecular defects and their effect on immune cell function. We discuss
the potential of DNA methylation as biomarker or therapeutic target in SLE.
PMID- 27885851
TI - Is anti-inflammatory radiotherapy an effective treatment in trochanteritis?
AB - OBJECTIVE: To evaluate the analgesic efficacy of low-dose radiotherapy in
refractory cases of trochanteritis. METHODS: We evaluated a total of 60
consecutive patients who received low-dose radiotherapy to achieve an anti
inflammatory and analgesic effect for recurrent trochanteritis following scarce
response to conventional therapy. All patients were evaluated at baseline (prior
to radiotherapy) and at 1 and 4 months after radiotherapy and then yearly
thereafter for pain assessment using a visual analogue scale (VAS) and to
determine the administration of analgesic treatment. RESULTS: An improvement in
the symptomatology was observed in 62% of the patients with a significant
reduction in the VAS (8 +/- 2 vs 4 +/- 2; p < 0.0001), which was largely
maintained until the second evaluation at 4 months. In the cases responding to
radiotherapy, the probability of maintaining improvement beyond 24 months was
70%. CONCLUSION: Low-dose anti-inflammatory radiation may be used in the
treatment of the recurrent cases of relapse or no response of trochanteritis to
conventional treatments, with a high probability of remission of pain. These
preliminary results indicate the need for evaluating the use of radiotherapy in
patients with trochanteritis refractory to conventional treatment in a long-term
controlled study. Advances in knowledge: Radiotherapy provides effective
analgesic treatment for patients refractory to standard treatment for
trochanteritis.
PMID- 27885852
TI - Video-assisted thoracic surgery techniques for lung cancer: which is better?
PMID- 27885854
TI - Gossypibomas, a surgeon's nightmare-patient demographics, risk factors, imaging
and how we can prevent it.
AB - OBJECTIVE: Gossypibomas are a cottonoid matrix left behind following surgery.
Owing to the legal issues associated with it, very few literature studies are
available online, most of them being case reports. The purpose of our study was
to identify the patient demographics, risk factors and imaging features. METHODS:
Six surgically identified and histopathologically confirmed cases of gossypibomas
recorded over a period of 5 years from a single tertiary institution were
retrospectively evaluated for patient demographics [sex, age, body mass index
(BMI)], type of surgery and duration from time of surgery to onset of symptoms.
Ultrasound and CT images obtained from our hospital database were evaluated for
their characteristic pattern. Statistics used included percentage and frequency.
RESULTS: Females formed the bulk of our patients and the mean BMI of our patients
was 24.25. The interval between surgery and symptom presentation ranged from 2
months to 7 years. The most common imaging patterns observed on ultrasound and CT
were a thick-walled hypoechoic lesion with a strong posterior acoustic shadowing
and a "spongiform pattern", respectively. CONCLUSION: A detailed patient history,
taking into account radiologist-surgeon interaction, along with familiarization
of the various risk factors and imaging patterns can bring about an accurate
diagnosis of a gossypiboma. Advances in knowledge: Our study showed that the
female sex, especially those undergoing gynaecology-related surgery such as
hysterectomy and patients with a high BMI were at risk of gossypibomas. The
combination of a hypoechoic lesion with strong posterior shadowing on ultrasound
along with a spongiform pattern on CT was highly characteristic for gossypiboma
on imaging.
PMID- 27885853
TI - Nomogram for radiation-induced hypothyroidism prediction in nasopharyngeal
carcinoma after treatment.
AB - OBJECTIVE: The aim of this study was to develop a nomogram for radiation-induced
hypothyroidism (RHT) prediction. METHODS: We collected data from 164 patients
with nasopharyngeal carcinoma (NPC) in our previous prospective study.
Biochemical hypothyroidism was defined as a serum thyroid-stimulating hormone
level greater than the normal value. We collected both clinical and dose-volume
factors. A univariate Cox regression analysis was performed to identify RHT risk
factors. Optimal predictors were selected according to the least absolute
shrinkage and selection operator (LASSO). We then selected the Cox regression
models that best balanced the prediction performance and practicability to build
a nomogram for RHT prediction. RESULTS: There were 38 (23.2%) patients who
developed RHT, and the median follow-up was 24 months. The univariate Cox
regression analysis indicated that gender, minimum dose, mean dose (Dmean) and
V25-V60 [Vx (%), the percentage of thyroid volume receiving >x Gy] of the thyroid
were significantly associated with RHT. The variables of gender, receiving
chemotherapy or not (chemo), Dmean and V50 were selected using the LASSO
analysis. A nomogram based on a three-variable (gender, chemo and V50) Cox
regression model was constructed, and its concordance index was 0.72. Good
accordance between prediction and observation was showed by calibration curves in
the probability of RHT at 18, 24 and 30 months. CONCLUSION: This study built a
nomogram for RHT in NPC survivors by analyzing both clinical and dose-volume
parameters using LASSO. Thus, the individual dose constraint could be achieved in
a visual format. Advances in knowledge: This study used LASSO to more accurately
address the multicollinear problem between variables. The resulting nomogram will
help physicians predict RHT.
PMID- 27885855
TI - Frameless stereotactic volumetric modulated arc radiotherapy of brachial plexus
tumours in dogs: 10 cases.
AB - OBJECTIVE: Treatment of canine peripheral nerve sheath tumours (PNSTs) is
challenging and prognosis after surgical resection is considered poor. The aim of
this study was to evaluate the feasibility and effectiveness of stereotactic
radiotherapy (RT) of these tumours. METHODS: 10 dogs with clinical symptoms and
MRI findings consistent with PNSTs of the brachial plexus, branches and nerve
roots were treated with linear accelerator-based volumetric-modulated arc
radiotherapy (VMAT) with a dose of 35 Gy/5 fractions. Clinical and MRI follow-up
examinations were planned and radiotoxicity and survival times were investigated.
RESULTS: Tumours involved the plexus and proximal nerves in three dogs, the
plexus, proximal nerves and nerve roots in five dogs and the nerve roots and
proximal nerves in two dogs. Partial response and partial or complete reductions
of neurological deficits were observed in all the treated dogs. Local recurrence
was observed in 9/10 of treated dogs. No symptom directly referable to
radiotoxicity was observed. Mean overall survival of 371 +/- 30 days [95%
confidence interval (CI) of (315-427)] and mean progression-free survival of 240
+/- 30 days (95% CI of 188-291) from this work are comparable with surgical
literature data regarding the plexus and proximal nerve localization, but are
superior in comparison with nerve root localization. CONCLUSION: VMAT can be a
safe and viable alternative to surgery in cases of canine brachial plexus PNSTs
involving the proximal nerves and nerve roots. Advances in knowledge: To our
knowledge, this is the first prospective observational clinical study regarding
VMAT stereotactic RT treatment for canine brachial plexus PNSTs and suggests that
VMAT may achieve at least similar clinical outcome than surgery in a safer way.
PMID- 27885856
TI - Ankle impingement syndromes: an imaging review.
AB - Ankle impingement syndromes encompass a broad spectrum of post-traumatic and
chronic degenerative changes that present with pain on specific movements about
the ankle joint. Both amateur and professional athletes are disproportionately
affected by these conditions, and while conservative measures can potentially
treat an impingement syndrome, definitive therapy is often alleviated surgically.
Imaging (including conventional radiography, ultrasound, CT and MRI) plays an
invaluable role in the diagnosis and pre-surgical work-up. An anatomically based
classification system is useful in these syndromes, as the aetiology, sites of
pathology and preferred treatment methods are similarly based on anatomic
locations about the ankle. This review focuses on the anatomic locations,
pathophysiology, imaging considerations and brief discussion of therapies for
each of the major anatomic ankle impingement syndromes.
PMID- 27885857
TI - Dosimetric trade-offs in breast treatment with VMAT technique.
AB - OBJECTIVE: Breast planning with volumetric modulated arc therapy (VMAT) has been
explored, especially for left-sided breast treatments, with the primary intent of
lowering the heart dose and improving target dose homogeneity. As a trade-off,
larger healthy tissue volumes would receive low dose levels, with the potential
risk of increasing late toxicities and secondary cancer induction, although no
clinical data are available today to confirm the risk level. The scope of this
work is to explore the dosimetric trade-offs using two different VMAT plans.
METHODS: Two planning strategies for dual-partial-arc VMAT, RA_avoid and RA_full,
with and without avoidance sectors, were explored in a cohort of 20 patients, for
whole left breast irradiation for 40.05 Gy to the mean target dose in 15
fractions. Common dose objectives included a stringent dose homogeneity, mean
dose to the heart <5 Gy, ipsilateral lung (Ilung) <8 Gy, contralateral lung
(Clung) <2 Gy and contralateral breast (Cbreast) <3 Gy. RESULTS: RA_full showed a
better dose conformity, lower high-dose spillage in the healthy tissue and lower
skin dose. RA_avoid presented a reduction of the mean doses for all critical
structures: 51% to the heart, 12% to the Ilung, 81% to the Clung and 73% to the
Cbreast. All differences were significant with p < 0.0001. CONCLUSION: The
adaptation of VMAT options to planning objectives reduced significantly the
healthy tissue dose levels at the price of some high-dose spillage. Evaluation of
the trade-offs for application to the different critical structures should drive
in improving the usage of the VMAT technique for breast cancer treatment.
Advances in knowledge: Different planning strategies in the same VMAT technique
could give significant variations in dose distributions. The choice of the trade
offs would affect the possible future late toxicity and secondary cancer
induction risk.
PMID- 27885859
TI - Effect of 8 months of whole-body vibration training on quality of life in elderly
women.
AB - Whole-body vibration (WBV) training in elderly may improve muscle strength,
muscle power and postural control. However, knowledge about the effect of WBV
training in elderly on measures of health as a multidimensional construct (health
related quality of life, HRQoL) is scarce. The present study aimed to determine
the effects of WBV training on HRQoL in elderly women. A total of 37 women (aged
82.4 +/- 5.7 years) were recruited and were assigned to either the WBV group or
to the control (CON) group. After 8 months of training, the WBV group showed non
significant changes on HRQoL and additional health-related outcomes (fall risk,
life satisfaction or cognitive status). Our findings are in disagreement with
previous studies of shorter duration (6 weeks), which reported positive
significant changes in HRQoL in elderly people. Discrepancies among studies may
be partly attributed to methodological differences, but the existence of
publication bias in previous studies cannot be discarded.
PMID- 27885858
TI - A systematic review of outcomes following stereotactic ablative radiotherapy in
the treatment of early-stage primary lung cancer.
AB - Stereotactic ablative body radiotherapy (SABR) describes a radiotherapy (RT)
technique where high doses of radiation are precisely delivered to an
extracranial target within the body, using either a single fraction of RT or
using multiple small numbers of fractions. SABR has now become the standard of
care treatment for patients with early-stage non-small-cell lung cancer (NSCLC)
for whom surgery is not appropriate. This systematic review considers the
evidence supporting the use of SABR in early-stage NSCLC, reported toxicity
rates, the use of SABR in centrally located NSCLC, the use of SABR as salvage
therapy following surgery or RT, and future potential drug combinations with
SABR.
PMID- 27885860
TI - Pioglitazone for the treatment of Alzheimer's disease.
AB - INTRODUCTION: Alzheimer's disease (AD) is the most common cause of dementia in
the elderly. Pharmacological treatment of AD includes Anticholinesterase
Inhibitors (AChEIs) for mild-moderate AD, and memantine for severe AD. These
drugs provide mainly symptomatic short-term benefits without clearly influencing
the progression of the disease. Pioglitazone (AD4833) is an insulin sensitizer of
the thiazolidinedione class of nuclear Peroxisome-Proliferator Activated Receptor
gamma (PPARgamma) agonists. It binds to PPARgamma, affecting gene transcription
and reducing inflammation. Areas covered: This review discusses the history of
Pioglitazone, its pharmacokinetics and pharmacodynamics profile, and safety
issues, together with an overview of clinical trials carried out so far. A
literature search was made in Pubmed for pioglitazone, AD, trial, and on the
ClinicalTrials.gov site for clinical trials with Pioglitazone. Expert opinion: A
Phase II study in AD, and its previous indication for diabetes, showed that
Pioglitazone is safe and well tolerated. So far, two large Phase III trials are
ongoing, but there are no preliminary results yet on a possible beneficial effect
on cognition in patients with AD.
PMID- 27885861
TI - Additional synthesis on thiophene-containing trisubstituted methanes (TRSMs) as
inhibitors of M. tuberculosis and 3D-QSAR studies.
AB - We earlier reported thiophene-containing trisubstituted methanes (TRSMs) as novel
cores carrying anti-tubercular activity, and identified S006-830 as the
phenotypic lead with potent bactericidal activity against single- and multi-drug
resistant clinical isolates of Mycobacterium tuberculosis (M. tb). In this work,
we carried out additional synthesis of several TRSMs. The reaction scheme
essentially followed the Grignard reaction and Friedel-Crafts alkylation,
followed by insertion of a dialkylaminoethyl chain. We also performed
microbiological evaluations including in vitro screening against the virulent
strain M. tb H37Rv, cytotoxicity assessment in the Vero C-1008 cell line, and 3D
QSAR studies with comparative molecular field analysis (CoMFA) and comparative
molecular similarity index analysis (CoMSIA). CoMFA and CoMSIA models yielded
good statistical results in terms of q2 and r2 values, suggesting the validity of
the models. It was concluded that a para-substituted benzene ring with bulkier
electron-donating groups and aminoalkyl chains are required for higher inhibitory
capacity against M. tuberculosis. We believe that these insights will rationally
guide the design of newer, optimal, TRSMs.
PMID- 27885862
TI - An automated curation procedure for addressing chemical errors and
inconsistencies in public datasets used in QSAR modelling.
AB - The increasing availability of large collections of chemical structures and
associated experimental data provides an opportunity to build robust QSAR models
for applications in different fields. One common concern is the quality of both
the chemical structure information and associated experimental data. Here we
describe the development of an automated KNIME workflow to curate and correct
errors in the structure and identity of chemicals using the publicly available
PHYSPROP physicochemical properties and environmental fate datasets. The workflow
first assembles structure-identity pairs using up to four provided chemical
identifiers, including chemical name, CASRNs, SMILES, and MolBlock. Problems
detected included errors and mismatches in chemical structure formats,
identifiers and various structure validation issues, including hypervalency and
stereochemistry descriptions. Subsequently, a machine learning procedure was
applied to evaluate the impact of this curation process. The performance of QSAR
models built on only the highest-quality subset of the original dataset was
compared with the larger curated and corrected dataset. The latter showed
statistically improved predictive performance. The final workflow was used to
curate the full list of PHYSPROP datasets, and is being made publicly available
for further usage and integration by the scientific community.
PMID- 27885863
TI - Progress and pitfalls in finding the 'missing proteins' from the human proteome
map.
AB - INTRODUCTION: The Human Proteome Project was launched with two main goals: the
comprehensive and systematic definition of the human proteome map and the
development of ready to use analytical tools to measure relevant proteins in
their biological context in health and disease. Despite the great progress in
this endeavour, there is still a group of reluctant proteins with no, or scarce,
experimental evidence supporting their existence. These are called the 'missing
proteins' and represent one of the biggest challenges to complete the human
proteome map. Areas covered: This review focuses on the description of the
missing proteome based on the HUPO standards, the analysis of the reasons
explaining the difficulty of detecting missing proteins and the strategies
currently used in the search for missing proteins. The present and future of the
quest for the missing proteins is critically revised hereafter. Expert
commentary: An overarching multidisciplinary effort is currently being done under
the HUPO umbrella to allow completion of the human proteome map. It is expected
that the detection of missing proteins will grow in the coming years since the
methods and the best tissue/cell type sample for their search are already on the
table.
PMID- 27885864
TI - Probabilistic treatment planning for pancreatic cancer treatment: prospective
incorporation of respiratory motion shows only limited dosimetric benefit.
AB - BACKGROUND: We introduced a probabilistic treatment planning approach that
prospectively incorporates respiratory-induced motion in the treatment plan
optimization. The aim of this study was to determine the potential dosimetric
benefit by comparing this approach to the use of an internal target volume (ITV).
MATERIAL AND METHOD: We retrospectively compared the probabilistic respiratory
motion-incorporated (RMI) approach to the ITV approach for 18 pancreatic cancer
patients, for seven simulated respiratory amplitudes from 5 to 50 mm in the
superior-inferior (SI) direction. For each plan, we assessed the target coverage
(required: D98%>=95% of 50 Gy prescribed dose). For the RMI plans, we
investigated whether target coverage was robust against daily variations in
respiratory amplitude. We determined the distance between the clinical target
volume and the 30 Gy isodose line (i.e. dose gradient steepness) in the SI
direction. To investigate the clinical benefit of the RMI approach, we created
for each patient an ITV and RMI treatment plan for the three-dimensional (3D)
respiratory amplitudes observed on their pretreatment 4D computed tomography
(4DCT). We determined Dmean, V30Gy, V40Gy and V50Gy for the duodenum. RESULTS:
All treatment plans yielded good target coverage. The RMI plans were robust
against respiratory amplitude variations up to 10 mm, as D98% remained >=95%. We
observed steeper dose gradients compared to the ITV approach, with a mean
decrease from 25.9 to 19.2 mm for a motion amplitude of 50 mm. For the 4DCT
motion amplitudes, the RMI approach resulted in a mean decrease of 0.43 Gy, 1.1
cm3, 1.4 cm3 and 0.9 cm3 for the Dmean, V30Gy, V40Gy and V50Gy of the duodenum,
respectively. CONCLUSION: The probabilistic treatment planning approach yielded
significantly steeper dose gradients and therefore significantly lower dose to
surrounding healthy tissues than the ITV approach. However, the observed
dosimetric gain for clinically observed respiratory motion amplitudes for this
patient group was limited.
PMID- 27885865
TI - Delivering phage therapy per os: benefits and barriers.
AB - INTRODUCTION: Multidrug-resistant bacterial infections of the gastrointestinal
tract pose a serious public health concern. High levels of antibiotic drug
resistance, along with the potential for antibiotics to precipitate disease or
alter the gut microbiome has prompted research into alternative treatment
methods. Evidence suggests that bacteriophage therapy delivered per os may be
well-suited to target such infections. Areas covered: Herein, we discuss the
specific advantages and challenges of using orally administered phage therapy.
Our literature review encompasses recent works using phages to target various
clinically-relevant bacteria in vivo. We also provide insights into methods that
aim to overcome the barriers to effective phage transit through the harsh
gastrointestinal environment. Expert commentary: Evidence from a number of in
vivo animal studies suggests that targeting bacterial infections using phages
delivered orally holds potential. Efficacious oral phage therapy depends on the
delivery of sufficient phage titers to the infection site, which may be hindered
by the host's gastrointestinal tract and immune response.
PMID- 27885866
TI - Thymic-derived tolerizing dendritic cells are upregulated in the spleen upon
treatment with intravenous immunoglobulin in a murine model of immune
thrombocytopenia.
AB - Immune thrombocytopenia (ITP) is an autoimmune bleeding disorder characterized by
low platelet counts. First-line treatment includes intravenous immunoglobulin
(IVIg), however, its working mechanism remains incompletely understood. We
investigated splenic and thymic dendritic cell (DC) subsets upon IVIg treatment
in a well-characterized active murine model of ITP. During active disease, there
was a significant peripheral deficiency of splenic tolerizing SIRPalpha+ DCs
which could be rescued by IVIg therapy, increasing platelet counts. These splenic
tolerizing DC changes were associated with an abrogation of the thymic-retention
of tolerizing DCs, suggesting that IVIg may raise platelet counts in ITP by
modulating peripheral numbers of tolerizing DCs.
PMID- 27885867
TI - Protein corona modulation of hepatocyte uptake and molecular mechanisms of gold
nanoparticle toxicity.
AB - Protein corona formation over gold nanoparticles (AuNP) can modulate cellular
responses by altering AuNP physicochemical properties. The liver plays an
essential role in metabolism, detoxification and elimination of xenobiotics and
drugs as well as circulating NP clearance. We investigated human hepatic uptake
of 40 and 80 nm AuNP with branched polyethylenimine (BPEI), lipoic acid (LA) and
polyethylene glycol (PEG) coatings as well as human plasma protein (HP) and human
serum albumin (HSA) coronas. AuNP-mediated cytotoxicity, reactive oxygen/reactive
nitrogen species (ROS/RNS), and CYP activity in human hepatocytes as well as
molecular mechanisms with 40 nm bare and HP BPEI-AuNP were investigated. Time
dependent increase in uptake occurred for all bare AuNP but HP and HSA decreased
uptake except for 40 nm HP PEG-AuNP. BPEI-AuNP showed time-and concentration
dependent increase in ROS/RNS which correlated with cytotoxicity at 24 h. HP
corona substantially reduced ROS/RNS. The 40 and 80 nm bare, HP or HSA LA- and
PEG-AuNP were not toxic but HP was as cytotoxic as bare BPEI-AuNP. All bare and
HP BPEI-AuNP, except for HP 80 nm BPEI-AuNP toward CYP1A2, inhibited CYP1A2,
CYP2C9 and CYP3A4 activity. Transcriptional profiling was dose-dependent with 40
nm bare BPEI-AuNP (1.9% genes at IC10 and 18.9% at LC50) and HP (23.5% at LC50).
Differentially expressed genes at LC50 were mainly involved in phase I metabolism
and phospholipidosis pathways. Cytotoxicity of bare BPEI-AuNP caused an
upregulation of antioxidant and pro-apoptotic genes. These studies contribute to
a better understanding of the dramatic effect of protein coronas (PC) on AuNP
cellular uptake, cytotoxicity and their underlying molecular mechanisms of
action.
PMID- 27885868
TI - A case report of iatrogenic cutaneous Kaposi sarcoma due to rituximab therapy for
thrombotic thrombocytopenic purpura.
PMID- 27885869
TI - Pre-market version of a commercially available hearing instrument with a tinnitus
sound generator: feasibility of evaluation in a clinical trial.
AB - OBJECTIVE: This report considers feasibility of conducting a UK trial of
combination devices for tinnitus, using data from the study which evaluated
different listener programmes available within the pre-market version of Oticon
Alta with Tinnitus Sound Generator. DESIGN: Open and closed questions addressed
the following feasibility issues: (1) Participant recruitment; (2) Device
acceptability; (3) Programme preferences in different self-nominated listening
situations; (4) Usability; (5) Compliance; (6) Adverse events. STUDY SAMPLE:
Eight current combination hearing aid users (all males) aged between 62-72 years
(mean age 67.25 years, SD = 3.8). RESULTS: All eight participants reported the
physical aspects and noise options on the experimental device to be acceptable.
Programmes with amplification and masking features were equally preferred over
the basic amplification-only programme. Individual preferences for the different
programme options varied widely, both across participants and across listening
situations. CONCLUSIONS: A set of recommendations for future trials were
formulated which calls for more "real world" trial design rather than tightly
controlling the fitting procedure.
PMID- 27885870
TI - Transanal total mesorectal excision for restorative coloproctectomy in an obese
high-risk patient with colitis-associated carcinoma.
AB - Transanal total mesorectal excision (TaTME) offers great potential for the
treatment of malign and benign diseases. However, laparoscopic-assisted TaTME in
ulcerative colitis has not been described in more than a handful of patients. We
present a 47-year-old highly comorbid female patient with an ulcerative colitis
associated carcinoma of the ascending colon and steroid- refractory pancolitis. A
two-stage restorative coloproctectomy including right-sided complete mesocolic
excision was conducted. The second step consisted of a successful nerve-sparing
TaTME and a handsewn ileal pouch-anal anastomosis. TaTME may extend the possible
treatment options in inflammatory bowel disease, especially for high-risk
patients.
PMID- 27885871
TI - Continuous prophylaxis with recombinant factor IX Fc fusion protein and
conventional recombinant factor IX products: comparisons of efficacy and weekly
factor consumption.
AB - BACKGROUND: Continuous prophylaxis for patients with hemophilia B requires
frequent injections that are burdensome and that may lead to suboptimal adherence
and outcomes. Hence, therapies requiring less-frequent injections are needed. In
the absence of head-to-head comparisons, this study compared the first extended
half-life-recombinant factor IX (rFIX) product-recombinant factor IX Fc fusion
protein (rFIXFc)-with conventional rFIX products based on annualized bleed rates
(ABRs) and factor consumption reported in studies of continuous prophylaxis.
METHODS: This study compared ABRs and weekly factor consumption rates in clinical
studies of continuous prophylaxis treatment with rFIXFc and conventional rFIX
products (identified by systematic literature review) in previously-treated
adolescents and adults with moderate-to-severe hemophilia B. Meta-analysis was
used to pool ABRs reported for conventional rFIX products for comparison.
Comparisons of weekly factor consumption were based on the mean, reported or
estimated from the mean dose per injection. RESULTS: Five conventional rFIX
studies (injections 1 to >3 times/week) met the criteria for comparison with once
weekly rFIXFc reported by the B-LONG study. The pooled mean ABR for conventional
rFIX was slightly higher than but comparable to rFIXFc (difference=0.71; p =
0.210). Weekly factor consumption was significantly lower with rFIXFc than in
conventional rFIX studies (difference in means = 42.8-74.5 IU/kg/week [93-161%],
p < 0.001). CONCLUSION: Comparisons of clinical study results suggest weekly
injections with rFIXFc result in similar bleeding rates and significantly lower
weekly factor consumption compared with more-frequently-injected conventional
rFIX products. The real-world effectiveness of rFIXFc may be higher based on
results from a model of the impact of simulated differences in adherence.
PMID- 27885872
TI - Improvement of solubility, dissolution and stability profile of artemether solid
dispersions and self emulsified solid dispersions by solvent evaporation method.
AB - The purpose of this study was to investigate changes in the water solubility of
artemether; a poorly soluble drug used for the treatment of malaria. Different
solid dispersions (SDs) of artemether were prepared using artemether and
polyethylene glycol 6000 at ratio 12:88 (Group 1), self-emulsified solid
dispersions (SESDs) containing artemether, polyethylene glycol 6000, cremophor-A
25, olive oil, hydroxypropylmethylcellulose and transcutol in the ratio
12:75:5:4:2:2, respectively (Group 2). SESDs were also prepared by substituting
cremophor-A-25 in Group 2 with poloxamer 188 (noted as Group 3). Each of these
preparations was formulated using physical mixing and the solvent evaporation
method. Aqueous solubility of artemether improved 11-, 95- and 102-fold, while
dissolution (in simulated gastric fluid) increased 3-, 13- and 14-fold, for
formulation groups 1, 2 and 3, respectively. X-ray diffraction patterns of SDs
indicated a decrease in peak intensities at 10 degrees implying reduced
artemether crystallinity. Scanning electron micrographs invariably revealed
embedment of artemether by various excipients and a glassy appearance for solvent
evaporated mixtures for all three formulation Groups. Our findings indicate
improved hydrophilic interactions for drug particles yield greater solubility and
dissolution in the following order for artemether formulating methods: solvent
evaporation mixtures > physical mixtures > pure artemether.
PMID- 27885873
TI - Effects of artificial tracheal fixation on tracheal epithelial regeneration and
prevention of tracheal stenosis.
AB - CONCLUSION: Tight fixation of the artificial trachea is important for
epithelialization and tracheal stenosis. OBJECTIVE: The authors have developed an
artificial trachea and have used it for tracheal reconstruction. Although various
studies on tracheal reconstruction have been conducted, no studies have examined
the effect of artificial tracheal fixation on tracheal stenosis and regeneration.
Therefore, the purpose of the present study was to evaluate the effect of
artificial tracheal fixation. STUDY DESIGN: Preliminary animal experiment.
METHODS: Artificial tracheae were implanted into rabbits with partial tracheal
defects. Tracheal stenosis and regeneration of the tracheal epithelium on the
artificial tracheae were evaluated by endoscopic examination, scanning electron
microscopic analysis, and histological examination. The artificial tracheae fixed
to the tracheal defects were classified into three groups (0-point, 4-point, and
8-point) by the number of fixation points. RESULTS: At 14 and 28 days post
implantation, the luminal surface of the implantation area was mostly covered
with epithelium in all fixation groups. However, a small amount of granulation
tissue was observed in the 0-point fixation group at 14 days post-implantation.
Moreover, tracheal stenosis did not occur in the 8-point fixation group, but
stenosis was detected in the other groups.
PMID- 27885875
TI - Epigenomic therapies: the potential of targeting SIRT6 for the treatment of
pancreatic cancer.
PMID- 27885876
TI - Predictors and survival for pathologic tumor response grade in borderline
resectable and locally advanced pancreatic cancer treated with induction
chemotherapy and neoadjuvant stereotactic body radiotherapy.
AB - BACKGROUND: Neoadjuvant therapy response correlates with survival in multiple
gastrointestinal malignancies. To potentially augment neoadjuvant response for
pancreas adenocarcinoma, we intensified treatment with stereotactic body
radiotherapy (SBRT) following multi-agent chemotherapy. Using this regimen, we
analyzed whether the College of American Pathology (CAP) tumor regression grade
(TRG) at pancreatectomy correlated with established response biomarkers and
survival. MATERIALS AND METHODS: We identified borderline resectable (BRPC) and
locally advanced (LAPC) pancreatic cancer patients treated according to our
institutional clinical pathway who underwent surgical resection with reported TRG
(n = 81, median follow-up after surgery 24.2 months). Patients had baseline CA19
9, computed tomography (CT), endoscopic ultrasound, and FDG positron emission
tomography (PET)/CT then underwent multi-agent chemotherapy (79% with three
cycles of gemcitabine, docetaxel and capecitabine) followed by 5-fraction SBRT.
They then underwent restaging CT, PET/CT and CA19-9. Overall (OS) and progression
free (PFS) survival were estimated and compared by Kaplan-Meier and log-rank
methods. Univariate ordinal logistic regression correlated TRG with baseline,
restaging and change in CA19-9 and the PET maximum standardized uptake value
(SUVmax). RESULTS: Restaging level and decrease in CA19-9 correlated with
improved TRG (p = .02 for both) as did restaging SUVmax (p < .01), yet there was
no TRG correlation with decrease in SUVmax (p = .10) or CT response (p = .30).
The TRG groups had similar OS and PFS except the TRG 0 (complete response) group.
Compared to partial response levels (TRG 1-3, median OS 33.9 months, median PFS
13.0 months), the six (7%) patients with TRG 0 had no deaths (p = .05) and only
one progression (p = .03). A group of 10 (12%) TRG 1 patients with only residual
isolated tumor cells had similar outcomes to the other TRG 1-3 patients.
CONCLUSION: Pre-operative PET-CT and CA19-9 response correlate with
histopathologic tumor regression. Patients with complete pathologic response have
superior outcomes, suggesting a rationale for intensification and personalization
of neoadjuvant therapy in BRPC and LAPC.
PMID- 27885877
TI - Surgical outcomes of middle fossa approach in intracanalicular vestibular
schwannoma.
AB - CONCLUSION: Middle fossa approach (MFA) shows a hearing preservation rate of 86%
and facial nerve function was preserved with HB grade I or II in 93%. MFA is a
good treatment option for intra-canalicular vestibular schwannomas when surgical
excision is needed. BACKGROUND: Surgical outcomes of vestibular schwannoma have
progressively improved with the advancement of microsurgical instruments. MFA is
known to have better chances to preserve hearing, while it has limited access to
the posterior fossa, limitation of tumor size, and higher risk of post-operative
facial nerve weakness. OBJECTIVES: To investigate surgical outcomes and clinical
efficiency of MFA in vestibular schwannoma. METHODS: A retrospective study was
done in 14 patients who underwent MFA for vestibular schwannoma in Asan Medical
Center. RESULTS: The median age at diagnosis was 46.3 years. At initial
presentation, 57% of the patients had vertigo, 43% hearing disturbance, and 64%
tinnitus. The mean tumor size was 9.7 mm. The tumors were completely resected in
86% of the patients. Hearing was post-operatively preserved in 12 patients and
two patients lost their hearing following surgery. Facial nerve function post
operatively remained unchanged in 12 patients (86%).
PMID- 27885874
TI - Post-translational regulation of neuronal nitric oxide synthase: implications for
sympathoexcitatory states.
AB - INTRODUCTION: Nitric oxide (NO) synthesized via neuronal nitric oxide synthase
(nNOS) plays a significant role in regulation/modulation of autonomic control of
circulation. Various pathological states are associated with diminished nNOS
expression and blunted autonomic effects of NO in the central nervous system
(CNS) including heart failure, hypertension, diabetes mellitus, chronic renal
failure etc. Therefore, elucidation of the molecular mechanism/s involved in
dysregulation of nNOS is essential to understand the pathogenesis of increased
sympathoexcitation in these diseased states. Areas covered: nNOS is a highly
regulated enzyme, being regulated at transcriptional and posttranslational levels
via protein-protein interactions and modifications viz. phosphorylation,
ubiquitination, and sumoylation. The enzyme activity of nNOS also depends on the
optimal concentration of substrate, cofactors and association with regulatory
proteins. This review focuses on the posttranslational regulation of nNOS in the
context of normal and diseased states within the CNS. Expert opinion: Gaining
insight into the mechanism/s involved in the regulation of nNOS would provide
novel strategies for manipulating nNOS directed therapeutic modalities in the
future, including catalytically active dimer stabilization and protein-protein
interactions with intracellular protein effectors. Ultimately, this is expected
to provide tools to improve autonomic dysregulation in various diseases such as
heart failure, hypertension, and diabetes.
PMID- 27885878
TI - A systematic review of risks and benefits with nipple-areola-reconstruction.
AB - BACKGROUND: Most women who have their breast reconstructed are offered NAC
reconstruction. Nonetheless, it is unclear what scientific evidence there is for
the procedure. The aims of the present systematic review were to evaluate the
quality of evidence for benefits and risks with NAC reconstruction, and to
examine the evidence for different techniques. METHODS: Relevant databases were
searched. Inclusion criteria were controlled studies comprising >=20 patients and
a case series of >=50 patients. Included articles had to meet criteria defined in
a PICO (Patient, Intervention, Comparison, and Outcome). Data extraction and
collection were performed according to the QUADAS tool. The level of evidence of
the selected articles was assessed according to the Oxford Centre for Evidence
Based Medicine 2009 guidelines, and total evidence for the different research
questions was graded according to the GRADE-system. RESULTS: A total of 362
abstracts were retrieved following the search. Of these 325 did not meet the
inclusion criteria and were excluded, leaving 37 studies to be included in the
review. Among these, 36 were case series and one a small randomised non-blinded
study Conclusions: The existing quality of evidence for risks and benefits of the
operation is very low. It is unclear what the complication frequencies are after
the reconstruction, and what effect on quality-of-life the operation has.
Prospective studies of high quality are needed to evaluate the health effects and
risks with NAC reconstruction.
PMID- 27885879
TI - A high preoperative carbohydrate antigen 19-9 level is a risk factor for
recurrence in stage II colorectal cancer.
AB - BACKGROUND: Many risk factors for recurrence in stage II colorectal cancer (CRC)
have been proposed, and the efficacy of adjuvant chemotherapy is still
controversial. This study aimed to identify risk factors for tumor recurrence and
assess whether they are related to the benefits of adjuvant chemotherapy in stage
II CRC. MATERIAL AND METHODS: Patients with stage II CRC that was curatively
operated on in a tertiary hospital between 2005 and 2014 were analyzed. Cox's
proportional hazards models were applied to identify risk factors for recurrence
and overall mortality. Kaplan-Meier methods were used to evaluate whether
adjuvant chemotherapy was beneficial in terms of recurrence-free survival (RFS).
RESULTS: A total of 384 patients were identified, among whom 38 (10%) received
adjuvant chemotherapy. In a median follow-up of 48.6 months, 52 patients (14%)
developed recurrence. Multivariate analyses identified two independent parameters
that significantly decreased RFS; pathological T4 [hazard ratio (HR), 2.34; 95%
confidence interval (CI), 1.31-4.15; p = .0045) and preoperative carbohydrate
antigen (CA) 19-9 > 37 U/ml (HR 1.96; 95% CI 1.02-3.58; p = .045). These factors
also inversely correlated with overall survival; T4: HR 2.10, p = .019) and CA 19
9 > 37 U/ml (HR 2.15, p = .025). The combination of T4 and CA 19-9 > 37 U/ml
resulted in an increased HR (3.52) for recurrence. However, adjuvant chemotherapy
did not improve RFS in patients with these features. CONCLUSION: The present
study demonstrated elevated CA 19-9 levels as well as T4 independently predicted
worse long-term outcomes in patients with stage II CRC. However, the
characterization of patients who gain survival advantages by adjuvant
chemotherapy requires further investigation.
PMID- 27885880
TI - Decreased zinc in the development and progression of malignancy: an important
common relationship and potential for prevention and treatment of carcinomas.
AB - INTRODUCTION: Efficacious chemotherapy does not exist for treatment or prevention
of prostate, liver, and pancreatic carcinomas, and some other cancers that
exhibit decreased zinc in malignancy. Zinc treatment offers a potential solution;
but its support has been deterred by adverse bias. Areas covered: 1. The clinical
and experimental evidence for the common ZIP transporter/Zn down regulation in
these cancers. 2. The evidence for a zinc approach to prevent and/or treat these
carcinomas. 3. The issues that introduce bias against support for the zinc
approach. Expert opinion: ZIP/Zn downregulation is a clinically established
common event in prostate, hepatocellular and pancreatic cancers. 2. Compelling
evidence supports the plausibility that a zinc treatment regimen will prevent
development of malignancy and termination of progressing malignancy in these
cancers; and likely other carcinomas that exhibit decreased zinc. 3.
Scientifically-unfounded issues that oppose this ZIP/Zn relationship have
introduced bias against support for research and funding of a zinc treatment
approach. 4. The clinically-established and supporting experimental evidence
provide the scientific credibility that should dictate the support for research
and funding of a zinc approach for the treatment and possible prevention of these
cancers. 5. This is in the best interest of the medical community and the public
at-large.
PMID- 27885882
TI - State of the art of ultrasound in the assessment of psoriasis and psoriatic
arthritis.
AB - INTRODUCTION: Ultrasound (US) is a rapidly evolving technique that is gaining
increasing success in the assessment of psoriatic arthritis (PsA). Recently, new
research avenues have been opened, and these are focused on the potential of US
for the assessment of extra musculoskeletal areas such as skin and nails. This
permits work on the concept of 'holistic US assessment of PsA'. Areas covered:
Here, we analyze the potential role of US in the global assessment of PsA.
Additionally, we provide the current evidence supporting its application in
routine clinical practice. Literature was obtained from medical databases
including PubMed and Embase. Expert commentary: US can detect not only structural
abnormalities but also minimal blood flow changes at the superficial soft tissue
level. This makes it a great tool for the global assessment of disease activity
in PsA, in which persistently active disease plays a major role in causing
anatomical damage and physical functional disability.
PMID- 27885881
TI - Spectral analysis of hearing protector impulsive insertion loss.
AB - OBJECTIVE: To characterise the performance of hearing protection devices (HPDs)
in impulsive-noise conditions and to compare various protection metrics between
impulsive and steady-state noise sources with different characteristics. DESIGN:
HPDs were measured per the impulsive test methods of ANSI/ASA S12.42- 2010 .
Protectors were measured with impulses generated by both an acoustic shock tube
and an AR-15 rifle. The measured data were analysed for impulse peak insertion
loss (IPIL) and impulsive spectral insertion loss (ISIL). These impulsive
measurements were compared to insertion loss measured with steady-state noise and
with real-ear attenuation at threshold (REAT). STUDY SAMPLE: Tested HPDs included
a foam earplug, a level-dependent earplug and an electronic sound-restoration
earmuff. RESULTS: IPIL for a given protector varied between measurements with the
two impulse noise sources, but ISIL agreed between the two sources. The level
dependent earplug demonstrated level-dependent effects both in IPIL and ISIL.
Steady-state insertion loss and REAT measurements tended to provide a
conservative estimate of the impulsively-measured attenuation. CONCLUSIONS:
Measurements of IPIL depend strongly on the source used to measure them,
especially for HPDs with less attenuation at low frequencies. ISIL provides an
alternative measurement of impulse protection and appears to be a more complete
description of an HPD's performance.
PMID- 27885883
TI - College students' stigmatization of people with mental illness: familiarity,
implicit person theory, and attribution.
AB - BACKGROUND: Stigma associated with mental illness (MI) results in
underutilization of mental health care. We must understand factors contributing
to stigma to shape anti-stigma campaigns. AIMS: To investigate the factors
influencing stigma in university students. METHOD: Undergraduate psychology
students completed measures on causal attribution, stigma, social distance,
implicit person theory (IPT), and familiarity. RESULTS: The hypothesis was
partially supported; people who felt personality traits were unchangeable (i.e.
entity IPT) were more likely to stigmatize individuals with mental disorders and
desired more social distance from them. Familiarity with people with a MI
individually predicted less desire for social distance, yet the redundancy of the
predictors made the effect of familiarity on stigma fall just short of
statistical significance. Judgments of biogenetic causal attribution were related
to higher stigma levels, but not so when familiarity and IPT were taken into
account. CONCLUSIONS: Educational campaigns may be effective by focusing on
aspects of MI highlighting similarity with non-diagnosed people, and that people
with MI can recover.
PMID- 27885884
TI - About the advantages and disadvantages of discrete-event simulation for health
economic analyses.
PMID- 27885885
TI - Septic encephalopathy and septic encephalitis??.
AB - INTRODUCTION: During the last two decades, septic encephalopathy (SE) was
recognized as a clinically relevant problem with a high prevalence in patients at
admission and during their hospital stay. SE is a condition associated with
increased mortality and morbidity such as long-term cognitive impairment. Areas
covered: This review illustrates the pathophysiology of sepsis-associated
encephalopathy and encephalitis involving blood-brain-barrier dysfunction and
neuroinflammation caused by endothelial and microglial activation by endogenous
or pathogen-derived compounds, hypoxia by impaired microvascular regulation and
septic shock as well as imbalance of neurotransmitters. The continuum between
septic-embolic and septic-metastatic encephalitis and SE is underlined by
histological findings. The options of technical examinations and biomarkers to
diagnose SE are discussed together with established therapeutic options as well
as current experimental approaches. Expert commentary: An outlook for clinicians
is provided including promising diagnostic approaches by means of new imaging
techniques. Clinical trials with drugs already established for other indications
such as statins, erythropoietin and minocycline are warranted in the future.
PMID- 27885886
TI - Atrial fibrillation in patients with chronic lymphocytic leukemia (CLL).
AB - Although preliminary data suggests that ibrutinib may increase risk of atrial
fibrillation (AF), the incidence of AF in a general cohort of chronic lymphocytic
leukemia (CLL) patients is unknown. We evaluated the prevalence of AF at CLL
diagnosis and incidence of AF during follow-up in 2444 patients with newly
diagnosed CLL. A prior history of AF was present at CLL diagnosis in 148 (6.1%).
Among the 2292 patients without history of AF, 139 (6.1%) developed incident AF
during follow-up (incidence approximately 1%/year). Older age (p < .0001), male
sex (p = .01), valvular heart disease (p = .001), and hypertension (p = .04) were
associated with risk of incident AF on multivariate analysis. A predictive model
for developing incident AF constructed from these factors stratified patients
into 4 groups with 10-year rates of incident AF ranging from 4% to 33% (p <
.0001). This information provides context for interpreting rates of AF in CLL
patients treated with novel therapies.
PMID- 27885888
TI - Cangrelor in combination with ticagrelor provides consistent and potent P2Y12
inhibition during and after primary percutaneous coronary intervention in real
world patients with ST-segment-elevation myocardial infarction.
AB - Patients pretreated with ticagrelor with less than 1 hour from percutaneous
coronary intervention (PCI) or receiving ticagrelor in cath lab were
prospectively included and received cangrelor. Cangrelor was infused for 2 hours
and platelet function was assessed as P2Y12 reactivity units (PRU) with the
VerifyNow P2Y12 function assay before start of infusion, 15 min after the start
of infusion, and 30 min after the end of infusion. A total of n = 32 patients
with an average age of 68 (+/-13) years with n = 22 (69%) males were included.
The level of P2Y12 inhibition before cangrelor infusion was started was 249 PRU
(IQR 221-271). After 15 min of cangrelor infusion the P2Y12 reactivity was
markedly decreased to 71 PRU (IQR 52-104, p < 0.001). At 30 min after end of
infusion PRU remained within the therapeutic range, 89 PRU (IQR 50-178; p < 0.001
for comparison with preinfusion) with only n = 4 (12.5%) patients with PRU >225.
Results were consistent between patients receiving ticagrelor prehospital or in
the cath lab and no statistical differences in PRU were noted between the two
groups in any of the three measurements. In conclusion, cangrelor in combination
with ticagrelor results in consistent and strong P2Y12 inhibition during and
after infusion and cangrelor may bridge the gap until oral P2Y12 inhibitors
achieve effect in real-world STEMI patients undergoing primary PCI.
PMID- 27885887
TI - Cell therapies for Parkinson's disease: how far have we come?
AB - Over the past three decades, significant progress has been made in the
development of potential regenerative cell-based therapies for neurodegenerative
disease, with most success being seen in Parkinson's disease. Cell-based
therapies face many challenges including ethical considerations, potential for
immune-mediated rejection with allogeneic and xenogeneic tissue, pathological
spread of protein-related disease into the grafted tissue as well as the risk of
graft overgrowth and tumorigenesis in stem cell-derived transplants. Preclinical
trials have looked at many tissue types of which the most successful to date have
been those using fetal ventral mesencephalon grafts, which led to clinical
trials, which have shown that in some cases they can work very well. With
important proof-of-concept derived from these studies, there is now much interest
in how dopaminergic neurons derived from stem cell sources could be used to
develop cell-based therapies suitable for clinical use, with clinical trials
poised to enter the clinic in the next couple of years.
PMID- 27885889
TI - The assessment of skin picking in adolescence: psychometric properties of the
Skin Picking Scale-Revised (German version).
AB - BACKGROUND: Skin picking disorder has received growing attention since the
release of DSM-5, yet there are no evidence-based assessment instruments for
adolescent samples. AIM: The present study examines the psychometric properties
of the Skin Picking Scale-Revised (SPS-R, German version) in adolescents.
METHODS: A total of 76 adolescents (96% female) completed the SPS-R, the Clinical
Psychological Diagnostic System (KPD-38), and a questionnaire assessing
demographics and clinical characteristics online. RESULTS: The SPS-R had high
internal consistency (alpha = 0.89) and significant small-to-medium correlations
with reduced competence skills, psychological impairment, general life
satisfaction, social support, and social problems on the KPD-38. Similar to prior
findings for adults, an exploratory factor analysis suggested a two-factor model
for the SPS-R in adolescents. Group comparisons failed to show significant
differences on SPS-R scores between participants with and without dermatological
conditions. CONCLUSIONS: The current results suggest that the SPS-R can be useful
in adolescent samples as a reliable and valid instrument for the assessment of
skin picking severity. Future research investigating scale validity and factor
structure in a clinical sample of adolescent skin pickers is warranted.
PMID- 27885890
TI - Use of a thrombopoietin receptor agonist in von Willebrand disease type 2B
(p.V1316M) with severe thrombocytopenia and intracranial hemorrhage.
AB - We present here a 63-year old woman with a long history of immune
thrombocytopenia. She was hospitalized for a traumatic intracranial hemorrhage
with thrombocytopenia. Following inefficient treatment of four platelet
transfusions, immunoglobulins, and corticosteroids, we initiated treatment with a
thrombopoietin (TPO) receptor agonist (eltrombopag 25 mg/d) with a good efficacy.
Her mother and sister also had chronic thrombocytopenia. Clinical history,
hemostasis results, and gene analysis revealed von Willebrand disease (VWD) type
2B with the mutation (c.3946G>A; p.V1316M), which combines a von Willebrand
factor defect with severe thrombocytopenia, as well as a thrombocytopathy. The
efficacy of TPO receptor agonists appears to counterbalance, at least to some
extent, the thrombocytopathy associated with this mutation. As such, the use of
TPO receptor agonists could represent an alternative therapeutic approach in
cases of VWD type 2B with severe thrombocytopenia.
PMID- 27885891
TI - Wiskott-Aldrich syndrome in a child presenting with macrothrombocytopenia.
AB - Wiskott-Aldrich syndrome (WAS) is a rare X-linked recessive disease resulting
from variants in the WAS gene, characterized by a triad of immunodeficiency,
eczema, and thrombocytopenia. Despite the fact that WAS is traditionally
differentiated from immune thrombocytopenia (ITP) by small size of WAS platelets,
in practice, microthrombocytopenia may occasionally not be present, and in
certain cases, WAS patients exhibit some parallelism to ITP patients. We
characterized one patient presenting with the classic form of the disease but
increased mean platelet volume. Molecular studies revealed a novel hemizygous 1
bp deletion in WAS gene, c.802delC, leading to a frameshift and stop codon at
amino acid 308 (p.Arg268Glyfs*40). Next-generation sequencing of a total of 70
additional genes known to harbor variants implicated in inherited platelet
disorders did not identify additional defects. The pathogenesis of
macrothrombocytopenia in this case is not known, but probably the coexistence of
a still unidentified additional genetic variant might be involved.
PMID- 27885892
TI - Invited Commentary on "MR Enterography of Inflammatory Bowel Disease with
Endoscopic Correlation".
PMID- 27885893
TI - Tumor-Vessel Relationships in Pancreatic Ductal Adenocarcinoma at Multidetector
CT: Different Classification Systems and Their Influence on Treatment Planning.
AB - Treatment of pancreatic ductal adenocarcinoma (PDAC) remains a challenge, given
its propensity for early systemic spread and growth into the adjacent vital
vascular structures. With the advent of newer surgical techniques and
chemoradiation therapies, multidetector computed tomography (CT) plays a crucial
role in the identification of patients with borderline resectable disease who may
benefit from such treatments. Stage III PDAC is divided into two categories
locally advanced, defined by arterial encasement or nonreconstructible
portovenous axis involvement; and borderline resectable, defined by limited
arterial involvement and/or reconstructible portovenous involvement. A consensus
definition for stage III borderline resectable PDAC has been proposed by the
Americas Hepato-Pancreato-Biliary Association, the Society of Surgical Oncology,
and the Society for Surgery of the Alimentary Tract and has gained widespread
use. Evaluation of borderline resectable disease involves the identification of
the circumferential and longitudinal relationship of the tumor with its
neighboring vessels, markers of vascular invasion, and aberrant anatomic
structures that alter the surgical approach. Furthermore, the use of template
based radiology reporting may increase the objectivity of the evaluation and
mandate the provision of all of the key descriptors required for a comprehensive
evaluation of the disease. In this review, the staging of PDAC at multidetector
CT is described, with reference to the evaluation of the tumor-vessel interface
as it guides treatment planning, along with a discussion of the key descriptors
of PDAC at multidetector CT and their importance. Examples are provided of the
imaging findings of borderline resectable disease and different surgical
approaches, along with a discussion on the importance of standardized terminology
and template-based reporting. (c)RSNA, 2016.
PMID- 27885894
TI - MR Enterography of Inflammatory Bowel Disease with Endoscopic Correlation.
AB - Crohn disease (CD) and ulcerative colitis (UC) are the two main forms of
idiopathic inflammatory bowel disease (IBD). CD is a transmural chronic
inflammatory disorder that can affect any part of the gastrointestinal tract in a
discontinuous distribution. UC is a mucosal and submucosal chronic inflammatory
disease that typically originates in the rectum and may extend proximally in a
continuous manner. In treating patients with CD and UC, clinicians rely heavily
on accurate diagnoses and disease staging. Magnetic resonance (MR) enterography
used in conjunction with endoscopy and histopathologic analysis can help
accurately diagnose and manage disease in the majority of patients. Endoscopy is
more sensitive for detection of the early-manifesting mucosal abnormalities seen
with IBD and enables histopathologic sampling. MR enterography yields more
insightful information about the pathologic changes seen deep to the mucosal
layer of the gastrointestinal tract wall and to those portions of the small bowel
that are not accessible endoscopically. CD can be classified into active
inflammatory, fistulizing and perforating, fibrostenotic, and reparative and
regenerative phases of disease. Although CD has a progressive course, there is no
stepwise progression between these disease phases, and various phases may exist
at the same time. The endoscopic and MR enterographic features of UC can be
broadly divided into two categories: acute phase and subacute-chronic phase.
Understanding the endoscopic features of IBD and the pathologic processes that
cause the MR enterographic findings of IBD can help improve the accuracy of
disease characterization and thus optimize the medication and surgical therapies
for these patients. (c)RSNA, 2016.
PMID- 27885895
TI - Validity and reliability of the Very Short form of the Physical Self-Inventory
among Turkish adolescents.
AB - The study aimed to test the validity and reliability of the Very Short form of
the Physical Self-Inventory (PSI-VS) among a sample of 635 Turkish adolescents.
These adolescents have completed the 12 original items of the PSI-VS, plus a
positively worded reformulation of the single reverse-keyed item of the physical
attractiveness subscale. A series of confirmatory factor analyses was used to
examine the psychometric properties of the original and modified versions of the
PSI-VS. Findings revealed superior psychometric properties with the modified
version than with the original set of items. The modified version was thus
subsequently used to examine its factor structure invariance across sexes, age
groups and sport practice involvement. Results not only report that this version
was fully invariant across, but also that latent means were significantly
different across sexes and sport practice involvement. In sum, the Turkish
modified version of the PSI-VS presents acceptable psychometric properties and
may be used to repeatedly and/or intensively assess participants' physical self
perceptions in the context of sport and exercise interventions.
PMID- 27885896
TI - Dual nanoparticle drug delivery: the future of anticancer therapies?
PMID- 27885897
TI - False feedback and beliefs influence name recall in younger and older adults.
AB - Feedback is an important self-regulatory process that affects task effort and
subsequent performance. Benefits of positive feedback for list recall have been
explored in research on goals and feedback, but the effect of negative feedback
on memory has rarely been studied. The current research extends knowledge of
memory and feedback effects by investigating face-name association memory and by
examining the potential mediation of feedback effects, in younger and older
adults, through self-evaluative beliefs. Beliefs were assessed before and after
name recognition and name recall testing. Repeated presentation of false positive
feedback was compared to false negative feedback and a no feedback condition.
Results showed that memory self-efficacy declined over time for participants in
the negative and no feedback conditions but was sustained for those receiving
positive feedback. Furthermore, participants who received negative feedback felt
older after testing than before testing. For name recall, the positive feedback
group outperformed the negative feedback and no feedback groups combined, with no
age interactions. The observed feedback-related effects on memory were fully
mediated by changes in memory self-efficacy. These findings advance our
understanding of how beliefs are related to feedback in memory and inform future
studies examining the importance of self-regulation in memory.
PMID- 27885898
TI - iPSC in the past decade: the Japanese dominance.
PMID- 27885899
TI - Regenerative Medicine: lessons from Mother Nature.
AB - Regenerative medicine strategies for the restoration of functional tissue have
evolved from the concept of ex vivo creation of engineered tissue toward the
broader concept of in vivo induction of functional tissue reconstruction.
Multidisciplinary approaches are being investigated to achieve this goal using
evolutionarily conserved principles of stem cell biology, developmental biology
and immunology, current methods of engineering and medicine. This evolution from
ex vivo tissue engineering to the manipulation of fundamental in vivo tenets of
development and regeneration has the potential to capitalize upon the incredibly
complex and only partially understood ability of cells to adapt, proliferate,
self-organize and differentiate into functional tissue.
PMID- 27885900
TI - Recreating composition, structure, functionalities of tissues at nanoscale for
regenerative medicine.
AB - Nanotechnology offers significant potential in regenerative medicine,
specifically with the ability to mimic tissue architecture at the nanoscale. In
this perspective, we highlight key achievements in the nanotechnology field for
successfully mimicking the composition and structure of different tissues, and
the development of bio-inspired nanotechnologies and functional nanomaterials to
improve tissue regeneration. Numerous nanomaterials fabricated by
electrospinning, nanolithography and self-assembly have been successfully applied
to regenerate bone, cartilage, muscle, blood vessel, heart and bladder tissue. We
also discuss nanotechnology-based regenerative medicine products in the clinic
for tissue engineering applications, although so far most of them are focused on
bone implants and fillers. We believe that recent advances in nanotechnologies
will enable new applications for tissue regeneration in the near future.
PMID- 27885902
TI - Regenerative medicine: looking backward 10 years further on.
AB - The last decade has seen considerable changes in the Regenerative Medicine
industry, but unfortunately the hope for numerous treatments that 'replace or
regenerate human cells, tissues or organs to restore or establish normal
function' has not yet emerged. In contrast to this, there have been major
advances in the field of cellular immunotherapy though some do not consider these
to be Regenerative Medicines. Regulatory changes have in some cases improved the
route to a marketing license but they have not been matched by clarification of
the complex, national reimbursement processes for cell-based treatments and this
has adversely affected a number of leading Regenerative Medicine Companies. The
review considers the direction that the industry may go in the future in relation
to scientific, manufacturing and clinical strategies which may improve the rate
of success of new therapies..
PMID- 27885901
TI - The bone marrow pericyte: an orchestrator of vascular niche.
AB - The concept of pericyte has been changing over years. This cell type was believed
to possess only a function of trophic support to endothelial cells and to
maintain vasculature stabilization. In the last years, the discovery of
multipotent ability of perivascular populations led to the concept of vessel/wall
niche. Likewise, several perivascular populations have been identified in animal
and human bone marrow. In this review, we provide an overview on bone marrow
perivascular population, their cross-talk with other niche components,
relationship with bone marrow stromal stem cells, and similarities and
differences with the perivascular population of the vessel/wall niche. Finally,
we focus on the regenerative potential of these cells and the forthcoming
challenges related to their use as cell therapy products.
PMID- 27885903
TI - Opinions of nephrologists on the efficacy and tolerance of statins in
hemodialysis patients.
AB - Large randomized controlled trials have not confirmed the effects of statin
therapy on reduction of cardiovascular morbidity and mortality in end-stage
kidney disease, despite that statins are still widely prescribed by nephrologists
to chronic dialysis patients. The aim of the study was to analyze the attitudes
of nephrologists towards statin use in hemodialysis patients. Self-designed
questionnaire, containing 18 questions, was distributed among 115 nephrologists.
The survey contained description of the results of 3 largest statin trials in
nephrology. The questions referred to the interpretation of trial results and the
safety and efficacy of statin therapy and dose adjustments required in dialysis
patients. 83% among 72 nephrologists who returned the questionnaire prescribed
statins to their dialysis patients for secondary prevention of cardiovascular
events. 90% prescribed atorvastatin. 64% nephrologists did not modify statin dose
at the start of hemodialysis treatment and 47% before elective surgery. Liver
disease was indicated as a main reason for dose modification in hemodialysis
patients. Statin-induced myopathy was observed by 65% nephrologists and 61%
reported a case of increased liver enzymes. 51% of nephrologists did not
routinely discuss the possible benefits and risks of statin therapy with their
patients. Statins are still widely prescribed and considered safe and effective
lipid-lowering therapy in dialysis patients by most nephrologists.
PMID- 27885904
TI - The effect of P2Y12 inhibition on platelet activation assessed with aggregation-
and flow cytometry-based assays.
AB - Patients on P2Y12 inhibitors may still develop thrombosis or bleeding
complications. Tailored antiplatelet therapy, based on platelet reactivity
testing, might reduce these complications. Several tests have been used, but
failed to show a benefit of tailored antiplatelet therapy. This could be due to
the narrowness of current platelet reactivity tests, which are limited to
analysis of platelet aggregation after stimulation of the adenosine diphosphate
(ADP)-pathway. However, the response to ADP does not necessarily reflect the
effect of P2Y12 inhibition on platelet function in vivo. Therefore, we
investigated whether measuring platelet reactivity toward other physiologically
relevant agonists could provide more insight in the efficacy of P2Y12 inhibitors.
The effect of in vitro and in vivo P2Y12 inhibition on alphaIIbbeta3-activation,
P-selectin and CD63-expression, aggregate formation, release of alpha, and dense
granules content was assessed after stimulation of different platelet activation
pathways. Platelet reactivity measured with flow cytometry in 72 patients on
P2Y12 inhibitors was compared to VerifyNow results. P2Y12 inhibitors caused
strongly attenuated platelet fibrinogen binding after stimulation with peptide
agonists for protease activated receptor (PAR)-1 and -4, or glycoprotein VI
ligand crosslinked collagen-related peptide (CRP-xl), while aggregation was
normal at high agonist concentration. P2Y12 inhibitors decreased PAR-agonist and
CRP-induced dense granule secretion, but not alpha granule secretion. A
proportion of P2Y12-inhibitor responsive patients according to VerifyNow,
displayed normal fibrinogen binding assessed with flow cytometry after
stimulation with PAR-agonists or CRP despite full inhibition of the response to
ADP, indicating suboptimal platelet inhibition. Concluding, measurement of
platelet fibrinogen binding with flow cytometry after stimulation of thrombin- or
collagen receptors in addition to ADP response identifies different patients as
nonresponders to P2Y12 inhibitors, compared to only ADP-induced aggregation-based
assays. Future studies should investigate the value of both assays for monitoring
on-treatment platelet reactivity.
PMID- 27885906
TI - Supporting women with toileting in palliative care: use of the female urinal for
bladder management.
AB - AIMS AND OBJECTIVES: This study explored whether a female urinal is an
acceptable, safe and effective product to meet the toileting requirements of
women receiving palliative care on oncology wards in hospitals. BACKGROUND: There
is minimal evidence on how urinary incontinence should be managed in women
receiving palliative care. Female urinals may present an option. There have been
two general reviews of products, but no formal evaluation since 1999. METHODS:
This qualitative interview study used semi-structured interviews. Eleven healthy
volunteers, 9 patients and 7 staff members used (or assisted with) a VernaFem
(Vernacare) female urinal and were subsequently interviewed. Directed content
analysis was used to analyse the interviews. RESULTS: User testing confirmed that
the VernaFem is an acceptable, safe and effective product. Design improvements
were suggested. CONCLUSIONS: While unlikely to be suitable for all patients,
hospitals should consider offering a female urinal to patients in receipt of
palliative care.
PMID- 27885905
TI - Facilitating terminal discharge: fulfilling the hospitalised patient's wish for
home death in the final hours.
AB - BACKGROUND: Terminal discharge (TD) is the rapid discharge of a hospitalised
patient when death is imminent. Its time-limited nature makes it challenging,
particularly for ward nurses. AIM: To report the development of a structured TD
framework, and determine if the framework can expedite TD processes and improve
nurses' experience in conducting TDs. METHODS: A 3-phase audit was carried out in
a Singapore hospital. The baseline and post intervention audits evaluated the
time taken for TD, incidence of prescription errors, continuity of care, and
timeliness of equipment arrangement. Nurse satisfaction was assessed through a
written survey. Interventions encompassed the implementation of workflow changes
and a TD guide. RESULTS: The mean time taken to complete TDs was shorter in the
post-compared to pre-intervention phase (2.9+/-1.4 vs. 4.6+/-2.3 hours
respectively, p<0.01). Approximately 89% of nurses who used the TD guide were
satisfied that it made TDs easier. CONCLUSION: A structured TD framework is
effective in expediting TDs and improving nurse satisfaction.
PMID- 27885907
TI - The challenges of implementing a multi-centre audit of end-of-life care in care
homes.
AB - AIMS: This article aims to share the experience of a hospice in facilitating a
multi-centre audit of end-of-life care in care homes, particularly noting the
challenges and enablers of carrying out the audit. METHODS: The audit was a
retrospective multi-centre survey of bereaved relatives/next of kin of residents
who died in the care home, using an anonymous, validated questionnaire: the
Family Perception of Care Scale. Questionnaires were sent 3-months after
bereavement. Returned questionnaires were analysed using SPSS and Excel. The care
homes were in areas encompassing outer and inner city populations. FINDINGS: The
team identified eight challenges to the audit process, in particular, embedding
procedures within the care homes, non-responses and developing action plans for
improvement. CONCLUSION: Overall, the audit provided an indication of where
improvements could be made and where care was already excellent, built confidence
and increased expertise in the care-home staff.
PMID- 27885908
TI - Moving on from patient labelling in palliative care.
PMID- 27885909
TI - Research roundup.
AB - Synopses of a selection of recently published research articles of relevance to
palliative care.
PMID- 27885911
TI - End-of-life prognostic indicators in patients with COPD: part 2.
AB - In the UK, chronic respiratory diseases cause 13% of adult disability. The major
chronic respiratory disease is chronic obstructive pulmonary disease (COPD), a
condition involving chronic airway inflammation that causes airflow obstruction
and destruction of lung tissue. This leads to a progressive loss of respiratory
membrane, which accounts for the clinical manifestation of COPD, which is
difficulty maintaining sufficient gas exchange to meet metabolic demands. The
primary cause is smoking, with the vast majority of COPD patients having a past
or present history of smoking. However, exposure to industrial pollutants is also
a contributing factor, as is a rare genetic predisposition to developing COPD.
PMID- 27885910
TI - An end-of-life care nurse service for people with COPD and heart failure:
stakeholders' experiences.
AB - BACKGROUND: End-of-life care (EOLC) has historically been associated with cancer
care. However, demographic changes indicate that future provision must also cater
for other long term conditions (LTC). An EOLC-LTC service, delivered by
palliative care nurses, is currently being piloted in one area in the East
Midlands with patients with cardiac and respiratory disease. In order to inform
future commissioning, it is important to gain the views and experiences of those
involved with the service. AIMS: This study aimed to explore patients, and their
partners, views and experiences of the EOLC-LTC service. METHODS: Semi-structured
interviews were used as part of a case study design, involving six cases. Each
case consisted of the patient, their nominated family member/carer and key
healthcare professionals involved in their care as identified by the patient.
This paper reports on the findings from the interviews conducted with the six
patients and their family member/carers. Data were analysed thematically.
RESULTS: From the interviews, the following themes were identified: experiences
managing a long term cardio-respiratory condition, the nurse service, building a
close/therapeutic relationship and fragmentation and integration. CONCLUSIONS:
This study has shown that the EOLC-LTC service is welcomed and highly regarded by
patients and their family members/carers. Further studies are required to explore
the views and experiences of other key stakeholders and to evaluate how well the
pilot operates within the wider care pathway.
PMID- 27885912
TI - Politics and palliative care: Mauritania.
AB - Dion Smyth's review of palliative nursing on the internet.
PMID- 27885913
TI - Platelet index levels and cardiovascular mortality in incident peritoneal
dialysis patients: a cohort study.
AB - Prior studies have shown that the levels of some platelet (PLT) indices were
associated with mortality in patients undergoing hemodialysis. We aimed to
investigate whether the changes in PLT indices associated with mortality in
patients on peritoneal dialysis (PD). A single-center, retrospective
observational cohort study was conducted in incident PD patients from 1 January
2006 to 31 December 2012, and followed up until 31 December 2014. Cox
proportional hazard models were used to examine the relationships between the
levels of PLT indices including PLT, plateletcrit (PCT), mean platelet volume
(MPV), platelet distribution width (PDW), platelet large cell ratio (PLCR), and
mortality. Of 1324 patients, 276 (20.8%) died during follow-up (median, 37; IQR,
3-107.4 months), among which 134 were due to cardiovascular diseases (CVD). The
highest tertile of PLT levels at baseline was associated with increased risk for
cardiovascular mortality after adjustment for demographic, clinical
characteristics, and laboratory variables (hazard ratio [HR]:1.93; 95% confidence
interval [CI]: 1.16-3.20). The similar treads were also observed in the middle
and the highest tertile of the PCT level (HR: 1.68, 95%CI: 1.00-2.81 and HR:
1.89, 95%CI: 1.14-3.14, respectively). In addition, the highest tertile of PCT
was associated with increased all-cause mortality (HR: 1.41, 95%CI: 1.01-1.96).
However, none of the associations in MPV, PDW, and PLCR analyses reached
statistical significance (HR: 0.71, 95%CI: 0.43-1.16; HR: 0.72, 95%CI: 0.45-1.18
and HR: 0.74, 95%CI: 0.46-1.19, respectively). These results suggest that higher
PLT and PCT may be associated with higher risk for cardiovascular mortality in
incident PD patients. Additional studies are needed to investigate whether
correction of these two PLT indices reduces the risk.
PMID- 27885914
TI - Chronic pain in older adults: prevalence, incidence, and risk factors.
AB - OBJECTIVES: Chronic pain is common in older adults, yet little is known of its
development and the factors that predict its persistence and onset at old age.
The aims of this longitudinal cohort study were to examine the prevalence and
incidence of chronic pain and to explore possible risk factors for its
persistence and onset in a representative sample of older Swedish adults. METHOD:
Data were collected through questionnaires and followed up after 12 and 24
months. Chronic pain was defined as pain symptoms that lasted more than 3 months,
regardless of the specific cause or site. Logistic regression analyses were used
to identify odds ratios (ORs) with 95% confidence intervals (CIs) for potential
predictors. RESULTS: Out of 2000 older adults approached (aged 65-103 years),
1141 were included in the study. Chronic pain was reported among 38.5% of the
participants, and was more common among females and among adults over 85 years of
age. The incidence was estimated to be 5.4% annually. Being female (OR 3.19, 95%
CI 1.04-9.59), having a lower body mass index (BMI; OR 0.89, 95% CI 0.79-0.99),
more than one pain location (OR 4.02, 95% CI 1.56-10.35), higher severity (OR
1.79, 95% CI 1.13-2.83), and longer duration (OR 1.08, 95% CI 1.01-1.15) were
associated with the persistence of chronic pain, but this association did not
remain significant for men when divided by gender. Younger age (OR 0.89, 95% CI
0.89-0.99) was associated with new onset of chronic pain. CONCLUSIONS: Even
though pain was often highly prevalent and persistent, our results show that both
recovery and onset of pain occurred. Pain characteristics, rather than age
related symptoms and psychosocial variables, predicted pain persistence among
older women but not among older men. These findings highlight the importance of
early pain management in the prevention of future pain.
PMID- 27885915
TI - Effect of an SNP in SCAP gene on lipid-lowering response to rosuvastatin in
Indian patients with metabolic syndrome.
AB - AIM: Statins treat dyslipidemia associated with metabolic syndrome. Genetic
factors contribute to variable response. Sterol regulatory element-binding
factors cleavage-activating protein (SCAP) pathway regulates lipid homeostasis,
so effect of SNP in SCAP gene on rosuvastatin response was studied. MATERIALS &
METHODS: Metabolic syndrome patients with low-density lipoprotein-cholesterol
>=130 mg/dl, were prescribed rosuvastatin 5 mg for 3 months. Lipids were measured
initially and finally, and genotyping done. RESULTS & CONCLUSION: Sixty-three
patients completed the study. Twenty-three were homozygous for AA while 40 were
heterozygous. Significant association was found between post-treatment lipid
values and SCAP genotypes but not with baseline values. Cholesterol (p = 0.002)
and low-density lipoprotein-cholesterol (p = 0.008) were significantly reduced in
patients carrying G allele as compared with AA. There was a significant effect of
G allele on cholesterol reduction (p = 0.043). Out of total responders (achieving
>23.58% total cholesterol reduction), 80.5% were 2386G carriers (GG+GA) and only
19.5% were homozygous for A allele (p = 0.0048). SCAP 2386A>G gene polymorphism
is a significant predictor of hypolipidemic response.
PMID- 27885918
TI - Increased deficits in emotion recognition and regulation in children and
adolescents with exogenous obesity.
AB - OBJECTIVES: In this study we aimed to evaluate emotion recognition and emotion
regulation skills of children with exogenous obesity between the ages of 11 and
18 years and compare them with healthy controls. METHODS: The Schedule for
Affective Disorders and Schizophrenia for School Aged Children was used for
psychiatric evaluations. Emotion recognition skills were evaluated using Faces
Test and Reading the Mind in the Eyes Test. The Difficulties in Emotions
Regulation Scale was used for evaluating skills of emotion regulation. RESULTS:
Children with obesity had lower scores on Faces Test and Reading the Mind in the
Eyes Test, and experienced greater difficulty in emotional regulation skills.
CONCLUSIONS: Improved understanding of emotional recognition and emotion
regulation in young people with obesity may improve their social adaptation and
help in the treatment of their disorder. To the best of our knowledge, this is
the first study to evaluate both emotional recognition and emotion regulation
functions in obese children and obese adolescents between 11 and 18 years of age.
PMID- 27885916
TI - ATIC missense variant affects response to methotrexate treatment in rheumatoid
arthritis patients.
AB - AIM: The study was aimed at investigation of several gene variants of folate
pathway enzymes for their potential association with methotrexate (MTX) treatment
response in patients with rheumatoid arthritis. PATIENTS & METHODS: Four hundred
and twenty two Caucasian patients were classified as good or poor responders, and
subsequently genotyped for common SNPs in DHFR, FPGS and ATIC genes. RESULTS: No
significant differences were observed in case of DHFR and FGPS SNPs. As for ATIC
rs2372536 (Thr116Ser), GG minor genotype was significantly associated with good
response to MTX (OR: 2.40; 95% CI: 1.30-4.42; p = 0.005), which was confirmed by
multivariate analysis. CONCLUSION: The results of the study suggest that ATIC
missense rs2372536 SNP may influence response to MTX therapy in rheumatoid
arthritis patients.
PMID- 27885920
TI - E-health in low to middle income countries.
PMID- 27885924
TI - Editorial.
PMID- 27885925
TI - Group detection of DON and its modified forms by an ELISA kit.
AB - Deoxynivalenol (DON) and its modified forms (3-, and 15-acetyl-DON, DON-3
glucoside) are commonly analysed by chromatographic methods. Indeed, coupled with
proper extraction and clean-up, LC-MS represents the best approach for multi
mycotoxin measurements. On the other hand, immunochemistry-based methods are
possibly able to detect a family of structurally related compounds, although the
determination of single contributions is not possible so far. However, ELISA
methods often lead to an apparent overestimation of the mycotoxins content
because modified forms and matrix components can potentially cross-react with the
antibodies (designed for the parent toxin). Several data about the possible cross
reactivity of commercial DON-detecting ELISA kit are reported in the literature
so far. Data are commonly obtained in buffer solutions or in matrix-matched
solutions, but comparison of a set of naturally incurred samples has never been
reported. In the present work the accuracy of a commercial DON-detecting ELISA
kit was evaluated on naturally incurred soft wheat (n = 15) and maize (n = 15),
taking into account the matrix effect. Recovery was calculated considering the
DON concentration found by LC-MS/MS and the total DON concentration, expressed as
the sum of DON and its modified forms found by LC-MS/MS. The obtained data
clearly show that, when 3-modified forms of DON occur in the sample, the ELISA
kit does actually detect them, thus returning an apparent overestimation if only
DON content is considered. When the ELISA recovery is calculated on the total DON
content, the accuracy of the analysis increases and the variability decreases.
According to our data, the ELISA kit seems to be a promising group detection tool
for the accurate evaluation of DON and its modified forms, expressed as sum of
DON, DON-3Glc and 3Ac-DON, for soft wheat and maize samples.
PMID- 27885927
TI - The quest for industrial enzymes from microorganisms.
AB - Satoshi Omura, Professor Emeritus at Kitasato University, was awarded the Nobel
Prize for his discovery of a substance of tremendous value to mankind from a
microorganism. As a researcher who regularly deals with enzymes produced by
microorganisms and a person engaged in microorganism-based business, Professor
Omura's Nobel Prize fills me with great pride and joy. It is perhaps not
surprising that this Nobel Prize-winning research would emerge from Asia,
specifically Japan, where people live in harmony with nature rather than try to
conquer it. At Amano Enzyme Inc., we devote ourselves to searching for novel
enzymes from microorganisms. While incorporating my own experiences, I will
recount the stories of a few discoveries of valuable enzyme-producing microbes in
soil and bacterial strain libraries. I will also briefly introduce microbial
strain library construction as a tool for facilitating the identification of the
desired producing bacteria.
PMID- 27885926
TI - Short polyhistidine peptides penetrate effectively into Nicotiana tabacum
cultured cells and Saccharomyces cerevisiae cells.
AB - The polyhistidine peptides (PHPs) have been previously reported as novel cell
penetrating peptides and are efficiently internalized into mammal cells; however,
penetration of PHPs into other cell types is unknown. In this study, the cellular
uptake of PHPs in plant and yeast cells was found to be dependent on the number
of histidines, and short PHPs (H6-H10 peptides) showed effective internalization.
The H8 peptide showed the highest cell-penetrating capacity and localized to
vacuoles in plant and yeast cells. Low-temperature conditions inhibited
significantly the cellular uptake of short PHPs by both cells. However, net
charge neutralization of PHPs also completely inhibited cellular uptake by plant
cells, but not by yeast cells. These results indicate that short PHPs penetrate
effectively into plant and yeast cells by similar mechanism with the exception of
net charge dependency. The findings show the short PHPs are promising candidates
for new delivery tools into plant and yeast cells.
PMID- 27885928
TI - Epoch-making milestones in antibiotic exploratory researches in Japan.
AB - Professor Satoshi Omura was awarded the 2015 Nobel Prize in Physiology or
Medicine. He is the third to win the award for research on antibiotic, following
Fleming' (UK, 1945, discovery of penicillin) and Waksman (USA, 1952, discovery of
streptomycin), and the second person after Waksman to receive the award for
research on actinomycetes. By focusing his research on macrolides stemming from
leucomycin research rather than beta-lactams like penicillin or aminoglycosides
like streptomycin, Prof. Omura realized many scientific achievements. These
efforts finally led to the discovery of avermectin and its semi-synthetic
derivative, ivermectin, considered a monumental contribution to the human race.
In this manuscript, I will outline the chronicles of the epoch-making antibiotic
exploratory researches preceding Prof. Omura.
PMID- 27885929
TI - Pursuing the unlimited potential of microorganisms-progress and prospect of a
fermentation company.
AB - Production of pharmaceuticals and chemicals using microbial functions has
bestowed numerous benefits onto society. The Nobel Prize awarded to Professor
Omura, Distinguished Emeritus Professor of Kitasato University, showed the world
the importance of the discovery and practical application of microorganisms. Now,
increasing attention is turned toward the future path of this field. As people
involved in the microorganism industry, we will review the industrial activities
thus far and consider the possible future developments in this field and its
potential contribution to society.
PMID- 27885930
TI - Continuing fascination of exploration in natural substances from microorganisms.
AB - In the search for novel organic compounds, I think it is of paramount importance
not to overlook the pursuit of microorganism diversity and the abilities those
microorganisms hold as a resource. In commemoration of Professor Satoshi Omura's
Nobel Prize in Physiology or Medicine, I will briefly describe the microorganism
that produces avermectin and then discuss how innovating isolation methods and
pioneering isolation sources have opened the door to numerous new microorganism
resources. Furthermore, as exploratory research of substances views the world
from many different angles-from biological activity to a compound's
physiochemical properties-it is possible to discover a novel compound from a well
known microorganism. Based on this, I will discuss the future prospects of
exploratory research.
PMID- 27885931
TI - Development of new antituberculosis drugs from natural products.
PMID- 27885932
TI - Natural products discovery from micro-organisms in the post-genome era.
AB - With the decision to award the Nobel Prize in Physiology or Medicine to Drs. S.
Omura, W.C. Campbell, and Y. Tu, the importance and usefulness of natural drug
discovery and development have been revalidated. Since the end of the twentieth
century, many genome analyses of organisms have been conducted, and accordingly,
numerous microbial genomes have been decoded. In particular, genomic studies of
actinomycetes, micro-organisms that readily produce natural products, led to the
discovery of biosynthetic gene clusters responsible for producing natural
products. New explorations for natural products through a comprehensive approach
combining genomic information with conventional methods show great promise for
the discovery of new natural products and even systematic generation of
unnaturally occurring compounds.
PMID- 27885933
TI - Sufficient intake of high amylose cornstarch maintains high colonic hydrogen
production for 24 h in rats.
AB - Colonic hydrogen (H2) can suppress oxidative stress and damage in the body. We
examined the minimum requirement of high amylose cornstarch (HAS) to maintain
high colonic H2 production for 24 h. Ileorectostomized and sham-operated rats
were fed a control diet supplemented with or without 20% HAS for 7 days. Colonic
starch utilization was determined. Next, rats were fed the control diet with or
without 10% or 20% HAS for 14 or 28 days, respectively. Breath and flatus H2
excretion for 24 h was measured. 1.04 g of resistant fraction in HAS was utilized
for 24 h by colonic bacteria. High H2 excretion was not maintained for 24 h in
rats fed the 10% HAS diet, from which only 0.89 g of resistant starch was
estimated to be delivered. High colonic H2 production for 24 h would be
maintained by delivering more HAS to the large intestine than is utilized.
PMID- 27885935
TI - Anecdote of the Omura laboratory and the discovery of avermectin.
AB - I first met Professor Omura, Distinguished Emeritus Professor, at The Kitasato
Institute (Kitaken) when I was 28 years old. Since then, he has been my
respectful supervisor as well as mentor. Looking back on those memories, I am
deeply honored to write about the discovery and development of avermectin.
PMID- 27885934
TI - The path to producing pharmaceuticals from natural products uncovered by academia
from the perspective of a science coordinator.
AB - To actualize the invention of all-Japanese medicines, the Department of
Innovative Drug Discovery and Development (iD3) in the Japan Agency for Medical
Research and Development (AMED) serves as the headquarters for the Drug Discovery
Support Network. iD3 assists with creating research strategies for the seeds of
medicines discovered by academia and provides technological support, intellectual
property management, and aid for applying the seeds through industry-led efforts.
In this review, from the perspective of a science coordinator, I will describe
the current activities of the drug discovery support network and iD3 as well as
the challenges and future developments of pharmaceutical research and development
using the natural product drug discovery method.
PMID- 27885936
TI - Celebrating Dr. Satoshi Omura, the recipient of the 2015 Nobel Prize in
Physiology or Medicine.
PMID- 27885937
TI - Phenotypic screening meets natural products in drug discovery.
AB - The Nobel Prize in Physiology or Medicine 2015 was awarded for discoveries
related to the control of parasitic diseases using natural products of microbial
and plant origin. In current drug discovery programs, synthesized compounds are
widely used as a screening source; however, this award reminds us of the
importance of natural products. Here, we introduce our phenotypic screening
methods based on changes in cell morphology and discuss their effectiveness and
impact for natural products in drug discovery.
PMID- 27885939
TI - Microbial innovations in the world of food.
AB - Technological developments in Japan based on the results of microbial research
were a major pillar supporting the postwar industrial revolution. The wellspring
of these advancements was the sophisticated technology used in traditional
brewing, a foundation of the characteristic Japanese food culture. In this
manuscript, we will describe the fermentative production of amino acids and
nucleic acids following the discovery of the umami component so distinct in
Japanese cuisine, which finally revealed the true power of microbial production.
Thereafter, we will describe acetic acid production stemming from brewed vinegar
production and the fermentative production of some other organic acids. Finally,
we will delve into the massive scale of innovations achieved by the discovery of
valuable micro-organisms and how they have affected the field of food.
PMID- 27885938
TI - Genomic analysis of Bacillus subtilis lytic bacteriophage phiNIT1 capable of
obstructing natto fermentation carrying genes for the capsule-lytic soluble
enzymes poly-gamma-glutamate hydrolase and levanase.
AB - Bacillus subtilis strains including the fermented soybean (natto) starter produce
capsular polymers consisting of poly-gamma-glutamate and levan. Capsular polymers
may protect the cells from phage infection. However, bacteriophage phiNIT1
carries a gamma-PGA hydrolase gene (pghP) that help it to counteract the host
cell's protection strategy. phiNIT had a linear double stranded DNA genome of
155,631-bp with a terminal redundancy of 5,103-bp, containing a gene encoding an
active levan hydrolase. These capsule-lytic enzyme genes were located in the
possible foreign gene cluster regions between central core and terminal redundant
regions, and were expressed at the late phase of the phage lytic cycle. All
tested natto origin Spounavirinae phages carried both genes for capsule degrading
enzymes similar to phiNIT1. A comparative genomic analysis revealed the diversity
among phiNIT1 and Bacillus phages carrying pghP-like and levan-hydrolase genes,
and provides novel understanding on the acquisition mechanism of these enzymatic
genes.
PMID- 27885940
TI - Lignans from Opuntia ficus-indica seeds protect rat primary hepatocytes and HepG2
cells against ethanol-induced oxidative stress.
AB - Bioactivity-guided isolation of Opuntia ficus-indica (Cactaceae) seeds against
ethanol-treated primary rat hepatocytes yielded six lignan compounds. Among the
isolates, furofuran lignans 4-6, significantly protected rat hepatocytes against
ethanol-induced oxidative stress by reducing intracellular reactive oxygen
species levels, preserving antioxidative defense enzyme activities, and
maintaining the glutathione content. Moreover, 4 dose-dependently induced the
heme oxygenase-1 expression in HepG2 cells.
PMID- 27885941
TI - GSTT1 (rs4025935) null genotype is associated with increased risk of sickle cell
disease in the populations of Tabuk-Northwestern region of Saudi Arabia.
AB - BACKGROUND: Glutathione system plays an important role in the protection of cells
and tissue against damage from oxidative stress. Impairment of the glutathione
system due to genetic polymorphism of GST genes may increase the risk and
severity of sickle cell disease (SCD). Present study was, therefore, undertaken
to examine the relative impact of the genetic polymorphism of GSTT1 and GSTM1
(rs4025935 and rs71748309) on susceptibility and hematological aspects of the
patients with SCD. METHODS: Present study included 100 patients with SCD and 200
healthy controls from northwestern region of Saudi Arabia. GSTM1 and GSTT1
(rs4025935 and rs71748309) genotypes were investigated by using single-tube
multiplex PCR technique. RESULTS: It was observed that patients with SCD
possessed significantly higher frequency of GSTT1 null genotype (26%) than
healthy controls (15%), (P = 0.00001). Compared to the presence of GSTT1
genotype, the OR for the GSTT1 null genotype were estimated to be 4.3 (2.17-8.64,
P = 0.00001). However, such association was not observed with respect to the
presence of GSTM1 null genotype. In addition, it was observed that SCD in
patients with GSTT1 genotype, the mean percentage levels for HbF and HbS were
0.48 and 35.4%, respectively; however, among SCD patients with GSTT1 null
genotype, the mean percentage levels were significantly higher 1.62% (P = 0.004)
and 39.38% (P = 0.02), respectively. CONCLUSION: GSTT1 null genotype is
significantly associated with increased risk of SCD among the population of
northwestern region of Saudi Arabia. In addition, it may be one of the important
factors responsible for hematological manifestations of SCD.
PMID- 27885942
TI - Enlarged subarachnoid spaces and intracranial hemorrhage in children with
accidental head trauma.
AB - OBJECTIVE Benign external hydrocephalus (BEH) is an enlargement of the
subarachnoid spaces (SASs) that can be seen in young children. It is
controversial whether children with BEH are predisposed to developing subdural
hemorrhage (SDH) with or without trauma. This issue is clinically relevant as a
finding of unexplained SDH raises concerns about child abuse and often prompts
child protection and law enforcement investigations. METHODS This retrospective
study included children (1-24 months of age) who underwent head CT scanning after
an accidental fall of less than 6 feet. Head CT scans were reviewed, cranial
findings were documented, and the SAS was measured and qualitatively evaluated.
Enlarged SAS was defined as an extraaxial space (EAS) greater than 4 mm on CT
scans. Clinical measurements of head circumference (HC) were noted, and the head
circumference percentile was calculated. The relationship between enlarged SAS
and HC percentile, and enlarged SAS and intracranial hemorrhage (ICH), were
investigated using bivariate analysis. RESULTS Of the 110 children included in
this sample, 23 had EASs greater than 4 mm. The mean patient age was 6.8 months
(median 6.0 months). Thirty-four patients (30.9%) had ICHs, including
subarachnoid/subpial (6.2%), subdural (6.2%), epidural (5.0%), and unspecified
extraaxial hemorrhage (16.5%). Enlarged SAS was positively associated with
subarachnoid/subpial hemorrhage; there was no association between enlarged SASs
and either SDH or epidural hemorrhage. A larger SAS was positively associated
with larger HC percentile; however, HC percentile was not independently
associated with ICH. CONCLUSIONS Enlarged SAS was not associated with SDH, but
was associated with other ICHs. The authors' findings do not support the theory
that BEH predisposes children to SDH with minor accidental trauma.
PMID- 27885943
TI - Cortical herniation through compressive subdural membrane in an infant with a
history of a large bihemispheric subdural hematoma and subdural-peritoneal shunt:
case report.
AB - Cortical herniation through subdural membrane formation is a rare complication of
chronic subdural fluid collections and may occur following subdural shunting. The
authors present a unique case of progressive cortical herniation through a
compressive subdural membrane that occurred concomitant with a functioning
subdural-peritoneal shunt.
PMID- 27885944
TI - Letter to the Editor: Ascenda catheter versus silicone catheter in intrathecal
baclofen therapy.
PMID- 27885945
TI - Clinical, imaging, and immunohistochemical characteristics of focal cortical
dysplasia Type II extratemporal epilepsies in children: analyses of an
institutional case series.
AB - OBJECTIVE Focal cortical dysplasia (FCD) Type II is divided into 2 subgroups
based on the absence (IIA) or presence (IIB) of balloon cells. In particular,
extratemporal FCD Type IIA and IIB is not completely understood in terms of
clinical, imaging, biological, and neuropathological differences. The aim of the
authors was to analyze distinctions between these 2 formal entities and address
clinical, MRI, and immunohistochemical features of extratemporal epilepsies in
children. METHODS Cases formerly classified as Palmini FCD Type II nontemporal
epilepsies were identified through the prospectively maintained epilepsy database
at the British Columbia Children's Hospital in Vancouver, Canada. Clinical data,
including age of seizure onset, age at surgery, seizure type(s) and frequency,
affected brain region(s), intraoperative electrocorticographic findings, and
outcome defined by Engel's classification were obtained for each patient.
Preoperative and postoperative MRI results were reevaluated. H & E-stained tissue
sections were reevaluated by using the 2011 International League Against Epilepsy
classification system and additional immunostaining for standard cellular markers
(neuronal nuclei, neurofilament, glial fibrillary acidic protein, CD68). Two
additional established markers of pathology in epilepsy resection, namely, CD34
and alpha-B crystallin, were applied. RESULTS Seven nontemporal FCD Type IIA and
7 Type B cases were included. Patients with FCD Type IIA presented with an
earlier age of epilepsy onset and slightly better Engel outcome. Radiology
distinguished FCD Types IIA and IIB, in that Type IIB presented more frequently
with characteristic cortical alterations. Nonphosphorylated neurofilament protein
staining confirmed dysplastic cells in dyslaminated areas. The white-gray matter
junction was focally blurred in patients with FCD Type IIB. alpha-B crystallin
highlighted glial cells in the white matter and subpial layer with either of the
2 FCD Type II subtypes and balloon cells in patients with FCD Type IIB. alpha-B
crystallin positivity proved to be a valuable tool for confirming the
histological diagnosis of FCD Type IIB in specimens with rare balloon cells or
difficult section orientation. Distinct nonendothelial cellular CD34 staining was
found exclusively in tissue from patients with MRI-positive FCD Type IIB.
CONCLUSIONS Extratemporal FCD Types IIA and IIB in the pediatric age group
exhibited imaging and immunohistochemical characteristics; cellular
immunoreactivity to CD34 emerged as an especially potential surrogate marker for
lesional FCD Type IIB, providing additional evidence that FCD Types IIA and IIB
might differ in their etiology and biology. Although the sample number in this
study was small, the results further support the theory that postoperative
outcome-defined by Engel's classification-is multifactorial and determined by not
only histology but also the extent of the initial lesion, its location in
eloquent areas, intraoperative electrocorticographic findings, and achieved
resection grade.
PMID- 27885947
TI - QuickBrain MRI for the detection of acute pediatric traumatic brain injury.
AB - OBJECTIVE The current gold-standard imaging modality for pediatric traumatic
brain injury (TBI) is CT, but it confers risks associated with ionizing
radiation. QuickBrain MRI (qbMRI) is a rapid brain MRI protocol that has been
studied in the setting of hydrocephalus, but its ability to detect traumatic
injuries is unknown. METHODS The authors performed a retrospective cohort study
of pediatric patients with TBI who were undergoing evaluation at a single Level I
trauma center between February 2010 and December 2013. Patients who underwent CT
imaging of the head and qbMRI during their acute hospitalization were included.
Images were reviewed independently by 2 neuroradiology fellows blinded to patient
identifiers. Image review consisted of identifying traumatic mass lesions and
their intracranial compartment and the presence or absence of midline shift. CT
imaging was used as the reference against which qbMRI was measured. RESULTS A
total of 54 patients met the inclusion criteria; the median patient age was 3.24
years, 65% were male, and 74% were noted to have a Glasgow Coma Scale score of 14
or greater. The sensitivity and specificity of qbMRI to detect any lesion were
85% (95% CI 73%-93%) and 100% (95% CI 61%-100%), respectively; the sensitivity
increased to 100% (95% CI 89%-100%) for clinically important TBIs as previously
defined. The mean interval between CT and qbMRI was 27.5 hours, and approximately
half of the images were obtained within 12 hours. CONCLUSIONS In this
retrospective pilot study, qbMRI demonstrated reasonable sensitivity and
specificity for detecting a lesion or injury seen with neuroimaging (radiographic
TBI) and clinically important acute pediatric TBI.
PMID- 27885946
TI - Epilepsy surgery in patients with autism.
AB - OBJECTIVE The purpose of this study was to report outcomes of epilepsy surgery in
56 consecutive patients with autism spectrum disorder. METHODS Medical records of
56 consecutive patients with autism who underwent epilepsy surgery were reviewed
with regard to clinical characteristics, surgical management, postoperative
seizure control, and behavioral changes. RESULTS Of the 56 patients with autism,
39 were male, 45 were severely autistic, 27 had a history of clinically
significant levels of aggression and other disruptive behaviors, and 30 were
considered nonverbal at baseline. Etiology of the epilepsy was known in 32 cases,
and included structural lesions, medical history, and developmental and genetic
factors. Twenty-nine patients underwent resective treatments (in 8 cases combined
with palliative procedures), 24 patients had only palliative treatments, and 3
patients had only subdural electroencephalography. Eighteen of the 56 patients
had more than one operation. The mean age at surgery was 11 +/- 6.5 years (range
1.5-35 years). At a mean follow-up of 47 +/- 30 months (range 2-117 months),
seizure outcomes included 20 Engel Class I, 12 Engel Class II, 18 Engel Class
III, and 3 Engel Class IV cases. The age and follow-up times are stated as the
mean +/- SD. Three patients were able to discontinue all antiepileptic drugs
(AEDs). Aggression and other aberrant behaviors observed in the clinical setting
improved in 24 patients. According to caregivers, most patients also experienced
some degree of improvement in daily social and cognitive function. Three patients
had no functional or behavioral changes associated with seizure reduction, and 2
patients experienced worsening of seizures and behavioral symptoms. CONCLUSIONS
Epilepsy surgery in patients with autism is feasible, with no indication that the
comorbidity of autism should preclude a good outcome. Resective and palliative
treatments brought seizure freedom or seizure reduction to the majority of
patients, although one-third of the patients in this study required more than one
procedure to achieve worthwhile improvement in the long term, and few patients
were able to discontinue all AEDs. The number of palliative procedures performed,
the need for multiple interventions, and continued use of AEDs highlight the
complex etiology of epilepsy in patients with autism spectrum disorder. These
considerations underscore the need for continued analysis, review, and reporting
of surgical outcomes in patients with autism, which may aid in better
identification and management of surgical candidates. The reduction in aberrant
behaviors observed in this series suggests that some behaviors previously
attributed to autism may be associated with intractable epilepsy, and further
highlights the need for systematic evaluation of the relationship between the
symptoms of autism and refractory seizures.
PMID- 27885948
TI - Urinary signature of pig carcasses with boar taint by liquid chromatography-high
resolution mass spectrometry.
AB - Boar taint is an offensive odour that can occur while cooking pork or pork
products and is identified in some uncastrated male pigs that have reached
puberty. It is widely held that boar taint is the result of the accumulation in
back fat of two malodorous compounds: androstenone and skatole. The purpose of
this study is to assess a mass spectrometry-based metabolomics strategy to
investigate the metabolic profile of urine samples from pig carcasses presenting
low (untainted) and high (tainted) levels of androstenone and skatole in back
fat. Urine samples were analysed by LC-ESI(+)-HRMS. Discrimination between
tainted and untainted animals was observed by the application of multivariate
statistical analysis, which allowed candidate urinary biomarkers to be
highlighted. These urinary metabolites were positively correlated to androstenone
and skatole levels in back fat. Therefore, the study suggests that the
measurement of these urinary metabolites might provide information with regard to
androstenone and skatole levels in live pigs.
PMID- 27885949
TI - Letter to the Editor: Whole-brain CT perfusion and subarachnoid hemorrhage.
PMID- 27885950
TI - Randomized controlled trials in neurosurgery: an observational analysis of trial
discontinuation and publication outcome.
AB - OBJECTIVE This study aimed to determine the trial discontinuation and publication
rate of randomized controlled trials (RCTs) in neurosurgery. METHODS Trials
registered from 2000 to 2012 were identified on the website clinicaltrials.gov
using a range of key words related to neurosurgery. Any trials that were actively
recruiting or had unknown status were excluded. Included trials were assessed for
whether they were discontinued early on the clinicaltrials.gov database; this
included trials identified as withdrawn, suspended, or terminated in the
database. For included trials, a range of parameters was identified including the
subspecialty, primary country, study start date, type of intervention, number of
centers, and funding status. Subsequently, a systematic search for published peer
reviewed articles was undertaken. For trials that were discontinued early or were
found to be unpublished, principal investigators were sent a querying email.
RESULTS Sixty-four neurosurgical trials fulfilled our inclusion criteria. Of
these 64, 26.6% were discontinued early, with slow or insufficient recruitment
cited as the major reason (57%). Of the 47 completed trials, 14 (30%) remained
unpublished. Discontinued trials showed a statistically significant higher chance
of remaining unpublished (88%) compared with completed trials (p = 0.0002).
Industry-funded trials had a higher discontinuation rate (31%) compared with non
industry-funded trials (23%), but this result did not reach significance (p =
0.57). Reporting of primary outcome measures was complete in 20 (61%) of 33
trials. For secondary outcome measures, complete reporting occurred in only 11
(33.3%) of 33. CONCLUSIONS More than a fifth (26.6%) of neurosurgical RCTs are
discontinued early and almost a third of those that are completed remain
unpublished. This result highlights significant waste of financial resources and
clinical data.
PMID- 27885951
TI - Retraction: Effect of atorvastatin on resolution of chronic subdural hematoma: a
prospective observational study.
PMID- 27885952
TI - Pretreatment growth rate as a predictor of tumor control following Gamma Knife
radiosurgery for sporadic vestibular schwannoma.
AB - OBJECTIVE Over the last 30 years, stereotactic radiosurgery (SRS) has become an
established noninvasive treatment alternative for small- to medium-sized
vestibular schwannoma (VS). This study aims to further define long-term SRS tumor
control in patients with documented pretreatment tumor growth for whom
conservative observation failed. METHODS A prospective clinical database was
queried, and patients with sporadic VS who elected initial observation and
subsequently underwent SRS after documented tumor growth between 2004 and 2014
were identified. Posttreatment tumor growth or shrinkage was determined by a >= 2
mm increase or decrease in maximum linear dimension, respectively. RESULTS Sixty
eight patients met study inclusion criteria. The median pre- and posttreatment
observation periods were 16 and 43.5 months, respectively. The median dose to the
tumor margin was 13 Gy (range 12-14 Gy), and the median maximum dose was 26 Gy
(range 24-28 Gy). At the time of treatment, 59 tumors exhibited extracanalicular
(EC) extension, and 9 were intracanalicular (IC). Of the 59 EC VSs, 50 (85%)
remained stable or decreased in size following treatment, and 9 (15%) enlarged by
> 2 mm. Among EC tumors, the median pretreatment tumor growth rate was 2.08
mm/year for tumors that decreased or were stable, compared with 3.26 mm/year for
tumors that grew following SRS (p = 0.009). Patients who demonstrated a
pretreatment growth rate of < 2.5 mm/year exhibited a 97% tumor control rate,
compared with 69% for those demonstrating >= 2.5 mm/year of growth prior to SRS
(p = 0.007). No other analyzed variables were found to predict tumor growth
following SRS. CONCLUSIONS Overall, SRS administered using a marginal dose
between 12-14 Gy is highly effective in treating VSs in which initial observation
fails. Tumor control is achieved in 97% of VSs that exhibit slow (< 2.5 mm/year)
pretreatment growth; however, SRS is less successful in treating tumors
exhibiting rapid growth (>= 2.5 mm/year).
PMID- 27885953
TI - Targeted sequencing of SMO and AKT1 in anterior skull base meningiomas.
AB - OBJECTIVE Meningiomas located in the skull base are surgically challenging.
Recent genomic research has identified oncogenic SMO and AKT1 mutations in a
small subset of meningiomas. METHODS The authors performed targeted sequencing in
a large cohort of patients with anterior skull base meningiomas (n = 62) to
better define the frequency of SMO and AKT1 mutations in these tumors. RESULTS
The authors found SMO mutations in 7 of 62 (11%) and AKT1 mutations in 12 of 62
(19%) of their cohort. Of the 7 meningiomas with SMO mutations, 6 (86%) occurred
in the olfactory groove. Meningiomas with an SMO mutation presented with
significantly larger tumor volume (70.6 +/- 36.3 cm3) compared with AKT1-mutated
(18.2 +/- 26.8 cm3) and wild-type (22.7 +/- 23.9 cm3) meningiomas, respectively.
CONCLUSIONS Combined, these data demonstrate clinically actionable mutations in
30% of anterior skull base meningiomas and suggest an association between SMO
mutation status and tumor volume. Genotyping of SMO and AKT1 is likely to be high
yield in anterior skull base meningiomas with available surgical tissue.
PMID- 27885954
TI - Novel biomarker identification using metabolomic profiling to differentiate
radiation necrosis and recurrent tumor following Gamma Knife radiosurgery.
AB - OBJECTIVE Following an initial response of brain metastases to Gamma Knife
radiosurgery, regrowth of the enhancing lesion as detected on MRI may represent
either radiation necrosis (a treatment-related inflammatory change) or recurrent
tumor. Differentiation of radiation necrosis from tumor is vital for management
decision making but remains difficult by imaging alone. In this study, gas
chromatography with time-of-flight mass spectrometry (GC-TOF) was used to
identify differential metabolite profiles of the 2 tissue types obtained by
surgical biopsy to find potential targets for noninvasive imaging. METHODS
Specimens of pure radiation necrosis and pure tumor obtained from patient brain
biopsies were flash-frozen and validated histologically. These formalin-free
tissue samples were then analyzed using GC-TOF. The metabolite profiles of
radiation necrosis and tumor samples were compared using multivariate and
univariate statistical analysis. Statistical significance was defined as p <=
0.05. RESULTS For the metabolic profiling, GC-TOF was performed on 7 samples of
radiation necrosis and 7 samples of tumor. Of the 141 metabolites identified, 17
(12.1%) were found to be statistically significantly different between comparison
groups. Of these metabolites, 6 were increased in tumor, and 11 were increased in
radiation necrosis. An unsupervised hierarchical clustering analysis found that
tumor had elevated levels of metabolites associated with energy metabolism,
whereas radiation necrosis had elevated levels of metabolites that were fatty
acids and antioxidants/cofactors. CONCLUSIONS To the authors' knowledge, this is
the first tissue-based metabolomics study of radiation necrosis and tumor.
Radiation necrosis and recurrent tumor following Gamma Knife radiosurgery for
brain metastases have unique metabolite profiles that may be targeted in the
future to develop noninvasive metabolic imaging techniques.
PMID- 27885955
TI - Editorial: Vestibular schwannoma radiosurgery: progression or pseudoprogression?
PMID- 27885956
TI - Solitary tentorial sarcoid granuloma associated with Propionibacterium acnes
infection: case report.
AB - Sarcoidosis is a systemic granulomatous disease with unknown cause, which very
rarely occurs exclusively in the central nervous system. The authors performed
biopsy sampling of a mass that developed in the left tentorium cerebelli that
appeared to be a malignant tumor. The mass was diagnosed as a sarcoid granuloma,
which was confirmed with the onset of antibody reaction product against
Propionibacterium acnes. Findings suggesting sarcoidosis to be an immune response
to P. acnes infection have recently been reported, and they give insight for
diagnosis and treatment of this disease. The authors report the possible first
case that was confirmed with P. acnes infection in a meningeal lesion in solitary
neurosarcoidosis.
PMID- 27885957
TI - Visual pathway impairment by pituitary adenomas: quantitative diagnostics by
diffusion tensor imaging.
AB - OBJECTIVE Despite ample experience in surgical treatment of pituitary adenomas,
little is known about objective indices that may reveal risk of visual impairment
caused by tumor growth that leads to compression of the anterior visual pathways.
This study aimed to explore diffusion tensor imaging (DTI) as a means for
objective assessment of injury to the anterior visual pathways caused by
pituitary adenomas. METHODS Twenty-three patients with pituitary adenomas,
scheduled for transsphenoidal tumor resection, and 20 healthy control subjects
were included in the study. A minimum suprasellar tumor extension of Grade 2-4,
according to the SIPAP (suprasellar, infrasellar, parasellar, anterior, and
posterior) scale, was required for inclusion. Neuroophthalmological examinations,
conventional MRI, and DTI were completed in all subjects and were repeated 6
months after surgery. Quantitative assessment of chiasmal lift, visual field
defect (VFD), and DTI parameters from the optic tracts was performed. Linear
correlations, group comparisons, and prediction models were done in controls and
patients. RESULTS Both the degree of VFD and chiasmal lift were significantly
correlated with the radial diffusivity (r = 0.55, p < 0.05 and r = 0.48, p <
0.05, respectively) and the fractional anisotropy (r = -0.58, p < 0.05 and r =
0.47, p < 0.05, respectively) but not with the axial diffusivity. The axial
diffusivity differed significantly between controls and patients with VFD, both
before and after surgery (p < 0.05); however, no difference was found between
patients with and without VFD. Based on the axial diffusivity and fractional
anisotropy, a prediction model classified all patients with VFD correctly
(sensitivity 1.0), 9 of 12 patients without VFD correctly (sensitivity 0.75), and
17 of 20 controls as controls (specificity 0.85). CONCLUSIONS DTI could detect
pathology and degree of injury in the anterior visual pathways that were
compressed by pituitary adenomas. The correlation between radial diffusivity and
visual impairment may reflect a gradual demyelination in the visual pathways
caused by an increased tumor effect. The low level of axial diffusivity found in
the patient group may represent early atrophy in the visual pathways, detectable
on DTI but not by conventional methods. DTI may provide objective data, detect
early signs of injury, and be an additional diagnostic tool for determining
indication for surgery in cases of pituitary adenomas.
PMID- 27885958
TI - Articulating reproductive justice through reparative justice: case studies of
abortion in Great Britain and South Africa.
AB - Public health and rights-based approaches to abortion advocacy are well
established. Feminists are, however, increasingly using a broader framework of
'reproductive justice', which considers the intersecting conditions that serve to
enhance or hinder women's reproductive freedoms, including their capacities to
decide about the outcome of their pregnancies. Nonetheless, reproductive justice
approaches to abortion are, conceptually, relatively under-developed. We
introduce a reparative justice approach as a method of further articulating the
concept of reproductive justice. We first explain how this approach can be used
to conceptualise safe, accessible and supportive abortion as a key element of
reproductive justice in relation to the injustice of unwanted or unsupportable
pregnancies. Using Ernesto Verdeja's critical theory of reparative justice and
case studies of two countries (South Africa and Great Britain) where abortion is
legal, we show how such an approach enables an analysis of reproductive justice
within the specificities of particular contexts. We argue that both the rights
based legal framework adopted in South Africa and the medicalised approach of
British law have, in practice, limited reparative justice in these contexts. We
discuss the implications of reparative justice for abortion advocacy.
PMID- 27885959
TI - Bone marrow stromal cell sheets may promote axonal regeneration and functional
recovery with suppression of glial scar formation after spinal cord transection
injury in rats.
AB - OBJECTIVE Transplantation of bone marrow stromal cells (BMSCs) is a theoretical
potential as a therapeutic strategy in the treatment of spinal cord injury (SCI).
Although a scaffold is sometimes used for retaining transplanted cells in damaged
tissue, it is also known to induce redundant immunoreactions during the
degradation processes. In this study, the authors prepared cell sheets made of
BMSCs, which are transplantable without a scaffold, and investigated their
effects on axonal regeneration, glial scar formation, and functional recovery in
a completely transected SCI model in rats. METHODS BMSC sheets were prepared from
the bone marrow of female Fischer 344 rats using ascorbic acid and were
cryopreserved until the day of transplantation. A gelatin sponge (GS), as a
control, or BMSC sheet was transplanted into a 2-mm-sized defect of the spinal
cord at the T-8 level. Axonal regeneration and glial scar formation were assessed
2 and 8 weeks after transplantation by immunohistochemical analyses using anti
Tuj1 and glial fibrillary acidic protein (GFAP) antibodies, respectively.
Locomotor function was evaluated using the Basso, Beattie, and Bresnahan scale.
RESULTS The BMSC sheets promoted axonal regeneration at 2 weeks after
transplantation, but there was no significant difference in the number of Tuj1
positive axons between the sheet- and GS-transplanted groups. At 8 weeks after
transplantation, Tuj1-positive axons elongated across the sheet, and their
numbers were significantly greater in the sheet group than in the GS group. The
areas of GFAP-positive glial scars in the sheet group were significantly reduced
compared with those of the GS group at both time points. Finally, hindlimb
locomotor function was ameliorated in the sheet group at 4 and 8 weeks after
transplantation. CONCLUSIONS The results of the present study indicate that an
ascorbic acid-induced BMSC sheet is effective in the treatment of SCI and enables
autologous transplantation without requiring a scaffold.
PMID- 27885960
TI - High-dose tranexamic acid reduces intraoperative and postoperative blood loss in
posterior lumbar interbody fusion.
AB - OBJECTIVE Tranexamic acid (TXA), a synthetic antifibrinolytic drug, has been
reported to reduce blood loss in orthopedic surgery, but there have been few
reports of its use in spine surgery. Previous studies included limitations in
terms of different TXA dose regimens, different levels and numbers of fused
segments, and different surgical techniques. Therefore, the authors decided to
strictly limit TXA dose regimens, surgical techniques, and fused segments in this
study. There have been no reports of using TXA for prevention of intraoperative
and postoperative blood loss in posterior lumbar interbody fusion (PLIF). The
purpose of the study was to evaluate the efficacy of high-dose TXA in reducing
blood loss and its safety during single-level PLIF. METHODS The study was a
nonrandomized, case-controlled trial. Sixty consecutive patients underwent single
level PLIF at a single institution. The first 30 patients did not receive TXA.
The next 30 patients received 2000 mg of intravenous TXA 15 minutes before the
skin incision was performed and received the same dose again 16 hours after the
surgery. Intra- and postoperative blood loss was compared between the groups.
RESULTS There were no statistically significant differences in preoperative
parameters of age, sex, body mass index, preoperative diagnosis, or operating
time. The TXA group experienced significantly less intraoperative blood loss
(mean 253 ml) compared with the control group (mean 415 ml; p < 0.01). The TXA
group also had significantly less postoperative blood loss over 40 hours (mean
321 ml) compared with the control group (mean 668 ml; p < 0.01). Total blood loss
in the TXA group (mean 574 ml) was significantly lower than in the control group
(mean 1080 ml; p < 0.01). From 2 hours to 40 hours, postoperative blood loss in
the TXA group was consistently significantly lower. There were no perioperative
complications, including thromboembolic events. CONCLUSIONS High-dose TXA
significantly reduced both intra- and postoperative blood loss without causing
any complications during or after single-level PLIF.
PMID- 27885961
TI - INSIG2 polymorphism and weight gain, dyslipidemia and serum adiponectin in
Finnish patients with schizophrenia treated with clozapine.
AB - AIM: To investigate INSIG2's association with obesity, weight change and serum
lipid profile during clozapine treatment. MATERIALS & METHODS: Subjects with
schizophrenia (n = 190) were genotyped, identifying seven SNPs. Genetic risk
scores (GRSs) were calculated to adiponectin, high-density lipoprotein
cholesterol, triglycerides and weight gain. RESULTS: In the model for weight
gain, SNPs rs12151787, rs17047733 and rs10490626 were selected. Explanatory
variables were BMI (p = 5.05 * 10-5), age (p = 0.003) and GRS (p = 2.81 * 10-5, p
= 0.0002 after permutation). No GRS resulted for adiponectin or high-density
lipoprotein cholesterol. Rs2161829 and rs10490620 were selected for
triglycerides; this GRS was insignificant after permutation. CONCLUSION: INSIG2
plays a role in weight gain and obesity during clozapine treatment.
PMID- 27885962
TI - Parental history of moderate to severe infantile malnutrition is associated with
cognitive deficits in their adult offspring.
AB - OBJECTIVES: We compared the IQ and academic achievement of the young adult
offspring of parents malnourished in infancy and those of a healthy control group
in order to test the hypothesis that the offspring of previously malnourished
individuals would show IQ and academic deficits that could be related to reduced
parental socioeconomic status. METHODS: We conducted a group comparison study
based on a community sample in Barbados (Barbados Nutrition Study). Participants
were adult children >=16 years of age whose parents had been malnourished during
the first year of life (n = 64; Mean age 19.3 years; 42% male) or whose parents
were healthy community controls (n = 50; Mean age 19.7 years; 48% male). The
primary outcome was estimated IQ (Wechsler Abbreviated Scale of Intelligence); a
secondary outcome was academic achievement (Wide Range Achievement Test - Third
Edition). Data were analyzed using PROC MIXED with and without adjusting for
parental socioeconomic status (Hollingshead Index of Social Position). RESULTS:
IQ was reduced in the offspring of previously malnourished parents relative to
the offspring of controls (9.8 point deficit; P < 0.01), but this difference was
not explained by parental socioeconomic status or parental IQ. The magnitude of
the group difference was smaller for basic academic skills and did not meet
criteria for statistical significance. DISCUSSION: The deleterious impact of
infant malnutrition on cognitive function may be transmitted to the next
generation; however, this intergenerational effect does not appear to be
explained by the reduced socioeconomic status or IQ of the parent generation.
PMID- 27885963
TI - Coping with discrimination among HIV-positive Black men who have sex with men.
AB - In the USA, HIV-positive Black men who have sex with men show large disparities
in disease outcomes compared to other racial/ethnic and risk groups. This study
examined the strategies that HIV-positive Black men who have sex with men use to
cope with different types of discrimination. A total of 27 HIV-positive Black men
who have sex with men participated in semi-structured interviews, which were
transcribed verbatim and coded using thematic analysis by multiple raters. Major
coping themes included reactive avoidance (using behaviours, cognitions and
emotions to escape from discrimination), a common reaction to racism; proactive
avoidance (avoiding situations in which discrimination is anticipated),
manifested as selective disclosure of HIV-serostatus; external attribution for
discrimination (versus self-blame), used more for sexual orientation and HIV
discrimination; and social support-seeking, which most often emerged in response
to racism. Active coping strategies, such as self-advocacy (countering
discrimination directly or indirectly), were infrequently reported. Findings
suggest a need for structural anti-discrimination interventions, in tandem with
culturally congruent individual- or group-level interventions that aim to enhance
men's existing adaptive coping strategies.
PMID- 27885964
TI - Porphyromonas gingivalis Capsule-Mediated Coaggregation as a Virulence Factor in
Mixed Infection With Fusobacterium nucleatum.
AB - BACKGROUND: Porphyromonas gingivalis (Pg) capsule enables evasion from
phagocytosis, invasion of keratinocytes, and bacterial survival. In mixed
infection, the capsule also participates in coaggregation, which may lead to
characteristic virulence not present in the monoinfection. The aim of this study
is to evaluate the role of Pg capsule as a virulence factor in coaggregated mixed
infection with Fusobacterium nucleatum (Fn). METHODS: Mixed infections containing
Fn and non-capsulated or capsulated strains of Pg were compared with the same
infection with lactose as coaggregation inhibitor. Murine experimental
periodontitis was used to assess disease severity. Primary polymorphonuclear
leukocytes and keratinocytes were used to examine phagocytosis and bacterial
invasion, respectively. RESULTS: Mixed infection with capsulated Pg augmented
alveolar bone loss compared with that of mixed infection with non-capsulated Pg.
Addition of lactose led to attenuation of bone loss in the capsulated mixed
infection and to intensification of bone loss in the non-capsulated mixed
infection. In the latter mixed infection, Fn evaded phagocytosis, whereas in the
capsulated mixed infection Pg displayed a greater capacity for invasion of
keratinocytes. CONCLUSIONS: Pg capsule was found to serve as a unique virulence
factor in mixed infection with Fn. Capsule-dependent coaggregation led to
augmented invasion of Pg and may be responsible for the severity of disease after
mixed infection with Fn.
PMID- 27885965
TI - Parameters That Improve Cleaning Efficiency of Subgingival Air Polishing on
Titanium Implant Surfaces: An In Vitro Study.
AB - BACKGROUND: This study aims to reveal how air polishing behaves on a titanium
surface by evaluating the size and shape of the cleaned area and the influence of
different device settings, probing depths, and cleaning movements. METHODS: Forty
eight titanium sandblasted large-grit acid-etched surface film-coated disks were
treated with an air abrasive system using a subgingival plastic nozzle. Two
subgingival models were used: open-ended (step 1) and defined-size (step 2). In
step 1, the most effective parameters were investigated by 5-second static
applications under different settings. In step 2, the best settings were used for
dynamic application to test influence of different movements (up-down, slowly up,
rotation). For both steps, powder and water consumption and total cleaned area
were calculated. RESULTS: Air pressure was the main factor with the strongest
effect on cleaning. Increasing air pressure extended cleaning area. Other
factors, such as nozzle depth and excessive powder flow amount, had weak
influence. Cleaning effect reached deeper than the nozzle physically reached.
Step 2 showed that there was no significant difference between different nozzle
movements; however, cleaning efficiency decreased significantly without movement.
CONCLUSIONS: For the most effective clinical use of air polishing, it should be
applied with high pressure, deep insertion of nozzle, and enough water flow.
Additionally, the nozzle has to be moved to get the best cleaning effect.
PMID- 27885967
TI - Corrigendum.
PMID- 27885969
TI - 36th International Symposium on Intensive Care and Emergency Medicine : Brussels,
Belgium. 15-18 March 2016.
PMID- 27885966
TI - Erbium, Chromium:Yttrium-Scandium-Gallium-Garnet Laser Effectively Ablates Single
Species Biofilms on Titanium Disks Without Detectable Surface Damage.
AB - BACKGROUND: Increasing evidence implicates biofilms, consisting of species such
as Porphyromonas gingivalis (Pg), in the etiology of peri-implantitis. Multiple
approaches to ablate biofilms on failing implants have been proposed and include
use of lasers, most recently the erbium, chromium:yttrium-scandium-gallium-garnet
(Er,Cr:YSGG) laser. The purpose of this study is to establish an in vitro single
species biofilm model on implant surfaces and determine power settings of the
Er,Cr:YSGG laser that remove biofilm without causing physical damage to disks.
METHODS: Single-species biofilms consisting of Pg strain 381 were grown on
titanium disks, including: 1) sandblasted, large-grit, acid-etched (SLA); 2)
calcium phosphate nano-coated (CaP); 3) anodized; or 4) machined surfaces. Power
settings from 0 to 1.5 W using an Er,Cr:YSGG laser equipped with radial firing
tip were used. Biofilm formation/removal was quantitated using confocal and
scanning electron microscopy. Surface changes in temperature, microroughness, and
water contact angle were analyzed. RESULTS: Results show confluent Pg biofilm
coating all disk surfaces. The laser removed biofilms from all surfaces, with CaP
and SLA surfaces requiring power setting of 1.0 to 1.5 W for ablation of bacteria
coating the disks. Within this power range, and with water spray, there were no
changes in surface temperature, surface roughness, or contact angle on any
surfaces tested. CONCLUSION: The Er,Cr:YSGG laser with radial firing tip and
water spray was able to effectively ablate >=95% of biofilm on all types of
tested titanium surfaces, using clinically relevant power settings, without
causing measurable physical changes to surfaces.
PMID- 27885968
TI - Possible approaches to CYP2C9-guided prescription of sulfonylureas in Russia.
AB - AIM: To evaluate a possible role of CYP2C9 genotyping for sulfonylureas (SUs)
prescription in Russia. MATERIALS & METHODS: We have collected the current data
on correlation between SUs pharmacodynamics and CYP2C9 polymorphisms. We have
evaluated the frequency of CYP2C9 polymorphisms in Russia by reviewing the
literature published from 2004 to 2015 on Russian CYP2C9. RESULTS: The genotype
*1/*1, which confers risk for treatment failure, has a higher frequency (81.92%)
in the non-Caucasians than that (64.92%) in the Caucasians. The Caucasians have a
frequency (3.58%) of the poor metabolizers (*2/*2, *2/*3 and *3/*3) eight-times
higher than that (0.44%) in the non-Caucasians, predisposing an increased risk of
hypoglycemia. CONCLUSION: Considering the received data and the existed knowledge
on CYP2C9 influence on SUs pharmacokinetics and pharmacodynamics, we propose a
possible approach to CYP2C9-guided SUs prescription for Russians.
PMID- 27885970
TI - Cpt1a gene expression in peripheral blood mononuclear cells as an early biomarker
of diet-related metabolic alterations.
AB - BACKGROUND: Research on biomarkers that provide early information about the
development of future metabolic alterations is an emerging discipline. Gene
expression analysis in peripheral blood mononuclear cells (PBMC) is a promising
tool to identify subjects at risk of developing diet-related diseases. OBJECTIVE:
We analysed PBMC expression of key energy homeostasis-related genes in a time
course analysis in order to find out early markers of metabolic alterations due
to sustained intake of high-fat (HF) and high-protein (HP) diets. DESIGN: We
administered HF and HP diets (4 months) to adult Wistar rats in isocaloric
conditions to a control diet, mainly to avoid overweight associated with the
intake of hyperlipidic diets and, thus, to be able to characterise markers of
metabolically obese normal-weight (MONW) syndrome. PBMC samples were collected at
different time points of dietary treatment and expression of relevant energy
homeostatic genes analysed by real-time reverse transcription-polymerase chain
reaction. Serum parameters related with metabolic syndrome, as well as fat
deposition in liver, were also analysed. RESULTS: The most outstanding results
were those obtained for the expression of the lipolytic gene carnitine
palmitoyltransferase 1a (Cpt1a). Cpt1a expression in PBMC increased after only 1
month of exposure to both unbalanced diets, and this increased expression was
maintained thereafter. Interestingly, in the case of the HF diet, Cpt1a
expression was altered even in the absence of increased body weight but
correlated with alterations such as higher insulin resistance, alteration of
serum lipid profile and, particularly, increased fat deposition in liver, a
feature characteristic of metabolic syndrome, which was even observed in animals
fed with HP diet. CONCLUSIONS: We propose Cpt1a gene expression analysis in PBMC
as an early biomarker of metabolic alterations associated with MONW phenotype due
to the intake of isocaloric HF diets, as well as a marker of increased risk of
metabolic diseases associated with the intake of HF or HP diets.
PMID- 27885971
TI - "A magical little pill that will relieve you of your womanly issues": What young
women say about menstrual suppression.
AB - Perceptions of menstruation by media discourses portray this bodily function to
be messy, inconvenient, and as an unnecessary phenomenon to be controlled or
possibly eliminated. Commercials shown on YouTube targeted toward young women
suggest that having a monthly period is not healthy and a lifestyle that is
menses free is both pharmacologically available and recommended in order to live
a fuller life. We explored the meanings attached to online menstrual suppression
commercials with 10 women aged between 18 and 25. In-depth open-ended interviews
were conducted over a 10-month period in 2014 after each participant viewed three
menstrual suppression online advertisements. Feminist critical discourse was used
for analysis with both authors coding for inter-rater reliability recognizing how
our age difference and relationship as mother and daughter informed our
interpretation. An overarching theme of tension emerged from the interviews with
participants feeling detached due to the gendered stereotypes the commercials
used to frame menstruation as compared to their own lived experience. Meanings
associated with the menstrual suppression commercials were contrary to the
participants' lived experience of menstruation as a healthy process not a
detrimental one to their well-being as suggested by the commercials. Subliminal
messages within the advertisements were identified as reinforcing gender bias and
prejudices, including those associated with femininity. Despite attempting to
emulate popular culture, the menstrual suppression advertisements were largely
dismissed by this group of participants as undermining their intelligence and of
intentionally creating divisive binaries between groups of women. This study
suggests that historical bias and stereotypical prejudices were identified by
this group of young women within the marketing of menstrual suppression products
and, as such, were dismissed as inauthentic to the menstruation experience
reflecting a form of menstrual activism.
PMID- 27885972
TI - Protecting the confidentiality and security of personal health information in low
and middle-income countries in the era of SDGs and Big Data.
AB - BACKGROUND: As increasing amounts of personal information are being collected
through a plethora of electronic modalities by statutory and non-statutory
organizations, ensuring the confidentiality and security of such information has
become a major issue globally. While the use of many of these media can be
beneficial to individuals or populations, they can also be open to abuse by
individuals or statutory and non-statutory organizations. Recent examples include
collection of personal information by national security systems and the
development of national programs like the Chinese Social Credit System. In many
low- and middle-income countries, an increasing amount of personal health
information is being collected. The collection of personal health information is
necessary, in order to develop longitudinal medical records and to monitor and
evaluate the use, cost, outcome, and impact of health services at facility, sub
national, and national levels. However, if personal health information is not
held confidentially and securely, individuals with communicable or non
communicable diseases (NCDs) may be reluctant to use preventive or therapeutic
health services, due to fear of being stigmatized or discriminated against. While
policymakers and other stakeholders in these countries recognize the need to
develop and implement policies for protecting the privacy, confidentiality and
security of personal health information, to date few of these countries have
developed, let alone implemented, coherent policies. The global HIV response
continues to emphasize the importance of collecting HIV-health information,
recently re-iterated by the Fast Track to End AIDS by 2030 program and the recent
changes in the Guidelines on When to Start Antiretroviral Therapy and on Pre
exposure Prophylaxis for HIV. The success of developing HIV treatment cascades in
low- and middle-income countries will require the development of National Health
Identification Systems. The success of programs like Universal Health Coverage,
under the recently ratified Sustainable Development Goals is also contingent on
the availability of personal health information for communicable and non
communicable diseases. DESIGN: Guidance for countries to develop and implement
their own guidelines for protecting HIV-information formed the basis of
identifying a number of fundamental principles, governing the areas of privacy,
confidentiality and security. The use of individual-level data must balance
maximizing the benefits from their most effective and fullest use, and minimizing
harm resulting from their malicious or inadvertent release. DISCUSSION: These
general principles are described in this paper, as along with a bibliography
referring to more detailed technical information. A country assessment tool and
user's manual, based on these principles, have been developed to support
countries to assess the privacy, confidentiality, and security of personal health
information at facility, data warehouse/repository, and national levels. The
successful development and implementation of national guidance will require
strong collaboration at local, regional, and national levels, and this is a pre
condition for the successful implementation of a range of national and global
programs. CONCLUSION: This paper is a call for action for stakeholders in low-
and middle-income countries to develop and implement such coherent policies and
provides fundamental principles governing the areas of privacy, confidentiality,
and security of personal health information being collected in low- and middle
income countries.
PMID- 27885973
TI - Leprosy trends at a tertiary care hospital in Mumbai, India, from 2008 to 2015.
AB - BACKGROUND: Leprosy remains an important cause of preventable disabilities. After
the advent of multidrug therapy, new leprosy cases have come down dramatically.
Despite this achievement, India, which contributes 60% of the global leprosy
burden, faces some challenges to eliminate the disease, including active
transmission in the community and delayed diagnosis of leprosy patients.
OBJECTIVES: The objectives of the study were 1) to determine sociodemographic and
clinical characteristics of newly diagnosed adults and children (less than 15
years) with leprosy and their trends over time (2008-2015) and 2) to describe the
profile of surgical procedures among leprosy patients registered for
reconstructive surgeries during 2006-2015. DESIGN: Retrospective descriptive
study was conducted involving a record review of new patients with leprosy
registered in Vimala Dermatological Centre, Mumbai. RESULTS: A total of 578 new
leprosy cases were registered in the hospital during 2008-2015. There has been a
steady increase in the trend of child cases (less than 15 years) registered in
the facility (from 3% in 2008 to 18% in 2015), x2=12.11, p<0.01. The majority of
the patients (68%) were migrants of Uttar Pradesh and Bihar. CONCLUSIONS:
Targeting children and migrants and ensuring early diagnosis and treatment
initiation are essential components for leprosy elimination in an urban
metropolis in India.
PMID- 27885974
TI - The Academy Research Grants in Hearing and Balance.
PMID- 27885975
TI - Hearing Benefit and Rated Satisfaction in Children with Unilateral Conductive
Hearing Loss Using a Transcutaneous Magnetic-Coupled Bone-Conduction Hearing Aid.
AB - BACKGROUND: Bilateral hearing is important for learning, development, and
function in complex everyday environments. Children with conductive and mixed
hearing loss (HL) have been treated for years with percutaneous coupling through
an abutment, which achieves powerful output, but the implant site is susceptible
to skin reactions and trauma. To overcome these complications, transcutaneous
magnetic coupling systems were recently introduced. PURPOSE: The purpose of the
study was to evaluate whether the new transcutaneous magnetic coupling is an
effective coupling paradigm for bone-conduction hearing aids (BCHAs). We
hypothesized that magnetic coupling will (1) have limited adverse events, (2)
provide adequate functional gain, (3) improve spatial hearing and aid listening
in everyday situations, and (4) provide satisfactory outcomes to children and
their families given one normal hearing ear. RESEARCH DESIGN: Retrospective
analysis of audiological outcomes in a tertiary academic pediatric hospital.
STUDY SAMPLE: Nine children aged 5-17 yr with permanent unilateral conductive HL
(UCHL) or mixed HL were implanted with a transcutaneous magnet-retained BCHA.
Average hearing thresholds of the better and implanted ears were 12.3 +/- 11.5 dB
HL and 69.1 +/- 11.6 dB HL, respectively, with a 59.4 +/- 4.8 dB (mean +/-
standard deviation) conductive component. DATA COLLECTION AND ANALYSIS: Data were
extracted from audiology charts of the children with permanent UCHL or mixed HL
who qualified for a surgically retained BCHA and agreed to the magnetic coupling.
Outcomes were collected from the 3- to 9-mo follow-up appointments, and included
surgical complications, aided audiometric thresholds with varying magnet
strength, speech performance in quiet and noise, and patient-rated benefit and
satisfaction using questionnaires. Repeated measures analysis of variance was
used to analyze audiometric outcomes, and nonparametric tests were used to
evaluate rated benefit and satisfaction. RESULTS: All nine children tolerated the
device and only one child had discomfort at the wound site. Similar access to
sound was achieved regardless of magnet strength. Speech performance did not
significantly improve in quiet or noise conditions with +10 and +5 dB signal-to
noise ratio. Children benefited from spatially separating the noise from the
speech signal, regardless of whether the noise was directed to the implanted or
better ear. When wearing the BCHA, the children reported satisfaction and
significant implant benefit, particularly in background noise, but at the expense
of increased aversiveness to sound. CONCLUSIONS: Our findings, therefore,
indicate that providing a transcutaneous magnetic-coupled BCHA to children who
have UCHL or mixed HL provides benefit on some objective measures of bilateral
hearing, as well as some subjective benefit in noise and everyday situations.
PMID- 27885976
TI - Negative Effect of Acoustic Panels on Listening Effort in a Classroom
Environment.
AB - BACKGROUND: Acoustic panels are used to lessen the pervasive effects of noise and
reverberation on speech understanding in a classroom environment. These panels,
however, predominately absorb high-frequency energy important to speech
understanding. Therefore, a classroom environment treated with acoustic panels
might negatively influence the transmission of the target signal, resulting in an
increase in listening effort exerted by the listener. PURPOSE: Acoustic panels
were installed in a public school environment that did not meet the ANSI
recommended guidelines for classroom design. We assessed the modifications to the
acoustic climate by quantifying the effect of (1) acoustic panel (i.e., without,
with) on the transmission of a standardized target signal at different seat
positions (i.e., A-D) using the Speech Transmission Index (STI) and (2) acoustic
panel and seat position on listening-effort performance in a group of third-grade
students having normal-hearing sensitivity using a dual-task paradigm. RESEARCH
DESIGN: STI measurements are described qualitatively. We used a repeated-measures
randomized design to assess listening-effort performance of monosyllabic words in
a primary task and digit recall in a secondary task for the independent variables
of acoustic panel and seat position. STUDY SAMPLE: Twenty-seven, third-grade
students (12 males, 15 females), ranging in age from 8.3 to 9.4 yr (mean = 8.7
yr, standard deviation = 0.7), participated in this study. DATA COLLECTION AND
ANALYSIS: Qualitatively, we performed STI measurements under both testing
conditions (i.e., panel and seat location). For the primary task of the dual-task
paradigm, participants heard a ten-item list of monosyllabic words (i.e., ten
words per list) recorded through a manikin in the classroom environment without
and with acoustic panels and at different seat positions. Participants were asked
to repeat each word exactly as it was heard. During the secondary task,
participants were shown a single, random string of five digits before the
presentation of the monosyllabic words. After each list in the primary task was
completed, participants were asked to recall the string of five digits verbatim.
RESULTS: Word-recognition and digit-recall performance decreased with the
presence of acoustic panels and as the distance from the target signal to a given
seat location increased. The results were validated using the STI, as indicated
by a decrease in the transmission of the target signal in the presence of
acoustic panel and as the distance to a given seat location increased.
CONCLUSIONS: The inclusion of acoustic panels reduced the negative effects of
noise and reverberation in a classroom environment, resulting in an acoustic
climate that complied with the ANSI-recommended guidelines for classroom design.
Results, however, revealed that participants required an increased amount of
mental effort when the classroom was modified with acoustic treatment compared to
no acoustic treatment. Independent of acoustic treatment, mental effort was
greatest at seat locations beyond the critical distance (CD). With the addition
of acoustic panels, mental effort was found to increase significantly at seat
locations beyond the CD compared to the unmodified room condition. Overall,
results indicate that increasing the distance between the teacher and child has a
detrimental impact on mental effort and, ultimately, academic performance.
PMID- 27885977
TI - Preference to Patient-Centeredness in Undergraduate Audiology Students in
Portugal.
AB - BACKGROUND: In health care, the model of patient-centered care is growing; and
improved outcomes have been linked to patient-centeredness. Practicing
audiologists have been found to strongly prefer a patient-centered approach as
years in practice increase. It is unknown whether patient-centeredness begins
during education and training. PURPOSE: The current study was aimed at
understanding the preference to patient-centeredness in undergraduate audiology
students in Portugal. RESEARCH DESIGN: The study used a cross-sectional survey
design. STUDY SAMPLE: One hundred and thirty-seven undergraduate audiology
students completed patient-practitioner orientation scale (PPOS) and provided
some demographic details. DATA COLLECTION AND ANALYSIS: The data were analyzed
using one-way analysis of variance and one-sample t tests. RESULTS: A significant
difference was found for sharing subscale (p <= 0.001), caring subscale (p =
0.033), and the PPOS full scale (p <= 0.001) among different undergraduate
groups. Further, post hoc tests showed that the difference between year 1 and
with years 2, 3, and 4 were significant for sharing subscale and PPOS full scale,
but not for caring subscale. No significant differences were observed among the
years 2, 3, and 4 for sharing subscale, caring subscale, and for PPOS full scale.
When compared audiologists' preferences from a previous study on audiologists
with students' preferences in the current study, significant difference for both
subscales and full scale was found between year 1 students and audiologists (p <=
0.001), with higher preference to patient-centeredness was reported by qualified
audiologists. Also, significant difference was found between audiologists and
overall undergraduate group for caring subscale (p = 0.001). CONCLUSIONS: The
current study suggests that audiology education influences preference to patient
centeredness. Within a year of undergraduate coursework, students tend to develop
high preference to patient-centeredness, which stays stable during four years of
undergraduate studies. These results provide useful insights to audiology
education and training, particularly in the context of audiological
rehabilitation.
PMID- 27885978
TI - Factors Affecting Daily Cochlear Implant Use in Children: Datalogging Evidence.
AB - BACKGROUND: Children with profound hearing loss can gain access to sound through
cochlear implants (CIs), but these devices must be worn consistently to promote
auditory development. Although subjective parent reports have identified several
factors limiting long-term CI use in children, it is also important to understand
the day-to-day issues which may preclude consistent device use. In the present
study, objective measures gathered through datalogging software were used to
quantify the following in children: (1) number of hours of CI use per day, (2)
practical concerns including repeated disconnections between the external
transmission coil and the internal device (termed "coil-offs"), and (3) listening
environments experienced during daily use. PURPOSE: This study aimed to (1)
objectively measure daily CI use and factors influencing consistent device use in
children using one or two CIs and (2) evaluate the intensity levels and types of
listening environments children are exposed to during daily CI use. RESEARCH
DESIGN: Retrospective analysis. STUDY SAMPLE: Measures of daily CI use were
obtained from 146 pediatric users of Cochlear Nucleus 6 speech processors. The
sample included 5 unilateral, 40 bimodal, and 101 bilateral CI users (77
simultaneously and 24 sequentially implanted). DATA COLLECTION AND ANALYSIS:
Daily CI use, duration, and frequency of coil-offs per day, and the time spent in
multiple intensity ranges and environment types were extracted from the datalog
saved during clinic appointments. Multiple regression analyses were completed to
predict daily CI use based on child-related demographic variables, and to
evaluate the effects of age on coil-offs and environment acoustics. RESULTS:
Children used their CIs for 9.86 +/- 3.43 hr on average on a daily basis, with
use exceeding 9 hr per day in ~64% of the children. Daily CI use reduced
significantly with increasing durations of coil-off (p = 0.027) and increased
significantly with longer CI experience (p < 0.001) and pre-CI acoustic
experience (p < 0.001), when controlled for the child's age. Total time in sound
(sum of CI and pre-CI experience) was positively correlated with CI use (r =
0.72, p < 0.001). Longer durations of coil-off were associated with higher
frequency of coil-offs (p < 0.001). The frequency of coil-offs ranged from 0.99
to 594.10 times per day and decreased significantly with age (p < 0.001). Daily
CI use and frequency of coil-offs did not vary significantly across known
etiologies. Listening environments of all children typically ranged between 50
and 70 dBA. Children of all ages were exposed to speech in noisy environments.
Environment classified as "music" was identified more often in younger children.
CONCLUSIONS: The majority of children use their CIs consistently, even during the
first year of implantation. The frequency of coil-offs is a practical challenge
in infants and young children, and demonstrates the need for improved coil
retention methods for pediatric use. Longer hearing experience and shorter coil
off time facilitates consistent CI use. Children are listening to speech in noisy
environments most often, thereby indicating a need for better access to binaural
cues, signal processing, and stimulation strategies to aid listening. Study
findings could be useful in parent counseling of young and/or new CI users.
PMID- 27885979
TI - Gender Differences in Audiological Findings and Hearing Aid Benefit in 255
Individuals with Auditory Neuropathy Spectrum Disorder: A Retrospective Study.
AB - BACKGROUND: There are many studies reported in the literature that have
summarized audiological findings and possible rehabilitation in individuals with
auditory neuropathy spectrum disorder (ANSD). However, there are very few studies
that have attempted to delineate the gender differences in audiological
characteristics and hearing aid benefit in individuals with ANSD. PURPOSE: The
study aimed to explore the differences between males and females in terms of
demographic details, audiogram, speech identification scores, otoacoustic
emissions, acoustic reflexes, long latency responses, and hearing aid benefit.
RESEARCH DESIGN: A retrospective study. STUDY SAMPLE: A total of 255 individuals
diagnosed with ANSD were selected for the study. The study included 137 females
and 88 males. DATA COLLECTION AND ANALYSIS: The demographic details, results of
diagnostic audiological testing, and hearing aid benefit were analyzed
retrospectively. The differences in findings across gender were compared.
RESULTS: The study shows that females have a relatively higher degree of hearing
loss and that the majority of females show a rising type of audiometric
configuration. The study shows that females have poorer speech perception
abilities and experience limited benefits from hearing aids compared to males.
CONCLUSIONS: The results of the study show that there are gender differences in
audiological findings and hearing aid benefits in individuals with ANSD. However,
well-controlled prospective studies are essential to confirm the results obtained
and to identify the possible mechanisms underlying the gender differences.
PMID- 27885980
TI - A Revision Surgery for Cochlear Implantation in a Case of Incomplete Partition
Type I.
AB - BACKGROUND: Patients with cochlear malformations were long considered poor
candidates for cochlear implantation (CI), and surgical approaches different than
the standard facial recess approach were used to access the inner ear. There is
no previous long-term follow-up of a patient with significantly malformed inner
ear operated through an untraditional route and requiring a revision surgery.
PURPOSE: This case provides a long-term follow-up from the initial surgery, a
short-term follow-up from the revision surgery, and it illustrates the evolving
classification of inner ear malformations as well as the potential problems
associated with nonstandard approaches to the cochlea. RESEARCH DESIGN: A case
report. INTERVENTION: Herein, we report a case of revision CI in a patient with
incomplete partition type I, through the round window via a facial recess
approach, 18 yr after an initial implantation via a transmastoid labyrinthotomy
approach. RESULTS: The patient had an uncomplicated surgery, and after
activation, she noted auditory perception on all electrodes without facial
stimulation. A sound field sound awareness threshold was obtained at 15 dB HL.
CONCLUSIONS: As the prior generation of cochlear implant recipients ages, the
probability of a revision surgery for various causes increases. Cochlear implant
surgeons should be aware of the potential pitfalls associated in these often
challenging cases.
PMID- 27885984
TI - A new trick for an old lipid.
AB - Cholesterol can regulate the Hedgehog signalling pathway by directly binding to a
receptor on the cell surface.
PMID- 27885983
TI - Klp10A, a stem cell centrosome-enriched kinesin, balances asymmetries in
Drosophila male germline stem cell division.
AB - Asymmetric stem cell division is often accompanied by stereotypical inheritance
of the mother and daughter centrosomes. However, it remains unknown whether and
how stem cell centrosomes are uniquely regulated and how this regulation may
contribute to stem cell fate. Here we identify Klp10A, a microtubule
depolymerizing kinesin of the kinesin-13 family, as the first protein enriched in
the stem cell centrosome in Drosophila male germline stem cells (GSCs). Depletion
of klp10A results in abnormal elongation of the mother centrosomes in GSCs,
suggesting the existence of a stem cell-specific centrosome regulation program.
Concomitant with mother centrosome elongation, GSCs form asymmetric spindle,
wherein the elongated mother centrosome organizes considerably larger half
spindle than the other. This leads to asymmetric cell size, yielding a smaller
differentiating daughter cell. We propose that klp10A functions to counteract
undesirable asymmetries that may result as a by-product of achieving asymmetries
essential for successful stem cell divisions.
PMID- 27885985
TI - Connectivity map of bipolar cells and photoreceptors in the mouse retina.
AB - In the mouse retina, three different types of photoreceptors provide input to 14
bipolar cell (BC) types. Classically, most BC types are thought to contact all
cones within their dendritic field; ON-BCs would contact cones exclusively via so
called invaginating synapses, while OFF-BCs would form basal synapses. By mining
publically available electron microscopy data, we discovered interesting
violations of these rules of outer retinal connectivity: ON-BC type X contacted
only ~20% of the cones in its dendritic field and made mostly atypical non
invaginating contacts. Types 5T, 5O and 8 also contacted fewer cones than
expected. In addition, we found that rod BCs received input from cones, providing
anatomical evidence that rod and cone pathways are interconnected in both
directions. This suggests that the organization of the outer plexiform layer is
more complex than classically thought.
PMID- 27885987
TI - Accelerated cell divisions drive the outgrowth of the regenerating spinal cord in
axolotls.
AB - Axolotls are unique in their ability to regenerate the spinal cord. However, the
mechanisms that underlie this phenomenon remain poorly understood. Previously, we
showed that regenerating stem cells in the axolotl spinal cord revert to a
molecular state resembling embryonic neuroepithelial cells and functionally
acquire rapid proliferative divisions (Rodrigo Albors et al., 2015). Here, we
refine the analysis of cell proliferation in space and time and identify a high
proliferation zone in the regenerating spinal cord that shifts posteriorly over
time. By tracking sparsely-labeled cells, we also quantify cell influx into the
regenerate. Taking a mathematical modeling approach, we integrate these
quantitative datasets of cell proliferation, neural stem cell activation and cell
influx, to predict regenerative tissue outgrowth. Our model shows that while cell
influx and neural stem cell activation play a minor role, the acceleration of the
cell cycle is the major driver of regenerative spinal cord outgrowth in axolotls.
PMID- 27885989
TI - Correction of: Sleep Quality Prediction From Wearable Data Using Deep Learning.
AB - [This corrects the article DOI: 10.2196/mhealth.6562.].
PMID- 27885986
TI - Mapping out Min protein patterns in fully confined fluidic chambers.
AB - The bacterial Min protein system provides a major model system for studying
reaction-diffusion processes in biology. Here we present the first in vitro study
of the Min system in fully confined three-dimensional chambers that are
lithography-defined, lipid-bilayer coated and isolated through pressure valves.
We identify three typical dynamical behaviors that occur dependent on the
geometrical chamber parameters: pole-to-pole oscillations, spiral rotations, and
traveling waves. We establish the geometrical selection rules and show that,
surprisingly, Min-protein spiral rotations govern the larger part of the
geometrical phase diagram. Confinement as well as an elevated temperature reduce
the characteristic wavelength of the Min patterns, although even for confined
chambers with a bacterial-level viscosity, the patterns retain a ~5 times larger
wavelength than in vivo. Our results provide an essential experimental base for
modeling of intracellular Min gradients in bacterial cell division as well as,
more generally, for understanding pattern formation in reaction-diffusion
systems.
PMID- 27885990
TI - Correction: Validation of a preclinical model for assessment of drug efficacy in
melanoma.
PMID- 27885988
TI - Global mapping of highly pathogenic avian influenza H5N1 and H5Nx clade 2.3.4.4
viruses with spatial cross-validation.
AB - Global disease suitability models are essential tools to inform surveillance
systems and enable early detection. We present the first global suitability model
of highly pathogenic avian influenza (HPAI) H5N1 and demonstrate that reliable
predictions can be obtained at global scale. Best predictions are obtained using
spatial predictor variables describing host distributions, rather than land use
or eco-climatic spatial predictor variables, with a strong association with
domestic duck and extensively raised chicken densities. Our results also support
a more systematic use of spatial cross-validation in large-scale disease
suitability modelling compared to standard random cross-validation that can lead
to unreliable measure of extrapolation accuracy. A global suitability model of
the H5 clade 2.3.4.4 viruses, a group of viruses that recently spread extensively
in Asia and the US, shows in comparison a lower spatial extrapolation capacity
than the HPAI H5N1 models, with a stronger association with intensively raised
chicken densities and anthropogenic factors.
PMID- 27885991
TI - Retraction: MMP-13 is involved in oral cancer cell metastasis.
PMID- 27885992
TI - Effect of accelerated aging on the cross-link density of medical grade silicones.
AB - Four specimens of Nagor silicone of different hardness (soft, medium and hard)
were swollen, until they reached equilibrium (i.e. constant mass) in five liquids
at 25 degrees C, before and after accelerated aging. For the specimens swollen
before accelerated aging, the greatest swelling was obtained in methyl
cyclohexane, while for the specimens swollen after accelerated aging, the
greatest swelling was obtained in cyclohexane. The cross-link density, upsilon,
was also calculated from the swelling measurements for all the specimens, before
and after accelerated aging, using the Flory-Rehner equation. The softer
silicones, which swelled the most, had lower upsilon values than harder
silicones. The amount of swelling (measured in terms of phi) and upsilon varied
significantly (p<0.05) in some cases, between the different silicone hardness and
between different liquids. Furthermore, the cross-link density, upsilon,
significantly (p<0.05) increased after accelerated aging in most liquids.Note:
phi is defined as the volume fraction of polymer in its equilibrium swollen
state. A probability value of statistical significance of 0.05 or 5% was
selected, hence if a p value of less than 0.05 was obtained, the null hypothesis
was rejected (i.e. significant if p<0.05).
PMID- 27885993
TI - Arterial pulsatility under phasic left ventricular assist device support.
AB - The aim of this study is to understand whether the phasic Continuous Flow Left
Ventricular Assist Device (CF-LVAD) support would increase the arterial
pulsatility. A Micromed DeBakey CF-LVAD was used to apply phasic support in an ex
vivo experimental platform. CF-LVAD was operated over a cardiac cycle by phase
shifting the pulsatile pump control with respect to the heart cycle, in 0.05 s
increments in each experiment. The pump flow rate was selected as the control
variable and a reference model was used to operate the CF-LVAD at a pulsatile
speed. Arterial pulse pressure was the highest (9 mmHg) when the peak pump flow
is applied at the peak systole under varying speed CF-LVAD support over a cardiac
cycle while it was the lowest (2 mmHg) when the peak pump flow was applied in the
diastolic phase. The mean arterial pressure and mean CF-LVAD output were the same
in each experiment while arterial pulse pressure and pulsatility index varied
depending on the phase of reference pump flow rate signal. CF-LVAD speed should
be synchronized considering the timing of peak systole over a cardiac cycle to
increase the arterial pulsatility. Moreover, it is possible to decrease the
arterial pulsatility under counter-pulsating CF-LVAD support.
PMID- 27885994
TI - Plasma nitriding of titanium alloy: Effect of roughness, hardness,
biocompatibility, and bonding with bone cement.
AB - Titanium (Ti) alloys have been widely used in orthopedics and orthodontic
surgeries as implants because of their beneficial chemical, mechanical, and
biological properties. Improvement of these properties of a Ti alloy, Ti-6Al-4V
Eli, is possible by the use of plasma nitriding treatment on the Ti alloy. The
novelty of this study is the evaluation of a DC glow discharge nitrogen plasma
treatment method on the surface, mechanical and biological properties of Ti
alloy. Specifically, this study measured the chemical states, roughness,
hardness, and biocompatibility of plasma nitride treated Ti-6Al-4V Eli as well as
determined the effect of plasma treatment on the fracture strength between the Ti
alloy and bone clement. This study hypothesized that DC glow discharge nitrogen
plasma treatment may alter the surface chemical and mechanical states of the Ti
alloy that may influence the fracture strength of implant/cement interfaces under
static load. This study found that plasma nitride treatment on Ti alloy does not
have effect on the roughness and biocompatibility (P value > 0.5), but
significantly effect on the hardness and fracture strength of Ti-bone cement
interfaces compared to those values of untreated Ti samples (P value < 0.5).
Therefore, the DC glow discharge nitrogen plasma treated Ti alloy can potentially
be used for orthopedic applications.
PMID- 27885995
TI - Transdermal delivery of estradiol-loaded PLGA nanoparticles using iontophoresis
for treatment of osteoporosis.
AB - BACKGROUND: Estradiol is one of the therapeutic agents for osteoporosis. We have
reported transdermal permeability of estradiol-loaded nanoparticles, and
permeability effect of estradiol was enhanced by using nanoparticle system and
iontophoresis [Colloids and Surfaces B: Biointerfaces97 (2012), 84-89].
OBJECTIVE: This study was conducted in vivo to evaluate therapeutic efficacy of
the estradiol-loaded PLGA nanoparticles for osteoporosis. METHODS: Prior to the
in vivo study, we have determined the surface charge density of the particles and
found they have negatively charged polyelectrolyte layers on the surfaces.
Ovariectomized female Sprague-Dawley rats were used as an animal model of
osteoporosis. They were separated into three groups by administration route of
estradiol-loaded PLGA nanoparticles, passive diffusion group, iontophoresis group
and control. After treatment, we have measured bone mineral density of spine
using an X-ray computed tomography system. RESULTS: Bone mineral density after
iontophoresis was significantly higher than that of passive diffusion and control
group. By usage of iontophoresis, the nanoparticles were permeated through
follicles and migrated into capillary vessel around follicles, and the loaded
drug reached effective blood concentration in plasma of rat. CONCLUSIONS: From
this study, we found that the combination with charged nanoparticle system and
iontophoresis is useful to osteoporosis treatment.
PMID- 27885996
TI - Improvement of biological and mechanical properties of titanium surface by anodic
oxidation.
AB - A homogeneous and uniform array of nanotubes with a diameter of about 70 nm was
produced on titanium (Ti) surface by anodic oxidation. The wall thickness of the
nanotubes was around 20 nm, and the depth was about 200 nm. The biological
properties of the anodized Ti surface were investigated by simulated body fluid
(SBF) soaking test and in vitro cell culture test. The mechanical properties were
evaluated by instrumented nanoindentation test and friction-wear test. The
results showed that the anodized Ti surface can induce the formation of bone-like
apatite after immersion in SBF for four weeks, enhance cell adhesion,
proliferation and gene expression, it also showed decreased friction coefficient,
similar stiffness and Young's modulus to those of the cortical bone. Based on
these results, it can be concluded that anodic oxidation endowed the Ti surface
with improved biological and mechanical properties, which was attributed to the
formation of nanostructured surface.
PMID- 27885997
TI - The enhancement of osteogenic capacity in a synthetic BMP-2 derived peptide
coated mineralized collagen composite in the treatment of the mandibular defects.
AB - The novel synthetic peptide P17-BMP-2 could promote cell attachment and enhance
osteogenic capability. A composite, comprising nano-hydroxyapatite, collagen and
poly(L-lactide) (nHAC/PLLA), was an efficient scaffold for carrier of P17-BMP-2.
Our aim was to investigate whether nHAC/PLLA/P17-BMP-2 accelerates the
osteogenesis as a reliable method for mandibular defect healing in this study.
The repair capability was assessed by the gross observation, X-ray test and
histological observation in four animal experiment groups at 2 week and 4 week
after surgery: Group A (control), Group B (nHAC/PLLA treatment), Group C
(nHAC/PLLA with 2 mg/g P17-BMP-2 treatment) and Group D (nHAC/PLLA with 10 mg/g
P17-BMP-2 treatment). The Lane-Sandhu X-ray scores of the four groups were
compared among four groups as well. The results showed that the composites
containing the highest content of P17- BMP-2 performed best. Therefore, the
nHAC/PLLA with P17-BMP-2 composite can accelerate the osteogenesis for mandibular
defect healing and could be an ideal biological material as a bone graft material
option for clinical applications.
PMID- 27885998
TI - Bi-ventricular finite element model of right ventricle overload in the healthy
rat heart.
AB - BACKGROUND: The recognition of RV overpressure is critical to human life, as this
may signify morbidity and mortality. Right ventricle (RV) dysfunction is
understood to have an impact on the performance of the left ventricle (LV), but
the mechanisms remain poorly understood. It is understood that ventricular
compliance has the ability to affect cardiac performance. In this study, a bi
ventricular model of the rat heart was used in preference to other, single
ventricle models. Finite element analysis (FEA) of the bi-ventricular model
provides important information on the function of the healthy heart. METHODS: The
passive myocardium was modelled as a nearly incompressible, hyperelastic,
transversely isotropic material using finite element (FE) methods. Bi-ventricular
geometries of healthy rat hearts reconstructed from magnetic resonance images
were imported in Abaqus(c). In simulating the normal passive filling of the rat
heart, pressures of 4.8 kPa and 0.0098 kPa were applied to the inner walls of the
LV and RV respectively. In addition, to simulate the overpressure of the RV,
pressures of 2.4 kPa and 4.8 kPa were applied to the endocardial walls of the LV
and RV respectively. As boundary conditions, the circumferential and longitudinal
displacements at the base were set to zero. The radial displacements at the base
were left free. RESULTS: The results show that the average circumferential stress
at the mid-wall in the overloaded model increased from 2.8 kPa to 18.2 kPa. The
average longitudinal stress increased from 1.5 kPa to 9.7 kPa. Additionally, in
the radial direction, the average stress increased from 0.1 kPa to 0.6 kPa in the
mid-wall. The average circumferential strain was found to be 0.138 and 0.100 on
the endocardium of the over pressured and healthy model respectively. The average
circumferential stress at the epicardium, mid-wall and endocardium in the case of
a normal heart is 10 times lower than in the overloaded heart model. CONCLUSION:
The finite analysis method is able to provide insights into the behaviour of the
over pressured model (myocardium). In the overloaded model the high stresses and
strains were observed on the septal wall. The bi-ventricular model was shown to
provide useful information relating to the over pressured ventricle. The possible
heart dysfunction may be attributable to high stress and strain in the over
pressured heart.
PMID- 27885999
TI - Peripheral vasomotor activity assessment using a continuous wavelet analysis on
webcam photoplethysmographic signals.
AB - BACKGROUND: Vasoconstriction and vasodilation phenomena reflect the relative
changes in the vascular bed. They induce particular modifications in the pulse
wave magnitude. Webcams correspond to remote sensors that can be employed to
measure the pulse wave in order to compute the pulse frequency. OBJECTIVE: Record
and analyze pulse wave signal with a low-cost webcam to extract the amplitude
information and assess the vasomotor activity of the participant. METHODS:
Photoplethysmographic signals obtained from a webcam are analyzed through a
continuous wavelet transform. The performance of the proposed filtering technique
was evaluated using approved contact probes on a set of 12 healthy subjects after
they perform a short but intense physical exercise. During the rest period, a
cutaneous vasodilation is observable. RESULTS: High degrees of correlation
between the webcam and a reference sensor were obtained. CONCLUSIONS: Webcams are
low-cost and non-contact devices that can be used to reliably estimate both heart
rate and peripheral vasomotor activity, notably during physical exertion.
PMID- 27886000
TI - Evaluation of corrosion resistance and surface characteristics of orthodontic
wires immersed in different mouthwashes.
AB - BACKGROUND: Patients use mouthwashes in addition to mechanical cleaning during
orthodontic treatment. The effects of mouthwashes on the archwires have not been
examined yet. OBJECTIVE: To compare the corrosion resistance of four different
arch wires and corrosion effects of different mouthwashes to formulate a
biocompatible and mechanically useful arch wire and mouthwash combination.
METHODS: Each group comprised of 4 wire samples of 2 cm 0.016 * 0.022 inch. 1st
group: ion implanted nickel titanium (INT), 2nd group: nickel titanium, without
ion implantation (NT), 3rd group: micro layered esthetic nickel titanium (ENT),
4th group: stainless steel (SS) wires. They were immersed inside 2 ml of
artificial saliva solutions (AS) for the control, or AS (9%) combined with 1 of
the 3 mouthwashes (91%) for study groups, for 24 hours. These mouthwashes were
essential oil (EO), chlorhexidine (CHX), sodium-fluoride (NaF). An
electrochemical analyzer was used for electrochemical impedance spectroscopy
measurements. RESULTS: High corrosion resistance was obtained for ENT than the
other wires. The corrosion potentials are 0.007, -0.042, 0.074 and -0.015 V
(Ag/AgCl) for ENT, INT, SS and NT in the artificial salivary, respectively. In
NaF containing mouthwash Rp value of ENT is significantly high in comparison to
others. The impedance responses of all materials increased significantly in the
presence of NaF mouthwash as well as in the CHX mouthwash. Low frequencies are
seen at all materials in EO mouthwash. Diameters of loops are 22, 5.9, 5.9 and
3.7 MOmega at ENT, INT, SS and NT. CONCLUSIONS: In this study, micro layered
esthetic nickel titanium wires are found biocompatible among other wires and NaF
and CHX mouthwashes can be recommend for their good corrosion resistance during
fixed orthodontic therapy.
PMID- 27886002
TI - Three-dimensional vs. two-dimensional shear-wave elastography of the testes -
preliminary study on a healthy collective.
AB - INTRODUCTION: Shear wave elastography (SWE) and its derivative Supersonic Shear
Imaging (SSI) are newer techniques for the determination of tissue elasticity by
measuring the velocity of generated shear waves (SWV), which correlates
positively with tissue stiffness.The techniques are integrated into many modern
ultrasound systems and have been examined in the evaluation of viscoelastic
properties of different organ systems. Two-dimensional shear wave elastography
(2D SWE) of the testes has been found to be a useful tool in recent studies which
included the determination of standard values in healthy volunteers. Three
dimensional shear wave elastography (3D SWE) is the latest development in
elastography and is made possible by generation of a multiplanar three
dimensional map via volumetric acquisition with a special ultrasound transducer.
This technique allows the assessment of tissue elasticity in a three-dimensional,
fully accessible organ map.The aim of this preliminary study was to both evaluate
the feasibility of 3D SWE and to compare 2D and 3D SWE standard values in the
testes of healthy subjects. MATERIAL AND METHODS: We examined the testes of
healthy male volunteers (n = 32) with a mean age of 51.06+/-17.75 years (range 25
77 years) by B-mode ultrasound, 2D and 3D SWE techniques in September of 2016.
Volunteers with a history of testicular pathologies were excluded. For all
imaging procedures the SL15-4 linear transducer (bandwidth 4-15 MHz) as well as
the SLV16-4 volumetric probe (bandwidth 4-16 MHz) of the Aixplorer(r) ultrasound
device (SuperSonic Imagine, Aix-en-Provence, France) were used. Seven regions of
interest (ROI, Q-Box(r)) within the testes were evaluated for SWV using both
procedures. SWV values were described in m/s. Results were statistically
evaluated using univariateanalysis. RESULTS: Mean SWV values were 1.05 m/s for
the 2D SWE and 1.12 m/s for the 3D SWE.Comparisons of local areas delivered no
statistically significant differences (p = 0.11 to p = 0.66), except for the
region in the central portion in the superior part of the coronal plane (p =
0.03). Testicular volume was significanty higher by a mean of 1.72 ml when
measured with 3D SWE (p = 0.001). CONCLUSION: 3D SWE proved to be a feasible
diagnostic tool in the assessment of testicular tissue, providing the examiner
with a fully accessible three-dimensional map in a multiplanar or multislice
view. With this technique a more precise testicular imaging - especially if
combined with the display of tissue stiffness in SWE - is available and therefore
could improve the diagnostic work-up of scrotal masses or the routine
investigation of infertile men. Further studies for a better understanding in the
context of various testicular pathologies will be required.
PMID- 27886001
TI - Strategy for the hemocompatibility testing of microparticles.
AB - Polymer-based microparticles are applied as non-thrombogenic or thrombogenic
materials in a wide variety of intra- or extra-corporeal medical devices. As
demanded by the regulatory agencies, the hemocompatibility of these blood
contacting biomaterials has to be evaluated in vitro to ensure that the particle
systems appropriately fulfill the envisioned function without causing undesired
events such as thrombosis or inflammation. Currently described in vitro assays
for hemocompatibility testing of particles comprise tests with different single
cell types (e.g. erythrocytes or leukocytes), varying concentrations/dilutions of
the used blood cells or whole blood, which are not standardized.Here, we report
about an in vitro dynamic test system for studying the hemocompatibility of
polymeric microparticles utilizing fresh human whole blood from apparently
healthy subjects, collected and processed under standardized conditions.
Spherical poly(ether imide) microparticles with an average diameter of 140+/-30
MUm were utilized as model systems. Reported as candidate materials for the
removal of uremic toxins, these microparticles are anticipated to facilitate
optimal flow conditions in a dialyzer with minimal backflow and blood cell
damage. Pristine (PEI) and potassium hydroxide (PEI-KOH) functionalized
microparticles exhibited similarly nanoporous surfaces (PEI: OExternal pore =
90+/-60 nm; PEI-KOH OExternal pore = 150+/-130 nm) but varying water
wettabilities (PEI: thetaadv = 112+/-10 degrees PEI-KOH thetaadv = 60+/-2
degrees ). The nanoporosity of the microparticle surfaces allows the exchange of
toxic solutes from blood towards the interconnective pores in the particle core,
while an immigration of the substantially larger blood cells is
inhibited.Sterilized PEI microparticles were incorporated -air-free -in a syringe
based test system and exposed to whole blood for 60 minutes under gentle
agitation. Thereafter, thrombi formation on the particles surfaces were analyzed
microscopically. In the collected whole blood the non-adherent/circulating single
blood cells were quantified via a differentiated complete blood cell count and
the activation of platelets (P-Selectin expression, secretion and release),
platelet function (PFA100 closure time) as well as thrombin formation (thrombin
antithrombin-complex) was analyzed. Free hemoglobin (HGB) levels were quantified
as a measure of hemolysis.Microscopic evaluation revealed thrombi formation and
particle aggregates for all tested microparticles. Reduction of circulating blood
cells differed significantly between the particle types. Particularly, platelet
and monocyte counts decreased up to 50% compared to the control (syringe filled
with whole blood but without microparticles). In accordance, platelet activation,
thrombin levels and degrees of hemolysis were clearly elevated in the particle
loaded test systems and allowed a differentiation between the particle types.
Increased PFA100 closure times (as activating agent a combination of collagen/ADP
was used) indicated a similarly reduced ability of platelets to adhere and form
stable aggregates independent from the particle type tested. This observation is
most probably a consequence of the strong thrombus formation in the test system,
which is associated with a reduction of the circulating blood cells.The reported
in vitro dynamic whole blood test system allowed the sensitive analysis of the
hemocompatibility of polymer-based microparticles and was successfully validated
for porous PEI microparticles with different water wettabilities. Beyond the
qualitative and quantitative analysis of cell-material interactions, the test
also allowed the functional evaluation of platelets in whole blood.
PMID- 27886003
TI - MRI and contrast enhanced ultrasound (CEUS) image fusion of renal lesions.
AB - Ultrasound is a common and established imaging method for the initial
characterization of renal lesions. The widespread used Bosniak classification (I
IV) classifies renal lesions in five individual groups using contrast-enhanced
computer tomography (CE-CT), magnetic resonance imaging (MRI) and/or contrast
enhanced ultrasound (CEUS) imaging criteria. For complex pathologies, CEUS/MRI
image fusion is a novel imaging technique for the differentiation of benign and
malignant renal lesions. Compared to CE-CT and MRI alone, ultrasound image fusion
offers the additional possibility of being a real-time imaging technique that can
be used together with other cross-sectional imaging techniques.This article
describes the newest possibilities of image fusion with CEUS and MRI in detection
and characterization of unclear renal lesions.
PMID- 27886004
TI - Influence of surface roughness on neural differentiation of human induced
pluripotent stem cells.
AB - Induced pluripotent stem cells (iPSCs) own the capacity to develop into all cell
types of the adult body, presenting high potential in regenerative medicine.
Regulating and controlling the differentiation of iPSCs using the surface
topographic cues of biomaterials is a promising and safe approach to enhance
their therapeutic efficacy. In this study, we tested the effects of surface
roughness on differentiation of human iPSCs into neural progenitor cells and
dopaminergic neuron cells using polystyrene with different roughness (R0: flat
surface; R1: rough surface, Rq ~ 6 MUm; R2: rough surface, Rq ~ 38 MUm). Neural
differentiation of human iPSCs could be influenced by surface roughness. Up
regulated neuronal markers were found in cells on rough surface, as examined by
real-time PCR and immunostaining. Particularly, the R1 surface significantly
improved the neuronal marker expression, as compared to R0 and R2 surface. This
study demonstrates the significance of surface roughness, depending on the
roughness level, in promoting differentiation of human iPSCs towards the neuronal
lineage. Our study suggests the potential applications of surface roughness in
iPSCs based treatment of neural disorder diseases, and highlights the importance
of design and development of biomaterials with effective surface structures to
regulate stem cells.
PMID- 27886005
TI - Compression in the treatment of chronic venous insufficiency: Efficacy depending
on the length of the stocking.
AB - BACKGROUND: Below knee two-component compression stockings (AD) have revealed as
effective for compression treatment of venous leg ulcers. Upto groin, thigh
length stocking (AG) may enhance clinical effects, however wear comfort of these
stocking may be affected. OBJECTIVE: venous haemodynamic in relation to the
length of compression stockings. METHODS: A two-component AD stocking (37 mmHg)
and two thigh length stockings (AG 37, with an interface pressure of 37 mmHg; AG
45, with an interface pressure of 45 mmHg) were tested by 16 patients with CVI.
Leg volume changes and venous ejection fraction and venous filling index were
measured, whilst quality of life and wear comfort were surveyed by
questionnaires. RESULTS: Volume of both the lower limb and the thigh was reduced
by AG stockings, whereas AD stockings reduced only the volume of the lower limb
and increased thigh volume. Venous hemodynamic, ejection fraction and filling
index were improved by AG and AD stockings, AG, however, was superior to AD.
Quality of life and comfort of the stockings was assessed as good for AG 37 mmHg,
AG 45 mmHg and AD 37 mmHg. CONCLUSIONS: Thigh length two component stockings (AG)
were shown to be superior to below knee stocking (AD) with regard to volume
reduction and venous hemodynamic, yet wear comfort was not impaired. These
results imply that healing of trophic skin changes e.g. ulcers will be faster
when thigh length two component stocking will be worn.
PMID- 27886007
TI - Sleep-Wake Profile in Dementia with Lewy Bodies, Alzheimer's Disease, and Normal
Aging.
AB - BACKGROUND: Alterations of the sleep-wake cycle are common features of
neurodegenerative dementia. OBJECTIVES: To study differences in sleep-wake
profiles in dementia with Lewy bodies (DLB), Alzheimer's disease (AD), and
healthy controls. METHODS: 30 DLB and 32 AD patients, and 33 healthy elderly
participants were studied. Patients were evaluated for global cognitive
impairment, extrapyramidal signs, fluctuations of attention, and behavioral
disorders. A comprehensive sleep-wake profile was obtained including a set of
questionnaires [Pittsburgh Sleep Quality Index (PSQI), REM Sleep Behavior
Disorder Single-Question screen (RBD1Q), Epworth Sleepiness Scale (ESS)] and 12
day sleep diaries. RESULTS: Patients were matched for age, gender, and disease
severity. DLB patients showed more severe daytime somnolence/dysfunction due to
somnolence, and a higher proportion of RBD-like symptoms (70%) compared to AD and
controls (p < 0.001), regardless of the presence of psychoactive drug treatment.
As for sleep timing, DLB patients had a greater number of daytime naps and longer
night sleep, with the latter being associated with use of clonazepam. The
severity of fluctuations was associated with the presence of RBD (Clinician
Assessment of Fluctuation score = RBD+: 5.2+/-3.7; RBD-: 2.1+/-3.2, p = 0.04). AD
patients reported the best sleep-wake profile, while healthy controls declared
the poorest sleep quality, although sleep timing and the quality of wakefulness
were comparable between AD and controls. DISCUSSION: RBD and daytime fluctuations
of attention may coexist in DLB and even reciprocally potentiate each other. Self
reports of sleep quality may lead to an underestimation of sleep disturbances in
AD, possibly influenced by anosognosia, compared to normal elderly individuals
who complain mainly of insomnia.
PMID- 27886006
TI - Semi-automated extraction and characterization of Stromal Vascular Fraction using
a new medical device.
AB - INTRODUCTION: The stem cell rich Stromal Vascular Fraction (SVF) can be harvested
by processing lipo-aspirate or fat tissue with an enzymatic digestion followed by
centrifugation. To date neither a standardised extraction method for SVF nor a
generally admitted protocol for cell application in patients exists. A novel
commercially available semi-automated device for the extraction of SVF promises
sterility, consistent results and usability in the clinical routine. The aim of
this work was to compare the quantity and quality of the SVF between the new
system and an established manual laboratory method. MATERIAL AND METHODS: SVF was
extracted from lipo-aspirate both by a prototype of the semi-automated
UNiStationTM (NeoGenesis, Seoul, Korea) and by hand preparation with common
laboratory equipment. Cell composition of the SVF was characterized by multi
parametric flow-cytometry (FACSCanto-II, BD Biosciences). The total cell number
(quantity) of the SVF was determined as well the percentage of cells expressing
the stem cell marker CD34, the leucocyte marker CD45 and the marker CD271 for
highly proliferative stem cells (quality). RESULTS: Lipo-aspirate obtained from
six patients was processed with both the novel device (d) and the hand
preparation (h) which always resulted in a macroscopically visible SVF. However,
there was a tendency of a fewer cell yield per gram of used lipo-aspirate with
the device (d: 1.1*105+/-1.1*105 vs. h: 2.0*105+/-1.7*105; p = 0.06). Noteworthy,
the percentage of CD34+ cells was significantly lower when using the device (d:
57.3% +/-23.8% vs. h: 74.1% +/-13.4%; p = 0.02) and CD45+ leukocyte counts tend
to be higher when compared to the hand preparation (d: 20.7% +/-15.8% vs. h: 9.8%
+/-7.1%; p = 0.07). The percentage of highly proliferative CD271+ cells was
similar for both methods (d:12.9% +/-9.6% vs. h: 13.4% +/-11.6%; p = 0.74) and no
differences were found for double positive cells of CD34+/CD45+ (d: 5.9% +/-1.7%
vs. h: 1.7% +/-1.1%; p = 0.13), CD34+/CD271+ (d: 24.1% +/-12.0% vs. h: 14.2% +/
8.5%; p = 0.07). DISCUSSION: The semi-automated closed system provides a
considerable amount of sterile SVF with high reproducibility. Furthermore, the
SVF extracted by both methods showed a similar cell composition which is in
accordance with the data from literature. This semi-automated device offers an
opportunity to take research and application of the SVF one step further to the
clinic.
PMID- 27886008
TI - The Alteration of ZiBuPiYin Recipe on Proteomic Profiling of Forebrain
Postsynaptic Density of db/db Mice with Diabetes-Associated Cognitive Decline.
AB - Diabetes-associated cognitive decline (DACD) is a brain injury induced by
diabetes mellitus, with cognitive impairment as the major symptom. Growing
evidence has revealed that DACD is correlated with disruptions in synapses
involved in cognition. Within synapses, more specifically in areas of
postsynaptic density (PSD), there is a high concentration of proteins that
receive and transduce synaptic information. In the present study, to identify the
differentially expressed PSD proteins among DACD mice, ZiBuPiYin recipe (ZBPYR)
treated DACD mice and control mice, we applied isobaric tags for relative and
absolute quantitation (iTRAQ) with LC-MS/MS technology, by which three biological
replicates and three technical replicates were examined. A total of 24 and 23
differentially expressed proteins were observed in control versus DACD mice and
in DACD versus ZBPYR-treated DACD mice, respectively. Notably, we found 'Protein
processing in endoplasmic reticulum' and 'PI3K-Akt signaling pathway' might be
impaired in DACD pathogenesis, while Growth factor receptor-bound protein 2 might
be a crucial protein as a molecular target of the neuroprotective effects of
ZBPYR. To our knowledge, this is the first study to provide a reference proteome
map for DACD and ZBPYR-treated DACD mouse forebrain PSD to aid understanding the
underlying mechanisms of DACD and ZBPYR.
PMID- 27886009
TI - Diagnostic Accuracy of a Combined Analysis of Cerebrospinal Fluid t-PrP, t-tau, p
tau, and Abeta42 in the Differential Diagnosis of Creutzfeldt-Jakob Disease from
Alzheimer's Disease with Emphasis on Atypical Disease Variants.
AB - According to recent studies, the determination of cerebrospinal fluid (CSF) total
tau (t-tau)/phosphorylated tau (p-tau) ratio and total prion protein (t-PrP)
levels significantly improves the accuracy of the diagnosis of Alzheimer's
disease (AD) in atypical cases with clinical or laboratory features mimicking
Creutzfeldt-Jakob disease (CJD). However, this has neither been validated nor
tested in series including atypical CJD variants. Furthermore, the added
diagnostic value of amyloid-beta (Abeta)42 remains unclear. To address these
issues, we measured t-PrP, 14-3-3, t-tau, p-tau, and Abeta42 CSF levels in 45
typical and 44 atypical/rapidly progressive AD patients, 54 typical and 54
atypical CJD patients, and 33 controls. CJD patients showed significantly lower
CSF t-PrP levels than controls and AD patients. Furthermore, atypical CJD was
associated with lower t-PrP levels in comparison to typical CJD. T-tau, 14-3-3,
or t-PrP alone yielded, respectively, 80.6, 63.0, and 73.0% sensitivity and 75.3,
92.1, and 75% specificity in distinguishing AD from CJD. On receiver operating
characteristic (ROC) curve analyses of biomarker combinations, the (t
tau*Abeta42)/(p-tau*t-PrP) ratio achieved the best accuracy, with 98.1%
sensitivity and 97.7% specificity overall, and 96.2% sensitivity and 95.5%
specificity for the "atypical" disease groups. Our results show that the combined
analysis of CSF t-PrP, t-tau, p-tau, and Abeta42 is clinically useful in the
differential diagnosis between CJD and AD. Furthermore, the finding of reduced
CSF t-PrP levels in CJD patients suggest that, likewise Abeta42 in AD, CSF t-PrP
levels reflect the extent of PrPc conversion into abnormal PrP (PrPSc) and the
burden of PrPSc deposition in CJD.
PMID- 27886010
TI - Discriminative Properties of Hippocampal Hypoperfusion in Marijuana Users
Compared to Healthy Controls: Implications for Marijuana Administration in
Alzheimer's Dementia.
AB - BACKGROUND: Few studies have evaluated the impact of marijuana use on regional
cerebral blood flow. OBJECTIVE: To determine whether perfusion in specific brain
regions on functional neuroimaging, including those affected by Alzheimer's
disease pathology, are abnormal in marijuana users compared to controls. METHOD:
Persons with a diagnosis of cannabis use disorder by DSM-IV and DSM-V criteria (n
= 982) were compared to controls (n = 92) with perfusion neuroimaging with SPECT
at rest and at a concentration task. Perfusion estimates were quantified using a
standard atlas. Cerebral perfusion differences were calculated using one-way
ANOVA. Diagnostic separation was determined with discriminant analysis of all
subjects. Feature selection with a minimum redundancy maximum relevancy (mRMR)
identified predictive regions in a subset of marijuana users (n = 436) with
reduced psychiatric co-morbidities. RESULTS: Marijuana users showed lower
cerebral perfusion on average (p < 0.05). Discriminant analysis distinguished
marijuana users from controls with correct classification of 96% and leave one
out cross-validation of 92%. With concentration SPECT regions, there was correct
classification of 95% with a leave-one-out cross validation of 90%. AUC analysis
for concentration SPECT regions showed 95% accuracy, 90% sensitivity, and 83%
specificity. The mRMR analysis showed right hippocampal hypoperfusion on
concentration SPECT imaging was the most predictive in separating marijuana
subjects from controls. CONCLUSION: Multiple brain regions show low perfusion on
SPECT in marijuana users. The most predictive region distinguishing marijuana
users from healthy controls, the hippocampus, is a key target of Alzheimer's
disease pathology. This study raises the possibility of deleterious brain effects
of marijuana use.
PMID- 27886012
TI - Histogram-Based Feature Extraction from Individual Gray Matter Similarity-Matrix
for Alzheimer's Disease Classification.
AB - Automatic computer-aided diagnosis (CAD) systems have been widely used in
classification of patients who suffer from Alzheimer's disease (AD). This paper
presents an automatic CAD system based on histogram feature extraction from
single-subject gray matter similarity-matrix for classifying the AD patients from
healthy controls (HC) using structural magnetic resonance imaging (MRI) data. The
proposed CAD system is composed of five stages. In the first stage, segmentation
is employed to perform pre-processing on the MRI images, and segment into gray
matter, white matter, and cerebrospinal fluid using the voxel-based morphometric
toolbox procedure. In the second stage, gray matter MRI scans are used to
construct similarity-matrices. In the third stage, a novel statistical feature
generation process is proposed, utilizing the histogram of the individual
similarity-matrix to represent statistical patterns of the respective similarity
matrices of different size and order into fixed-size feature-vectors. In the
fourth stage, we propose to combine MRI measures with a neuropsychological test,
the Functional Assessment Questionnaire (FAQ), to improve the classification
accuracy. Finally, the classification is performed using a support vector machine
and evaluated with the 10-fold cross-validation strategy. We evaluated the
proposed method on 99 AD and 102 HC subjects from the J-ADNI. The proposed CAD
system yields an 84.07% classification accuracy using MRI measures and 97.01% for
combining MRI measures with FAQ scores, respectively. The experimental results
indicate that the performance of the proposed system is competitive with respect
to state-of-the-art techniques reported in the literature.
PMID- 27886011
TI - Odor Identification Screening Improves Diagnostic Classification in Incipient
Alzheimer's Disease.
AB - BACKGROUND: Measurements of olfaction may serve as useful biomarkers of incipient
dementia. Here we examine the improvement in diagnostic accuracy of Alzheimer's
disease (AD) and mild cognitive impairment (MCI) when assessing both cognitive
functioning and odor identification. OBJECTIVE: To determine the utility of odor
identification as a supplementary screening test in incipient AD. METHODS:
Sniffin' Sticks Odor Identification Test (SS-OIT) and the Montreal Cognitive
Assessment (MoCA) were administered in 262 AD, 174 MCI [150 amnestic (aMCI), and
24 non-amnestic (naMCI)], and 292 healthy older adults (HOA). RESULTS: Odor
identification scores were higher in HOA relative to MCI or AD groups, and MCI
outperformed AD. Odor identification scores were higher in aMCI single domain
than aMCI multiple domain. Complementing MoCA scores with the SS-OIT
significantly improved diagnostic accuracy of individuals with AD and MCI,
including within MCI subgroups. DISCUSSION: Odor identification is a useful
supplementary screening tool that provides additional information relevant for
clinical categorization of AD and MCI, including those who are at highest risk to
convert to AD.
PMID- 27886013
TI - Is Salivary Chromogranin A a Valid Psychological Stress Biomarker During Sensory
Stimulation in People with Advanced Dementia?
AB - Salivary chromogranin A (sCgA) is gaining attention as a biomarker of
psychological stress. The objective of this work was to determine whether
individualized music intervention and multisensory stimulation environment (MSSE)
in a Snoezelen room produce changes in sCgA in severely demented older patients,
and to assess the possible existence of differences in sCgA levels between the
two types of interventions. Older adults with severe dementia (n = 22) were
randomly assigned to two intervention groups. They participated in MSSE or
individualized music interventions in 30-min weekly sessions for 16 weeks. Levels
of sCgA were evaluated before and after a session, or 30-min interval, at four
different time points: before starting the trial, in the middle and end of the
intervention period, and two months later. Comparison of sCgA values obtained
after each session with those obtained before (or at the same hour in before
trial and follow-up samplings) showed no significant differences either in the
individualized music or in the MSSE group at any sampling time. Comparison
between the two types of interventions, both before and after each session, in
the four sampling times, did not produce any significant difference either.
Furthermore, no significant correlation was obtained between agitation, anxiety,
cognitive function, and dementia severity with sCgA levels. In conclusion,
despite beneficial effects of both individualized music and MSSE interventions
being previously reported on neuropsychiatric outcomes for older patients with
dementia, sCgA seems to not be a good indicator of these benefits.
PMID- 27886014
TI - Embryonic Mutant Huntingtin Aggregate Formation in Mouse Models of Huntington's
Disease.
AB - The role of aggregate formation in the pathophysiology of Huntington's disease
(HD) remains uncertain. However, the temporal appearance of aggregates tends to
correlate with the onset of symptoms and the numbers of neuropil aggregates
correlate with the progression of clinical disease. Using highly sensitive
immunohistochemical methods we have detected the appearance of diffuse aggregates
during embryonic development in the R6/2 and YAC128 mouse models of HD. These are
initially seen in developing axonal tracts and appear to spread throughout the
cerebrum in the early neonate.
PMID- 27886016
TI - An Internet of Things platform architecture for supporting ambient assisted
living environments.
AB - Internet of Things (IoT) is the logical further development of today's Internet,
enabling a huge amount of devices to communicate, compute, sense and act. IoT
sensors placed in Ambient Assisted Living (AAL) environments, enable the context
awareness and allow the support of the elderly in their daily routines,
ultimately allowing an independent and safe lifestyle. The vast amount of data
that are generated and exchanged between the IoT nodes require innovative context
modeling approaches that go beyond currently used models. Current paper presents
and evaluates an open interoperable platform architecture in order to utilize the
technical characteristics of IoT and handle the large amount of generated data,
as a solution to the technical requirements of AAL applications.
PMID- 27886017
TI - Influence of proprioceptive insoles on spinal curvature in patients with slight
idiopathic scoliosis.
AB - PURPOSE: Proprioceptive insoles are known to influence the functions of posture
and gait by modulations of the sensory structures at the sole of the foot.
Literature has shown that they could improve the position of the upper-body in
patients with postural complaints of the musculoskeletal system. The aim of this
study was to evaluate the influence of proprioceptive insoles on the spinal
curvature in patients with slight idiopathic scoliosis. PATIENTS AND METHODS:
Eighteen patients were included in this prospective, single-centre, randomized
study. All patients needed to have a relevant growth potential and suffered from
a slight idiopathic scoliosis. Two groups were used, where group 1 performed
physiotherapy twice a week, whereas group 2 was additionally supplied with
proprioceptive insoles. Patients underwent three-dimensional rasterstereography
for back-shape analysis. Furthermore, a conventional x-ray imaging of the spine
was performed at the beginning and 1 year later to document the curvatures.
RESULTS: There was no statistical difference in the Cobb angles, and in almost
all parameters of the rasterstereography, there was no statistically significant
change between and within both groups. CONCLUSION: According to the results of
this study, there was no evidence of any statistical significant effect of
proprioceptive insoles on spinal curvature in patients with slight idiopathic
scoliosis.
PMID- 27886019
TI - An analysis of a digital variant of the Trail Making Test using machine learning
techniques.
AB - BACKGROUND: The goal of this work is to develop a digital version of a standard
cognitive assessment, the Trail Making Test (TMT), and assess its utility.
OBJECTIVE: This paper introduces a novel digital version of the TMT and
introduces a machine learning based approach to assess its capabilities. METHODS:
Using digital Trail Making Test (dTMT) data collected from (N = 54) older adult
participants as feature sets, we use machine learning techniques to analyze the
utility of the dTMT and evaluate the insights provided by the digital features.
RESULTS: Predicted TMT scores correlate well with clinical digital test scores (r
= 0.98) and paper time to completion scores (r = 0.65). Predicted TICS exhibited
a small correlation with clinically derived TICS scores (r = 0.12 Part A, r =
0.10 Part B). Predicted FAB scores exhibited a small correlation with clinically
derived FAB scores (r = 0.13 Part A, r = 0.29 for Part B). Digitally derived
features were also used to predict diagnosis (AUC of 0.65). CONCLUSION: Our
findings indicate that the dTMT is capable of measuring the same aspects of
cognition as the paper-based TMT. Furthermore, the dTMT's additional data may be
able to help monitor other cognitive processes not captured by the paper-based
TMT alone.
PMID- 27886018
TI - Radiofrequency-activated PMMA-augmentation through cannulated pedicle screws: A
cadaver study to determine the biomechanical benefits in the osteoporotic spine.
AB - INTRODUCTION: PMMA-augmentation of pedicle screws strengthens the bone-screw
interface reducing cut-out risk. Injection of fluid cement bears a higher risk of
extravasation, with difficulty of application because of inconsistent viscosity
and limited injection time. OBJECTIVE: To test a new method of cement
augmentation of pedicle screws using radiofrequency-activated PMMA, which is
suspected to be easier to apply and have less extravasations. METHODS: Twenty
seven fresh-frozen human cadaver lumbar spines were divided into 18 osteoporotic
(BMD <= 0.8 g/cm2) and 9 non-osteoporotic (BMD > 0.8 g/cm2) vertebral bodies.
Bipedicular cannulated pedicle screws were implanted into the vertebral bodies;
right screws were augmented with ultra-high viscosity PMMA, whereas un-cemented
left pedicle screws served as negative controls. Cement distribution was
controlled with fluoroscopy and CT scans. Axial pullout forces of the screws were
measured with a material testing machine, and results were analyzed
statistically. RESULTS: Fluoroscopy and CT scans showed that in all cases an
adequately big cement depot with homogenous form and no signs of extravasation
was injected. Pullout forces showed significant differences (p < 0.001) between
the augmented and non-augmented pedicle screws for bone densities below 0.8 g/cm2
(661.9 N +/- 439) and over 0.8 g/cm2 (744.9 N +/- 415). CONCLUSIONS: Pullout
forces were significantly increased in osteoporotic as well as in non
osteoporotic vertebral bodies without a significant difference between these
groups using this standardized, simple procedure with increased control and less
complications like extravasation.
PMID- 27886020
TI - Cranial electrotherapy stimulation affects mood state but not levels of
peripheral neurotrophic factors or hypothalamic- pituitary-adrenal axis
regulation.
AB - Cranial electrotherapy stimulation (CES) is reported to aid in relieving symptoms
of depression and anxiety, though the mechanism underlying this effect remains
unclear. Therefore, the present study aimed to evaluate changes in the
hypothalamic-pituitary-adrenal (HPA) axis response and levels of neurotrophic
factors, as well as changes in mood state, in patients undergoing CES therapy.
Fifty healthy postmenopausal women were randomly assigned to either a Sham CES
group (n = 25) or an Active CES group (n = 25). CES treatment was conducted in 20
minute sessions, three times per week for 8 weeks, using a micro current cranial
electrotherapy stimulator. Blood samples were collected prior to and following
the 8-week treatment period for measurement of cortisol, adrenocorticotropic
hormone (ACTH), brain-derived neurotrophic factor (BDNF), and nerve growth factor
(NGF) levels. Changes in mood state were also examined at the time of blood
collection using the Profile of Mood States (POMS). No significant differences in
cortisol, ACTH, BDNF, or NGF were observed between the two participant groups (p
> 0.05) following the treatment period. However, those in the Active CES group
exhibited significantly decreased Tension-Anxiety and Depression-Dejection scores
on the POMS relative to pre-treatment scores (p < 0.05). Furthermore, Depression
Dejection scores following treatment were significantly lower in the Active CES
group than in the Sham CES group (p < 0.05). No significant differences were
observed in any other POMS scores such as Anger-Hostility, Vigor-Activity,
Fatigue-Inertia, and Confusion-Bewilderment (p > 0.05). These results suggest
that 8 weeks of CES treatment does not induce changes in blood levels of
neurotrophic factors or HPA-axis-related hormones, though such treatment may be
effective in treating symptoms of anxiety and depression.
PMID- 27886021
TI - Revision of orthovoltage chest wall treatment using Monte Carlo simulations.
AB - PURPOSE: Given the high local control rates observed in breast cancer patients
undergoing chest wall irradiation by kilovoltage x-rays, we aimed to revisit this
treatment modality by accurate calculation of dose distributions using Monte
Carlo simulation. METHODS AND MATERIAL: The machine components were simulated
using the MCNPX code. This model was used to assess the dose distribution of
chest wall kilovoltage treatment in different chest wall thicknesses and larger
contour or fat patients in standard and mid sternum treatment plans. Assessments
were performed at 50 and 100 cm focus surface distance (FSD) and different
irradiation angles. In order to evaluate different plans, indices like
homogeneity index, conformity index, the average dose of heart, lung, left
anterior descending artery (LAD) and percentage target coverage (PTC) were used.
Finally, the results were compared with the indices provided by electron therapy
which is a more routine treatment of chest wall. RESULT: These indices in a
medium chest wall thickness in standard treatment plan at 50 cm FSD and 15
degrees tube angle was as follows: homogeneity index 2.57, conformity index 7.31,
average target dose 27.43 Gy, average dose of heart, lung and LAD, 1.03, 2.08 and
1.60 Gy respectively and PTC 11.19%. Assessments revealed that dose homogeneity
in planning target volume (PTV) and conformity between the high dose region and
PTV was poor. To improve the treatment indices, the reference point was
transferred from the chest wall skin surface to the center of PTV. The indices
changed as follows: conformity index 7.31, average target dose 60.19 Gy, the
average dose of heart, lung and LAD, 3.57, 6.38 and 5.05 Gy respectively and PTC
55.24%. Coverage index of electron therapy was 89% while it was 22.74% in the old
orthovoltage method and also the average dose of the target was about 50 Gy but
in the given method it was almost 30 Gy. CONCLUSION: The results of the treatment
study show that the optimized standard and mid sternum treatment for different
chest wall thicknesses is with 50 cm FSD and zero (vertical) tube angle, while in
large contour patients, it is with 100 cm FSD and zero tube angle. Finally, chest
wall kilovoltage and electron therapies were compared, which revealed that
electron therapy produces a better dose distribution than kilovoltage therapy.
PMID- 27886023
TI - Quantifying the dynamic of OSA brain using multifractal formalism: A novel
measure for sleep fragmentation.
AB - It is thought that the critical brain dynamics in sleep is modulated during
frequent periods of wakefulness. This paper utilizes the capacity of EEG based
scaling analysis to quantify sleep fragmentation in patients with obstructive
sleep apnea. The scale-free (fractal) behavior refers to a state where no
characteristic scale dominates the dynamics of the underlying process which is
evident as long range correlations in a time series. Here, Multiscaling
(multifractal) spectrum is utilized to quantify the disturbed dynamic of an OSA
brain with fragmented sleep. The whole night multichannel sleep EEG recordings of
18 subjects were employed to compute and quantify variable power-law long-range
correlations and singularity spectra. Based on this characteristic, a new marker
for sleep fragmentation named ''scaling based sleep fragmentation'' was
introduced. This measure takes into account the sleep run length and stage
transition quality within a fuzzy inference system to improve decisions made on
sleep fragmentation. The proposed index was implemented, validated with
sleepiness parameters and compared to some common indexes including sleep
fragmentation index, arousal index, sleep diversity index, and sleep efficiency
index. Correlations were almost significant suggesting that the sleep
characterizing measure, based on singularity spectra range, could properly detect
fragmentations and quantify their rate. This method can be an alternative for
quantifying the sleep fragmentation in clinical practice after being approved
experimentally. Control of sleep fragmentation and, subsequently, suppression of
excessive daytime sleepiness will be a promising outlook of this kind of
researches.
PMID- 27886022
TI - Fracture risk of vertebral bodies after cryosurgery using a miniature cryoprobe:
A biomechanical in-vitro analysis on human bones.
AB - INTRODUCTION: Due to spinal instability and compressive neurologic deficits
surgical management is sometimes necessary in patients with metastatic spinal
lesions. However, in some cases open surgery is not possible and minimally
invasive procedures, like cryoablation, are needed. The aim of the current study
was to investigate whether a miniature cryoprobe provides adequate tissue cooling
in vertebrae and to evaluate the direct impact of cryosurgery on vertebral body
stability. MATERIALS AND METHODS: Twelve thoracic vertebral bodies were harvested
from fresh cadavers. After documenting bone density cryoablation was performed in
six vertebral bodies according to a standardized procedure. Afterwards
temperature inside the vertebral body and maximum breaking force were measured in
the control and experimental groups. RESULTS: Required temperature of -50 degrees
was reached in all areas. There was a significant correlation between maximum
breaking force and measured bone density (p= 0.001). Mean breaking force within
the experimental group was 5047 N (SD = 2955 N) compared to 4458 N (SD = 2554 N)
in the control group. There were no observable differences in maximum breaking
force between both groups. CONCLUSION: Miniature cryoprobe can deliver adequate
tissue cooling to -50 degrees C in vertebral bodies. The procedure does not seem
to influence breaking force of the treated bones in-vitro. Therefore, using
miniature probes cryosurgery may provide a valuable alternative to conventional
surgical resection of neoplastic diseases as well as of benign locally aggressive
bone tumors.
PMID- 27886026
TI - Keyword Index Volume 24 (2016).
PMID- 27886024
TI - Predicting adherence to use of remote health monitoring systems in a cohort of
patients with chronic heart failure.
AB - BACKGROUND: It is unclear whether subgroups of patients may benefit from remote
monitoring systems (RMS) and what user characteristics and contextual factors
determine effective use of RMS in patients with heart failure (HF). OBJECTIVE:
The study was conducted to determine whether certain user characteristics (i.e.
personal and clinical variables) predict use of RMS using advanced machine
learning software algorithms in patients with HF. METHODS: This pilot study was a
single-arm experimental study with a pre- (baseline) and post- (3 months) design;
data from the baseline measures were used for the current data analyses. Sixteen
patients provided consent; only 7 patients (mean age 65.8 +/- 6.1, range 58-83)
accessed the RMS and transmitted daily data (e.g. weight, blood pressure) as
instructed during the 12 week study duration. RESULTS: Baseline demographic and
clinical characteristics of users and non-users were comparable for a majority of
factors. However, users were more likely to have no HF specialty based care or an
automatic internal cardioverter defibrillator. The precision accuracy of decision
tree, multilayer perceptron (MLP) and k-Nearest Neighbor (k-NN) classifiers for
predicting access to RMS was 87.5%, 90.3%, and 94.5% respectively. CONCLUSION:
Our preliminary data show that a small set of baseline attributes is sufficient
to predict subgroups of patients who had a higher likelihood of using RMS. While
our findings shed light on potential end-users more likely to benefit from RMS
based interventions, additional research in a larger sample is warranted to
explicate the impact of user characteristics on actual use of these technologies.
PMID- 27886027
TI - Epidemiology of hepatitis C in the Republic of Moldova: achievements and
remaining challenges in prevention and control.
AB - Viral hepatitis, especially those with parenteral and sexual transmission, still
remain a major problem of public health, both globally and for the Republic of
Moldova, due to wide spreading, endemicity, increased morbidity and mortality and
high rate of invalidity following the chronization of infection, but usually
neglected by population and public health authorities. This paper describes the
epidemiology and preventive and control measures of hepatitis C in Moldova.
Epidemiological analysis of the surveillance data on hepatitis C incidence in the
Republic of Moldova was conducted. The data were obtained from the national
reporting system of infectious diseases and serosurvey studies. Epidemiological
particularities of acute and chronic hepatitis C in general Moldovan population
and specific risk groups were evaluated. National hepatitis policies for
prevention and control were analyzed. Only consolidation of all the actions
stipulated in the national and international normative documents on the
prevention and control of hepatitis, will help to reduce the morbidity of viral
hepatitis C and probably to eliminate the new cases of disease in some regions.
PMID- 27886028
TI - Salmonella serovars along two beef chains in Ethiopia.
AB - INTRODUCTION: Salmonella has been reported from foods and the food production
environment, with outbreaks occurring in the human population worldwide.
METHODOLOGY: A survey on Salmonella in two beef production lines (a beef abattoir
line and a processing line) in Addis Ababa, Ethiopia was conducted, with a total
of 668 various samples randomly collected from animal-related materials, the
environment, and a beef product (mortadella). RESULTS: Overall, a 12.9%
prevalence (26.3% from the abattoir line, 5.3% from the processing plant line)
was observed. The prevalence in the abattoir line environment (36.6%) was higher
than that in animal-related samples (14.7%); the reverse was true for the
processing plant line. Out of 86 isolates, 10 serovars were identified, and 8
remained unidentified. The predominant serotypes were S. Saintpaul (32.5%), S.
Muenchen (19.8%), and S. Larochelle (12.8%). S. Kastrup and S. London were
isolated for the first time in Ethiopia. CONCLUSIONS: Data indicate open ports of
entry for Salmonella, with possible transfer along the line. Further
investigations from farm to fork are recommended in order to identify these
positions of entry.
PMID- 27886029
TI - Prevalence of H. pylori in gastric biopsy specimen in the southeastern region of
Turkey.
AB - INTRODUCTION: Helicobacter pylori is a Gram-negative, microaerophilic bacterium
that colonizes human gastric mucosa. Gastric ulcer, duodenal ulcer, chronic
atrophic gastritis, mucosa-associated lymphoid tissue lymphoma, and stomach
adenocarcinoma are associated with H. pylori as the etiological agent. Cytotoxin
associated gene A (cagA), which is one of the most important virulence factors of
H. pylori, encodes a 120-145 kDa protein. The prevalence of cagA genes shows
differences in H. pylori infections based on geographical area, and cagA-positive
H. pylori strains play an important role in pathogenesis of gastric carcinoma.
METHODOLOGY: The aim of this study was to detect the prevalence of cagA and vacA
genes in H. pylori isolates in adult patient groups in the southeastern region of
Turkey. The presence of H. pylori was investigated in gastric biopsy specimens
using the culture method, and polymerase chain reaction (PCR) analysis was
performed to detect the presence of the cagA and vacA s1 genes. RESULTS: H.
pylori was detected in 65% (84/129) of patients who had gastrointestinal
complaints. The number of vacA s1 and cagA genes of isolates were 44 (74.5%) and
31 (52.5%), respectively. CONCLUSIONS: H. pylori infection in southeastern region
of Turkey with are comparable to those in developed countries. Patients with cagA
and vacA-positive H. pylori have a higher risk of severe inflammation and
atrophy and should therefore be monitored for the development of gastric cancer.
PMID- 27886030
TI - Effect of human development index parameters on tuberculosis incidence in Turkish
provinces.
AB - INTRODUCTION: A country's development level is measured with a quantitative
parameter called the human development index (HDI). The present study researched
the effects of HDI parameters (such as healthcare standards, income, and
education level) on the incidence of tuberculosis. METHODOLOGY: HDI data of 36
provinces of Turkey and the tuberculosis surveillance data were obtained from the
Ministry of Development and the Ministry of Health, respectively. The
associations between the incidence of tuberculosis and other HDI parameters were
analyzed. RESULTS: Higher population density (n/km2) (CI = 0.05 to 0.40) and
higher relapse rate of tuberculosis (CI = 0.36 to 1.48) were identified to be
independent predicting factors that increased the incidence of tuberculosis,
whereas higher gross national product (CI = -0.06 to 0.00), the population that
holds a green Medicare card (CI=-0.58 to -0.04), increased general practitioners
per 100,000 people (CI=-0.66 to -0.01), female population (CI = -0.70 to -0.06),
married population (CI = -1.34 to -0.03), were found to be significant negative
predicting factors that were relevant to the incidence (protective against
tuberculosis). CONCLUSIONS: Tuberculosis is a disease that is affected by
multiple factors, including the components of HDI. Improvement of income level,
facilitation of access to health services via health insurance, urbanization with
lower population density strategy, and provision of enough general practitioners
may be useful in reducing the incidence of TB' in provinces of developing
countries such as Turkey.
PMID- 27886031
TI - Pertussis in north-central and northwestern regions of Algeria.
AB - INTRODUCTION: Pertussis outbreaks continue to occur in many countries despite
high vaccination coverage. Under-diagnosed cases in adolescents and adults may
result in increased transmission to infants, who are at risk of severe pertussis.
Additional measures to protect both groups should be considered. METHODOLOGY:
Nasopharyngeal samples and sera were collected from patients and household
contacts with clinically suspected pertussis. Diagnoses were confirmed by
culture, real-time polymerase chain reaction (PCR), and serology. Bordetella
pertussis isolates were characterized by antimicrobial sensitivity and fimbrial
serotyping. RESULTS: Of 392 participants, 134/248 patients (54%) and 66/144
contacts (45.8%) had confirmed pertussis infections. B. parapertussis was not
detected. All B. pertussis isolates were sensitive to the antibiotics tested, and
all expressed the Fim3, not the Fim2, fimbrial serotype. Most patients (81.2%)
were <6 months (51.8% of whom were <3 months) of age; 77.6% were unvaccinated,
and most positive contacts were mothers 20-40 years of age. CONCLUSIONS: Despite
high vaccination coverage, pertussis is circulating in Algeria. Most infections
occur in unvaccinated infants <6 months of age, with mothers as the main source
of infection. An adolescent/adult booster should be considered. Adoption of
sensitive and specific laboratory tests would improve pertussis diagnosis and
surveillance.
PMID- 27886032
TI - Prevalence and factors associated with different pathogens of acute diarrhea in
adults in Beijing, China.
AB - INTRODUCTION: Only a small proportion of patients with diarrhea are diagnosed
with laboratory tests in China, and most are diagnosed based on clinical
symptoms. Therefore, understanding the prevalence of different diarrheal
pathogens and their specific symptoms is important. METHODOLOGY: Data from a
prospective study in Beijing of acute diarrhea and the related pathogens were
used to study the association between different pathogen groups and the infected
patients' characteristics. A total of 355 patients with acute diarrhea,
clinically diagnosed with infectious or noninfectious diarrhea by general
practitioners (GPs), were recruited from three districts. RESULTS: Different
species of diarrheal pathogens were detected in 133 (37.5%) patients. The most
prevalent pathogen was calicivirus (42.9%), followed by rotavirus (30.1%), Vibrio
parahaemolyticus (13.5%), and Salmonella spp. (10.5%). The detection rates in
patients diagnosed with infectious or noninfectious diarrhea by GPs did not
differ significantly (c2 = 0.026, p = 0.873). Abdominal pain correlated
negatively with viral pathogens, whereas nausea, living in the suburbs, and
winter infection correlated positively with viral infection. Abdominal pain and
leukocytosis were positively associated with bacterial infections, whereas winter
infection correlated negatively with them. CONCLUSION: In this study, we found
that the detection rates in patients diagnosed with infectious or noninfectious
diarrhea by GPs was the same. We also revealed the improper prescription of
antibiotics by GPs based simply on clinical diagnoses. A further analysis of
diagnostic accuracy and methods is required to assist GPs in improving their
diagnoses when insufficient laboratory tests are available and budgets are
limited.
PMID- 27886033
TI - Efficacy of lytic Staphylococcus aureus bacteriophage against multidrug-resistant
Staphylococcus aureus in mice.
AB - INTRODUCTION: The use of bacteriophages as an alternative treatment method
against multidrug-resistant bacteria has not been explored in Kenya. This study
sought to determine the efficacy of environmentally obtained lytic bacteriophage
against multidrug-resistant Staphylococcus aureus (MDRSA) bacterium in mice.
METHODOLOGY: Staphylococcus aureus bacterium and S. aureus-specific lytic phage
were isolated from sewage and wastewater collected within Nairobi County, Kenya.
Thirty mice were randomly assigned into three groups: MDRSA infection group (n =
20), phage-infection group (n = 5), and non-infection group (n = 5). The MDRSA
infection group was further subdivided into three groups: clindamycin treatment
(8 mg/kg; n = 5), lytic phage treatment (108 PFU/mL (n = 5), and a combination
treatment of clindamycin and lytic phage (n = 5). Treatments were done at either
24 or 72 hours post-infection (p.i), and data on efficacy, bacterial load, and
animal physical health were collected. RESULTS: Treatment with phage was more
effective (100%) than with clindamycin (62.25% at 24 hours p.i and 87.5% at 72
hours p.i.) or combination treatment (75% at 24 hours p.i. and 90% at 72 hours
p.i.) (p < 0.001). CONCLUSIONS: The results show that the environmentally
obtained S. aureus lytic bacteriophage has therapeutic potential against MDRSA
bacterium in mice.
PMID- 27886034
TI - Antibiotic resistance profiles of Campylobacter species in the South Africa
private health care sector.
AB - INTRODUCTION: There is a dearth of surveillance data on clinical Campylobacter in
South Africa, particularly in the private healthcare environment. We investigated
the prevalence of resistance to first-line antibiotics used to treat
campylobacterioses in clinical Campylobacter isolates from a private pathology
laboratory. METHODOLOGY: Identification of the Campylobacter specific genes were
confirmed by PCR. Minimum inhibitory concentrations were determined using the
broth micro-dilution method against macrolides (erythromycin, azithromycin),
fluoroquinolones (ciprofloxacin, gatifloxacin) and tetracycline. RESULTS: Seventy
two Campylobacter isolates were identified by PCR, with 54 (75%) being classified
as C. jejuni and 18 (25%) as C. coli. Of these, 11 (20.4%) C. jejuni and six
(33.3%) C. coli strains were resistant to ciprofloxacin and three (7.41%) C.
jejuni and three (16.7%) C. coli strains were resistant to gatifloxacin. The
number of C. jejuni strains resistant to erythromycin and azithromycin was 17
(31.5%) and 36 (50%) respectively, while the resistance of C. coli strains to
erythromycin and azithromycin were seven (38.9%) and 14 (77.8%) respectively.
Resistance to tetracycline was detected in 10 (55.6%) C. coli and 14 (25.9%) C.
jejuni strains. CONCLUSION: In the light of these resistant profiles, the lack of
a South African Campylobacter surveillance program is of concern. Relatively high
prevalence of resistance in clinical isolates of C. jejuni and C. coli to the
fluoroquinolones, macrolides and tetracycline used in first line treatment is of
great concern. The efficacy treating human campylobacteriosis should thus be
revisited.
PMID- 27886035
TI - Developing a model to estimate the probability of bacteremia in women with
community-onset febrile urinary tract infection.
AB - INTRODUCTION: Among patients with urinary tract infection (UTI), bacteremic cases
show higher mortality rates than do nonbacteremic cases. Early identification of
bacteremic cases is crucial for severity assessment of patients with febrile UTI.
This study aimed to identify predictors associated with bacteremia in women with
community-onset febrile UTI and to develop a prediction model to estimate the
probability of bacteremic cases. METHODOLOGY: This cross-sectional study included
women consecutively hospitalized with community-onset febrile UTI at 10 hospitals
in Korea. Multiple logistic regression identified predictors associated with
bacteremia among candidate variables chosen from univariate analysis. A
prediction model was developed using all predictors weighted by their regression
coefficients. RESULTS: From July to September 2014, 383 women with febrile UTI
were included: 115 (30.0%) bacteremic and 268 (70.0%) nonbacteremic cases. A
prediction model consisted of diabetes mellitus (1 point), urinary tract
obstruction by stone (2), costovertebral angle tenderness (2), a fraction of
segmented neutrophils of > 90% (2), thrombocytopenia (2), azotemia (2), and the
fulfillment of all criteria for systemic inflammatory response syndrome (2). The
c statistic for the model was 0.807 (95% confidence interval [CI], 0.757-0.856).
At a cutoff value of >= 3, the model had a sensitivity of 86.1% (95% CI, 78.1
91.6%) and a specificity of 54.9% (95% CI, 48.7-91.6%). CONCLUSIONS: Our model
showed a good discriminatory power for early identification of bacteremic cases
in women with community-onset febrile UTI. In addition, our model can be used to
identify patients at low risk for bacteremia because of its relatively high
sensitivity.
PMID- 27886036
TI - Prevalence, assessment, and antimicrobial resistance patterns of Salmonella from
raw chicken eggs in Haramaya, Ethiopia.
AB - INTRODUCTION: The presence of antimicrobial-resistant Salmonella in poultry and
poultry products, including eggs, is a global public health concern. This study
aimed to estimate the levels and patterns of antimicrobial resistance of
Salmonella from chicken eggs and assess consumers' raw egg consumption and
farmers' handling practices. METHODOLOGY: A total of 300 egg samples were
collected from Haramaya open market (n = 150) and Haramaya University poultry
farm (n = 150) in Ethiopia. Questionnaires were administered to egg sellers and
buyers. A sterile cotton swab was used to sample the surface of eggs. The shells
were sterilized and the egg content sampled. Isolation was done using the
conventional methods for the detection of Salmonella, following the standard
guidelines from ISO 6579. Sensitivity to 12 selected antibiotics was tested
following the procedure of the Clinical and Laboratory Standards Institute.
RESULTS: A level of 5.3% was observed among eggs shells from the open market and
0% among egg shells from the poultry farm, for an overall level of 2.7%. There
was a significant difference (p = 0.004) between the prevalence of Salmonella
spp. in sample site and sample type. Of the antimicrobials tested, Salmonella
isolates were all resistant to erythromycin and clindamycin. Isolates were
sensitive to ciprofloxacin (100%) and chloramphenicol (87.5%). All isolates were
resistant to multiple antibiotics. One-third of the consumers were found to have
eaten raw eggs for perceived medicinal values. CONCLUSION: To minimize the
potential contamination of eggs by pathogens, the eggs should be properly
handled, transported, and stored.
PMID- 27886037
TI - Hospital-acquired infection in public hospital buildings in the Philippines: Is
the type of ventilation increasing the risk?
AB - INTRODUCTION: Hospital-acquired infections (HAIs) are associated with increased
morbidity and mortality, especially in developing countries. However, limited
information is available about the risk of HAIs in naturally ventilated wards
(NVWs) and mechanically ventilated intensive care units (MVICUs) of public
hospitals in the Philippines. We aimed to assess the association between HAIs and
type of ventilation in an urban tertiary care hospital in the Philippines.
METHODOLOGY: A cross-sectional point-prevalence survey of infections was done in
NVWs and MVICUs of a tertiary care hospital in December 2013. Multivariate
analyses were done to examine the associations between HAIs and type of
ventilation and other risk factors. RESULTS: Of the 224 patients surveyed, 63
(28%) patients had 69 HAIs. Pneumonia was the most common HAI (35%). Wards near
areas with high vehicular activity had more respiratory HAI cases. Being
immunocompromised is a risk factor for HAI for pediatric and adult patients.
Among pediatric patients, staying in MVICUs had a lower risk for HAIs (adjusted
odds ratio [AOR]: 0.33; 95% confidence interval [CI]: 0.10-1.08) compared to
staying in NVWs. For adult patients, a higher risk for HAIs (AOR: 2.41; 95% CI:
0.29-18.20) was observed in MVICUs compared to NVWs. CONCLUSIONS: Type of
ventilation is not a risk factor for HAIs. Patients who are immunocompromised may
be at a higher risk for HAI. Indoor air pollution, proximity to congested main
thoroughfare, and increased human foot traffic may contribute to the
susceptibility of patients to HAIs. Hospital layout should be considered in
infection control.
PMID- 27886038
TI - Surveillance of antibiotic use in the private sector in Namibia using sales and
claims data.
AB - INTRODUCTION: Antibiotics are among the most commonly used therapeutic agents for
humans globally, and their use has been associated with the development of
resistance. The objective of this study was to identify sources for quantifying
antibiotic usage patterns and to assess such use in ambulatory patients in the
private health sector of Namibia. METHODOLOGY: A retrospective analysis of
prescription claims data and sales data for the period 2008 to 2011 was
conducted. Antibiotic use was expressed in the number of antibiotic-containing
prescriptions and volume of units sold and then standardized using defined daily
dose per 1,000 inhabitants per day. RESULTS: Antibiotic usage was highest in
females (53%), in people 18-45 years of age (41%), and in Windhoek (34%).
Overall, wholesale data showed higher antibiotic use than prescription claims
data. However, both sources showed similar patterns of antibiotic use.
Penicillins were the most used pharmacological group, with amoxicillin/clavulanic
acid combination being the most used of the agents. CONCLUSION: Antibiotic use in
the private sector of Namibia is comparable to that of high-consuming European
countries such as Italy. A trend observed in this study was the decrease in the
use of narrow-spectrum antibiotics in favour of broad-spectrum and newer
antibiotics. Since this was the first study to assess antibiotic use in the
private sector of Namibia, it could serve as a starting point for continued
monitoring of antibiotic use in the whole of Namibia in the context of the World
Health Organization's Global Action Plan to contain antibiotic resistance.
PMID- 27886039
TI - Use of personal digital assistants to detect healthcare-associated infections in
a neonatal intensive care unit in Egypt.
AB - INTRODUCTION: Personal digital assistants (PDAs) used in electronic laboratory
based surveillance are a promising alternative to conventional surveillance to
detect healthcare-associated infections (HAIs). The aim of the study was to
monitor, detect, and analyze HAIs using PDAs in a neonatal intensive care unit
(NICU). METHODOLOGY: In this descriptive study, 1,053 neonates admitted to the
NICU in the obstetrics and gynecology ward at the Cairo University hospital were
included and evaluated for HAIs by collecting data using PDAs programmed by Naval
Medical Research Unit 3, Cairo, with the definitions for HAIs provided by the
National Healthcare Safety Network of the Centers for Disease Control and
Prevention. Case records were reviewed three times a week over 19 months, from
March 2012 to September 2013. RESULTS: Of 124 suspected episodes of infection
recorded in PDAs, 89 confirmed episodes of infection were identified. HAI and
NICU infection rates were 7.4 and 2.72/1,000 patient-days, respectively. Primary
bloodstream infection was detected in 81 episodes and pneumonia in 8 episodes.
The majority of infections (62%) were acquired in the ward before NICU admission.
Klebsiella spp. was isolated most frequently (42%), followed by coagulase
negative Staphylococci (31%). CONCLUSIONS: This study is the first to report the
use of PDAs in surveillance to detect HAIs in the NICU in our hospital. The
majority of infections were acquired at the obstetric care department, indicating
the importance of implementing rigorous prevention and control programs and a
more detailed surveillance to identify other risk factors for infections.
PMID- 27886040
TI - Malaria knowledge and treatment adherence in a Brazilian Amazon community.
AB - INTRODUCTION: Malaria remains an important public health problem despite recent
scientific breakthroughs regarding knowledge about malaria and treatment
strategies. The objective of this study was to analyze malaria patients'
knowledge about the disease, its treatment and prevention, linking it to drug
treatment adherence. METHODOLOGY: A descriptive, cross-sectional, epidemiological
survey study was conducted in the district of Tres Fronteiras-MT. The study
included 618 individuals who were interviewed and examined for Plasmodium
infection. Of the 52 patients diagnosed with malaria, 27 were visited at home for
an interview about malaria knowledge and treatment adherence. Treatment adherence
was evaluated by self-reports and drug conference. RESULTS: A total of 18
patients had satisfactory knowledge (66.6%) and 9 were evaluated as having
unsatisfactory knowledge (33.4%) about malaria. Of the 27 malaria patients, 21
(77.8%) reported having taken all the medication as prescribed, in the correct
period of time and dosage, and had no medication tablets remaining. The majority
(72.2%) of patients who had satisfactory knowledge, as well as the majority
(88.8%) of those who had unsatisfactory knowledge, adhered to the malaria
treatment. CONCLUSIONS: This study revealed a high proportion of patients with
satisfactory prior knowledge about malaria and revealed that this knowledge was
not related to disease treatment adherence. These results have implications for
planning strategies for malaria control in the region and could contribute to the
reduction in the cases of non-adherence to malaria treatment.
PMID- 27886041
TI - Antimicrobial susceptibility of Pseudomonas aeruginosa before and after
initiation of inhaled tobramycin in Bulgaria.
AB - INTRODUCTION: In aim to achieve better infection control and possible eradication
of the pathogens involved in chronic infections of patients with cystic fibrosis
(CF) scientists have developed a new way to administer antimicrobials -
inhalation. The first and so far the only available inhalable antimicrobial in
Bulgaria is inhaled tobramycin (TOBI), introduced in 2009. We aimed to evaluate
the antimicrobial susceptibility of Pseudomonas aeruginosa isolates from cystic
fibrosis (CF) patients before and after initiation of TOBI in the regular
treatment regimen. METHODOLOGY: We have determined the minimal inhibitory
concentration (MIC) of 17 antimicrobials by the E-test (LIOFILCHEM) in sputa
samples of 118 CF patients for the period of 2005-2014. The results were
interpreted according to the annual Clinical and Laboratory Standards Institute
guidelines. RESULTS: In the sputa of 70 patients a total of 102 P. aeruginosa
isolates were found. Sixty-eight out of 102 (66.7%) were susceptible to all
studied antimicrobials. We divided the isolates in two chronological groups:
those collected before the introduction of TOBI as a regular treatment in 2009
and those collected after 2009. A significant reduction (p < 0,001-0,02) in
susceptibility for the strains after 2009 was noted towards piperacillin (100% vs
50%), ceftazidime (100% / 77.3%), cefepime (97.9% / 68.2%), amikacin (100% /
63.6%), gentamicin (95.7% / 40.9%), tobramycin (93.6% / 59.1%) and ciprofloxacin
(93.6% / 45.5%). CONCLUSION: The introduction of inhaled tobramycin as a regular
therapy for CF patients in Bulgaria lead to a significant change in antimicrobial
susceptibility of CF P. aeruginosa.
PMID- 27886042
TI - Molecular survey of Cytomegalovirus shedding profile in commercial pig herds in
Brazil.
AB - INTRODUCTION: Porcine cytomegalovirus (PCMV) causes rhinitis in both young and
older pigs. The present study describes the detection and characterization of
shedding profiles of PCMV in nine farrow-to-finish Brazilian swine herds.
METHODOLOGY: Tonsil swabs from sows, nursery and grow-finish pigs of nine farrow
to-finish commercial herds (n = 756) were evaluated for the presence of PCMV by
PCR. RESULTS: The virus was detected in all herds. Positive samples were
concentrated in piglets of ages varying from 40 to 60 days (nursery phase), while
none of the sows were positive for PCMV detection. CONCLUSIONS: These findings
corroborate the literature regarding PCMV worldwide distribution, and introduce
the first report of PCMV shedding profile in Brazilian pig farms.
PMID- 27886043
TI - First detection of the blaOXA-23 gene in a multidrug-resistant A. baumannii
clinical isolate from Cochabamba, Bolivia.
PMID- 27886044
TI - A highly sensitive Leishmania infantum chagasi isolation method from bone marrow
and peripheral blood of adults and children.
PMID- 27886046
TI - Views and Preferences for Nicotine Products as an Alternative to Smoking: A Focus
Group Study of People Living with Mental Disorders.
AB - Aims and Background: People living with mental disorders experience a
disproportionately higher burden of tobacco-related disease than the general
population. Long-term substitution with less harmful nicotine products could
reduce the tobacco-related harm among this population. This study investigated
the views and preferences of people with mental health disorders about different
nicotine products and their use as long-term substitutes for cigarettes. Methods:
Semi-structured focus group discussion followed by a brief questionnaire. The
discussion transcripts were analysed for content and themes and quantitative data
summarised with descriptive statistics. Results: Twenty-nine participants took
part in four focus groups. Vaping devices were the most acceptable nicotine
products discussed; however preferences for nicotine products were individual and
varied along aesthetic, pragmatic, sensory and symbolic dimensions. The concept
of tobacco harm reduction was unfamiliar to participants, however they generally
agreed with the logic of replacing cigarettes with less harmful nicotine
products. Barriers to activating tobacco harm reduction included the symbolism of
smoking and quitting; the importance placed on health; the consumer appeal of
alternatives; and cost implications. Discussion and Conclusions: Engaging this
population in tobacco harm reduction options will require communication that
challenges black and white thinking (a conceptual framework in which smoking
cigarettes or quitting all nicotine are the only legitimate options) as in
practice this serves to support the continuance of smoking. Consumers should be
encouraged to trial a range of nicotine products to find the most acceptable
alternative to smoking that reduces health harms. Providing incentives to switch
to nicotine products could help overcome barriers to using less harmful nicotine
products among mental health consumers.
PMID- 27886045
TI - Nutrition, One-Carbon Metabolism and Neural Tube Defects: A Review.
AB - Neural tube defects (NTDs) are a group of severe congenital malformations,
induced by the combined effects of genes and the environment. The most valuable
finding so far has been the protective effect of folic acid supplementation
against NTDs. However, many women do not take folic acid supplements until they
are pregnant, which is too late to prevent NTDs effectively. Long-term intake of
folic acid-fortified food is a good choice to solve this problem, and mandatory
folic acid fortification should be further promoted, especially in Europe, Asia
and Africa. Vitamin B2, vitamin B-6, vitamin B-12, choline, betaine and n-3
polyunsaturated fatty acids (PUFAs) can also reduce the NTD risk by interacting
with the one-carbon metabolism pathway. This suggest that multivitamin B combined
with choline, betaine and n-3 PUFAs supplementation may have a better protective
effect against NTDs than folic acid alone. Genetic polymorphisms involved in one
carbon metabolism are associated with NTD risk, and gene screening for women of
childbearing age prior to pregnancy may help prevent NTDs induced by the risk
allele. In addition, the consumption of alcohol, tea and coffee, and low intakes
of fruit and vegetable are also associated with the increased risk of NTDs, and
should be avoided by women of childbearing age.
PMID- 27886047
TI - Topical Application of Apricot Kernel Extract Improves Dry Eye Symptoms in a
Unilateral Exorbital Lacrimal Gland Excision Mouse.
AB - The purpose of this study was to investigate the therapeutic effects of topical
application of apricot kernel extract (AKE) in a unilateral exorbital lacrimal
gland excision mouse model of experimental dry eye. Dry eye was induced by
surgical removal of the lacrimal gland. Eye drops containing 0.5 or 1 mg/mL AKE
were administered twice a day from day 3 to day 7 after surgery. Tear fluid
volume and corneal irregularity scores were determined. In addition, we examined
the immunohistochemical expression level of Muc4. The topical administration of
AKE dose-dependently improved all clinical dry eye symptoms by promoting the
secretion of tear fluid and mucin. Thus, the results of this study indicate that
AKE may be an efficacious topical agent for treating dry eye disease.
PMID- 27886050
TI - Design of Fresnel Lens-Type Multi-Trapping Acoustic Tweezers.
AB - In this paper, acoustic tweezers which use beam forming performed by a Fresnel
zone plate are proposed. The performance has been demonstrated by finite element
analysis, including the acoustic intensity, acoustic pressure, acoustic potential
energy, gradient force, and particle distribution. The acoustic tweezers use an
ultrasound beam produced by a lead zirconate titanate (PZT) transducer operating
at 2.4 MHz and 100 Vpeak-to-peak in a water medium. The design of the Fresnel
lens (zone plate) is based on air reflection, acoustic impedance matching, and
the Fresnel half-wave band (FHWB) theory. This acoustic Fresnel lens can produce
gradient force and acoustic potential wells that allow the capture and
manipulation of single particles or clusters of particles. Simulation results
strongly indicate a good trapping ability, for particles under 150 um in
diameter, in the minimum energy location. This can be useful for cell or
microorganism manipulation.
PMID- 27886049
TI - Alkynyl-Containing Peptides of Marine Origin: A Review.
AB - Since the 1990s, a number of terminal alkynyl residue-containing cyclic/acyclic
peptides have been identified from marine organisms, especially cyanobacteria and
marine mollusks. This review has presented 66 peptides, which covers over 90%
marine peptides with terminal alkynyl fatty acyl units. In fact, more than 90% of
these peptides described in the literature are of cyanobacterial origin.
Interestingly, all the linear peptides featured with terminal alkyne were solely
discovered from marine cyanobacteria. The objective of this article is to provide
an overview on the types, structural characterization of these unusual terminal
alkynyl fatty acyl units, as well as the sources and biological functions of
their composed peptides. Many of these peptides have a variety of biological
activities, including antitumor, antibacterial, antimalarial, etc. Further, we
have also discussed the evident biosynthetic origin responsible for formation of
terminal alkynes of natural PKS (polyketide synthase)/NRPS (nonribosome peptide
synthetase) hybrids.
PMID- 27886051
TI - A Laminar Flow-Based Microfluidic Tesla Pump via Lithography Enabled 3D Printing.
AB - Tesla turbine and its applications in power generation and fluid flow were
demonstrated by Nicholas Tesla in 1913. However, its real-world implementations
were limited by the difficulty to maintain laminar flow between rotor disks,
transient efficiencies during rotor acceleration, and the lack of other
applications that fully utilize the continuous flow outputs. All of the
aforementioned limits of Tesla turbines can be addressed by scaling to the
microfluidic flow regime. Demonstrated here is a microscale Tesla pump designed
and fabricated using a Digital Light Processing (DLP) based 3D printer with 43 um
lateral and 30 um thickness resolutions. The miniaturized pump is characterized
by low Reynolds number of 1000 and a flow rate of up to 12.6 mL/min at 1200 rpm,
unloaded. It is capable of driving a mixer network to generate microfluidic
gradient. The continuous, laminar flow from Tesla turbines is well-suited to the
needs of flow-sensitive microfluidics, where the integrated pump will enable
numerous compact lab-on-a-chip applications.
PMID- 27886048
TI - Interactions between the HIV-1 Unspliced mRNA and Host mRNA Decay Machineries.
AB - The human immunodeficiency virus type-1 (HIV-1) unspliced transcript is used both
as mRNA for the synthesis of structural proteins and as the packaged genome.
Given the presence of retained introns and instability AU-rich sequences, this
viral transcript is normally retained and degraded in the nucleus of host cells
unless the viral protein REV is present. As such, the stability of the HIV-1
unspliced mRNA must be particularly controlled in the nucleus and the cytoplasm
in order to ensure proper levels of this viral mRNA for translation and viral
particle formation. During its journey, the HIV-1 unspliced mRNA assembles into
highly specific messenger ribonucleoproteins (mRNPs) containing many different
host proteins, amongst which are well-known regulators of cytoplasmic mRNA decay
pathways such as up-frameshift suppressor 1 homolog (UPF1), Staufen double
stranded RNA binding protein 1/2 (STAU1/2), or components of miRNA-induced
silencing complex (miRISC) and processing bodies (PBs). More recently, the HIV-1
unspliced mRNA was shown to contain N6-methyladenosine (m6A), allowing the
recruitment of YTH N6-methyladenosine RNA binding protein 2 (YTHDF2), an m6A
reader host protein involved in mRNA decay. Interestingly, these host proteins
involved in mRNA decay were shown to play positive roles in viral gene expression
and viral particle assembly, suggesting that HIV-1 interacts with mRNA decay
components to successfully accomplish viral replication. This review summarizes
the state of the art in terms of the interactions between HIV-1 unspliced mRNA
and components of different host mRNA decay machineries.
PMID- 27886053
TI - Automated Urban Travel Interpretation: A Bottom-up Approach for Trajectory
Segmentation.
AB - Understanding travel behavior is critical for an effective urban planning as well
as for enabling various context-aware service provisions to support mobility as a
service (MaaS). Both applications rely on the sensor traces generated by
travellers' smartphones. These traces can be used to interpret travel modes, both
for generating automated travel diaries as well as for real-time travel mode
detection. Current approaches segment a trajectory by certain criteria, e.g.,
drop in speed. However, these criteria are heuristic, and, thus, existing
approaches are subjective and involve significant vagueness and uncertainty in
activity transitions in space and time. Also, segmentation approaches are not
suited for real time interpretation of open-ended segments, and cannot cope with
the frequent gaps in the location traces. In order to address all these
challenges a novel, state based bottom-up approach is proposed. This approach
assumes a fixed atomic segment of a homogeneous state, instead of an event-based
segment, and a progressive iteration until a new state is found. The research
investigates how an atomic state-based approach can be developed in such a way
that can work in real time, near-real time and offline mode and in different
environmental conditions with their varying quality of sensor traces. The results
show the proposed bottom-up model outperforms the existing event-based
segmentation models in terms of adaptivity, flexibility, accuracy and richness in
information delivery pertinent to automated travel behavior interpretation.
PMID- 27886052
TI - A Melting Curve-Based Multiplex RT-qPCR Assay for Simultaneous Detection of Four
Human Coronaviruses.
AB - Human coronaviruses HCoV-OC43, HCoV-229E, HCoV-NL63 and HCoV-HKU1 are common
respiratory viruses associated with acute respiratory infection. They have a
global distribution. Rapid and accurate diagnosis of HCoV infection is important
for the management and treatment of hospitalized patients with HCoV infection.
Here, we developed a melting curve-based multiplex RT-qPCR assay for simultaneous
detection of the four HCoVs. In the assay, SYTO 9 was used to replace SYBR Green
I as the fluorescent dye, and GC-modified primers were designed to improve the
melting temperature (Tm) of the specific amplicon. The four HCoVs were clearly
distinguished by characteristic melting peaks in melting curve analysis. The
detection sensitivity of the assay was 3 * 102 copies for HCoV-OC43, and 3 * 101
copies for HCoV-NL63, HCoV-229E and HCoV-HKU1 per 30 MUL reaction. Clinical
evaluation and sequencing confirmation demonstrated that the assay was specific
and reliable. The assay represents a sensitive and reliable method for diagnosis
of HCoV infection in clinical samples.
PMID- 27886054
TI - An Improved Mobility-Based Control Protocol for Tolerating Clone Failures in
Wireless Sensor Networks.
AB - Nowadays, with the ubiquitous presence of the Internet of Things industry, the
application of emerging sensor networks has become a focus of public attention.
Unattended sensor nodes can be comprised and cloned to destroy the network
topology. This paper proposes a novel distributed protocol and management
technique for the detection of mobile replicas to tolerate node failures. In our
scheme, sensors' location claims are forwarded to obtain samples only when the
corresponding witnesses meet. Meanwhile, sequential tests of statistical
hypotheses are applied to further detect the cloned node by witnesses. The
combination of randomized detection based on encountering and sequential tests
drastically reduces the routing overhead and false positive/negative rate for
detection. Theoretical analysis and simulation results show the detection
efficiency and reasonable overhead of the proposed method.
PMID- 27886055
TI - Identification-While-Scanning of a Multi-Aircraft Formation Based on Sparse
Recovery for Narrowband Radar.
AB - It is known that the identification performance of a multi-aircraft formation
(MAF) of narrowband radar mainly depends on the time on target (TOT). To realize
the identification task in one rotated scan with limited TOT, the paper proposes
a novel identification-while-scanning (IWS) method based on sparse recovery to
maintain high rotating speed and super-resolution for MAF identification,
simultaneously. First, a multiple chirp signal model is established for MAF in a
single scan, where different aircraft may have different Doppler centers and
Doppler rates. Second, based on the sparsity of MAF in the Doppler parameter
space, a novel hierarchical basis pursuit (HBP) method is proposed to obtain
satisfactory sparse recovery performance as well as high computational
efficiency. Furthermore, the parameter estimation performance of the proposed IWS
identification method is analyzed with respect to recovery condition, signal-to
noise ratio and TOT. It is shown that an MAF can be effectively identified via
HBP with a TOT of only about one hundred microseconds for IWS applications.
Finally, some numerical experiment results are provided to demonstrate the
effectiveness of the proposed method based on both simulated and real measured
data.
PMID- 27886056
TI - Varietal Dependence of GLVs Accumulation and LOX-HPL Pathway Gene Expression in
Four Vitis vinifera Wine Grapes.
AB - Variety is one of the major factors influencing grape and wine aromatic
characteristics. Green leaf volatiles (GLVs), derived from lipoxygenase
hydroperoxides lyase (LOX-HPL) pathway, are important components for the aromatic
quality of grapes and wines. However, the varietal difference regarding GLVs
accumulation and related gene expression are poorly studied. This work exhibited
that the accumulation of various GLVs and the expression of LOX-HPL pathway genes
in four Vitis vinifera wine grape cultivars: Syrah, Muscat Tchervine,
Gewurztraminer and Chardonnay. The results showed a variety dependence of GLVs
profile. Muscat Tchervine harvested grapes contained less C6 aldehydes and the
most abundant esters, which corresponded to very low VvLOXA and VvHPL1 expression
abundance as well as high VvAAT transcript in this variety. High expression level
of both VvLOXA and VvHPL1 paralleled with higher level of C6 aldehydes together
with higher alcohols in Syrah grape. Gewurztraminer and Chardonnay grapes had
high aldehydes and alcohols as well as low esters, which were resulted from their
higher expression level of VvLOXA or VvHPL1 and lower VvAAT. From these above
corresponding relations, it is concluded that VvLOXA, VvHPL1 and VvAAT in the LOX
HPL pathway are targets for altering GLVs composition in the grape varieties.
PMID- 27886057
TI - Age-Related Modulations of AQP4 and Caveolin-1 in the Hippocampus Predispose the
Toxic Effect of Phoneutria nigriventer Spider Venom.
AB - We have previously demonstrated that Phoneutria nigriventer venom (PNV) causes
blood-brain barrier (BBB) breakdown, swelling of astrocytes end-feet and fluid
permeation into brain interstitium in rats. Caveolae and water channels respond
to BBB alterations by co-participation in shear stress response and edema
formation/resolution. Herein, we showed post-natal developmental-related changes
of two BBB-associated transporter proteins: the endothelial caveolin-1 (Cav-1),
the major scaffolding protein from caveolae frame, and the astroglial aquaporin-4
(AQP4), the main water channel protein expressed in astrocytic peri-vascular end
feet processes, in the hippocampus of rats intraperitoneally-administered PNV.
Western blotting protein levels; immunohistochemistry (IHC) protein distribution
in CA1, CA2, and CA3 subfields; and gene expression by Real Time-Polymerase Chain
Reaction (qPCR) were assessed in post-natal Day 14 (P14) and 8-10-week-old rats
over critical periods of envenomation. The intensity and duration of the toxic
manifestations indicate P14 neonate rats more vulnerable to PNV than adults.
Histologically, the capillaries of P14 and 8-10-week-old rats treated with PNV
showed perivascular edema, while controls did not. The intensity of the toxic
manifestations in P14 decreases temporally (2 > 5 > 24 h), while inversely the
expression of AQP4 and Cav-1 peaked at 24 h when clinically PNV-treated animals
do not differ from saline controls. IHC of AQP4 revealed that hippocampal CA1
showed the least expression at 2 h when toxic manifestation was maximal. Subfield
IHC quantification revealed that in P14 rats Cav-1 peaked at 24 h when toxic
manifestations were absent, whereas in 8-10-week-old rats Cav-1 peaked at 2 h
when toxic signs were highest, and progressively attenuated such increases until
24 h, remaining though significantly above baseline. Considering astrocyte
endothelial physical and functional interactions, we hypothesize that age-related
modulations of AQP4 and Cav-1 might be linked both to changes in functional
properties of astrocytes during post-natal development and in the BBB breakdown
induced by the venom of P. nigriventer.
PMID- 27886058
TI - Optical Aptamer Probes of Fluorescent Imaging to Rapid Monitoring of Circulating
Tumor Cell.
AB - Fluorescence detecting of exogenous EpCAM (epithelial cell adhesion molecule) or
muc1 (mucin1) expression correlated to cancer metastasis using nanoparticles
provides pivotal information on CTC (circulating tumor cell) occurrence in a
noninvasive tool. In this study, we study a new skill to detect extracellular
EpCAM/muc1 using quantum dot-based aptamer beacon (QD-EpCAM/muc1 ALB (aptamer
linker beacon). The QD-EpCAM/muc1 ALB was designed using QDs (quantum dots) and
probe. The EpCAM/muc1-targeting aptamer contains a Ep-CAM/muc1 binding sequence
and BHQ1 (black hole quencher 1) or BHQ2 (black hole quencher2). In the absence
of target EpCAM/muc1, the QD-EpCAM/muc1 ALB forms a partial duplex loop-like
aptamer beacon and remained in quenched state because the BHQ1/2 quenches the
fluorescence signal-on of the QD-EpCAM/muc1 ALB. The binding of EpCAM/muc1 of CTC
to the EpCAM/muc1 binding aptamer sequence of the EpCAM/muc1-targeting
oligonucleotide triggered the dissociation of the BHQ1/2 quencher and subsequent
signal-on of a green/red fluorescence signal. Furthermore, acute inflammation was
stimulated by trigger such as caerulein in vivo, which resulted in increased
fluorescent signal of the cy5.5-EpCAM/muc1 ALB during cancer metastasis due to
exogenous expression of EpCAM/muc1 in Panc02-implanted mouse model.
PMID- 27886060
TI - Finding Harmony between Science and Art in Pediatric Cardiology: Acknowledging
When Being "Objective" May Not Truly Be Objective.
AB - As pediatric cardiologists, we greatly value objective decision-making and logic.
[...].
PMID- 27886059
TI - Regulation of Intrinsic and Extrinsic Apoptotic Pathways in Osteosarcoma Cells
Following Oleandrin Treatment.
AB - Our previous study has reported the anti-tumor effect of oleandrin on
osteosarcoma (OS) cells. In the current study, we mainly explored its potential
regulation on intrinsic and extrinsic apoptotic pathway in OS cells. Cells
apoptosis, reactive oxygen species (ROS) and mitochondrial membrane potential
(MMP) were detected using fluorescence staining and flow cytometry. Caspase-3
activity was detected using a commercial kit. The levels of cytoplasmic
cytochrome c, mitochondrial cytochrome c, bcl-2, bax, caspase-9, Fas, FasL,
caspase-8 and caspase-3 were detected by Western blotting. z-VAD-fmk was applied
to block both intrinsic and extrinsic apoptosis pathways, and cells apoptosis was
also tested. Furthermore, we used z-LEHD-fmk and Fas blocking antibody to inhibit
intrinsic and extrinsic pathways, separately, and the selectivity of oleandrin on
these pathways was explored. Results showed that oleandrin induced the apoptosis
of OS cells, which was accompanied by an increase in ROS and a decrease in MMP.
Furthermore, cytochrome c level was reduced in mitochondria but elevated in the
cytoplasm. Caspase-3 activity was enhanced by oleandrin in a concentration- and
time-dependent manner. Oleandrin also down-regulated the expression of bcl-2, but
up-regulated bax, caspase-9, Fas, FasL, caspase-8 and caspase-3. In addition, the
suppression of both apoptotic pathways by z-VAD-fmk greatly reverted the
oleandrin-induced apoptosis. Moreover, the suppression of one pathway by a
corresponding inhibitor did not affect the regulation of oleandrin on another
pathway. Taken together, we concluded that oleandrin induced apoptosis of OS
cells via activating both intrinsic and extrinsic apoptotic pathways.
PMID- 27886061
TI - Accelerating Families of Fuzzy K-Means Algorithms for Vector Quantization
Codebook Design.
AB - The performance of signal processing systems based on vector quantization depends
on codebook design. In the image compression scenario, the quality of the
reconstructed images depends on the codebooks used. In this paper, alternatives
are proposed for accelerating families of fuzzy K-means algorithms for codebook
design. The acceleration is obtained by reducing the number of iterations of the
algorithms and applying efficient nearest neighbor search techniques. Simulation
results concerning image vector quantization have shown that the acceleration
obtained so far does not decrease the quality of the reconstructed images.
Codebook design time savings up to about 40% are obtained by the accelerated
versions with respect to the original versions of the algorithms.
PMID- 27886062
TI - Microarray Expression Profiling of Long Non-Coding RNAs Involved in
Nasopharyngeal Carcinoma Metastasis.
AB - Increasing evidence has demonstrated a significant role for long non-coding RNAs
(lncRNAs) in tumorigenesis. However, their functions in nasopharyngeal carcinoma
(NPC) metastasis remain largely unknown. In this study, a model comparing high
and low metastatic NPC cell lines (5-8F vs. 6-10B and S18 vs. S26) was
constructed to determine the expression profile of lncRNAs using the microarray
analysis, and we found 167 lncRNAs and 209 mRNAs were differentially expressed.
Bioinformatic analysis indicated that the dysregulated mRNAs participated in
important biological regulatory functions in NPC. Validation of 26 significantly
dysregulated lncRNAs by qRT-PCR showed the expression patterns of 22 lncRNAs were
in accordance with the microarray data. Furthermore, the expression level of
ENST00000470135, which was the most upregulated lncRNA in high metastatic cell
lines, was significantly higher in NPC cell lines and tissues with lymph node
metastasis (LNM) and knocking down ENST00000470135 suppressed the migration,
invasion and proliferation of NPC cells in vitro. In conclusion, our study
revealed expression patterns of lncRNAs in NPC metastasis. The dysregulated
lncRNAs may act as novel biomarkers and therapeutic targets for NPC.
PMID- 27886064
TI - Probiotic Supplements Beneficially Affect Tryptophan-Kynurenine Metabolism and
Reduce the Incidence of Upper Respiratory Tract Infections in Trained Athletes: A
Randomized, Double-Blinded, Placebo-Controlled Trial.
AB - BACKGROUND: Prolonged intense exercise has been associated with transient
suppression of immune function and an increased risk of infections. In this
context, the catabolism of amino acid tryptophan via kynurenine may play an
important role. The present study examined the effect of a probiotic supplement
on the incidence of upper respiratory tract infections (URTI) and the metabolism
of aromatic amino acids after exhaustive aerobic exercise in trained athletes
during three months of winter training. METHODS: Thirty-three highly trained
individuals were randomly assigned to probiotic (PRO, n = 17) or placebo (PLA, n
= 16) groups using double blind procedures, receiving either 1 * 1010 colony
forming units (CFU) of a multi-species probiotic (Bifidobacterium bifidum W23,
Bifidobacterium lactis W51, Enterococcus faecium W54, Lactobacillus acidophilus
W22, Lactobacillus brevis W63, and Lactococcus lactis W58) or placebo once per
day for 12 weeks. The serum concentrations of tryptophan, phenylalanine and their
primary catabolites kynurenine and tyrosine, as well as the concentration of the
immune activation marker neopterin were determined at baseline and after 12
weeks, both at rest and immediately after exercise. Participants completed a
daily diary to identify any infectious symptoms. RESULTS: After 12 weeks of
treatment, post-exercise tryptophan levels were lowered by 11% (a significant
change) in the PLA group compared to the concentrations measured before the
intervention (p = 0.02), but remained unchanged in the PRO group. The ratio of
subjects taking the placebo who experienced one or more URTI symptoms was
increased 2.2-fold compared to those on probiotics (PLA 0.79, PRO 0.35; p =
0.02). CONCLUSION: Data indicate reduced exercise-induced tryptophan degradation
rates in the PRO group. Daily supplementation with probiotics limited exercise
induced drops in tryptophan levels and reduced the incidence of URTI, however,
did not benefit athletic performance.
PMID- 27886065
TI - Dual Function of Glucosamine in Gelatin/Hyaluronic Acid Cryogel to Modulate
Scaffold Mechanical Properties and to Maintain Chondrogenic Phenotype for
Cartilage Tissue Engineering.
AB - Glucosamine (GlcN) fulfills many of the requirements as an ideal component in
scaffolds used in cartilage tissue engineering. The incorporation of GlcN in a
gelatin/hyaluronic acid (GH) cryogel scaffold could provide biological cues in
maintaining the phenotype of chondrocytes. Nonetheless, substituting gelatin with
GlcN may also decrease the crosslinking density and modulate the mechanical
properties of the cryogel scaffold, which may be beneficial as physical cues for
chondrocytes in the scaffold. Thus, we prepared cryogel scaffolds containing 9%
GlcN (GH-GlcN9) and 16% GlcN (GH-GlcN16) by carbodiimide-mediated crosslinking
reactions at -16 degrees C. The crosslinking density and the mechanical
properties of the cryogel matrix could be tuned by adjusting the content of GlcN
used during cryogel preparation. In general, incorporation of GlcN did not
influence scaffold pore size and ultimate compressive strain but increased
porosity. The GH-GlcN16 cryogel showed the highest swelling ratio and degradation
rate in hyaluronidase and collagenase solutions. On the contrary, the Young's
modulus, storage modulus, ultimate compressive stress, energy dissipation level,
and rate of stress relaxation decreased by increasing the GlcN content in the
cryogel. The release of GlcN from the scaffolds in the culture medium of
chondrocytes could be sustained for 21 days for GH-GlcN16 in contrast to only 7
days for GH-GlcN9. In vitro cell culture experiments using rabbit articular
chondrocytes revealed that GlcN incorporation affected cell proliferation,
morphology, and maintenance of chondrogenic phenotype. Overall, GH-GlcN16 showed
the best performance in maintaining chondrogenic phenotype with reduced cell
proliferation rate but enhanced glycosaminoglycans (GAGs) and type II collagen
(COL II) secretion. Quantitative real-time polymerase chain reaction also showed
time-dependent up-regulation of cartilage-specific marker genes (COL II, aggrecan
and Sox9) for GH-GlcN16. Implantation of chondrocytes/GH-GlcN16 constructs into
full-thickness articular cartilage defects of rabbits could regenerate
neocartilage with positive staining for GAGs and COL II. The GH-GlcN16 cryogel
will be suitable as a scaffold for the treatment of articular cartilage defects.
PMID- 27886066
TI - Importance of Heat and Pressure for Solubilization of Recombinant Spider Silk
Proteins in Aqueous Solution.
AB - The production of recombinant spider silk proteins continues to be a key area of
interest for a number of research groups. Several key obstacles exist in their
production as well as in their formulation into useable products. The original
reported method to solubilize recombinant spider silk proteins (rSSp) in an
aqueous solution involved using microwaves to quickly generate heat and pressure
inside of a sealed vial containing rSSp and water. Fibers produced from this
system are remarkable in their mechanical ability and demonstrate the ability to
be stretched and recover 100 times. The microwave method dissolves the rSSPs with
dissolution time increasing with higher molecular weight constructs, increasing
concentration of rSSPs, protein type, and salt concentration. It has proven
successful in solvating a number of different rSSPs including native-like
sequences (MaSp1, MaSp2, piriform, and aggregate) as well as chimeric sequences
(FlAS) in varied concentrations that have been spun into fibers and formed into
films, foams, sponges, gels, coatings, macro and micro spheres and adhesives. The
system is effective but inherently unpredictable and difficult to control.
Provided that the materials that can be generated from this method of dissolution
are impressive, an alternative means of applying heat and pressure that is
controllable and predictable has been developed. Results indicate that there are
combinations of heat and pressure (135 degrees C and 140 psi) that result in
maximal dissolution without degrading the recombinant MaSp2 protein tested, and
that heat and pressure are the key elements to the method of dissolution.
PMID- 27886067
TI - A Real-Time Kinect Signature-Based Patient Home Monitoring System.
AB - Assessment of body kinematics during performance of daily life activities at home
plays a significant role in medical condition monitoring of elderly people and
patients with neurological disorders. The affordable and non-wearable Microsoft
Kinect ("Kinect") system has been recently used to estimate human subject
kinematic features. However, the Kinect suffers from a limited range and angular
coverage, distortion in skeleton joints' estimations, and erroneous multiplexing
of different subjects' estimations to one. This study addresses these limitations
by incorporating a set of features that create a unique "Kinect Signature". The
Kinect Signature enables identification of different subjects in the scene,
automatically assign the kinematics feature estimations only to the subject of
interest, and provide information about the quality of the Kinect-based
estimations. The methods were verified by a set of experiments, which utilize
real-time scenarios commonly used to assess motor functions in elderly subjects
and in subjects with neurological disorders. The experiment results indicate that
the skeleton based Kinect Signature features can be used to identify different
subjects in high accuracy. We demonstrate how these capabilities can be used to
assign the Kinect estimations to the Subject of Interest, and exclude low quality
tracking features. The results of this work can help in establishing reliable
kinematic features, which can assist in future to obtain objective scores for
medical analysis of patient condition at home while not restricted to perform
daily life activities.
PMID- 27886063
TI - d-Lysergic Acid Diethylamide (LSD) as a Model of Psychosis: Mechanism of Action
and Pharmacology.
AB - d-Lysergic Acid Diethylamide (LSD) is known for its hallucinogenic properties and
psychotic-like symptoms, especially at high doses. It is indeed used as a
pharmacological model of psychosis in preclinical research. The goal of this
review was to understand the mechanism of action of psychotic-like effects of
LSD. We searched Pubmed, Web of Science, Scopus, Google Scholar and articles'
reference lists for preclinical studies regarding the mechanism of action
involved in the psychotic-like effects induced by LSD. LSD's mechanism of action
is pleiotropic, primarily mediated by the serotonergic system in the Dorsal
Raphe, binding the 5-HT2A receptor as a partial agonist and 5-HT1A as an agonist.
LSD also modulates the Ventral Tegmental Area, at higher doses, by stimulating
dopamine D2, Trace Amine Associate receptor 1 (TAAR1) and 5-HT2A. More studies
clarifying the mechanism of action of the psychotic-like symptoms or psychosis
induced by LSD in humans are needed. LSD's effects are mediated by a pleiotropic
mechanism involving serotonergic, dopaminergic, and glutamatergic
neurotransmission. Thus, the LSD-induced psychosis is a useful model to test the
therapeutic efficacy of potential novel antipsychotic drugs, particularly drugs
with dual serotonergic and dopaminergic (DA) mechanism or acting on TAAR1
receptors.
PMID- 27886068
TI - Effects of Sampling Conditions and Environmental Factors on Fecal Volatile
Organic Compound Analysis by an Electronic Nose Device.
AB - Prior to implementation of volatile organic compound (VOC) analysis in clinical
practice, substantial challenges, including methodological, biological and
analytical difficulties are faced. The aim of this study was to evaluate the
influence of several sampling conditions and environmental factors on fecal VOC
profiles, analyzed by an electronic nose (eNose). Effects of fecal sample mass,
water content, duration of storage at room temperature, fecal sample temperature,
number of freeze-thaw cycles and effect of sampling method (rectal swabs vs.
fecal samples) on VOC profiles were assessed by analysis of totally 725 fecal
samples by means of an eNose (Cyranose320(r)). Furthermore, fecal VOC profiles of
totally 1285 fecal samples from 71 infants born at three different hospitals were
compared to assess the influence of center of origin on VOC outcome. We observed
that all analyzed variables significantly influenced fecal VOC composition. It
was feasible to capture a VOC profile using rectal swabs, although this differed
significantly from fecal VOC profiles of similar subjects. In addition, 1285
fecal VOC-profiles could significantly be discriminated based on center of birth.
In conclusion, standardization of methodology is necessary before fecal VOC
analysis can live up to its potential as diagnostic tool in clinical practice.
PMID- 27886069
TI - Co-Creating the Cities of the Future.
AB - In recent years, the evolution of urban environments, jointly with the progress
of the Information and Communication sector, have enabled the rapid adoption of
new solutions that contribute to the growth in popularity of Smart Cities.
Currently, the majority of the world population lives in cities encouraging
different stakeholders within these innovative ecosystems to seek new solutions
guaranteeing the sustainability and efficiency of such complex environments. In
this work, it is discussed how the experimentation with IoT technologies and
other data sources form the cities can be utilized to co-create in the OrganiCity
project, where key actors like citizens, researchers and other stakeholders shape
smart city services and applications in a collaborative fashion. Furthermore, a
novel architecture is proposed that enables this organic growth of the future
cities, facilitating the experimentation that tailors the adoption of new
technologies and services for a better quality of life, as well as agile and
dynamic mechanisms for managing cities. In this work, the different components
and enablers of the OrganiCity platform are presented and discussed in detail and
include, among others, a portal to manage the experiment life cycle, an Urban
Data Observatory to explore data assets, and an annotations component to indicate
quality of data, with a particular focus on the city-scale opportunistic data
collection service operating as an alternative to traditional communications.
PMID- 27886070
TI - Molecularly Imprinted Filtering Adsorbents for Odor Sensing.
AB - Versatile odor sensors that can discriminate among huge numbers of environmental
odorants are desired in many fields, including robotics, environmental
monitoring, and food production. However, odor sensors comparable to an animal's
nose have not yet been developed. An animal's olfactory system recognizes odor
clusters with specific molecular properties and uses this combinatorial
information in odor discrimination. This suggests that measurement and clustering
of odor molecular properties (e.g., polarity, size) using an artificial sensor is
a promising approach to odor sensing. Here, adsorbents composed of composite
materials with molecular recognition properties were developed for odor sensing.
The selectivity of the sensor depends on the adsorbent materials, so specific
polymeric materials with particular solubility parameters were chosen to adsorb
odorants with various properties. The adsorption properties of the adsorbents
could be modified by mixing adsorbent materials. Moreover, a novel molecularly
imprinted filtering adsorbent (MIFA), composed of an adsorbent substrate covered
with a molecularly imprinted polymer (MIP) layer, was developed to improve the
odor molecular recognition ability. The combination of the adsorbent and MIP
layer provided a higher specificity toward target molecules. The MIFA thus
provides a useful technique for the design and control of adsorbents with
adsorption properties specific to particular odor molecules.
PMID- 27886072
TI - Peroxynitrite Sensor Based on a Screen Printed Carbon Electrode Modified with a
Poly(2,6-dihydroxynaphthalene) Film.
AB - For the first time the electropolymerization of 2,6-dihydroxynaphthalene (2,6
DHN) on a screen printed carbon electrode (SPCE) was investigated and evaluated
for peroxynitrite (PON) detection. Cyclic voltammetry was used to electrodeposit
the poly(2,6-DHN) on the carbon electrode surface. The surface morphology and
structure of poly(2,6-DHN) film were investigated by SEM and FTIR analysis, and
the electrochemical features by cyclic voltammetry. The poly(2,6-DHN)/SPCE sensor
showed excellent electrocatalytic activity for PON oxidation in alkaline
solutions at very low potentials (0-100 mV vs. Ag/AgCl pseudoreference). An
amperometric FIA (flow injection analysis) system based on the developed sensor
was optimized for PON measurements and a linear concentration range from 2 to 300
MUM PON, with a LOD of 0.2 MUM, was achieved. The optimized sensor inserted in
the FIA system exhibited good sensitivity (4.12 nA.MUM-1), selectivity, stability
and intra-/inter-electrode reproducibility for PON determination.
PMID- 27886071
TI - Case Formulation in Young People with Post-Traumatic Stress Disorder and First
Episode Psychosis.
AB - BACKGROUND: Evidence based treatment interventions for young people with first
episode psychosis (FEP) and trauma histories is lacking. Although case
formulation (CF) has been widely regarded in cognitive behavioural therapy
manuals as beneficial, there is limited empirical research examining how clients
and therapists experience the process. AIM: This study aimed to explore young
people's reactions to CF in treatment for PTSD (post-traumatic stress disorder)
and FEP. METHOD: Semi-structured interviews were conducted with three
participants (aged 19-20) with FEP and PTSD and their therapists, after they had
completed a trauma-focused treatment program with a CF component. Transcripts
were analysed using an interpretative phenomenological approach and themes were
derived. RESULTS: Two themes related to participants' experiences were identified
from the analysis: (1) Developing Insight; (2) A challenging experience; and two
themes from the therapists: (1) Doing the case formulation; (2) Value of case
formulation. Participants and therapists reported benefits in making connections
between current symptoms and past trauma. Participants viewed the process as
challenging. CONCLUSION: Results suggest a potential discrepancy between the
experience of the case formulation process for clients and therapists.
PMID- 27886073
TI - Effect of Six-Month Diet Intervention on Sleep among Overweight and Obese Men
with Chronic Insomnia Symptoms: A Randomized Controlled Trial.
AB - Growing evidence suggests that diet alteration affects sleep, but this has not
yet been studied in adults with insomnia symptoms. We aimed to determine the
effect of a six-month diet intervention on sleep among overweight and obese (Body
mass index, BMI >= 25 kg/m2) men with chronic insomnia symptoms. Forty-nine men
aged 30-65 years with chronic insomnia symptoms were randomized into diet (n =
28) or control (n = 21) groups. The diet group underwent a six-month
individualized diet intervention with three face-to-face counseling sessions and
online supervision 1-3 times per week; 300-500 kcal/day less energy intake and
optimized nutrient composition were recommended. Controls were instructed to
maintain their habitual lifestyle. Sleep parameters were determined by
piezoelectric bed sensors, a sleep diary, and a Basic Nordic sleep questionnaire.
Compared to the controls, the diet group had shorter objective sleep onset
latency after intervention. Within the diet group, prolonged objective total
sleep time, improved objective sleep efficiency, lower depression score, less
subjective nocturnal awakenings, and nocturia were found after intervention. In
conclusion, modest energy restriction and optimized nutrient composition shorten
sleep onset latency in overweight and obese men with insomnia symptoms.
PMID- 27886074
TI - Eleventh International Foamy Virus Conference-Meeting Report.
AB - The Eleventh International Foamy Virus Conference took place on 9-10 June 2016 at
the Institut Pasteur, Paris, France. The meeting reviewed progress on foamy virus
(FV) research, as well as related current topics in retrovirology. FVs are
complex retroviruses that are widespread in several animal species. Several
research topics on these viruses are relevant to human health: cross-species
transmission and viral emergence, vectors for gene therapy, development of
antiretroviral drugs, retroviral evolution and its influence on the human genome.
In this article, we review the conference presentations on these viruses and
highlight the major questions to be answered.
PMID- 27886075
TI - The Expanding Family of Virophages.
AB - Virophages replicate with giant viruses in the same eukaryotic cells. They are a
major component of the specific mobilome of mimiviruses. Since their discovery in
2008, five other representatives have been isolated, 18 new genomes have been
described, two of which being nearly completely sequenced, and they have been
classified in a new viral family, Lavidaviridae. Virophages are small viruses
with approximately 35-74 nm large icosahedral capsids and 17-29 kbp large double
stranded DNA genomes with 16-34 genes, among which a very small set is shared
with giant viruses. Virophages have been isolated or detected in various
locations and in a broad range of habitats worldwide, including the deep ocean
and inland. Humans, therefore, could be commonly exposed to virophages, although
currently limited evidence exists of their presence in humans based on serology
and metagenomics. The distribution of virophages, the consequences of their
infection and the interactions with their giant viral hosts within eukaryotic
cells deserve further research.
PMID- 27886076
TI - Pathogens Inactivated by Low-Energy-Electron Irradiation Maintain Antigenic
Properties and Induce Protective Immune Responses.
AB - Inactivated vaccines are commonly produced by incubating pathogens with chemicals
such as formaldehyde or beta-propiolactone. This is a time-consuming process, the
inactivation efficiency displays high variability and extensive downstream
procedures are often required. Moreover, application of chemicals alters the
antigenic components of the viruses or bacteria, resulting in reduced antibody
specificity and therefore stimulation of a less effective immune response. An
alternative method for inactivation of pathogens is ionizing radiation. It acts
very fast and predominantly damages nucleic acids, conserving most of the
antigenic structures. However, currently used irradiation technologies (mostly
gamma-rays and high energy electrons) require large and complex shielding
constructions to protect the environment from radioactivity or X-rays generated
during the process. This excludes them from direct integration into biological
production facilities. Here, low-energy electron irradiation (LEEI) is presented
as an alternative inactivation method for pathogens in liquid solutions. LEEI can
be used in normal laboratories, including good manufacturing practice (GMP)- or
high biosafety level (BSL)-environments, as only minor shielding is necessary. We
show that LEEI efficiently inactivates different viruses (influenza A (H3N8),
porcine reproductive and respiratory syndrome virus (PRRSV), equine herpesvirus 1
(EHV-1)) and bacteria (Escherichia coli) and maintains their antigenicity.
Moreover, LEEI-inactivated influenza A viruses elicit protective immune responses
in animals, as analyzed by virus neutralization assays and viral load
determination upon challenge. These results have implications for novel ways of
developing and manufacturing inactivated vaccines with improved efficacy.
PMID- 27886077
TI - Magnetofection Enhances Lentiviral-Mediated Transduction of Airway Epithelial
Cells through Extracellular and Cellular Barriers.
AB - Gene transfer to airway epithelial cells is hampered by extracellular (mainly
mucus) and cellular (tight junctions) barriers. Magnetofection has been used to
increase retention time of lentiviral vectors (LV) on the cellular surface. In
this study, magnetofection was investigated in airway epithelial cell models
mimicking extracellular and cellular barriers. Bronchiolar epithelial cells (H441
line) were evaluated for LV-mediated transduction after polarization onto filters
and dexamethasone (dex) treatment, which induced hemicyst formation, with or
without magnetofection. Sputum from cystic fibrosis (CF) patients was overlaid
onto cells, and LV-mediated transduction was evaluated in the absence or presence
of magnetofection. Magnetofection of unpolarized H441 cells increased the
transduction with 50 MOI (multiplicity of infection, i.e., transducing
units/cell) up to the transduction obtained with 500 MOI in the absence of
magnetofection. Magnetofection well-enhanced LV-mediated transduction in mucus
layered cells by 20.3-fold. LV-mediated transduction efficiency decreased in dex
induced hemicysts in a time-dependent fashion. In dome-forming cells, zonula
occludens-1 (ZO-1) localization at the cell borders was increased by dex
treatment. Under these experimental conditions, magnetofection significantly
increased LV transduction by 5.3-fold. In conclusion, these results show that
magnetofection can enhance LV-mediated gene transfer into airway epithelial cells
in the presence of extracellular (sputum) and cellular (tight junctions)
barriers, representing CF-like conditions.
PMID- 27886080
TI - Three-Dimensional Object Recognition and Registration for Robotic Grasping
Systems Using a Modified Viewpoint Feature Histogram.
AB - This paper presents a novel 3D feature descriptor for object recognition and to
identify poses when there are six-degrees-of-freedom for mobile manipulation and
grasping applications. Firstly, a Microsoft Kinect sensor is used to capture 3D
point cloud data. A viewpoint feature histogram (VFH) descriptor for the 3D point
cloud data then encodes the geometry and viewpoint, so an object can be
simultaneously recognized and registered in a stable pose and the information is
stored in a database. The VFH is robust to a large degree of surface noise and
missing depth information so it is reliable for stereo data. However, the pose
estimation for an object fails when the object is placed symmetrically to the
viewpoint. To overcome this problem, this study proposes a modified viewpoint
feature histogram (MVFH) descriptor that consists of two parts: a surface shape
component that comprises an extended fast point feature histogram and an extended
viewpoint direction component. The MVFH descriptor characterizes an object's pose
and enhances the system's ability to identify objects with mirrored poses.
Finally, the refined pose is further estimated using an iterative closest point
when the object has been recognized and the pose roughly estimated by the MVFH
descriptor and it has been registered on a database. The estimation results
demonstrate that the MVFH feature descriptor allows more accurate pose
estimation. The experiments also show that the proposed method can be applied in
vision-guided robotic grasping systems.
PMID- 27886081
TI - Improved Goldstein Interferogram Filter Based on Local Fringe Frequency
Estimation.
AB - The quality of an interferogram, which is limited by various phase noise, will
greatly affect the further processes of InSAR, such as phase unwrapping.
Interferometric SAR (InSAR) geophysical measurements', such as height or
displacement, phase filtering is therefore an essential step. In this work, an
improved Goldstein interferogram filter is proposed to suppress the phase noise
while preserving the fringe edges. First, the proposed adaptive filter step,
performed before frequency estimation, is employed to improve the estimation
accuracy. Subsequently, to preserve the fringe characteristics, the estimated
fringe frequency in each fixed filtering patch is removed from the original noisy
phase. Then, the residual phase is smoothed based on the modified Goldstein
filter with its parameter alpha dependent on both the coherence map and the
residual phase frequency. Finally, the filtered residual phase and the removed
fringe frequency are combined to generate the filtered interferogram, with the
loss of signal minimized while reducing the noise level. The effectiveness of the
proposed method is verified by experimental results based on both simulated and
real data.
PMID- 27886082
TI - Ultra-Low Power Optical Sensor for Xylophagous Insect Detection in Wood.
AB - The early detection of pests is key for the maintenance of high-value
masterpieces and historical buildings made of wood. In this work, we the present
detailed design of an ultra-low power sensor device that permits the continuous
monitoring of the presence of termites and other xylophagous insects. The
operating principle of the sensor is based on the variations of reflected light
induced by the presence of termites, and specific processing algorithms that deal
with the behavior of the electronics and the natural ageing of components. With a
typical CR2032 lithium battery, the device lasts more than nine years, and is
ideal for incorporation in more complex monitoring systems where maintenance
tasks should be minimized.
PMID- 27886079
TI - Cerebellar Intermittent Theta-Burst Stimulation and Motor Control Training in
Individuals with Cervical Dystonia.
AB - BACKGROUND: There is emerging evidence that cervical dystonia is a neural network
disorder with the cerebellum as a key node. The cerebellum may provide a target
for neuromodulation as a therapeutic intervention in cervical dystonia.
OBJECTIVE: This study aimed to assess effects of intermittent theta-burst
stimulation of the cerebellum on dystonia symptoms, quality of life, hand motor
dexterity and cortical neurophysiology using transcranial magnetic stimulation.
METHODS: Sixteen participants with cervical dystonia were randomised into real or
sham stimulation groups. Cerebellar neuromodulation was combined with motor
training for the neck and an implicit learning task. The intervention was
delivered over 10 working days. Outcome measures included dystonia severity and
pain, quality of life, hand dexterity, and motor-evoked potentials and cortical
silent periods recorded from upper trapezius muscles. Assessments were taken at
baseline and after 5 and 10 days, with quality of life also measured 4 and 12
weeks later. RESULTS: Intermittent theta-burst stimulation improved dystonia
severity (Day 5, -5.44 points; p = 0.012; Day 10, -4.6 points; p = 0.025),
however, effect sizes were small. Quality of life also improved (Day 5, -10.6
points, p = 0.012; Day 10, -8.6 points, p = 0.036; Week 4, -12.5 points, p =
0.036; Week 12, -12.4 points, p = 0.025), with medium or large effect sizes.
There was a reduction in time to complete the pegboard task pre to post
intervention (both p < 0.008). Cortical neurophysiology was unchanged by
cerebellar neuromodulation. CONCLUSION: Intermittent theta-burst stimulation of
the cerebellum may improve cervical dystonia symptoms, upper limb motor control
and quality of life. The mechanism likely involves promoting neuroplasticity in
the cerebellum although the neurophysiology remains to be elucidated. Cerebellar
neuromodulation may have potential as a novel treatment intervention for cervical
dystonia, although larger confirmatory studies are required.
PMID- 27886078
TI - Effects of Two-Year Vitamin B12 and Folic Acid Supplementation on Depressive
Symptoms and Quality of Life in Older Adults with Elevated Homocysteine
Concentrations: Additional Results from the B-PROOF Study, an RCT.
AB - Lowering elevated plasma homocysteine (Hcy) concentrations by supplementing
vitamin B12 and folic acid may reduce depressive symptoms and improve health
related quality of life (HR-QoL) in older adults. This study aimed to test this
hypothesis in a randomized controlled trial. Participants (N = 2919, >=65 years,
Hcy concentrations >=12 umol/L) received either 500 ug vitamin B12 and 400 ug
folic acid daily or placebo for two years. Both tablets contained 15 ug vitamin
D3. Depressive symptoms were measured with the Geriatric Depression Scale-15 (GDS
15). HR-QoL was assessed with the SF-12 Mental and Physical component summary
scores and the EQ-5D Index score and Visual Analogue Scale. Differences in two
year change scores were analyzed with Analysis of Covariance (ANCOVA). Hcy
concentrations decreased more in the intervention group, but two-year change
scores of the GDS-15 and three of four HR-QoL measures did not differ between
groups. The EQ-5D Index score declined less in the intervention group than in the
placebo group (mean change 0.00 vs. -0.02, p = 0.004). In conclusion, two-year
supplementation with vitamin B12 and folic acid in older adults with
hyperhomocysteinemia showed that lowering Hcy concentrations does not reduce
depressive symptoms, but it may have a small positive effect on HR-QoL.
PMID- 27886083
TI - A Prospective Study of Serum Trace Elements in Healthy Korean Pregnant Women.
AB - This prospective study sought to investigate serum levels of trace elements
(cobalt, copper, zinc, and selenium) and to assess their effects on pregnancy and
neonatal outcomes. Serum levels of trace elements in 245 Korean pregnant women
(median gestational age at delivery was 39 + 4 weeks and interquartile range was
38 + 4-40 + 1 weeks) were compared with those of 527 general adults and those of
previous studies in other ethnic groups. Pregnancy and neonatal outcomes
including gestational diabetes, preeclampsia, neonatal birth weight, and
congenital abnormalities were assessed. The median serum trace element
concentrations of all pregnant women were: cobalt: 0.39 MUg/L (interquartile
range, IQR 0.29-0.53), copper: 165.0 MUg/dL (IQR 144.0-187.0), zinc: 57.0 MUg/dL
(IQR 50.0-64.0), and selenium: 94.0 MUg/L (IQR 87.0-101.0). Serum cobalt and
copper concentrations were higher in pregnant women than in the general
population, whereas zinc and selenium levels were lower (p < 0.01).
Concentrations of all four trace elements varied significantly during the three
trimesters (p < 0.05), and seasonal variation was found in copper, zinc, and
selenium, but was not observed for cobalt. The prevalence of preeclampsia was
significantly lower with high copper (p = 0.03). Trace element levels varied by
pregnancy trimester and season, and alteration in copper status during pregnancy
might influence pregnancy outcomes such as preeclampsia.
PMID- 27886086
TI - Ligustrazine-Oleanolic Acid Glycine Derivative, G-TOA, Selectively Inhibited the
Proliferation and Induced Apoptosis of Activated HSC-T6 Cells.
AB - Hepatic fibrosis is a naturally occurring wound-healing reaction, with an
imbalance of extracellular matrix (ECM) during tissue repair response, which can
further deteriorate to hepatocellular carcinoma without timely treatment.
Inhibiting activated hepatic stellate cell (HSC) proliferation and inducing
apoptosis are the main methods for the treatment of liver fibrosis. In our
previous study, we found that the TOA-glycine derivative (G-TOA) had exhibited
more significant inhibitory activity against HepG2 cells and better
hydrophilicity than TOA, ligustrazine (TMP), and oleanolic acid (OA). However,
inhibiting activated HSC proliferation and inducing apoptosis by G-TOA had not
been reported. In this paper, the selective cytotoxicity of G-TOA was evaluated
on HSC-T6 cells and L02 cells, and apoptosis mechanisms were explored. It was
found that G-TOA could selectively inhibit the proliferation of activated HSC-T6
cells, induce morphological changes, early apoptosis, and mitochondrial membrane
potential depolarization, increase intracellular free calcium levels,
downregulate the expression of NF-kappaB/p65 and COX-2 protein, and decrease the
ratio of Bcl-2/Bax, thereby inducing HSC-T6 cell apoptosis. Thence, G-TOA might
be a potential antifibrosis agent for the therapy of hepatic fibrosis, provided
that it exerts anti-fibrosis effects on activated HSC-T6 cells.
PMID- 27886087
TI - State of the Art, Trends and Future of Bluetooth Low Energy, Near Field
Communication and Visible Light Communication in the Development of Smart Cities.
AB - The current social impact of new technologies has produced major changes in all
areas of society, creating the concept of a smart city supported by an electronic
infrastructure, telecommunications and information technology. This paper
presents a review of Bluetooth Low Energy (BLE), Near Field Communication (NFC)
and Visible Light Communication (VLC) and their use and influence within
different areas of the development of the smart city. The document also presents
a review of Big Data Solutions for the management of information and the
extraction of knowledge in an environment where things are connected by an
"Internet of Things" (IoT) network. Lastly, we present how these technologies can
be combined together to benefit the development of the smart city.
PMID- 27886084
TI - Intracranial Thrombus Morphology and Composition Undergoes Time-Dependent Changes
in Acute Ischemic Stroke: A CT Densitometry Study.
AB - The aim of our study was to assess whether cerebral artery clots undergo time
dependent morphological and compositional changes in acute ischemic stroke. We
performed a retrospective chart review of patients admitted within 5 h from
symptom onset to three European stroke centers and evaluated non-contrast
enhanced CT (NECT) for hyperdense artery signs (HAS) in 2565 scans. The occlusion
site, density of HAS expressed in Hounsfield units (HU), area of HAS, and
relative density (rHU) (HU clot/HU non-affected artery) were studied and related
to time from symptom onset, clinical severity, stroke etiology, and laboratory
parameters. A HAS was present in the middle cerebral artery (MCA) in 185 (7.2%)
and further explored. The mean time from symptom onset to CT was 100 min (range
17-300). We found a time-dependent loss of density in the occluded M1 segment
within the first 5 h (N = 118, 95% CI [-15, -2], p = 0.01). Further, the thrombus
area in the M2 segment decreased with time (cubic trend N = 67, 95% CI [-63, -8],
p = 0.02). Overall, and especially in the M2 segment, a lower clot area was
associated with higher fibrinogen (-21.7%, 95% CI [-34.8, -5.8], p = 0.009). In
conclusion, our results disclosed time-dependent changes of intracranial thrombi
with regard to occlusion site, density and area.
PMID- 27886085
TI - Dirty Money: A Matter of Bacterial Survival, Adherence, and Toxicity.
AB - In this study we report the underlying reasons to why bacteria are present on
banknotes and coins. Despite the use of credit cards, mobile phone apps, near
field-communication systems, and cryptocurrencies such as bitcoins which are
replacing the use of hard currencies, cash exchanges still make up a significant
means of exchange for a wide range of purchases. The literature is awash with
data that highlights that both coins and banknotes are frequently identified as
fomites for a wide range of microorganisms. However, most of these publications
fail to provide any insight into the extent to which bacteria adhere and persist
on money. We treated the various currencies used in this study as microcosms, and
the bacterial loading from human hands as the corresponding microbiome. We show
that the substrate from which banknotes are produced have a significant influence
on both the survival and adherence of bacteria to banknotes. Smooth, polymer
surfaces provide a poor means of adherence and survival, while coarser and more
fibrous surfaces provide strong bacterial adherence and an environment to survive
on. Coins were found to be strongly inhibitory to bacteria with a relatively
rapid decline in survival on almost all coin surfaces tested. The inhibitory
influence of coins was demonstrated through the use of antimicrobial disks made
from coins. Despite the toxic effects of coins on many bacteria, bacteria do have
the ability to adapt to the presence of coins in their environment which goes
some way to explain the persistent presence of low levels of bacteria on coins in
circulation.
PMID- 27886088
TI - Multi-Functional Nanogels for Tumor Targeting and Redox-Sensitive Drug and siRNA
Delivery.
AB - (1) Background: A new family of nanosystems able to discern between normal and
tumor cells and to release a therapeutic agent in controlled way were synthetized
by e-beam irradiation. This technique permits to obtain biocompatible, sterile,
carboxyl-functionalized polyvinylpyrrolidone (PVP-co-acrylic acid) nanogels
(NGs); (2) Methods: Here, we performed a targeting strategy based on the
recognition of over-expressed proteins on tumor cells, like the folate receptor.
The selective targeting was demonstrated by co-culture studies and flow cytometry
analysis, using folate conjugated NGs. Moreover, nanoparticles were conjugated to
a chemotherapeutic drug or to a pro-apoptotic siRNA through a glutathione
sensitive spacer, in order to obtain a controlled release mechanism, specific for
cancer cells. The drug efficiency was tested on tumor and healthy cells by flow
cytometric analysis, confocal and epifluorescence microscopy and cytotoxicity
assay; the siRNA effect was investigated by RNAi experiment; (3) Results: The
data obtained showed that the use of NGs permits a faster cargo release in cancer
cells, in response to high cytosolic glutathione level, also improving their
efficacy; (4) Conclusion: The possibility of releasing biological molecules in a
controlled way and to recognize a specific tumor target allows overcoming the
typical limits of the classic cancer therapy.
PMID- 27886089
TI - Representation Method for Spectrally Overlapping Signals in Flow Cytometry Based
on Fluorescence Pulse Time-Delay Estimation.
AB - Flow cytometry is being applied more extensively because of the outstanding
advantages of multicolor fluorescence analysis. However, the intensity
measurement is susceptible to the nonlinearity of the detection method. Moreover,
in multicolor analysis, it is impossible to discriminate between fluorophores
that spectrally overlap; this influences the accuracy of the fluorescence pulse
signal representation. Here, we focus on spectral overlap in two-color analysis,
and assume that the fluorescence follows the single exponential decay model. We
overcome these problems by analyzing the influence of the spectral overlap
quantitatively, which enables us to propose a method of fluorescence pulse signal
representation based on time-delay estimation (between fluorescence and scattered
pulse signals). First, the time delays are estimated using a modified chirp Z
transform (MCZT) algorithm and a fine interpolation of the correlation peak
(FICP) algorithm. Second, the influence of hardware is removed via calibration,
in order to acquire the original fluorescence lifetimes. Finally, modulated
signals containing phase shifts associated with these lifetimes are created
artificially, using a digital signal processing method, and reference signals are
introduced in order to eliminate the influence of spectral overlap. Time-delay
estimation simulation and fluorescence signal representation experiments are
conducted on fluorescently labeled cells. With taking the potentially overlap of
autofluorescence as part of the observed fluorescence spectrum, rather than
distinguishing the individual influence, the results show that the calculated
lifetimes with spectral overlap can be rectified from 8.28 and 4.86 ns to 8.51
and 4.63 ns, respectively, using the comprehensive approach presented in this
work. These values agree well with the lifetimes (8.48 and 4.67 ns) acquired for
cells stained with single-color fluorochrome. Further, these results indicate
that the influence of spectral overlap can be eliminated effectively. Moreover,
modulation, mixing with reference signals, and low-pass filtering are performed
with a digital signal processing method, thereby obviating the need for a high
speed analog device and complex circuit system. Finally, the flexibility of the
comprehensive method presented in this work is significantly higher than that of
existing methods.
PMID- 27886090
TI - Radius and Orientation Measurement for Cylindrical Objects by a Light Section
Sensor.
AB - In this paper, an efficient method based on a light section sensor is presented
for measuring cylindrical objects' radii and orientations in a robotic
application. By this method, the cylindrical objects can be measured under some
special conditions, such as when the cylindrical objects are welded with others,
or in the presence of interferences. Firstly, the measurement data are roughly
identified and accurately screened to effectively recognize ellipses. Secondly,
the data are smoothed and homogenized to eliminate the effect of laser line loss
or jump and reduce the influence of the inhomogeneity of measurement data on the
ellipse fitting to a minimum. Finally, the ellipse fitting is carried out to
obtain the radii and orientations of the cylindrical objects. Measuring
experiments and results demonstrate the effective of the proposed radius and
orientation measurement method for cylindrical object.
PMID- 27886091
TI - A Testbed to Evaluate the FIWARE-Based IoT Platform in the Domain of Precision
Agriculture.
AB - Wireless sensor networks (WSNs) represent one of the most promising technologies
for precision farming. Over the next few years, a significant increase in the use
of such systems on commercial farms is expected. WSNs present a number of
problems, regarding scalability, interoperability, communications, connectivity
with databases and data processing. Different Internet of Things middleware is
appearing to overcome these challenges. This paper checks whether one of these
middleware, FIWARE, is suitable for the development of agricultural applications.
To the authors' knowledge, there are no works that show how to use FIWARE in
precision agriculture and study its appropriateness, its scalability and its
efficiency for this kind of applications. To do this, a testbed has been designed
and implemented to simulate different deployments and load conditions. The
testbed is a typical FIWARE application, complete, yet simple and comprehensible
enough to show the main features and components of FIWARE, as well as the
complexity of using this technology. Although the testbed has been deployed in a
laboratory environment, its design is based on the analysis of an Internet of
Things use case scenario in the domain of precision agriculture.
PMID- 27886092
TI - 1-Deoxynojirimycin: Occurrence, Extraction, Chemistry, Oral Pharmacokinetics,
Biological Activities and In Silico Target Fishing.
AB - 1-Deoxynojirimycin (DNJ, C6H13NO4, 163.17 g/mol), an alkaloid azasugar or
iminosugar, is a biologically active natural compound that exists in mulberry
leaves and Commelina communis (dayflower) as well as from several bacterial
strains such as Bacillus and Streptomyces species. Deoxynojirimycin possesses
antihyperglycemic, anti-obesity, and antiviral features. Therefore, the aim of
this detailed review article is to summarize the existing knowledge on
occurrence, extraction, purification, determination, chemistry, and bioactivities
of DNJ, so that researchers may use it to explore future perspectives of research
on DNJ. Moreover, possible molecular targets of DNJ will also be investigated
using suitable in silico approach.
PMID- 27886094
TI - Damxungmacin A and B, Two New Amicoumacins with Rare Heterocyclic Cores Isolated
from Bacillus subtilis XZ-7.
AB - Two new amicoumacins, named Damxungmacin A (1) and B (2), were isolated from the
culture broth of a soil-derived bacterium Bacillus subtilis XZ-7. Their chemical
structures were elucidated by spectroscopic studies (UV, IR, NMR and HR-ESI-MS).
Compound 1 possessed a 1,4-diazabicyclo[2.2.1]heptane-2-one ring system in its
structure, which was reported for the first time, while 2 had a 1
acetylmorpholine-3-one moiety, which was naturally rare. Compound 1 exhibited
moderate to weak cytotoxic activities against three human tumor cell lines (A549,
HCT116 and HepG2) with IC50 values of 13.33, 14.34 and 13.64 MUM, respectively.
Meanwhile, compound 1 showed weak antibacterial activities against some strains
of Staphylococcus epidermidis, while compound 2 at 16 MUg/mL did not show
antibacterial activity.
PMID- 27886093
TI - HMGB1 Promotes Intraoral Palatal Wound Healing through RAGE-Dependent Mechanisms.
AB - High mobility group box 1 (HMGB1) is tightly connected to the process of tissue
organization upon tissue injury. Here we show that HMGB1 controls epithelium and
connective tissue regeneration both in vivo and in vitro during palatal wound
healing. Heterozygous HMGB1 (Hmgb1+/-) mice and Wild-type (WT) mice were
subjected to palatal injury. Maxillary tissues were stained with Mallory Azan or
immunostained with anti-HMGB1, anti-proliferating cell nuclear antigen (PCNA),
anti-nuclear factor-kappaB (NF-kappaB) p50 and anti-vascular endothelial growth
factor (VEGF) antibodies. Palatal gingival explants were cultured with
recombinant HMGB1 (rHMGB1) co-treated with siRNA targeting receptor for advanced
glycation end products (RAGEs) for cell migration and PCNA expression analysis.
Measurement of the wound area showed differences between Hmgb1+/- and WT mice on
Day 3 after wounding. Mallory Azan staining showed densely packed of collagen
fibers in WT mice, whereas in Hmgb1+/- mice weave-like pattern of low density
collagen bundles were present. At three and seven days post-surgery, PCNA, NF
kappaB p50 and VEGF positive keratinocytes of WT mice were greater than that of
Hmgb1+/- mice. Knockdown of RAGE prevents the effect of rHMGB1-induced cell
migration and PCNA expression in gingival cell cultures. The data suggest that
HMGB1/RAGE axis has crucial roles in palatal wound healing.
PMID- 27886096
TI - Service Demand Discovery Mechanism for Mobile Social Networks.
AB - In the last few years, the service demand for wireless data over mobile networks
has continually been soaring at a rapid pace. Thereinto, in Mobile Social
Networks (MSNs), users can discover adjacent users for establishing temporary
local connection and thus sharing already downloaded contents with each other to
offload the service demand. Due to the partitioned topology, intermittent
connection and social feature in such a network, the service demand discovery is
challenging. In particular, the service demand discovery is exploited to identify
the best relay user through the service registration, service selection and
service activation. In order to maximize the utilization of limited network
resources, a hybrid service demand discovery architecture, such as a Virtual
Dictionary User (VDU) is proposed in this paper. Based on the historical data of
movement, users can discover their relationships with others. Subsequently,
according to the users activity, VDU is selected to facilitate the service
registration procedure. Further, the service information outside of a home
community can be obtained through the Global Active User (GAU) to support the
service selection. To provide the Quality of Service (QoS), the Service Providing
User (SPU) is chosen among multiple candidates. Numerical results show that, when
compared with other classical service algorithms, the proposed scheme can improve
the successful service demand discovery ratio by 25% under reduced overheads.
PMID- 27886095
TI - Sociocultural Determinants of Risky Sexual Behaviors among Adult Latinas: A
Longitudinal Study of a Community-Based Sample.
AB - Few studies have examined the sociocultural determinants of risky sexual behavior
trajectories among adult Latinas. To longitudinally examine the link between
sociocultural determinants of risky sexual behaviors, we followed a sample of
adult Latina mother-daughter dyads (n = 267) across a 10-year span through four
waves of data collection. The present study investigates how risky sexual
behavior (operationalized as sex under the influence of alcohol or other drugs,
sex without a condom, or multiple sex partners) is affected by: (a) socioeconomic
conditions; (b) mental health; (c) medical health; (d) acculturation to U.S.
culture; (e) interpersonal support; (f) relationship stress; (g) mother-daughter
attachment; (h) intimate partner violence; (i) religious involvement; and (j)
criminal justice involvement. Results indicate the following factors are
negatively associated with risky sexual behavior: drug and alcohol use, treating
a physical problem with prescription drugs, religious involvement, and mother
daughter attachment. The following factors are positively associated with risky
sexual behavior: higher number of mental health symptoms, being U.S.-born, and
criminal justice involvement. We discuss implications for the future development
of culturally relevant interventions based on the study findings.
PMID- 27886097
TI - Investigating Glycol-Split-Heparin-Derived Inhibitors of Heparanase: A Study of
Synthetic Trisaccharides.
AB - Heparanase is the only known endoglycosidase able to cleave heparan sulfate.
Roneparstat and necuparanib, heparanase inhibitors obtained from heparin and
currently being tested in man as a potential drugs against cancer, contain in
their structure glycol-split uronic acid moieties probably responsible for their
strong inhibitory activity. We describe here the total chemical synthesis of the
trisaccharide GlcNS6S-GlcA-1,6anGlcNS (1) and its glycol-split (gs) counterpart
GlcNS6S-gsGlcA-1,6anGlcNS (2) from glucose. As expected, in a heparanase
inhibition assay, compound 2 is one order of magnitude more potent than 1. Using
molecular modeling techniques we have created a 3D model of 1 and 2 that has been
validated by NOESY NMR experiments. The pure synthetic oligosaccharides have
allowed the first in depth study of the conformation of a glycol-split glucuronic
acid. Introducing a glycol-split unit in the structure of 1 increases the
conformational flexibility and shortens the distance between the two glucosamine
motives, thus promoting interaction with heparanase. However, comparing the
relative activities of 2 and roneparstat, we can conclude that the glycol-split
motive is not the only determinant of the strong inhibitory effect of
roneparstat.
PMID- 27886098
TI - Is Green Exercise for All? A Descriptive Study of Green Exercise Habits and
Promoting Factors in Adult Norwegians.
AB - Background: Physical activity (PA) in natural environments, known as green
exercise (GE), can provide health benefits above and beyond PA in other
environments, but little is known about the extent to which GE is an accessible
form of weekly PA across different social groups. This study aims to examine the
"GE phenomenon" in Norway, and evaluate possible differences in GE habits and
perceived factors that promote GE across sub-groups of this population. Methods:
2168 adults from all over Norway reported weekly GE and other forms of PA,
specific forms of GE, and perceived factors that promote GE. Data were examined
in the overall sample and in relation with the respondents' PA status and
sociodemographic characteristics. Results: GE, especially "walking in the forest"
and "activities by/on the sea", was the most popular form of weekly PA, even
among those with low PA levels. GE was fairly represented across all social
groups, and especially among the elderly, those who live with spouse/partner and
those who live in the west regions of Norway, while no associations were found in
relation to sex, centrality, education level or household income. "Time
flexibility" and "PA-supportive places" were generally perceived as the most
important factors that promote GE across all social groups. "Accessibility to
nature" was generally perceived relatively little important, though a gradient
was observed in relation to age, education level and household income.
Conclusions: GE is an important source of weekly PA and health among adult
Norwegians, especially in sub-groups of interest for public health such as the
elderly, those with lower socio-economic status and those who live in non-urban
areas. More should be done to understand and address the inequities relative to
the perceived accessibility to nature.
PMID- 27886099
TI - New Insights into the State Trapping of UV-Excited Thymine.
AB - After UV excitation, gas phase thymine returns to a ground state in 5 to 7 ps,
showing multiple time constants. There is no consensus on the assignment of these
processes, with a dispute between models claiming that thymine is trapped either
in the first (S1) or in the second (S2) excited states. In the present study, a
nonadiabatic dynamics simulation of thymine is performed on the basis of ADC(2)
surfaces, to understand the role of dynamic electron correlation on the
deactivation pathways. The results show that trapping in S2 is strongly reduced
in comparison to previous simulations considering only non-dynamic electron
correlation on CASSCF surfaces. The reason for the difference is traced back to
the energetic cost for formation of a CO pi bond in S2.
PMID- 27886100
TI - Chemical Constituents of Phaius mishmensis.
AB - The partitioned n-hexane, CHCl3, and EtOAc extracts from the crude MeOH extract
of Phaius mishmensis showed considerable cytotoxicities against the human breast
carcinoma (MCF-7), lung carcinoma (NCI-H460), and central nervous system
carcinoma (SF-268) cell lines. Four new compounds, phaindole (1), (7'R,8'R)
phaithrene (2), methyl 3-hydroxy-4,5-dimethoxypropiophenone (3), and methyl
hematinate (4), as well as 44 known compounds were isolated from the MeOH extract
of Phaius mishmensis. The structures of the compounds were determined using
spectroscopic methods.
PMID- 27886101
TI - Fatty Acid and Phenolic Compound Concentrations in Eight Different Monovarietal
Virgin Olive Oils from Extremadura and the Relationship with Oxidative Stability.
AB - Olive oils have been shown to be more resistant to oxidation than other vegetable
fats, mainly due to their fatty acid (FA) profile which is rich in oleic acid and
to their high content of antioxidants, principally phenols and tocopherols. This
has situated virgin olive oils (VOOs) among the fats of high nutritional quality.
However, it is important to stress that the oil's commercial category (olive oil,
virgin olive oil, extra-virgin olive oil), the variety of the source plant, and
the extraction-conservation systems all decisively influence the concentration of
these antioxidants and the oil's shelf-life. The present work studied the fatty
acid (FA) and phenolic composition and the oxidative stability (OS) of eight
olive varieties grown in Extremadura (Arbequina, Cornicabra, Manzanilla Cacerena,
Manzanilla de Sevilla, Morisca, Pico Limon, Picual, and Verdial de Badajoz), with
the olives being harvested at different locations and dates. The Cornicabra,
Picual, and Manzanilla Cacerena VOOs were found to have high oleic acid contents
(>77.0%), while the VOOs of Morisca and Verdial de Badajoz had high linoleic acid
contents (>14.5%). Regarding the phenol content, high values were found in the
Cornicabra (633 mg.kg-1) and Morisca (550 mg.kg-1) VOOs, and low values in
Arbequina (200 mg.kg-1). The OS was found to depend upon both the variety and the
date of harvesting. It was higher in the Cornicabra and Picual oils (>55 h), and
lower in those of Verdial de Badajoz (26.3 h), Arbequina (29.8 h), and Morisca
(31.5 h). In relating phenols and FAs with the OS, it was observed that, while
the latter, particularly the linoleic content (R = -0.710, p < 0.001, n = 135),
constitute the most influential factors, the phenolic compounds, especially o
diphenols, are equally influential when the oils' linoleic content is >=12.5% (R
= 0.674, p < 0.001, n = 47). The results show that VOOs' resistance to oxidation
depends not only on the FA or phenolic profile, but also on the interaction of
these compounds within the same matrix.
PMID- 27886103
TI - Groundwater Vulnerability Assessment of the Pingtung Plain in Southern Taiwan.
AB - In the Pingtung Plain of southern Taiwan, elevated levels of NO3--N in
groundwater have been reported. Therefore, efforts for assessing groundwater
vulnerability are required as part of the critical steps to prevent and control
groundwater pollution. This study makes a groundwater vulnerability assessment
for the Pingtung Plain using an improved overlay and index-based DRASTIC model.
The improvement of the DRASTIC model is achieved by reassigning the weighting
coefficients of the factors in this model with the help of a discriminant
analysis statistical method. The analytical results obtained from the improved
DRASTIC model provide a reliable prediction for use in groundwater vulnerability
assessment to nitrate pollution and can correctly identify the groundwater
protection zones in the Pingtung Plain. Moreover, the results of the sensitivity
analysis conducted for the seven parameters in the improved DRASTIC model
demonstrate that the aquifer media (A) is the most sensitive factor when the
nitrate-N concentration is below 2.5 mg/L. For the cases where the nitrate-N
concentration is above 2.5 mg/L, the aquifer media (A) and net recharge (R) are
the two most important factors.
PMID- 27886102
TI - An Investigation on the Effect of Extremely Low Frequency Pulsed Electromagnetic
Fields on Human Electrocardiograms (ECGs).
AB - For this investigation, we studied the effects of extremely low frequency pulse
electromagnetic fields (ELF-PEMF) on the human cardiac signal. Electrocardiograms
(ECGs) of 22 healthy volunteers before and after a short duration of ELF-PEMF
exposure were recorded. The experiment was conducted under single-blind
conditions. The root mean square (RMS) value of the recorded data was considered
as comparison criteria. We also measured and analysed four important ECG time
intervals before and after ELF-PEMF exposure. Results revealed that the RMS value
of the ECG recordings from 18 participants (81.8% of the total participants)
increased with a mean value of 3.72%. The increase in ECG voltage levels was then
verified by a second experimental protocol with a control exposure. In addition
to this, we used hyperbolic T-distributions (HTD) in the analysis of ECG signals
to verify the change in the RR interval. It was found that there were small
shifts in the frequency-domain signal before and after EMF exposure. This shift
has an influence on all frequency components of the ECG signals, as all spectrums
were shifted. It is shown from this investigation that a short time exposure to
ELF-PEMF can affect the properties of ECG signals. Further study is needed to
consolidate this finding and discover more on the biological effects of ELF-PEMF
on human physiological processes.
PMID- 27886106
TI - Multi-Target Tracking Using an Improved Gaussian Mixture CPHD Filter.
AB - The cardinalized probability hypothesis density (CPHD) filter is an alternative
approximation to the full multi-target Bayesian filter for tracking multiple
targets. However, although the joint propagation of the posterior intensity and
cardinality distribution in its recursion allows more reliable estimates of the
target number than the PHD filter, the CPHD filter suffers from the spooky effect
where there exists arbitrary PHD mass shifting in the presence of missed
detections. To address this issue in the Gaussian mixture (GM) implementation of
the CPHD filter, this paper presents an improved GM-CPHD filter, which
incorporates a weight redistribution scheme into the filtering process to modify
the updated weights of the Gaussian components when missed detections occur. In
addition, an efficient gating strategy that can adaptively adjust the gate sizes
according to the number of missed detections of each Gaussian component is also
presented to further improve the computational efficiency of the proposed filter.
Simulation results demonstrate that the proposed method offers favorable
performance in terms of both estimation accuracy and robustness to clutter and
detection uncertainty over the existing methods.
PMID- 27886104
TI - Prevalence and Parental Risk Factors for Speech Disability Associated with Cleft
Palate in Chinese Children-A National Survey.
AB - Although the prevalence of oral clefts in China is among the highest worldwide,
little is known about the prevalence of speech disability associated with cleft
palate in Chinese children. The data for this study were collected from the
Second China National Sample Survey on Disability, and identification of speech
disability associated with cleft palate was based on consensus manuals. Logistic
regression was used to estimate odds ratios (ORs) and 95% confidence intervals
(CIs). A weighted number of 112,070 disabled children affected by cleft palate
were identified, yielding a prevalence of 3.45 per 10,000 children (95% CI: 3.19
3.71). A history of speech disability in the mother (OR = 20.266, 95% CI 5.788
70.959, p < 0.0001), older paternal child-bearing age (OR = 1.061, 95% CI 1.017
1.108, p = 0.0065, per year increase in age), and lower parental education
(maternal: OR = 3.424, 95% CI 1.082-10.837, p = 0.0363; paternal: OR = 2.923, 95%
CI 1.245-6.866, p = 0.0138) were strongly associated with risk of speech
disability associated with cleft palate in the offspring. Our results showed that
maternal speech disability, older paternal child-bearing age, and lower levels of
parental education were independent risk factors for speech disability associated
with cleft palate for children in China. These findings may have important
implications for health disparities and prevention.
PMID- 27886107
TI - Evaluation Research of the Effects of Longitudinal Speed Reduction Markings on
Driving Behavior: A Driving Simulator Study.
AB - The objective of this paper is to explore the effects of longitudinal speed
reduction markings (LSRMs) on vehicle maneuvering and drivers' operation
performance on interchange connectors with different radii. Empirical data were
collected in a driving simulator. Indicators-relative speed change, standard
deviation of acceleration, and gas/brake pedal power-were proposed to
characterize driving behavior. Statistical results revealed that LSRMs could
reduce vehicles' travel speed and limit drivers' willingness to increase speed in
the entire connector. To probe the impacts of LSRMs, the connecter was split into
four even sections. Effects of LSRMs on driving behavior were stronger in the
second and the final sections of connectors. LSRMs also enhanced drivers'
adaptability in the first three quarters of a connector when the radius was 50 m.
Drivers' gas pedal operation would be impacted by LSRMs in the entire connector
when the radius was 50 m. LSRMs could only make drivers press brake pedal more
frequently in the second section with 80 m and 100 m radius. In the second
quarter section of a connector-from the FQP (the first quartile point) to the MC
(the middle point of curve)-LSRMs have better effects on influencing vehicle
maneuvering and drivers' operation performance.
PMID- 27886108
TI - Chemoenzymatic Synthesis of trans-beta-Aryl-delta-hydroxy-gamma-lactones and
Enzymatic Kinetic Resolution of Their Racemic Mixtures.
AB - Two novel and convenient routes to obtain enantiomerically enriched trans-beta
aryl-delta-hydroxy-gamma-lactones 5a-d with potential antifeedant and anticancer
activity were developed. In the first method starting from corresponding
enantiomers of gamma,delta-unsaturated esters 4a-d derived from enzymatically
resolved allyl alcohols 1a-d, both enantiomers of hydroxylactones 5a-d were
synthesized with high enantiomeric excesses (73%-97%). Configurations of the
stereogenic centers of the synthesized compounds were assigned based on the
mechanism of acidic lactonization of esters 4a-d in the presence of m
chloroperbenzoic acid (m-CPBA). An alternative method for the production of
optically active trans-beta-aryl-delta-hydroxy-gamma-lactones 5a-d was lipase
catalyzed kinetic resolution of their racemic mixtures by transesterification
with vinyl propionate as the acyl donor. The most efficient enzyme in the
screening procedure was lipase B from Candida antarctica. Its application on a
preparative scale after 6 h afforded unreacted (+)-(4S,5R,6S)-hydroxylactones 5a
d and (+)-(4R,5S,6R)-propionates 6a-d, most of them with high enantiomeric
excesses (92%-98%). Resolution of lactone 5d with bulky 1,3-benzodioxol ring
provided products with significantly lower optical purity (ee = 89% and 84% for
hydroxylactone 5d and propionate 6d, respectively). The elaborated methods give
access to both enantiomers of trans-beta-aryl-delta-hydroxy-gamma-lactones 5a-d
with the defined absolute configurations of stereogenic centers, which is crucial
requirement for the investigations of relationship: spatial structure-biological
activity.
PMID- 27886109
TI - Influence of Secondary-Structure Folding on the Mutually Exclusive Folding
Process of GL5/I27 Protein: Evidence from Molecular Dynamics Simulations.
AB - Mutually exclusive folding proteins are a class of multidomain proteins in which
the host domain remains folded while the guest domain is unfolded, and both
domains achieve exchange of their folding status by a mutual exclusive folding
(MEF) process. We carried out conventional and targeted molecular dynamics
simulations for the mutually exclusive folding protein of GL5/I27 to address the
MEF transition mechanisms. We constructed two starting models and two targeted
models, i.e., the starting models GL5/I27-S and GL5/I27-ST in which the first
model involves the host domain GL5 and the secondary-structure unfolded guest
domain I27-S, while the second model involves the host domain GL5 and the
secondary/tertiary-structure extending guest domain I27-ST, and the target models
GL5-S/I27 and GL5-ST/I27 in which GL5-S and GL5-ST represent the secondary
structure unfolding and the secondary/tertiary-structure extending, respectively.
We investigated four MEF transition processes from both starting models to both
target models. Based on structural changes and the variations of the radius of
gyration (Rg) and the fractions of native contacts (Q), the formation of the
secondary structure of the I27-guest domain induces significant extending of the
GL5-host domain; but the primary shrinking of the tertiary structure of the I27
guest domain causes insignificant extending of the GL5-host domain during the
processes. The results indicate that only formation of the secondary structure in
the I27-guest domain provides the main driving force for the mutually exclusive
folding/unfolding between the I27-guest and GL5-host domains. A special structure
as an intermediate with both host and guest domains being folded at the same time
was found, which was suggested by the experiment. The analysis of hydrogen bonds
and correlation motions supported the studied transition mechanism with the
dynamical "tug-of-war" phenomenon.
PMID- 27886110
TI - Effects of Scale, Question Location, Order of Response Alternatives, and Season
on Self-Reported Noise Annoyance Using ICBEN Scales: A Field Experiment.
AB - The type of noise annoyance scale and aspects of its presentation such as
response format or location within a questionnaire and other contextual factors
may affect self-reported noise annoyance. By means of a balanced experimental
design, the effect of type of annoyance question and corresponding scale (5-point
verbal vs. 11-point numerical ICBEN (International Commission on Biological
Effects of Noise) scale), presentation order of scale points (ascending vs.
descending), question location (early vs. late within the questionnaire), and
survey season (autumn vs. spring) on reported road traffic noise annoyance was
investigated in a postal survey with a stratified random sample of 2386 Swiss
residents. Our results showed that early appearance of annoyance questions was
significantly associated with higher annoyance scores. Questionnaires filled out
in autumn were associated with a significantly higher annoyance rating than in
the springtime. No effect was found for the order of response alternatives.
Standardized average annoyance scores were slightly higher using the 11-point
numerical scale whereas the percentage of highly annoyed respondents was higher
based on the 5-point scale, using common cutoff points. In conclusion, placement
and presentation of annoyance questions within a questionnaire, as well as the
time of the year a survey is carried out, have small but demonstrable effects on
the degree of self-reported noise annoyance.
PMID- 27886112
TI - Synthesis, Characterization, and Anti-Inflammatory Activities of Methyl
Salicylate Derivatives Bearing Piperazine Moiety.
AB - In this study, a new series of 16 methyl salicylate derivatives bearing a
piperazine moiety were synthesized and characterized. The in vivo anti
inflammatory activities of target compounds were investigated against xylol
induced ear edema and carrageenan-induced paw edema in mice. The results showed
that all synthesized compounds exhibited potent anti-inflammatory activities.
Especially, the anti-inflammatory activities of compounds M15 and M16 were higher
than that of aspirin and even equal to that of indomethacin at the same dose. In
addition, the in vitro cytotoxicity activities and anti-inflammatory activities
of four target compounds were performed in RAW264.7 macrophages, and compound M16
was found to significantly inhibit the release of lipopolysaccharide (LPS)
induced interleukin (IL)-6 and tumor necrosis factor (TNF)-alpha in a dose
dependent manner. In addition, compound M16 was found to attenuate LPS induced
cyclooxygenase (COX)-2 up-regulation. The current preliminary study may provide
information for the development of new and safe anti-inflammatory agents.
PMID- 27886105
TI - Pro-Tumoral Inflammatory Myeloid Cells as Emerging Therapeutic Targets.
AB - Since the observation of Virchow, it has long been known that the tumor
microenvironment constitutes the soil for the infiltration of inflammatory cells
and for the release of inflammatory mediators. Under certain circumstances,
inflammation remains unresolved and promotes cancer development. Here, we review
some of these indisputable experimental and clinical evidences of cancer related
smouldering inflammation. The most common myeloid infiltrate in solid tumors is
composed of myeloid-derived suppressor cells (MDSCs) and tumor-associated
macrophages (TAMs). These cells promote tumor growth by several mechanisms,
including their inherent immunosuppressive activity, promotion of
neoangiogenesis, mediation of epithelial-mesenchymal transition and alteration of
cellular metabolism. The pro-tumoral functions of TAMs and MDSCs are further
enhanced by their cross-talk offering a myriad of potential anti-cancer
therapeutic targets. We highlight these main pro-tumoral mechanisms of myeloid
cells and give a general overview of their phenotypical and functional diversity,
offering examples of possible therapeutic targets. Pharmacological targeting of
inflammatory cells and molecular mediators may result in therapies improving
patient condition and prognosis. Here, we review experimental and clinical
findings on cancer-related inflammation with a major focus on creating an
inventory of current small molecule-based therapeutic interventions targeting
cancer-related inflammatory cells: TAMs and MDSCs.
PMID- 27886111
TI - Effects of Fetal Exposure to Asian Sand Dust on Development and Reproduction in
Male Offspring.
AB - In recent experimental studies, we reported the aggravating effects of Asian sand
dust (ASD) on male reproduction in mice. However, the effects of fetal ASD
exposure on male reproduction have not been investigated. The present study
investigated the effects of fetal ASD exposure on reproduction in male offspring.
Using pregnant CD-1 mice, ASD was administered intratracheally on days 7 and 14
of gestation, and the reproduction of male offspring was determined at 5, 10, and
15 weeks after birth. The secondary sex ratio was significantly lower in the
fetal ASD-exposed mice than in the controls. Histologic examination showed
partial vacuolation of seminiferous tubules in immature mice. Moreover, daily
sperm production (DSP) was significantly less in the fetal ASD-exposed mice than
in the controls. DSP in the fetal ASD-exposed mice was approximately 10% less
than the controls at both 5 and 10 weeks. However, both the histologic changes
and the DSP decrease were reversed as the mice matured. These findings suggest
that ASD exposure affects both the fetal development and the reproduction of male
offspring. In the future, it will be necessary to clarify the onset mechanisms of
ASD-induced male fetus death and male reproductive disorders.
PMID- 27886114
TI - AutoDock-GIST: Incorporating Thermodynamics of Active-Site Water into Scoring
Function for Accurate Protein-Ligand Docking.
AB - Water plays a significant role in the binding process between protein and ligand.
However, the thermodynamics of water molecules are often underestimated, or even
ignored, in protein-ligand docking. Usually, the free energies of active-site
water molecules are substantially different from those of waters in the bulk
region. The binding of a ligand to a protein causes a displacement of these
waters from an active site to bulk, and this displacement process substantially
contributes to the free energy change of protein-ligand binding. The free energy
of active-site water molecules can be calculated by grid inhomogeneous solvation
theory (GIST), using molecular dynamics (MD) and the trajectory of a target
protein and water molecules. Here, we show a case study of the combination of
GIST and a docking program and discuss the effectiveness of the displacing gain
of unfavorable water in protein-ligand docking. We combined the GIST-based
desolvation function with the scoring function of AutoDock4, which is called
AutoDock-GIST. The proposed scoring function was assessed employing 51 ligands of
coagulation factor Xa (FXa), and results showed that both scoring accuracy and
docking success rate were improved. We also evaluated virtual screening
performance of AutoDock-GIST using FXa ligands in the directory of useful decoys
enhanced (DUD-E), thus finding that the displacing gain of unfavorable water is
effective for a successful docking campaign.
PMID- 27886113
TI - Predictors of Urinary 3-Phenoxybenzoic Acid Levels in 50 North Carolina Adults.
AB - Limited data are available on the non-chemical stressors that impact adult
exposures to pyrethroid insecticides based on urinary biomonitoring. The urinary
metabolite, 3-phenoxybenzoic acid (3-PBA), is commonly used to assess human
exposure to a number of pyrethroids. In a further analysis of published study
data, we quantified urinary 3-PBA levels of 50 adults over a single, 24-h
sampling period and examined the associations between the biomarker measurements
and selected non-chemical stressors (demographic, lifestyle, and dietary
factors). A convenience sample of 50 adults was recruited in North Carolina in
2009-2011. Participants collected individual urine voids (up to 11) and filled
out activity, food, and pesticide use diaries over a 24-h sampling period. Urine
voids (n = 326) were analyzed for 3-PBA concentrations using high-performance
liquid chromatography-tandem mass spectrometry. 3-PBA was detected in 98% of the
24-h composited urine samples. The geometric mean urinary 3-PBA level was 1.68
ng/mL in adults. Time spent outside (p = 0.0006) was a highly significant
predictor of natural log-transformed (ln) urinary 3-PBA levels, while consumption
of coffee (p = 0.007) and breads (p = 0.019) and ln creatinine levels (p = 0.037)
were significant predictors of urinary 3-PBA levels. In conclusion, we identified
specific factors that substantially increased adult exposures to pyrethroids in
their everyday environments.
PMID- 27886115
TI - The Draft Genome Sequence of Actinokineospora bangkokensis 44EHWT Reveals the
Biosynthetic Pathway of the Antifungal Thailandin Compounds with Unusual
Butylmalonyl-CoA Extender Units.
AB - We report the draft genome sequence of Actinokineospora bangkokensis 44EHWT, the
producer of the antifungal polyene compounds, thailandins A and B. The sequence
contains 7.45 Mb, 74.1% GC content and 35 putative gene clusters for the
biosynthesis of secondary metabolites. There are three gene clusters encoding
large polyketide synthases of type I. Annotation of the ORF functions and
targeted gene disruption enabled us to identify the cluster for thailandin
biosynthesis. We propose a plausible biosynthetic pathway for thailandin, where
the unusual butylmalonyl-CoA extender unit is incorporated and results in an
untypical side chain.
PMID- 27886116
TI - Simultaneous Ultra Performance Liquid Chromatography Determination and
Antioxidant Activity of Linarin, Luteolin, Chlorogenic Acid and Apigenin in
Different Parts of Compositae Species.
AB - Linarin (LA), luteolin (LE), chlorogenic acid (CA) and apigenin (AP) are four
major flavonoids with various promising bioactivities found in Compositae (COP)
species. A reliable, reproducible and accurate method for the simultaneous and
quantitative determination of these four major flavonoids by Ultra Performance
Liquid Chromatography (UPLC) analysis was developed. This method should be
appropriate for the quality assurance of COP. The UPLC separation was carried out
using an octadecylsilane (ODS) Hypersil (2.1 mm * 250 mm, 1.9 MUm) and a mobile
phase composed of acetonitrile and 0.1% formic acid in water at a flow rate 0.44
mL/min and ultraviolet (UV) detection 254 nm. Gradient elution was employed. The
method was precise, with relative standard deviation below 3.0% and showed
excellent linearity (R2 > 0.999). The recoveries for the four flavonoids in COP
were between 95.49%-106.23%. The average contents of LA, LE, CA and AP in
different parts (flower, leave and stem) of COP were between 0.64-1.47 g/100 g,
0.66-0.89 g/100 g, 0.32-0.52 g/100 g and 0.16-0.18 g/100 g, respectively. The
method was accurate and reproducible and it can provide a quantitative basis for
quality control of COP.
PMID- 27886117
TI - Surface Modification of a Nanoporous Carbon Photoanode upon Irradiation.
AB - The photocorrosion of a nanoporous carbon photoanode, with low surface
functionalization and high performance towards the photoelectrochemical oxidation
of water using simulated solar light, was investigated. Two different light
configurations were used to isolate the effect of the irradiation wavelength (UV
and visible light) on the textural and chemical features of the carbon
photoanode, and its long-term photocatalytic performance for the oxygen evolution
reaction. A complete characterization of the carbon showed that the
photocorrosion of carbon anodes of low functionalization follows a different
pathway than highly functionalized carbons. The carbon matrix gets slightly
oxidized, with the formation of carboxylic and carbonyl-like moieties in the
surface of the carbon anode after light exposure. The oxidation of the carbon
occurred due to the photogeneration of oxygen reactive species upon the
decomposition of water during the irradiation of the photoanodes. Furthermore,
the photoinduced surface reactions depend on the nature of the carbon anode and
its ability to photogenerate reactive species in solution, rather than on the
wavelength of the irradiation source. This surface modification is responsible
for the decreased efficiency of the carbon photoanode throughout long
illumination periods, due to the effect of the oxidation of the carbon matrix on
the charge transfer. In this work, we have corroborated that, in the case of a
low functionalization carbon material, the photocorrosion also occurs although it
proceeds through a different pathway. The carbon anode gets gradually slightly
oxidized due to the photogeneration of O-reactive species, being the
incorporation of the O-groups responsible for the decreased performance of the
anode upon long-term irradiation due to the effect of the oxidation of the carbon
matrix on the electron transfer.
PMID- 27886118
TI - Natural Compound Histone Deacetylase Inhibitors (HDACi): Synergy with
Inflammatory Signaling Pathway Modulators and Clinical Applications in Cancer.
AB - The remarkable complexity of cancer involving multiple mechanisms of action and
specific organs led researchers Hanahan and Weinberg to distinguish biological
capabilities acquired by cancer cells during the multistep development of human
tumors to simplify its understanding. These characteristic hallmarks include the
abilities to sustain proliferative signaling, evade growth suppressors, resist
cell death, enable replicative immortality, induce angiogenesis, activate
invasion and metastasis, avoid immune destruction, and deregulate cellular
energetics. Furthermore, two important characteristics of tumor cells that
facilitate the acquisition of emerging hallmarks are tumor-promoting inflammation
and genome instability. To treat a multifactorial disease such as cancer, a
combination treatment strategy seems to be the best approach. Here we focus on
natural histone deacetylase inhibitors (HDACi), their clinical uses as well as
synergies with modulators of the pro-inflammatory transcription factor signaling
pathways.
PMID- 27886119
TI - Synthesis and Antifungal Screening of 2-{[1-(5-Alkyl/arylalkylpyrazin-2
yl)ethylidene]hydrazono}-1,3-thiazolidin-4-ones.
AB - Two novel thiosemicarbazones and eight novel 2-{[1-(5-alkyl/arylalkylpyrazin-2
yl)ethylidene]hydrazono}-1,3-thiazolidin-4-ones were prepared and tested against
a panel of eight fungal strains-Candida albicans ATCC 44859, Candida tropicalis
156, Candida krusei E 28, Candida glabrata 20/I, Trichosporon asahii 1188,
Aspergillus fumigatus 231, Lichtheimia corymbifera 272, and Trichophyton
interdigitale 445. 1,3-Thiazolidin-4-ones exhibited activity against all strains,
the most potent derivative was 2-{[1-(5-butylpyrazin-2-yl)ethylidene]hydrazono}e
1,3-thiazolidin-4-one. Susceptibility of C. glabrata to the studied 1,3
thiazolidin-4-ones (minimum inhibitory concentrations (MICs) were in the range
0.57 to 2.78 mg/L) is of great interest as this opportunistic pathogen is poorly
susceptible to azoles and becomes resistant to echinocandins. Antifungal potency
of thiosemicarbazones was slightly lower than that of 1,3-thiazolidin-4-ones.
PMID- 27886120
TI - The Role and Application of Sirtuins and mTOR Signaling in the Control of Ovarian
Functions.
AB - The present short review demonstrates the involvement of sirtuins (SIRTs) in the
control of ovarian functions at various regulatory levels. External and endocrine
factors can affect female reproduction via SIRTs-mammalian target of rapamycin
(mTOR) system, which, via hormones and growth factors, can in turn regulate basic
ovarian functions (proliferation, apoptosis, secretory activity of ovarian cells,
their response to upstream hormonal regulators, ovarian folliculo- and oogenesis,
and fecundity). SIRTs and SIRTs-related signaling molecules and drugs regulating
mTOR can be used for characterization, prediction, and regulation of ovarian
functions, as well as for diagnostics and treatment of ovarian disorders.
PMID- 27886121
TI - Sodium Butyrate Reduces Colitogenic Immunoglobulin A-Coated Bacteria and Modifies
the Composition of Microbiota in IL-10 Deficient Mice.
AB - High levels of immunoglobulin A (IgA)-coated bacteria may have a role in driving
inflammatory bowel disease (IBD). We therefore investigated the effect of sodium
butyrate on microbiota in IBD prone interleukin (IL)-10-/- mice. At 8 weeks of
age, mice were allocated into three groups (n = 4/group): normal (C57BL/6), IL-10
/-, and IL-10-/- treated with sodium butyrate (100 mM). Severity of colitis,
inflammatory cytokine and short-chain fatty acid (SCFA) concentration in proximal
colon contents, the percentage of IgA-coated bacteria and microbiota composition
by 16S ribosomal RNA assessment of stool were measured after 4 weeks of
treatment. Sodium butyrate ameliorated histological colitis and decreased levels
of tumor necrosis factor (TNF)-alpha and IL-6 in IL-10-/- mice compared with
those without treatment. At the phylum level, a reduction in Bacteroidetes and an
increase in Firmicutes in IL-10-/- mice treated with sodium butyrate were
observed. Additionally, Prevotellaceae species were reduced in IL-10-/- mice
treated with sodium butyrate as compared with those without treatment. The level
of biodiversity was slightly increased and the amount of IgA-coated bacteria
decreased in IL-10-/- mice treated with sodium butyrate compared with those
without treatment. Our results indicate that sodium butyrate protects against
colitis, possibly through modifying the gut microbiota, enriching biodiversity
and reducing the amount of colitogenic IgA-coated bacteria in IL-10-/- mice.
PMID- 27886122
TI - Remote Blood Glucose Monitoring in mHealth Scenarios: A Review.
AB - Glucose concentration in the blood stream is a critical vital parameter and an
effective monitoring of this quantity is crucial for diabetes treatment and
intensive care management. Effective bio-sensing technology and advanced signal
processing are therefore of unquestioned importance for blood glucose monitoring.
Nevertheless, collecting measurements only represents part of the process as
another critical task involves delivering the collected measures to the treating
specialists and caregivers. These include the clinical staff, the patient's
significant other, his/her family members, and many other actors helping with the
patient treatment that may be located far away from him/her. In all of these
cases, a remote monitoring system, in charge of delivering the relevant
information to the right player, becomes an important part of the sensing
architecture. In this paper, we review how the remote monitoring architectures
have evolved over time, paralleling the progress in the Information and
Communication Technologies, and describe our experiences with the design of
telemedicine systems for blood glucose monitoring in three medical applications.
The paper ends summarizing the lessons learned through the experiences of the
authors and discussing the challenges arising from a large-scale integration of
sensors and actuators.
PMID- 27886123
TI - One-Pot Click Access to a Cyclodextrin Dimer-Based Novel Aggregation Induced
Emission Sensor and Monomer-Based Chiral Stationary Phase.
AB - A 'two birds, one stone' strategy was developed via a one-pot click reaction to
simultaneously prepare a novel cyclodextrin (CD) dimer based aggregation induced
emission (AIE) sensor (AIE-DCD) and a monomer based chiral stationary phase (CSP
MCD) for chiral high performance liquid chromatography (CHPLC). AIE-DCD was found
to afford satisfactory AIE response for specific detection of Zn2+ with a
detection limit of 50 nM. CSP-MCD exhibits excellent enantioseparation ability
toward dansyl amino acids, where the resolution of dansyl amino leucine reaches
5.43.
PMID- 27886125
TI - Thermal-Performance Instability in Piezoresistive Sensors: Inducement and
Improvement.
AB - The field of piezoresistive sensors has been undergoing a significant revolution
in terms of design methodology, material technology and micromachining process.
However, the temperature dependence of sensor characteristics remains a hurdle to
cross. This review focuses on the issues in thermal-performance instability of
piezoresistive sensors. Based on the operation fundamental, inducements to the
instability are investigated in detail and correspondingly available ameliorative
methods are presented. Pros and cons of each improvement approach are also
summarized. Though several schemes have been proposed and put into reality with
favorable achievements, the schemes featuring simple implementation and excellent
compatibility with existing techniques are still emergently demanded to construct
a piezoresistive sensor with excellent comprehensive performance.
PMID- 27886124
TI - Advances in Cancer Immunotherapy in Solid Tumors.
AB - Immunotherapy is heralded as one of the most important advances in oncology.
Until recently, only limited immunotherapeutic options were available in selected
immunogenic cancers like melanoma and renal cell carcinomas. Nowadays, there is
an improved understanding that anti-tumor immunity is controlled by a delicate
balance in the tumor microenvironment between immune stimulatory and immune
inhibitory pathways. Either by blocking the inhibitory pathways or stimulating
the activating pathways that regulate cytotoxic lymphocytes, anti-tumor immunity
can be enhanced leading to durable anti-tumor responses. Drugs which block the
immune regulatory checkpoints namely the PD-1/PDL1 and CTLA 4 pathway have shown
tremendous promise in a wide spectrum of solid and hematological malignancies,
significantly improving overall survival in newly diagnosed and heavily
pretreated patients alike. Hence there is renewed enthusiasm in the field of
immune oncology with current research focused on augmenting responses to
checkpoint inhibitors by combination therapy as well as studies looking at other
immune modulators and adoptive T cell therapy. In this article, we highlight the
key clinical advances and concepts in immunotherapy with particular emphasis on
checkpoint inhibition as well as the future direction in this field.
PMID- 27886126
TI - Inebilizumab, a B Cell-Depleting Anti-CD19 Antibody for the Treatment of
Autoimmune Neurological Diseases: Insights from Preclinical Studies.
AB - Exaggerated or inappropriate responses by B cells are an important feature in
many types of autoimmune neurological diseases. The recent success of B-cell
depletion in the treatment of multiple sclerosis (MS) has stimulated the
development of novel B-cell-targeting therapies with the potential for improved
efficacy. CD19 has emerged as a promising target for the depletion of B cells as
well as CD19-positive plasmablasts and plasma cells. Inebilizumab (MEDI-551), an
anti-CD19 antibody with enhanced antibody-dependent cell-mediated cytotoxicity
against B cells, is currently being evaluated in MS and neuromyelitis optica.
This review discusses the role of B cells in autoimmune neurological disorders,
summarizes the development of inebilizumab, and analyzes the recent results for
inebilizumab treatment in an autoimmune encephalitis mouse model. The novel
insights obtained from these preclinical studies can potentially guide future
investigation of inebilizumab in patients.
PMID- 27886127
TI - Viperid Envenomation Wound Exudate Contributes to Increased Vascular Permeability
via a DAMPs/TLR-4 Mediated Pathway.
AB - Viperid snakebite envenomation is characterized by inflammatory events including
increase in vascular permeability. A copious exudate is generated in tissue
injected with venom, whose proteomics analysis has provided insights into the
mechanisms of venom-induced tissue damage. Hereby it is reported that wound
exudate itself has the ability to induce increase in vascular permeability in the
skin of mice. Proteomics analysis of exudate revealed the presence of cytokines
and chemokines, together with abundant damage associated molecular pattern
molecules (DAMPs) resulting from both proteolysis of extracellular matrix and
cellular lysis. Moreover, significant differences in the amounts of
cytokines/chemokines and DAMPs were detected between exudates collected 1 h and
24 h after envenomation, thus highlighting a complex temporal dynamic in the
composition of exudate. Pretreatment of mice with Eritoran, an antagonist of Toll
like receptor 4 (TLR4), significantly reduced the exudate-induced increase in
vascular permeability, thus suggesting that DAMPs might be acting through this
receptor. It is hypothesized that an "Envenomation-induced DAMPs cycle of tissue
damage" may be operating in viperid snakebite envenomation through which venom
induced tissue damage generates a variety of DAMPs which may further expand
tissue alterations.
PMID- 27886128
TI - Worldwide Mycotoxins Exposure in Pig and Poultry Feed Formulations.
AB - The purpose of this review is to present information about raw materials that can
be used in pig and poultry diets and the factors responsible for variations in
their mycotoxin contents. The levels of mycotoxins in pig and poultry feeds are
calculated based on mycotoxin contamination levels of the raw materials with
different diet formulations, to highlight the important role the stage of
production and the raw materials used can have on mycotoxins levels in diets. Our
analysis focuses on mycotoxins for which maximum tolerated levels or regulatory
guidelines exist, and for which sufficient contamination data are available. Raw
materials used in feed formulation vary considerably depending on the species of
animal, and the stage of production. Mycotoxins are secondary fungal metabolites
whose frequency and levels also vary considerably depending on the raw materials
used and on the geographic location where they were produced. Although several
reviews of existing data and of the literature on worldwide mycotoxin
contamination of food and feed are available, the impact of the different raw
materials used on feed formulation has not been widely studied.
PMID- 27886129
TI - Insights into the Hypertensive Effects of Tityus serrulatus Scorpion Venom:
Purification of an Angiotensin-Converting Enzyme-Like Peptidase.
AB - The number of cases of envenomation by scorpions has grown significantly in
Brazil since 2007, with the most severe cases being caused by the Tityus
serrulatus scorpion. Although envenomed patients mostly suffer neurotoxic
manifestations, other symptoms, such as hypertension, cannot be exclusively
attributed to neurotoxins. Omics analyses have detected plentiful amounts of
metalloproteases in T. serrulatus venom. However, the roles played by these
enzymes in envenomation are still unclear. Endeavoring to investigate the
functions of scorpion venom proteases, we describe here for the first time an
Angiotensin I-Converting Enzyme-like peptidase (ACE-like) purified from T.
serrulatus venom. The crude venom cleaved natural and fluorescent substrates and
these activities were inhibited by captopril. Regarding the serum neutralization,
the scorpion antivenom was more effective at blocking the ACE-like activity than
arachnid antivenom, although neither completely inhibited the venom cleavage
action, even at higher doses. ACE-like was purified from the venom after three
chromatographic steps and its identity was confirmed by mass spectrometric and
transcriptomic analyses. Bioinformatics analysis showed homology between the ACE
like transcript sequences from Tityus spp. and human testis ACE. These findings
advance our understanding of T. serrulatus venom components and may improve
treatment of envenomation victims, as ACE-like may contribute to envenomation
symptoms, especially the resulting hypertension.
PMID- 27886130
TI - Emotion-Bracelet: A Web Service for Expressing Emotions through an Electronic
Interface.
AB - The mechanisms to communicate emotions have dramatically changed in the last 10
years with social networks, where users massively communicate their emotional
states by using the Internet. However, people with socialization problems have
difficulty expressing their emotions verbally or interpreting the environment and
providing an appropriate emotional response. In this paper, a novel solution
called the Emotion-Bracelet is presented that combines a hardware device and a
software system. The proposed approach identifies the polarity and emotional
intensity of texts published on a social network site by performing real-time
processing using a web service. It also shows emotions with a LED matrix using
five emoticons that represent positive, very positive, negative, very negative,
and neutral states. The Emotion-Bracelet is designed to help people express their
emotions in a non-intrusive way, thereby expanding the social aspect of human
emotions.
PMID- 27886131
TI - Changes in Meat/Poultry/Fish Consumption in Australia: From 1995 to 2011-2012.
AB - The purpose of the study was to examine temporal changes in meat/poultry/fish
consumption patterns between 1995 and 2011-2012 in the Australian population.
Meat/poultry/fish consumption from all food sources, including recipes, was
analysed by gender, age group, and socio-economic status using 24-h recall data
from the 1995 National Nutrition Survey (n = 13,858) and the 2011-2012 National
Nutrition and Physical Activity Survey (n = 12,153). The overall proportion of
people consuming meat/poultry/fish remained stable (91.7% versus 91.3%, p =
0.55), but a shift in the type of meat consumed was observed. Red meat, including
beef and lamb, was consumed by fewer people over the time period (from 56% to
49%), whereas poultry consumption increased (from 29% to 38%). Amounts of all
meat/poultry/fish consumed were reportedly higher in 2011-2012 compared with
1995. This resulted in similar (red meat, and processed meat) or slightly higher
(poultry, and fish) per-capita intakes in 2011-2012. The magnitude of change of
consumption varied between children and adults, and by gender. Monitoring trends
in consumption is particularly relevant to policy makers, researchers and other
health professionals for the formulation of dietary recommendations and
estimation of potential health outcomes.
PMID- 27886132
TI - The Future is The Past: Methylation QTLs in Schizophrenia.
AB - Genome-wide association studies (GWAS) have remarkably advanced insight into the
genetic basis of schizophrenia (SCZ). Still, most of the functional variance in
disease risk remains unexplained. Hence, there is a growing need to map genetic
variability-to-genes-to-functions for understanding the pathophysiology of SCZ
and the development of better treatments. Genetic variation can regulate various
cellular functions including DNA methylation, an epigenetic mark with important
roles in transcription and the mediation of environmental influences. Methylation
quantitative trait loci (meQTLs) are derived by mapping levels of DNA methylation
in genetically different, genotyped individuals and define loci at which DNA
methylation is influenced by genetic variation. Recent evidence points to an
abundance of meQTLs in brain tissues whose functional contributions to
development and mental diseases are still poorly understood. Interestingly, fetal
meQTLs reside in regulatory domains affecting methylome reconfiguration during
early brain development and are enriched in loci identified by GWAS for SCZ.
Moreover, fetal meQTLs are preserved in the adult brain and could trace early
epigenomic deregulation during vulnerable periods. Overall, these findings
highlight the role of fetal meQTLs in the genetic risk for and in the possible
neurodevelopmental origin of SCZ.
PMID- 27886133
TI - Epigenetic Impact on EBV Associated B-Cell Lymphomagenesis.
AB - Epigenetic modifications leading to either transcriptional repression or
activation, play an indispensable role in the development of human cancers.
Epidemiological study revealed that approximately 20% of all human cancers are
associated with tumor viruses. Epstein-Barr virus (EBV), the first human tumor
virus, demonstrates frequent epigenetic alterations on both viral and host
genomes in associated cancers-both of epithelial and lymphoid origin. The cell
type-dependent different EBV latent gene expression patterns appear to be
determined by the cellular epigenetic machinery and similarly viral oncoproteins
recruit epigenetic regulators in order to deregulate the cellular gene expression
profile resulting in several human cancers. This review elucidates the epigenetic
consequences of EBV-host interactions during development of multiple EBV-induced
B-cell lymphomas, which may lead to the discovery of novel therapeutic
interventions against EBV-associated B-cell lymphomas by alteration of reversible
patho-epigenetic markings.
PMID- 27886134
TI - Priority Actions and Progress to Substantially and Sustainably Reduce the
Mortality, Morbidity and Socioeconomic Burden of Tropical Snakebite.
AB - The deliberations and conclusions of a Hinxton Retreat convened in September
2015, entitled "Mechanisms to reverse the public health neglect of snakebite
victims" are reported. The participants recommended that the following priority
actions be included in strategies to reduce the global impact of snake
envenoming: (a) collection of accurate global snakebite incidence, mortality and
morbidity data to underpin advocacy efforts and help design public health
campaigns; (b) promotion of (i) public education prevention campaigns; (ii)
transport systems to improve access to hospitals and (iii) establishment of
regional antivenom-efficacy testing facilities to ensure antivenoms'
effectiveness and safety;
PMID- 27886136
TI - Interactions between the Multicolored Asian Lady Beetle Harmonia axyridis and the
Parasitoid Dinocampus coccinellae.
AB - Harmonia axyridis (Pallas) has been introduced either intentionally or
accidentally in different areas outside its native range, where it is often
regarded as invasive. Dinocampus coccinellae (Schrank) has been recorded to
parasitize H. axyridis in the field, both in the native and introduced areas,
Italy included. The percent of parasitism found in our field investigation was
low (four percent). The effect of exposure time of H. axyridis to D. coccinellae
and the impact of parasitization on host longevity, oviposition capacity and egg
fertility were evaluated in the laboratory. The acceptance and suitability of H.
axyridis as host for D. coccinellae were then studied, in comparison with the
native coccinellid Adalia bipunctata (L.), which shares the same ecological
niche. The effects of parasitization on female longevity and reproduction
capacity in the exotic vs. the indigenous lady beetle were also investigated. The
overall results showed that D. coccinellae negatively affected the fitness of H.
axyridis, more than that of A. bipunctata. The parasitoid may thus play a
marginal role in controlling the populations of the Asian lady beetle, without
representing a threat to A. bipunctata.
PMID- 27886137
TI - Ecosystem Services Insights into Water Resources Management in China: A Case of
Xi'an City.
AB - Global climate and environmental changes are endangering global water resources;
and several approaches have been tested to manage and reduce the pressure on
these decreasing resources. This study uses the case study of Xi'an City in China
to test reasonable and effective methods to address water resource shortages. The
study generated a framework combining ecosystem services and water resource
management. Seven ecosystem indicators were classified as supply services,
regulating services, or cultural services. Index values for each indicator were
calculated, and based on questionnaire results, each index's weight was
calculated. Using the Likert method, we calculated ecosystem service supplies in
every region of the city. We found that the ecosystem's service capability is
closely related to water resources, providing a method for managing water
resources. Using Xi'an City as an example, we apply the ecosystem services
concept to water resources management, providing a method for decision makers.
PMID- 27886139
TI - Research on the Relationship between Reaction Ability and Mental State for Online
Assessment of Driving Fatigue.
AB - Background: Driving fatigue affects the reaction ability of a driver. The aim of
this research is to analyze the relationship between driving fatigue,
physiological signals and driver's reaction time. Methods: Twenty subjects were
tested during driving. Data pertaining to reaction time and physiological signals
including electroencephalograph (EEG) were collected from twenty simulation
experiments. Grey correlation analysis was used to select the input variable of
the classification model. A support vector machine was used to divide the mental
state into three levels. The penalty factor for the model was optimized using a
genetic algorithm. Results: The results show that alpha/beta has the greatest
correlation to reaction time. The classification results show an accuracy of 86%,
a sensitivity of 87.5% and a specificity of 85.53%. The average increase of
reaction time is 16.72% from alert state to fatigued state. Females have a faster
decrease in reaction ability than males as driving fatigue accumulates. Elderly
drivers have longer reaction times than the young. Conclusions: A grey
correlation analysis can be used to improve the classification accuracy of the
support vector machine (SVM) model. This paper provides basic research that
online detection of fatigue can be performed using only a simple device, which is
more comfortable for users.
PMID- 27886138
TI - Time Trend in Psychotropic Medication Use in Spain: A Nationwide Population-Based
Study.
AB - Background: We performed an epidemiologic study to analyze nationwide time trends
in adult psychotropic drug use over a period from 2006 to 2012, and to identify
those factors associated with the likelihood of consumption of these drugs during
the study period; Methods: Cross-sectional study on psychotropic medication in
the Spanish adult population. We used secondary individualized data drawn from
the 2006 and 2012 Spanish National Health Surveys (SNHS). The dependent variable
was the use of psychotropic drugs in the previous two weeks. Independent
variables included socio-demographic characteristics, comorbidity, lifestyles and
healthcare resource utilization. Using logistic multivariate regression models,
we analyzed the temporal evolution of psychotropic medication consumption between
2006 and 2012 in both sexes; Results: The prevalence of psychotropic drug use was
significantly greater in women (18.14% vs. 8.08% in 2012 (p < 0.05). In Spanish
women, the variables associated with a greater probability of psychotropic use
were, age, unemployment (adjusted odds ratio (AOR), 1.60; 95% CI, 1.24-2.07),
negative perception of health or taking non-psychotropic drugs. Among men,
psychotropic use is associated with presence of chronic disease, negative
perception of health (AOR, 3.27; 95% CI, 2.62-4.07 in 2012) or inactive status;
Conclusions: Between 2006 and 2012, the probability of having taken psychotropic
drugs increased by 16% among women. Unemployed women aged >=45 years with a
negative perception of their health constitute a clear risk profile in terms of
psychotropic drug use. Inactive men who have a negative perception of their
health are the group most likely to consume psychotropic drugs.
PMID- 27886140
TI - English Stop-Smoking Services: One-Year Outcomes.
AB - The UK is a global leader in stop-smoking support-providing free behavioral
support and cessation medication via stop smoking services (SSS) without charge
to smokers. This study aimed to explore the client and service characteristics
associated with abstinence 52 weeks after quitting. A prospective cohort study of
3057 SSS clients in nine different areas of England who began their quit attempt
between March 2012 and March 2013 was conducted. Important determinants of long
term quitting were assessed through quit rates and multivariable logistic
regression. Our results showed that the overall weighted carbon monoxide
validated quit rate for clients at 52 weeks was 7.7% (95% confidence interval
(CI) 6.6-9.0). The clients of advisors, whose main role was providing stop
smoking support, were more likely to quit long-term than advisors who had a
generalist role in pharmacies or general practices (odds ratio (OR) 2.3 (95% CI
1.2-4.6)). Clients were more likely to achieve abstinence through group support
than one-to-one support (OR 3.4 (95% CI 1.7-6.7)). Overall, one in thirteen
people who set a quit date with the National Health Service (NHS) Stop-Smoking
Service maintain abstinence for a year. Improving abstinence is likely to require
a greater emphasis on providing specialist smoking cessation support. Results
from this study suggest that over 18,000 premature deaths were prevented through
longer-term smoking cessation achieved by smokers who accessed SSS in England
from March 2012 to April 2013, but outcomes varied by client characteristic and
the type of support provided.
PMID- 27886141
TI - Comprehensive Transcriptome Analysis Provides Evidence of Local Thermal
Adaptation in Three Loaches (Genus: Misgurnus).
AB - The geographic distribution of three Misgurnus species, M. anguillicaudatus, M.
bipartitus, and M. mohoity, displays a specific pattern in China, coincident with
temperature zones. In this study, we sequenced the transcriptomes of these three
species and used the sequences to investigate the lineage-specific adaptations
within the genus Misgurnus. In total, 51 orphan genes (19 in M. anguillicaudatus,
18 in M. bipartitus, and 14 in M. mohoity) that may contribute to the species
specific adaptations were identified. An analysis of 1392 one-to-one orthologous
genes revealed significantly higher ratios of nonsynonymous-to-synonymous
substitutions in the M. mohoity lineage than in M. anguillicaudatus. The genes
displaying signatures of positive selection and rapid evolution in Misgurnus were
involved in four function categories, (1) energy metabolism; (2) signal
transduction; (3) membrane; and (4) cell proliferation or apoptosis, implying
that these candidate genes play critical roles in the thermal adaptation of the
fish to their living environments. We also detected more than five positively
selected sites in cldn15lb and isca1, which function as important factors in
paracellular Na+ transport and Fe/S cluster assembly, respectively. Overall, our
study provides valuable insights into the adaptive evolution of loaches from
different temperature zones in China and is a foundation for future studies to
clarify the genetic basis of temperature adaptation in fishes.
PMID- 27886142
TI - Gender Differences in the Application of Spanish Criteria for Initiation of
Enzyme Replacement Therapy for Fabry Disease in the Fabry Outcome Survey.
AB - Both male/female patients with Fabry disease (FD) may receive enzyme replacement
therapy (ERT). Previously published analyses of the Fabry Outcome Survey (FOS;
Shire-sponsored) database suggested gender differences in timing of ERT
initiation. We assessed alignment of criteria for ERT initiation in the Spanish
adult population included in FOS with recommendations of a Spanish national
consensus. This retrospective analysis examined baseline clinical data of 88
adults (49 females) enrolled in the FOS database up to August 2014. Thirty-five
(39.8%) patients were not receiving ERT: five (12.8%) males and 30 (61.2%)
females. Baseline disease severity on the FOS-derived Mainz Severity Score Index
was lower in untreated males (median (interquartile range), 0.0 (0.0-1.0)) than
treated males (TM; 15.0 (7.5-26.5)), and was similar in untreated and treated
females. The percentage of untreated females with at least one criterion for
treatment initiation was 76.7% versus 100.0% of treated females (p = 0.0340) and
97.1% (p = 0.0210) of TM. In discordance with Spanish consensus recommendations,
a substantial number of females with evidence of FD who might benefit from ERT
have not yet initiated treatment. These results suggest unequal gender
perceptions with respect to ERT initiation in Spain.
PMID- 27886143
TI - Neonatal Diesel Exhaust Particulate Exposure Does Not Predispose Mice to Adult
Cardiac Hypertrophy or Heart Failure.
AB - Background: We have previously reported that in utero and early life exposure to
diesel exhaust particulates predisposes mice to adult heart failure, and that in
utero exposure alone is sufficient to confer this predisposition. This follow up
study addresses whether neonatal exposure alone can also confer this
predisposition. Methods: Newborn male C57BL/6 mice were exposed to diesel exhaust
(DE) particulates immediately after birth until weaning at 21 days of age,
whereupon they were transferred to filtered air (FA) conditions. At the age of 12
weeks, transverse aortic constriction (TAC) was performed followed by weekly
echocardiography for three weeks. After the last echocardiogram, mice were
euthanized for organ harvest, gravimetry and histology. Results: Neonatal
exposure to DE particulates did not increase susceptibility to cardiac
hypertrophy or heart failure after TAC when compared to FA exposed controls
(ventricular weight/body weight ratio 7.505 vs. 7.517 mg/g, p = Not Significant
(NS)). The left ventricular ejection fraction after TAC was similar between
groups at one week, two weeks, and three weeks after procedure. Histological
analysis showed no difference in the degree of cardiac hypertrophy or fibrosis.
Conclusions: Neonatal exposure to DE particulates does not predispose mice to TAC
induced cardiac hypertrophy and heart failure in adulthood, in contrast to
previously published results showing susceptibility due to in utero exposure.
PMID- 27886144
TI - Cuticular Lipids as a Cross-Talk among Ants, Plants and Butterflies.
AB - Even though insects and plants are distantly related organisms, they developed an
integument which is functionally and structurally similar. Besides functioning as
a physical barrier to cope with abiotic and biotic stress, this interface, called
cuticle, is also a source of chemical signaling. Crucial compounds with this
respect are surface lipids and especially cuticular hydrocarbons (CHCs). This
review is focused on the role of CHCs in fostering multilevel relationships among
ants, plants and Lepidoptera (primarily butterflies). Indeed, particular traits
of ants as eusocial organisms allowed the evolution and the maintenance of a
variety of associations with both plants and animals. Basic concepts of
myrmecophilous interactions and chemical deception strategies together with
chemical composition, biosynthetic pathways and functions of CHCs as molecular
cues of multitrophic systems are provided. Finally, the need to adopt a
multidisciplinary and comprehensive approach in the survey of complex models is
discussed.
PMID- 27886135
TI - Soy and Health Update: Evaluation of the Clinical and Epidemiologic Literature.
AB - Soyfoods have long been recognized as sources of high-quality protein and
healthful fat, but over the past 25 years these foods have been rigorously
investigated for their role in chronic disease prevention and treatment. There is
evidence, for example, that they reduce risk of coronary heart disease and breast
and prostate cancer. In addition, soy alleviates hot flashes and may favorably
affect renal function, alleviate depressive symptoms and improve skin health.
Much of the focus on soyfoods is because they are uniquely-rich sources of
isoflavones. Isoflavones are classified as both phytoestrogens and selective
estrogen receptor modulators. Despite the many proposed benefits, the presence of
isoflavones has led to concerns that soy may exert untoward effects in some
individuals. However, these concerns are based primarily on animal studies,
whereas the human research supports the safety and benefits of soyfoods. In
support of safety is the recent conclusion of the European Food Safety Authority
that isoflavones do not adversely affect the breast, thyroid or uterus of
postmenopausal women. This review covers each of the major research areas
involving soy focusing primarily on the clinical and epidemiologic research.
Background information on Asian soy intake, isoflavones, and nutrient content is
also provided.
PMID- 27886146
TI - A Robot-Based Tool for Physical and Cognitive Rehabilitation of Elderly People
Using Biofeedback.
AB - This publication presents a complete description of a technological solution
system for the physical and cognitive rehabilitation of elderly people through a
biofeedback system, which is combined with a Lego robot. The technology used was
the iOS's (iPhone Operating System) Objective-C programming language and its
XCode programming environment; and SQLite in order to create the database. The
biofeedback system is implemented by the use of two biosensors which are, in
fact, a Microsoft band 2 in order to register the user's heart rate and a MYO
sensor to detect the user's arm movement. Finally, the system was tested with
seven elderly people from La Santa y Real Casa de la Misericordia nursing home in
Bilbao. The statistical assessment has shown that the users are satisfied with
the usability of the system, with a mean score of 79.29 on the System Usability
Scale (SUS) questionnaire.
PMID- 27886145
TI - Role of Endogenous and Exogenous Tocopherols in the Lipid Stability of Marine Oil
Systems: A Review.
AB - In marine organisms primarily intended for human consumption, the quality of the
muscle and the extracted oils may be affected by lipid oxidation during storage,
even at low temperatures. This has led to a search for alternatives to maintain
quality. In this sense, antioxidant compounds have been used to prevent such
lipid deterioration. Among the most used compounds are tocopherols, which, due to
their natural origin, have become an excellent alternative to prevent or retard
lipid oxidation and maintain the quality of marine products. Tocopherols as
antioxidants have been studied both exogenously and endogenously. Exogenous
tocopherols are often used by incorporating them into plastic packaging films or
adding them directly to fish oil. It has been observed that exogenous tocopherols
incorporated in low concentrations maintain the quality of both muscle and the
extracted oils during food storage. However, it has been reported that
tocopherols applied at higher concentrations act as a prooxidant molecule,
probably because their reactions with singlet oxygen may generate free radicals
and cause the oxidation of polyunsaturated fatty acids in fish oils. However,
when tocopherols are included in a fish diet (endogenous tocopherols), the
antioxidant effect on the muscle lipids is more effective due to their
incorporation into the membrane lipids, which can help extend the shelf life of
seafood by reducing the lipid deterioration that occurs due to antioxidant
synergy with other phenolic compounds used supplements in fish muscle. This
review focuses on the most important studies in this field and highlights the
potential of using tocopherols as antioxidants in marine oils.
PMID- 27886147
TI - Emerging Phytochemicals for the Prevention and Treatment of Head and Neck Cancer.
AB - Despite the development of more advanced medical therapies, cancer management
remains a problem. Head and neck squamous cell carcinoma (HNSCC) is a
particularly challenging malignancy and requires more effective treatment
strategies and a reduction in the debilitating morbidities associated with the
therapies. Phytochemicals have long been used in ancient systems of medicine, and
non-toxic phytochemicals are being considered as new options for the effective
management of cancer. Here, we discuss the growth inhibitory and anti-cell
migratory actions of proanthocyanidins from grape seeds (GSPs), polyphenols in
green tea and honokiol, derived from the Magnolia species. Studies of these
phytochemicals using human HNSCC cell lines from different sub-sites have
demonstrated significant protective effects against HNSCC in both in vitro and in
vivo models. Treatment of human HNSCC cell lines with GSPs, (-)-epigallocatechin
3-gallate (EGCG), a polyphenolic component of green tea or honokiol reduced cell
viability and induced apoptosis. These effects have been associated with
inhibitory effects of the phytochemicals on the epidermal growth factor receptor
(EGFR), and cell cycle regulatory proteins, as well as other major tumor
associated pathways. Similarly, the cell migration capacity of HNSCC cell lines
was inhibited. Thus, GSPs, honokiol and EGCG appear to be promising bioactive
phytochemicals for the management of head and neck cancer.
PMID- 27886148
TI - Extraction of Opuntia dillenii Haw. Polysaccharides and Their Antioxidant
Activities.
AB - Use of natural polysaccharides in medicine and food has wide interest in
research. In this study, we extracted and purified some polysaccharides from
cactus Opuntia dillenii Haw. (ODP). Some preliminary functions of these products
were characterized. Under the optimal purification conditions, the yield of ODP
extracted from the 2-4 month-old Opuntia dillenii Haw. (T-ODP) was 30.60% +/-
0.40%, higher than that of ODP from the 5-10 month-old materials (O-ODP) (18.97%
+/- 0.58%). The extracted ODP was purified by DEAE sepharose fast flow anion
exchange and Sephacryl S-400 chromatography with four fractions obtained (ODP-Ia,
ODP-Ib, ODP-IIa and ODP-IIb). Analysis with UV-vis chromatography indicated that
ODP-Ia and ODP-IIa were relatively homogeneous molecules with a molecular weight
of 339 kD and 943 kD, respectively. Results of infrared spectroscopy indicated
that ODP, ODP-Ia, and ODP-IIa were acidic polysaccharides. Further, the
antioxidant activity against DPPH (1,1-diphenyl-2-picrylhydrazyl) radical,
hydroxyl radicals, and superoxide radical in vitro demonstrated that the T-ODP
exhibited higher antioxidant activity than the O-ODP, and the purified fraction
(ODP-Ia) was superior to the ODP. These results will offer a theoretical basis
for further research on the structure-function relationship of ODP and the
rational utilization of Opuntia dillenii Haw.
PMID- 27886149
TI - Toward Optimal Computation of Ultrasound Image Reconstruction Using CPU and GPU.
AB - An ultrasound image is reconstructed from echo signals received by array elements
of a transducer. The time of flight of the echo depends on the distance between
the focus to the array elements. The received echo signals have to be delayed to
make their wave fronts and phase coherent before summing the signals. In digital
beamforming, the delays are not always located at the sampled points. Generally,
the values of the delayed signals are estimated by the values of the nearest
samples. This method is fast and easy, however inaccurate. There are other
methods available for increasing the accuracy of the delayed signals and,
consequently, the quality of the beamformed signals; for example, the in-phase
(I)/quadrature (Q) interpolation, which is more time consuming but provides more
accurate values than the nearest samples. This paper compares the signals after
dynamic receive beamforming, in which the echo signals are delayed using two
methods, the nearest sample method and the I/Q interpolation method. The
comparisons of the visual qualities of the reconstructed images and the qualities
of the beamformed signals are reported. Moreover, the computational speeds of
these methods are also optimized by reorganizing the data processing flow and by
applying the graphics processing unit (GPU). The use of single and double
precision floating-point formats of the intermediate data is also considered. The
speeds with and without these optimizations are also compared.
PMID- 27886151
TI - Micro-Doppler Based Classification of Human Aquatic Activities via Transfer
Learning of Convolutional Neural Networks.
AB - Accurate classification of human aquatic activities using radar has a variety of
potential applications such as rescue operations and border patrols.
Nevertheless, the classification of activities on water using radar has not been
extensively studied, unlike the case on dry ground, due to its unique challenge.
Namely, not only is the radar cross section of a human on water small, but the
micro-Doppler signatures are much noisier due to water drops and waves. In this
paper, we first investigate whether discriminative signatures could be obtained
for activities on water through a simulation study. Then, we show how we can
effectively achieve high classification accuracy by applying deep convolutional
neural networks (DCNN) directly to the spectrogram of real measurement data. From
the five-fold cross-validation on our dataset, which consists of five aquatic
activities, we report that the conventional feature-based scheme only achieves an
accuracy of 45.1%. In contrast, the DCNN trained using only the collected data
attains 66.7%, and the transfer learned DCNN, which takes a DCNN pre-trained on a
RGB image dataset and fine-tunes the parameters using the collected data,
achieves a much higher 80.3%, which is a significant performance boost.
PMID- 27886150
TI - Improvement of Transmembrane Transport Mechanism Study of Imperatorin on P
Glycoprotein-Mediated Drug Transport.
AB - P-glycoprotein (P-gp) affects the transport of many drugs; including puerarin and
vincristine. Our previous study demonstrated that imperatorin increased the
intestinal absorption of puerarin and vincristine by inhibiting P-gp-mediated
drug efflux. However; the underlying mechanism was not known. The present study
investigated the mechanism by which imperatorin promotes P-gp-mediated drug
transport. We used molecular docking to predict the binding force between
imperatorin and P-gp and the effect of imperatorin on P-gp activity. P-gp efflux
activity and P-gp ATPase activity were measured using a rhodamine 123 (Rh-123)
accumulation assay and a Pgp-GloTM assay; respectively. The fluorescent probe 1,6
diphenyl-1,3,5-hexatriene (DPH) was used to assess cellular membrane fluidity in
MDCK-MDR1 cells. Western blotting was used to analyze the effect of imperatorin
on P-gp expression; and P-gp mRNA levels were assessed by qRT-PCR. Molecular
docking results demonstrated that the binding force between imperatorin and P-gp
was much weaker than the force between P-gp and verapamil (a P-gp substrate).
Imperatorin activated P-gp ATPase activity; which had a role in the inhibition of
P-gp activity. Imperatorin promoted Rh-123 accumulation in MDCK-MDR1 cells and
decreased cellular membrane fluidity. Western blotting demonstrated that
imperatorin inhibited P-gp expression; and qRT-PCR revealed that imperatorin down
regulated P-gp (MDR1) gene expression. Imperatorin decreased P-gp-mediated drug
efflux by inhibiting P-gp activity and the expression of P-gp mRNA and protein.
Our results suggest that imperatorin could down-regulate P-gp expression to
overcome multidrug resistance in tumors.
PMID- 27886152
TI - Screening for Triterpenoid Saponins in Plants Using Hyphenated Analytical
Platforms.
AB - Recently the number of studies investigating triterpenoid saponins has
drastically increased due to their diverse and potentially attractive biological
activities. Currently the literature contains chemical structures of few hundreds
of triterpenoid saponins of plant and animal origin. Triterpenoid saponins
consist of a triterpene aglycone with one or more sugar moieties attached to it.
However, due to similar physico-chemical properties, isolation and identification
of a large diversity of triterpenoid saponins remain challenging. This study
demonstrates a methodology to screen saponins using hyphenated analytical
platforms, GC-MS, LC-MS/MS, and LC-SPE-NMR/MS, in the example of two different
phenotypes of the model plant Barbarea vulgaris (winter cress), glabrous (G) and
pubescent (P) type that are known to differ by their insect resistance. The
proposed methodology allows for detailed comparison of saponin profiles from
intact plant extracts as well as saponin aglycone profiles from hydrolysed
samples. Continuously measured 1D proton NMR data during LC separation along with
mass spectrometry data revealed significant differences, including contents of
saponins, types of aglycones and numbers of sugar moieties attached to the
aglycone. A total of 49 peaks were tentatively identified as saponins from both
plants; they are derived from eight types of aglycones and with 2-5 sugar
moieties. Identification of two previously known insect-deterrent saponins,
hederagenin cellobioside and oleanolic acid cellobioside, demonstrated the
applicability of the methodology for relatively rapid screening of bioactive
compounds.
PMID- 27886153
TI - A Dual Frequency Carrier Phase Error Difference Checking Algorithm for the GNSS
Compass.
AB - The performance of the Global Navigation Satellite System (GNSS) compass is
related to the quality of carrier phase measurement. How to process the carrier
phase error properly is important to improve the GNSS compass accuracy. In this
work, we propose a dual frequency carrier phase error difference checking
algorithm for the GNSS compass. The algorithm aims at eliminating large carrier
phase error in dual frequency double differenced carrier phase measurement
according to the error difference between two frequencies. The advantage of the
proposed algorithm is that it does not need additional environment information
and has a good performance on multiple large errors compared with previous
research. The core of the proposed algorithm is removing the geographical
distance from the dual frequency carrier phase measurement, then the carrier
phase error is separated and detectable. We generate the Double Differenced
Geometry-Free (DDGF) measurement according to the characteristic that the
different frequency carrier phase measurements contain the same geometrical
distance. Then, we propose the DDGF detection to detect the large carrier phase
error difference between two frequencies. The theoretical performance of the
proposed DDGF detection is analyzed. An open sky test, a manmade multipath test
and an urban vehicle test were carried out to evaluate the performance of the
proposed algorithm. The result shows that the proposed DDGF detection is able to
detect large error in dual frequency carrier phase measurement by checking the
error difference between two frequencies. After the DDGF detection, the accuracy
of the baseline vector is improved in the GNSS compass.
PMID- 27886154
TI - On Performance Analysis of Protective Jamming Schemes in Wireless Sensor
Networks.
AB - Wireless sensor networks (WSNs) play an important role in Cyber Physical Social
Sensing (CPSS) systems. An eavesdropping attack is one of the most serious
threats to WSNs since it is a prerequisite for other malicious attacks. In this
paper, we propose a novel anti-eavesdropping mechanism by introducing friendly
jammers to wireless sensor networks (WSNs). In particular, we establish a
theoretical framework to evaluate the eavesdropping risk of WSNs with friendly
jammers and that of WSNs without jammers. Our theoretical model takes into
account various channel conditions such as the path loss and Rayleigh fading, the
placement schemes of jammers and the power controlling schemes of jammers.
Extensive results show that using jammers in WSNs can effectively reduce the
eavesdropping risk. Besides, our results also show that the appropriate placement
of jammers and the proper assignment of emitting power of jammers can not only
mitigate the eavesdropping risk but also may have no significant impairment to
the legitimate communications.
PMID- 27886155
TI - Utilizing a Wristband Sensor to Measure the Stress Level for People with
Dementia.
AB - Stress is a common problem that affects most people with dementia and their
caregivers. Stress symptoms for people with dementia are often measured by
answering a checklist of questions by the clinical staff who work closely with
the person with the dementia. This process requires a lot of effort with
continuous observation of the person with dementia over the long term. This
article investigates the effectiveness of using a straightforward method, based
on a single wristband sensor to classify events of "Stressed" and "Not stressed"
for people with dementia. The presented system calculates the stress level as an
integer value from zero to five, providing clinical information of behavioral
patterns to the clinical staff. Thirty staff members participated in this
experiment, together with six residents suffering from dementia, from two nursing
homes. The residents were equipped with the wristband sensor during the day, and
the staff were writing observation notes during the experiment to serve as ground
truth. Experimental evaluation showed relationships between staff observations
and sensor analysis, while stress level thresholds adjusted to each individual
can serve different scenarios.
PMID- 27886157
TI - Intraoperative seizures and seizures outcome in patients underwent awake
craniotomy.
AB - BACKGROUND: Awake craniotomies (AC) could reduce neurological deficits compared
with patients under general anesthesia, however, intraoperative seizure is a
major reason causing awake surgery failure. The purpose of the study was to give
a comprehensive overview the published articles focused on seizure incidence in
awake craniotomy. METHODS: Bibliographic searches of the EMBASE, MEDLINE,were
performed to identify articles and conference abstracts that investigated the
intraoperative seizure frequency of patients underwent AC. RESULTS: Twenty-five
studies were included in this meta-analysis. Among the 25 included studies, one
was randomized controlled trials and 5 of them were comparable studies. The
pooled data suggested the general intraoperative seizure(IOS) rate for patients
with AC was 8%(fixed effect model), sub-group analysis identified IOS rate for
glioma patients was 8% and low grade patients was 10%. The pooled data showed
early seizure rates of AC patients was 11% and late seizure rates was 35%.
CONCLUSION: This systematic review and meta-analysis shows that awake craniotomy
is a safe technique with relatively low intraoperative seizure occurrence.
However, few RCTs were available, and the acquisition of further evidence through
high-quality RCTs is highly recommended.
PMID- 27886156
TI - Conformity Scores Differentiate Older Hemodialyzed Patients and Patients with
Continuous Peritoneal Dialysis.
AB - BACKGROUND Conformity is a psychological variable related to the propensity of an
individual to match his or her behavior and opinion to the perceived social and
cultural norm, even if these do not represent the true beliefs of the person. The
aim of the present study was to investigate whether the psychological variable of
conformity is different in two distinct modes of renal replacement therapy (RRT)
in end-stage renal disease (ESRD). MATERIAL AND METHODS A total of 56
hemodialyzed patients (HD group), 45 continuous ambulatory peritoneal dialysis
patients (CAPD group) and 62 healthy volunteers (CONTR group) were enrolled in
the study. The Social Appraisal Questionnaire (SAQ) was employed, and chart
review was performed to collect clinical data. RESULTS When age was not a factor,
the conformity measure was significantly higher in the HD group compared with the
CAPD and CONTR groups. The lowest conformity was found in healthy participants
who were asked to imagine an acute medical problem. The highest conformity was
found in older HD and CAPD patients. CONCLUSIONS Being chronically ill and having
adaptable views may be more favorable traits for coping with ESRD in dialyzed
patients, especially in elderly HD patients. On the other hand, conformity can be
deleterious if CAPD patients decide to overlook certain facts or not confront the
medical aspects of their condition.
PMID- 27886158
TI - Recanalization and rupture after intracranial aneurysm treatment.
AB - BACKGROUND: Treatment of intra cranial aneurysm (ICA) can sometimes required
several procedures. The aim of this study is to analyze the risk of
recanalization and rupture recurrence after ICA treatment by endovascular coiling
(EVC) or surgical clipping (SC) on a very long follow-up. METHODS: Clinical data
of 373 consecutive patients treated in our group between January 1996 and
December 2006 as well by EVC as by SC for ruptured (RIA) or unruptured
intracranial aneurysm (UIA), were reviewed. Patients were followed up at least to
August 2009. First radiologic follow-up done six months after EVC and between
three and five years after SC (median time: 5 years)). All patients underwent a
clinical follow-up after treatment, at least by telephonic communication (median
time: 6 years). RESULTS: Out of 197 patients with 198 RIAs, 82 (42 %) patients
underwent an endovascular treatment and 115 (58%) were allocated to surgical
treatment. From a total of 176 patients with 229 UIAs, 66 (37.5%) patients were
treated by 74 EVC; and 110 (62.5%) patients were treated with 124 surgical
procedures. Fifteen recanalizations of coiled RIAs were detected and only one in
the surgical group (27% vs. 2%; p= 0.0008). Of the 15 recanalizations in the EVC
group, 6 (40%) were initially completely occluded. We observed two rebleedings,
one in each group (1.4% for EVC; 1% for SC; p=0.8). CONCLUSIONS: Our findings
during the longest reported follow-up confirm a greater risk of recanalization
for RIA treated by EVC without so far a significant difference in the rerupture
risk.
PMID- 27886159
TI - Cardiac monitoring in patients on trastuzumab: correlation of ultrasound and
radionuclide ventriculography.
AB - BACKGROUND: Guidance on cardiac surveillance during adjuvant trastuzumab therapy
remains elusive. The recommended methods are two-dimensional echocardiography (2D
ECHO) and electrocardiography gated equilibrium radionuclide ventriculography
(RNV). We assessed the correlation and possible specific merits of these two
methods. METHODS: In a prospective cohort study in patients undergoing post
anthracycline adjuvant trastuzumab therapy, clinical assessment, 2D-ECHO and RNV
were performed at baseline, 4, 8 and 12 months. The correlation between used
methods was estimated with Pearson's correlation coefficient and Bland-Altman
analysis. RESULTS: Ninety-two patients (mean age 53.6+/-9.0 years) were included.
The correlation of LVEF measured by ECHO and RNV at each time point was
statistically insignificant. Values obtained by ECHO were on average higher (3.7%
to 4.5%). A decline in LVEF of >=10% from baseline was noticed in 19 (24.4%) and
13 (14.9%) patients with ECHO and RNV, respectively, however in only one patient
by both methods simultaneously. A decline in LVEF of >=10% to below 50% was found
in three and none patients according to RNV and ECHO measurements, respectively.
CONCLUSIONS: There is a weak correlation of ECHO and RNV measurements in
individual patient, the results obtained by the methods are not interchangeable.
LVEF values determined by 2D-ECHO were on average higher compared to RNV
determined ones. When in an asymptomatic patient a decline in LVEF requiring
treatment interruption is detected by RNV ECHO re-evaluation and referral to a
cardiologist is advised.
PMID- 27886160
TI - Left ventricular end-diastolic volume as early indicator of trastuzumab-related
cardiotoxicity in HER2+ breast cancer patients: results from a single-center
retrospective study.
AB - BACKGROUND: Adjuvant trastuzumab therapy increases survival rates in patients
with early HER2-positive breast cancer, although it can be potentially
cardiotoxic. The aim of this study was to evaluate the prevalence of left
ventricular (LV) systolic dysfunction; and the relationship between the presence
of cardiovascular risk factors, cardiac therapy and/or echocardiographic
parameters of systolic function at baseline and the development of cardiotoxicity
in such patients. METHODS: A total of 227 patients were retrospectively reviewed.
Cardiotoxicity was defined as a decrease in LV ejection fraction (EF) below 50%
or an absolute decrease of >10 points below the baseline value or any indication
of heart failure. Each patient underwent echocardiography at baseline and at
follow-up every three months. RESULTS: The prevalence of cardiotoxicity was 17.6%
(15.4% asymptomatic, 2.2% symptomatic). Patients developing LV dysfunction
presented hypertension (P=0.041) and diabetes (P=0.01) and used cardiac therapy
at baseline more frequently. Smoke habit, age >50 and use of angiotensin
converting enzyme (ACE)-inhibitors, were independent predictors of cardiac
damage. Furthermore, patients with LV dysfunction showed baseline LV end
diastolic volume (EDV) higher than those who did not and baseline EDV (OR=1.02;
95% CI: 1.00-1.04; P=0.027) independently predicted cardiotoxicity with 58 mL/m2
as best cut-off point (AUC=0.65, 95% CI: 0.55-0.75]). CONCLUSIONS: The prevalence
of trastuzumab-related cardiotoxicity in patients with HER2-positive early breast
cancer is relatively frequent, although asymptomatic in most cases. Baseline EDV
resulted as independent predictor of cardiotoxicity suggesting that EDV may be
more reliable than LVEF to identify patients at higher risk of developing cardiac
damage.
PMID- 27886161
TI - Is there still a role for cardiac CT in the emergency department in the era of
highly-sensitive troponins?
AB - Physicians practicing cardiovascular medicine are every day confronted with
patients presenting with symptoms suggestive of an acute coronary syndrome (ACS).
Over the years, there have been substantial technical advances, such as the
introduction of new non-invasive imaging techniques and the introduction of new
highly sensitive cardiac biomarkers. Physicians have adopted these new assets and
have become more experienced with them thus improving medical care. Nevertheless,
the search for an efficient, yet safe diagnostic work-up for patients presenting
with symptoms suggestive of ACS is ongoing. A large proportion of patients will
require some form of non-invasive testing and the choice for the diagnostic
modality as well as its timing are important steps in this process. Cardiac
computed tomography (CT), a non-invasive imaging technique that rapidly provides
visualization of the coronary artery tree, is an attractive option, with its
unparalleled negative predictive value for obstructive coronary artery disease
(CAD). With the introduction of highly-sensitive troponins (hsTn), the role of
non-invasive testing, including cardiac CT, has changed. This review will provide
an oversight on what is known about cardiac CT in acute chest presentations.
Furthermore, we will discuss the changing role of cardiac CT in the era of hsTn
and the possibility of their combined use in the work-up of suspected ACS
patients. hsTn is currently an established tool for the diagnosis and triage of
patients with suspected ACS. The role of cardiac CT has shifted now to a
secondary, comprehensive rule-out test in patients with inconclusive biomarker
status, providing information on stenosis severity, plaque burden, high-risk
features and the presence of other serious conditions that can also give rise to
hsTn.
PMID- 27886162
TI - miR-146a rs2910164 and hepatocellular carcinoma: a meta-analysis.
AB - INTRODUCTION: Single nucleotide polymorphism in miRNAs can alter its expression,
thus can lead to the development of cancers. Many studies have explored the
association between miR-146a rs2910164 (G>C) polymorphism and hepatocellular
carcinoma (HCC) risk, but the results remains inconsistent. So, we performed this
pooled analyses in order to get a precise result. EVIDENCE ACQUISITION: Odds
ratios (OR) with 95% confidence intervals (CI), calculated by STATA software, was
used to determine whether miR-146a rs2910164 polymorphism contributes to the risk
of HCC. A comprehensive literature search was conducted on PubMed, Embase, Web of
Science, and China National Knowledge Infrastructure up to May 30, 2016. EVIDENCE
SYNTHESIS: A total of 14 studies including 5921 cases and 7005 controls were
included in this meta-analysis. When all the eligible studies were pooled into
this meta-analysis, the miR-146a rs2910164 was associated with a decreased risk
of hepatocellular carcinoma (OR=0.90; 95% CI=0.82-0.98, P=0.01, allele model).
CONCLUSIONS: Our meta-analysis supports that the miR-146a rs2910164 polymorphism
contributes to the risk of HCC from currently available evidence.
PMID- 27886163
TI - Alghedon Fentanyl Transdermal System.
AB - The efficacy of transdermal fentanyl for cancer pain and chronic non-cancer pain
(chronic lower back pain, rheumatoid arthritis, osteoarthritis, neuropathic pain)
is well established. Several formulations of fentanyl transdermal systems have
been developed to improve the drug delivery and prevent misuse of the active
principle. The addition of a rate controlling membrane to the matrix system
represented an important advance. The design and functional features of Alghedon
patch are compared with other approved generic fentanyl transdermal systems,
emphasizing the distinctiveness of Alghedon patch. Alghedon patch has no liquid
component in the finished product, therefore no leakage of active ingredient from
the system can occur. A rate-controlling membrane provides controlled release of
the active substance from the matrix reservoir, ensuring that fentanyl delivery
and entry into the microcirculation is not solely controlled by the skin's
permeability to this active substance. Alghedon patch contains part of the drug
(approximately 15%) in the skin-contact adhesive: this innovative solution allows
to overcome a typical drawback of transdermal patches, i.e. the long lag-time
before the drug appears in plasma after the first administration, and provides
rapid analgesia during the first hours of administration. Alghedon Fentanyl
Transdermal System employs materials commonly used in other transdermal
applications and having established safety profiles. For each strength level, the
fentanyl content - and, thus, the resulting residual fentanyl remaining in the
patch after use - is at the lowest end of the range used in commercially
available fentanyl patches, minimizing the potential for abuse and misuse.
PMID- 27886164
TI - Fumarate drives EMT in renal cancer.
PMID- 27886165
TI - Induction of tumor apoptosis through a circular RNA enhancing Foxo3 activity.
AB - Circular RNAs are a class of non-coding RNAs that are receiving extensive
attention. Despite reports showing circular RNAs acting as microRNA sponges, the
biological functions of circular RNAs remain largely unknown. We show that in
patient tumor samples and in a panel of cancer cells, circ-Foxo3 was minimally
expressed. Interestingly, during cancer cell apoptosis, the expression of circ
Foxo3 was found to be significantly increased. We found that silencing endogenous
circ-Foxo3 enhanced cell viability, whereas ectopic expression of circ-Foxo3
triggered stress-induced apoptosis and inhibited the growth of tumor xenografts.
Also, expression of circ-Foxo3 increased Foxo3 protein levels but repressed p53
levels. By binding to both, circ-Foxo3 promoted MDM2-induced p53 ubiquitination
and subsequent degradation, resulting in an overall decrease of p53. With low
binding affinity to Foxo3 protein, circ-Foxo3 prevented MDM2 from inducing Foxo3
ubiquitination and degradation, resulting in increased levels of Foxo3 protein.
As a result, cell apoptosis was induced by upregulation of the Foxo3 downstream
target PUMA.
PMID- 27886166
TI - Generation of single photons with highly tunable wave shape from a cold atomic
ensemble.
AB - The generation of ultra-narrowband, pure and storable single photons with widely
tunable wave shape is an enabling step toward hybrid quantum networks requiring
interconnection of remote disparate quantum systems. It allows interaction of
quantum light with several material systems, including photonic quantum memories,
single trapped ions and opto-mechanical systems. Previous approaches have offered
a limited tuning range of the photon duration of at most one order of magnitude.
Here we report on a heralded single photon source with controllable emission time
based on a cold atomic ensemble, which can generate photons with temporal
durations varying over three orders of magnitude up to 10 MUs without a
significant change of the readout efficiency. We prove the nonclassicality of the
emitted photons, show that they are emitted in a pure state, and demonstrate that
ultra-long photons with nonstandard wave shape can be generated, which are
ideally suited for several quantum information tasks.
PMID- 27886168
TI - Hitting the right button: MAVS-mediated defense against HAV infection.
AB - Studying the immune response against infection with hepatitis viruses is hampered
by the lack of suitable preclinical model systems. A recent publication in
Science identifies the cytosolic adapter molecule MAVS as being responsible for
species restriction of infection with hepatitis A virus as well as linking
cytosolic immune sensing in infected hepatocytes with innate effector functions
and protective adaptive immunity.
PMID- 27886167
TI - Mapping of long-range chromatin interactions by proximity ligation-assisted ChIP
seq.
PMID- 27886169
TI - The mitokine quest(ion).
AB - Cells and organisms adapt to mitochondrial dysfunction by activating the
mitochondrial unfolded protein response (UPR), which is regulated by
mitochondrial-to-nuclear communication; and UPR activation can also be
transmitted between different cell types suggesting a role in tissue
coordination. Shao and colleagues now identify a neuronal circuit and a secreted
neuropeptide required for cell non-autonomous UPR regulation.
PMID- 27886170
TI - Tuning charge and correlation effects for a single molecule on a graphene device.
AB - The ability to understand and control the electronic properties of individual
molecules in a device environment is crucial for developing future technologies
at the nanometre scale and below. Achieving this, however, requires the creation
of three-terminal devices that allow single molecules to be both gated and imaged
at the atomic scale. We have accomplished this by integrating a graphene field
effect transistor with a scanning tunnelling microscope, thus allowing gate
controlled charging and spectroscopic interrogation of individual tetrafluoro
tetracyanoquinodimethane molecules. We observe a non-rigid shift in the
molecule's lowest unoccupied molecular orbital energy (relative to the Dirac
point) as a function of gate voltage due to graphene polarization effects. Our
results show that electron-electron interactions play an important role in how
molecular energy levels align to the graphene Dirac point, and may significantly
influence charge transport through individual molecules incorporated in graphene
based nanodevices.
PMID- 27886171
TI - Transduction of group I mGluR-mediated synaptic plasticity by beta-arrestin2
signalling.
AB - Conventional signalling by the group I metabotropic glutamate receptors, mGluR1
and mGluR5, occurs through G-protein coupling, but evidence suggests they might
also utilize other, non-canonical effector pathways. Here we test whether group I
mGluRs require beta-arrestin signalling during specific forms of plasticity at
hippocampal excitatory synapses. We find that genetic ablation of beta-arrestin2,
but not beta-arrestin1, results in deficits in plasticity mediated by mGlu1
receptors in CA3 pyramidal neurons and by mGlu5 receptors in CA1 pyramidal
neurons. Pharmacological studies additionally support roles for Src kinases and
MAPK/ERK downstream of beta-arrestin2 in CA3 neurons. mGluR1 modulation of
intrinsic conductances is otherwise preserved in beta-arrestin2-/- mice with the
exception of a rebound depolarization, and non-mGluR-mediated long-term
potentiation is unaltered. These results reveal a signalling pathway engaged by
group I mGluRs to effect changes in synaptic and cell intrinsic physiology
dependent upon beta-arrestin rather than G proteins. Pharmacological manipulation
of mGluRs with effector-biased ligands could lead to novel therapies to treat
neurological disease.
PMID- 27886172
TI - Fundamental rate-loss trade-off for the quantum internet.
AB - The quantum internet holds promise for achieving quantum communication-such as
quantum teleportation and quantum key distribution (QKD)-freely between any
clients all over the globe, as well as for the simulation of the evolution of
quantum many-body systems. The most primitive function of the quantum internet is
to provide quantum entanglement or a secret key to two points efficiently, by
using intermediate nodes connected by optical channels with each other. Here we
derive a fundamental rate-loss trade-off for a quantum internet protocol, by
generalizing the Takeoka-Guha-Wilde bound to be applicable to any network
topology. This trade-off has essentially no scaling gap with the quantum
communication efficiencies of protocols known to be indispensable to long
distance quantum communication, such as intercity QKD and quantum repeaters. Our
result-putting a practical but general limitation on the quantum internet-enables
us to grasp the potential of the future quantum internet.
PMID- 27886174
TI - 5-Hydroxymethylcytosine localizes to enhancer elements and is associated with
survival in glioblastoma patients.
AB - Glioblastomas exhibit widespread molecular alterations including a highly
distorted epigenome. Here, we resolve genome-wide 5-methylcytosine and 5
hydroxymethylcytosine in glioblastoma through parallel processing of DNA with
bisulfite and oxidative bisulfite treatments. We apply a statistical algorithm to
estimate 5-methylcytosine, 5-hydroxymethylcytosine and unmethylated proportions
from methylation array data. We show that 5-hydroxymethylcytosine is depleted in
glioblastoma compared with prefrontal cortex tissue. In addition, the genomic
localization of 5-hydroxymethylcytosine in glioblastoma is associated with
features of dynamic cell-identity regulation such as tissue-specific
transcription and super-enhancers. Annotation of 5-hydroxymethylcytosine genomic
distribution reveal significant associations with RNA regulatory processes,
immune function, stem cell maintenance and binding sites of transcription factors
that drive cellular proliferation. In addition, model-based clustering results
indicate that patients with low-5-hydroxymethylcytosine patterns have
significantly poorer overall survival. Our results demonstrate that 5
hydroxymethylcytosine patterns are strongly related with transcription, localizes
to disease-critical genes and are associated with patient prognosis.
PMID- 27886175
TI - Statistical power considerations in genotype-based recall randomized controlled
trials.
AB - Randomized controlled trials (RCT) are often underpowered for validating gene
treatment interactions. Using published data from the Diabetes Prevention Program
(DPP), we examined power in conventional and genotype-based recall (GBR) trials.
We calculated sample size and statistical power for gene-metformin interactions
(vs. placebo) using incidence rates, gene-drug interaction effect estimates and
allele frequencies reported in the DPP for the rs8065082 SLC47A1 variant, a
metformin transported encoding locus. We then calculated statistical power for
interactions between genetic risk scores (GRS), metformin treatment and intensive
lifestyle intervention (ILI) given a range of sampling frames, clinical trial
sample sizes, interaction effect estimates, and allele frequencies; outcomes were
type 2 diabetes incidence (time-to-event) and change in small LDL particles
(continuous outcome). Thereafter, we compared two recruitment frameworks: GBR
(participants recruited from the extremes of a GRS distribution) and conventional
sampling (participants recruited without explicit emphasis on genetic
characteristics). We further examined the influence of outcome measurement error
on statistical power. Under most simulated scenarios, GBR trials have
substantially higher power to observe gene-drug and gene-lifestyle interactions
than same-sized conventional RCTs. GBR trials are becoming popular for validation
of gene-treatment interactions; our analyses illustrate the strengths and
weaknesses of this design.
PMID- 27886173
TI - Integrative epigenome-wide analysis demonstrates that DNA methylation may mediate
genetic risk in inflammatory bowel disease.
AB - Epigenetic alterations may provide important insights into gene-environment
interaction in inflammatory bowel disease (IBD). Here we observe epigenome-wide
DNA methylation differences in 240 newly-diagnosed IBD cases and 190 controls.
These include 439 differentially methylated positions (DMPs) and 5 differentially
methylated regions (DMRs), which we study in detail using whole genome bisulphite
sequencing. We replicate the top DMP (RPS6KA2) and DMRs (VMP1, ITGB2 and TXK) in
an independent cohort. Using paired genetic and epigenetic data, we delineate
methylation quantitative trait loci; VMP1/microRNA-21 methylation associates with
two polymorphisms in linkage disequilibrium with a known IBD susceptibility
variant. Separated cell data shows that IBD-associated hypermethylation within
the TXK promoter region negatively correlates with gene expression in whole-blood
and CD8+ T cells, but not other cell types. Thus, site-specific DNA methylation
changes in IBD relate to underlying genotype and associate with cell-specific
alteration in gene expression.
PMID- 27886177
TI - Work extraction from quantum systems with bounded fluctuations in work.
AB - In the standard framework of thermodynamics, work is a random variable whose
average is bounded by the change in free energy of the system. This average work
is calculated without regard for the size of its fluctuations. Here we show that
for some processes, such as reversible cooling, the fluctuations in work diverge.
Realistic thermal machines may be unable to cope with arbitrarily large
fluctuations. Hence, it is important to understand how thermodynamic efficiency
rates are modified by bounding fluctuations. We quantify the work content and
work of formation of arbitrary finite dimensional quantum states when the
fluctuations in work are bounded by a given amount c. By varying c we interpolate
between the standard and minimum free energies. We derive fundamental trade-offs
between the magnitude of work and its fluctuations. As one application of these
results, we derive the corrected Carnot efficiency of a qubit heat engine with
bounded fluctuations.
PMID- 27886176
TI - A feed-forward loop between lncARSR and YAP activity promotes expansion of renal
tumour-initiating cells.
AB - Renal tumour-initiating cells (T-ICs) contribute to tumorigenesis, progression
and drug resistance of renal cell carcinoma (RCC). However, the underlying
mechanism for the propagation of renal T-ICs remains unclear. Here we show that
long non-coding RNA lncARSR is upregulated in primary renal T-ICs and associated
with a poor prognosis of clear cell RCCs (ccRCC). Knockdown of lncARSR attenuates
the self-renewal, tumorigenicity and metastasis of renal T-ICs. Conversely,
forced lncARSR expression enhances T-IC properties of RCC cells. Mechanistically,
the binding of lncARSR to YAP impedes LATS1-induced YAP phosphorylation and
facilitates YAP nuclear translocation. Reciprocally, YAP/TEAD promotes lncARSR
transcription, thus forming a feed-forward circuit. The correlation between
lncARSR and YAP is validated in a ccRCC cohort, where the combination of these
two parameters exhibits improved prognostic accuracy. Our findings indicate that
lncARSR plays a critical role in renal T-ICs propagation and may serve as a
prognostic biomarker and potential therapeutic target.
PMID- 27886178
TI - A stable lithium-rich surface structure for lithium-rich layered cathode
materials.
AB - Lithium ion batteries are encountering ever-growing demand for further increases
in energy density. Li-rich layered oxides are considered a feasible solution to
meet this demand because their specific capacities often surpass 200 mAh g-1 due
to the additional lithium occupation in the transition metal layers. However,
this lithium arrangement, in turn, triggers cation mixing with the transition
metals, causing phase transitions during cycling and loss of reversible capacity.
Here we report a Li-rich layered surface bearing a consistent framework with the
host, in which nickel is regularly arranged between the transition metal layers.
This surface structure mitigates unwanted phase transitions, improving the
cycling stability. This surface modification enables a reversible capacity of
218.3 mAh g-1 at 1C (250 mA g-1) with improved cycle retention (94.1% after 100
cycles). The present surface design can be applied to various battery electrodes
that suffer from structural degradations propagating from the surface.
PMID- 27886179
TI - Astrocytic GABA transporter activity modulates excitatory neurotransmission.
AB - Astrocytes are ideally placed to detect and respond to network activity. They
express ionotropic and metabotropic receptors, and can release gliotransmitters.
Astrocytes also express transporters that regulate the extracellular
concentration of neurotransmitters. Here we report a previously unrecognized role
for the astrocytic GABA transporter, GAT-3. GAT-3 activity results in a rise in
astrocytic Na+ concentrations and a consequent increase in astrocytic Ca2+
through Na+/Ca2+ exchange. This leads to the release of ATP/adenosine by
astrocytes, which then diffusely inhibits neuronal glutamate release via
activation of presynaptic adenosine receptors. Through this mechanism, increases
in astrocytic GAT-3 activity due to GABA released from interneurons contribute to
'diffuse' heterosynaptic depression. This provides a mechanism for homeostatic
regulation of excitatory transmission in the hippocampus.
PMID- 27886180
TI - MicroRNA regulation of endothelial TREX1 reprograms the tumour microenvironment.
AB - Rather than targeting tumour cells directly, elements of the tumour
microenvironment can be modulated to sensitize tumours to the effects of therapy.
Here we report a unique mechanism by which ectopic microRNA-103 can manipulate
tumour-associated endothelial cells to enhance tumour cell death. Using gain-and
loss of function approaches, we show that miR-103 exacerbates DNA damage and
inhibits angiogenesis in vitro and in vivo. Local, systemic or vascular-targeted
delivery of miR-103 in tumour-bearing mice decreased angiogenesis and tumour
growth. Mechanistically, miR-103 regulation of its target gene TREX1 in
endothelial cells governs the secretion of pro-inflammatory cytokines into the
tumour microenvironment. Our data suggest that this inflammatory milieu may
potentiate tumour cell death by supporting immune activation and inducing tumour
expression of Fas and TRAIL receptors. Our findings reveal miR-mediated crosstalk
between vasculature and tumour cells that can be exploited to improve the
efficacy of chemotherapy and radiation.
PMID- 27886181
TI - A redox mechanism underlying nucleolar stress sensing by nucleophosmin.
AB - The nucleolus has been recently described as a stress sensor. The nucleoplasmic
translocation of nucleolar protein nucleophosmin (NPM1) is a hallmark of
nucleolar stress; however, the causes of this translocation and its connection to
p53 activation are unclear. Using single live-cell imaging and the redox
biosensors, we demonstrate that nucleolar oxidation is a general response to
various cellular stresses. During nucleolar oxidation, NPM1 undergoes S
glutathionylation on cysteine 275, which triggers the dissociation of NPM1 from
nucleolar nucleic acids. The C275S mutant NPM1, unable to be glutathionylated,
remains in the nucleolus under nucleolar stress. Compared with wild-type NPM1
that can disrupt the p53-HDM2 interaction, the C275S mutant greatly compromises
the activation of p53, highlighting that nucleoplasmic translocation of NPM1 is a
prerequisite for stress-induced activation of p53. This study elucidates a redox
mechanism for the nucleolar stress sensing and may help the development of
therapeutic strategies.
PMID- 27886182
TI - Vitreous levels of placental growth factor correlate with activity of
proliferative diabetic retinopathy and are not influenced by bevacizumab
treatment.
AB - PurposePlacental growth factor (PlGF) is a member of the VEGF family that plays
an important role in experimental models of diabetic retinopathy and retinal
neovascularization. We aimed to investigate whether vitreous levels of PlGF
correlated with proliferative diabetic retinopathy (PDR) status, VEGF levels, and
bevacizumab treatment. We also analysed PDR membranes to confirm the presence of
the PlGF receptor, FLT1, in endothelial cells.MethodsThis was a case-control
study: undiluted vitreous fluid samples were obtained from 28 active PDR patients
without preoperative bevacizumab treatment, 21 active PDR patients with
preoperative bevacizumab treatment, 18 inactive PDR patients, and 21 control
patients. PlGF and VEGF levels in samples were determined by enzyme-linked
immunosorbent assay. Immunohistochemistry for FLT1 was performed on human PDR
membranes.ResultsCompared to control, vitreous PlGF levels were higher in both
active PDR without bevacizumab (P<0.0001) and with bevacizumab (P<0.0001). There
was no significant difference in PlGF between active PDR patients without and
with bevacizumab (P=0.56). Compared to active PDR, PlGF levels were significantly
reduced in inactive PDR (P=0.004). PlGF levels were highly correlated with VEGF
levels in active PDR. VEGFR1 was expressed in endothelial cells in human PDR
membranes.ConclusionThe strong correlation of PlGF levels with PDR disease status
and expression of FLT1 in human PDR membranes suggest that PlGF has a pathogenic
role in proliferative diabetic retinopathy. Therapeutic targeting of PlGF with
agents like aflibercept may be beneficial.
PMID- 27886183
TI - Fingolimod: therapeutic mechanisms and ocular adverse effects.
AB - Fingolimod is an oral immunomodulating drug used in the management of relapsing
remitting multiple sclerosis (RRMS). We aim to review the published literature on
ocular manifestations of fingolimod therapy and their possible underlying
mechanisms. The therapeutic effects of fingolimod are mediated via sphingosine
receptors, which are found ubiquitously in various organs, including lymphoid
cells, central nervous system, cardiac myocytes, and smooth muscle cells.
Fingolimod-associated macular oedema (FAME) is the most common ocular side effect
but retinal haemorrhages and retinal vein occlusion can occur. The visual
consequences appear to be mild and, in cases of FAME, resolution is often
attained with discontinuation of therapy. However, in cases of retinal vein
occlusion, discontinuation of fingolimod alone may not be sufficient and intra
vitreal therapy may be required. We also propose a pragmatic service pathway for
monitoring patients on fingolimod therapy, which includes stratifying them by
risk and visual acuity.
PMID- 27886184
TI - A view of the current and future role of optical coherence tomography in the
management of age-related macular degeneration.
AB - Optical coherence tomography (OCT) has become an established diagnostic
technology in the clinical management of age-related macular degeneration (AMD).
OCT is being used for primary diagnosis, evaluation of therapeutic efficacy, and
long-term monitoring. Computer-based advances in image analysis provide
complementary imaging tools such as OCT angiography, further novel automated
analysis methods as well as feature detection and prediction of prognosis in
disease and therapy by machine learning. In early AMD, pathognomonic features
such as drusen, pseudodrusen, and abnormalities of the retinal pigment epithelium
(RPE) can be imaged in a qualitative and quantitative way to identify early signs
of disease activity and define the risk of progression. In advanced AMD, disease
activity can be monitored clearly by qualitative and quantified analyses of fluid
pooling, such as intraretinal cystoid fluid, subretinal fluid, and pigment
epithelial detachment (PED). Moreover, machine learning methods detect a large
spectrum of new biomarkers. Evaluation of treatment efficacy and definition of
optimal therapeutic regimens are an important aim in managing neovascular AMD. In
atrophic AMD hallmarked by geographic atrophy (GA), advanced spectral domain (SD)
OCT imaging largely replaces conventional fundus autofluorescence (FAF) as it
adds insight into the condition of the neurosensory layers and associated
alterations at the level of the RPE and choroid. Exploration of imaging features
by computerized methods has just begun but has already opened relevant and
reliable horizons for the optimal use of OCT imaging for individualized and
population-based management of AMD-the leading retinal epidemic of modern times.
PMID- 27886185
TI - Hyper-IL-6: a potent and efficacious stimulator of RGC regeneration.
AB - Mature retinal ganglion cells (RGCs) normally fail to regenerate injured axons
and die soon after optic nerve injury. Research over the last two decades has
demonstrated that application of IL-6-like cytokines or activation of respective
downstream signaling pathways promote neuroprotection and optic nerve
regeneration. However, the overall beneficial effects of natural cytokines remain
usually rather moderate, possibly due to intrinsic signaling pathway inhibitors,
such as PTEN or SOCS3, or a limited expression of specific cytokine receptors in
RGCs. It was recently demonstrated that directly targeting the gp130 receptor, a
common signalling receptor of all IL-6-like cytokines, induces stronger RGC axon
regeneration in vitro and in vivo than other known growth-promoting treatments
such as inflammatory stimulation or PTEN knockout. Remarkably, continuous
expression of hyper-IL-6 (hIL-6) upon intravitreal AAV injection after nerve
injury enables long-distance axon regeneration, with some axons growing through
the optic chiasm 6 weeks after optic nerve injury. Thus, AAV-mediated hIL-6
delivery is so far one of the strongest single, post-injury treatments for the
promotion of optic nerve regeneration and may be suitable for the development of
novel, clinically applicable therapeutic treatments for human patients.
PMID- 27886187
TI - Plasma Proteomic Study in Pulmonary Arterial Hypertension Associated with
Congenital Heart Diseases.
AB - Pulmonary arterial hypertension associated with congenital heart disease (CHD
PAH) has serious consequence and plasma protein profiles in CHD-PAH are unknown.
We aimed to reveal the differential plasma proteins in 272 CHD patients with or
without PAH. Various types of CHD-PAH were studied. Differential plasma proteins
were first detected by iTRAQ proteomic technology and those with significant
clinical relevance were selected for further ELISA validation in new cohort of
patients. Among the 190 differential plasma proteins detected by iTRAQ, carbamoyl
phosphate synthetase I (CPSI, related to urea cycle and endogenous nitric oxide
production) and complement factor H-related protein 2 (CFHR2, related to
complement system and coagulant mechanism) were selected for further ELISA
validation in new cohort of 152 patients. Both CPSI and CFHR2 were down-regulated
with decreased plasma levels (p < 0.01). Thus, we for the first time in CHD-PAH
patients identified a large number of differential plasma proteins. The decreased
CPSI expression in CHD-PAH patients may reveal a mechanism related to endogenous
nitric oxide and the decrease of CFHR2 protein may demonstrate the deficiency of
the immune system and coagulation mechanism. The findings may open a new
direction for translational medicine in CHD-PAH with regard to the diagnosis and
progress of the disease.
PMID- 27886189
TI - Demonstration of a chip-based optical isolator with parametric amplification.
AB - Despite being fundamentally challenging in integrated (nano)photonics, achieving
chip-based light non-reciprocity becomes increasingly urgent in signal processing
and optical communications. Because of material incompatibilities in conventional
approaches based on the Faraday effect, alternative solutions have resorted to
nonlinear processes to obtain one-way transmission. However, dynamic reciprocity
in a recent theoretical analysis has pinned down the functionalities of these
nonlinear isolators. To bypass such dynamic reciprocity, we here demonstrate an
optical isolator on a silicon chip enforced by phase-matched parametric
amplification in four-wave mixing. Using a high-Q microtoroid resonator, we
realize highly non-reciprocal transport at the 1,550 nm wavelength when waves are
injected from both directions in two different operating configurations. Our
design, compatible with current complementary metal-oxide-semiconductor (CMOS)
techniques, yields convincing isolation performance with sufficiently low
insertion loss for a wide range of input power levels. Moreover, our work
demonstrates the possibility of designing chip-based magnetic-free optical
isolators for information processing and laser protection.
PMID- 27886190
TI - Dysbiosis is not an answer.
PMID- 27886186
TI - Genome-wide RNAi screening identifies TMIGD3 isoform1 as a suppressor of NF
kappaB and osteosarcoma progression.
AB - The ability of cancer cells to survive and grow in anchorage- and serum
independent conditions is well correlated with their aggressiveness. Here, using
a human whole-genome shRNA library, we identify TMIGD3 isoform1 (i1) as a factor
that suppresses this ability in osteosarcoma (OS) cells, mainly by inhibiting NF
kappaB activity. Knockdown of TMIGD3 increases proliferation, tumour formation
and metastasis of OS cells. Overexpression of TMIGD3 isoform1 (i1), but not
isoform3 (i3) which shares a common C-terminal region, suppresses these malignant
properties. Adenosine A3 receptor (A3AR) having an identical N-terminal region
shows similar biological profiles to TMIGD3 i1. Protein expression of TMIGD3 and
A3AR is lower in human OS tissues than normal tissues. Mechanistically, TMIGD3 i1
and A3AR commonly inhibit the PKA-Akt-NF-kappaB axis. However, TMIGD3 i1 only
partially rescues phenotypes induced by A3AR knockdown, suggesting the presence
of distinct pathways. Our findings reveal an unappreciated role for TMIGD3 i1 as
a suppressor of NF-kappaB activity and OS progression.
PMID- 27886188
TI - The deubiquitinase USP21 maintains the stemness of mouse embryonic stem cells via
stabilization of Nanog.
AB - Nanog is a master pluripotency factor of embryonic stem cells (ESCs). Stable
expression of Nanog is essential to maintain the stemness of ESCs. However, Nanog
is a short-lived protein and quickly degraded by the ubiquitin-dependent
proteasome system. Here we report that the deubiquitinase USP21 interacts with,
deubiquitinates and stabilizes Nanog, and therefore maintains the protein level
of Nanog in mouse ESCs (mESCs). Loss of USP21 results in Nanog degradation, mESCs
differentiation and reduces somatic cell reprogramming efficiency. USP21 is a
transcriptional target of the LIF/STAT3 pathway and is downregulated upon
differentiation. Moreover, differentiation cues promote ERK-mediated
phosphorylation and dissociation of USP21 from Nanog, thus leading to Nanog
degradation. In addition, USP21 is recruited to gene promoters by Nanog to
deubiquitinate histone H2A at K119 and thus facilitates Nanog-mediated gene
expression. Together, our findings provide a regulatory mechanism by which
extrinsic signals regulate mESC fate via deubiquitinating Nanog.
PMID- 27886191
TI - Hemodynamic and metabolic effects of a new pediatric dobutamine formulation in
hypoxic newborn pigs.
AB - BACKGROUND: The aim of our study was to measure drug-related changes in
hemodynamics and oxygen metabolism in response to different doses of an age
appropriate dobutamine formulation in hypoxic pigs. A secondary aim was to
validate superior vena cava flow (SVCF) as a marker of cardiac index (CI) for
subsequent clinical trials of this formulation in humans. METHODS: Newborn pigs
(n = 18) were exposed to 2-h hypoxia (10-15% oxygen) followed by reoxygenation
(21-30% oxygen 4 h). After 1-h reoxygenation, pigs were randomized to: control
group (no treatment), dobutamine infusion at a rate of 10-15 or 15-20 ug/kg/min.
Dobutamine groups received two dobutamine doses during 30 min with a 60 min
washout period between doses. Cardiovascular profile and oxygen metabolism were
monitored. In four animals, an ultrasonic perivascular flow probe was placed
around superior vena cava to measure SVCF. RESULTS: Hypoxia significantly
decreased CI, systemic vascular resistance and mean arterial blood pressure
(MABP). Dobutamine doses significantly increased heart-rate, CI, and oxygen
delivery without changes in stroke-volume and MABP. Only 10-15 ug/kg/min
increased oxygen consumption and peripheral tissue oxygenation measured by Near
infrared spectroscopy. A positive correlation was observed between SVCF and CI.
CONCLUSION: The new pediatric dobutamine formulation improved hemodynamic status,
with dose-specific differences in metabolic response. SVCF may be a useful
surrogate for CI in subsequent clinical trials.
PMID- 27886193
TI - Antimicrobials: Constraints on microbial warfare.
PMID- 27886192
TI - Phytanic acid attenuates insulin-like growth factor-1 activity via nitric oxide
mediated gamma-secretase activation in rat aortic smooth muscle cells: possible
implications for pathogenesis of infantile Refsum disease.
AB - BACKGROUND: Infantile Refsum disease (IRD), a peroxisomal disease with defective
phytanic acid oxidation, causes neurological impairment and development delay.
Insulin-like growth factor-1 (IGF-1) regulates child development and to
understand molecular mechanism(s) of IRD, we examined the effect of phytanic acid
(PA) on IGF-1 activity. METHODS: Bromodeoxyuridine (BrdU) incorporation was
measured in rat aortic smooth muscle cell (SMC) cultures following treatment with
fetal bovine serum (FBS), basic fibroblast growth factor (bFGF), platelet-derived
growth factor (PDGF) or IGF-1 in the absence or presence of PA. Gene expression
and protein contents of IGF-1 receptor (IGF-1R) and PDGF receptor (PDGFR) were
examined using quantitative PCR and western blotting. RESULTS: PA inhibited
mitogenic activities of FBS, PDGF and IGF-1 with more pronounced effect on IGF-1
induced bromodeoxyuridine (BrdU) incorporation. Palmitic acid or lignoceric acids
did not inhibit IGF-1 activity. PA had no effect on PDGFR mRNA/protein levels but
markedly increased IGF-1R mRNA levels. PA and nitric oxide (NO) markedly
decreased IGF-1R protein. L-NAME, a NO synthase inhibitor and DAPT, a gamma
secretase inhibitor, alleviated PA-induced decrease in IGF-1R protein. Both PA
and NO donor increased gamma-secretase activity which was alleviated by L-NAME.
CONCLUSION: This study demonstrates that PA attenuates IGF-1 activity possibly
through IGF-1R impairment and NO-mediated modulation of gamma-secretase activity.
PMID- 27886194
TI - Simultaneous Faraday filtering of the Mollow triplet sidebands with the Cs-D1
clock transition.
AB - Hybrid quantum systems integrating semiconductor quantum dots (QDs) and atomic
vapours become important building blocks for scalable quantum networks due to the
complementary strengths of individual parts. QDs provide on-demand single-photon
emission with near-unity indistinguishability comprising unprecedented brightness
while atomic vapour systems provide ultra-precise frequency standards and promise
long coherence times for the storage of qubits. Spectral filtering is one of the
key components for the successful link between QD photons and atoms. Here we
present a tailored Faraday anomalous dispersion optical filter based on the
caesium-D1 transition for interfacing it with a resonantly pumped QD. The
presented Faraday filter enables a narrow-bandwidth (Deltaomega=2pi * 1 GHz)
simultaneous filtering of both Mollow triplet sidebands. This result opens the
way to use QDs as sources of single as well as cascaded photons in photonic
quantum networks aligned to the primary frequency standard of the caesium clock
transition.
PMID- 27886195
TI - Is LUCA a thermophilic progenote?
PMID- 27886196
TI - Reply to 'Is LUCA a thermophilic progenote?'
PMID- 27886197
TI - Bone: Gut microbiota promote bone growth via IGF1.
PMID- 27886198
TI - Obesity: Fermentable carbohydrates increase satiety signals.
PMID- 27886199
TI - Global warming-induced upper-ocean freshening and the intensification of super
typhoons.
AB - Super typhoons (STYs), intense tropical cyclones of the western North Pacific,
rank among the most destructive natural hazards globally. The violent winds of
these storms induce deep mixing of the upper ocean, resulting in strong sea
surface cooling and making STYs highly sensitive to ocean density stratification.
Although a few studies examined the potential impacts of changes in ocean thermal
structure on future tropical cyclones, they did not take into account changes in
near-surface salinity. Here, using a combination of observations and coupled
climate model simulations, we show that freshening of the upper ocean, caused by
greater rainfall in places where typhoons form, tends to intensify STYs by
reducing their ability to cool the upper ocean. We further demonstrate that the
strengthening effect of this freshening over the period 1961-2008 is ~53%
stronger than the suppressive effect of temperature, whereas under twenty-first
century projections, the positive effect of salinity is about half of the
negative effect of ocean temperature changes.
PMID- 27886200
TI - It takes a village.
PMID- 27886201
TI - Cryptococcal meningitis: epidemiology, immunology, diagnosis and therapy.
AB - HIV-associated cryptococcal meningitis is by far the most common cause of adult
meningitis in many areas of the world that have high HIV seroprevalence. In most
areas in Sub-Saharan Africa, the incidence of cryptococcal meningitis is not
decreasing despite availability of antiretroviral therapy, because of issues of
adherence and retention in HIV care. In addition, cryptococcal meningitis in HIV
seronegative individuals is a substantial problem: the risk of cryptococcal
infection is increased in transplant recipients and other individuals with
defects in cell-mediated immunity, and cryptococcosis is also reported in the
apparently immunocompetent. Despite therapy, mortality rates in these groups are
high. Over the past 5 years, advances have been made in rapid point-of-care
diagnosis and early detection of cryptococcal antigen in the blood. These
advances have enabled development of screening and pre-emptive treatment
strategies aimed at preventing the development of clinical infection in patients
with late-stage HIV infection. Progress in optimizing antifungal combinations has
been aided by evaluation of the clearance rate of infection by using serial
quantitative cultures of cerebrospinal fluid (CSF). Measurement and management of
raised CSF pressure, a common complication, is a vital component of care. In
addition, we now better understand protective immune responses in HIV-associated
cases, immunogenetic predisposition to infection, and the role of immune-mediated
pathology in patients with non-HIV associated infection and in the context of HIV
associated immune reconstitution reactions.
PMID- 27886202
TI - Multiple sclerosis: New imaging marker of brain leukocyte infiltration.
PMID- 27886203
TI - Stroke: CT identifies patients in stroke treatment window.
PMID- 27886204
TI - Characterization of Structural Connectivity of the Default Mode Network in Dogs
using Diffusion Tensor Imaging.
AB - Diffusion tensor imaging (DTI) provides us an insight into the micro-architecture
of white-matter tracts in the brain. This method has proved promising in
understanding and investigating the neuronal tracts and structural connectivity
between the brain regions in primates as well as rodents. The close evolutionary
relationship between canines and humans may have spawned a unique bond in regard
to social cognition rendering them useful as an animal model in translational
research. In this study, we acquired diffusion data from anaesthetized dogs and
created a DTI-based atlas for a canine model which could be used to investigate
various white matter diseases. We illustrate the application of this atlas by
calculating DTI tractography based structural connectivity between the anterior
cingulate cortex (ACC) and posterior cingulate cortex (PCC) regions of the
default mode network (DMN) in dogs. White matter connectivity was investigated to
provide structural basis for the functional dissociation observed between the
anterior and posterior parts of DMN. A comparison of the integrity of long range
structural connections (such as in the DMN) between dogs and humans is likely to
provide us with new perspectives on the neural basis of the evolution of
cognitive functions.
PMID- 27886205
TI - A Subset of Malignant Mesothelioma Tumors Retain Osteogenic Potential.
AB - Malignant mesothelioma (MM) is an aggressive serosal tumor associated with
asbestos exposure. We previously demonstrated that mesothelial cells
differentiate into cells of different mesenchymal lineages and hypothesize that
osseous tissue observed in a subset of MM patients is due to local
differentiation of MM cells. In this study, the capacity of human and mouse MM
cells to differentiate into osteoblast-like cells was determined in vitro using a
functional model of bone nodule formation and in vivo using an established model
of MM. Human and murine MM cell lines cultured in osteogenic medium expressed
alkaline phosphatase and formed mineralized bone-like nodules. Several human and
mouse MM cell lines also expressed a number of osteoblast phenotype markers,
including runt-related transcription factor 2 (RUNX2), osteopontin, osteonectin
and bone sialoprotein mRNA and protein. Histological analysis of murine MM tumors
identified areas of ossification within the tumor, similar to those observed in
human MM biopsies. These data demonstrate the ability of MM to differentiate into
another mesenchymal cell type and suggest that MM cells may contribute to the
formation of the heterologous elements observed in MM tumors.
PMID- 27886207
TI - Characteristic tetrapod musculoskeletal limb phenotype emerged more than 400 MYA
in basal lobe-finned fishes.
AB - Previous accounts of the origin of tetrapod limbs have postulated a relatively
sudden change, after the split between extant lobe-finned fish and tetrapods,
from a very simple fin phenotype with only two muscles to the highly complex
tetrapod condition. The evolutionary changes that led to the muscular anatomy of
tetrapod limbs have therefore remained relatively unexplored. We performed
dissections, histological sections, and MRI scans of the closest living relatives
of tetrapods: coelacanths and lungfish. Combined with previous comparative,
developmental and paleontological information, our findings suggest that the
characteristic tetrapod musculoskeletal limb phenotype was already present in the
Silurian last common ancestor of extant sarcopterygians, with the exception of
the autopod (hand/foot) structures, which have no clear correspondence with fish
structures. Remarkably, the two major steps in this long process - leading to the
ancestral fin anatomy of extant sarcopterygians and limb anatomy of extant
tetrapods, respectively - occurred at the same nodes as the two major similarity
bottlenecks that led to the striking derived myological similarity between the
pectoral and pelvic appendages within each taxon. Our identification of probable
homologies between appendicular muscles of sarcopterygian fish and tetrapods will
allow more detailed reconstructions of muscle anatomy in early tetrapods and
their relatives.
PMID- 27886208
TI - Flexible controls of scattering clouds using coding metasurfaces.
AB - Metamaterials or metasurfaces have been designed to precisely manipulate the
scattering at every angle. Here, we propose to control the probability of random
scattering appearing in the desired range of angles, which is defined in this
letter as scattering cloud. We present a controllable random metasurface by
simply adding a random coding sequence to gradient coding sequence. It is shown
that the direction and size of the scattering cloud can be arbitrarily
engineered. We demonstrate the exotic behavior of the scattering cloud by making
an analogy to the electron cloud in quantum mechanics. A new coding particle
featuring low-interference with neighboring coding particles is designed to
realize the controllable random surface, which demonstrates highly consistent
results to the theoretical calculations using fast Fourier transform. The
exciting phenomena and versatile behaviors of scattering clouds and their
probabilities enabled by controllable random surfaces will lead to diversified
applications in the fields of electromagnetic waves and acoustic waves.
PMID- 27886206
TI - The antidepressant-like activity of AC-5216, a ligand for 18KDa translocator
protein (TSPO), in an animal model of diabetes mellitus.
AB - Diabetes mellitus is a chronic disease that is associated with depression. Also,
depression is common in adults with type 2 diabetes mellitus (T2DM). Translocator
protein (18kDa) (TSPO) and allopregnanolone play an important role in the
depression treatment. However, few studies have evaluated TSPO and
allopregnanolone in the treatment of depression in T2DM. AC-5216, a ligand for
TSPO, produces anxiolytic- and antidepressant-like effects in animal models. The
present study aimed to explore antidepressant-like effects of AC-5216 on diabetic
rats. Following the development of diabetic model induced by high fat diet (HFD)
feeding and streptozotocin (STZ), AC-5216 (0.3 and 1 mg/kg, i.g.) elicited the
antidepressant-like effects in behavioral tests while these activities were
blocked by TSPO antagonist PK11195 (3 mg/kg, i.p.). The levels of
allopregnanolone in the prefrontal cortex and hippocampus were increased by AC
5216 (0.3 and 1 mg/kg, i.g.), which was antagonized by PK11195 (3 mg/kg, i.p.).
The increased plasma glucose (PG) and decreased insulin (INS) in HFD-STZ rats
were reversed by AC-5216 (0.3 and 1 mg/kg, i.g.). This study indicates that the
antidepressant-like effects of AC-5216 on HFD-STZ rats, suggesting that TSPO may
represent a novel therapeutic target for depression in T2DM.
PMID- 27886209
TI - Virus infection drives IL-2 antibody complexes into pro-inflammatory agonists in
mice.
AB - The use of IL-2/JES6-1 Ab complex (IL-2 Ab Cx) has been considered as a potential
therapeutic for inflammatory diseases due to its selective expansion of
regulatory T cells (Tregs) in mice. Here, IL-2 Ab Cx was explored as a
therapeutic agent to reduce joint inflammation induced by chikungunya virus, an
alphavirus causing debilitating joint disease globally. Virus-infected mice
treated with IL-2 Ab Cx exhibited exacerbated joint inflammation due to
infiltration of highly activated CD4+ effector T cells (Teffs). Virus infection
led to upregulation of CD25 on the Teffs, rendering them sensitive towards IL2 Ab
Cx. Ready responsiveness of Teffs to IL-2 was further demonstrated in healthy
human donors, suggesting that the use of IL-2 Ab Cx in humans is not suitable.
Changes in IL-2 sensitivity during active virus infection could change the
responsive pattern towards the IL-2 Ab Cx, resulting in the expansion of pro
inflammatory rather than anti-inflammatory responses.
PMID- 27886211
TI - Ultrathin Co-O oxide layer-driven perpendicular magnetic anisotropy in a
CoO/[Co/Pd]m multilayer matrix upon annealing.
AB - Ferromagnetic/noble metal multilayer (ML) frames are expected to serve as
reliable building blocks in a variety of perpendicular magnetic anisotropy (PMA)
based spintronic devices. However, ultrathin ML matrices are highly susceptible
to unintended reduction of electron spin polarization in the as-grown or annealed
states and often require a large repeat number. Here, we introduce a simple
approach to achieve thermally stable PMA in ultrathin [Co/Pd]3 MLs involving the
incorporation of an ultrathin CoO capping layer. The thickness and oxygen content
of the CoO layer are critical parameters to achieve enhanced PMA in ultrathin
[Co/Pd]3/CoO MLs post-annealed up to 400 degrees C. An extensive analysis of
structural features identified that robust PMA characteristics in [Co/Pd]3/CoO
MLs are linked with thermally activated oxygen atom diffusion leading to
structural reconfiguration upon annealing. The possible origin of the enhanced
PMA in our [Co/Pd]3/CoO ML samples after high-temperature annealing is discussed,
thereby enabling their use in future spintronic-related devices.
PMID- 27886210
TI - Physical Activity, Energy Expenditure, and Defense of Body Weight in Melanocortin
4 Receptor-Deficient Male Rats.
AB - Melanocortin 4 receptor (MC4R) variants contribute to human obesity, and rats
lacking functional MC4R (Mc4rK314X/K314X) are obese. We investigated the
hypothesis that low energy expenditure (EE) and physical activity contribute to
this obese phenotype in male rats, and determined whether lack of functional MC4R
conferred protection from weight loss during 50% calorie restriction. Though
Mc4rK314X/K314X rats showed low brown adipose Ucp1 expression and were less
physically active than rats heterozygous for the mutation (Mc4r+/K314X) or wild
type (Mc4r+/+) rats, we found no evidence of lowered EE in Mc4rK314X/K314X rats
once body weight was taken into account using covariance. Mc4rK314X/K314X rats
had a significantly higher respiratory exchange ratio. Compared to Mc4r+/+ rats,
Mc4rK314X/K314X and Mc4r+/K314X rats lost less lean mass during calorie
restriction, and less body mass when baseline weight was accounted for. Limited
regional overexpression of Mc3r was found in the hypothalamus. Although lower
physical activity levels in rats with nonfunctional MC4R did not result in lower
total EE during free-fed conditions, rats lacking one or two functional copies of
Mc4r showed conservation of mass, particularly lean mass, during energy
restriction. This suggests that variants affecting MC4R function may contribute
to individual differences in the metabolic response to food restriction.
PMID- 27886213
TI - Promotive effects of bone morphogenetic protein 2 on angiogenesis in
hepatocarcinoma via multiple signal pathways.
AB - The effects of Bone morphogenetic protein 2 (BMP-2) on the angiogenesis of
hepatocellular carcinoma have not yet been observed and its molecular mechanisms
is not clear. We first constructed the recombinant lentivirus vectors expressing
small hairpin RNA against BMP-2 gene (LV-SH-BMP2) and the recombinant lentivirus
vectors over-expressing BMP-2 (overexpression-LV-BMP2), and then the two
recombinant lentivirus vectors were respectively transfected into Hep G2 cells.
The Hep G2 cells transfected with LV-SH-BMP2 or overexpression-LV-BMP2 were
respectively co-cultured with human umbilical vein endothelial cells (HUVECs) to
observe the effects of BMP-2 on HUVECs. The effect of BMP-2 on tumor microvessel
density (MVD) was examined. The abilities of proliferation, migration and
angiogenesis were significantly inhibited in the HUVECs co-cultured with BMP-2
knockdown Hep G2 (all P < 0.05), but significantly enhanced in the HUVECs co
cultured with BMP-2 overexpression Hep G2 (all P < 0.05). MVD was significantly
increased in overexpression-LV-BMP2-transfected Hep G2 tumor, but decreased in LV
SH-BMP2-transfected Hep G2 tumors. The protein expressions of VEGF, p-P38, p-ERK,
p-AKT, p-m-TOR were significantly increased after BMP-2 over-expression, or
significantly decreased after BMP-2 knockdown (all P < 0.05). These results
reveal that BMP-2 can enhance HUVEC proliferation, migration and angiogenesis
through P38, ERK and Akt/m-TOR pathway.
PMID- 27886212
TI - Structural and Functional Connectivity of Default Mode Network underlying the
Cognitive Impairment in Late-onset Depression.
AB - To identify the association between the functional and structural changes of
default mode network (DMN) underlying the cognitive impairment in Late-onset
depression (LOD), 32 LOD patients and 39 normal controls were recruited and
underwent resting-state fMRI, DTI scans, and cognitive assessments. Seed-based
correlation analysis was conducted to explore the functional connectivity (FC) of
the DMN. Deterministic tractography between FC-impaired regions was performed to
examine the structural connectivity (SC). Partial correlation analyses were
employed to evaluate the cognitive association of those altered FC and SC.
Compared with controls, LOD patients showed decreased FC between DMN and the
cingulo-opercular network (CON), as well as the thalamus. Decreased FA and
increased RD of these fiber tracts connecting DMN with CON were found in LOD
patient. The DMN-CON FC and the FA, RD of the fiber tracts were both
significantly correlated with the cognitive performance. Therefore, the cognitive
impairment in LOD might be associated with the decreased FC between the DMN and
the CON, which probably resulted from the demyelination of the white matter.
PMID- 27886215
TI - Hyperinvasiveness of Salmonella enterica serovar Choleraesuis linked to
hyperexpression of type III secretion systems in vitro.
AB - Salmonella enterica serovars Choleraesuis and Typhimurium are among the non
typhoid Salmonella serovars that are important zoonotic pathogens. In clinical
observation, S. Typhimurium typically causes diarrheal diseases; however, S.
Choleraesuis shows high predilection to cause bacteremia. The mechanism why S.
Choleraesuis is more invasive to humans remains unknown. In this study, we
compared the S. Typhimurium LT2 and S. Choleraesuis SC-B67 proteomes through
stable isotope labeling of amino acid in cell culture (SILAC). In SILAC, the
expression of many virulence proteins in two type III secretion systems (T3SSs)
were significantly higher in S. Choleraesuis than in S. Typhimurium. Similar
differences were also found at the transcriptional level. Compared to S.
Typhimurium, S. Choleraesuis showed a higher penetration level to Caco-2 (>100
fold) and MDCK (>10-fold) monolayers. In mice after oral challenge, the invasion
of spleen and liver was also higher in S. Choleraesuis than in S. Typhimurium.
The transcription of hilD in S. Choleraesuis was increased in physiological (1
mM) or high (10 mM) concentrations of Mg2+, but not in low (8 MUM) concentration.
We conclude that S. Choleraesuis showed hyperinvasiveness in cellular as well as
mouse models due to hyperexpression of T3SS genes.
PMID- 27886216
TI - Drought rapidly diminishes the large net CO2 uptake in 2011 over semi-arid
Australia.
AB - Each year, terrestrial ecosystems absorb more than a quarter of the anthropogenic
carbon emissions, termed as land carbon sink. An exceptionally large land carbon
sink anomaly was recorded in 2011, of which more than half was attributed to
Australia. However, the persistence and spatially attribution of this carbon sink
remain largely unknown. Here we conducted an observation-based study to
characterize the Australian land carbon sink through the novel coupling of
satellite retrievals of atmospheric CO2 and photosynthesis and in-situ flux tower
measures. We show the 2010-11 carbon sink was primarily ascribed to savannas and
grasslands. When all biomes were normalized by rainfall, shrublands however, were
most efficient in absorbing carbon. We found the 2010-11 net CO2 uptake was
highly transient with rapid dissipation through drought. The size of the 2010-11
carbon sink over Australia (0.97 Pg) was reduced to 0.48 Pg in 2011-12, and was
nearly eliminated in 2012-13 (0.08 Pg). We further report evidence of an earlier
2000-01 large net CO2 uptake, demonstrating a repetitive nature of this land
carbon sink. Given a significant increasing trend in extreme wet year
precipitation over Australia, we suggest that carbon sink episodes will exert
greater future impacts on global carbon cycle.
PMID- 27886217
TI - A Facile Methodology for Engineering the Morphology of CsPbX3 Perovskite
Nanocrystals under Ambient Condition.
AB - A facile and highly reproducible room temperature, open atmosphere synthesis of
cesium lead halide perovskite nanocrystals of six different morphologies is
reported just by varying the solvent, ligand and reaction time. Sequential
evolution of the quantum dots, nanoplates and nanobars in one medium and
nanocubes, nanorods and nanowires in another medium is demonstrated. These
perovskite nanoparticles are shown to be of excellent crystalline quality with
high fluorescence quantum yield. A mechanism of the formation of nanoparticles of
different shapes and sizes is proposed. Considering the key role of morphology in
nanotechnology, this simple method of fabrication of a wide range of high quality
nanocrystals of different shapes and sizes of all-inorganic lead halide
perovskites, whose potential is already demonstrated in light emitting and
photovoltaic applications, is likely to help widening the scope and utility of
these materials in optoelectronic devices.
PMID- 27886214
TI - Epigenetic Enhancement of the Post-replicative DNA Mismatch Repair of Mammalian
Genomes by a Hemi-mCpG-Np95-Dnmt1 Axis.
AB - DNA methylation at C of CpG dyads (mCpG) in vertebrate genomes is essential for
gene regulation, genome stability and development. We show in this study that
proper functioning of post-replicative DNA mismatch repair (MMR) in mammalian
cells relies on the presence of genomic mCpG, as well as on the maintenance DNA
methyltransferase Dnmt1 independently of its catalytic activity. More
importantly, high efficiency of mammalian MMR surveillance is achieved through a
hemi-mCpG-Np95(Uhrf1)-Dnmt1 axis, in which the MMR surveillance complex(es) is
recruited to post-replicative DNA by Dnmt1, requiring its interactions with
MutSalpha, as well as with Np95 bound at the hemi-methylated CpG sites. Thus,
efficiency of MMR surveillance over the mammalian genome in vivo is enhanced at
the epigenetic level. This synergy endows vertebrate CpG methylation with a new
biological significance and, consequently, an additional mechanism for the
maintenance of vertebrate genome stability.
PMID- 27886218
TI - Revisiting the fear of snakes in children: the role of aposematic signalling.
AB - Why humans fear snakes is an old, yet unresolved debate. Its innate origin from
evolutionary causes is debated against the powerful influence early experience,
culture, media and religion may have on people's aversion to snakes. Here we show
that the aversion to snakes in human beings may have been mistaken for an
aversion to aposematic signals that are commonly displayed by snakes. A total of
635 children were asked to rate single item images as "nice" or "mean". Snakes,
pets and smiley emoticon items were not rated as "mean" unless they displayed
subtle aposematic signals in the form of triangular (rather than round) shapes.
Another 722 children were shown images featuring two items and asked which item
was "nice" and which item was "mean". This context dependent comparison triggered
even sharper responses to aposematic signals. We hypothesise that early primates
evolved an aversion for aposematic signals in the form of potentially harmful
triangular shapes such as teeth, claws or spikes, not for snakes per se. Further,
we hypothesise that this adaptation was in turn exploited by snakes in their anti
predatory threat display as a triangular head or dorsal zig-zag pattern, and is
currently the basis for efficient international road-danger signalling.
PMID- 27886219
TI - Elderly suicide trends in the context of transforming China, 1987-2014.
AB - In the context of rapid ageing, understanding the time-trend of elderly suicide
(ES) could inform China's efforts on suicide prevention. We examined time-trends
in Chinese ES rates (ESRs) from 1987 to 2014, a period of profound social
changes. Suicide rates by residence (rural/urban), gender, and 5-year age-group
(65+) in 1987-2014 were provided by the Chinese Ministry of Health. Time-trends
were analyzed with joinpoint analysis. The time-trend of national ESRs was
downward (average annual percent change [AAPC] = -3.7, P < 0.001): 76.6/100000 in
1987 and 30.2/100000 in 2014. However, the time-trend of corresponding
percentages of ESs among the total suicides was monotonically increasing (AAPC =
3.4, P < 0.001): 16.9% in 1987 to 41.2% in 2014. The time-trends in ESRs of both
rural and urban men and women were decreasing, but only the rural trends were
significant (P < 0.001). Rural-urban and male-female differences in ESRs were
decreasing over time (slope = -4.2 and -3.0, P <= 0.006), but the rural-urban and
male-female ESR differences in 2014 remained large (16.3/100000 and 9.8/100000, P
< 0.001). While national ESRs decreased significantly during the past three
decades, the current ESR remains high in China. Further, the age-pattern of
Chinese suicide is transitioning to elderly predominance. ES, particularly rural
ES, should be a public health priority in China.
PMID- 27886220
TI - Tuning the Weak Ferromagnetic States in Dysprosium Orthoferrite.
AB - RFeO3 orthoferrites, where R is a rare-earth ion of the lanthanide series, are
attracting attention mostly because of their promising fast spin dynamics. The
magnetic properties of these materials seem to crucially depend on whether the
magnetizations of the R and Fe ions' weak ferromagnetic (WFM) components are
parallel or antiparallel to each other. Here, we report an extensive
investigation of a high-quality DyFeO3 single crystal in which the induced Dy3+
magnetization (FDy) has a natural tendency to be antiparallel to Fe3+ sublattice
magnetization (FFe) within a large temperature window. Moreover, we find that
specific variations of temperature and applied magnetic fields allow us to make
FDy parallel to FFe, or force a spin-flip transition in FFe, among other effects.
We found three different magnetic states that respond to temperature and magnetic
fields, i.e. linear versus constant or, alternatively, presenting either behavior
depending on the history of the sample. An original magnetic field-versus
temperature phase diagram is constructed to indicate the region of stability of
the different magnetic phases, and to reveal the precise conditions yielding
sudden spin switching and reversals. Knowledge of such a phase diagram is of
potential importance to applications in spintronics and magnetic devices.
PMID- 27886222
TI - Visualising Berry phase and diabolical points in a quantum exciton-polariton
billiard.
AB - Diabolical points (spectral degeneracies) can naturally occur in spectra of two
dimensional quantum systems and classical wave resonators due to simple
symmetries. Geometric Berry phase is associated with these spectral degeneracies.
Here, we demonstrate a diabolical point and the corresponding Berry phase in the
spectrum of hybrid light-matter quasiparticles-exciton-polaritons in
semiconductor microcavities. It is well known that sufficiently strong optical
pumping can drive exciton-polaritons to quantum degeneracy, whereby they form a
macroscopically populated quantum coherent state similar to a Bose-Einstein
condensate. By pumping a microcavity with a spatially structured light beam, we
create a two-dimensional quantum billiard for the exciton-polariton condensate
and demonstrate a diabolical point in the spectrum of the billiard eigenstates.
The fully reconfigurable geometry of the potential walls controlled by the
optical pump enables a striking experimental visualization of the Berry phase
associated with the diabolical point. The Berry phase is observed and measured by
direct imaging of the macroscopic exciton-polariton probability densities.
PMID- 27886221
TI - Hydrocarbon degraders establish at the costs of microbial richness, abundance and
keystone taxa after crude oil contamination in permafrost environments.
AB - Oil spills from pipeline ruptures are a major source of terrestrial petroleum
pollution in cold regions. However, our knowledge of the bacterial response to
crude oil contamination in cold regions remains to be further expanded,
especially in terms of community shifts and potential development of hydrocarbon
degraders. In this study we investigated changes of microbial diversity,
population size and keystone taxa in permafrost soils at four different sites
along the China-Russia crude oil pipeline prior to and after perturbation with
crude oil. We found that crude oil caused a decrease of cell numbers together
with a reduction of the species richness and shifts in the dominant phylotypes,
while bacterial community diversity was highly site-specific after exposure to
crude oil, reflecting different environmental conditions. Keystone taxa that
strongly co-occurred were found to form networks based on trophic interactions,
that is co-metabolism regarding degradation of hydrocarbons (in contaminated
samples) or syntrophic carbon cycling (in uncontaminated samples). With this
study we demonstrate that after severe crude oil contamination a rapid
establishment of endemic hydrocarbon degrading communities takes place under
favorable temperature conditions. Therefore, both endemism and trophic
correlations of bacterial degraders need to be considered in order to develop
effective cleanup strategies.
PMID- 27886224
TI - Intravitreal infusion: A novel approach for intraocular drug delivery.
AB - Intraocular injection has become an increasingly important intervention in the
treatment of posterior segment diseases. However, an acute intraocular pressure
(IOP) elevation after intravitreal injection is a common concern. This study
aimed to evaluate the efficacy of intravitreal infusion in maintaining stable IOP
in a rabbit model. Trypan blue (TB) 0.06% with an external pump was used to
evaluate intravitreal infusion in rabbit eyes. Groups A (50 MUL), B (100 MUL), C
(150 MUL), and D (200 MUL) were slowly infused over 30 minutes with TB. As a
control, Group E underwent conventional intravitreal injection of 100 MUL of TB.
Group F received a bolus infusion of 100 MUL of TB within 1 minute. The mean
increases in IOP during infusion for each group were: Group A (7.93 +/- 3.80
mmHg), B (13.97 +/- 3.17 mmHg), C (19.91 +/- 6.06 mmHg) and D (29.38 +/- 8.97
mmHg). Immediately post-injection in group E the mean increase in IOP amounted to
34.33 +/- 6.57 mmHg. The mean increase in IOP of group F after bolus infusion was
49.89 +/- 1.71 mmHg. Intravitreal infusion maintains a stable IOP and provides a
controlled infusion speed compared with intravitreal injection.
PMID- 27886223
TI - Redox cofactors insertion in prokaryotic molybdoenzymes occurs via a conserved
folding mechanism.
AB - A major gap of knowledge in metalloproteins is the identity of the prefolded
state of the protein before cofactor insertion. This holds for molybdoenzymes
serving multiple purposes for life, especially in energy harvesting. This large
group of prokaryotic enzymes allows for coordination of molybdenum or tungsten
cofactors (Mo/W-bisPGD) and Fe/S clusters. Here we report the structural data on
a cofactor-less enzyme, the nitrate reductase respiratory complex and
characterize the conformational changes accompanying Mo/W-bisPGD and Fe/S
cofactors insertion. Identified conformational changes are shown to be essential
for recognition of the dedicated chaperone involved in cofactors insertion. A
solvent-exposed salt bridge is shown to play a key role in enzyme folding after
cofactors insertion. Furthermore, this salt bridge is shown to be strictly
conserved within this prokaryotic molybdoenzyme family as deduced from a
phylogenetic analysis issued from 3D structure-guided multiple sequence
alignment. A biochemical analysis with a distantly-related member of the family,
respiratory complex I, confirmed the critical importance of the salt bridge for
folding. Overall, our results point to a conserved cofactors insertion mechanism
within the Mo/W-bisPGD family.
PMID- 27886225
TI - No evidence of NRAS mutation in squamous cell anal carcinoma (SCAC).
AB - Epidermal growth factor receptor (EGFR) is usually expressed in squamous cell
anal carcinoma (SCAC) and anti-EGFR agents could represent a valid treatment
strategy, also considering that KRAS and BRAF mutations are rare events in this
type of cancer. However, no data are available on NRAS status in SCAC. In this
study we analyzed NRAS status (exons 2-4) by Pyrosequencing in a case series of
50 SCAC patients previously characterized in our laboratory for KRAS, BRAF,
PIK3CA mutations and HPV and HIV infections. We found no mutation in NRAS gene.
These results confirm that since the principal anti-EGFR resistance mechanisms
are almost absent in SCAC, anti-EGFR agents should be considered for the
treatment of this type of cancer.
PMID- 27886226
TI - Functional Improvement and Regression of Medial Hypertrophy in the Remodeled
Pulmonary Artery after Correction of Systemic Left-to-Right Shunt.
AB - The presence of systemic left-to-right shunt and increased pulmonary blood flow
can result in right heart failure and pulmonary arteriopathy. Correction of left
to-right shunt has been shown to improve cardiac function and physical
performance. However, the cardiopulmonary remodeling processes following
cessation of left-to-right shunt have yet to be reported. In this experimental
study, excessive pulmonary flow was restored through ligation of the aortocaval
fistula in rats with flow-induced pulmonary hypertension. The cardiopulmonary
morphometric functions were assessed, and phenotypic switching of pulmonary
vascular smooth muscle cells (VSMC) was determined. Ligation of aortocaval
fistula significantly attenuated pulmonary blood flow and right ventricular mass,
and potentiated the isometric contraction of pulmonary artery. Inflammatory
cytokines IL-1beta and IL-6 were reduced in the lung after ligation. Reduction of
pulmonary blood flow restored the expressions of smooth muscle myosin heavy chain
and alpha-smooth muscle actin in pulmonary artery, indicating the switching of
VSMCs to the contractile phenotype. Our study demonstrated that normalization of
pulmonary blood flow in flow-induced pulmonary hypertension reverses the
remodeling in the right ventricle and pulmonary artery. The remodeling process of
flow-induced pulmonary hypertension is functionally and morphometrically
reversible by inducing transdifferentiation of pulmonary VSMC to contractile
phenotypes and modulation of tissue inflammatory cytokines.
PMID- 27886227
TI - Impact of human activities on subaqueous topographic change in Lingding Bay of
the Pearl River estuary, China, during 1955-2013.
AB - Estuaries have been sites of intensive human activities during the past century.
Tracing the evolution of subaqueous topography in estuaries on a decadal
timescale enables us to understand the effects of human activities on estuaries.
Bathymetric data from 1955 to 2010 show that land reclamation decreased the
subaqueous area of Lingding Bay, in the Pearl River estuary, by ~170 km2 and
decreased its water volume by 615 * 106 m3, representing a net decrease of 11.2 *
106 m3 per year and indicating the deposition of approximately 14.5 Mt/yr of
sediment in Lingding Bay during that period. Whereas Lingding Bay was mainly
governed by natural processes with slight net deposition before 1980, subsequent
dredging and large port engineering projects changed the subaqueous topography of
the bay by shallowing its shoals and deepening its troughs. Between 2012 and
2013, continuous dredging and a surge of sand excavation resulted in local
changes in water depth of +/- 5 m/yr, far exceeding the magnitude of natural
topographic evolution in Lingding Bay. Reclamation, dredging, and navigation
channel projects removed 8.4 Mt/yr of sediment from Lingding Bay, representing
29% of the sediment input to the bay, and these activities have increased
recently.
PMID- 27886229
TI - Expanding and exploring the diversity of phytoplasmas from lucerne (Medicago
sativa).
AB - Phytoplasmas are a group of insect-vectored bacteria responsible for disease in
many plant species worldwide. Among the crop species affected is the economically
valuable forage species lucerne. Here we provide comprehensive molecular evidence
for infection in multiple lucerne plants by a phytoplasma not previously known
from this plant species. This phytoplasma had a >99% genetic similarity to an
unclassified 16S rRNA subgroup previously reported as Stylosanthes little leaf
from Stylosanthes spp. and was genetically and symptomatically distinct from a co
occurring but less common 16SrIIA group phytoplasma. Neighbour-joining analyses
with publicly available sequence data confirmed the presence of two distinct
phytoplasma lineages in the plant population. No PCR detections were made among
38 individuals of 12 co-occurring weed species. Sequence analysis revealed that
all nine PCR detections from among 106 individuals of five Hemiptera insect
species from the site, three of which had previously been reported as likely
vectors, were false positives. This study demonstrates the importance of
sequencing to complement PCR detection and avoid potentially inaccurate
conclusions regarding vectors, highlights that sampling over a wide spatio
temporal scale is important for vector and alternative host studies, and extends
to eight the number of phytoplasma 16 Sr groups known from lucerne.
PMID- 27886230
TI - Controlling the Electromagnetic Field Confinement with Metamaterials.
AB - The definition of a precise illumination region is essential in many applications
where the electromagnetic field should be confined in some specific volume. By
using conventional structures, it is difficult to achieve an adequate confinement
distance (or volume) with negligible levels of radiation leakage beyond it.
Although metamaterial structures and metasurfaces are well-known to provide high
controllability of their electromagnetic properties, this feature has not yet
been applied to solve this problem. We present a method of electromagnetic field
confinement based on the generation of evanescent waves by means of metamaterial
structures. With this method, the confinement volume can be controlled, namely,
it is possible to define a large area with an intense field without radiation
leakage. A prototype working in the microwave region has been implemented, and
very good agreement between the measurements and the theoretical prediction of
field distribution has been obtained.
PMID- 27886228
TI - Plasticity in the olfactory bulb of the maternal mouse is prevented by
gestational stress.
AB - Maternal stress is associated with an altered mother-infant relationship that
endangers offspring development, leading to emotional/behavioral problems.
However, little research has investigated the stress-induced alterations of the
maternal brain that could underlie such a disruption of mother-infant bonding.
Olfactory cues play an extensive role in the coordination of mother-infant
interactions, suggesting that motherhood may be associated to enhanced olfactory
performances, and that this effect may be abolished by maternal stress. To test
this hypothesis, we analyzed the impact of motherhood under normal conditions or
after gestational stress on olfactory functions in C57BL/6 J mice. We report that
gestational stress alters maternal behavior and prevents both mothers' ability to
discriminate pup odors and motherhood-induced enhancement in odor memory. We
investigated adult bulbar neurogenesis as a potential mechanism of the enhanced
olfactory function in mothers and found that motherhood was associated with an
increased complexity of the dendritic tree of newborn neurons. This motherhood
evoked remodeling was totally prevented by gestational stress. Altogether, our
results may thus provide insight into the neural changes that could contribute to
altered maternal behavior in stressed mothers.
PMID- 27886231
TI - A universal synthetic route to carbon nanotube/transition metal oxide nano
composites for lithium ion batteries and electrochemical capacitors.
AB - We report a simple synthetic approach to coaxially grow transition metal oxide
(TMO) nanostructures on carbon nanotubes (CNT) with ready control of phase and
morphology. A thin (~4 nm) sulfonated-polystyrene (SPS) pre-coating is essential
for the deposition of transition metal based materials. This layer has abundant
sulfonic groups (-SO3-) that can effectively attract Ni2+, Co2+, Zn2+ ions
through electrostatic interaction and induce them via hydrolysis, dehydration and
recrystallization to form coaxial (NiO, Co3O4, NiCoO2 and ZnCo2O4) shells and a
nanosheet-like morphology around CNT. These structures possess a large active
surface and enhanced structural robustness when used as electrode materials for
lithium-ion batteries (LIBs) and electrochemical capacitors (ECs). As electrodes
for LIBs, the ZnCo2O4@CNT material shows extremely stable cycling performance
with a discharge capacity of 1068 mAh g-1 after 100 cycles at a current density
of 400 mAg-1. For EC applications, the NiCoO2@CNT exhibits a high capacitance of
1360 Fg-1 at current densities of 10 Ag-1 after 3000 cycles and an overall
capacitance loss of only 1.4%. These results demonstrate the potential of such
hybrid materials meeting the crucial requirements of cycling stability and high
rate capability for energy conversion and storage devices.
PMID- 27886232
TI - Amplification of resonant field enhancement by plasmonic lattice coupling in
metallic slit arrays.
AB - Nonlinear spectroscopic investigation in the terahertz (THz) range requires
significant field strength of the light fields. It is still a challenge to obtain
the required field strengths in free space from table-top laser systems at
sufficiently high repetition rates to enable quantitative nonlinear spectroscopy.
It is well known that local enhancement of the THz field can be obtained for
instance in narrow apertures in metallic films. Here we show by simulation,
analytical modelling and experiment that the achievable field enhancement in a
two-dimensional array of slits with micrometer dimensions in a metallic film can
be increased by at least 60% compared to the enhancement in an isolated slit. The
additional enhancement is obtained by optimized plasmonic coupling between the
lattice modes and the resonance of the individual slits. Our results indicate a
viable route to sensitive schemes for THz spectroscopy with slit arrays
manufactured by standard UV photolithography, with local field strengths in the
multi-ten-MV/cm range at kHz repetition rates, and tens of kV/cm at oscillator
repetition rates.
PMID- 27886233
TI - Apparent Power Law Scaling of Variable Range Hopping Conduction in Carbonized
Polymer Nanofibers.
AB - We induce dramatic changes in the structure of conducting polymer nanofibers by
carbonization at 800 degrees C and compare charge transport properties between
carbonized and pristine nanofibers. Despite the profound structural differences,
both types of systems display power law dependence of current with voltage and
temperature, and all measurements can be scaled into a single universal curve. We
analyze our experimental data in the framework of variable range hopping and
argue that this mechanism can explain transport properties of pristine polymer
nanofibers as well.
PMID- 27886234
TI - Expedited Holonomic Quantum Computation via Net Zero-Energy-Cost Control in
Decoherence-Free Subspace.
AB - Holonomic quantum computation (HQC) may not show its full potential in quantum
speedup due to the prerequisite of a long coherent runtime imposed by the
adiabatic condition. Here we show that the conventional HQC can be dramatically
accelerated by using external control fields, of which the effectiveness is
exclusively determined by the integral of the control fields in the time domain.
This control scheme can be realized with net zero energy cost and it is fault
tolerant against fluctuation and noise, significantly relaxing the experimental
constraints. We demonstrate how to realize the scheme via decoherence-free
subspaces. In this way we unify quantum robustness merits of this fault-tolerant
control scheme, the conventional HQC and decoherence-free subspace, and propose
an expedited holonomic quantum computation protocol.
PMID- 27886235
TI - Time-lapse 3-D measurements of a glucose biosensor in multicellular spheroids by
light sheet fluorescence microscopy in commercial 96-well plates.
AB - Light sheet fluorescence microscopy has previously been demonstrated on a
commercially available inverted fluorescence microscope frame using the method of
oblique plane microscopy (OPM). In this paper, OPM is adapted to allow time-lapse
3-D imaging of 3-D biological cultures in commercially available glass-bottomed
96-well plates using a stage-scanning OPM approach (ssOPM). Time-lapse 3-D
imaging of multicellular spheroids expressing a glucose Forster resonance energy
transfer (FRET) biosensor is demonstrated in 16 fields of view with image
acquisition at 10 minute intervals. As a proof-of-principle, the ssOPM system is
also used to acquire a dose response curve with the concentration of glucose in
the culture medium being varied across 42 wells of a 96-well plate with the whole
acquisition taking 9 min. The 3-D image data enable the FRET ratio to be measured
as a function of distance from the surface of the spheroid. Overall, the results
demonstrate the capability of the OPM system to measure spatio-temporal changes
in FRET ratio in 3-D in multicellular spheroids over time in a multi-well plate
format.
PMID- 27886236
TI - Anatomical recovery of the spinal glutamatergic system following a complete
spinal cord injury in lampreys.
AB - Lampreys recover locomotion following a spinal cord injury (SCI). Glutamate is
necessary to initiate and control locomotion and recent data suggest a crucial
role for intraspinal neurons in functional recovery following SCI. We aimed to
determine whether, in lampreys, axotomized spinal glutamatergic neurons, which
lose glutamate immunoreactivity immediately after SCI, recover it later on and to
study the long-term evolution and anatomical recovery of the spinal glutamatergic
system after SCI. We used glutamate immunoreactivity to study changes in the
glutamatergic system, tract-tracing to label axotomized neurons and TUNEL
labelling to study cell death. Transections of the cord were made at the level of
the fifth gill. TUNEL experiments indicated that cell death is a minor
contributor to the initial loss of glutamate immunoreactivity. At least some of
the axotomized neurons lose glutamate immunoreactivity, survive and recover
glutamate immunoreactivity 1 week post-lesion (wpl). We observed a progressive
increase in the number of glutamatergic neurons/processes until an almost
complete anatomical recovery at 10 wpl. Among all the glutamatergic populations,
the population of cerebrospinal fluid-contacting cells is the only one that never
recovers. Our results indicate that full recovery of the glutamatergic system is
not necessary for the restoration of function in lampreys.
PMID- 27886237
TI - LukMF' is the major secreted leukocidin of bovine Staphylococcus aureus and is
produced in vivo during bovine mastitis.
AB - Staphylococcus aureus is a major human and animal pathogen and a common cause of
mastitis in cattle. S. aureus secretes several leukocidins that target bovine
neutrophils, crucial effector cells in the defence against bacterial pathogens.
In this study, we investigated the role of staphylococcal leukocidins in the
pathogenesis of bovine S. aureus disease. We show that LukAB, in contrast to the
gamma-hemolysins, LukED, and LukMF', was unable to kill bovine neutrophils, and
identified CXCR2 as a bovine receptor for HlgAB and LukED. Furthermore, we
assessed functional leukocidin secretion by bovine mastitis isolates and observed
that, although leukocidin production was strain dependent, LukMF' was most
abundantly secreted and the major toxin killing bovine neutrophils. To determine
the role of LukMF' in bovine mastitis, cattle were challenged with high (S1444)
or intermediate (S1449, S1463) LukMF'-producing isolates. Only animals infected
with S1444 developed severe clinical symptoms. Importantly, LukM was produced in
vivo during the course of infection and levels in milk were associated with the
severity of mastitis. Altogether, these findings underline the importance of
LukMF' as a virulence factor and support the development of therapeutic
approaches targeting LukMF' to control S. aureus mastitis in cattle.
PMID- 27886238
TI - MC5r and A2Ar Deficiencies During Experimental Autoimmune Uveitis Identifies
Distinct T cell Polarization Programs and a Biphasic Regulatory Response.
AB - Autoantigen-specific regulatory immunity emerges in the spleen of mice recovering
from experimental autoimmune uveitis (EAU), a murine model for human autoimmune
uveoretinitis. This regulatory immunity provides induced tolerance to ocular
autoantigen, and requires melanocortin 5 receptor (MC5r) expression on antigen
presenting cells with adenosine 2 A receptor (A2Ar) expression on T cells. During
EAU it is not well understood what roles MC5r and A2Ar have on promoting
regulatory immunity. Cytokine profile analysis during EAU revealed MC5r and A2Ar
each mediate distinct T cell responses, and are responsible for a functional
regulatory immune response in the spleen. A2Ar stimulation at EAU onset did not
augment this regulatory response, nor bypass the MC5r requirement to induce
regulatory immunity. The importance of this pathway in human autoimmune uveitis
was assayed. PBMC from uveitis patients were assayed for MC5r expression on
monocytes and A2Ar on T cells, and comparison between uveitis patients and
healthy controls had no significant difference. The importance for MC5r and A2Ar
expression in EAU to promote the induction of protective regulatory immunity, and
the expression of MC5r and A2Ar on human immune cells, suggests that it may be
possible to utilize the melanocortin-adenosinergic pathways to induce protective
immunity in uveitic patients.
PMID- 27886239
TI - Histone deacetylase 1 plays a predominant pro-oncogenic role in EMU-myc driven B
cell lymphoma.
AB - The two histone deacetylases (Hdacs), Hdac1 and Hdac2, are erasers of acetylation
marks on histone tails, and are important regulators of gene expression that were
shown to play important roles in hematological malignancies. However, several
recent studies reported opposing tumor-suppressive or tumor-promoting roles for
Hdac1 and Hdac2. Here, we investigated the functional role of Hdac1 and Hdac2
using the EMU-myc mouse model of B cell lymphoma. We demonstrate that Hdac1 and
Hdac2 have a pro-oncogenic role in both EMU-myc tumorigenesis and tumor
maintenance. Hdac1 and Hdac2 promote tumorigenesis in a gene dose-dependent
manner, with a predominant function of Hdac1. Our data show that Hdac1 and Hdac2
impact on EMU-myc B cell proliferation and apoptosis and suggest that a critical
level of Hdac activity may be required for EMU-myc tumorigenesis and proper B
cell development. This provides the rationale for utilization of selective Hdac1
and Hdac2 inhibitors in the treatment of hematological malignancies.
PMID- 27886240
TI - Identification of ER-000444793, a Cyclophilin D-independent inhibitor of
mitochondrial permeability transition, using a high-throughput screen in
cryopreserved mitochondria.
AB - Growing evidence suggests persistent mitochondrial permeability transition pore
(mPTP) opening is a key pathophysiological event in cell death underlying a
variety of diseases. While it has long been clear the mPTP is a druggable target,
current agents are limited by off-target effects and low therapeutic efficacy.
Therefore identification and development of novel inhibitors is necessary. To
rapidly screen large compound libraries for novel mPTP modulators, a method was
exploited to cryopreserve large batches of functionally active mitochondria from
cells and tissues. The cryopreserved mitochondria maintained respiratory coupling
and ATP synthesis, Ca2+ uptake and transmembrane potential. A high-throughput
screen (HTS), using an assay of Ca2+-induced mitochondrial swelling in the
cryopreserved mitochondria identified ER-000444793, a potent inhibitor of mPTP
opening. Further evaluation using assays of Ca2+-induced membrane depolarisation
and Ca2+ retention capacity also indicated that ER-000444793 acted as an
inhibitor of the mPTP. ER-000444793 neither affected cyclophilin D (CypD)
enzymatic activity, nor displaced of CsA from CypD protein, suggesting a
mechanism independent of CypD inhibition. Here we identified a novel, CypD
independent inhibitor of the mPTP. The screening approach and compound described
provides a workflow and additional tool to aid the search for novel mPTP
modulators and to help understand its molecular nature.
PMID- 27886241
TI - Habitat generalists and specialists in microbial communities across a terrestrial
freshwater gradient.
AB - Observations of distributions of microorganisms and their differences in
community composition across habitats provide evidence of biogeographical
patterns. However, little is known about the processes controlling transfers
across habitat gradients. By analysing the overall microbial community
composition (bacteria, fungi, archaea) across a terrestrial-freshwater gradient,
the aim of this study was to understand the spatial distribution patterns of
populations and identify taxa capable of crossing biome borders. Barcoded 454
pyrosequencing of taxonomic gene markers was used to describe the microbial
communities in adjacent soil, freshwater and sediment samples and study the role
of biotic and spatial factors in shaping their composition. Few habitat
generalists but a high number of specialists were detected indicating that
microbial community composition was mainly regulated by species sorting and niche
partitioning. Biotic interactions within microbial groups based on an association
network underlined the importance of Actinobacteria, Sordariomycetes,
Agaricomycetes and Nitrososphaerales in connecting among biomes. Even if
dispersion seemed limited, the shore of the lake represented a transition area,
allowing populations to cross the biome boundaries. In finding few broadly
distributed populations, our study points to biome specialization within
microbial communities with limited potential for dispersal and colonization of
new habitats along the terrestrial-freshwater continuum.
PMID- 27886243
TI - Creating new layered structures at high pressures: SiS2.
AB - Old and novel layered structures are attracting increasing attention for their
physical, electronic, and frictional properties. SiS2, isoelectronic to SiO2, CO2
and CS2, is a material whose phases known experimentally up to 6 GPa exhibit 1D
chain-like, 2D layered and 3D tetrahedral structures. We present highly
predictive ab initio calculations combined with evolutionary structure search and
molecular dynamics simulations of the structural and electronic evolution of SiS2
up to 100 GPa. A highly stable CdI2-type layered structure, which is octahedrally
coordinated with space group surprisingly appears between 4 and up to at least
100 GPa. The tetrahedral-octahedral switch is naturally expected upon
compression, unlike the layered character realized here by edge-sharing SiS6
octahedral units connecting within but not among sheets. The predicted phase is
semiconducting with an indirect band gap of about 2 eV at 10 GPa, decreasing
under pressure until metallization around 40 GPa. The robustness of the layered
phase suggests possible recovery at ambient pressure, where calculated phonon
spectra indicate dynamical stability. Even a single monolayer is found to be
dynamically stable in isolation, suggesting that it could possibly be sheared or
exfoliated from bulk -SiS2.
PMID- 27886245
TI - Early evidence of stone tool use in bone working activities at Qesem Cave,
Israel.
AB - For a long while, the controversy surrounding several bone tools coming from pre
Upper Palaeolithic contexts favoured the view of Homo sapiens as the only species
of the genus Homo capable of modifying animal bones into specialised tools.
However, evidence such as South African Early Stone Age modified bones, European
Lower Palaeolithic flaked bone tools, along with Middle and Late Pleistocene bone
retouchers, led to a re-evaluation of the conception of Homo sapiens as the
exclusive manufacturer of specialised bone tools. The evidence presented herein
include use wear and bone residues identified on two flint scrapers as well as a
sawing mark on a fallow deer tibia, not associated with butchering activities.
Dated to more than 300 kya, the evidence here presented is among the earliest
related to tool-assisted bone working intended for non-dietary purposes, and
contributes to the debate over the recognition of bone working as a much older
behaviour than previously thought. The results of this study come from the
application of a combined methodological approach, comprising use wear analysis,
residue analysis, and taphonomy. This approach allowed for the retrieval of both
direct and indirect evidence of tool-assisted bone working, at the Lower
Palaeolithic site of Qesem Cave (Israel).
PMID- 27886244
TI - Reconstructing Networks from Profit Sequences in Evolutionary Games via a
Multiobjective Optimization Approach with Lasso Initialization.
AB - Evolutionary games (EG) model a common type of interactions in various complex,
networked, natural and social systems. Given such a system with only profit
sequences being available, reconstructing the interacting structure of EG
networks is fundamental to understand and control its collective dynamics.
Existing approaches used to handle this problem, such as the lasso, a convex
optimization method, need a user-defined constant to control the tradeoff between
the natural sparsity of networks and measurement error (the difference between
observed data and simulated data). However, a shortcoming of these approaches is
that it is not easy to determine these key parameters which can maximize the
performance. In contrast to these approaches, we first model the EG network
reconstruction problem as a multiobjective optimization problem (MOP), and then
develop a framework which involves multiobjective evolutionary algorithm (MOEA),
followed by solution selection based on knee regions, termed as MOEANet, to solve
this MOP. We also design an effective initialization operator based on the lasso
for MOEA. We apply the proposed method to reconstruct various types of synthetic
and real-world networks, and the results show that our approach is effective to
avoid the above parameter selecting problem and can reconstruct EG networks with
high accuracy.
PMID- 27886242
TI - Exploring the molecular basis of age-related disease comorbidities using a multi
omics graphical model.
AB - Although association studies have unveiled numerous correlations of biochemical
markers with age and age-related diseases, we still lack an understanding of
their mutual dependencies. To find molecular pathways that underlie age-related
diseases as well as their comorbidities, we integrated aging markers from four
different high-throughput omics datasets, namely epigenomics, transcriptomics,
glycomics and metabolomics, with a comprehensive set of disease phenotypes from
510 participants of the TwinsUK cohort. We used graphical random forests to
assess conditional dependencies between omics markers and phenotypes while
eliminating mediated associations. Applying this novel approach for multi-omics
data integration yields a model consisting of seven modules that represent
distinct aspects of aging. These modules are connected by hubs that potentially
trigger comorbidities of age-related diseases. As an example, we identified urate
as one of these key players mediating the comorbidity of renal disease with body
composition and obesity. Body composition variables are in turn associated with
inflammatory IgG markers, mediated by the expression of the hormone oxytocin.
Thus, oxytocin potentially contributes to the development of chronic low-grade
inflammation, which often accompanies obesity. Our multi-omics graphical model
demonstrates the interconnectivity of age-related diseases and highlights
molecular markers of the aging process that might drive disease comorbidities.
PMID- 27886246
TI - Disorder and dephasing as control knobs for light transport in optical fiber
cavity networks.
AB - Transport phenomena represent a very interdisciplinary topic with applications in
many fields of science, such as physics, chemistry, and biology. In this context,
the possibility to design a perfectly controllable experimental setup, where to
tune and optimize its dynamics parameters, is a challenging but very relevant
task to emulate, for instance, the transmission of energy in light harvesting
processes. Here, we experimentally build a scalable and controllable transport
emulator based on optical fiber cavity networks where the system noise parameters
can be finely tuned while maximizing the transfer efficiency. In particular, we
demonstrate that disorder and dephasing noise are two control knobs allowing one
to play with constructive and destructive interference to optimize the transport
paths towards an exit site. These optical setups, on one side, mimic the
transport dynamics in natural photosynthetic organisms and, on the other, are
very promising platforms to artificially design optimal nanoscale structures for
novel, more efficient, clean energy technologies.
PMID- 27886247
TI - Acupuncture and Moxibustion have Different Effects on Fatigue by Regulating the
Autonomic Nervous System: A Pilot Controlled Clinical Trial.
AB - In order to investigate the different effects of acupuncture and moxibustion on
chronic fatigue syndrome (CFS) and alterations in the autonomic nervous system by
measuring heart rate variability (HRV). Forty-five participants were recruited
and randomly divided into 3 groups using a randomization schedule. The control
group (CG, n = 15) and the acupuncture group (AG, n = 15) were treated by
manipulation acupuncture, and the moxibustion group (MG, n = 15) was treated by
indirect moxibustion. Primary outcomes were the scores of the Fatigue Assessment
Instrument (FAI). Secondary outcomes were the HRV parameters which can reflect
activity of the autonomic nervous system. This trial considered both
instantaneous changes and long-term effectiveness. FAI scores decreased after the
4th and 10th treatments in the 3 groups. The decrease in FAI in the MG was
greater than that in the AG. Acupuncture was more effective in instantaneous
changes of HRV and moxibustion in long-term aspects. Both acupuncture and
moxibustion improved fatigue in CFS patients, but moxibustion was more effective.
The possible mechanism of the intervention may be through activation of the vagus
nerve. Moxibustion was more effective than acupuncture in long-term treatment of
CFS.
PMID- 27886250
TI - Shared somatosensory and motor functions in musicians.
AB - Skilled individuals are characterized by fine-tuned perceptual and motor
functions. Here, we tested the idea that the sensory and motor functions of
highly-trained individuals are coupled. We assessed the relationships among
multifaceted somatosensory and motor functions of expert pianists. The results
demonstrated a positive covariation between the acuity of weight discrimination
and the precision of force control during piano keystrokes among the pianists but
not among the non-musicians. However, neither the age of starting musical
training nor the total amount of life-long piano practice was correlated with
these sensory-motor functions in the pianists. Furthermore, a difference between
the pianists and non-musicians was absent for the weight discrimination acuity
but present for precise force control during keystrokes. The results suggest that
individuals with innately superior sensory function had finer motor control only
in a case of having undergone musical training. Intriguingly, the tactile spatial
acuity of the fingertip was superior in the pianists compared with the non
musicians but was not correlated with any functions representing fine motor
control among the pianists. The findings implicate the presence of two distinct
mechanisms of sensorimotor learning elicited by musical training, which occur
either independently in individual sensorimotor modalities or through interacting
between modalities.
PMID- 27886249
TI - Impairment of PDGF-induced chemotaxis by extracellular alpha-synuclein through
selective inhibition of Rac1 activation.
AB - Parkinson's disease (PD) is characterized by alpha-synuclein (alpha-Syn)-positive
intracytoplasmic inclusions, known as Lewy bodies. Although it is known that
extracellular alpha-Syn is detected in the plasma and cerebrospinal fluid, its
physiological significance remains unclear. Here, we show that extracellular
alpha-Syn suppresses platelet-derived growth factor (PDGF)-induced chemotaxis in
human neuroblastoma SH-SY5Y cells. The inhibitory effect was stronger in the
mutant alpha-Syn(A53T), found in hereditary PD, and the degree of inhibition was
time-dependent, presumably because of the oligomerization of alpha-Syn. PDGF
induced activation of Akt or Erk was not influenced by alpha-Syn(A53T). Further
studies revealed that alpha-Syn(A53T) inhibited PDGF-induced Rac1 activation,
whereas Cdc42 activation remained unaffected, resulting in unbalanced actin
filament remodeling. These results shed light on the understanding of
pathological as well as physiological functions of extracellular alpha-Syn in
neuronal cells.
PMID- 27886248
TI - Ultrasensitive, rapid and inexpensive detection of DNA using paper based lateral
flow assay.
AB - Sensitive, specific, rapid, inexpensive and easy-to-use nucleic acid tests for
use at the point-of-need are critical for the emerging field of personalised
medicine for which companion diagnostics are essential, as well as for
application in low resource settings. Here we report on the development of a
point-of-care nucleic acid lateral flow test for the direct detection of
isothermally amplified DNA. The recombinase polymerase amplification method is
modified slightly to use tailed primers, resulting in an amplicon with a duplex
flanked by two single stranded DNA tails. This tailed amplicon facilitates
detection via hybridisation to a surface immobilised oligonucleotide capture
probe and a gold nanoparticle labelled reporter probe. A detection limit of 1 *
10-11 M (190 amol), equivalent to 8.67 * 105 copies of DNA was achieved, with the
entire assay, both amplification and detection, being completed in less than 15
minutes at a constant temperature of 37 degrees C. The use of the tailed primers
obviates the need for hapten labelling and consequent use of capture and reporter
antibodies, whilst also avoiding the need for any post-amplification processing
for the generation of single stranded DNA, thus presenting an assay that can
facilely find application at the point of need.
PMID- 27886251
TI - Fragmenting networks by targeting collective influencers at a mesoscopic level.
AB - A practical approach to protecting networks against epidemic processes such as
spreading of infectious diseases, malware, and harmful viral information is to
remove some influential nodes beforehand to fragment the network into small
components. Because determining the optimal order to remove nodes is a
computationally hard problem, various approximate algorithms have been proposed
to efficiently fragment networks by sequential node removal. Morone and Makse
proposed an algorithm employing the non-backtracking matrix of given networks,
which outperforms various existing algorithms. In fact, many empirical networks
have community structure, compromising the assumption of local tree-like
structure on which the original algorithm is based. We develop an immunization
algorithm by synergistically combining the Morone-Makse algorithm and coarse
graining of the network in which we regard a community as a supernode. In this
way, we aim to identify nodes that connect different communities at a reasonable
computational cost. The proposed algorithm works more efficiently than the Morone
Makse and other algorithms on networks with community structure.
PMID- 27886252
TI - Dressed Photons Induced Resistance Oscillation and Zero Resistance in Arrayed
Simple Harmonic Oscillators with No Impurity.
AB - We investigate a system of an array of N simple harmonic oscillators (SHO)
interacting with photons through QED interaction. As the energy of photon is
around the spacing between SHO energy levels, energy gaps appear in the
dispersion relation of the interacted (dressed) photons. This is quite different
from the dispersion relation of free photons. Due to interactions between dressed
photonic field and arrayed SHO, the photoresistance of this system shows
oscillations and also drops to zero as irradiated by EM field of varying
frequencies.
PMID- 27886254
TI - Two novel mutations in PRPF3 causing autosomal dominant retinitis pigmentosa.
AB - Retinitis pigmentosa (RP) is a heterogeneous set of hereditary eye diseases,
characterized by selective death of photoreceptor cells in the retina, resulting
in progressive visual impairment. Approximately 20-40% of RP cases are autosomal
dominant RP (ADRP). In this study, a Chinese ADRP family previously localized to
the region between D1S2819 and D1S2635 was sequenced via whole-exome sequencing
and a variant c.1345C > G (p.R449G) was identified in PRPF3. The Sanger
sequencing was performed in probands of additional 95 Chinese ADRP families to
investigate the contribution of PRPF3 to ADRP in Chinese population and another
variant c.1532A > C (p.H511P) was detected in one family. These two variants, co
segregate with RP in two families respectively and both variants are predicted to
be pathological. This is the first report about the spectrum of PRPF3 mutations
in Chinese population, leading to the identification of two novel PRPF3
mutations. Only three clustered mutations in PRPF3 have been identified so far in
several populations and all are in exon 11. Our study expands the spectrum of
PRPF3 mutations in RP. We also demonstrate that PRPF3 mutations are responsible
for 2.08% of ADRP families in this cohort indicating that PRPF3 mutations might
be relatively rare in Chinese ADRP patients.
PMID- 27886253
TI - CXCR4/CXCL12 axis counteracts hematopoietic stem cell exhaustion through
selective protection against oxidative stress.
AB - Hematopoietic stem cells (HSCs) undergo self-renewal to maintain hematopoietic
homeostasis for lifetime, which is regulated by the bone marrow (BM)
microenvironment. The chemokine receptor CXCR4 and its ligand CXCL12 are critical
factors supporting quiescence and BM retention of HSCs. Here, we report an
unknown function of CXCR4/CXCL12 axis in the protection of HSCs against oxidative
stress. Disruption of CXCR4 receptor in mice leads to increased endogenous
production of reactive oxygen species (ROS), resulting in p38 MAPK activation,
increased DNA double-strand breaks and apoptosis leading to marked reduction in
HSC repopulating potential. Increased ROS levels are directly responsible for
exhaustion of the HSC pool and are not linked to loss of quiescence of CXCR4
deficient HSCs. Furthermore, we report that CXCL12 has a direct rescue effect on
oxidative stress-induced HSC damage at the mitochondrial level. These data
highlight the importance of CXCR4/CXCL12 axis in the regulation of lifespan of
HSCs by limiting ROS generation and genotoxic stress.
PMID- 27886255
TI - Amino acid substitutions V63I or A37S/I61T/V63I/V100A in the PA N-terminal domain
increase the virulence of H7N7 influenza A virus.
AB - The PA N-terminal domain (PA-Nter) is essential for viral transcription and
replication. Here we identified PA-Nter substitutions A37S, I61T, V63I and V100A
in recently emerged avian influenza A viruses (IAVs) with potential effect on
virus pathogenicity and/or host adaptation. We introduced the identified PA-Nter
substitutions into avian H7N7 IAV by reverse genetics. Our results showed that
single substitution V63I and combined substitutions, I61T/V63I and
A37S/I61T/V63I/V100A (Mfour), significantly increased virus growth capacity in
mammalian cells. Meanwhile, these substitutions conferred higher virus
transcription/replication capacity by producing more mRNA, cRNA and vRNA.
Consistently, the polymerase activity and the endonuclease activity were enhanced
by these PA-Nter substitutions. Notably, substitutions V63I and Mfour strongly
increased virus replication and virulence in mice. Collectively, our findings
demonstrated that the PA-Nter substitutions V63I and Mfour enhanced IAV
pathogenicity through modification of the polymerase activity and the
endonuclease activity, which added to the evolving knowledge of IAV virulence
determinants.
PMID- 27886256
TI - Structural insights into the interaction of the ribosomal P stalk protein P2 with
a type II ribosome-inactivating protein ricin.
AB - Ricin is a type II ribosome-inactivating protein (RIP) that depurinates A4324 at
the sarcin-ricin loop of 28 S ribosomal RNA (rRNA), thus inactivating the
ribosome by preventing elongation factors from binding to the GTPase activation
centre. Recent studies have disclosed that the conserved C-terminal domain (CTD)
of eukaryotic ribosomal P stalk proteins is involved in the process that RIPs
target ribosome. However, the details of the molecular interaction between ricin
and P stalk proteins remain unknown. Here, we report the structure of ricin-A
chain (RTA) in a complex with the CTD of the human ribosomal protein P2. The
structure shows that the Phe111, Leu113 and Phe114 residues of P2 insert into a
hydrophobic pocket formed by the Tyr183, Arg235, Phe240 and Ile251 residues of
RTA, while Asp115 of P2 forms hydrogen bonds with Arg235 of RTA. The key residues
in RTA and P2 for complex formation were mutated, and their importance was
determined by pull-down assays. The results from cell-free translation assays
further confirmed that the interaction with P stalk proteins is essential for the
inhibition of protein synthesis by RTA. Taken together, our results provide a
structural basis that will improve our understanding of the process by which
ricin targets the ribosome, which will benefit the development of effective small
molecule inhibitors for use as therapeutic agents.
PMID- 27886257
TI - An evolutionary conserved interaction between the Gcm transcription factor and
the SF1 nuclear receptor in the female reproductive system.
AB - NR5A1 is essential for the development and for the function of steroid producing
glands of the reproductive system. Moreover, its misregulation is associated with
endometriosis, which is the first cause of infertility in women. Hr39, the
Drosophila ortholog of NR5A1, is expressed and required in the secretory cells of
the spermatheca, the female exocrine gland that ensures fertility by secreting
substances that attract and capacitate the spermatozoids. We here identify a
direct regulator of Hr39 in the spermatheca: the Gcm transcription factor.
Furthermore, lack of Gcm prevents the production of the secretory cells and leads
to female sterility in Drosophila. Hr39 regulation by Gcm seems conserved in
mammals and involves the modification of the DNA methylation profile of mNr5a1.
This study identifies a new molecular pathway in female reproductive system
development and suggests a role for hGCM in the progression of reproductive tract
diseases in humans.
PMID- 27886259
TI - Atomic Resolution Interfacial Structure of Lead-free Ferroelectric K0.5Na0.5NbO3
Thin films Deposited on SrTiO3.
AB - Oxide interface engineering has attracted considerable attention since the
discovery of its exotic properties induced by lattice strain, dislocation and
composition change at the interface. In this paper, the atomic resolution
structure and composition of the interface between the lead-free piezoelectric
(K0.5Na0.5)NbO3 (KNN) thin films and single-crystalline SrTiO3 substrate were
investigated by means of scanning transmission electron microscopy (STEM)
combining with electron energy loss spectroscopy (EELS). A sharp epitaxial
interface was observed to be a monolayer composed of Nb and Ti cations with a
ratio of 3/1. The First-Principles Calculations indicated the interface monolayer
showed different electronic structure and played the vital role in the asymmetric
charge distribution of KNN thin films near the interface. We also observed the
gradual relaxation process for the relatively large lattice strains near the
KNN/STO interface, which remarks a good structure modulation behavior of KNN thin
films via strain engineering.
PMID- 27886260
TI - mTOR regulates neuroprotective effect of immunized CD4+Foxp3+ T cells in optic
nerve ischemia.
AB - The therapeutic potential of targeting CD4+Foxp3+ regulatory T cells (Tregs)
remains controversial under the condition of neuroinflammation. This study aims
to explore the neuroprotective role of Tregs in optic nerve ischemia (ONI) and
evaluate the therapeutic strategy of Tregs transfer with a focus on targeting the
mammalian target of rapamycin (mTOR) pathway. Intraocular pressure was
transiently increased in adult C57BL/6 mice to induce ONI. Mucosal tolerance of
myelin basic protein (MBP) markedly increased retinal ganglion cell (RGC)
survival after ONI through enhanced Tregs suppression. mTOR inhibition
significantly promoted the frequency of MBP-immunized Tregs in vitro with
increased production of anti-inflammatory cytokines. Transient rapamycin
treatment highly promoted the immunosuppressive capacity of Tregs and inhibited
retinal inflammation in ONI animals. Intravenous infusion of MBP-immunized Tregs,
instead of regular Tregs, beneficially modulated immune activities of host
retinal CD11b+ cells and CD4+ effector T cells, leading to significant
improvement of RGC survival. Importantly, rapamycin treatment further enhanced
the neuroprotective effect of Tregs transfer. Taken together, these findings
reveal a fine regulation of mTOR signaling on immunized Tregs after acute retinal
injury. Adoptive transfer with targeting-mTOR strategy markedly improves neuronal
recovery after ONI, supporting the therapeutic potentials of Tregs in acute and
chronic neurological disorder.
PMID- 27886261
TI - Neil3 induced neurogenesis protects against prion disease during the clinical
phase.
AB - Base excision repair (BER) is the major pathway for repair of oxidative DNA
damage. Mice with genetic knockout of the BER enzyme Neil3 display compromised
neurogenesis in the sub-ventricular zone of the lateral ventricle and sub
granular layer of the dentate gyrus of the hippocampus. To elucidate the impact
of oxidative DNA damage-induced neurogenesis on prion disease we applied the
experimental prion disease model on Neil3-deficient mice. The incubation period
for the disease was similar in both wild type and Neil3-/- mice and the overall
neuropathology appeared unaffected by Neil3 function. However, disease in the
Neil3-/- mice was of shorter clinical duration. We observed a mildly reduced
astrogliosis in the hippocampus and striatum in the Neil3-deficient mice. Brain
expression levels of neuronal progenitor markers, nestin (Nestin), sex
determining region Box 2 (Sox2), Class III beta-tubulin (Tuj1) decreased towards
end-stage prion disease whereas doublecortin (Dcx) levels were less affected.
Neuronal nuclei (NeuN), a marker for mature neurons declined during prion disease
and more pronounced in the Neil3-/- group. Microglial activation was prominent
and appeared unaffected by loss of Neil3. Our data suggest that neurogenesis
induced by Neil3 repair of oxidative DNA damage protects against prion disease
during the clinical phase.
PMID- 27886258
TI - A holistic approach to dissecting SPARC family protein complexity reveals FSTL-1
as an inhibitor of pancreatic cancer cell growth.
AB - SPARC is a matricellular protein that is involved in both pancreatic cancer and
diabetes. It belongs to a wider family of proteins that share structural and
functional similarities. Relatively little is known about this extended family,
but evidence of regulatory interactions suggests the importance of a holistic
approach to their study. We show that Hevin, SPOCKs, and SMOCs are strongly
expressed within islets, ducts, and blood vessels, suggesting important roles for
these proteins in the normal pancreas, while FSTL-1 expression is localised to
the stromal compartment reminiscent of SPARC. In direct contrast to SPARC,
however, FSTL-1 expression is reduced in pancreatic cancer. Consistent with this,
FSTL-1 inhibited pancreatic cancer cell proliferation. The complexity of SPARC
family proteins is further revealed by the detection of multiple cell-type
specific isoforms that arise due to a combination of post-translational
modification and alternative splicing. Identification of splice variants lacking
a signal peptide suggests the existence of novel intracellular isoforms. This
study underlines the importance of addressing the complexity of the SPARC family
and provides a new framework to explain their controversial and contradictory
effects. We also demonstrate for the first time that FSTL-1 suppresses pancreatic
cancer cell growth.
PMID- 27886262
TI - Coastal leatherback turtles reveal conservation hotspot.
AB - Previous studies have shown that the world's largest reptile - the leatherback
turtle Dermochelys coriacea - conducts flexible foraging migrations that can
cover thousands of kilometres between nesting sites and distant foraging areas.
The vast distances that may be travelled by migrating leatherback turtles have
greatly complicated conservation efforts for this species worldwide. However, we
demonstrate, using a combination of satellite telemetry and stable isotope
analysis, that approximately half of the nesting leatherbacks from an important
rookery in South Africa do not migrate to distant foraging areas, but rather,
forage in the coastal waters of the nearby Mozambique Channel. Moreover, this
coastal cohort appears to remain resident year-round in shallow waters (<50 m
depth) in a relatively fixed area. Stable isotope analyses further indicate that
the Mozambique Channel also hosts large numbers of loggerhead turtles Caretta
caretta. The rare presence of a resident coastal aggregation of leatherback
turtles not only presents a unique opportunity for conservation, but alongside
the presence of loggerhead turtles and other endangered marine megafauna in the
Mozambique Channel, highlights the importance of this area as a marine
biodiversity hotspot.
PMID- 27886263
TI - Nicotinic and opioid receptor regulation of striatal dopamine D2-receptor
mediated transmission.
AB - In addition to dopamine neuron firing, cholinergic interneurons (ChIs) regulate
dopamine release in the striatum via presynaptic nicotinic receptors (nAChRs) on
dopamine axon terminals. Synchronous activity of ChIs is necessary to evoke
dopamine release through this pathway. The frequency-dependence of disynaptic
nicotinic modulation has led to the hypothesis that nAChRs act as a high-pass
filter in the dopaminergic microcircuit. Here, we used optogenetics to
selectively stimulate either ChIs or dopamine terminals directly in the striatum.
To measure the functional consequence of dopamine release, D2-receptor synaptic
activity was assessed via virally overexpressed potassium channels (GIRK2) in
medium spiny neurons (MSNs). We found that nicotinic-mediated dopamine release
was blunted at higher frequencies because nAChRs exhibit prolonged
desensitization after a single pulse of synchronous ChI activity. However, when
dopamine neurons alone were stimulated, nAChRs had no effect at any frequency. We
further assessed how opioid receptors modulate these two mechanisms of release.
Bath application of the kappa opioid receptor agonist U69593 decreased D2
receptor activation through both pathways, whereas the MU opioid receptor agonist
DAMGO decreased D2-receptor activity only as a result of cholinergic-mediated
dopamine release. Thus the release of dopamine can be independently modulated
when driven by either dopamine neurons or cholinergic interneurons.
PMID- 27886265
TI - Effect of a weak transverse magnetic field on the microstructure in directionally
solidified peritectic alloys.
AB - Effect of a weak transverse magnetic field on the microstructures in
directionally solidified Fe-Ni and Pb-Bi peritectic alloys has been investigated
experimentally. The results indicate that the magnetic field can induce the
formation of banded and island-like structures and refine the primary phase in
peritectic alloys. The above results are enhanced with increasing magnetic field.
Furthermore, electron probe micro analyzer (EPMA) analysis reveals that the
magnetic field increases the Ni solute content on one side and enhances the solid
solubility in the primary phase in the Fe-Ni alloy. The thermoelectric (TE) power
difference at the liquid/solid interface of the Pb-Bi peritectic alloy is
measured in situ, and the results show that a TE power difference exists at the
liquid/solid interface. 3 D numerical simulations for the TE magnetic convection
in the liquid are performed, and the results show that a unidirectional TE
magnetic convection forms in the liquid near the liquid/solid interface during
directional solidification under a transverse magnetic field and that the
amplitude of the TE magnetic convection at different scales is different. The TE
magnetic convections on the macroscopic interface and the cell/dendrite scales
are responsible for the modification of microstructures during directional
solidification under a magnetic field.
PMID- 27886266
TI - Redefining the Speed Limit of Phase Change Memory Revealed by Time-resolved Steep
Threshold-Switching Dynamics of AgInSbTe Devices.
AB - Although phase-change memory (PCM) offers promising features for a 'universal
memory' owing to high-speed and non-volatility, achieving fast electrical
switching remains a key challenge. In this work, a correlation between the rate
of applied voltage and the dynamics of threshold-switching is investigated at
picosecond-timescale. A distinct characteristic feature of enabling a rapid
threshold-switching at a critical voltage known as the threshold voltage as
validated by an instantaneous response of steep current rise from an amorphous
off to on state is achieved within 250 picoseconds and this is followed by a
slower current rise leading to crystallization. Also, we demonstrate that the
extraordinary nature of threshold-switching dynamics in AgInSbTe cells is
independent to the rate of applied voltage unlike other chalcogenide-based phase
change materials exhibiting the voltage dependent transient switching
characteristics. Furthermore, numerical solutions of time-dependent conduction
process validate the experimental results, which reveal the electronic nature of
threshold-switching. These findings of steep threshold-switching of 'sub-50 ps
delay time', opens up a new way for achieving high-speed non-volatile memory for
mainstream computing.
PMID- 27886264
TI - Sigma-1 Receptor Agonism Promotes Mechanical Allodynia After Priming the
Nociceptive System with Capsaicin.
AB - Sigma-1 receptor antagonists promote antinociception in several models of pain,
but the effects of sigma-1 agonists on nociception (particularly when the
nociceptive system is primed) are not so well characterized; therefore we
evaluated the effects of sigma-1 agonists on pain under different experimental
conditions. The systemic administration of the selective sigma-1 agonists (+)
pentazocine and PRE-084, as well as the nonselective sigma-1 agonist
carbetapentane (used clinically as an antitussive drug), did not alter
sensitivity to mechanical stimulation under baseline conditions. However, they
greatly promoted secondary mechanical allodynia after priming the nociceptive
system with capsaicin. These effects of sigma-1 agonists were consistent in terms
potency with the affinities of these drugs for sigma-1 receptors, were reversed
by sigma-1 antagonists, and were not observed in sigma-1 knockout mice,
indicating that they are sigma-1-mediated. Repeated systemic treatment with PRE
084 induced proallodynic effects even 24 h after treatment completion, but only
after the nociceptive system was primed. However, neither the presence of this
drug in the organism nor changes in sigma-1 receptor expression in areas involved
in pain processing explains its long-term effects, suggesting that sustained
sigma-1 agonism induces plastic changes in the nociceptive system that promote
nociception.
PMID- 27886267
TI - In vivo characterization of hair and skin derived carbon quantum dots with high
quantum yield as long-term bioprobes in zebrafish.
AB - Carbon quantum dots (CDs) were widely investigated because of their tunable
fluorescence properties and low toxicity. However, so far there have been no
reports on in vivo functional studies of hair and skin derived CDs. Here, hair
derived CDs (HCDs) and skin derived CDs (SCDs) were produced by using human hair
and pig skin as precursors. The quantum yields (QYs) of HCDs and SCDs were quite
high, compared to citric acid derived CDs (CCDs). HCDs and SCDs possess optimal
photostability, hypotoxicity and biocompatibility in zebrafish, indicating that
HCDs and SCDs possess the capacity of being used as fluorescence probes for in
vivo biological imaging. The long-time observation for fluorescence alternation
of CDs in zebrafish and the quenching assay of CDs by ATP, NADH and Fe3+ ions
demonstrated that the decaying process of CDs in vivo might be induced by the
synergistic effect of the metabolism process. All results indicated that large
batches and high QYs of CDs can be acquired by employing natural and nontoxic
hair and skin as precursors. To our knowledge, this is the first time to report
SCDs, in vivo comparative studies of HCDs, SCDs and CCDs as bioprobes, and
explore their mechanism of photostability in zebrafish.
PMID- 27886268
TI - Correlation between superconductivity and bond angle of CrAs chain in non
centrosymmetric compounds A2Cr3As3 (A = K, Rb).
AB - Non-centrosymmetric superconductors, whose crystal structure is absent of
inversion symmetry, have recently received special attentions due to the
expectation of unconventional pairings and exotic physics associated with such
pairings. The newly discovered superconductors A2Cr3As3 (A = K, Rb), featured by
the quasi-one dimensional structure with conducting CrAs chains, belongs to such
kind of superconductor. In this study, we are the first to report the finding
that superconductivity of A2Cr3As3 (A = K, Rb) has a positive correlation with
the extent of non-centrosymmetry. Our in-situ high pressure ac susceptibility and
synchrotron x-ray diffraction measurements reveal that the larger bond angle of
As-Cr-As (defined as alpha) in the CrAs chains can be taken as a key factor
controlling superconductivity. While the smaller bond angle (defined as beta) and
the distance between the CrAs chains also affect the superconductivity due to
their structural connections with the alpha angle. We find that the larger value
of alpha-beta, which is associated with the extent of the non-centrosymmetry of
the lattice structure, is in favor of superconductivity. These results are
expected to shed a new light on the underlying mechanism of the superconductivity
in these Q1D superconductors and also to provide new perspective in understanding
other non-centrosymmetric superconductors.
PMID- 27886270
TI - AM fungi patchiness and the clonal growth of Glechoma hederacea in heterogeneous
environments.
AB - The effect of AM fungi spatial distribution on individual plant development may
determine the dynamics of the whole plant community. We investigated whether
clonal plants display, like for other resources, a foraging or a specialization
response, to adapt to the distribution of AM fungi. Two separate experiments were
done to investigate the response of Glechoma hederacea to a heterogeneous
distribution of a mixture of 3 AM fungi species, and the effects of each species
on colonization and allocation traits. No specialization and a limited foraging
response to the heterogeneous distribution of AM fungi was observed. An effect of
the AM fungal species on plant mass allocation and ramet production, but not on
spacer length, was detected. Two possible explanations are proposed: (i) the
plant's responses are buffered by differences in individual effects of the fungal
species or their root colonization intensity. (ii) the initial heterogeneous
distribution of AM fungi is perceived as homogeneous by the plant either by
reduced physiological integration or due to the transfer of AM fungi propagules
through the stolons. Microscopic and DNA sequencing analyses provided evidence of
this transfer, thus demonstrating the role of stolons as dispersal vectors of AM
fungi within the plant clonal network.
PMID- 27886271
TI - Speciation and genetic diversity in Centaurea subsect. Phalolepis in Anatolia.
AB - Mountains of Anatolia are one of the main Mediterranean biodiversity hotspots and
their richness in endemic species amounts for 30% of the flora. Two main factors
may account for this high diversity: the complex orography and its role as
refugia during past glaciations. We have investigated seven narrow endemics of
Centaurea subsection Phalolepis from Anatolia by means of microsatellites and
ecological niche modelling (ENM), in order to analyse genetic polymorphisms and
getting insights into their speciation. Despite being narrow endemics, all the
studied species show moderate to high SSR genetic diversity. Populations are
genetically isolated, but exchange of genes probably occurred at glacial maxima
(likely through the Anatolian mountain arches as suggested by the ENM). The lack
of correlation between genetic clusters and (morpho) species is interpreted as a
result of allopatric diversification on the basis of a shared gene pool. As
suggested in a former study in Greece, post-glacial isolation in mountains would
be the main driver of diversification in these plants; mountains of Anatolia
would have acted as plant refugia, allowing the maintenance of high genetic
diversity. Ancient gene flow between taxa that became sympatric during
glaciations may also have contributed to the high levels of genetic diversity.
PMID- 27886273
TI - Improving landscape inference by integrating heterogeneous data in the inverse
Ising problem.
AB - The inverse Ising problem and its generalizations to Potts and continuous spin
models have recently attracted much attention thanks to their successful
applications in the statistical modeling of biological data. In the standard
setting, the parameters of an Ising model (couplings and fields) are inferred
using a sample of equilibrium configurations drawn from the Boltzmann
distribution. However, in the context of biological applications, quantitative
information for a limited number of microscopic spins configurations has recently
become available. In this paper, we extend the usual setting of the inverse Ising
model by developing an integrative approach combining the equilibrium sample with
(possibly noisy) measurements of the energy performed for a number of arbitrary
configurations. Using simulated data, we show that our integrative approach
outperforms standard inference based only on the equilibrium sample or the energy
measurements, including error correction of noisy energy measurements. As a
biological proof-of-concept application, we show that mutational fitness
landscapes in proteins can be better described when combining evolutionary
sequence data with complementary structural information about mutant sequences.
PMID- 27886269
TI - In silico clustering of Salmonella global gene expression data reveals novel
genes co-regulated with the SPI-1 virulence genes through HilD.
AB - A wide variety of Salmonella enterica serovars cause intestinal and systemic
infections to humans and animals. Salmonella Patogenicity Island 1 (SPI-1) is a
chromosomal region containing 39 genes that have crucial virulence roles. The
AraC-like transcriptional regulator HilD, encoded in SPI-1, positively controls
the expression of the SPI-1 genes, as well as of several other virulence genes
located outside SPI-1. In this study, we applied a clustering method to the
global gene expression data of S. enterica serovar Typhimurium from the COLOMBOS
database; thus genes that show an expression pattern similar to that of SPI-1
genes were selected. This analysis revealed nine novel genes that are co
expressed with SPI-1, which are located in different chromosomal regions.
Expression analyses and protein-DNA interaction assays showed regulation by HilD
for six of these genes: gtgE, phoH, sinR, SL1263 (lpxR) and SL4247 were regulated
directly, whereas SL1896 was regulated indirectly. Interestingly, phoH is an
ancestral gene conserved in most of bacteria, whereas the other genes show
characteristics of genes acquired by Salmonella. A role in virulence has been
previously demonstrated for gtgE, lpxR and sinR. Our results further expand the
regulon of HilD and thus identify novel possible Salmonella virulence genes.
PMID- 27886272
TI - Can long-term dentine bonding created in real life be forecasted by parameters
established in the laboratory?
AB - Tooth-coloured plastic dental fillings secured by adhesives to tooth structures
are widely used to fix decayed teeth. Whereas laboratory tests demonstrate rapid
deterioration of the ability of the adhesives to stick to dentine, clinical
studies show that these fillings are relatively durable. This discrepancy
suggests that the parameters used for simulating bond degradation in the
laboratory setting do not correlate well with clinical outcomes. The present
study examined the long-term tensile bond strength of resin composite fillings
performed in real life and under different laboratory-simulated bonding
conditions to identify parameters that may be used to forecast the durability of
adhesive bonds created in dentine. Fillings placed in vivo were subjected to
different periods of intraoral function. In vitro specimens were bonded based on
whether simulated pulpal pressure (SPP) or thermomechanical cycling was
implemented, and how long the completed fillings were stored in water.
Thermomechanical cycling used in combination with long-term water ageing are
useful in forecasting the decline in strength of resin-dentine bonds created in
vivo. These parameters should be adopted for future evaluations. Conversely, the
use of SPP does not appear to be a significant parameter in the simulation of
long-term clinical deterioration of bond integrity.
PMID- 27886274
TI - Boosting photoresponse in silicon metal-semiconductor-metal photodetector using
semiconducting quantum dots.
AB - Silicon based metal-semiconductor-metal (MSM) photodetectors have faster
photogeneration and carrier collection across the metal-semiconductor Schottky
contacts, and CMOS integratibility compared to conventional p-n junction
photodetectors. However, its operations are limited by low photogeneration,
inefficient carrier-separation, and low mobility. Here, we show a simple and
highly effective approach for boosting Si MSM photodetector efficiency by
uniformly decorating semiconducting CdSe quantum dots on Si channel (Si-QD).
Significantly higher photocurrent on/off ratio was achieved up to over 500
compared to conventional Si MSM photodetector (on/off ratio ~5) by increasing
photogeneration and improving carrier separation. Furthermore, a substrate
biasing technique invoked wide range of tunable photocurrent on/off ratio in Si
QD photodetector (ranging from 2.7 to 562) by applying suitable combinations of
source-drain and substrate biasing conditions. Strong photogeneration and carrier
separation were achieved by employing Stark effect into the Si-QD hybrid system.
These results highlight a promising method for enhancing Si MSM photodetector
efficiency more than 100 times and simultaneously compatible with current silicon
technologies.
PMID- 27886275
TI - Conspecific Leaf Litter-Mediated Effect of Conspecific Adult Neighborhood on
Early-Stage Seedling Survival in A Subtropical Forest.
AB - Conspecific adults have strong negative effect on the survival of nearby early
stage seedlings and thus can promote species coexistence by providing space for
the regeneration of heterospecifics. The leaf litter fall from the conspecific
adults, and it could mediate this conspecific negative adult effect. However,
field evidence for such effect of conspecific leaf litter remains absent. In this
study, we used generalized linear mixed models to assess the effects of
conspecific leaf litter on the early-stage seedling survival of four dominant
species (Machilus leptophylla, Litsea elongate, Acer pubinerve and Distylium
myricoides) in early-stage seedlings in a subtropical evergreen broad-leaved
forest in eastern China. Our results consistently showed that the conspecific
leaf litter of three species negatively affected the seedling survival.
Meanwhile, the traditional conspecific adult neighborhood indices failed to
detect this negative conspecific adult effect. Our study revealed that the
accumulation of conspecific leaf litter around adults can largely reduce the
survival rate of nearby seedlings. Ignoring it could result in underestimation of
the importance of negative density dependence and negative species interactions
in the natural forest communities.
PMID- 27886276
TI - Estradiol-Estrogen Receptor alpha Mediates the Expression of the CXXC5 Gene
through the Estrogen Response Element-Dependent Signaling Pathway.
AB - 17beta-estradiol (E2), the primary circulating estrogen hormone, mediates
physiological and pathophysiological functions of breast tissue mainly through
estrogen receptor alpha (ERalpha). Upon binding to E2, ERalpha modulates the
expression of target genes involved in the regulation of cellular proliferation
primarily through interactions with specific DNA sequences, estrogen response
elements (EREs). Our previous microarray results suggested that E2-ERalpha
modulates CXXC5 expression. Because of the presence of a zinc-finger CXXC domain
(ZF-CXXC), CXXC5 is considered to be a member of the ZF-CXXC family, which binds
to non-methylated CpG dinucleotides. Although studies are limited, CXXC5 appears
to participate as a transcription factor, co-regulator and/or epigenetic factor
in the regulation of cellular events induced by various signaling pathways.
However, how signaling pathways mediate the expression of CXXC5 is yet unclear.
Due to the importance of E2-ERalpha signaling in breast tissue, changes in the
CXXC5 transcription/synthesis could participate in E2-mediated cellular events as
well. To address these issues, we initially examined the mechanism whereby E2
ERalpha regulates CXXC5 expression. We show here that CXXC5 is an E2-ERalpha
responsive gene regulated by the interaction of E2-ERalpha with an ERE present at
a region upstream of the initial translation codon of the gene.
PMID- 27886277
TI - A Retrospective Analysis on Two-week Short-course Pre-operative Radiotherapy in
Elderly Patients with Resectable Locally Advanced Rectal Cancer.
AB - To validate that a two-week short-course pre-operative radiotherapy regimen is
feasible, safe, and effective for the management of elderly patients with locally
advanced rectal cancer (LARC), we retrospectively analyzed 99 radiotherapy-naive
patients >=70 years of age with LARC. Patients received pelvic radiation therapy
(3D-CRT 30Gy/10f/2w) followed by TME surgery; some patients received adjuvant
chemotherapy. The primary endpoint was OS, while the secondary endpoints were
DFS, safety and response rate. The median follow-up time was 5.1 years. The 5
year OS and DFS rates were 58.3% and 51.2%, respectively. The completion rate of
radiotherapy (RT) was 99.0% (98 of 99). Grade 3 acute adverse events, which
resulted from RT, occurred in only 1 patient (1.0%). In addition, no grade 4
acute adverse events induced by RT were observed. All 99 patients (100%) were
able to undergo R0 surgical resection, and 68.6% of the patients received
sphincter-sparing surgery. The rate of occurrence of clinically relevant post
operative complications was 12.1%. Three patients (3.0%) achieved pathologic
complete responses, and forty-three patients (43.4%) achieved pathologic partial
responses. The rates of T-downsizing and N-downstaging were 30.3% and 55.7%,
respectively. Therefore, we believe that a two-week short-course pre-operative
radiotherapy is feasible in elderly patients with resectable LARC.
PMID- 27886278
TI - A GdAlO3 Perovskite Oxide Electrolyte-Based NOx Solid-State Sensor.
AB - NOx is a notorious emission from motor vehicles and chemical factories as the
precursor of acid rain and photochemical smog. Although zirconia-based NOx
sensors have been developed and showed high sensitivity and selectivity at a high
temperature of above 800 degrees C, they fail to show good performance, and even
don't work at the typical work temperature window of the automotive engine (<500
degrees C). It still is a formidable challenge for development of mild
temperature NOx detector or sensor. Herein, a novel amperometric solid-state NOx
sensor was developed using perovskite-type oxide Gd1-xCaxAlO3-delta(GCA) as the
electrolyte and NiO as the sensing electrode. NOx sensing properties of the
device were investigated at the temperature region of 400-500 degrees C. The
response current value at -300 mV was almost linearly proportional to the NOx
concentration between 300 and 500 ppm at 500 degrees C. At such a temperature,
the optimal sensor gave the highest NO2 sensitivity of 20.15 nA/ppm, and the
maximum response current value reached 5.57 MUA. Furthermore, a 90% response and
90% recover time to 500 ppm NO2 were about 119 and 92 s, respectively. The
excellent selectivity and stability towards NOx sensing showed the potential
application of the sensor in motor vehicles.
PMID- 27886279
TI - Slowing down light using a dendritic cell cluster metasurface waveguide.
AB - Slowing down or even stopping light is the first task to realising optical
information transmission and storage. Theoretical studies have revealed that
metamaterials can slow down or even stop light; however, the difficulty of
preparing metamaterials that operate in visible light hinders progress in the
research of slowing or stopping light. Metasurfaces provide a new opportunity to
make progress in such research. In this paper, we propose a dendritic cell
cluster metasurface consisting of dendritic structures. The simulation results
show that dendritic structure can realise abnormal reflection and refraction
effects. Single- and double-layer dendritic metasurfaces that respond in visible
light were prepared by electrochemical deposition. Abnormal Goos-Hanchen (GH)
shifts were experimentally obtained. The rainbow trapping effect was observed in
a waveguide constructed using the dendritic metasurface sample. The incident
white light was separated into seven colours ranging from blue to red light. The
measured transmission energy in the waveguide showed that the energy escaping
from the waveguide was zero at the resonant frequency of the sample under a
certain amount of incident light. The proposed metasurface has a simple
preparation process, functions in visible light, and can be readily extended to
the infrared band and communication wavelengths.
PMID- 27886280
TI - Starvation- and antibiotics-induced formation of persister cells in Pseudomonas
aeruginosa.
AB - BACKGROUND: Planktonic stationary and exponential cultures of Pseudomonas
aeruginosa are highly resistant to killing by bactericidal antimicrobials because
of the presence of persisters, cells that are multidrug tolerant and play a key
role in the recalcitrance of biofilm infections. AIM: The aim of this study was
to investigate the formation of persister cells in P. aeruginosa stationary vs.
exponential cultures using different class antimicrobials. METHODS: The
susceptibilities of P. aeruginosa PAO1 wild-type and mutant strains to
antimicrobials were determined by standard microtiter broth dilution method. In
order to determine persister formation, dose- and time-dependent killing
experiments were performed with antibiotics. RESULTS: Ceftazidime (Cephalosporin)
showed little efficacy against either culture. Stationary-phase cells were more
tolerant to imipenem (Carbapenem) than exponential cells, leaving a small
fraction of persisters at high imipenem concentration in both populations.
Polymyxin B (Polymyxin) appeared to be ineffective at low concentrations against
both cell populations. Very high polymyxin B concentration completely eradicated
exponential cells and regrowth was seen in a stationary population. Stationary
cells were more tolerant to tobramycin (Aminoglycoside) than exponential cells
but a higher concentration of tobramycin completely eliminated survivors.
Ciprofloxacin (Fluoroquinolone) at a low concentration resulted in killing of
both cultures of P. aeruginosa, producing persisters that were invulnerable to
killing. CONCLUSIONS: Stationary cells appear to be somewhat more tolerant than
exponential cells in all of these assays. We also showed that nutrient
deprivation (serine starvation) regulated by stringent and general stress
response, contribute to the increased tolerance of P. aeruginosa exponential and
stationary planktonic cells via production of persisters.
PMID- 27886281
TI - Green and highly efficient synthesis of perylene and naphthalene bisimides in
nothing but water.
AB - High-purity, symmetrically substituted perylene and naphthalene bisimides were
obtained by hydrothermal condensation of monoamines with the corresponding
bisanhydride. The hydrothermal imidization proceeds quantitatively, without the
need for organic solvents, catalysts or excess of the amines.
PMID- 27886282
TI - Dynamics and yields for CHBrCl2 photodissociation from 215-265 nm.
AB - We investigate the A-band photodissociation of CHBrCl2 at 215, 225, 235, 245,
255, and 265 nm. Following C-Br bond cleavage, resonance enhanced multiphoton
ionization and time of flight mass spectrometry provide selective detection of
the two product channels, from which we quantify the relative quantum yield of
Br/Br* production. Velocity-map imaging of the photofragments allows us to
determine the energy partitioning as a function of the photolysis energy for
different exit channels. The anisotropy present in the imaging data suggests that
absorption to the 3Q0+(A') state is important throughout the entire region we
study, though competition with other excited states is evident. The 3Q0+(A')
state forms an avoided crossing with the 1Q1(A') state, and we find that the
propensity for adiabatic passage through this crossing region dictates the Br
yield at longer wavelengths. At shorter wavelengths, Br production from excited
states not subject to the crossing is more evident. While we find that spin-orbit
excitation comes largely at the expense of the CHCl2 internal energy, both
channels still produce highly excited CHCl2 photofragments. Impulsive modeling
and comparison with similar halomethane dissociations suggests that a high degree
of rotational excitation is present, dictated by the torque inherent in Cs
symmetry dissociation and the angular dependence of the potential.
PMID- 27886283
TI - Gold nanoparticles on OMS-2 for heterogeneously catalyzed aerobic oxidative
alpha,beta-dehydrogenation of beta-heteroatom-substituted ketones.
AB - In the presence of Au nanoparticles supported on manganese oxide OMS-2 (Au/OMS
2), various kinds of beta-heteroatom-substituted alpha,beta-unsaturated ketones
(heteroatom = N, O, S) can be synthesized through alpha,beta-dehydrogenation of
the corresponding saturated ketones using O2 (in air) as the oxidant. The
catalysis of Au/OMS-2 is truly heterogeneous, and the catalyst can be reused.
PMID- 27886284
TI - Organic functionalization of epitaxial graphene on SiC through direct binding of
transient radicals from the reaction mixture.
AB - A common and versatile chemical approach for organic functionalization of
graphene using a well known reaction mixture has been developed. Here we report
the chemical binding of substituted aryl or alkyl radicals, transiently generated
during the preparation of Grignard reagents, onto a graphene sample preloaded in
a reaction vessel.
PMID- 27886285
TI - High MOF loading in mixed-matrix membranes utilizing styrene/butadiene
copolymers.
AB - A series of styrene/butadiene polymers were combined with up to 90 wt% UiO-66 to
form mixed-matrix membranes with varying physical properties. Notably,
polystyrene-block-polybutadiene (SBS) membranes retained much of the
processability and flexibility of the native polymer component and the porosity,
chemical tunability, and adsorption of the native MOF.
PMID- 27886286
TI - Neutral red as a specific light-up fluorescent probe for i-motif DNA.
AB - We report a specific light-up fluorescent probe for i-motif DNA for the first
time. Compared with the previously reported probes, neutral red could selectively
interact with an i-motif and show a significant increase in its fluorescence.
This feature makes it advantageous for designing label-free fluorescent sensing
systems.
PMID- 27886287
TI - A carborane-incorporated mononuclear Co(ii) complex showing zero-field slow
magnetic relaxation.
AB - An air-stable mononuclear Co(ii) complex bearing an o-carborane dithiolate ligand
has been synthesized which shows slow relaxation of magnetization in the absence
of an applied field and a hysteresis loop at 1.8 K. This is the first example of
a carborane-incorporated complex that displays SMM properties.
PMID- 27886288
TI - Exploring the mechanochemical cycle of dynein motor proteins: structural evidence
of crucial intermediates.
AB - Dyneins, a class of motor proteins consisting of six AAA+ modules (AAA1-AAA6),
convert chemical energy derived from the hydrolysis of ATP into mechanical energy
to walk along the microtubule track towards its minus end while accomplishing
various cellular tasks including the transportation of various intracellular
cargos. In a full mechanochemical cycle, dynein goes through ATP binding induced
open to closed state transition of AAA1, hydrolysis of that ATP and closed to
open state transition induced by the release of hydrolysed products along with
linker remodelling in different nucleotide states. Here we built structure based
models (SBMs) to explore the sequence of events of this mechanochemical cycle
from structural aspects. Free energy and kinetic simulation approaches on a multi
basin SBM of dynein reveal the following pathways: (1) in the closing pathway,
the AAA1 domain first converts to a closed state followed by the movement of the
linker and (2) in the opening transition, initially the AAA1 domain partially
opens up and then the complete linker movement takes place followed by the
complete opening of the AAA1 domain. In the opening transition, we have observed
two intermediate states from our simulations where the AAA1 domain is partially
opened. However, in one state the linker is at a closed position and in the other
the linker is at an open position. The existence of such intermediates (Pi
released, ADP bound state) of dynein has been suggested by numerous experimental
studies earlier. Finally, we discuss the biological relevance of this sequence of
events in terms of processivity and efficiency of the cycle. The current study
also shows how the basic principle of protein folding can be extended to
understand complex phenomena like the stepping mechanism of motor proteins.
PMID- 27886289
TI - Hydration in silica based mesoporous materials: a DFT model.
AB - The MCM-41 material is very commonly used as a support for catalysts. However,
theoretical investigations are significantly limited due to the lack of
appropriate models that well and accurately describe the real material and enable
effective computation at the same time. In this work, our aim is to obtain
calculable models at the DFT level of MCM-41 which are as close as possible to
the real material. In particular the hydration degree has been investigated, and
we present and characterize here for the first time a model for the MCM-41 unit
cell filled with explicit solvent water molecules. This is particularly
important, because the models developed here are aimed to be further applied in
theoretical ab initio/DFT studies of adsorption or as a support for modelling
active sites in catalysts.
PMID- 27886290
TI - Diffusion-controlled alteration of inhomogeneous materials: tailoring of the
spatial distribution of nanoparticles in nanocomposites.
AB - Gaining control over the spatial distribution of nanoparticles in composite
polymer materials is a relevant goal for a range of nanotechnology applications.
Promising methods to produce nanoparticles directly in the polymer matrix rely on
their self-assembly from the atoms that are generated due to the photodestruction
of the precursor additive. Such materials are known as photoinduced
nanocomposites. In this work, we theoretically study the possibility of producing
tailored nanoparticle distributions in such materials by the local modification
of their physical properties. For instance, laser irradiation may cause a
permanent free-volume expansion (laser swelling), which results in a substantial
change in the diffusivity of the aggregating atoms. The modeling shows that the
nanoparticles tend to accumulate in the domains where the diffusivity is greater.
Additionally, the variation of the matrix properties may result in spatial
modulation of the atom-matrix interaction energy and thus modulation of the atom
solubility in the matrix. This phenomenon can also affect the NP spatial
distribution. This paper formulates the problem of the precipitation phase
transition from the supersaturated solution in a polymer solvent which is
"frozen" in the spatially nonuniform state. The basic traits of this phenomenon
are studied by means of an analytical model. Then the NP growth is simulated
using a lattice model.
PMID- 27886291
TI - A first-principles study of the preventive effects of Al and Mg doping on the
degradation in LiNi0.8Co0.1Mn0.1O2 cathode materials.
AB - First-principles calculations have been used to investigate the effects of Al and
Mg doping on the prevention of degradation phenomena in Li(Ni0.8Co0.1Mn0.1)O2
cathode materials. Specifically, we have examined the effects of dopants on the
suppression of oxygen evolution and cation disordering, as well as their
correlation. It is found that Al doping can suppress the formation of oxygen
vacancies effectively, while Mg doping prevents the cation disordering behaviors,
i.e., excess Ni and Li/Ni exchange, and Ni migration. This study also
demonstrates that formation of oxygen vacancies can facilitate the construction
of the cation disordering, and vice versa. Delithiation can increase the
probabilities of formation of all defect types, especially oxygen vacancies. When
oxygen vacancies are present, Ni can migrate to the Li site during delithiation.
However, Al and Mg doping can inhibit Ni migration, even in structures with
preformed oxygen defects. The analysis of atomic charge variations during
delithiation demonstrates that the degree of oxidation behavior in oxygen atoms
is alleviated in the case of Al doping, indicating the enhanced oxygen stability
in this structure. In addition, changes in the lattice parameters during
delithiation are suppressed in the Mg-doped structure, which suggests that Mg
doping may improve the lattice stability.
PMID- 27886292
TI - Quantum tunneling during interstellar surface-catalyzed formation of water: the
reaction H + H2O2 -> H2O + OH.
AB - The final step of the water formation network on interstellar grain surfaces
starting from the H + O2 route is the reaction between H and H2O2. This reaction
is known to have a high activation energy and therefore at low temperatures it
can only proceed via tunneling. To date, however, no rate constants are available
at temperatures below 200 K. In this work, we use instanton theory to compute
rate constants for the title reaction with and without isotopic substitutions
down to temperatures of 50 K. The calculations are based on density functional
theory, with additional benchmarks for the activation energy using unrestricted
single-reference and multireference coupled-cluster single-point energies. Gas
phase bimolecular rate constants are calculated and compared with available
experimental data not only for H + H2O2 -> H2O + OH, but also for H + H2O2 -> H2
+ HO2. We find a branching ratio where the title reaction is favored by at least
two orders of magnitude at 114 K. In the interstellar medium this reaction
predominantly occurs on water surfaces, which increases the probability that the
two reactants meet. To mimic this, one, two, or three spectator H2O molecules are
added to the system. Eley-Rideal bimolecular and Langmuir-Hinshelwood
unimolecular rate constants are presented here. The kinetic isotope effects for
the various cases are compared to experimental data as well as to expressions
commonly used in astrochemical models. Both the rectangular barrier and the
Eckart approximations lead to errors of about an order of magnitude. Finally,
fits of the rate constants are provided as input for astrochemical models.
PMID- 27886293
TI - Computational design of high efficiency nonplanar tri-s-triazine-based ambipolar
host materials for phosphorescent blue emitters.
AB - A series of nonplanar tri-s-triazine-based molecules were designed, and their
optical, electronic, and charge transport properties as ambipolar host materials
for blue electrophosphorescence emitters were explored by density functional
theory. The influence of the linkage between tri-s-triazine and carbazole,
diphenylamine and triphenylamine, as well as the influence of a series of
electron-donating and electron-withdrawing substituents on triplet energy, energy
level matching and charge transport of the designed molecules was discussed in
detail. Our results reveal that the molecules under investigation can serve as
host materials for blue electrophosphorescence emitters. We also predicted the
mobility of designed molecules with better performance in the P1[combining
macron] space group. Based on the investigated results, we proposed a rational
way for the design of host materials for OLEDs, and also expanded the application
field of tri-s-triazine.
PMID- 27886294
TI - The formation of H bubbles at small-angle tilt grain boundaries in W films.
AB - The accumulation of H at the small-angle tilt grain boundary (GB) in the W(001)
surface is investigated, on the basis of the first-principles calculations. By
exploring the solution and diffusion behaviors of H at the GB, we find that the
small-angle GB can capture the H atoms nearby, serving as a nucleation site of H
bubbles. With the increasing number of trapped H atoms, the GB expands gradually,
and the GBs can be unripped with an areal density of H up to 5.0 * 1015 H atoms
per cm2, leading to the formation of H bubbles. Moreover, H2 molecules are
observed, when the areal density of H atoms in GB is over 6.6 * 1015 atoms per
cm2. According to our calculations, we propose a possible formation mechanism of
H bubbles observed in the experiment, which is valuable for improving the service
performance of W as a plasma-facing material in nuclear fusion reactors.
PMID- 27886295
TI - Proposing the prospects of Ti3CN transition metal carbides (MXenes) as anodes of
Li-ion batteries: a DFT study.
AB - A two-dimensional (2D) transition metal carbonitride (MXenes) with a formula of
Ti3CN as an anode of a lithium-ion battery (LIB) has been proposed in this work.
The mechanism of Li+ adsorption and diffusion on the surface of Ti3CN and Ti3CNT2
(T = F, O, and OH functional groups) was studied to estimate the potential
application of Ti3CN as an anode material by density functional theory (DFT) and
DFT+U computations. For Ti3CNT2 (T = O, F, OH), the value of Li diffusion
barriers is from 0.2 eV to 0.3 eV. On the basis of our results, we can know that
Li prefers adsorption on the nitrogen side for Ti3CN in the absence of functional
groups and tends to adsorb on the carbon side for Ti3CNT2 (T = O, F, OH). This
phenomenon can be explained by Bader charge population analysis. For Ti3CNF2, Li
F formed a six-membered ring with increasing Li concentration, thereby making the
system more stable. This work contributes to offering perspectives for
carbonitride systems (MXenes) as LIB anodes.
PMID- 27886296
TI - Multiplex miRNA assay using lanthanide-tagged probes and the duplex-specific
nuclease amplification strategy.
AB - A multiplex ICP-MS-based miRNA assay with duplex-specific nuclease amplification
using bifunctional oligonucleotide probes was proposed. A multiplex assay of miR
141, let-7d, and miR-21 could be achieved simultaneously.
PMID- 27886297
TI - High-pressure dielectric behavior of BaMoO4: a combined experimental and
theoretical study.
AB - In situ impedance measurements were employed to investigate the electrical
transport properties of BaMoO4 under pressures of up to 20.0 GPa. Two anomalous
changes in the electrical parameters were found, related to the pressure-induced
structural phase transitions. The dielectric performance of BaMoO4 was improved
by pressure. The dispersion in the real part of dielectric constant versus
frequency weakens with increasing pressure. Based on the first-principles
calculations, the increases of resistance with increasing pressure in the
tetragonal and monoclinic phases were mainly caused by the increasing defect
levels. The decrease of the relative permittivity in the tetragonal phase was
attributed to pressure-induced strengthening in electronic localization around Mo
atoms, which hindered the polarization of Mo-O electric dipoles.
PMID- 27886298
TI - A DFT study of the effect of SO4 groups on the properties of TiO2 nanoparticles.
AB - We present a study of the optical, electronic, and structural properties of TiO2
anatase-structured nanoparticles upon adsorption of SO4 groups, which are always
present on the surface of the particles during the sulfate manufacturing method.
Structural and electronic properties were studied using the density functional
theory method (DFT), and optical properties were obtained by time-dependent DFT.
It was found that SO4 groups alter both the geometric and electronic structure of
TiO2 nanoparticles and change the photoabsorption characteristics. In particular,
we find that eta2-O2 type O-O moieties are formed due to the adsorption of 3 and
4SO4 groups.
PMID- 27886300
TI - A three-dimensional graphene oxide supramolecular hydrogel for infrared light
responsive cascade release of two anticancer drugs.
AB - A three dimensional supramolecular hydrogel consisting of prodrug-modified
graphene oxide and alpha-cyclodextrin was developed. This hydrogel with a well
ordered interior microstructure integrated hydrophobic and hydrophilic anticancer
drugs into a single multifunctional platform, and underwent a gel-sol transition
leading to cascade release of two drugs in an on-demand fashion upon NIR light
irradiation.
PMID- 27886299
TI - DNA nanotechnology for nucleic acid analysis: multifunctional molecular DNA
machine for RNA detection.
AB - The Nobel prize in chemistry in 2016 was awarded for 'the design and synthesis of
molecular machines'. Here we designed and assembled a molecular machine for the
detection of specific RNA molecules. An association of several DNA strands, named
multifunctional DNA machine for RNA analysis (MDMR1), was designed to (i) unwind
RNA with the help of RNA-binding arms, (ii) selectively recognize a targeted RNA
fragment, (iii) attract a signal-producing substrate and (iv) amplify the
fluorescent signal by catalysis. MDMR1 enabled detection of 16S rRNA at
concentrations ~24 times lower than that by a traditional deoxyribozyme probe.
PMID- 27886301
TI - Palladium-mediated in situ synthesis of an anticancer agent.
AB - As a novel prodrug activation strategy Pd(0) nanoparticles, entrapped within a
modular polymeric support, were used in cell culture, to synthesise the
anticancer agent PP-121 from two non-toxic precursors, thereby inducing cell
death in the first example of in situ mediated drug synthesis.
PMID- 27886302
TI - New approach for understanding experimental NMR relaxivity properties of magnetic
nanoparticles: focus on cobalt ferrite.
AB - Relaxivities r1 and r2 of cobalt ferrite magnetic nanoparticles (MNPs) have been
investigated in the aim of improving the models of NMR relaxation induced by
magnetic nanoparticles. On one hand a large set of relaxivity data has been
collected for cobalt ferrite MNP dispersions. On the other hand the relaxivity
has been calculated for dispersions of cobalt ferrite MNPs with size ranging from
5 to 13 nm, without using any fitting procedure. The model is based on the
magnetic dipolar interaction between the magnetic moments of the MNPs and the 1H
nuclei. It takes into account both the longitudinal and transversal contributions
of the magnetic moments of MNPs leading to three contributions in the relaxation
equations. The comparison of the experimental and theoretical data shows a good
agreement of the NMR profiles as well as the temperature dependence.
PMID- 27886303
TI - Multiple correlations between spin crossover and fluorescence in a dinuclear
compound.
AB - Multiple correlations between spin crossover and fluorescence are established in
a dinuclear compound with fluorescence from d(Fe) + pi(SCN) to pi*(ligand) charge
transfer and correlation originated from ligand to Fe(ii) energy transfer.
PMID- 27886304
TI - How far away are iron carbide clusters from the bulk?
AB - Combining the basin hopping structure searching algorithm and density functional
theory, the iron carbide clusters, FexCy (x <= 8 and y <= 8), and clusters with
various stoichiometries (Fe2nCn, Fe3nCn, FenC2n, FenC3n and FenC4n (n = 1-7),
Fe5nC2n, and Fe4nCn (n = 1-5)) are predicted. The stable structures of iron rich
carbide clusters are composed of C-C dimers or single C atoms on the surface of
the clusters, which are remarkably different from their corresponding bulk
structures, where the carbon atoms are atomically distributed in the iron matrix.
The most stable carbon rich clusters are highly diverse in topology (bowl,
basket, plane, shoe, necklace, etc.) with long carbon chains. The Bader charge
analysis shows that the size effect on iron carbide clusters is an electronic
tuning. Large carbon-rich clusters appear even under low carbon chemical
potentials, whereas small iron-rich clusters are only energetically stable in
high carbon chemical potentials, which indicates that changing the carbon
chemical potential can tune the morphology (size and stoichiometry) of the iron
carbide clusters. These results may help us understand the catalytic activity of
iron and iron carbides in reactions such as the Fischer-Tropsch synthesis and the
carbon nanotube formation process.
PMID- 27886305
TI - Dipolar dissociation dynamics in electron collisions with carbon monoxide.
AB - Dipolar dissociation processes in the electron collisions with carbon monoxide
have been studied using time of flight (TOF) mass spectroscopy in combination
with the highly differential velocity slice imaging (VSI) technique. By probing
ion-pair states, both positive and/or negative ions may be detected. The ion
yield curve of negative ions provides the threshold energy for the ion-pair
production. On the other hand, the kinetic energy distributions and angular
distributions of the fragment anion provide detailed dynamics of the dipolar
dissociation process. Two ion-pair states have been identified based on angular
distribution measurements using the VSI technique.
PMID- 27886306
TI - Experimental evidence for quantum cutting co-operative energy transfer process in
Pr3+/Yb3+ ions co-doped fluorotellurite glass: dispute over energy transfer
mechanism.
AB - Pr3+/Yb3+ doped materials have been widely reported as quantum-cutting materials
in recent times. However, the question of the energy transfer mechanism in the
Pr3+/Yb3+ pair in light of the quantum-cutting phenomenon still remains
unanswered. In view of that, we explored a series of Pr3+/Yb3+ co-doped low
phonon fluorotellurite glass systems to estimate the probability of different
energy transfer mechanisms. Indeed, a novel and simple way to predict the
probability of the proper energy transfer mechanism in the Pr3+/Yb3+ pair is
possible by considering the donor Pr3+ ion emission intensities and the relative
ratio dependence in the presence of acceptor Yb3+ ions. Moreover, the observed
results are very much in accordance with other estimated results that support the
quantum-cutting phenomena in Pr3+/Yb3+ pairs, such as sub-linear power dependence
of Yb3+ NIR emission upon visible ~450 nm laser excitation, integrated area of
the donor Pr3+ ion's visible excitation spectrum recorded by monitoring the
acceptor Yb3+ ion's NIR emission, and the experimentally obtained absolute
quantum yield values using an integrating sphere setup. Our results give a simple
way of estimating the probability of an energy transfer mechanism and the factors
to be considered, particularly for the Pr3+/Yb3+ pair.
PMID- 27886307
TI - A simple guiding principle for the temperature dependence of the solubility of
light gases in imidazolium-based ionic liquids derived from molecular
simulations.
AB - We have determined the temperature dependence of the solvation behavior of a
large collection of important light gases in imidazolium-based ionic liquids with
the help of extensive molecular dynamics simulations. The motivation of our study
is to unravel common features of the temperature dependent solvation under well
controlled conditions, and to provide a guidance for cases, where experimental
data from different sources disagree significantly. The solubility of molecular
hydrogen, oxygen, nitrogen, methane, krypton, argon, neon and carbon dioxide in
the imidazolium based ionic liquids of type 1-n-alkyl-3-methylimidazolium
bis(trifluoromethylsulfonyl)imide ([Cnmim][NTf2]) with varying alkyl side chain
lengths n = 2, 4, 6, 8 is computed for a temperature range between 300 K and 500
K at 1 bar. By applying Widom's particle insertion technique and Bennet's
overlapping distribution method, we are able to determine the temperature
dependent solvation free energies of those selected light gases in simulated
imidazolium based ionic liquids with high statistical accuracy. Our simulations
demonstrate that the magnitude of the solvation free energy of a gas molecule at
a chosen reference temperature and that of its temperature-derivatives are
intimately related to one another. We conclude that this "universal" behavior is
rooted in a solvation entropy-enthalpy compensation effect, which seems to be a
defining feature of the solvation of small molecules in ionic liquids. The
observations lead to simple analytical relations, determining the temperature
dependence of the solubility data based on the absolute solubility at a certain
reference temperature. By comparing our results with available experimental data
from many sources, we can show that our approach is particularly helpful for
providing reliable estimates for the solvation behavior of very light gases, such
as hydrogen, where conflicting experimental data exist.
PMID- 27886308
TI - Impact of the molecular structure and adsorption mode of D-pi-A dye sensitizers
with a pyridyl group in dye-sensitized solar cells on the adsorption equilibrium
constant for dye-adsorption on TiO2 surface.
AB - D-pi-A dyes NI-4 bearing a pyridyl group, YNI-1 bearing two pyridyl groups and
YNI-2 bearing two thienylpyridyl groups as the anchoring group on the TiO2
surface have been developed as dye sensitizers for dye-sensitized solar cells
(DSSCs), where NI-4 and YNI-2 can adsorb onto the TiO2 electrode through the
formation of the coordinate bond between the pyridyl group of the dye and the
Lewis acid site (exposed Tin+ cations) on the TiO2 surface, but YNI-1 is
predominantly adsorbed on the TiO2 electrode through the formation of the
hydrogen bond between the pyridyl group of the dye and the Bronsted acid sites
(surface-bound hydroxyl groups, Ti-OH) on the TiO2 surface. The difference in the
dye-adsorption mode among the three dyes on the TiO2 surface has been
investigated from the adsorption equilibrium constant (Kad) based on the Langmuir
adsorption isotherms. It was found that the Kad values of YNI-1 and YNI-2 are
higher than that of NI-4, and more interestingly, the Kad value of YNI-2 is
higher than that of YNI-1. This work demonstrates that that for the D-pi-A dye
sensitizers with the pyridyl group as the anchoring group to the TiO2 surface the
number of pyridyl groups and the dye-adsorption mode on the TiO2 electrode as
well as the molecular structure of the dye sensitizer affect the Kad value for
the adsorption of the dye to the TiO2 electrode, that is, resulting in a
difference in the Kad value among the D-pi-A dye sensitizers NI-4, YNI-1 and YNI
2.
PMID- 27886309
TI - Expeditious trifluoromethylthiolation and trifluoromethylselenolation of
alkynyl(phenyl)iodoniums by [XCF3]- (X = S, Se) anions.
AB - Trifluoromethylthiolation and trifluoromethylselenolation of
alkynyl(phenyl)iodonium tosylates by [XCF3]- (X = S, Se) ions was accomplished in
5-10 minutes at room temperature under a N2 atmosphere and provided a variety of
alkynyl trifluoromethyl sulfides and selenides in good yields. Compared to the
known methods, this approach has several advantages such as short reaction times
and metal- and additive-free conditions without needing excess [Me4N][XCF3]
reagents. Moreover, the less efficient reactions of
(phenylethynyl)benziodoxol(on)e with [Me4N][XCF3] under the standard conditions
demonstrate that acyclic alkynyl(phenyl)iodoniums are more powerful alkynyl
sources in the conversion. This protocol allows for a fast and convenient access
to numerous alkynyl trifluoromethyl sulfides and selenides.
PMID- 27886310
TI - Positive and negative linear compressibility and electronic properties of
energetic and porous hybrid crystals with nitrate anions.
AB - The structural and electronic properties of energetic nitrates with organic
cations (uronium and 3,3'-diamino-4,4'-azo-1,2,4-triazole) and a metal-organic
framework crystal [Ag(ethylenediamine)]NO3 have been investigated using density
functional theory including van der Waals interactions. It is found that the
linear compressibility of urea nitrate is positive and anisotropic (a ~ b < c),
whereas 3,3'-diamino-4,4'-azo-1,2,4-triazole nitrate and [Ag(ethylenediamine)]NO3
show both positive and negative linear compressibility along the b, c and a-axes,
respectively. Negative linear compressibility is correlated with the expansion of
hydrogen bonds. The band gaps of considered crystals are different, which is
related to the difference in the nature (anionic, cationic or mixed) of upper
valence and lower unoccupied electronic states. The band gap of 3,3'-diamino-4,4'
azo-1,2,4-triazole nitrate is the smallest and nonlinearly decreases with
pressure.
PMID- 27886311
TI - Flexible synthesis of cationic peptide-porphyrin derivatives for light-triggered
drug delivery and photodynamic therapy.
AB - Efficient syntheses of cell-penetrating peptide-porphyrin conjugates are
described using a variety of bioconjugation chemistries. This provides a flexible
means to convert essentially hydrophobic tetrapyrolle photosensitisers into
amphiphilic derivatives which are well-suited for use in light-triggered drug
delivery by photochemical internalisation (PCI) and targeted photodynamic therapy
(PDT).
PMID- 27886313
TI - Insights into enhanced visible-light photocatalytic activity of C60 modified g
C3N4 hybrids: the role of nitrogen.
AB - Recent experiments have shown that the photocatalytic activity of g-C3N4 can be
greatly enhanced by C60 modification, however, a fundamental understanding of its
mechanistic operation is still lacking. Using first-principles calculations, the
interfacial effects of C60/g-C3N4 nanocomposites on the electronic properties,
charge transfer and optical response have been explored in detail. For different
stacking patterns, the two constituents are always linked by van der Waals (vdW)
forces without any exception, and form type-II heterojunctions in most cases. The
valence band maximum and conduction band minimum of these heterostructures are
dominated by the unsaturated nitrogen (N2) atoms and C60 molecule, respectively,
which strongly interact with each other, resulting in strong charge transfer
between the two involved constituents and an obvious bending of the g-C3N4
sheets. The unsaturated N2 atoms included in the interfaces have a significant
influence on promoting the photocatalytic performance, while the existence of
saturated nitrogen (N1 and N3) atoms lying in the interfaces will weaken the
interfacial interactions between C60 molecules and the g-C3N4 monolayers.
Moreover, the sensitive optical response and satisfactory type-II band alignment
clearly show that the C60/g-C3N4 heterostructure is an outstanding photocatalyst
for hydrogen production. We proposed a deep insight (the role of nitrogen) into
understanding the improved photocatalytic ability of the C60/g-C3N4
nanocomposites, which may contribute to the rational design of both C60/g-C3N4
and g-C3N4-based nanocomposite photocatalysts.
PMID- 27886314
TI - Contrasting tunability of quinizarin fluorescence with p-sulfonatocalix[4,6]arene
hosts.
AB - This study reveals the intriguing modulations in the photophysics of quinizarin
(QZ) on its interaction with p-sulfonatocalix[4]arene (SCX4) and p
sulfonatocalix[6]arene (SCX6) hosts. While the SCX6-QZ system shows the usual
reduction in both fluorescence intensity and lifetime, the SCX4-QZ system shows a
contrasting effect of enhancement in the fluorescence intensity and reduction in
the fluorescence lifetime. Such a contrasting effect is not only unusual but also
observed for the first time for any host-guest system. The observed results are
justifiably correlated with the changes in both radiative and nonradiative decay
rate constants for the SCX4-QZ system.
PMID- 27886312
TI - Standardisation of a European measurement method for the determination of anions
and cations in PM2.5: results of field trial campaign and determination of
measurement uncertainty.
AB - European Committee for Standardisation (CEN) Technical Committee 264 'Air
Quality' has recently produced a standard method for the measurements of anions
and cations in PM2.5 within its Working Group 34 in response to the requirements
of European Directive 2008/50/EC. It is expected that this method will be used in
future by all Member States making measurements of the ionic content of PM2.5.
This paper details the results of a field measurement campaign and the
statistical analysis performed to validate this method, assess its uncertainty
and define its working range to provide clarity and confidence in the
underpinning science for future users of the method. The statistical analysis
showed that, except for the lowest range of concentrations, the expanded combined
uncertainty is expected to be below 30% at the 95% confidence interval for all
ions except Cl-. However, if the analysis is carried out on the lower
concentrations found at rural sites the uncertainty can be in excess of 50% for
Cl-, Na+, K+, Mg2+ and Ca2+. An estimation of the detection limit for all ions
was also calculated and found to be 0.03 MUg m-3 or below.
PMID- 27886315
TI - Cellular membrane-anchored fluorescent probe with aggregation-induced emission
characteristics for selective detection of Cu2+ ions.
AB - The exploration of advanced fluorescent probes that can detect divalent copper
(Cu2+) in aqueous environments and even in live organisms is particularly
valuable for understanding the occurrence and development of Cu2+-related
diseases. In this work, we report the design and synthesis of an aggregation
induced emission luminogen (AIEgen)-based probe (TPE-Py-EEGTIGYG) by integrating
an AIEgen, TPE-Py, with a peptide, EEGTIGYG, which can selectively detect Cu2+ in
both aqueous solution and live cells. Peptide EEGTIGYG has dual functionality in
the probe design, namely improving water solubility and providing specific cell
membrane-binding ability. TPE-Py-EEGTIGYG can self-assemble into nanoaggregates
at high concentration in aqueous solution (e.g., 25 MUM), which possess large
fluorescence output due to the restriction of intramolecular rotation of the
phenyl rings on TPE-Py. The fluorescence of the TPE-Py-EEGTIGYG nanoaggregates
can be significantly quenched by Cu2+ but not by other metal ions, achieving the
selective detection of Cu2+ in aqueous media. Furthermore, TPE-Py-EEGTIGYG can
exist as a molecular species and is very weakly fluorescent in dilute aqueous
solution (e.g., 5 MUM), but can however largely switch on its fluorescence upon
specifically anchoring onto the cell membrane. The emissive probes on the cell
membrane can be used for the detection of Cu2+ ions that move in and out of cells
with a fluorescence "turn-off" mode.
PMID- 27886316
TI - The mechanism of large second harmonic generation enhancement activated by Zn2+
substitution.
AB - Substitution is an effective method to create new nonlinear optical (NLO)
materials with an enhanced second harmonic generation (SHG) response. However,
the large SHG enhancement caused by the substitution of Mg2+ for Zn2+ in an
isostructural system is rare and has not been investigated yet. Here we elucidate
the contribution of Zn2+ with d10 electronic configuration to the SHG response
based on two isostructural silicates Sr2MSi2O7 (M = Zn and Mg). The powder SHG
measurements show that the SHG response of Sr2ZnSi2O7 is approximately 35 times
that of alpha-SiO2 and 7 times higher than its isostructural compound Sr2MgSi2O7.
The calculated band structures reveal that the difference in band gaps is minute
and the sp hybridization between 4s-orbitals of Zn and 2p-orbitals of O is
stronger than that between 3s-orbitals of Mg and 2p-orbitals of O. The
significantly enhanced SHG response of Sr2ZnSi2O7 can be interpreted by the
enhanced inter-band dipole resulting from strong sp hybridization between Zn and
O. This provides a route to design new materials with enhanced NLO properties in
the isostructural system.
PMID- 27886317
TI - Nanoscale thermal cloaking in graphene via chemical functionalization.
AB - Macro-thermal cloaking is typically produced by coordinate transformations, but
this method is unsuitable for nanostructures. We designed a graphene-based
nanoscale thermal cloak using a novel mechanism of phonon localization. The
nanocloak in graphene was produced via the chemical functionalization of
hydrogen, methyl and hydroxyl using molecular dynamics simulations. The cloaking
performance was quantified by the ratio of thermal cloaking (RTC). We found that
the RTC correlated with the functionalization fraction and it has a local maximum
at a certain width, since the heat flux reduction in the exterior and the
protected region reversed if the width was excessive. The atomic mass of the
functional group also correlated with the RTC. Our simulations determined that
phonon localization occurred due to sp2-to-sp3 bonding transitions, which caused
the heat flux to avoid the transition region. Finally, the extent of phonon
localization was related to the cloaking performance.
PMID- 27886318
TI - Site-specific covalent capture of human O6-alkylguanine-DNA-alkyltransferase
using single-stranded intrastrand cross-linked DNA.
AB - A methodology is reported to conjugate human O6-alkylguanine-DNA-alkyltransferase
(hAGT) to the 3'-end of DNA in excellent yields with short reaction times by
using intrastrand cross-linked (IaCL) DNA probes. This strategy exploited the
substrate specificity of hAGT to generate the desired DNA-protein covalent
complex. IaCL DNA linking two thymidine residues, or linking a thymidine residue
to a 2'-deoxyguanosine residue (either in a 5'->3' or 3'->5' fashion), lacking a
phosphodiester linkage at the cross-linked site, were prepared using a
phosphoramidite strategy followed by solid-phase synthesis. All duplexes
containing the model IaCL displayed a reduction in thermal stability relative to
unmodified control duplexes. The O4-thymidine-alkylene-O4-thymidine and the (5'
>3') O6-2'-deoxyguanosine-alkylene-O4-thymidine IaCL DNA adducts were not
repaired by any of the AGTs evaluated (human AGT and Escherichia coli homologues,
OGT and Ada-C). The (5'->3') O4-thymidine-alkylene-O6-2'-deoxyguanosine IaCL DNA
containing a butylene or heptylene tethers were efficiently repaired by the human
variant, whereas Ada-C was capable of modestly repairing the heptylene IaCL
adduct. The IaCL strategy has expanded the toolbox for hAGT conjugation to DNA
strands, without requiring the presence of a complementary DNA sequence. Finally,
hAGT was functionalized with a fluorescently-labelled DNA sequence to demonstrate
the applicability of this conjugation method.
PMID- 27886319
TI - White polymer light emitting diodes based on PVK: the effect of the electron
injection barrier on transport properties, electroluminescence and controlling
the electroplex formation.
AB - The effects of the electron injection barrier on the charge transport, brightness
and the electroluminescence (EL) properties of polymer light emitting diodes
(PLEDs) with poly(9-vinylcarbazole) (PVK) as an emissive layer have been studied.
By using Al and LiF/Al as the cathode in single layer PLEDs and diverse electron
transporting layers (ETLs) such as 2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline
(BCP), 4,7-diphenyl-1,10-phenanthroline (BPhen) and 2,2',2''-(1,3,5-benzinetriyl)
tris(1-phenyl-1-H-benzimidazole) (TPBi) in the case of multilayer PLEDs, the
charge transport, brightness, color tuning and the EL properties of the devices
were drastically modified. The energy barrier for electrons affects the electron
current flowing through the device, thereby affecting the operating voltage and
the brightness of the PLEDs. The PLEDs with TPBi as the ETL possess the lowest
injection barrier and give the maximum brightness of 426.24 cd m-2. The electron
injection barrier is also found to play a major role in defining the EL spectra
of the PLEDs. A larger injection barrier gives rise to electroplex formation in
the EML-ETL interface of the PLEDs and an additional peak at ~605 nm was observed
in the EL spectrum. As a result, a near white emission with CIE coordinates of
(0.30, 0.30) and (0.25, 0.23) at 20 V was obtained from devices with BCP and
BPhen as ETLs. Furthermore, PVK doped with 2-phenyl-5-(4-biphenylyl)-1,3,4
oxadiazole (PBD) at 10, 20 and 30 wt% ratios modified the electron transport
nature of PVK and had a remarkable influence on the aforesaid properties,
especially on the electroplex formation.
PMID- 27886320
TI - A palladium and gold catalytic system enables direct access to O- and S-linked
non-natural glyco-conjugates.
AB - Here we report a straightforward cross-coupling method for the synthesis of non
natural glycoamino acids from alkyne-bearing monosaccharides and p
iodophenylalanine. Pd/Au-catalyzed Sonogashira coupling is tolerant to both O-
and S-glycosides without any epimerization. In addition, no racemization of the
amino acid was observed allowing direct access to the homogeneous glyco-conjugate
in a single step. Notably, this Pd/Au catalytic system presents enhanced
catalytic activity than conventional Pd/Cu and Pd-only platforms, and it further
enables the convergent synthesis of glycodipeptides.
PMID- 27886321
TI - Ion pairing controls rheological properties of "processionary" polyelectrolyte
hydrogels.
AB - We demonstrated recently that polyelectrolytes with cationic moieties along the
chain and a single anionic head are able to form physical hydrogels due to the
reversible nature of the head-to-body ionic bond. Here we generate a variety of
such polyelectrolytes with various cationic moieties and counterion combinations
starting from a common polymeric platform. We show that the rheological
properties (shear modulus, critical strain) of the final hydrogels can be
modulated over three orders of magnitude depending on the cation/anion pair. Our
data fit remarkably well within a scaling model involving a supramolecular head
to-tail single file between cross-links, akin to the behaviour of pine
processionary caterpillar. This model allows the quantitative measure of the
amount of counterion condensation from standard rheology procedure.
PMID- 27886322
TI - The electronic structure and spin states of 2D graphene/VX2 (X = S, Se)
heterostructures.
AB - The structural, magnetic and electronic properties of 2D VX2 (X = S, Se)
monolayers and graphene/VX2 heterostructures were studied using a DFT+U approach.
It was found that the stability of the 1T phases of VX2 monolayers is linked to
strong electron correlation effects. The study of vertical junctions comprising
of graphene and VX2 monolayers demonstrated that interlayer interactions lead to
the formation of strong spin polarization of both graphene and VX2 fragments
while preserving the linear dispersion of graphene-originated bands. It was found
that the insertion of Mo atoms between the layers leads to n-doping of graphene
with a selective transformation of graphene bands keeping the spin-down Dirac
cone intact.
PMID- 27886323
TI - Sulfur doped reduced graphene oxides with enhanced catalytic activity for oxygen
reduction via molten salt redox-sulfidation.
AB - A spontaneous redox reaction of reduced graphene oxide (rGO) in molten Li2CO3
Na2CO3-K2CO3 with a small amount of Li2SO4 at 550 degrees C was applied to
synthesize sulfur and sulfur-cobalt doped rGOs (S-rGO/S-Co-rGO). The obtained S
rGOs and S-Co-rGOs show enhanced catalytic activity for the oxygen reduction
reaction (ORR) in alkaline aqueous solutions. The onset reduction potential and
the half-wave potential of S-Co-rGO are 60 and 40 mV more positive than those of
the original rGO, respectively. The reduction current density of S-Co-rGO
increases by nearly five times. This study provides a green and continuous molten
salt doping approach for the fabrication of heteroatom-doped graphene with
excellent catalytic activity for the ORR.
PMID- 27886324
TI - Shape-controlled syntheses of metal oxide nanoparticles by the introduction of
rare-earth metals.
AB - Here, we report the size- and shape-controlled synthesis of metal oxide
nanoparticles through the introduction of rare-earth metals. The addition of
gadolinium oleate in the synthesis of iron oxide nanoparticles induced sphere-to
cube shape changes of nanoparticles and generated iron oxide nanocubes coated
with gadolinium. Based on experimental investigations and density functional
theory (DFT) calculations, we attribute the shape change to the facet-selective
binding of undecomposed gadolinium oleates. While many previous studies on the
shape-controlled syntheses of nanoparticles rely on the stabilization of specific
crystal facets by anionic surfactants or their decomposition products, this study
shows that the interaction between growing transition metal oxide nanoparticles
and rare-earth metal complexes can be used as a robust new mechanism for shape
controlled syntheses. Indeed, we demonstrated that this approach was applicable
to other transition metal oxide nanoparticles (i.e., manganese oxide and
manganese ferrite) and rare earth metals (i.e., gadolinium, europium, and
cerium). This study also demonstrates that the nature of metal-ligand bonding can
play an important role in the shape control of nanoparticles.
PMID- 27886325
TI - The intrinsic strength of the halogen bond: electrostatic and covalent
contributions described by coupled cluster theory.
AB - 36 halogen-bonded complexes YXARm (X: F, Cl, Br; Y: donor group; ARm acceptor
group) have been investigated at the CCSD(T)/aug-cc-pVTZ level of theory. Binding
energies, geometries, NBO charges, charge transfer, dipole moments, electrostatic
potential, electron and energy density distributions, difference density
distributions, vibrational frequencies, local stretching and bending force
constants, and relative bond strength orders n have been calculated and used to
order the halogen bonds according to their intrinsic strength. Halogen bonding is
found to arise from electrostatic and strong covalent contributions. It can be
strengthened by H-bonding or lone pair delocalization. The covalent character of
a halogen bond increases in the way 3c-4e (three-center-four-electron) bonding
becomes possible. One can characterize halogen bonds by their percentage of 3c-4e
bonding. FCl-phosphine complexes can form relatively strong halogen bonds
provided electronegative substituents increase the covalent contributions in form
of 3c-4e halogen bonding. Binding energies between 1 and 45 kcal mol-1 are
calculated, which reflects the large variety in halogen bonding.
PMID- 27886326
TI - Molecular dynamics simulation of amino acid ionic liquids near a graphene
electrode: effects of alkyl side-chain length.
AB - Electric double layer (EDL) supercapacitors, using ionic liquid electrolytes,
have been receiving a great deal of attention in response to the growing demand
for energy storage systems. In the present study, the nanoscopic structure of
amino acid ionic liquids (AAILs) as biodegradable electrolytes near a neutral
graphene surface was studied by molecular dynamics (MD) simulation. In order to
explore the influence of the anion type and structure, the effect of the alkyl
side-chain length of amino acids on the EDL was investigated. The results for the
AAILs, composed of 1-ethyl-3-methylimidazolium ([EMIM]) cations near alanine
([ALA]) and isoleucine ([ILE]) anions, were compared to a conventional
electrolyte, [EMIM][PF6]. A lower mobility of AAIL compared to [EMIM][PF6], with
diffusions as low as 10-11 m2 s-1, was observed. The structural results
demonstrated a layered structure near the surface and most of the adsorbed
imidazolium cation rings lay flat on the graphene surface. Both MD and quantum
computations were performed to shed light on the charge behavior of AAIL
electrolytes. As the current results demonstrate, an increase in the anion side
chain length leads to a decrease in both the number of adsorbed ions on the
surface and the thickness of the first adsorbed layer. More impressively, it was
observed that a low charge concentration in the EDL of AAILs is due to more side
side interactions. This remarkable feature could introduce AAILs as more
efficient electrolyte materials than conventional [EMIM][PF6].
PMID- 27886327
TI - In situ TEM observation on the interface-type resistive switching by
electrochemical redox reactions at a TiN/PCMO interface.
AB - The interface-type resistive switching devices exhibiting bipolar and multi-level
resistive switching have been considered as the key component for neuromorphic
device applications. To directly observe the microscopic details of underlying
electrochemical redox reactions occuring at a metal/oxide interface, we
implemented in situ resistive switching of TiN/Pr0.7Ca0.3MnO3 (PCMO)/Pt junction
devices in a transmission electron microscope (TEM). The in situ TEM observations
directly show that an intermediate reaction layer (TiOxNy), growing and shrinking
in the thickness range of a few nanometers at the TiN/PCMO interface in response
to the applied voltage, mainly determines the device resistance by limiting the
transport of charge carriers via the Poole-Frenkel conduction mechanism. A
detailed analysis of in situ TEM observations demonstrates that electrochemical
redox reactions at the TiN/PCMO interface are facilitated by the electric field
driven drift of oxygen as well as Ti ions with a much stronger influence of the
oxygen ions. As such, the reaction kinetics are governed by the electric field
acting across the TiOxNy reaction layer. This layer defines the critical field
for the onset of switching, which is measured to be of the order of 106 V cm-1, a
typical value at which the ionic drift velocity starts increasing exponentially
with the field according to the nonlinear ionic drift model. The present results
indicate that understanding the nature of the electric field driven drift of ions
in a nanoscale solid electrolyte is a key to the precise control of the resistive
switching of metal/insulator/metal junction devices via voltage stimulations.
PMID- 27886328
TI - Fluorinated alcohol-mediated [4 + 3] cycloaddition reaction of indolyl alcohols
with cyclopentadiene.
AB - This paper describes an efficient [4 + 3] cycloaddition reaction of 3
indolylmethanols with cyclopentadiene in hexafluoroisopropanol (HFIP), which is
catalyst-free and inexpensive, and offers mild reaction conditions, wide
substrate scope and convenient workup. This methodology provides the first
catalyst- and additive-free [4 + 3] cycloaddition reactions of indolyl alcohols,
offering a green and efficient method for the synthesis of cyclohepta[b]indole
derivatives.
PMID- 27886329
TI - Interleukin-8 -251A/T polymorphism and periodontitis susceptibility: a meta
analysis.
AB - The -251A/T polymorphism in the anti-inflammatory cytokine interleukin-8 (IL-8)
gene has been implicated in susceptibility to periodontitis; however, this
correlation has not been elucidated. In this meta-analysis, we investigated the
association between the IL-8 -251A/T polymorphism and the risk of periodontitis.
All eligible case-control studies published until August 2014 were identified and
extracted from PubMed, Web of Science, EMBASE, China National Knowledge Internet,
and WanFang databases. The strength of this association was accessed by pooled
odds ratios (ORs) with 95% confidence intervals (CIs), using either a fixed- or
random-effect model. Nine case-control studies, including 1811 cases and 2043
controls, were identified. Overall, no significant associations were found
between the IL-8 -251A/T polymorphism and the risk of periodontitis. The results
of the analysis of periodontitis subgroup revealed similarities between chronic
periodontitis and aggressive periodontitis. An additional analysis based on
ethnicity revealed an association between the IL-8 -251A/T polymorphism and
periodontitis among Asians (dominant model, OR = 1.784, 95%CI = 1.130-2.817) and
a mixed population (AA vs TT, OR = 0.667, 95%CI = 0.471-0.974). The results of
this meta-analysis suggest that the IL-8 -251A/T polymorphism may increase the
risk of periodontitis in Asian and mixed populations. However, larger and well
designed studies are warranted to validate our findings.
PMID- 27886330
TI - Genetic gains from selection for fiber traits in Gossypium hirsutum L.
AB - Brazil is among the five largest producers of cotton in the world, cultivating
the species Gossypium hirsutum L. r. latifolium Hutch. The cultivars should have
good fiber quality as well as yield. Genetic improvement of fiber traits requires
the study of the genetic structure of the populations under improvement, leading
to the identification of promising parent plants. To this end, it is important to
acquire some information, such as estimates of genetic variance components and
heritability coefficients, which will support the appropriate choice of the
breeding strategy to be employed as well as enable the estimation of gains from
selection. This study aimed to evaluate some agronomic characteristics, such as
fiber quality and yield, estimating genetic parameters for the purpose of
predicting earnings. Twelve cultivars of cotton, including four male progenitors
(CNPA 01-42, BRS Verde, Glandless, and Okra leaf) and eight female progenitors
(Delta opal, CNPA 7H, Aroeira, Antares, Sucupira, Facual, Precoce 3, and CNPA
8H), were used in performing crosses according to design I, proposed by Comstock
and Robinson (1948). The experimental design was a randomized block with four
replications. We observed genetic variability among all traits as well as higher
efficiency of selection for the gains related to traits. Our results showed that
the combined selection presented the highest genetic gains for all traits. For
fiber length, the female/male selection and the combined selection resulted in
the highest genetic gain.
PMID- 27886331
TI - Effect of fragmentation on the natural genetic diversity of Theobroma speciosum
Willd. ex Spreng. populations.
AB - Forest fragmentation reduces the effective size of natural populations, isolates
individuals in the landscape, and, consequently, changes species' mating systems
by increasing the degree of relatedness between individuals and inbreeding.
Investigating the impact of habitat degradation on forest fragments helps to
assess the genetic and ecological consequences of these changes, and allows the
development of effective and sustainable conservation strategies to manage the
genetic resources of species living in degraded landscapes. The aim of the
present study was to assess the genetic diversity of fragmented Theobroma
speciosum populations using microsatellite markers. Three urban forest fragments
were selected in the municipality of Alta Floresta, Mato Grosso State, Brazil,
namely C/E park, J park, and Zoo Botanical park. Seventy-five individuals (25 in
each fragment) were sampled by collecting their leaves for genomic DNA
extraction. Polymerase chain reaction amplifications were performed using nine
polymorphic simple sequence repeat primers, which amplified 84 alleles. The mean
expected heterozygosity was 0.970, and it was always higher than the observed
heterozygosity. Analysis of molecular variance revealed that most variability
occurred within populations (64%) rather than between them (36%). The Structure
software and an unweighted pair group method with arithmetic mean dendrogram
revealed three distinct groups, showing that individuals were allocated to their
correct populations. Genotype number 3 from C/E park, number 45 from J park, and
number 51 from Zoo Botanical park could be used as stock plants in breeding
programs, because they were the most dissimilar within the populations studied.
The high genetic diversity levels detected in all three populations studied
emphasize the importance of protecting this species in its natural habitat.
PMID- 27886332
TI - Differential interactions between Curtobacterium flaccumfaciens pv.
flaccumfaciens and common bean.
AB - Bacterial wilt of common bean caused by Curtobacterium flaccumfaciens pv.
flaccumfaciens is an important disease in terms of economic importance. It
reduces grain yield by colonizing xylem vessels, subsequently impeding the
translocation of water and nutrients to the superior plant parts. The existence
of physiological races in C. flaccumfaciens pv. flaccumfaciens has not so far
been reported. The objective of the present investigation was to identify
physiological races, evaluate differential interaction, and select resistant
genotypes of common bean. Initially, 30 genotypes of common bean were inoculated
with eight isolates exhibiting different levels of aggressiveness, under
controlled greenhouse conditions. Disease was assessed 15 days after inoculation.
The existence of differential interactions between C. flaccumfaciens pv.
flaccumfaciens isolates and common bean genotypes were identified by utilizing
partial diallel analysis. The most aggressive isolates were BRM 14939 and BRM
14942 and the least aggressive isolates were BRM 14941 and BRM 14946. The
genotypes IPA 9, Ouro Branco, and Michelite were selected as more resistant among
the test isolates. The genotypes IAC Carioca Akyta, BRS Notavel, Perola, IAC
Carioca Arua, and Coquinho contributed more to the isolate x genotype interaction
according to the ecovalence method of estimation, and were, therefore, indicated
as differentials. Based on these results, it was possible to conclude that
physiological races of the pathogen exist, to select resistant genotypes, and to
propose a set of differentials.
PMID- 27886333
TI - Association between the polymorphisms in the ATP-binding cassette genes ABCB1 and
ABCC2 and the risk of drug-resistant epilepsy in a Chinese Han population.
AB - Epilepsy is a common disease of the nervous system; approximately 20-30% of all
patients with epilepsy are reported resistant to antiepileptic drugs. ABCB1 and
ABCC2 are members of ATP-binding cassette transporter (ABC) family that is
involved in the excretion of antiepileptic drugs. In this case-control study, we
have investigated the role of ABCB1 rs1045642 and rs2032582 and ABCC2 rs2273697
and rs717620 single nucleotide polymorphisms in antiepileptic drug-resistance in
patients with epilepsy. A total of 254 patients with epilepsy (104 drug-resistant
and 150 drug-responsive) were recruited from the People's Hospital of Wuhan
University between March 2013 and April 2014. The correlation between the
demographic, clinical, and genotypic characteristics of the patients and risk of
drug resistance was statistically analyzed. Patients with drug-resistant epilepsy
were more likely to present symptomatic epilepsy (chi2 = 22.29, P < 0.001)
compared to those with drug-responsive epilepsy. The TT genotype of the ABCB1
rs717620 polymorphism was associated with a higher risk of drug-resistant
epilepsy compared to the CC genotype [odds ratio (OR) = 2.97, 95% confidence
interval (CI) = 1.11-8.29]. The TT genotype of ABCB1 rs717620 was also related
with an increased risk of drug-resistant epilepsy (OR = 2.64, 95%CI = 1.03-7.13)
compared to the CC+CT genotype in the recessive model. Thus, our study suggests
that the ABCC2 rs717620 polymorphism is associated with resistance to
antiepileptic drugs in Chinese patients with epilepsy.
PMID- 27886334
TI - Microsatellite loci and genetic structure of artificial populations of Cotesia
flavipes (Hymenoptera, Braconidae).
AB - Cotesia flavipes (Cameron) is a parasitoid wasp used in the biological control of
the sugarcane borer (Diatraea saccharalis) (Fabr., 1794). Studies on the genetic
diversity of C. flavipes are hampered by the lack of highly polymorphic molecular
markers. In this report, a set of 11 microsatellite loci were developed from an
enriched library of C. flavipes. Four microsatellite loci were polymorphic and
were screened in 212 C. flavipes individuals (183 females and 29 males) that were
randomly sampled from seven rearing laboratory populations. The number of alleles
ranged from two to three. The average inbreeding coefficient (FIS) among all
laboratory populations was 0.120, indicating an excess of homozygotes. The
average genetic diversity within the laboratory populations was 0.292, which is
lower than the values reported for wild Cotesia spp populations. Genetic
diversity was most pronounced within laboratory populations (70 to 90%). Most of
the observed alleles were fixed or close to fixation. This low overall genetic
diversity may have originated from a founder effect, i.e., the contribution of a
small number of individuals (genes and alleles) to the formation of these
populations. To our knowledge, this study is the first to provide microsatellite
loci and an analysis of the genetic structure of C. flavipes. Our results suggest
that new introductions of C. flavipes may increase genetic diversity and improve
the efficiency of the biological control of D. saccharalis. In addition,
population structure data could be used to estimate the minimum number of wasps
to be imported.
PMID- 27886335
TI - microRNA 421 induces apoptosis of c-33a cervical cancer cells via down-regulation
of Bcl-xL.
AB - Cervical cancer is a life-threatening condition. MicroRNAs (miRNAs) can promote
or inhibit cell death and proliferation. The present study investigated the
effect of miRNA 421 on the growth and apoptosis of cervical cancer cells. miRNA
421 and control miRNA were synthesized and transfected into c-33a cervical cancer
cells. A thiazolyl blue tetrazolium bromide assay, caspase-3 activity, and flow
cytometry were used to study the effects of miRNA 421 on c-33a cell growth, and
apoptosis. Small interfering RNA targeting Bcl-xL was synthesized and transfected
into c-33a cells along with miRNA 421. Bcl-xL expression and cell apoptosis were
then measured by western blot and flow cytometry, respectively. Transfection of
miRNA 421 into c-33a cells reduced their growth, promoted their apoptosis
(measured by increased phosphatidylserine eversion), activated caspase-3, and
decreased Bcl-xL expression. Silencing and overexpression of Bcl-xL enhanced and
inhibited miRNA 421-induced apoptosis of c-33a cells, respectively. miRNA 421
induces c-33a cell apoptosis via down-regulation of Bcl-xL, suggesting that this
latter might be used as a potential clinical target.
PMID- 27886336
TI - Comparative transcriptome analysis reveals three potential antiviral signaling
pathways in lymph organ tissue of the red swamp crayfish, Procambarus clarkii.
AB - The red swamp crayfish (Procambarus clarkii) is one of the most economically
important farmed aquatic species in China. Compared with its relatively well
known antibacterial and antifungal mechanisms, the antiviral mechanism is still
unclear. We used Illumina-based RNA sequencing and bioinformatic technology to
obtain high-quality sequence reads from the crayfish lymph organ. A total of 5933
differentially expressed genes (DEGs) were identified between normal and white
spot syndrome virus-challenged samples. Of these, 4638 genes were differentially
upregulated and 1295 differentially downregulated by more than two-fold. The DEGs
were then mapped to different signaling pathways; the Janus kinase/signal
transducers and activators of transcription, insulin, and Wnt signaling pathways
were predicted to be involved in crayfish antiviral innate immunity. These
results provide new insights into crayfish antiviral immunity mechanisms.
PMID- 27886337
TI - Determination of the optimal number of markers and individuals in a training
population necessary for maximum prediction accuracy in F2 populations by using
genomic selection models.
AB - Genomic selection is a useful technique to assist breeders in selecting the best
genotypes accurately. Phenotypic selection in the F2 generation presents with low
accuracy as each genotype is represented by one individual; thus, genomic
selection can increase selection accuracy at this stage of the breeding program.
This study aimed to establish the optimal number of individuals required to
compose the training population and to establish the amount of markers necessary
to obtain the maximum accuracy by genomic selection methods in F2 populations. F2
populations with 1000 individuals were simulated, and six traits were simulated
with different heritability values (5, 20, 40, 60, 80 and 99%). Ridge regression
best linear unbiased prediction was used in all analyses. Genomic selection
models were set by varying the number of individuals in the training population
(2 to 1000 individuals) and markers (2 to 3060 markers). Phenotypic accuracy,
genotypic accuracy, genetic variance, residual variance, and heritability were
evaluated. Greater the number of individuals in the training population, higher
was the accuracy; the values of genotypic and residual variances and heritability
were close to the optimum value. Higher the heritability of the trait, higher is
the number of markers necessary to obtain maximum accuracy, ranging from 200 for
the trait with 5% heritability to 900 for the trait with 99% heritability.
Therefore, genomic selection models for prediction in F2 populations must consist
of 200 to 900 markers of major effect on the trait and more than 600 individuals
in the training population.
PMID- 27886338
TI - Frequency of the Val1016Ile mutation on the kdr gene in Aedes aegypti (Diptera:
Culicidae) in south Brazil.
AB - Recently, the number of Aedes aegypti foci has increased in west of Santa
Catarina, south Brazil, which has increased concern regarding mosquito-borne
disease outbreaks such as dengue fever, Zika virus, and chikungunya. Therefore,
it is important to monitor genetic resistance to insecticides through "knockdown
resistance". Homozygosity (Ile/Ile) at position 1016 in the coding region of a
voltage-dependent sodium channel gene (Nav) may induce resistance to pyrethroid
insecticides. We evaluated the frequency of these alleles in A. aegypti in west
Santa Catarina. In total, 349 specimens were obtained from the microregions of
Joacaba (31), Concordia (35), Chapeco (154), and Sao Miguel do Oeste (129). We
found that 109 individuals (31.0%) were homozygous for Val/Val, 102 (29.0%) were
heterozygous for Val/Ile, and 138 (40.0%) were homozygous for Ile/Ile. The allele
frequencies were similar for Val (0.455) and Ile (0.545). Joacaba and Concordia
had the highest mutant allele frequencies (0.825 and 0.685, respectively).
Therefore, these populations should be monitored for increases in pyrethroid
resistance. The Sao Miguel do Oeste and Chapeco populations had similar
frequencies of Val and Ile and were not in Hardy-Weinberg equilibrium, suggesting
that a selection pressure or other evolutionary force has occurred. In
conclusion, the observed frequency of Ile/Ile homozygous individuals in the
region studied requires attention, because the implementation of controls using
pyrethroid may increase the frequency of the mutant allele through the selection
of resistant populations.
PMID- 27886339
TI - Hypoxia enhances periodontal ligament stem cell proliferation via the MAPK
signaling pathway.
AB - There is high incidence of periodontal disease in high-altitude environments;
hypoxia may influence the proliferation and clone-forming ability of periodontal
ligament stem cells (PDLSCs). The MAPK signaling pathway is closely correlated
with cell proliferation, differentiation, and apoptosis. Thus, we isolated and
cultured PDLSCs under hypoxic conditions to clarify the impact of hypoxia on
PDLSC proliferation and the underlying mechanism. PDLSCs were separated and
purified by the limiting dilution method and identified by flow cytometry. PDLSCs
were cultured under hypoxic or normoxic conditions to observe their cloning
efficiency. PDLSC proliferation at different oxygen concentrations was evaluated
by MTT assay. Expression of p38/MAPK and MAPK/ERK signaling pathway members was
detected by western blotting. Inhibitors for p38/MAPK or ERK were applied to
PDLSCs to observe their impacts on clone formation and proliferation. Isolated
PDLSCs exhibited typical stem cell morphological characteristics, strong
abilities of globular clone formation and proliferation, and upregulated
expression of mesenchymal stem cell markers. Stem cell marker expression was not
statistically different between PDLSCs cultured under hypoxia and normoxia (P >
0.05). The clone number in the hypoxia group was significantly higher than that
in the control (P < 0.05). PDLSC proliferation under hypoxia was higher than that
of the control (P < 0.001). p38 and ERK1/2 phosphorylation in hypoxic PDLSCs was
markedly enhanced compared to that in the control (P < 0.05). Either P38/MAPK
inhibitor or ERK inhibitor treatment reduced clone formation and proliferation.
Therefore, hypoxia enhanced PDLSC clone formation and proliferation by activating
the p38/MAPK and ERK/MAPK signaling pathways.
PMID- 27886340
TI - Genetic divergence in a soybean (Glycine max) diversity panel based on agro
morphological traits.
AB - Owing to the narrow genetic basis of soybean (Glycine max), the incorporation of
new sources of germplasm is indispensable when searching for alleles that
contribute to a greater diversity of varieties. The alternative is plant
introduction, which may increase genetic variability within breeding programs.
Multivariate techniques are important tools to study genetic diversity and allow
the precise elucidation of variability in a set of genotypes of interest. The
agro-morphological traits of 93 soybean accessions from various continents were
analyzed in order to assess the genetic diversity present, and to highlight
important traits. The experimental design was incomplete blocks (Alpha lattice, 8
x 12) with three replicates. Nine agro-morphological traits were analyzed, and
principal component analysis and cluster analysis were performed, the latter by
Ward's method. The dendrogram obtained contained eight subgroups, confirming the
genetic diversity among the accessions and revealing similarities between 11
national genotypes. The geographical origin of the accessions was not always
related to the clusters. The traits evaluated, and the methods used, facilitated
the distinction and characterization of genotypes between and within groups, and
could be used in Brazilian soybean breeding programs.
PMID- 27886341
TI - Clinical efficacy of therapeutic intervention for subclinical hypothyroidism
during pregnancy.
AB - This study explored the effects of levothyroxine (L-T4) replacement therapy on
pregnancy outcomes in patients with subclinical hypothyroidism (SCH). We analyzed
the effects on pregnancy outcomes with respect to gestational week when the
desired thyroid-stimulating hormone (TSH) level was reached as well as the length
of time required to reach the target level during L-T4 treatment. This study
enrolled 457 patients diagnosed with SCH upon initial thyroid function screening.
Subjects were assigned to the treatment group (N = 184), and the control group (N
= 273). Two variables were analyzed in the treatment group: the gestational week
when the target TSH level was achieved and the length of time required to reach
the target level during treatment. Based on these criteria, the treatment group
was further divided into subgroups, including three subgroups based on the time
required to reach target levels (<4 weeks, 4-8 weeks, and >8 weeks) and
gestational week when the target TSH level was achieved (before the 12th, between
the 12th-28th, and after the 28th gestational week). The overall risk of
complications in the control group was significantly higher than in the treatment
group (P < 0.05). After L-T4 treatment, the incidences of premature rupture of
fetal membranes (PROM), gestational diabetes mellitus, fetal macrosomia, and
postpartum hemorrhage in the group with treatment duration <4 weeks were
significantly lower than those in the groups with 4-8 and >8 weeks treatment
duration (P < 0.05). L-T4 treatment can significantly reduce the risks of adverse
pregnancy outcomes in pregnant women with SCH. The shorter the treatment duration
required to reach the target TSH level and the earlier the gestational week when
the target TSH level is achieved through treatment, the lower the incidence of
complications.
PMID- 27886342
TI - Genetic variability in three populations of Hypostomus hermanni (Teleostei:
Loricariidae) found in the basins of Ivai River, Tiete River, and Sapucai-Mirim
River of Brazil.
AB - The genetic variability in three populations of Hypostomus hermanni from the
tributaries of the rivers Ivai (Keller), Tiete (Piracicaba), and Sapucai (Sapucai
Mirim) was determined using electrophoresis on starch gel. The variability in the
genes for eight enzymes, namely, aspartate aminotransferase (EC 2.6.1.1), glucose
6-phosphate isomerase (EC 5.3.1.9), glycerol-3-phosphate dehydrogenase (EC
1.1.1.8), isocitrate dehydrogenase (EC 1.1.1.42), -lactate dehydrogenase (EC
1.1.1.27), malate dehydrogenase (EC 1.1.1.37), malate dehydrogenase NADP+ (EC
1.1.1.40), and phosphoglucomutase (EC 5.4.2.2), was assessed. Three loci with
seven alleles were obtained in the population of Keller River whereas eight loci
with 20 alleles and six loci with 16 alleles were present in the populations of
Piracicaba and Sapucai-Mirim rivers, respectively. Individuals analyzed in the
three watersheds presented all the detected polymorphic loci. The average
heterozygosity was 0.0527, 0.1742, and 0.1299 in the Keller, Piracicaba, and
Sapucai-Mirim River populations, respectively. On the basis of identity values
and genetic distances, all the three populations were determined to be
genetically very similar.
PMID- 27886343
TI - Resistance of soybean genotypes to Sclerotinia sclerotiorum isolates in different
incubation environments.
AB - Sclerotinia sclerotiorum is an important soybean pathogen. The objectives of this
study were to evaluate levels of resistance of soybean genotypes to the fungus,
and to determine the effects of different incubation environments on host
resistance and pathogen aggressiveness. Two experiments were conducted using 103
genotypes from the seed collection of Laboratorio de Desenvolvimento de
Germoplasma, Universidade Federal de Uberlandia (LAGER-UFU). The first experiment
was conducted in a greenhouse, and the second in a growth chamber. Inoculations
were performed by the straw test method using two Brazilian isolates of the
fungus, one from Uberaba, Minas Gerais, and the other from Jatai, Goias. The
average stem-lesion length (cm) at 5 days post-inoculation was used to determine
levels of resistance. Overall, the most resistant genotype was EMGOPA-316, and
the most susceptible genotype was LAGER-29. Incubation in a growth chamber and
use of the Jatai isolate generated the most reliable data, and multivariate
analysis indicated that the genotypes were divergent under the growth chamber
conditions. Therefore, when studying host resistance of soybean genotypes to S.
sclerotiorum, it is important to use environmental conditions favorable to the
fungus and aggressive isolates.
PMID- 27886344
TI - Genetic diversity among coffee tree progenies Big Coffee VL based on growth
traits and production.
AB - In a coffee plantation of a coffee 'Acaia' cultivar (Coffea arabica), on the
Midwest of Minas Gerais in Capitolio city, a different kind of coffee tree was
found (1989), possibly due to a mutation. It presented larger leaves and grains
than those of conventional coffee trees and was named as "Big Coffee VL." The aim
of this study was to estimate the genetic diversity of Big Coffee VL progenies
cultivated at Universidade Federal de Lavras, by evaluating growth and production
traits, based on genetic distances and clusters. The experiment was established
in a lattice design with 100 progenies of this coffee tree and 23 repetitions.
Traits evaluated were vigor, plant height, stem diameter, node number of
plagiotropic branches, pair numbers of plagiotropic branches, and productivity.
Genetic divergence was evaluated by multivariate procedures: Mahalanobis
generalized distance, clustering methods, and principal component analysis.
Genetic distances were estimated using Mahalanobis distance and presented
variations from 0.04 to 18.70. The most similar progenies were P23 and P29 and
the most dissimilar progenies were G8 and P14. The progenies were divided into
three groups, with P14 present as an isolated group. Thus, it was possible to
observe the existence of genetic variability among the progenies of Big Coffee
VL, which can be used in breeding programs to increase grain size. Progenies G8
and P14 presented the highest genetic distance, and were the most suitable for
future integration of crossings in plant breeding programs.
PMID- 27886345
TI - Estimation of genetic parameters and selection of high-yielding, upright common
bean lines with slow seed-coat darkening.
AB - Slow seed coat darkening is desirable in common bean cultivars and genetic
parameters are important to define breeding strategies. The aims of this study
were to estimate genetic parameters for plant architecture, grain yield, grain
size, and seed-coat darkening in common bean; identify any genetic association
among these traits; and select lines that associate desirable phenotypes for
these traits. Three experiments were set up in the winter 2012 growing season, in
Santo Antonio de Goias and Brasilia, Brazil, including 220 lines obtained from
four segregating populations and five parents. A triple lattice 15 x 15
experimental design was used. The traits evaluated were plant architecture, grain
yield, grain size, and seed-coat darkening. Analyses of variance were carried out
and genetic parameters such as heritability, gain expected from selection, and
correlations, were estimated. For selection of superior lines, a "weight-free and
parameter-free" index was used. The estimates of genetic variance, heritability,
and gain expected from selection were high, indicating good possibility for
success in selection of the four traits. The genotype x environment interaction
was proportionally more important for yield than for the other traits. There was
no strong genetic correlation observed among the four traits, which indicates the
possibility of selection of superior lines with many traits. Considering
simultaneous selection, it was not possible to join high genetic gains for the
four traits. Forty-four lines that combined high yield, more upright plant
architecture, slow darkening grains, and commercial grade size were selected.
PMID- 27886346
TI - Simultaneous selection in beans for stability and high agronomic performance.
AB - The objective of this study was to select common bean cultivars based on their
stability for flowering, cycle, insertion of the first pod, grain yield, and high
agronomic performance. Twenty experiments were carried out between 2000 and 2014,
during two growing seasons, in Rio Grande do Sul, Brazil. The Eberhart and
Russel, and Lin and Binns methods, as well as the additive main effect and
multiplicative interaction (AMMI) method, were used to evaluate the stability of
flowering, cycle, insertion of the first pod, and grain yield of 10 common
cultivars in all experiments. The Eberhart and Russel, Lin and Binns, and AMMI
methods identified the Carioca cultivar as the most stable for insertion of the
first pod, and the Irai cultivar as the most instable for flowering and cycle.
The Perola cultivar shows stability for flowering, cycle, and insertion of the
first pod, and Guapo Brilhante is stable for grain yield, according to the Lin
and Binns method. Controlled crossing between Perola and Guapo Brilhante is
recommended for the development of new common bean cultivars with greater
stability for semi-early cycle, architecture of upright plant, and grain yield.
PMID- 27886347
TI - Genetic variability of Myzus persicae nicotianae densovirus based on partial NS
and VP gene sequences.
AB - We previously described a novel densovirus [Myzus persicae nicotianae densovirus
(MpnDV)] infecting M. persicae nicotianae (Hemiptera: Aphididae) with 34%
prevalence. This single-stranded DNA virus has a 5480-nucleotide ambisense genome
and belongs to the Densovirinae subfamily within the family Parvoviridae. In the
present study, we estimated the genetic diversity of MpnDV using partial
nonstructural protein (NS) and capsid protein (VP) gene sequences from 10
locations in China. First, we identified MpnDV-positive samples by amplifying a
445-bp fragment with primers MpDVF/MpDVR. Subsequently, we amplified and
sequenced COI genes with primers MpCOIF/ MpCOIR, and partial NS and VP sequences
with primers MpnDVF1/MpnDVR1. The respective 655-, 1461-, and 423-bp COI, NS, and
VP fragments were used to analyze the genetic diversity of MpnDV using MEGA 6.0
and DnaSP 5.0. The high level of identity shared by all COI sequences (>99%)
suggested that the aphids sampled were of the same species, and indicated
population homogeneity across the 10 locations investigated. The nucleotide
diversity of MpnDV sequences (0.0020 +/- 0.0025) was significantly higher than
that of the COI genes (0.0002 +/- 0.0005). The pairwise fixation index for MpnDV
was 0.832, and the total gene flow was 0.05. Phylogenetic analysis revealed that
the MpnDV haplotypes clustered according to geographical location, except for
those from the Liaoning and Shanxi provinces. In conclusion, MpnDV demonstrated a
low level of gene flow and high genetic diversity, suggesting that it is
vertically transmitted, and implying that endosymbiotic viruses could be used as
markers in studies of insect population genetics.
PMID- 27886348
TI - Sample sizes to estimate mean values for tassel traits in maize genotypes.
AB - Tassel traits are important in maize breeding programs aiming to reduce the size
and number of branches and maintain satisfactory pollen production in order to
increase grain yield. The objectives of this study were to determine the sample
size (number of tassels) required to estimate the mean values for tassel traits
in maize genotypes and to verify the variability of sample size among genotypes.
Twenty maize genotypes were evaluated in an experiment carried out in a
randomized block design with three replicates. Twenty tassels were randomly
collected in each plot, for a total of 1200 tassels. In each tassel, the
following traits were measured: peduncle dry matter, branching space dry matter,
central spike dry matter, tassel dry matter, peduncle length, branching space
length, central spike length, tassel length, tassel dry matter to tassel length
ratio, number of primary branches, number of secondary branches, and tassel
branch number. Measures of central tendency and variability were calculated,
analysis of variance and mean comparison tests were performed, normality was
verified, and the sample size was determined. In order to estimate the means with
the same precision, the sample size for weight traits was greater than that for
length traits. For tassel traits, 11, 20, and 43 tassels are sufficient to
estimate the mean with a precision of 40, 30, and 20%, respectively, of the
estimated mean at a 95% confidence level. These data show that there is sample
size variability among maize genotypes.
PMID- 27886349
TI - Development of polymorphic microsatellites for Sillago sihama based on next
generation sequencing and transferability to Sillago japonica.
AB - Sillago sihama (Forsskal, 1775), a commercially important marine fishery species
in the Indo-West Pacific, is being developed as a target species for aquaculture
and stock enhancement in China. However, due to the limitations of traditional
isolation methods, the available microsatellite loci, or simple sequence repeats
(SSRs), of S. sihama lack diversity. We used a stepwise approach including
Illumina sequencing, primer screening, and SSR marker validation to develop
diverse SSRs for S. sihama. A total of 853.48 Mb clean sequences were assembled
with high coverage and sequencing depth, and 27,288 potential SSRs were
identified. A set of 18 novel SSR markers with four type motifs including 11 di-,
1 tri-, 5 tetra-, and 1 hexanucleotide repeats were successfully isolated. The
ranges of number of alleles per locus and observed and expected heterozygosities
were 5-24, 0.226-0.968, and 0.319-0.950, respectively. The diversity parameters
exhibited high levels of polymorphism in these 18 loci. Three loci with the
presence of both null alleles and inbreeding showed significant deviation from
Hardy-Weinberg equilibrium after Bonferroni correction. Moreover, 13 loci
developed in S. sihama showed high transferability to the closely related species
Sillago japonica. The polymorphic SSR markers developed in this study may serve
as valuable tools for further basic and applied research on the genetic resources
of S. sihama as well as S. japonica. Our results indicate that this approach,
based on next-generation sequencing technology, is convenient, cost-effective,
and suitable for SSR marker isolation in other sillaginid fishes.
PMID- 27886350
TI - Design of artificial red blood cells using polymeric hydrogel microcapsules:
hydrogel stability improvement and polymer selection.
AB - PURPOSE: To improve the stability of pectin-oligochitosan hydrogel microcapsules
under physiological conditions. METHODS: Two different approaches were examined:
change of the cross-linker length and treatment of the hydrogel microcapsules
with 150 Mm CaCl2. Replacement of pectin with alginate was also studied. RESULTS
AND CONCLUSIONS: It was observed that the molecular weight of the cross-linker
oligochiotsan had no significant improvement on microcapsule stability. On the
other hand, the treatment of pectin-oligochitosan microcapsules with Ca2+
increased the microcapsule stability significantly. Different types of alginate
were used; however, no red-blood-cell-shaped microcapsules could be produced,
which is likely due to the charge-density difference between deprotonated pectin
and alginate polymers.
PMID- 27886352
TI - Alterations of serum osteocalcin levels in patients with Legg-Calve-Perthes.
AB - BACKGROUND: Legg-Calve-Perthes disease (LCPD) is a paediatric form of
osteonecrosis that ultimately heals but will cause femoral head and acetabular
deformities. The purpose of this study was to investigate the early serum
measurement of osteocalcin in children with femoral head necrosis compared with
that of healthy children. METHODS: 20 patients with LCPD (4 girls and 16 boys)
and 20 healthy volunteers (5 girls and 15 boys) were enrolled. All patients did
not have additional treatment. Blood samples were obtained from all patients
preoperatively in the morning. All cases had hip radiographs. The serum levels of
osteocalcin comparisons between the LCPD patients and the healthy volunteers were
performed using Wilcoxon signed-rank test. The Spearman rank correlation was used
to assess correlation between LCPD grade and serum osteocalcin levels.
Significance was set at p = 0.05. RESULTS: The 20 patients with LCPD (72.75 +/-
24.92 ng/ml) had significantly higher serum osteocalcin levels compared with the
healthy group (16.80 +/- 4.04 ng/ml) (p<0.01). Serum osteocalcin levels of
different LCPD grades were significantly different (Spearman's p = 0.540, p =
0.014). CONCLUSIONS: We observed a significant correlation between serum
osteocalcin levels and LCPD. These results may be meaningful in clinical practice
and to future studies.
PMID- 27886351
TI - Female primary bladder neck obstruction: role of videourodynamics and treatment
options in a rare clinical entity.
AB - INTRODUCTION: Primary female bladder neck obstruction is a rare clinical
condition characterized by the absence/incomplete bladder neck opening during the
voiding phase of micturition. METHODS: We present the cases of two women
complaining dysuria, abdominal straining and sensation of incomplete bladder
emptying. Videourodynamic evaluation was fundamental for a correct diagnosis.
RESULTS: Videourodynamic evaluation showed a high detrusor pressure during
emptying phase, partial use of abdominal strain, very low urine flow rate and
significant postvoid residual; imaging showed a defect in the physiological
funneling of the bladder neck, absent or incomplete. The first step therapy is
represented by oral alpha-blockers and clean intermittent self-catheterization in
case of high postvoid residual. Surgical operations, such as bladder neck
incision and resection, represent the last option. CONCLUSIONS: In our
experience, bladder neck obstruction is a rare condition in women and only a
complete clinical evaluation associated with videourodynamic study can lead to an
appropriate diagnosis and treatment.
PMID- 27886353
TI - Patient-reported outcomes after revision surgery compared to primary total hip
arthroplasty.
AB - INTRODUCTION: Patients who undergo either primary or revision total hip
arthroplasty (THA) mainly expect improvement in pain, function/activity and
quality of life. The purpose of the study was to measure the degree of short-term
and medium-term improvement and the differences in patient-reported outcome that
can be expected in patients undergoing revision THA, compared to patients
undergoing primary THA. METHODS: In this study, the results of patient-reported
outcome measurements were compared in 124 matched patients, who underwent
revision surgery and primary THA between 01/2007 and 12/2009. Assessment was
performed at short-term and medium-term follow-up and included the WOMAC score,
the UCLA activity score and EuroQol scores. RESULTS: 6 months after revision THA,
the mean total WOMAC score improved by 22.1 points, the UCLA activity score by
0.6 points and the EuroQol-Index by 0.2 points. After primary THA, the
improvement was 41.4 points on the WOMAC, 1.1 points on the UCLA and 0.3 points
on the EuroQol-Index. At medium-term follow-up, 3.6 years after revision surgery
and 2.3 years after primary THA, patients undergoing revision THA showed
significantly less overall improvement, as well as lower final outcome scores,
than patients undergoing primary THA. CONCLUSIONS: Patients undergoing revision
arthroplasty, as well as patients undergoing primary THA, can expect major
improvement in function, activity and quality of life postoperatively. However,
overall level of improvement, as well as peak attainable outcome levels, are
lower after revision surgery than after primary THA. Appropriate information for
patients who undergo hip revision surgery is necessary in order to avoid
unrealistic expectations and postoperative dissatisfaction.
PMID- 27886354
TI - A retrospective analysis of the merits and challenges associated with
simultaneous bilateral THA using the direct anterior approach.
AB - INTRODUCTION: 15%-20% of patients presenting for total hip arthroplasty (THA)
have bilateral disease. While simultaneous bilateral THA is of interest to
patients and surgeons, debate persists regarding its merits. The majority of
previous reports on simultaneous bilateral THA involve patients in the lateral
decubitus position, which require repositioning, prepping and draping, and
exposure of a fresh wound to pressure and manipulation for the contralateral THA.
The purpose of this study was to compare complications, component position, and
financial parameters for simultaneous versus staged bilateral THAs using the
direct anterior approach (DAA). METHODS: Medical records were reviewed for
patient demographics, medical history, operative time, estimated blood loss
(EBL), change in hemoglobin, transfusion, tranexamic acid (TXA) use, length of
stay (LOS), discharge disposition, leg length discrepancy, acetabular cup
position, and perioperative complications. Cost and reimbursement data were
analysed. RESULTS: 44 patients were included in the sequential group and fifteen
patients in the simultaneous group. Operative time, EBL, hemoglobin drop,
transfusion rate, and LOS were significantly increased for simultaneous group.
There was no significant difference in component position, complications, or
readmissions between groups. Profit per hip was significantly higher for the
simultaneous group. DISCUSSION: While simultaneous DAA THA presents challenges,
our results suggest that simultaneous DAA THA may add value to the healthcare
system without resulting in increased complications compared to sequential hip
arthroplasty.
PMID- 27886355
TI - Acetabular spacers in 2-stage hip revision: is it worth it? A single-centre
retrospective study.
AB - PURPOSE: The aim of this work is to evaluate an acetabular antibiotic loaded bone
cement spacer in 2-stage revision surgery as a potential approach able to reduce
complications during the inter-stage period (i.e. dislocation, acetabular wear),
as well as simplify 2-stage hip revision surgery and improve hip biomechanics.
METHODS: We performed a retrospective comparative study and evaluated clinical,
radiological and surgical data of 71 patients affected by periprosthetic hip
infection who were treated with 2-stage exchange. 31 patients were treated using
an acetabular spacer in addition to the femoral (group A) while 40 underwent a
standard revision surgery (femoral spacer only, group B). RESULTS: Mean time of
surgery for the first stage was 148 +/- 59 minutes and 142 +/- 45 minutes for
group A and B respectively; we noted a statistically significant reduction (26
min, p = 0.015) in the same parameter for the second stage (83 +/- 35 minutes for
group A and 109 +/- 36 minutes for group B). We observed the following interstage
complications: 5 femoral spacer dislocations (1 for group A and 4 for group B); 1
spacer fracture (group B), 1 spacer fracture (group A), 2 periprosthetic
fractures (group B) and 2 patients with acetabular spacer instability (group B).
Additionally, we observed a significant improvement in leg length restoration for
group A (p = 0.03). CONCLUSIONS: Our data show that the acetabular spacer
technique is able to reduce the interstage complication rate and allow improved
hip biomechanics restoration.
PMID- 27886356
TI - Posterior approach to optimise patient-reported outcome from revision hip
arthroplasty.
AB - INTRODUCTION: Most total hip arthroplasties (THAs) in the UK are performed
through a posterior or lateral surgical approach. We aimed to investigate any
difference in outcome from revision THA according to the approach at primary and
revision THA surgery. METHODS: A retrospective cohort study of 205 patients who
underwent revision THA for aseptic loosening. Patients rated their pain from 0-10
and completed the Self-Administered Patient Satisfaction Scale (SAPS), Oxford Hip
Score (OHS), WOMAC and Short form-12 questionnaires. RESULTS: 205 patients (209
hips) from a cohort of 238 patients (243 hips, 86%) were available for analysis.
The mean follow-up was 5 years (SD 1.71). Grouping by approach 20% (43/209) had
both primary and revision procedures via a lateral approach, 20% (43/209) had
their primary surgery via a lateral approach and their revision surgery via a
posterior approach, whilst 60% (123/209) had both procedures via a posterior
approach.The WOMAC and OHS were significantly better in patients who had a
posterior approach for both primary and revision surgery, compared to those that
did not (OHS p = 0.028, WOMAC p = 0.026). We found no significant differences in
pain, satisfaction or health-related quality of life between the groups.
DISCUSSION: Choice of approach for revision hip arthroplasty is influenced by a
number of factors, but in clinical situations where either a lateral or posterior
approach could be used, the posterior approach appears to be associated with
better joint-specific outcomes. Registry data may help further explore the
associations between surgical approach and the outcome from revision THA.
PMID- 27886358
TI - Outcomes of total hip replacement in limbs affected by poliomyelitis.
AB - INTRODUCTION: The outcomes of total hip replacement in patients suffering from
residual poliomyelitis are poorly covered in the literature. In this
retrospective study we posed the question of whether total hip replacement
performed for degenerative hip diseases in limbs with residual poliomyelitis
could determine satisfactory mid-term clinical and radiographic results, with a
reasonable complication rate. METHODS: A retrospective study was carried out to
assess the results of 14 total hip replacements performed on 14 patients with
residual poliomyelitis on the involved limb from June 1999 to September 2011.
Average age at the time of surgery was 51 years (range 26-66 years). Mean
duration of follow-up was 92 months (range 52-156 months). Surgery was performed
through a direct lateral approach on all hips. All but one were cementless
implants. RESULTS: 2 implants failed, 1 due to traumatic acetabular fracture 6
days after surgery, and 1 due to aseptic cup loosening 13 years after surgery.
Surgery was uneventful in all patients except 1 (7%), who experienced a transient
sensory sciatic nerve palsy. At the latest follow up Harris Hip Score was 83.3
(range 72-91) with a marked improvement when compared to preoperative score
(average 52, range 32-78). No dislocations had occurred. CONCLUSIONS: Total hip
replacement can be considered a feasible option for hip osteoarthritis in
patients with limbs affected by residual poliomyelitis. Longer follow-up studies
are needed to assess the effectiveness of unconstrained total hip replacement in
polio patients.
PMID- 27886357
TI - Anterior iliopsoas impingement due to a malpositioned acetabular component -
effective relief by surgical cup reorientation.
AB - BACKGROUND: Determining the aetiology of persistent pain following total hip
arthroplasty (THA) can be challenging. Impingement of the iliopsoas muscle due to
a malpositioned acetabular component after THA might be a potential cause of
postoperative groin pain. METHODS: We retrospectively analysed the data of 12
consecutive patients from our orthopaedic department who underwent cup revision
for painful iliopsoas impingement after primary THA. RESULTS: Thorough physical
examination as well as radiological assessment of the included patients revealed
that malpositioning of the acetabular component provoked iliopsoas
impingement.The Harris Hip Score and the orientation of the acetabular component
before and after surgical revision at an average follow-up of 56 months were
analysed. CONCLUSIONS: We were able to demonstrate that surgical cup revision and
reorientation resulted in distinctly improved scores and is a feasible option to
effectively treat persistent iliopsoas impingement due to a malpositioned
acetabular component following THA.
PMID- 27886359
TI - Normal population reference values for the Oxford and Harris Hip Scores -
electronic data collection and its implications for clinical practice.
AB - BACKGROUND: The aim of this study was to assess whether the Harris Hip Score
(HHS) and the Oxford Hip Score (OHS) were comparable in normal, healthy,
pathology-free individuals of different age, gender, ethnicity, handedness and
nationality. The purpose of this study was to establish normal population values
for the HHS and OHS using an electronic data collection system. METHODS: 317
Australian and 310 Canadian citizens with no active hip pain, injury or pathology
in the ipsilateral hip corresponding to their dominant arm, were evaluated.
Participants completed an electronically-administered questionnaire and were
assessed clinically. Chi-square tests, Fisher's exact test and Poisson regression
models were used where appropriate, to investigate the association between hip
scores, ethnicity, nationality, gender, handedness and age. RESULTS: There was a
statistically significant association between the OHS and age (p<0.0001) and the
HHS and age (p = 0.0006); demonstrating that as age increased, normal hip scores
decreased. There was no statistically significant association between the HHS and
gender (p = 0.1389); or HSS and nationality, adjusting for age (p = 0.5698) and
adjusting for gender (p = 0.6997). There was no statistically significant
association between the OHS and gender (p = 0.1350). Australians reported a
statistically significant 4.2% higher overall OHS value compared to Canadians (p
= 0.0490). There was no statistically significant association between the OHS and
nationality in age groups 18-79 years. Participants >80 years reported a
statistically significant association between the OHS and nationality (p<0.0001).
CONCLUSIONS: Studies using an electronic control group should consider
differences in gender, age, ethnicity and nationality when using the HHS and OHS
to assess patient outcomes. This study has established an electronic, normal
control group for studies using the HHS and OHS. When using the OHS, the control
group should be sourced from the same country of origin. When using the HHS, the
control group should be sourced from a pre-established control group within a
database, without necessarily being sourced from the same country of origin.
PMID- 27886361
TI - Comparison of anatomic vs. straight femoral stem design in total hip replacement
femoral canal fill in vivo.
AB - INTRODUCTION: The femoral canal fill between an anatomic and a straight
prosthesis design in cementless total hip arthroplasty (THA) was compared. We
hypothesised that the anatomic SPS stem has higher proximal fill and lesser
distal fill than the straight stem. MATERIAL AND METHODS: The femoral canal fill
was measured on 3 months routine postoperative x-rays at 5 levels of the stem in
50 consecutive patients, aged 35-83 years, who underwent 56 THA procedures by a
single surgeon in this hospital. 22 patients received a straight design
Ceramconcept Global stem, 34 patients received an anatomic design Symbios SPS
stem. Both anteroposterior (AP) and lateral x-rays were combined to suggest a 3-D
measurement. RESULTS: On the AP x-rays, the canal fill was significantly higher
using the anatomic design stem at the proximal measurement levels, and was
significantly higher at the distal levels using the straight stem. With the AP
and lateral x-rays combined, the canal fill at the proximal levels was also
significantly higher in the anatomic groups, nonsignificantly lower at the
central level and significantly lower at the distal levels. DISCUSSION: In THA
surgery, achieving high fill at the metaphysis of the femur and less fill at the
diaphysis has been suggested to result in satisfactory outcome and high stability
of the prosthesis. This study demonstrated that, compared to straight stem
design, an anatomically designed stem has a significantly higher metaphyseal
femoral canal fill.
PMID- 27886360
TI - High proximal migration in cemented acetabular revisions operated with bone
impaction grafting; 47 revision cups followed with RSA for 17 years.
AB - BACKGROUND: Bone impaction grafting is a biologically and mechanically appealing
option in acetabular revision surgery, allowing restitution of the bone stock and
restoration of the biomechanics. We analysed differences in proximal migration of
the revision acetabular components when bone impaction grafting is used together
with a cemented or an uncemented cup. PATIENTS AND METHODS: 43 patients (47
hips), revised due to acetabular loosening and judged to have less than 50% host
bone-implant contact were included. The hips were randomised to either an
uncemented (n = 20) or a cemented (n = 27) revision cup. Radiostereometry and
radiography was performed postoperatively, at 3 and 6 months, 1, 2, 3, 5, 7, 10
and 13 and 17 years postoperatively. Clinical follow-up was performed at 1, 2 and
5 years postoperatively and thereafter at the same interval as in the
radiographic follow-up. RESULTS: There were no differences in the base line
demographic data between the 2 groups. At the last follow-up (17 years) 14 hips
(10 cemented, 4 uncemented) had been re-revised due to loosening. 3 additional
cups (1 uncemented and 2 cemented) were radiographically loose. There was a
higher early proximal migration in the cemented cups. DISCUSSION: Cups operated
on with cement showed a higher early migration measured with RSA and also a
higher number of late revisions. The reason for this is not known, but factors
such as inclusion of cases with severe bone defects, use of smaller bone chips
and issues related to the impaction technique might have had various degrees of
influence.
PMID- 27886362
TI - Balloon-assisted venous access salvage through a thrombosed arteriovenous graft.
AB - INTRODUCTION: Maintaining vascular access by means of radiological intervention
has become the mainstay of management of patients with central venous stenoses
and occlusions (CVO), which can be challenging. We present a case of balloon
assisted percutaneous puncture of an occluded left subclavian vein, through a
thrombosed arteriovenous graft, for a tunneled dialysis catheter insertion.
METHODS: A thrombosed left arm arteriovenous graft was accessed, and the occluded
left subclavian vein was traversed with 0.018 platform. An 8 mm 0.018 low
platform balloon was inflated in the left subclavian vein as a target for
percutaneous puncture to gain direct access into the occluded segment of the
vein. This access was then used for routine placement of a tunneled left
subclavian dialysis catheter. RESULTS: Successful placement of a tunneled
dialysis catheter into an occluded left subclavian vein using a balloon- assisted
puncture technique, through a thrombosed left-arm arteriovenous graft.
CONCLUSIONS: Thrombosed arteriovenous grafts are potential access sites into the
central veins. Balloon-assisted punctures allow vascular access salvage into
otherwise occluded segments of a central vein; in our case, it allowed access
into an occluded left subclavian vein for dialysis catheter placement.
PMID- 27886363
TI - The "dilemma of double lifelines": central venous catheter co-existence with
transvenous cardiac pacemaker.
PMID- 27886364
TI - Ultrasound-guided angioplasty for treatment of peripheral stenosis of
arteriovenous fistula - a single-center experience.
AB - PURPOSE: In patients with end-stage renal disease, arteriovenous fistulas (AVFs)
are the access of choice for hemodialysis but are often complicated by stenosis.
We present single-center experience of 78 ultrasound-guided angioplasty
procedures for treating peripheral stenoses of AVFs. METHODS: Between January
2013 and November 2015, 78 angioplasties were performed under ultrasound guidance
in 53 patients with end-stage renal disease who were referred from dialysis
centers with low flow rate, difficult cannulation, increased cannulation site
bleeding, immature or thrombosed AVF. Angioplasties were carried out in the
presence of a structural lesion in the AVF resulting in at least 50% reduction in
vein diameter with a blood flow of <250 mL/min or a peak systolic velocity >300
cm/s. Clinical success, anatomical success and post-intervention primary and
secondary patency rates at 6, 12, 18 and 24 months were studied. RESULTS: In
49/53 patients (92.4%), 74 angioplasty procedures were successfully performed,
whereas 4/53 patients (7.6%) had primary failure. A total of 35/49 patients
(71.4%) underwent single angioplasty procedure whereas 14/49 patients (28.6%)
underwent multiple angioplasty procedures. Post-intervention primary patency
rates at 6, 12, 18 and 24 months were 78.6%, 60.2%, 53.8% and 48.9%,
respectively. Post-intervention secondary patency rates at 6, 12, 18 and 24
months were 100%, 100%, 95.4% and 89%, respectively. Clinical success and
anatomical success was 94.8% and 89.7%, respectively. CONCLUSIONS: Ultrasound
guided angioplasty is an effective method with good long-term outcomes in
selected dialysis patients with peripheral stenosis of AVF.
PMID- 27886366
TI - Utility of blind percutaneous jugular venous cannulation in resource-limited
settings.
AB - BACKGROUND: For the nephrologist practicing in resource-limited settings,
vascular ultrasound is often unavailable; consequently, blind percutaneous
puncture of large veins is often employed to establish vascular access for
hemodialysis. METHODS: To examine the efficacy and safety of this approach we
evaluated 53 consecutive patients in whom central vascular access was required.
The vascular access route utilized was primarily the right internal jugular vein.
In the majority of cases, the indication for central vascular access was
hemodialysis. RESULTS: The average number of needle passes required to obtain
vascular access was 1.6 for the patient population studied. A total of 90.6% of
the patients required <=2 needle passes during cannulation. Complication rate for
the blind approach was low (7.6%) and no serious or life-threatening
complications occurred. CONCLUSIONS: Our findings suggest that blind percutaneous
puncture of the internal jugular vein by trained hands is a relatively safe and
effective approach for establishing vascular access for hemodialysis in resource
limited settings. Nevertheless, wherever vascular ultrasound is available, it
should be primarily utilized because of the documented advantages of image-guided
insertion. Keeping in view the risk of serious peri-procedural complications
which include death, the emphasis on image-guided insertion, is appropriate,
particularly, in non-emergent situations.
PMID- 27886365
TI - The use of ultrasound for peripheral IV placement by vascular access team nurses
at a tertiary children's hospital.
AB - PURPOSE: Children receiving treatment in the hospital frequently require
intravenous (IV) access. Placement of short peripheral catheters can be painful
and challenging especially in those children who have difficult access. Many
children's hospitals have teams of specialized vascular access nurses experienced
in peripheral catheter insertion, and at times use vein visualization devices,
including ultrasound (US), to assist in peripheral IV placement. Our objectives
were to describe the prevalence and success rate of US-guided peripheral IV
placement by vascular access team nurses at a single tertiary children's
hospital. METHODS: We retrospectively reviewed quality assurance data kept by our
institution's vascular access team between February, 2014 and March, 2014. Data
extracted included: age, gender, number of attempts, if difficult, if ultimately
successful and modality used to aid IV placement. Descriptive statistics and chi
square tests were used to analyze and report data. RESULTS: There were 1111
patient-nurse encounters reported for peripheral IV placement over a six-week
period, and a total of 1579 attempts. Ultimately 84% of the patients had
successful IV placement. Overall, visualization and palpation was the most
frequently used technique (50.1%), followed by near-infrared light (40.6%), US
(8.0%), and transillumination (1.3%). The success rate of US (60% overall and
59.2% difficult) was not significantly different from other advanced
visualization techniques. CONCLUSIONS: Vascular access team nurses use US
infrequently for peripheral IV placement, including in children with difficult
access. Methods to increase its skillful use in difficult access patients and
improve successful IV placements should be explored.
PMID- 27886367
TI - Tissue ischemia worsens during hemodialysis in end-stage renal disease patients.
AB - BACKGROUND: Cognitive deficit is a common problem in end-stage renal disease
(ESRD) patients. Ultrafiltration and hemodialysis lead to profound hemodynamic
changes. The aim of this pilot study was to describe brain and hand oxygenation
values in ESRD patients and their changes during hemodialysis. METHODS: Twenty
seven patients treated by chronic hemodialysis and 17 controls patients of the
same age were included in the study. Regional saturation of oxygen (SrO2) was
measured at the brain frontal lobe and at the hand with dialysis access using the
INVOS 5100C. In 17 of ESRD patients, SrO2 was also monitored throughout
hemodialysis. Finger systolic blood pressure and basic hemodialysis and
laboratory data were collected. RESULTS: Dialysis patients had lower brain and
also hand SrO2 values at rest (51.5 +/- 10.9 vs. 68 +/- 7%, p<0.0001 and 55 +/-
16 vs. 66 +/- 8%, p = 0.03, respectively). Both values further decreased during
the first 35 minutes of hemodialysis (brain SrO2 to 47 +/- 8%, p<0.0001 and hand
to 45 +/- 14%, p<0.0001, respectively). The brain SrO2 decrease was related to
the ultrafiltration rate, the hand SrO2 decrease to the finger pressure and to
blood hemoglobin. CONCLUSIONS: Chronic dialysis patients suffer from tissue
ischemia and that even worsens after the beginning of hemodialysis. This
observation may contribute to the understanding of cognitive deficit etiology.
PMID- 27886368
TI - Written information for patients (or parents of child patients) to reduce the use
of antibiotics for acute upper respiratory tract infections in primary care.
AB - BACKGROUND: Acute upper respiratory tract infections (URTIs) are frequently
managed in primary care settings. Although many are viral, and there is an
increasing problem with antibiotic resistance, antibiotics continue to be
prescribed for URTIs. Written patient information may be a simple way to reduce
antibiotic use for acute URTIs. OBJECTIVES: To assess if written information for
patients (or parents of child patients) reduces the use of antibiotics for acute
URTIs in primary care. SEARCH METHODS: We searched CENTRAL, MEDLINE, Embase,
CINAHL, LILACS, Web of Science, clinical trials.gov, and the World Health
Organization (WHO) trials registry up to July 2016 without language or
publication restrictions. SELECTION CRITERIA: We included randomised controlled
trials (RCTs) involving patients (or parents of child patients) with acute URTIs,
that compared written patient information delivered immediately before or during
prescribing, with no information. RCTs needed to have measured our primary
outcome (antibiotic use) to be included. DATA COLLECTION AND ANALYSIS: Two review
authors screened studies, extracted data, and assessed study quality. We could
not meta-analyse included studies due to significant methodological and
statistical heterogeneity; we summarised the data narratively. MAIN RESULTS: Two
RCTs met our inclusion criteria, involving a total of 827 participants. Both
studies only recruited children with acute URTIs (adults were not involved in
either study): 558 children from 61 general practices in England and Wales; and
269 primary care doctors who provided data on 33,792 patient-doctor consultations
in Kentucky, USA. The UK study had a high risk of bias due to lack of blinding
and the US cluster-randomised study had a high risk of bias because the methods
to allocate participants to treatment groups was not clear, and there was
evidence of baseline imbalance.In both studies, clinicians provided written
information to parents of child patients during primary care consultations: one
trained general practitioners (GPs) to discuss an eight-page booklet with
parents; the other conducted a factorial trial with two comparison groups
(written information compared to usual care and written information plus
prescribing feedback to clinicians compared to prescribing feedback alone).
Doctors in the written information arms received 25 copies of two-page government
sponsored pamphlets to distribute to parents.Compared to usual care, we found
moderate quality evidence (one study) that written information significantly
reduced the number of antibiotics used by patients (RR 0.53, 95% CI 0.35 to 0.80;
absolute risk reduction (ARR) 20% (22% versus 42%)) and had no significant effect
on reconsultation rates (RR 0.79, 95% CI 0.47 to 1.32), or parent satisfaction
with consultation (RR 0.95, 95% CI 0.87 to 1.03). Low quality evidence (two
studies) demonstrated that written information also reduced antibiotics
prescribed by clinicians (RR 0.47, 95% CI 0.28 to 0.78; ARR 21% (20% versus 41%);
and RR 0.84, 95% CI 0.81 to 0.86; 9% ARR (45% versus 54%)). Neither study
measured resolution of symptoms, patient knowledge about antibiotics for acute
URTIs, or complications for this comparison.Compared to prescribing feedback, we
found low quality evidence that written information plus prescribing feedback
significantly increased the number of antibiotics prescribed by clinicians (RR
1.13, 95% CI 1.09 to 1.17; absolute risk increase 6% (50% versus 44%)). Neither
study measured reconsultation rate, resolution of symptoms, patient knowledge
about antibiotics for acute URTIs, patient satisfaction with consultation or
complications for this comparison. AUTHORS' CONCLUSIONS: Compared to usual care,
moderate quality evidence from one study showed that trained GPs providing
written information to parents of children with acute URTIs in primary care can
reduce the number of antibiotics used by patients without any negative impact on
reconsultation rates or parental satisfaction with consultation. Low quality
evidence from two studies shows that, compared to usual care, GPs prescribe fewer
antibiotics for acute URTIs but prescribe more antibiotics when written
information is provided alongside prescribing feedback (compared to prescribing
feedback alone). There was no evidence addressing resolution of patients'
symptoms, patient knowledge about antibiotics for acute URTIs, or frequency of
complications.To fill evidence gaps, future studies should consider testing
written information on antibiotic use for adults with acute URTIs in high- and
low-income settings provided without clinician training and presented in
different formats (such as electronic). Future study designs should endeavour to
ensure blinded outcome assessors. Study aims should include measurement of the
effect of written information on the number of antibiotics used by patients and
prescribed by clinicians, patient satisfaction, reconsultation, patients'
knowledge about antibiotics, resolution of symptoms, and complications.
PMID- 27886369
TI - Genomic data reveals potential for hybridization, introgression, and incomplete
lineage sorting to confound phylogenetic relationships in an adaptive radiation
of narrow-mouth frogs.
AB - The microhylid frog genus Kaloula is an adaptive radiation spanning the edge of
the Asian mainland and multiple adjacent island archipelagos, with much of the
clade's diversity associated with an endemic Philippine radiation. Relationships
among clades from the Philippines, however, remain unresolved. With
ultraconserved element (UCE) and mitogenomic data, we identified highly supported
differences in topology and areas of poor resolution, for each marker set. Using
the UCE data, we then identified possible instances of contemporary
hybridization, past introgression, and incomplete lineage sorting (ILS) within
the Philippine Kaloula. Using a simulation approach, and an estimate of the
Philippine Kaloula clade origin (12.7-21.0 mya), we demonstrate that an
evolutionary history including inferred instances of hybridization,
introgression, and ILS leads to phylogenetic reconstructions that show
concordance with results from the observed mitogenome and UCE data. In the
process of validating a complex evolutionary scenario in the Philippine Kaloula,
we provide the first demonstration of the efficacy of UCE data for phylogenomic
studies of anuran amphibians.
PMID- 27886370
TI - Epigenetic alterations of the BDNF gene in combat-related post-traumatic stress
disorder.
AB - OBJECTIVE: Brain-derived neurotrophic factor (BDNF) plays a crucial role in
modulating resilience and vulnerability to stress. The aim of this study was to
investigate whether epigenetic regulation of the BDNF gene is a biomarker of post
traumatic stress disorder (PTSD) development among veterans exposed to combat in
the Vietnam War. METHODS: Using the Clinician-Administered PTSD Scale, combat
veterans were grouped into those with (n = 126) and without (n = 122) PTSD. DNA
methylation levels at four CpG sites within the BDNF promoter I region were
quantified in the peripheral blood using pyrosequencing. The effects of BDNF DNA
methylation levels and clinical variables on the diagnosis of PTSD were tested
using binary logistic regression analysis. RESULTS: Subjects with PTSD showed a
higher DNA methylation of four CpG sites at the BDNF promoter compared with those
without PTSD. High methylation levels at the BDNF promoter CpG site, high combat
exposure, and alcohol problems were significantly associated with PTSD diagnosis.
CONCLUSIONS: This study demonstrated an association between higher DNA
methylation of the BDNF promoter and PTSD diagnosis in combat-exposed
individuals. Our findings suggest that altered BDNF methylation may be a valuable
biomarker of PTSD after trauma exposure.
PMID- 27886372
TI - Oxygen for breathlessness in patients with chronic obstructive pulmonary disease
who do not qualify for home oxygen therapy.
AB - BACKGROUND: Breathlessness is a cardinal symptom of chronic obstructive pulmonary
disease (COPD). Long-term oxygen therapy (LTOT) is given to improve survival time
in people with COPD and severe chronic hypoxaemia at rest. The efficacy of oxygen
therapy for breathlessness and health-related quality of life (HRQOL) in people
with COPD and mild or no hypoxaemia who do not meet the criteria for LTOT has not
been established. OBJECTIVES: To determine the efficacy of oxygen versus air in
mildly hypoxaemic or non-hypoxaemic patients with COPD in terms of (1)
breathlessness; (2) HRQOL; (3) patient preference whether to continue therapy;
and (4) oxygen-related adverse events. SEARCH METHODS: We searched the Cochrane
Airways Group Register, the Cochrane Central Register of Controlled Trials
(CENTRAL), MEDLINE and Embase, to 12 July 2016, for randomised controlled trials
(RCTs). We handsearched the reference lists of included articles. SELECTION
CRITERIA: We included RCTs of the effects of non-invasive oxygen versus air on
breathlessness, HRQOL or patient preference to continue therapy among people with
COPD and mild or no hypoxaemia (partial pressure of oxygen (PaO2) > 7.3 kPa) who
were not already receiving LTOT. Two review authors independently assessed
articles for inclusion in the review. DATA COLLECTION AND ANALYSIS: Two review
authors independently collected and analysed data. We assessed risk of bias by
using the Cochrane 'Risk of bias tool'. We pooled effects recorded on different
scales as standardised mean differences (SMDs) with 95% confidence intervals
(CIs) using random-effects models. Lower SMDs indicated decreased breathlessness
and reduced HRQOL. We performed subanalyses and sensitivity analyses and assessed
the quality of evidence according to the Grading of Recommendations, Assessment,
Development and Evaluations (GRADE) approach. MAIN RESULTS: Compared with the
previous review, which was published in 2011, we included 14 additional studies
(493 participants), excluded one study and included data for meta-analysis of
HRQOL. In total, we included in this review 44 studies including 1195
participants, and we included 33 of these (901 participants)in the meta
analysis.We found that breathlessness during exercise or daily activities was
reduced by oxygen compared with air (32 studies; 865 participants; SMD -0.34, 95%
CI -0.48 to -0.21; I2 = 37%; low-quality evidence). This translates to a decrease
in breathlessness of about 0.7 points on a 0 to 10 numerical rating scale. In
contrast, we found no effect of short-burst oxygen given before exercise (four
studies; 90 participants; SMD 0.01, 95% CI -0.26 to 0.28; I2 = 0%; low-quality
evidence). Oxygen reduced breathlessness measured during exercise tests (25
studies; 442 participants; SMD -0.34, 95% CI -0.46 to -0.22; I2 = 29%; moderate
quality evidence), whereas evidence of an effect on breathlessness measured in
daily life was limited (two studies; 274 participants; SMD -0.13, 95% CI, -0.37
to 0.11; I2 = 0%; low-quality evidence).Oxygen did not clearly affect HRQOL (five
studies; 267 participants; SMD 0.10, 95% CI -0.06 to 0.26; I2 = 0%; low-quality
evidence). Patient preference and adverse events could not be analysed owing to
insufficient data. AUTHORS' CONCLUSIONS: We are moderately confident that oxygen
can relieve breathlessness when given during exercise to mildly hypoxaemic and
non-hypoxaemic people with chronic obstructive pulmonary disease who would not
otherwise qualify for home oxygen therapy. Most evidence pertains to acute
effects during exercise tests, and no evidence indicates that oxygen decreases
breathlessness in the daily life setting. Findings show that oxygen does not
affect health-related quality of life.
PMID- 27886371
TI - Why babies die in unplanned out-of-institution births: an enquiry into perinatal
deaths in Norway 1999-2013.
AB - INTRODUCTION: The aims were to describe causes of death associated with unplanned
out-of-institution births, and to study whether they could be prevented. MATERIAL
AND METHODS: Retrospective population-based observational study based on data
from the Medical Birth Registry of Norway and medical records. Between 1 January
1999 and 31 December 2013, 69 perinatal deaths among 6027 unplanned out-of
institution births, whether unplanned at home, during transportation, or
unspecified, were selected for enquiry. Hospital records were investigated and
cases classified according to Causes of Death and Associated Conditions. RESULTS:
63 cases were reviewed. There were 25 (40%) antepartum deaths, 10 (16%)
intrapartum deaths, and 24 neonatal (38%) deaths. Four cases were in the unknown
death category (6%). Both gestational age and birthweight followed a bimodal
distribution with modes at 24 and 38 weeks and 750 and 3400 g, respectively. The
most common main cause of death was infection (n = 14, 22%), neonatal (n = 14,
22%, nine due to extreme prematurity) and placental (n = 12, 19%, seven placental
abruptions). There were 86 associated conditions, most commonly perinatal (n =
32), placental (n = 15) and maternal (n = 14). Further classification revealed
that the largest subgroup was associated perinatal conditions/sub-optimal care,
involving 25 cases (40%), most commonly due to sub-optimal maternal use of
available care (n = 14, 22%). CONCLUSIONS: Infections, neonatal, and placental
causes accounted for almost two-thirds of perinatal mortality associated with
unplanned out-of-institution births in Norway. Sub-optimal maternal use of
available care was found in more than one-fifth of cases.
PMID- 27886374
TI - Effect of Different Aloe Fractions on the Growth of Lactic Acid Bacteria.
AB - Several foods on the market, such as yogurt and fermented milk, include mixtures
of prebiotics and probiotic microorganisms effective in promoting the
proliferation and equilibrium of intestinal bacteria, thus improving gut health.
Particularly, researchers and the public have shown increasing interest in the
combination of probiotics with natural substances that promote health or that can
act as substrates to promote bacterial growth. The aim of this study is to
evaluate the effects of different extracts of Aloe barbadensis and Aloe
arborescens in fermented milk, taking into account both the prebiotic effect of
aloe polysaccharides and the antimicrobial activity of several secondary
metabolites. The results demonstrate a beneficial effect of 5% aloe inner gel on
Lactobacillus growth and confirm the antimicrobial activity of the phenolic
compounds peculiar of green rind extracts.
PMID- 27886375
TI - Modulation of Chloride Currents in Human Lung Epithelial Cells Exposed to
Exogenous Oxidative Stress.
AB - Air pollution continues to be a major public health concern affecting 9 out of 10
individuals living in urban areas worldwide. Respiratory tract is the organ most
exposed to gas pollution, and ozone has been shown to be one of the most noxious
pollutants to which living organisms are exposed. In the present work, we have
investigated the effects of 0.1 ppm of ozone on chloride currents in human lung
epithelial cells (A549 line) and whether this effect could be modulated by
vitamin E pre-treatment. Whole-cell patch clamp technique was applied to not
excitable cells in order to obtain information about chloride currents behavior,
important for epithelial lung cells homeostasis. Significant alteration of the I
V curve after ozone treatment was observed, with the appearance of a large
outward rectifier component decreasing over time and returning to the basal state
levels after 24 h. Statistical analysis indicated a modification of the amount of
ions passing the membrane in the unit of time as a possible cause of this
difference. RT-qPCR analysis showed an increase in ClC-2 and ORCC mRNA after
ozone exposure. In addition, pre-treatment with vitamin E was able to suppress
the outward rectifier component induced by ozone, bringing back the current
values to the control level and preventing ozone induced chloride channels up
regulation. Our data suggest that ozone exposure is able to modify chloride
current density and the use of vitamin E can prevent the above-mentioned damage.
J. Cell. Physiol. 232: 1817-1825, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27886373
TI - Clemizole hydrochloride blocks cardiac potassium currents stably expressed in HEK
293 cells.
AB - BACKGROUND AND PURPOSE: Clemizole, a histamine H1 receptor antagonist has a
potential therapeutic effect on hepatitis C infection and also potently inhibits
TRPC5 ion channels. The aim of the present study was to investigate whether
clemizole blocks cardiac K+ currents and thus affects cardiac repolarization.
EXPERIMENTAL APPROACH: Whole-cell patch techniques was used to examine the
effects of clemizole on hERG channel current, IKs and Kv 1.5 channel current in
HEK 293 cell expression systems as well as on ventricular action potentials of
guinea pig hearts. Isolated hearts from guinea pigs were used to determine the
effect on the ECG. KEY RESULTS: Clemizole decreased hERG current by blocking both
open and closed states of the channel in a concentration-dependent manner (IC50 :
0.07 MUM). The S631A, S636A, Y652A and F656V hERG mutant channels reduced the
inhibitory effect of clemizole (IC50 : 0.82, 0.89, 1.49 and 2.98 MUM,
respectively), suggesting that clemizole is a pore blocker of hERG channels.
Clemizole also moderately decreased IKs and human Kv 1.5 channel current.
Moreover, clemizole increased the duration of the ventricular action potential in
guinea pig hearts and the QTc interval in isolated perfused hearts from guinea
pigs, in a concentration-dependent manner (0.1-1.0 MUM). CONCLUSION AND
IMPLICATIONS: Our results provide the first evidence that clemizole potently
blocks hERG channels, moderately inhibits cardiac IKs , delays cardiac
repolarization and thereby prolongs QT interval. Thus, caution should be taken
when clemizole is used as a TRPC5 channel blocker or for treating hepatitis C
infection.
PMID- 27886376
TI - "The Burden of Pregnancy"; heavier for the heaviest? The changes in Health
Related Quality of Life (HRQoL) assessed by the 15D instrument during pregnancy
and postpartum in different body mass index groups: a longitudinal survey.
AB - INTRODUCTION: Only little information is available on health-related quality of
life (HRQoL) and its changes during the course of a normal pregnancy. We studied
changes in HRQoL in a pregnant population during pregnancy and until 1 year
postpartum in different body mass index (BMI) groups. MATERIAL AND METHODS: Seven
hundred and fifty pregnant women attending the first ultrasound examination
before gestational week 14 were invited to participate in a longitudinal,
communal-based survey. The participants were divided into three groups according
to their BMI; <25, 25-29.9, and >=30 kg/m2 . The women were asked to fill in
questionnaires assessing HRQoL (15D), depressive symptoms (Edinburgh Depression
Scale, EPDS), medical, obstetric and socioeconomic status at baseline. HRQoL and
EPDS were re-assessed at 30 weeks of gestation, and 6 weeks, 3 and 12 months
postpartum. RESULTS: Of the invited 750 mothers, 325 (43%) returned the
questionnaires and at least one follow-up questionnaire. At baseline, mean 15D
scores decreased with increasing BMI but the difference was not statistically
significant when adjusted for age, educational attainment, parity or EPDS-scores
(0.929, 0.921 and 0.916, p = 0.16). During the course of pregnancy, the HRQoL of
all women decreased but this decrease was significantly greater in the obese
group (-0.088; 95% CI -0.110 to -0.065) than in the other groups [-0.054 (95% CI
0.062 to -0.045) and -0.051 (95% CI -0.068 to -0.033), p = 0.019]. Within 3
months postpartum the mean HRQoL recovered in all BMI groups to baseline levels,
irrespective of the mode of delivery or pregnancy-related complications.
CONCLUSION: The burden of pregnancy is heavier for the heaviest.
PMID- 27886377
TI - A Kinetic Study of Furan Formation in Wheat Flour-Based Model Systems during
Frying.
AB - Furan is a possible human carcinogen, which is formed in worldwide highly
consumed fried starchy foods. In order to elucidate the mechanisms responsible
for its occurrence in this food category and propose techniques for its
mitigation, the kinetics of furan formation, oil absorption, lipid oxidation, and
color change were studied in wheat flour-based model systems during frying at
160, 170, 180, and 190 degrees C up to 13 min and data were fitted to
mathematical models. Additionally, an Arrhenius-type dependency with temperature
was evaluated for all studied responses. More drastic frying conditions increased
significantly (P <= 0.05) the furan content of fried samples. Furan formation
followed a sigmoid trend with frying time only for frying temperature of 190
degrees C (RMS190 degrees C : 7.6%). At lower temperatures, furan generation did
not reach the asymptotic concentration level. Color change, lipid oxidation, and
oil absorption increased with frying temperature and followed asymptotic
relationships with frying time. For all evaluated temperatures, color change
(RMS: 4.4% to 12.5%) and polar compound generation (RMS: 2.6% to 7.4%) presented
good fit to a first-order kinetic model. Oil absorption was successfully fit to a
mass balance-based model (RMS: 10.0% to 19.8%). Under the experimental conditions
studied, only color change (EA : 15.47 kJ/mol), lipid oxidation (EA : 6.67
kJ/mol), and oil absorption (EA : 76.98 kJ/mol) presented good fit (RMS: 0.7% to
6.3%) to an Arrhenius-type equation. Based on our results, the keeping of frying
temperature below 180 degrees C and the reduction of the frying time would
contribute to reduce not only the final furan occurrence in fried foods but also
their oil content.
PMID- 27886378
TI - Interactive Effect of Corticosterone and Lactate on Regulation of Testosterone
Production in Rat Leydig Cells.
AB - The increasing intensity of exercise enhanced corticosterone and lactate
production in both humans and rodents. Our previous studies also demonstrated
that lactate could stimulate testosterone production in vivo and in vitro.
However, the production of testosterone in response to combined corticosterone
and lactate on Leydig cells, and underlying molecular mechanisms are remained
unclear. This study investigated the changes in testosterone levels of Leydig
cells upon exposure to lactate, corticosterone or combination of both, and
revealed the detailed mechanisms. Leydig cells were isolated from rat testes, and
treated with different concentrations of lactate (2.5-20 mM), cortiosterone (10-9
-10-4 M) and lactate plus corticosterone. The production of testosterone were
assayed by radioimmunoassay, and the key molecular proteins, including
luteinizing hormone receptor (LHR), protein kinase A (PKA), steroidogenic acute
regulatory protein (StAR), and cholesterol P450 side-chain cleavage enzyme
(P450scc) involved in testosterone production were performed by Western blot.
Results showed that testosterone levels were significantly increased with
lactate, while decresed with corticosterone and lactate plus corticosterone
treatment. Protein expressions of LHR and P450scc were upregulated with lactate
treatment. However, PKA and P450scc were downregulated by lactate plus
corticosterone treatment. This downregulation was followed by decreased
testoterone levels in Leydig cells. Furthermore, acetylated cAMP, which activates
testosterone production was increased with lactate, but not altered by
conrtiosterone. Our findings conclude that corticosterone may interfere with
lactate, and restrict lactate-stimulated testosterone production in Leydig cells.
J. Cell. Physiol. 232: 2135-2144, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27886379
TI - Supernatants of Adipocytes From Obese Versus Normal Weight Women and Breast
Cancer Cells: In Vitro Impact on Angiogenesis.
AB - Breast cancer is correlated with a higher risk of metastasis in obese
postmenopausal women. Adipokines, whose plasma concentrations are modulated in
obese subjects and adipocytes surround mammary cells, suggesting that adipocyte
secretome affect mammary tumorogenesis. We hypothesize that mature adipocyte
secretions from obese women conditioned or not by breast neoplasic cells,
increase changes on the angiogenesis stages. Supernatants of human mature
adipocytes, differentiated from stem cells of either adipose tissue of normal
weight (MA20) or obese (MA30) women or obtained from co-cultures between MA20 and
MA30 and breast cancer cell line MCF-7, were collected. The impact of these
supernatants was investigated on proliferation, migration, and tube formation by
endothelial cells (HUVEC). MA20 and MA30 showed a preservation of their
"metabolic memory" (increase of Leptin, ObR, VEGF, CYP19A1, and a decrease of
Adiponectin expression in MA30 compared to MA20). Supernatants from obese
adipocytes increased HUVEC proliferation, migration, and sprouting like with
supernatants obtained from co-cultures of MA/MCF-7 regardless the women's BMI.
Additional analyses such as the use of neutralizing antibodies, analysis of
supernatants (Milliplex(r)) and variations in gene expression (qRT-PCR), strongly
suggest an implication of IL-6, or a synergistic action among adipokines,
probably associated with that of VEGF or IL-6. As a conclusion, supernatants from
co-cultures of MA30 and MCF-7 cells increase proliferation, migration, and
sprouting of HUVEC cells. These results provide insights into the interaction
between adipocytes and epithelial cancer cells, particularly in case of obesity.
The identification of synergistic action of adipokines would therefore be a great
interest in developing preventive strategies. J. Cell. Physiol. 232: 1808-1816,
2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27886380
TI - Acceptability and Preference Drivers of Freshly Roasted Peanuts.
AB - The objectives of this study were to determine and compare the sensory
perceptions and aroma volatiles of 6 freshly roasted peanut samples and to
explore the drivers of consumer acceptability. Normal-oleic Georgia 06G kernels
(06G), high-oleic Georgia 13M kernels (13M), Georgia runner (mixed) in-shell
(InR) & kernels (R), and Virginia (mixed) in-shell (InVA) & kernels (VA) were
roasted to medium doneness for consumers, descriptive, and gas chromatography
mass spectroscopy (GC-MS) tests. For Virginia variety, InVA was preferred over
VA. High-oleic 13M was preferred over normal-oleic 06G in overall liking, aroma
liking, flavor liking, sweet liking and roasted peanut flavor liking, and so on.
Descriptive tests showed that 06G was highest in bitter taste. Cluster analysis
divided consumers into 3 segments. Consumer overall liking was positively
correlated with attributes related to fresh products and negatively correlated
with the attributes that gave consumer the impression of oxidation and overroast.
Consumer in cluster 3 had more light eaters and paid less attention to textural
aspects. GC-MS data identified benzene derivatives and pyrazines as the principal
volatiles. 06G had significantly (P <= 0.05) higher concentration of alcohols and
aldehydes with the lowest concentration of pyrazines. As the major pyrazine, 2,5
dimethyl-pyrazine had the strongest correlation with roasted peanutty flavor,
while octanal, nonanal, hexanal, and 2-pentyl pyridine showed closer correlation
with overall oxidized flavor.
PMID- 27886381
TI - Composition-Based Prediction of Temperature-Dependent Thermophysical Food
Properties: Reevaluating Component Groups and Prediction Models.
AB - Prediction of temperature-dependent thermophysical properties (thermal
conductivity, density, specific heat, and thermal diffusivity) is an important
component of process design for food manufacturing. Current models for prediction
of thermophysical properties of foods are based on the composition, specifically,
fat, carbohydrate, protein, fiber, water, and ash contents, all of which change
with temperature. The objectives of this investigation were to reevaluate and
improve the prediction expressions for thermophysical properties. Previously
published data were analyzed over the temperature range from 10 to 150 degrees
C. These data were analyzed to create a series of relationships between the
thermophysical properties and temperature for each food component, as well as to
identify the dependence of the thermophysical properties on more specific
structural properties of the fats, carbohydrates, and proteins. Results from this
investigation revealed that the relationships between the thermophysical
properties of the major constituents of foods and temperature can be
statistically described by linear expressions, in contrast to the current
polynomial models. Links between variability in thermophysical properties and
structural properties were observed. Relationships for several thermophysical
properties based on more specific constituents have been identified. Distinctions
between simple sugars (fructose, glucose, and lactose) and complex carbohydrates
(starch, pectin, and cellulose) have been proposed. The relationships between the
thermophysical properties and proteins revealed a potential correlation with the
molecular weight of the protein. The significance of relating variability in
constituent thermophysical properties with structural properties--such as
molecular mass--could significantly improve composition-based prediction models
and, consequently, the effectiveness of process design.
PMID- 27886382
TI - Evaluation of the Effects of Cucumis sativus Seed Extract on Serum Lipids in
Adult Hyperlipidemic Patients: A Randomized Double-Blind Placebo-Controlled
Clinical Trial.
AB - Hyperlipidemia is associated with increased risk of atherosclerosis; therefore,
control of this risk factor is very important in preventing atherosclerosis.
Cucumber (Cucumis sativus) seed is used traditionally as a lipid-lowering
nutritional supplement. The aim of this study was to evaluate the effect of
cucumber seed extract on serum lipid profile in adult patients with mild
hyperlipidemia. In a randomized double-blind placebo-controlled clinical trial,
hyperlipidemic patients with inclusion criteria were randomly and equally
assigned to either Cucumis or placebo groups and used one medicinal or placebo
capsule, respectively, once daily with food for 6 wk. Body mass index (BMI) as
well as fasting serum levels of total cholesterol, triglycerides (TG), low
density lipoprotein (LDL-C), and high-density lipoprotein (HDL-C) were measured
for all patients pre- and post-intervention and finally the changes were compared
between the groups. Twenty-four patients in Cucumis group and 23 patients in
placebo group completed the study. Cucumis seed extract resulted in significant
reduction of total cholesterol (P = 0.016), LDL-C (P < 0.001), TG (P < 0.001),
and BMI (P < 0.001) as well as significant increase of HDL-C (P = 0.012) compared
to placebo. In conclusion, the consumption of C. sativus seed extract with daily
dose of 500 mg results in desirable effects on serum lipid profile in adult
hyperlipidemic patients. Therefore, cucumber seed could be considered as a food
supplement for treatment of dyslipidemia.
PMID- 27886383
TI - Permanent tooth loss and sugar-sweetened beverage intake in U.S. young adults.
AB - OBJECTIVE: In young adults, sugar-sweetened beverage (SSB) intake is associated
with dental caries, which in turn is a major contributor to tooth loss. The
independent role of SSB intake on tooth loss, however, has not been well
described. This cross-sectional study examined associations between tooth loss
and SSB intake among U.S. young adults. METHODS: The outcome was number of
permanent teeth lost because of dental caries or periodontal disease (0, 1-5, >=6
teeth). Data from the 2012 Behavioral Risk Factor Surveillance System were used.
The 22,526 adults aged 18-39 years completed the Sugar Drink Module. The exposure
variable was daily frequency of SSB intake. We used multinomial logistic
regression to examine the adjusted associations between tooth loss and daily SSB
consumption (0, >0 to <1, 1-2, >2 times/day). RESULTS: Approximately, 26% of
young adults reported losing at least one permanent tooth. Tooth loss was
positively associated with SSB intake frequency; the odds of losing 1-5 teeth
were higher among adults drinking SSBs >0-<1 times/day (OR = 1.44, 95%CI = 1.16
1.79), 1-2 times/day (OR = 1.58, 95%CI = 1.25-1.99), and >2 times/day (OR = 1.97,
95%CI = 1.51-2.58) than non-SSB consumers. The odds of losing >=6 teeth were
higher among adults drinking SSBs 1-2 times/day (OR = 2.20, 95%CI = 1.15-4.22)
and >2 times/day (OR = 2.81, 95%CI = 1.37-5.76) than non-SSB consumers.
CONCLUSIONS: Frequency of SSB consumption was positively associated with tooth
loss among young adults even when the average SSB intake was less than one time
per day. This study suggests that efforts to reduce SSB intake among young adults
may help to decrease the risk of tooth loss.
PMID- 27886384
TI - Valorization of functional properties of extract and powder of olive leaves in
raw and cooked minced beef meat.
AB - BACKGROUND: Olive leaves (OL), available in huge amounts from pruning, are known
to be a useful source of biologically active compounds. This study investigated
the potential application of OL as a supplement to minced beef meat in order to
develop a functional product. The effect of OL extract or powder (100 and 150 ug
phenols g-1 meat) on the quality and stability of raw and cooked meat during
refrigerated storage was examined. RESULTS: Microwave drying at 600 W gave OL
with the highest antioxidant quality (evaluated by TEAC/[phenols] (mg mg-1 ) and
DPPH/[phenols] (mg mg-1 )) compared with other methods. OL showed an ability to
inhibit (P < 0.05) lipid oxidation (TBARS values (mg MDA kg-1 ) were reduced by
25-65%) and myoglobin oxidation (metmyoglobin production was 43-65% in control
samples and 14-35% in treated samples). OL also improved the technological
quality of the meat, decreasing (P < 0.05) storage loss (%) and defrosting loss
(%) without affecting cooking loss (%) and Napole yield (%). Sensory properties
were not modified by the added ingredient at the tested levels (P < 0.05).
CONCLUSION: OL (extract or powder) may have applications in the development of
functional meat products of good technological quality that remain stable during
storage. (c) 2016 Society of Chemical Industry.
PMID- 27886386
TI - Costs of perennial allergic rhinitis and allergic asthma increase with severity
and poor disease control.
AB - BACKGROUND: Perennial allergic rhinitis (PAR) represents a global and public
health problem, due to its prevalence, morbidity, and impact on the quality of
life. PAR is frequently associated with allergic asthma (AA). Costs of PAR with
or without AA are poorly documented. OBJECTIVE: Our study aimed to detail medical
resource utilization (MRU) and related direct cost for PAR, with or without
concomitant AA, in France. METHODS: Using Electronic Health Records (EHRs), we
identified in 2010 two cohorts of PAR patients, based on General Practitioners'
diagnoses and prescribing data, with and without concomitant AA. For each
patient, the EHRs were linked to corresponding claims data with MRU and costs
during years 2011 to 2013. Predefined subgroup analyses were performed according
to severity of PAR and level of AA control. RESULTS: The median annual cost
reimbursed by social security system for a patient with PAR, and no AA was 159?
in 2013. This varied from 111? to 188? depending on PAR severity. For patients
with PAR and concomitant AA, the median annual cost varied between 266? and 375?,
and drug treatment accounted for 42-55% of the costs, depending on asthma
control. CONCLUSION: This study linking diagnoses from EHRs to claims data
collected valid information on PAR management, with or without concomitant AA,
and on related costs. There was a clear increase in costs with severity of PAR
and control of AA.
PMID- 27886387
TI - Coping strategies, alexithymia and anxiety in young patients with food allergy.
AB - BACKGROUND: Food allergy is major public health concern affecting nearly 15
million Americans and 80 million Europeans. Risk of anaphylaxis and implications
for social activities affect patients' quality of life and psychological well
being. We previously found that young patients reported higher levels of
alexithymia (difficulty in recognizing and expressing emotions) compared with
healthy peers and may influence affect, management style and clinical outcomes.
This study aimed to explore links between coping strategies, alexithymia and
anxiety among food-allergic adolescents and young adults. METHODS: Ninety-two
patients with IgE-mediated food allergy (mean age 18.6 years) completed Coping
Orientation to Problems Experienced Inventory, Toronto Alexithymia Scale and
Trait Anxiety subscale of State-Trait Anxiety Inventory. Multivariate analyses of
variance assessed differences and associations between subgroups on the scales.
RESULTS: Significant differences found between alexithymia levels in coping style
were explained by Avoidance strategies. 'Avoidance' had the highest contribution
in explaining alexithymia, followed by trait anxiety, age, anaphylaxis and social
support. Respondents with higher alexithymia use avoidance as coping strategy
over and above other coping strategies such as problem-solving and positive
thinking, are younger, will have experienced anaphylaxis and will have lower
social support. CONCLUSIONS: Recognizing the specific role of affect regulation
in health behaviours may constitute an important step in supporting patients to
explore more adaptive strategies.
PMID- 27886385
TI - Nonreciprocal complementation of KNOX gene function in land plants.
AB - Class I KNOTTED-LIKE HOMEOBOX (KNOX) proteins regulate development of the
multicellular diploid sporophyte in both mosses and flowering plants; however,
the morphological context in which they function differs. In order to determine
how Class I KNOX function was modified as land plants evolved, phylogenetic
analyses and cross-species complementation assays were performed. Our data reveal
that a duplication within the charophyte sister group to land plants led to
distinct Class I and Class II KNOX gene families. Subsequently, Class I sequences
diverged substantially in the nonvascular bryophyte groups (liverworts, mosses
and hornworts), with moss sequences being most similar to those in vascular
plants. Despite this similarity, moss mutants were not complemented by vascular
plant KNOX genes. Conversely, the Arabidopsis brevipedicellus (bp-9) mutant was
complemented by the PpMKN2 gene from the moss Physcomitrella patens. Lycophyte
KNOX genes also complemented bp-9 whereas fern genes only partially complemented
the mutant. This lycophyte/fern distinction is mirrored in the phylogeny of KNOX
interacting BELL proteins, in that a gene duplication occurred after divergence
of the two groups. Together, our results imply that the moss MKN2 protein can
function in a broader developmental context than vascular plant KNOX proteins,
the narrower scope having evolved progressively as lycophytes, ferns and
flowering plants diverged.
PMID- 27886389
TI - Meaningful coping with chronic pain: Exploring the interplay between goal
violation, meaningful coping strategies and life satisfaction in chronic pain
patients.
AB - Trying to cope with chronic pain is a highly demanding and challenging task and
pain patients often need to reformulate goals or aspirations due to their pain
condition. This goal violation is often related with experienced distress and
requires coping processes in order to decrease the distress and stimulate a
healthy adaptation. Some scholars, however, argued that in so-called unsolvable
or irreparable stressors such as chronic pain, conventional coping strategies
like problem-focused coping might not be the most adaptive option. In these
situations, meaningful coping strategies attempting to transform the meaning of
the stressful experience would be more accurate. In this study, we aim to test if
goal violation triggers meaningful coping strategies over time and whether
engagement in these meaningful coping strategies result in improved life
satisfaction, as an indicator of adaptation. A longitudinal three wave study in a
sample of paint patients (n = 125) tests whether goal violation triggers positive
reappraisal and downward comparison, two possible meaningful coping strategies.
The study furthermore tests if engagement in these strategies results in a better
adaptation to the pain condition, reflected in higher life satisfaction. Results
partially supported our hypotheses by pointing to the benevolent role of downward
comparison on life satisfaction via decreased goal violation of pain patients.
Our findings however did also show that positive reappraisal predicted lower life
satisfaction via increased levels of appraised goal violation which questions the
role of positive reappraisal as a genuine meaningful coping strategy.
Implications and limitations are discussed.
PMID- 27886388
TI - Phytate/calcium molar ratio does not predict accessibility of calcium in ready-to
eat dishes.
AB - BACKGROUND: Phytic acid (PA), a naturally occurring compound of plant food, is
generally considered to affect mineral bioavailability. The aim of this study was
to investigate the reliability of the PA/calcium molar ratio as a predictive
factor of calcium accessibility in composed dishes and their ingredients.
RESULTS: Dishes were chosen whose ingredients were rich in Ca (milk or cheese) or
in PA (whole-wheat cereals) in order to consider a range of PA/Ca ratios (from 0
to 2.4) and measure Ca solubility using an in vitro approach. The amounts of
soluble Ca in composed dishes were consistent with the sum of soluble Ca from
ingredients (three out of five meals) or higher. Among whole-wheat products,
bread showed higher Ca accessibility (71%, PA/Ca = 1.1) than biscuits (23%, PA/Ca
= 0.9) and pasta (15%, PA/Ca = 1.5), and among Ca-rich ingredients, semi-skimmed
milk displayed higher Ca accessibility (64%) than sliced cheese (50%) and
Parmesan (38%). No significant correlation between the PA/Ca ratio and Ca
accessibility was found (P = 0.077). CONCLUSION: The reliability of the PA/Ca
ratio for predicting the availability of calcium in composed dishes is
unsatisfactory; data emphasized the importance of the overall food matrix
influence on mineral accessibility. (c) 2016 Society of Chemical Industry.
PMID- 27886390
TI - Green, Yellow, and Red risk perception in everyday life - a communication tool.
AB - BACKGROUND: Adolescents have the highest risk for food allergy-related
fatalities. Our main aim was to investigate the level of risk in everyday social
situations as perceived by adolescents/young adults with peanut allergy, their
families, and their friends. METHODS: The web-based 'Colours Of Risks' (COR)
questionnaire was completed by 70 patients (aged 12-23 years), 103 mothers and
fathers, 31 siblings (aged 12-26 years), and 42 friends (aged 12-24 years). COR
deals with six main contexts (home, school/university, work, visiting/social
activities, special occasions/parties, and vacations), each with 1-12 items.
Response categories are green (I feel safe), yellow (I feel uncertain), or red (I
feel everything is risky). RESULTS: There was a high level of agreement between
participants in defining situations as safe, uncertain, or risky, but female
patients and mothers rated fewer situations as safe compared to male patients and
fathers. Being with close friends and family, and attending planned parties
without alcohol were perceived as situations of low risk. While 94% of patients
took an epinephrine auto-injector (EAI) into risky situations, only 65% took it
into safe situations. In contrast to the close family, 31% of the friends did not
know the patient had an EAI, and fewer knew how to administer the EAI.
CONCLUSION: Young adults with peanut allergy face challenges when moving from the
safe home with ready assistance if needed, to independence with unpredictable
surroundings and less certain help. Perceived 'safe' situations may in fact be
the riskiest, as patients often do not take the EAI with them.
PMID- 27886392
TI - Deregulated expression of EZH2 in congenital brainstem disconnection.
PMID- 27886391
TI - Estimate of the total costs of allergic rhinitis in specialized care based on
real-world data: the FERIN Study.
AB - BACKGROUND: Despite the socioeconomic importance of allergic rhinitis (AR), very
few prospective studies have been performed under conditions of clinical practice
and with a sufficiently long observation period outside the clinical trial
scenario. We prospectively estimated the direct and indirect costs of AR in
patients attending specialized clinics in Spain. METHODS: Patients were recruited
at random from allergy outpatient clinics in 101 health centers throughout Spain
over 12 months. We performed a multicenter, observational, prospective study
under conditions of clinical practice. We analyzed direct costs from a funder
perspective (healthcare costs) and from a societal perspective (healthcare and
non-healthcare costs). Indirect costs (absenteeism and presenteeism [productivity
lost in the workplace]) were also calculated. The cost of treating conjunctivitis
was evaluated alongside that of AR. RESULTS: The total mean cost of AR per
patient-year (n = 498) was ?2326.70 (direct, ?553.80; indirect, ?1772.90). Direct
costs were significantly higher in women (?600.34 vs ?484.46, P = 0.02). Total
costs for intermittent AR were significantly lower than for persistent AR
(?1484.98 vs ?2655.86, P < 0.001). Total indirect costs reached ?1772.90
(presenteeism, ?1682.71; absenteeism, ?90.19). The direct costs of AR in patients
with intermittent asthma (?507.35) were lower than in patients with mild
persistent asthma (?719.07) and moderate-persistent asthma (?798.71) (P = 0.006).
CONCLUSIONS: The total cost of AR for society is considerable. Greater frequency
of symptoms and more severe AR are associated with higher costs. Indirect costs
are almost threefold direct costs, especially in presenteeism. A reduction in
presenteeism would generate considerable savings for society.
PMID- 27886393
TI - Blinded versus unblinded estimation of a correlation coefficient to inform
interim design adaptations.
AB - Regulatory authorities require that the sample size of a confirmatory trial is
calculated prior to the start of the trial. However, the sample size quite often
depends on parameters that might not be known in advance of the study.
Misspecification of these parameters can lead to under- or overestimation of the
sample size. Both situations are unfavourable as the first one decreases the
power and the latter one leads to a waste of resources. Hence, designs have been
suggested that allow a re-assessment of the sample size in an ongoing trial.
These methods usually focus on estimating the variance. However, for some methods
the performance depends not only on the variance but also on the correlation
between measurements. We develop and compare different methods for blinded
estimation of the correlation coefficient that are less likely to introduce
operational bias when the blinding is maintained. Their performance with respect
to bias and standard error is compared to the unblinded estimator. We simulated
two different settings: one assuming that all group means are the same and one
assuming that different groups have different means. Simulation results show that
the naive (one-sample) estimator is only slightly biased and has a standard error
comparable to that of the unblinded estimator. However, if the group means
differ, other estimators have better performance depending on the sample size per
group and the number of groups.
PMID- 27886394
TI - Differences in the Evaluation of Generic Statements About Human and Non-Human
Categories.
AB - Generic statements (e.g., "Birds lay eggs") express generalizations about
categories. Current theories suggest that people should be especially inclined to
accept generics that involve threatening information. However, previous tests of
this claim have focused on generics about non-human categories, which raises the
question of whether this effect applies as readily to human categories. In
Experiment 1, adults were more likely to accept generics involving a threatening
(vs. a non-threatening) property for artifacts, but this negativity bias did not
also apply to human categories. Experiment 2 examined an alternative hypothesis
for this result, and Experiments 3 and 4 served as conceptual replications of the
first experiment. Experiment 5 found that even preschoolers apply generics
differently for humans and artifacts. Finally, Experiment 6 showed that these
effects reflect differences between human and non-human categories more
generally, as adults showed a negativity bias for categories of non-human
animals, but not for categories of humans. These findings suggest the presence of
important, early-emerging domain differences in people's judgments about
generics.
PMID- 27886395
TI - Popdc1/Bves Functions in the Preservation of Cardiomyocyte Viability While
Affecting Rac1 Activity and Bnip3 Expression.
AB - The Popeye domain containing1, also called Bves (Popdc1/Bves), is a transmembrane
protein that functions in muscle regeneration, heart rate regulation, hypoxia
tolerance, and ischemia preconditioning. The expression of Popdc1/Bves is
elevated in cardiomyocytes maintained in serum free defined medium. We
hypothesized that Popdc1/Bves is important for cardiomyocyte survival under the
stress of serum deprivation and investigated the mechanisms involved. A deficit
in Popdc1/Bves, achieved by siRNA-mediated gene silencing, results in
cardiomyocyte injury and death, upregulation of the pro-apoptotic protein Bcl
2/adenovirus E1B 19-kDa interacting protein3 (Bnip3), as well as reduction in
Rac1-GTPase activity and in Akt phosphorylation. Combined Popdc1/Bves and Bnip3
silencing attenuated cell injury and prevented Bnip3 upregulation induced by the
silencing of Popdc1/Bves alone. Chromatin immunoprecipitation indicated an
increased binding of the transcription factor FoxO3 to the Bnip3 promoter
although augmentation of FoxO3 in the nuclei was not detected. By contrast, the
transcription factor NFkappaB was excluded from the nuclei of Popdc1/Bves
deficient cardiomyocytes and exhibited decreased binding to the Bnip3 promoter.
The data indicates that Popdc1/Bves plays a role in the preservation of
cardiomyocyte viability under serum deficiency through the alteration of Rac1
activity and the regulation of Bnip3 expression by FoxO3 and NFkappaB
transcription factors pointing to Popdc1/Bves as a potential target to enhance
heart protection. J. Cell. Biochem. 118: 1505-1517, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27886396
TI - 5-ALA-mediated photodynamic therapy reduces the parasite load in mice infected
with Leishmania braziliensis.
AB - Photodynamic therapy (PDT) has proven to be an effective alternative for the
treatment of cutaneous leishmaniasis. Skin lesions consist of ulcers with well
defined raised edges, and granular floor. Th1 immune response is the protective
profile in patients infected with Leishmania. In this study, the photodynamic
therapy with 5-aminolevulinic acid, the parasitic load, and the modulation of the
immune response was evaluated in mice infected with Leishmania braziliensis.
Balb/c mice were infected with L. braziliensis and subsequently treated with
three sections of PDT. The parasite load and mRNA expression of cytokines (IFN
gamma, IL-4, IL-17, IL-22, IL-27, IL-10) and transcription factors (GATA-3, Foxp3
and T-bet) were analysed by quantitative PCR. The parasite load in the treated
group was significantly lower than in the untreated group (P<.0001); in PDT
treated animals, we observed an increase in IFN-gamma and T-bet mRNA (P=.012 and
P=.0071). There was a significant reduction in mRNA expression of IL-22
associated with an increased expression of IL-27 mRNA in the animals treated with
light only (P=.0001). 5-ALA associated with photodynamic therapy promotes a
reduction in parasite load and an increased expression of IFN-gamma and T-bet
mRNA.
PMID- 27886398
TI - An in situ toxicity identification and evaluation water analysis system:
Laboratory validation.
AB - It is difficult to assess the toxicity of a single stressor and establish a
strong stressor-causality link when multiple stressors coexist. Toxicity
identification evaluation (TIE) methodology uses a series of chemical and
physical manipulations to fractionate compounds within a matrix and
systematically identify potential toxicants. The current US Environmental
Protection Agency application of TIE can provide valuable information but often
lacks ecological realism and is subject to laboratory-related artifacts. An in
situ TIE device (iTIED) was designed to assess the sources of toxicity in aquatic
ecosystems. For this laboratory validation, each unit was equipped with a sorbent
resin chamber, an organism exposure chamber, a water collection container, and a
peristaltic pump. Chemical analyses of water processed by each iTIED unit were
compared with both lethal and sublethal molecular responses of the organisms. The
compound removal effectiveness of different sorbent resins was also compared. In
addition to successfully fractionating diverse chemical mixtures, the iTIED
demonstrated a potential for early detection of molecular biomarkers, which could
identify chronic toxicity that may go unnoticed in traditional TIE assays.
Utilizing this novel in situ system will reduce the uncertainty associated with
laboratory-based simulations and aid management efforts in targeting compounds
that pose the greatest threat. Environ Toxicol Chem 2017;36:1636-1643. (c) 2016
SETAC.
PMID- 27886397
TI - Telomerase and N-Cadherin Differential Importance in Adrenocortical Cancers and
Adenomas.
AB - Adrenocortical carcinomas (ACC) are most frequently highly aggressive tumors. We
assessed the telomerase reverse transcriptase (TERT) and N-cadherin role in the
biology of ACC and their potential utility as molecular biomarkers, in different
types of tumoral adrenocortical tissue. A total of 48 adrenal cortex samples (39
tumoral and 9 normal adrenal glands) were studied. TERT promoter mutations were
searched by PCR and Sanger sequencing in two hotspots positions (-124 and -146).
Also, telomerase and N-cadherin expression were evaluated by
immunohistochemistry. TERT promoter mutations were not detected in any of the
samples either malignant or benign. Telomerase nuclear expression was present in
26.6% of ACC and in 45.5% of non-functioning adenomas. It was absent in benign
Cushing's lesions and in normal adrenal glands. Contrarily, N-cadherin was always
expressed in the cellular membranes of benign adenomas or normal adrenals but no
expression was detected in the majority of ACC. Nuclear telomerase and membrane N
cadherin expression were positively correlated in ACCs. We conclude that in ACC,
the loss of N-cadherin is a frequent phenomenon while the existence of TERT
promoter mutations is not and nuclear telomerase expression is present in only a
minority of cases. Since the loss of N-cadherin expression was identified in both
high and low proliferative ACC, this marker should be considered important for
diagnostic application. Our study also suggests the existence of a TERT non
canonical function in cell adhesion. J. Cell. Biochem. 118: 2064-2071, 2017. (c)
2017 Wiley Periodicals, Inc.
PMID- 27886399
TI - Simulation of total knee arthroplasty in 5 degrees or 7 degrees valgus: A study
of gap imbalances and changes in limb and knee alignments from native.
AB - This study calculated the frequency of occurrence of gap imbalances between
medial and lateral compartments at 0 degrees flexion and within a compartment
between 0 degrees and 90 degrees flexion, and changes in limb and knee
alignment from native after computer simulation of total knee arthroplasty (TKA)
with the knee set in 5 degrees or 7 degrees valgus at 0 degrees flexion. TKA
was simulated on 49 3D bone models of native limbs. At 0 degrees flexion, the
femoral component was set in 5 degrees or 7 degrees valgus from the anatomic
axis of the femur, and the tibial component was set 0 degrees to the tibial
anatomic axis. At 90 degrees flexion, internal-external rotation of the femoral
component was set perpendicular to the anteroposterior axis of the trochlear
groove (Method 1), parallel to the transepicondylar axis (Method 2), 3 degrees
externally rotated to the posterior condylar axis (Method 3), and gap-balanced to
the tibial resection at 0 degrees flexion (Method 4). For 5 degrees and 7
degrees valgus knees, the frequency of occurrence of TKAs (1) with >=2 mm gap
imbalance between compartments at 0 degrees flexion was >=49%, (2) with >=2 mm
gap imbalance within a compartment between 0 degrees and 90 degrees flexion
ranged from 43-69% for Methods 1, 2, and 3, and (3) with >=2 degrees change in
limb and knee alignment from native was >=47%. Achieving balanced gaps between
compartments at 0 degrees flexion may often require soft tissue release.
Unbalanced gaps within a compartment between 0 degrees and 90 degrees flexion
represent a potential instability which is difficult to surgically correct. (c)
2016 Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop
Res 35:2031-2039, 2017.
PMID- 27886400
TI - Review of the aetiology, diagnostics and outcomes of childhood encephalitis from
1970 to 2009.
AB - AIM: Encephalitis is a rare, serious condition, and antiviral therapies,
increased knowledge of inflammatory pathways and improved diagnostics have
increased the therapeutic possibilities. We describe 40 years of childhood
encephalitis in Sweden, covering the diagnostics, aetiology and outcomes.
METHODS: We reviewed the clinical data that were available for all children
discharged from the Karolinska University Hospital in Stockholm following
treatment for encephalitis from 1970 to 2009. The hospital treated all children
in the region with the condition during the study period. RESULTS: There were 408
episodes of encephalitis from 1970 to 2009 and the incidence was similar over the
whole period, ranging from 6.4 to 8.7 per 100 000 child years. Although mortality
markedly decreased from 10% in the first decade to zero in the last decade, and
aetiologies shifted, no clear long-term improvements in outcome were seen. The
need for intensive care was unchanged (18-20%) for each of the study intervals,
possibly indicating that the severity of cases remained unaltered. CONCLUSION:
Understanding the pathophysiological mechanisms of encephalitis is vitally
important for developing more efficient treatment regimens. As well as reporting
the results of this 40-year study, this study considers possible explanations,
addresses current therapeutic options and explores directions for central nervous
system infection research.
PMID- 27886401
TI - Not all that glitters is gold-Electron microscopy study on uptake of gold
nanoparticles in Daphnia magna and related artifacts.
AB - Increasing use of engineered nanoparticles has led to extensive research into
their potential hazards to the environment and human health. Cellular uptake from
the gut is sparsely investigated, and microscopy techniques applied for uptake
studies can result in misinterpretations. Various microscopy techniques were used
to investigate internalization of 10-nm gold nanoparticles in Daphnia magna gut
lumen and gut epithelial cells following 24-h exposure and outline potential
artifacts (i.e., high-contrast precipitates from sample preparation related to
these techniques). Light sheet microscopy confirmed accumulation of gold
nanoparticles in the gut lumen. Scanning transmission electron microscopy and
elemental analysis revealed gold nanoparticles attached to the microvilli of gut
cells. Interestingly, the peritrophic membrane appeared to act as a semipermeable
barrier between the lumen and the gut epithelium, permitting only single
particles through. Structures resembling nanoparticles were also observed inside
gut cells. Elemental analysis could not verify these to be gold, and they were
likely artifacts from the preparation, such as osmium and iron. Importantly, gold
nanoparticles were found inside holocrine cells with disrupted membranes. Thus,
false-positive observations of nanoparticle internalization may result from
either preparation artifacts or mistaking disrupted cells for intact cells. These
findings emphasize the importance of cell integrity and combining elemental
analysis with the localization of internalized nanoparticles using transmission
electron microscopy. Environ Toxicol Chem 2017;36:1503-1509. (c) 2016 SETAC.
PMID- 27886402
TI - Analysis of Paracoccidioides lutzii mitochondria: a proteomic approach.
AB - The genus Paracoccidioides is composed of thermal dimorphic fungi, causative
agents of paracoccidioidomycosis, one of the most frequent systemic mycoses in
Latin America. Mitochondria have sophisticated machinery for ATP production,
which involves metabolic pathways such as citric acid and glyoxylate cycles,
electron transport chain and oxidative phosphorylation. In addition, this
organelle performs a variety of functions in the cell, working as an exceptional
metabolic signalling centre that contributes to cellular stress responses, as
autophagy and apoptosis in eukaryotic organisms. The aim of this work was to
perform a descriptive proteomic analysis of mitochondria in Paracoccidioides
lutzii yeast cells. After mitochondria fractionation, samples enriched in
mitochondrial proteins were digested with trypsin and analysed using a NanoUPLC
MSE system (Waters Corporation, Manchester, UK). Ours results revealed that the
established protocol for purification of mitochondria was very effective for P.
lutzii, and 298 proteins were identified as primarily mitochondrial, in our
analysis. To our knowledge, this is the first compilation of mitochondrial
proteins from P. lutzii, to date. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27886404
TI - Adipophilin expression in cutaneous malignant melanoma.
AB - BACKGROUND: The lipogenic pathway is upregulated in cancer cells, including
melanomas. However, the pathological significance of cellular lipids in
melanocytic lesions has yet to be determined. In this study, we evaluated
intracytoplasmic lipid droplets in melanocytic nevi (MNs) and malignant melanomas
via immunohistochemical analysis of adipophilin (ADP), which coats lipid
droplets. METHODS: One hundred primary cutaneous melanocytic lesions [33 MNs, 17
melanomas in situ (MIS), and 50 invasive melanomas (IMs)] were immunostained for
ADP. The intensity score (IS) and proportion score (PS) of ADP staining in each
case was recorded semiquantitatively on a scale of 0 to 3+. RESULTS: High ADP
expression (IS2/3+ and PS2/3+) was observed in 27 primary cutaneous melanocytic
lesions that consisted of 23 IMs, three MISs, and one MN. Consequently, high ADP
expression was associated with malignancy (38.8% vs. 3.0%; p < 0.0001). Among the
IMs, high ADP expression was more prevalent in pT3/4 than pT1/2 (63.3% vs. 23.8%;
p = 0.01) and Stage 3/4 than Stage 1/2 (76.9% vs. 36.8%; p = 0.02). CONCLUSIONS:
The majority of the melanocytic lesions with high ADP expression were malignant
melanomas in our cohort. Therefore, ADP expression may serve as a sensitive
diagnostic marker for malignant melanoma.
PMID- 27886405
TI - Hypercalcemia in Necrobiotic Xanthogranuloma: First Reported Case and Insight
Into Treatment.
AB - Necrobiotic xanthogranuloma (NXG) is a rare systemic and progressive
granulomatous disease first described in 1980. Given no established first-line
therapy, treatment focuses on the control of skin lesions and associated
complications. Despite it being a granulomatous disease, NXG has not been
associated with hypercalcemia. About 140 cases of NXG have been reported to date
but, to our knowledge, this is the first case to be complicated by hypercalcemia.
Our case confirms a granulomatous disease-mediated production of 1alpha
hydroxylase leading to increased synthesis of 1,25-dihydroxyvitamin D and
subsequent hypercalcemia. Based on this pathophysiology, we elected to start
systemic glucocorticoids, titrated to clinical and metabolic response. Steroid
sparing agents need to be considered to avoid long-term complications but
continue controlling this granulomatous disease. (c) 2017 American Society for
Bone and Mineral Research.
PMID- 27886403
TI - Rapid test for lung maturity, based on spectroscopy of gastric aspirate,
predicted respiratory distress syndrome with high sensitivity.
AB - AIM: Respiratory distress syndrome (RDS) is a major cause of mortality and
morbidity in premature infants. By the time symptoms appear, it may already be
too late to prevent a severe course, with bronchopulmonary dysplasia or
mortality. We aimed to develop a rapid test of lung maturity for targeting
surfactant supplementation. METHODS: Concentrations of the most surface-active
lung phospholipid dipalmitoylphosphatidylcholine and sphingomyelin in gastric
aspirates from premature infants were measured by mass spectrometry and expressed
as the lecithin/sphingomyelin ratio (L/S). The same aspirates were analysed with
mid-infrared spectroscopy. Subsequently, L/S was measured in gastric aspirates
and oropharyngeal secretions from another group of premature infants using
spectroscopy and the results were compared with RDS development. The 10-minute
analysis required 10 MUL of aspirate. RESULTS: An L/S algorithm was developed
based on 89 aspirates. Subsequently, gastric aspirates were sampled in 136
infants of 24-31 weeks of gestation and 61 (45%) developed RDS. The cut-off value
of L/S was 2.2, sensitivity was 92%, and specificity was 73%. In 59 cases, the
oropharyngeal secretions had less valid L/S than gastric aspirate results.
CONCLUSION: Our rapid test for lung maturity, based on spectroscopy of gastric
aspirate, predicted RDS with high sensitivity.
PMID- 27886406
TI - Disrupted pancreatic duct treated with a combination of endoscopic
cystoduodenostomy and pancreatic duct fistualization through a pseudocyst wall
using a lumen-apposing metal stent.
AB - Management of disconnected pancreatic duct syndrome is complex and is
increasingly approached using emerging endoscopic techniques. Herein, we present
a case of recurrent pancreatitis in an elderly woman complicated by pseudocyst
formation and complete pancreatic duct disruption. Her condition was treated with
a novel combination of transpapillary stenting and cystduodenostomy in which a
pancreatic duct stent was placed into an opening in the pseudocyst wall through a
lumen-apposing metal stent. This allowed for effective drainage into the
gastrointestinal tract and resolution of duct disruption. Post-procedure, the
patient has had recovery of nutritional status and no further recurrence of
pancreatic fluid collection. Endoscopic approaches to complete pancreatic duct
disruption represent a viable and less invasive alternative to surgical
management in selected cases.
PMID- 27886410
TI - High-Electron-Mobility and Air-Stable 2D Layered PtSe2 FETs.
AB - The electrical and optical measurements, in combination with density functional
theory calculations, show distinct layer-dependent semiconductor-to-semimetal
evolution of 2D layered PtSe2 . The high room-temperature electron mobility and
near-infrared photo-response, together with much better air-stability, make PtSe2
a versatile electronic 2D layered material.
PMID- 27886407
TI - First-in-human assessment of PRX002, an anti-alpha-synuclein monoclonal antibody,
in healthy volunteers.
AB - BACKGROUND: alpha-Synuclein is a major component of pathologic inclusions that
characterize Parkinson's disease. PRX002 is an antibody that targets alpha
synuclein, and its murine parent antibody 9E4 has been shown in preclinical
studies to reduce alpha-synuclein pathology and to protect against cognitive and
motor deteriorations and progressive neurodegeneration in human alpha-synuclein
transgenic mice. METHODS: This first-in-human, randomized, double-blind, placebo
controlled, phase 1 study assessed the impact of PRX002 administered to 40
healthy participants in 5 ascending-dose cohorts (n = 8/cohort) in which
participants were randomly assigned to receive a single intravenous infusion of
study drug (0.3, 1, 3, 10, or 30 mg/kg; n = 6/cohort) or placebo (n = 2/cohort).
RESULTS: PRX002 demonstrated favorable safety, tolerability, and pharmacokinetic
profiles at all doses tested, with no immunogenicity. No serious adverse events,
discontinuations as a result of adverse events, or dose-limiting toxicities were
reported. Serum PRX002 exposure was dose proportional; the average terminal half
life across all doses was 18.2 days. A significant dose-dependent reduction in
free serum alpha-synuclein (unbound to PRX002) was apparent within 1 hour after
PRX002 administration, whereas total alpha-synuclein (free plus bound) increased
dose-dependently, presumably because of the expected change in kinetics following
antibody binding. CONCLUSIONS: This study demonstrates that serum alpha-synuclein
can be safely modulated in a dose-dependent manner after single intravenous
infusions of an anti-alpha-synuclein antibody. These findings support continued
development of PRX002, including further characterization of its safety,
tolerability, pharmacokinetics, and pharmacodynamic effects in the central
nervous system in patients with Parkinson's disease. (c) 2016 The Authors.
Movement Disorders published by Wiley Periodicals, Inc. on behalf of
International Parkinson and Movement Disorder Society.
PMID- 27886412
TI - Cowden Syndrome: Serendipitous Diagnosis in Patients with Significant Breast
Disease. Case Series and Literature Review.
AB - Cowden syndrome (CS) is a multi-system disease that carries an increased lifetime
risk of developing certain cancers as well as benign neoplasms. The presence of
features of CS in the general unaffected population results in difficulties in
the recognition and diagnosis of this condition. Early diagnosis is essential to
prevent the development of malignant neoplasms, yet despite the introduction of
diagnostic criteria and risk calculators, accurate diagnosis remains a challenge.
We identified three patients who presented to the symptomatic breast unit of a
University Teaching Hospital over a period of 12 weeks who subsequently were
diagnosed with CS. In this article, we discuss their clinical presentations as
well as their path to diagnosis. The short timeframe between the presentations of
these patients undoubtedly expedited their diagnosis. Upon application of
internationally recognized diagnostic criteria, only two out of our three
patients were accurately diagnosed. The risk of breast cancer in CS is comparable
with that found in Hereditary Breast and Ovarian Cancer Syndrome and while a
protocol for breast screening in these patients exists in most centres, no such
protocol exists for patients with CS in our institution. The recommended cancer
surveillance programs for patients with CS have not been found to prolong
survival, however. CS consists of a vast array of diseases that span the various
specialties and the subsequent varied phenotypic presentation poses diagnostic
difficulties for clinicians as emphasized in our series. Continued research is
required to improve recognition and diagnosis and will hopefully result in the
emergence of life prolonging strategies.
PMID- 27886411
TI - Dog ownership and physical activity among breast, prostate, and colorectal cancer
survivors.
AB - BACKGROUND: Dog ownership has been associated with higher rates of physical
activity (PA) in several populations but no study to date has focused on cancer
survivors. The purpose of this study was to examine the associations between dog
ownership and PA among cancer survivors and to examine correlates of dog
ownership. METHODS: A stratified random sample of 2062 breast cancer survivors,
prostate cancer survivors, and colorectal cancer survivors was mailed a
questionnaire assessing PA, social cognitive, dog ownership, demographic, and
medical variables. RESULTS: Overall, 25% of the sample was dog owners (DOs).
There were no significant differences in moderate, vigorous, or total PA minutes
between DOs and non-dog owners. There was a significant difference in light PA
minutes in favor of DOs (153 vs 112 minutes; 95% CI = 4 to 77; P = .030),
however, this was largely restricted to breast cancer survivors (143 vs 79
minutes; 95% CI = 25 to 102; P = .001) who also reported fewer vigorous PA
minutes (18 vs 39 minutes; 95% CI = -42 to -1; P = .042). Survivors were more
likely to be DOs if they had breast cancer (P = .054), a higher income (P =
.021), radiation therapy (P = .029), chemotherapy (P = .010), were younger (P <
.001), employed (P < .001), and a current smoker (P = .015). Few social cognitive
variables were associated with DO. CONCLUSIONS: Dog ownership among cancer
survivors was not associated with moderate-to-vigorous PA but was associated with
light PA. Further research is necessary to determine if promoting dog ownership
and dog walking among cancer survivors may increase PA and possibly improve
health outcomes.
PMID- 27886413
TI - Noble-Metal-Free Photocatalytic Hydrogen Evolution Activity: The Impact of Ball
Milling Anatase Nanopowders with TiH2.
AB - Ball milling TiO2 anatase together with TiH2 can create an effective
photocatalyst. The process changes the lattice and electronic structure of
anatase. Lattice deformation created by mechanical impact combined with hydride
incorporation yield electronic gap-states close to the conduction band of
anatase. These provide longer lifetimes of photogenerated charge carriers and
lead to an intrinsic cocatalytic activation of anatase for H2 evolution.
PMID- 27886408
TI - Role of ROCK2 in CD4+ cells in allergic airways responses in mice.
AB - BACKGROUND: Rho kinases (ROCKs) contribute to allergic airways disease. ROCKs
also play a role in lymphocyte proliferation and migration. OBJECTIVE: To
determine the role of ROCK2 acting within CD4+ cells in allergic airways
responses. METHODS: ROCK2-haploinsufficient (ROCK2+/- ) and wild-type mice were
sensitized with ovalbumin (OVA). ROCK2+/- mice then received either CD4+ cells
from ROCK2-sufficient OVA TCR transgenic (OT-II) mice or saline i.v. 48 h before
challenge with aerosolized OVA. Wild-type mice received saline before challenge.
Allergic airways responses were measured 48 h after the last challenge. Allergic
airways responses were also assessed in mice lacking ROCK2 only in CD4+ cells
(ROCK2CD4Cre mice) vs. control (CD4-Cre and ROCK2flox/flox ) mice. RESULTS: OVA
induced increases in bronchoalveolar lavage lymphocytes, eosinophils, IL-13, IL
5, and eotaxin were reduced in ROCK2+/- vs. wild-type mice, as were airway
hyperresponsiveness and mucous hypersecretion. In ROCK2+/- mice, adoptive
transfer with CD4+ cells from OT-II mice restored effects of OVA on lymphocytes,
eosinophils, IL-13, IL-5, and mucous hypersecretion to wild-type levels, whereas
eotaxin and airway hyperresponsiveness were not affected. ROCK2 inhibitors
reduced IL-13-induced release of eotaxin from airway smooth muscle (ASM), similar
to effects of these inhibitors on ASM contractility. Despite the ability of
adoptive transfer to restore allergic airways inflammation in ROCK2-insufficient
mice, allergic inflammation was not different in ROCK2CD4Cre vs. control mice.
CONCLUSION: ROCK2 contributes to allergic airways responses likely via effects
within ASM cells and within non-lymphocyte cells involved in lymphocyte
activation and migration into the airways.
PMID- 27886415
TI - Primary Mucormycosis of the Breast: A Rare Entity.
PMID- 27886414
TI - Chronic ghrelin treatment reduced photophobia and anxiety-like behaviors in
nitroglycerin- induced migraine: role of pituitary adenylate cyclase-activating
polypeptide.
AB - Chronic migraine is a debilitating disorder that has a significant impact on
patients and society. Nearly all migraineurs frequently reported light
sensitivity during a headache attack. Pituitary adenylate cyclase-activating
polypeptide (PACAP) plays an important role in the activation of trigeminal
system and migraine pain. To identify the effect of chronic ghrelin treatment on
endogenous PACAP and associated symptoms of migraine, an experimental chronic
migraine model was induced by intermittent intraperitoneal (i.p) injection of
nitroglycerin (NTG). Photophobia and anxiety-like behaviors were determined in
the modified elevated plus maze on days 2, 4, 6, 8, and 10 and in the light/dark
box on days 3, 5, 7, 9, and 11. Blood levels of PACAP and cortisol were assessed
by enzyme-linked immunosorbent (ELISA) kits. Chronic injection of NTG evoked
photophobia and anxiety-like behaviors and treatment with ghrelin (150 MUg/kg)
for 11 days effectively attenuated photophobia and anxiety-like behaviors in the
both paradigms. We further found that NTG increased the blood levels of PACAP and
cortisol, which was significantly reduced by ghrelin treatment. Additionally,
staining with Hematoxylin and Eosin (H&E) revealed that ghrelin reduced NTG
induced increase in the number of satellite glial cells in the trigeminal
ganglion. Furthermore, for the first time we showed that repeated administrations
of NTG increased white blood cell (WBC) counts and mean platelet volume (MPV),
and decreased platelet counts. These results indicated that ghrelin decreased
migraine associated symptoms possibly through attenuating endogenous PACAP and
cortisol levels. Therefore, ghrelin may hold therapeutic potentialities in
managing the chronic migraine.
PMID- 27886417
TI - Staphylococcus aureus requires at least one FtsK/SpoIIIE protein for correct
chromosome segregation.
AB - Faithful coordination between bacterial cell division and chromosome segregation
in rod-shaped bacteria, such as Escherichia coli and Bacillus subtilis, is
dependent on the DNA translocase activity of FtsK/SpoIIIE proteins, which move
DNA away from the division site before cytokinesis is completed. However, the
role of these proteins in chromosome partitioning has not been well studied in
spherical bacteria. Here, it was shown that the two Staphylococcus aureus
FtsK/SpoIIIE homologues, SpoIIIE and FtsK, operate in independent pathways to
ensure correct chromosome management during cell division. SpoIIIE forms foci at
the centre of the closing septum in at least 50% of the cells that are close to
complete septum synthesis. FtsK is a multifunctional septal protein with a C
terminal DNA translocase domain that is not required for correct chromosome
management in the presence of SpoIIIE. However, lack of both SpoIIIE and FtsK
causes severe nucleoid segregation and morphological defects, showing that the
two proteins have partially redundant roles in S. aureus.
PMID- 27886416
TI - A Case of Solitary Well-Differentiated Papillary Mesothelioma with Invasive Foci
in the Pleura.
AB - Well-differentiated papillary mesothelioma (WDPM) is a rare, distinct tumor
consisting of mesothelial cells with a papillary architecture, bland cytological
features, and a tendency toward superficial spread without invasion. Rare cases
with superficial invasion are termed WDPM with invasive foci. We report a case of
solitary WDPM with invasive foci in the pleura. A 61-year-old woman presented
with a lung adenocarcinoma. A small papillary lesion measuring 29 * 10 * 8 mm was
incidentally found in the parietal pleura during a lobectomy for the lung
adenocarcinoma. The fibrovascular core of the small papillary lesion was
surrounded by a single layer of cuboidal cells with mild to moderate atypia and
large nucleoli. Atypical mesothelial cells focally invaded the submesothelial
layer. The cells of the papillary lesion were positive for cytokeratins and
mesothelial markers. The Ki67 index was <1 %. The lesion did not show p16 loss on
fluorescence in situ hybridization. We could not detect atypical mesothelial
cells in the specimen from an extrapleural pneumonectomy. WDPM with invasive foci
is prone to multifocality; however, our case represents a solitary case in the
pleura.
PMID- 27886418
TI - Surviving Triple Trouble: Synchronous Breast and Cervical Cancer, HIV Infection
and Myocardial Infarction.
AB - Breast and cervical cancer are the two most common cancers in female. However,
owing to the contrasting risk factors, synchronous breast and cervical cancer has
very rarely been reported. However, noncommunicable disease like cardiovascular
disease and different infections has tended to make situations complicated
because of complex interaction. In recent years, such cases are being seen
frequently and their management is challenging. We report such a case of
synchronous breast and cervical cancer complicated by HIV infection and
myocardial infarction. This highlights the importance of a wide spectrum of
clinical knowledge and skill and interdisciplinary coordination.
PMID- 27886419
TI - Functional characterization of a novel loss-of-function mutation of PRPS1 related
to early-onset progressive nonsyndromic hearing loss in Koreans (DFNX1):
Potential implications on future therapeutic intervention.
AB - BACKGROUND: The symptoms of phosphoribosyl pyrophosphate synthetase 1 (PRPS1)
deficiency diseases have been reported to be alleviated by medication. In the
present study, we report biochemical data that favor PRPS1 deficiency-related
hearing loss as a potential target for pharmaceutical treatment. METHODS: We
recruited 42 probands from subjects aged less than 15 years with a moderate
degree of nonsyndromic autosomal-recessive or sporadic sensorineural hearing loss
(SNHL) in at least one side. Molecular genetic testing, including targeted exome
sequencing (TES) of 129 genes for deafness, and in silico prediction were
performed. RESULTS: A strong candidate variant (p.A82P) of PRPS1 is co-segregated
with SNHL in X-linked recessive inheritance from one Korean multiplex SNHL
family. Subsequent measurement of in vitro enzymatic activities of PRPS1 from
erythrocytes of affected and unaffected family members, as well as unrelated
normal controls, confirmed a pathogenic role of this variant. In detail, compared
to normal hearing controls (0.23-0.26 nmol/ml/h), the proband, the affected
sibling and their normal hearing mother demonstrated a significantly decreased
PRPS1 enzymatic activity (0.07, 0.03 and 0.11 nmol/ml/h, respectively). This
novel loss-of-function mutation of PRPS1 (p.A82P) is the ninth and sixth most
reported mutation in the world and in Asia, respectively. CONCLUSIONS: DFNX1 was
found to account for approximately 2.4% (1/42) of moderate SNHL in a Korean
pediatric population. Confirmation of PRPS1 activity deficiency and an audiologic
phenotype that initially begins in a milder form of SNHL, as in our family,
should indicate the need for rigorous genetic screening as early as possible.
PMID- 27886420
TI - Posterior tibial tendinopathy associated with matrix metalloproteinase 13
promoter genotype and haplotype.
AB - BACKGROUND: Posterior tibial tendon (PTT) is particularly vulnerable and its
insufficiency is recognized as the main cause of adult acquired flat foot. Some
patients have a predisposition without a clinically recognized cause, suggesting
that individual characteristics play an important role in tendinopathy. The
present study investigated whether genetic variants in matrix metalloproteinases
(MMPs) are associated with PTT dysfunction. METHODS: One hundred women who
presented PTT dysfunction, with histopathological examination of the tendon and
magnetic resonance imaging (MRI) confirming tendinopathy, as well as 100
asymptomatic women who presented intact PPT as assessed by MRI and constituting
the control group, were evaluated for MMP-13 g.-77 A > G (rs2252070)
polymorphism, individually and in haplotypes, as well as in combination with MMP
1 g.-519 A > G (rs1144393), MMP-1 g.-1607 G > GG (rs1799750) and MMP-8 g.-799 C >
T (rs11225395) polymorphisms with PTT dysfunction. Genomic DNA was extracted from
the saliva and genotypes were obtained by polymerase chain reaction-restriction
fragment length polymorphism. Statistical analysis of the results included a Mann
Whitney U-test, Fisher's exact test, multiple logistic regression, chi-squared
and SNPstats software (http://bioinfo. iconcologia.net/snpstats/start.htm). p <
0.05 was considered statistically significant. RESULTS: The A allele frequency
(MMP-13 g.-77 A > G (rs2252070) polymorphism) was significantly higher in the
case group (76% and 61%, respectively; p = 0.010, odds ratio = 2.02; 95%
confidence interval = 1.32-3.12). The genotype distribution was also
significantly different between groups (p = 0.001, odds ratio = 2.82; 95%
confidence interval = 1.58-5.02). Global haplotype analysis indicated a
significant difference between both groups. CONCLUSIONS: In conclusion, these
findings indicate that MMP-13 g.-77 A > G (rs2252070) polymorphism individually,
as well as its haplotypes MMP-1 g.-519 A > G (rs1144393), MMP-1 g.-1607 G > GG
(rs1799750) and MMP-8 g.-799 C > T (rs11225395), may contribute to PTT
dysfunction.
PMID- 27886421
TI - Pathophysiology of dyspnoea in acute pulmonary embolism: A cross-sectional
evaluation.
AB - BACKGROUND AND OBJECTIVE: Dyspnoea in pulmonary embolism (PE) remains poorly
characterized. Little is known about how to measure intensity or about the
underlying mechanisms that may be related to ventilatory abnormalities, alveolar
dead space ventilation or modulating factors such as psychological modulate. We
hypothesized that dyspnoea would mainly be associated with pulmonary vascular
obstruction and its pathophysiological consequences, while the sensory-affective
domain of dyspnoea would be influenced by other factors. METHODS: We undertook a
prospective study of 90 consecutive non-obese patients (mean +/- SD age: 49 +/-
16 years, 41 women) without cardiorespiratory disease. All patients were
hospitalized with symptoms for <15 days and a confirmed PE (multi-detector
computed tomography (MDCT) scan, n = 87 and high-probability
ventilation/perfusion scan, n = 3). Patients underwent assessment of dyspnoea
using the Borg score, modified Medical Research Council (mMRC) scale, assessment
of psychological trait, state of anxiety and depression and chest pain via the
Visual Analogical Scale at the time of maximum dyspnoea. Functional evaluations
such as the quantitative ventilation-perfusion lung scan, echocardiography,
alveolar dead space fraction and tidal ventilation measurements were completed
within 48 h of admission. RESULTS: Multivariate analyses demonstrated that
dyspnoea was mainly linked to pulmonary vascular obstruction and/or its
consequences such as raised pulmonary arterial pressure and chest pain. The
sensory-affective domain of dyspnoea showed additional determinants such as age,
depression and breathing variability. CONCLUSION: Dyspnoea is mainly related to
vascular consequences of PE such as increased pulmonary arterial pressure or
chest pain. The sensory-affective domain of dyspnoea also correlates with age,
depression and breathing variability.
PMID- 27886422
TI - Update in respiratory sleep disorders: Prologue to a modern review series.
PMID- 27886423
TI - An approach for identifying cryptic barriers to gene flow that limit species'
geographic ranges.
AB - Species' geographic range limits are most often not demarcated by obvious
dispersal barriers. Poor-quality habitat at the edge of a species' range can
prevent range expansion by preventing outward migration or through reducing
adaptive potential resulting from decreased genetic diversity. We identified
habitat variables that constrain gene flow across the entire geographic range of
an endemic salamander (Ambystoma barbouri) in the eastern United States, and we
tested whether increased resistance resulting from these variables provides
cryptic dispersal barriers at the range edges. Using polymorphic microsatellite
loci, we first identified three genetic clusters that are separated by the Ohio
and Kentucky rivers. Through a combination of landscape genetic analyses and
generalized dissimilarity modelling, we then classified variables that (i)
restrict gene flow in each of the genetic clusters across the geographic
distribution of A. barbouri and (ii) become more common towards the peripheries
of the distribution. A decrease in limestone availability and an increase in
growing season precipitation were correlated with high resistance to gene flow
across the range, and both became more common at the edges of the species'
distribution. However, other landscape variables were more important for
explaining variation in geneflow rates in different portions of the range, such
as increased mean annual temperature and frost-free period in the south vs.
growing season precipitation in the north. Taken together, these results suggest
that there are both range-wide and regionally specific cryptic habitat barriers
preventing geographic range expansion. Species 'geographic range limits are
probably governed by a set of ecological and evolutionary factors, and our
landscape genetic approach could be applied to gain additional insight into many
systems.
PMID- 27886424
TI - PGMA-Based Star-Like Polycations with Plentiful Hydroxyl Groups Act as Highly
Efficient miRNA Delivery Nanovectors for Effective Applications in Heart
Diseases.
PMID- 27886425
TI - Template-Directed Directionally Solidified Three-Dimensionally Mesostructured
AgCl-KCl Eutectic Photonic Crystals.
PMID- 27886426
TI - Retraction Statement: "High-Volumetric Performance Aligned Nano-Porous Microwave
Exfoliated Graphite Oxide-based Electrochemical Capacitors" and "Aligned Nano
Porous Microwave Exfoliated Graphite Oxide Ionic Actuators with High Strain and
Elastic Energy Density".
AB - These articles first published on 15 August 2013 and 21 August 2013 on the Wiley
Online Library have been retracted at the request of the Research Integrity
Officer (RIO) of The Pennsylvania State University, in agreement with the
corresponding authors, the journal's Editor-in-Chief, and Wiley-VCH Verlag GmbH &
Co. KGaA, because portions of the reported results cannot be considered reliable
or reproducible. Following an investigation by the RIO of The Pennsylvania State
University, it was found that the data in Figure 2a,b and Figure S1a,b
(Supporting Information) of the article with DOI: 10.1002/adma.201301243, and
Figure S3 (Supporting Information) of the article with DOI:
10.1002/adma.201301370 were falsified. Data regarding the carbon electrode
material, A-aMEGO, reported to have a density of 1.15 g cm-3 , in the article
with DOI: 10.1002/adma.201301243, were falsified. The RIO of The Pennsylvania
State University confirms that the investigation found that the mentioned data
were falsified by the first author. No findings of research misconduct were made
against the co-authors of these publications. [1] M. Ghaffari, Y. Zhou, H. Xu, M.
Lin, T. Y. Kim, R. S. Ruoff, Q. M. Zhang, Adv. Mater. 25: 2013, 4879.
doi:10.1002/adma.201301243 [2] M. Ghaffari, W. Kinsman, Y. Zhou, S. Murali, Q.
Burlingame, M. Lin, R. S. Ruoff, Q. M. Zhang, Adv. Mater. 25: 2013, 6277.
doi:10.1002/adma.201301370.
PMID- 27886427
TI - Culture-negative fever after pancreas transplantation.
PMID- 27886429
TI - Assessing healthcare quality using routine data: evaluating the performance of
the national tuberculosis programme in South Africa.
AB - OBJECTIVE: To assess the performance of healthcare facilities by means of
indicators based on guidelines for clinical care of TB, which is likely a good
measure of overall facility quality. METHODS: We assessed quality of care in all
public health facilities in South Africa using graphical, correlation and locally
weighted kernel regression analysis of routine TB test data. RESULTS: Facility
performance falls short of national standards of care. Only 74% of patients with
TB provided a second specimen for testing, 18% received follow-up testing and 14%
received drug resistance testing. Only resistance testing rates improved over
time, tripling between 2004 and 2011. National awareness campaigns and changes in
clinical guidelines had only a transient impact on testing rates. The poorest
performing facilities remained at the bottom of the rankings over the period of
study. CONCLUSION: The optimal policy strategy requires both broad-based policies
and targeted resources to poor performers. This approach to assessing facility
quality of care can be adapted to other contexts and also provides a low-cost
method for evaluating the effectiveness of proposed interventions. Devising
targeted policies based on routine data is a cost-effective way to improve the
quality of public health care provided.
PMID- 27886428
TI - Immune reactivity after adenoviral-mediated aquaporin-1 cDNA transfer to human
parotid glands.
AB - OBJECTIVES: The purpose of this study was to examine the humoral and cellular
immune reactivity to adenoviral vector (AdhAQP1) administration in the human
parotid gland over the first 42 days of a clinical gene therapy trial. METHODS:
Of eleven treated subjects, five were considered as positive responders (Baum et
al, 2012). Herein, we measured serum neutralizing antibody titers, circulating
cytotoxic lymphocytes, and lymphocyte proliferation in peripheral blood
mononuclear cells. Additionally, after adenoviral vector stimulation of
lymphocyte proliferation, we quantified secreted cytokine levels. RESULTS:
Responders showed little to modest immune reactivity during the first 42 days
following gene transfer. Additionally, baseline serum neutralizing antibody
titers to serotype 5-adenovirus generally were not predictive of a subject's
response to parotid gland administration of AdhAQP1. Cytokine profiling from
activated peripheral blood mononuclear cells could not distinguish responders and
non-responders. CONCLUSIONS: The data are the first to describe immune responses
after adenoviral vector administration in a human parotid gland. Importantly, we
found that modest (2-3 fold) changes in systemic cell-mediated immune reactivity
did not preclude positive subject responses to gene transfer. However, changes
beyond that level likely impeded the efficacy of gene transfer.
PMID- 27886430
TI - The muddle of ages, turnover, transit, and residence times in the carbon cycle.
AB - Comparisons among ecosystem models or ecosystem dynamics along environmental
gradients commonly rely on metrics that integrate different processes into a
useful diagnostic. Terms such as age, turnover, residence, and transit times are
often used for this purpose; however, these terms are variably defined in the
literature and in many cases, calculations ignore assumptions implicit in their
formulas. The aim of this opinion piece was i) to make evident these
discrepancies and the incorrect use of formulas, ii) highlight recent results
that simplify calculations and may help to avoid confusion, and iii) propose the
adoption of simple and less ambiguous terms.
PMID- 27886431
TI - Music interventions for dental anxiety.
AB - Anxiety is a significant issue in the dental care of adults and children. Dental
anxiety often leads to avoidance of dental care which may result in significant
deterioration of oral and dental health. Non-pharmacological anxiety management
interventions such as music listening are increasingly used in dental care.
Although efficacy for music's anxiolytic effects has been established for pre
operative anxiety, findings regarding the use of music listening for dental
anxiety are inconclusive, especially for children. The use of music for passive
distraction may not be adequate for children and highly anxious adults. Instead,
interventions offered by a trained music therapist may be needed to optimize
music's anxiolytic impact. Music therapy interventions are individualized to the
patient's presenting needs and geared at enhancing patients' active engagement in
the management of their anxiety. Interventions may include (i) active refocusing
of attention, (ii) music-guided deep breathing, (iii) music-assisted relaxation,
and (iv) music-guided imagery. In addition, music therapists can teach patients
music-based anxiety management skills prior to dental treatments, offer them the
opportunity to express emotions related to the upcoming procedure, and help them
gain a sense of control and safety. Clinical guidelines for the use of music
listening by dental practitioners are offered.
PMID- 27886432
TI - Long-term nucleos(t)ide analog(s) monoprophylaxis in Delta coinfected liver
transplant recipients.
PMID- 27886433
TI - The Burkholderia cenocepacia peptidoglycan-associated lipoprotein is involved in
epithelial cell attachment and elicitation of inflammation.
AB - The Burkholderia cepacia complex (Bcc) is a group of Gram-negative opportunistic
pathogens causing infections in people with cystic fibrosis (CF). Bcc is highly
antibiotic resistant, making conventional antibiotic treatment problematic. The
identification of novel targets for anti-virulence therapies should improve
therapeutic options for infected CF patients. We previously identified that the
peptidoglycan-associated lipoprotein (Pal) was immunogenic in Bcc infected CF
patients; however, its role in Bcc pathogenesis is unknown. The virulence of a
pal deletion mutant (Deltapal) in Galleria mellonella was 88-fold reduced (p <
.001) compared to wild type. The lipopolysaccharide profiles of wild type and
Deltapal were identical, indicating no involvement of Pal in O-antigen transport.
However, Deltapal was more susceptible to polymyxin B. Structural elucidation by
X-ray crystallography and calorimetry demonstrated that Pal binds peptidoglycan
fragments. Deltapal showed a 1.5-fold reduced stimulation of IL-8 in CF
epithelial cells relative to wild type (p < .001), demonstrating that Pal is a
significant driver of inflammation. The Deltapal mutant had reduced binding to
CFBE41o- cells, but adhesion of Pal-expressing recombinant E. coli to CFBE41o-
cells was enhanced compared to wild-type E. coli (p < .0001), confirming that Pal
plays a direct role in host cell attachment. Overall, Bcc Pal mediates host cell
attachment and stimulation of cytokine secretion, contributing to Bcc
pathogenesis.
PMID- 27886434
TI - Integrated total pelvic floor ultrasound in pelvic floor defaecatory dysfunction.
AB - AIM: Imaging for pelvic floor defaecatory dysfunction includes defaecation
proctography. Integrated total pelvic floor ultrasound (transvaginal,
transperineal, endoanal) may be an alternative. This study assesses ultrasound
accuracy for the detection of rectocele, intussusception, enterocele and
dyssynergy compared with defaecation proctography, and determines if ultrasound
can predict symptoms and findings on proctography. Treatment is examined. METHOD:
Images of 323 women who underwent integrated total pelvic floor ultrasound and
defaecation proctography between 2011 and 2014 were blindly reviewed. The size
and grade of rectocele, enterocele, intussusception and dyssynergy were noted on
both, using proctography as the gold standard. Barium trapping in a rectocele or
a functionally significant enterocele was noted on proctography. Demographics and
Obstructive Defaecation Symptom scores were collated. RESULTS: The positive
predictive value of ultrasound was 73% for rectocele, 79% for intussusception and
91% for enterocele. The negative predictive value for dyssynergy was 99%.
Agreement was moderate for rectocele and intussusception, good for enterocele and
fair for dyssynergy. The majority of rectoceles that required surgery (59/61) and
caused barium trapping (85/89) were detected on ultrasound. A rectocele seen on
both transvaginal and transperineal scanning was more likely to require surgery
than if seen with only one mode (P = 0.0001). If there was intussusception on
ultrasound the patient was more likely to have surgery (P = 0.03). An enterocele
visualized on ultrasound was likely to be functionally significant on
proctography (P = 0.02). There was, however, no association between findings on
imaging and symptoms. CONCLUSION: Integrated total pelvic floor ultrasound
provides a useful screening tool for women with defaecatory dysfunction such that
defaecatory imaging can avoided in some.
PMID- 27886436
TI - Factors that hinder or enable maternal health strategies to reduce delays in
rural and pastoralist areas in Ethiopia.
AB - OBJECTIVES: To document factors that hinder or enable strategies to reduce the
first and second delays of the Three Delays in rural and pastoralist areas in
Ethiopia. METHODS: A key informant study was conducted with 44 Health Extension
Workers in Afar Region, Kafa Zone (Southern Nation, Nationalities and Peoples'
Region), and Adwa Woreda (Tigray Region). Health Extension Workers were trained
to interview women and ask for stories about their recent experiences of birth.
We interviewed the Health Extension Workers about their experiences referring
women for Skilled Birth Attendance and Emergency Obstetric and Newborn Care. Data
were analysed using thematic analysis. RESULTS: Themes related to reducing the
first delay, such as the tradition of home birth, decision-making, distance and
unavailability of transport, did not differ between the three locations. Themes
related to reducing the second delay differed substantially. Health Extension
Workers in Adwa Woreda were more likely to call ambulances due to support from
the Health Development Army and a functioning referral system. In Kafa Zone, some
Health Extension Workers were discouraged from calling ambulances as they were
used for other purposes. In Afar Region, few Health Extension Workers were called
to assist women as most women give birth at home with Traditional Birth
Attendants unless they need to travel to health facilities for Emergency
Obstetric and Newborn Care. CONCLUSIONS: Initiatives to reduce delays can improve
access to maternal health services, especially when Health Extension Workers are
supported by the Health Development Army and a functioning referral system, but
district (woreda) health offices should ensure that ambulances are used as
intended.
PMID- 27886435
TI - A multicomponent quality improvement intervention to improve blood pressure and
reduce racial disparities in rural primary care practices.
AB - The Southeastern United States has the highest prevalence of hypertension and
African Americans have disproportionately worse blood pressure control. The
authors sought to evaluate the effect of a multicomponent practice-based quality
improvement intervention on lowering mean systolic blood pressure (SBP) at 12 and
24 months compared with baseline among 525 patients, and to assess for a
differential effect of the intervention by race (African Americans vs white). At
12 months, both African Americans (-5.0 mm Hg) and whites (-7.8 mm Hg) had a
significant decrease in mean SBP compared with baseline, with no significant
between-group difference. Similarly, at 24 months, mean SBP decreased in both
African Americans (-6.0 mm Hg) and whites (-7.2 mm Hg), with no significant
difference between groups. Notably, no significant racial disparity in mean SBP
at baseline was shown. The intervention was effective in lowering mean SBP in
both African Americans and whites but there was no differential effect of the
intervention by race.
PMID- 27886437
TI - The microRNA-99 family modulates hepatitis B virus replication by promoting IGF
1R/PI3K/Akt/mTOR/ULK1 signaling-induced autophagy.
AB - MicroRNAs are small highly conserved noncoding RNAs that are widely expressed in
multicellular organisms and participate in the regulation of various cellular
processes including autophagy and viral replication. Evidently, microRNAs are
able to modulate host gene expression and thereby inhibit or enhance hepatitis B
virus (HBV) replication. The miR-99 family members are highly expressed in the
liver. Interestingly, the plasma levels of miR-99 family in the peripheral blood
correspond with HBV DNA loads. Thus, we asked whether the miR-99 family regulated
HBV replication and analyzed the underlying molecular mechanism. Compared with
primary hepatocytes, miR-99 family expression was downregulated in hepatoma
cells. Transfection of miR-99a, miR-99b, and miR-100 markedly increased HBV
replication, progeny secretion, and antigen expression in hepatoma cells.
However, miR-99 family had no effect on HBV transcription and HBV promoter
activities, suggesting that they regulate HBV replication at posttranscriptional
steps. Consistent with bioinformatic analysis and recent reports, ectopic
expression of miR-99 family attenuated IGF-1R/Akt/mTOR pathway signaling and
repressed insulin-stimulated activation in hepatoma cells. Moreover, the
experimental data demonstrated that the miR-99 family promoted autophagy through
mTOR/ULK1 signaling and thereby enhanced HBV replication. In conclusion, the miR
99 family promotes HBV replication posttranscriptionally through IGF
1R/PI3K/Akt/mTOR/ULK1 signaling-induced autophagy.
PMID- 27886438
TI - Self-Assembly of Bolaamphiphilic Molecules.
AB - The current buzzword in science and technology is self-assembly and molecular
self-assembly is one of the most prominent fields as far as research in chemical
and biological sciences is concerned. Generally, self-assembly of molecules
occurs through weak non-covalent interactions like hydrogen bonding, pi-pi
stacking, hydrophobic effects, etc. Inspired by many natural systems consisting
of self-assembled structures, scientists have been trying to understand their
formation and mimic such processes in the laboratory to create functional "smart"
materials, which respond to temperature, light, pH, electromagnetic field,
mechanical stress, and/or chemical stimuli. These responses are usually
manifested as remarkable changes from the molecular (e. g., conformational state,
hierarchical order) to the macroscopic level (e. g., shape, surface properties).
Many molecules such as peptides, viruses, and surfactants are known to self
assemble into different structures. Among them, glycolipids are the new entries
in the area of molecules that are being investigated for their self-assembly
characteristics. Among the different classes of glycolipids like rhamnolipids and
trehalose lipids, owing to their biological preparations and their structural
novelty, sophorolipids (SLs) are evoking greater interest among researchers.
Sophorolipids are a class of asymmetric bolas bearing COOH groups at one end and
sophorose (dimeric glucose linked by an unusual beta(1->2) linkage). The extreme
membrane stability of Archaea, attributed to the membrane-spanning bolas
(tetraether glycolipids), has inspired chemists to unravel the molecular designs
that underpin the self-assembly of bolaamphiphilic molecules. Apart from these
self-assembled structures, bolaamphiphiles find applications in many fields such
as drug delivery, membrane mimicking, siRNA therapies, etc. The first part of
this Personal Account presents some possible self-assembled structures of
bolaamphiphiles and their mechanism of formation. The later part covers our work
on one of the typical bolaamphiphiles known as sophorolipids.
PMID- 27886439
TI - Efficacy of accelerated hydrogen peroxide(r) disinfectant on foot-and-mouth
disease virus, swine vesicular disease virus and Senecavirus A.
AB - AIMS: In a laboratory, disinfectants used to inactivate pathogens on contaminated
surfaces and to prevent spread of diseases often have adverse side effects on
personnel and the environment. It is, therefore, essential to find safer, fast
acting and yet effective disinfectants. The objective of this study was to
evaluate an accelerated hydrogen peroxide(r) (AHP(r) )-based disinfectant against
high consequence foreign animal disease pathogens such as foot-and-mouth disease
virus (FMDV) and swine vesicular disease virus (SVDV), as well as Senecavirus A
(SVA), which causes similar lesions as FMDV and SVDV. METHODS AND RESULTS: We
tested varying dilutions and contact times of AHP against FMDV, SVDV and SVA by
the standard US EPA and modified methods. AHP was effective against all three
viruses, albeit at a higher concentration and double the manufacturer recommended
contact time when testing wet films of SVDV. CONCLUSIONS: AHP is an effective
disinfectant against FMDV, SVDV and SVA. SIGNIFICANCE AND IMPACT OF THE STUDY:
AHP-based disinfectant can, therefore, be used in high containment laboratories
working with FMDV, SVDV and related pathogens.
PMID- 27886440
TI - The forgotten place of radioembolization for the treatment of hepatocellular
carcinoma with portal vein tumour thrombosis.
PMID- 27886441
TI - Divergent Total Synthesis of Atisane-Type Diterpenoids.
AB - Atisane-type diterpenoids are the principal constituent of tetracyclic C20
diterpenoids, widely isolated from the plant kingdom with varying degrees of
structural complexity and pharmacological activity. The tetracarbocyclic system
with the unique bicyclo[2.2.2]octane skeleton of this natural product family has
generated interest within the synthetic community. Divergent total synthesis is
an effective tactic to synthesize several atisane-type diterpenoids using
structural interconversion from a common intermediate. This account summarizes
the divergent total synthesis of atisane-type diterpenoids.
PMID- 27886442
TI - Eosinophilic pneumonia as complication of linear IgA dermatosis treated with
dapsone.
PMID- 27886443
TI - Introducing Barium in Transition Metal Oxide Frameworks: Impact upon
Superconductivity, Magnetism, Multiferroism and Oxygen Diffusion and Storage.
AB - The role of barium in the structural chemistry of some transition metal oxides of
the series "Cu, Mn, Fe,Co" is reviewed, based on its size effect and its
particular chemical bonding. Its impact upon various properties,
superconductivity, magnetism, multiferroism, oxygen storage is emphasized.
PMID- 27886444
TI - Incidence and classification of cutaneous manifestations in rheumatoid arthritis.
AB - BACKGROUND AND OBJECTIVE: There have only been few studies examining rheumatoid
arthritis (RA)-related skin manifestations in larger patient populations. Herein,
we present current data on the prevalence and spectrum of cutaneous lesions in
RA, addressing disease activity scores, anti-CCP antibodies as well as novel
pharmacological approaches. PATIENTS AND METHODS: Between November 2006 and July
2007, 214 patients with RA treated at the Division of Rheumatology, University
Hospital Jena, Germany, were prospectively examined. RESULTS: 27.5 % of patients
exhibited RA-related skin manifestations, almost all of which were rheumatoid
nodules. These lesions occurred significantly more frequently in patients with
longstanding disease, those testing positive for rheumatoid factor and anti-CCP
antibodies, as well as individuals on leflunomide and TNF-alpha antagonists.
Comparatively lower prevalence rates were observed for palisading neutrophilic
and granulomatous dermatitis and rheumatoid vasculitis. CONCLUSIONS: Despite
increasingly early treatment of RA and use of novel pharmacological agents, there
is a high prevalence of rheumatoid nodules, which represent the most common
cutaneous manifestation in RA. The higher prevalence of rheumatoid nodules in
patients on leflunomide and TNF-alpha antagonists might be an indication that
pharmacological treatment has only limited effects on their formation, possibly
due to pathogenetic pathways that are only inadequately affected by drug
therapies. By contrast, palisading neutrophilic and granulomatous dermatitis and
rheumatoid vasculitis appear to respond better to novel pharmacological agents.
PMID- 27886445
TI - Beard hair density increase. A possible role of topical tretinoin application?
PMID- 27886446
TI - Mean platelet volume as a marker for differentiating disease flare from infection
in Behcet's disease.
AB - AIM: The aim of this study was to investigate the association between mean
platelet volume (MPV) and clinical manifestations, disease activity or infection
in patients with Behcet's disease (BD). METHODS: In total, 193 patients diagnosed
with BD according to the international criteria for BD were enrolled. Demographic
data, clinical manifestations and laboratory results were collected by medical
interviews and reviewing medical records. RESULTS: The female : male ratio was 2
: 1 and the age of symptom onset was 32.2 +/- 11.1 years. The age at diagnosis of
BD was 44.7 +/- 11.1 years and the follow-up duration was 4.7 +/- 3.8 years. MPV
at diagnosis were significantly lower than of age and sex-matched controls (8.2
+/- 1.2 vs. 8.6 +/- 1.2 fL, P < 0.0001). Lower MPV was not related to organ
involvement except skin diseases. During follow-up, MPV was lower in BD flare
than in stable BD (8.2 +/- 1.4 vs. 9.1 +/- 1.4 fL, P < 0.0001) in the same
patients. MPVs were significantly higher in cases of accompanying infections than
in those with both BD flare and stable BD (9.3 +/- 1.4 vs. 8.1 +/- 1.3 fL, P =
0.018 and 9.7 +/- 1.4 vs. 8.8 +/- 1.0 fL, P = 0.001, respectively). CONCLUSIONS:
MPV was significantly lower in patients with BD than controls. MPV declined in BD
flare and increased in cases of infection in same patients. MPV may be useful as
a marker of BD activity and its monitoring can be helpful for differentiating BD
flare from infection in BD patients.
PMID- 27886448
TI - Comment on: "Effect on Sexual Function of Patients and Patients' Spouses After
Midurethal Sling Procedure for Stress Urinary Incontinence: A Prospective Single
Center Study".
PMID- 27886447
TI - Synthesis of novel quaternary silica hybrid bioactive microspheres.
AB - PURPOSE: To survey the preparation of novel hybrid microspheres of quaternary
silicate glassy composition (SiO2 ?P2 O5 ?CaO?Na2 O) and the prospect of using
them as an osteogenic system with enhanced bioactive properties for the
development of hydroxyapatite. METHOD: In line with our previous synthetic
procedure a two-step process was followed, wherein polystyrene (PS) microspheres
were prepared by the emulsifier free-emulsion polymerization method and
constituted the core for the sol-gel coating of the silicate inorganic shell. The
development of the hybrid microspheres was based on silane and phosphate
precursors and was assesses at different ratio of ethanol/water (of 9/1, 4/1, and
2/1, in mL) and at varied ammonia concentration of 4.8-1.0 mL. RESULTS: The
hybrid microspheres had an average size ranged between 350 and 550 nm according
to SEM, depending on the ethanol/water solution rate and ammonia content. The
final microspheres probably exhibited a porous-like structure through the
formation of diffused voids along with the low carbon content of the EDX
analysis, which could be regulated by the catalyst content. The hybrid
microspheres exhibited effective in vitro bioactivity assessed in simulated body
fluids (SBF). CONCLUSION: Quaternary hybrid silica microspheres were effectively
synthesized. The bioassay evaluation of the final microspheres revealed the rapid
in vitro formation of a bone-like apatite layer. The results verify the
bioactivity of the microspheres and promote further research of their suitability
on regenerative treatment of bone abnormalities. (c) 2016 Wiley Periodicals, Inc.
J Biomed Mater Res Part B: Appl Biomater, 106B: 112-120, 2018.
PMID- 27886450
TI - Comprehending 3D Diagrams: Sketching to Support Spatial Reasoning.
AB - Science, technology, engineering, and mathematics (STEM) disciplines commonly
illustrate 3D relationships in diagrams, yet these are often challenging for
students. Failing to understand diagrams can hinder success in STEM because
scientific practice requires understanding and creating diagrammatic
representations. We explore a new approach to improving student understanding of
diagrams that convey 3D relations that is based on students generating their own
predictive diagrams. Participants' comprehension of 3D spatial diagrams was
measured in a pre- and post-design where students selected the correct 2D slice
through 3D geologic block diagrams. Generating sketches that predicated the
internal structure of a model led to greater improvement in diagram understanding
than visualizing the interior of the model without sketching, or sketching the
model without attempting to predict unseen spatial relations. In addition, we
found a positive correlation between sketched diagram accuracy and improvement on
the diagram comprehension measure. Results suggest that generating a predictive
diagram facilitates students' abilities to make inferences about spatial
relationships in diagrams. Implications for use of sketching in supporting STEM
learning are discussed.
PMID- 27886449
TI - Ordered Micro/Nanostructures with Geometric Gradient: From Integrated Wettability
"Library" to Anisotropic Wetting Surface.
AB - Geometric gradients within ordered micro/nanostructures exhibit unique wetting
properties. Well-defined and ordered microsphere arrays with geometric gradient
(OMAGG) are successfully fabricated through combining colloidal lithography and
inclined reactive ion etching (RIE). During the inclined RIE, the graded etching
rates in vertical direction of etcher chamber are the key to generating a
geometric gradient. The OMAGG can be used as an effective mask for the
preparation of micro/nanostructure arrays with geometric gradient by selective
RIE. Through this strategy, a well-defined wettability "library" with graded
silicon cone arrays is fabricated, and the possibility of screening one desired
"book" from the designated wettability "library" is demonstrated. Meanwhile, the
silicon cone arrays with geometric gradient (SCAGG) can be applied to control the
wetting behavior of water after being modified by hydrophilic or hydrophobic
chemical groups. Based on this result, a temperature-responsive wetting substrate
is fabricated by modifying poly n-isopropyl acrylamide (PNIPAM) on the SCAGG.
These wettability gradients have great potential in tissue engineering,
microfluidic devices, and integrated sensors.
PMID- 27886452
TI - Bioresorbable vascular scaffolds in coronary bifurcation lesions: The next
frontiers?
PMID- 27886453
TI - You can't get there from here.
PMID- 27886451
TI - Activity of Fluorine-Containing Analogues of WC-9 and Structurally Related
Analogues against Two Intracellular Parasites: Trypanosoma cruzi and Toxoplasma
gondii.
AB - Two obligate intracellular parasites, Trypanosoma cruzi, the agent of Chagas
disease, and Toxoplasma gondii, an agent of toxoplasmosis, upregulate the
mevalonate pathway of their host cells upon infection, which suggests that this
host pathway could be a potential drug target. In this work, a number of
compounds structurally related to WC-9 (4-phenoxyphenoxyethyl thiocyanate), a
known squalene synthase inhibitor, were designed, synthesized, and evaluated for
their effect on T. cruzi and T. gondii growth in tissue culture cells. Two
fluorine-containing derivatives, the 3-(3-fluorophenoxy)- and 3-(4
fluorophenoxy)phenoxyethyl thiocyanates, exhibited half-maximal effective
concentration (EC50 ) values of 1.6 and 4.9 MUm, respectively, against
tachyzoites of T. gondii, whereas they showed similar potency to WC-9 against
intracellular T. cruzi (EC50 values of 5.4 and 5.7 MUm, respectively). In
addition, 2-[3- (phenoxy)phenoxyethylthio]ethyl-1,1-bisphosphonate, which is a
hybrid inhibitor containing 3-phenoxyphenoxy and bisphosphonate groups, has
activity against T. gondii proliferation at sub-micromolar levels (EC50 =0.7
MUm), which suggests a combined inhibitory effect of the two functional groups.
PMID- 27886454
TI - A toast to no sternotomy.
PMID- 27886456
TI - Bifurcation stenting with bioresorbable scaffolds: Quo vadis?
PMID- 27886455
TI - Provisional rotablator for calcified lesions: The Russian roulette of PCI.
PMID- 27886458
TI - To BAV or not to BAV, that is a TAVR question.
PMID- 27886457
TI - Serenity, courage, and wisdom: The keys to successful coronary calcification
treatment.
PMID- 27886459
TI - Standardized experiments in mutant mice reveal behavioural similarity on 129S5
and C57BL/6J backgrounds.
AB - Behavioural analysis of mice carrying engineered mutations is widely used to
identify roles of specific genes in components of the mammalian behavioural
repertoire. The reproducibility and robustness of phenotypic measures has become
a concern that undermines the use of mouse genetic models for translational
studies. Contributing factors include low individual study power, non
standardized behavioural testing, failure to address confounds and differences in
genetic background of mutant mice. We have examined the importance of these
factors using a statistically robust approach applied to behavioural data
obtained from three mouse mutations on 129S5 and C57BL/6J backgrounds generated
in a standardized battery of five behavioural assays. The largest confounding
effect was sampling variation, which partially masked the genetic background
effect. Our observations suggest that strong interaction of mutation with genetic
background in mice in innate and learned behaviours is not necessarily to be
expected. We found composite measures of innate and learned behaviour were
similarly impacted by mutations across backgrounds. We determined that, for
frequently used group sizes, a single retest of a significant result conforming
to the commonly used P < 0.05 threshold results in a reproducibility of 60%
between identical experiments. Reproducibility was reduced in the presence of
strain differences. We also identified a P-value threshold that maximized
reproducibility of mutant phenotypes across strains. This study illustrates the
value of standardized approaches for quantitative assessment of behavioural
phenotypes and highlights approaches that may improve the translational value of
mouse behavioural studies.
PMID- 27886460
TI - The 6th Sino-German Frontiers of Chemistry Symposium.
AB - Bilateral Symposium: The Shanghai Institute of Organic Chemistry (SIOC) hosted
the 6th Sino-German Frontiers of Chemistry Symposium from August 29 to September
3, 2016. This event, co-organized by the Chinese Chemical Society (CCS) and the
Gesellschaft Deutscher Chemiker (German Chemical Society), featured excellent
talks by the invited early-career chemists of both countries and offered ample
opportunities for discussions and making friends among the participants.
PMID- 27886461
TI - Biomaterial-Based Implantable Devices for Cancer Therapy.
AB - This review article focuses on the current local therapies mediated by implanted
macroscaled biomaterials available or proposed for fighting cancer and also
highlights the upcoming research in this field. Several authoritative review
articles have collected and discussed the state-of-the-art as well as the
advancements in using biomaterial-based micro- and nano-particle systems for drug
delivery in cancer therapy. On the other hand, implantable biomaterial devices
are emerging as highly versatile therapeutic platforms, which deserve an
increased attention by the healthcare scientific community, as they are able to
offer innovative, more effective and creative strategies against tumors. This
review summarizes the current approaches which exploit biomaterial-based devices
as implantable tools for locally administrating drugs and describes their
specific medical applications, which mainly target resected brain tumors or brain
metastases for the inaccessibility of conventional chemotherapies. Moreover, a
special focus in this review is given to innovative approaches, such as combined
delivery therapies, as well as to alternative approaches, such as scaffolds for
gene therapy, cancer immunotherapy and metastatic cell capture, the later as
promising future trends in implantable biomaterials for cancer applications.
PMID- 27886463
TI - Association between recovery from Bell's palsy and body mass index.
AB - BACKGROUND: Although many factors have been found to be involved in recovery from
Bell's palsy, no study has investigated the association between recovery from
Bell's palsy and obesity. This study therefore evaluated the association between
recovery from Bell's palsy and body mass index (BMI). METHODS: Subjects were
classified into five groups based on BMI (kg/m2 ). Demographic and clinical
characteristics were compared among these groups. Assessed factors included sex,
age, time from paralysis to visiting a hospital, the presence of comorbidities
such as diabetes mellitus and hypertension, degree of initial facial nerve
paralysis by House-Brackmann (H-B) grade and neurophysiological testing, and
final recovery rate. RESULTS: Based on BMI, 37 subjects were classified as
underweight, 169 as normal weight, 140 as overweight, 155 as obese and 42 as
severely obese. Classification of the degree of initial facial nerve paralysis as
moderate or severe, according to H-B grade and electroneurography, showed no
difference in severity of initial facial paralysis among the five groups (P >
0.05). However, the final recovery rate was significantly higher in the normal
weight than in the underweight or obese group (P < 0.05). CONCLUSIONS: Obesity or
underweight had no effect on the severity of initial facial paralysis, but the
final recovery rate was lower in the obese and underweight groups than in the
normal group.
PMID- 27886464
TI - Investigation of the chemical markers for experiential quality evaluation of
crude aconite by UHPLC-Q-TOF-MS.
AB - J. Sep. Sci. 2016, 39, 4281-4289 DOI: 10.1002/jssc.201600567 Aconite, called
tiger and wolf drug, is known in Traditional Chinese Medicine for its strong
cardiac effect. In the past, the toxins extracted from aconite were used as arrow
poisons to kill animals, hence the name "wolf's bane." To a certain extent, the
wolf represents the medicinal characteristics of aconite: fierce, wild and
intractable. The cover picture shows, besides the wolf head as the main element,
a flow chart of our study and summarizes the main topics of our article.
PMID- 27886462
TI - Loss of ppr3, ppr4, ppr6, or ppr10 perturbs iron homeostasis and leads to
apoptotic cell death in Schizosaccharomyces pombe.
AB - : Pentatricopeptide repeat (PPR) proteins characterized by tandem arrays of a
degenerate 35-amino-acid repeat belong to a large family of RNA-binding proteins
that are involved in post-transcriptional control of organelle gene expression.
PPR proteins are ubiquitous in eukaryotes, and particularly prevalent in higher
plants. Schizosaccharomyces pombe has 10 PPR proteins. Among them, ppr3, ppr4,
ppr6, and ppr10 participate in mitochondrial post-transcriptional processes and
are required for mitochondrial electron transport chain (ETC) function. In the
present work, we showed that deletion of ppr3, ppr4, ppr6, or ppr10 led to
apoptotic cell death, as revealed by DAPI and Annexin V-FITC staining. These
mutants also exhibited elevated levels of reactive oxygen species (ROS). RNA
sequencing (RNA-seq) and quantitative RT-PCR analyses revealed that deletion of
ppr10 affected critical biological processes. In particular, a core set of genes
involved in iron uptake and/or iron homeostasis was elevated in the Deltappr10
mutant, suggesting an elevated level of intracellular iron in the mutant.
Consistent with this notion, Deltappr3, Deltappr4, Deltappr6, and Deltappr10
mutants exhibited increased sensitivity to iron. Furthermore, the iron chelator,
bathophenanthroline disulfonic acid, but not the calcium chelator EGTA, nearly
restored the viabilities of Deltappr3, Deltappr4, Deltappr6, and Deltappr10
mutants, and reduced ROS levels in the mutants. These results show for the first
time that deletion of a ppr gene leads to perturbation of iron homeostasis. Our
results also suggest that disrupted iron homeostasis in Deltappr3, Deltappr4,
Deltappr6, and Deltappr10 mutants may lead to an increase in the level of ROS and
induction of apoptotic cell death in S. pombe. DATABASE: The RNA-seq data have
been deposited in the National Center for Biotechnology Information (NCBI)
BioProject database (accession number SRP091623) and Gene Expression Omnibus
(GEO) database (accession number GSE90144).
PMID- 27886465
TI - Deterministic Down-Converter and Continuous Photon-Pair Source within the Bad
Cavity Limit.
AB - The development, characterization, and control of N-photon sources are
instrumental for quantum technological applications. This work constitutes a step
forward in this direction, where we propose a cavity quantum electrodynamics
setup designed for the generation of photon pairs. We identify both the regime
where our system works as a deterministic down-converter of a single input photon
and as an optimal two-photon source under weak continuous driving. We use both
the scattering and master equation formalisms to characterize the system, and
from their connection naturally arises a physical criterion characterizing when
weakly driven systems behave as continuous antibunched two-photon sources. We
also show that the outgoing photons share nontrivial quantum correlations in
general. We provide a specific implementation based on state-of-the-art
superconducting circuits, showing how our proposal is within the reach of current
technologies. As an outlook, we show the proposal can be extended to achieve
deterministic conversion of a single photon into N photons.
PMID- 27886467
TI - Transport in Out-of-Equilibrium XXZ Chains: Exact Profiles of Charges and
Currents.
AB - We consider the nonequilibrium time evolution of piecewise homogeneous states in
the XXZ spin-1/2 chain, a paradigmatic example of an interacting integrable
model. The initial state can be thought of as the result of joining chains with
different global properties. Through dephasing, at late times, the state becomes
locally equivalent to a stationary state which explicitly depends on position and
time. We propose a kinetic theory of elementary excitations and derive a
continuity equation which fully characterizes the thermodynamics of the model. We
restrict ourselves to the gapless phase and consider cases where the chains are
prepared: (1) at different temperatures, (2) in the ground state of two different
models, and (3) in the "domain wall" state. We find excellent agreement (any
discrepancy is within the numerical error) between theoretical predictions and
numerical simulations of time evolution based on time-evolving block decimation
algorithms. As a corollary, we unveil an exact expression for the expectation
values of the charge currents in a generic stationary state.
PMID- 27886466
TI - Measuring the Degeneracy of Discrete Energy Levels Using a GaAs/AlGaAs Quantum
Dot.
AB - We demonstrate an experimental method for measuring quantum state degeneracies in
bound state energy spectra. The technique is based on the general principle of
detailed balance and the ability to perform precise and efficient measurements of
energy-dependent tunneling-in and -out rates from a reservoir. The method is
realized using a GaAs/AlGaAs quantum dot allowing for the detection of time
resolved single-electron tunneling with a precision enhanced by a feedback
control. It is thoroughly tested by tuning orbital and spin degeneracies with
electric and magnetic fields. The technique also lends itself to studying the
connection between the ground-state degeneracy and the lifetime of the excited
states.
PMID- 27886468
TI - Practical Receiver for Optimal Discrimination of Binary Coherent Signals.
AB - We address the long-standing problem of discriminating coherent states with the
minimum error rate. We show an optimum receiver for coherent states which admits
a relatively simple implementation with current technologies. The receiver is
based on multichannel splitting of the signal, followed by feed-forward signal
displacement and photon-counting detection. We develop an optimal control
strategy for a finite signal split and show convergence of the error rate to the
Helstrom bound.
PMID- 27886469
TI - Quantum Simulation of the Factorization Problem.
AB - Feynman's prescription for a quantum simulator was to find a Hamitonian for a
system that could serve as a computer. The Polya-Hilbert conjecture proposed the
demonstration of Riemann's hypothesis through the spectral decomposition of
Hermitian operators. Here we study the problem of decomposing a number into its
prime factors, N=xy, using such a simulator. First, we derive the Hamiltonian of
the physical system that simulates a new arithmetic function formulated for the
factorization problem that represents the energy of the computer. This function
rests alone on the primes below sqrt[N]. We exactly solve the spectrum of the
quantum system without resorting to any external ad hoc conditions, also showing
that it obtains, for x?sqrt[N], a prediction of the prime counting function that
is almost identical to Riemann's R(x) function. It has no counterpart in analytic
number theory, and its derivation is a consequence of the quantum theory of the
simulator alone.
PMID- 27886470
TI - Stability of High-Density Two-Dimensional Excitons against a Mott Transition in
High Magnetic Fields Probed by Coherent Terahertz Spectroscopy.
AB - We have performed time-resolved terahertz absorption measurements on photoexcited
electron-hole pairs in undoped GaAs quantum wells in magnetic fields. We probed
both unbound- and bound-carrier responses via cyclotron resonance and
intraexciton resonance, respectively. The stability of excitons, monitored as the
pair density was systematically increased, was found to dramatically increase
with increasing magnetic field. Specifically, the 1s-2p_{-} intraexciton
transition at 9 T persisted up to the highest density, whereas the 1s-2p feature
at 0 T was quickly replaced by a free-carrier Drude response. Interestingly, at 9
T, the 1s-2p_{-} peak was replaced by free-hole cyclotron resonance at high
temperatures, indicating that 2D magnetoexcitons do dissociate under thermal
excitation, even though they are stable against a density-driven Mott transition.
PMID- 27886471
TI - Evidence for Marginal Stability in Emulsions.
AB - We report the first measurements of the effect of pressure on vibrational modes
in emulsions, which serve as a model for soft frictionless spheres at zero
temperature. As a function of the applied pressure, we find that the density of
states D(omega) exhibits a low-frequency cutoff omega^{*}, which scales linearly
with the number of extra contacts per particle deltaz. Moreover, for
omega=2, according to the POP Quantification (POP-Q). Symptoms
and quality of life were assessed using validated questionnaires: Pelvic Floor
Distress Inventory (PFDI-20), Pelvic Floor Impact questionnaire (PFIQ-7), and
Prolapse/Incontinence Sexual Questionnaire (PISQ-12). The main outcome was
subjective success (question 3 of PFDI-20 score=0). Safety, anatomic and
functional outcomes were used as the secondary outcomes. RESULTS: A total of 270
patients were included in the study. Subjective success rate was 95,4% and 92,2%
at 1 and 2 years. Objective success rate was 65,9%and 60,5% at 1 and 2 years. At
1year, composite failure (subjective+objective) occurred for 11 patients (4,6%),
5 patients with direct recurrence and 6 with indirect recurrence. At 2 years,
composite failure was reported for 14 patients (6,4%): 6 direct recurrences and 8
indirect recurrences. Re-treatment was performed in one case (0,4%). One case
(0,4%) of asymptomatic mesh exposure occurred. The reoperation rate for mesh
related complications was 3%. We reported a de novo dyspareunia rate of 8,4%,
5,3% considered as mesh-related. A significant improvement was noted for symptoms
and quality of life. CONCLUSION: POP repair using SIMS is a safe and efficient
treatment of anterior compartment prolapse in the medium term with a low rate of
mesh-related complications. Longer-term follow-up is ongoing.
PMID- 27886525
TI - Development and assays estradiol equivalent concentration from prawn (p-EEQ) in
river prawn, Macrobrachium nipponense, in Taiwan.
AB - The present study established a fast and convenient bioassay method for aqueous
ecosystems using the prawn estradiol equivalent concentration (p-EEQ) of male
Macrobrachium nipponense, which produce vitellogenin (VTG) after exposure to xeno
estrogens. This method was then used to determine the concentrations of xeno
estrogen pollutants in the rivers of Taiwan. To establish the calibration curve
for the concentrations based on the p-EEQ, the induced VTG content was determined
using the alkali-labile phosphate method after male M. nipponense were exposed to
0, 10, 100, 1,000 and 10,000ng/L of 17beta-estradiol for 1, 3, 5, 7, 10 and 14
days, respectively. The results of the experiments showed that the induced VTG
content in all of the experimental groups stabilized after 10 days, except for
the 10,000ng/L experimental group, in which the induced VTG content decreased
after 10 days. A 17beta-estradiol-VTG10day response curve was then established
based on the induced VTG content in the 0, 10, 100 and 1000ng/L experimental
groups at day 10. After establishing the curve, male M. nipponense were captured
from the upper, middle and lower reaches of the Chuo-shui River, the Beigang
River, the Jishui River, the Agongdian River and the Sichong River in Taiwan, and
the VTG content in these prawns was determined. In addition, the p-EEQ in the
waters was determined based on the VTG content, and the estradiol equivalent
concentration (EEQ) in the waters was also measured immediately after sampling
using the solid-phase extraction-enzyme-linked immunosorbent assay (SPE-ELISA)
method. The results showed that the p-EEQ in the middle and lower reaches of the
rivers in certain parts of Taiwan ranged from 38 to 400ng/L, and the detection
rate was 100%. Moreover, the EEQ ranged from 7.9 to 92.9ng/L, and the detection
rate was 42.9%, indicating that most of the middle and lower reaches of the
rivers in Taiwan were polluted by xeno-estrogens. The 17beta-estradiol
concentrations determined based on the p-EEQ were all higher than those based on
the EEQ (SPE-ELISA method). The results of the present study showed that the use
of M. nipponense to determine the p-EEQ in environmental waters provided
advantages that included a high detection rate, high sensitivity and convenience.
However, the p-EEQ cannot be used in waters that do not contain M. nipponense.
PMID- 27886524
TI - Effects of ethanol on cocaine self-administration in monkeys responding under a
second-order schedule of reinforcement.
AB - BACKGROUND: Concurrent alcohol use among cocaine abusers is common but the
behavioral variables that promote co-abuse are not well understood. The present
study examined the effects of intragastric (i.g.) ethanol (EtOH) administration
in monkeys responding under a schedule of cocaine reinforcement in which
extensive drug seeking was maintained by conditioned stimuli. METHODS: Four adult
male cynomolgus monkeys (Macaca fascicularis) were trained to respond under a
second-order fixed-interval (FI) 600s (fixed-ratio (FR) 30:S) schedule of cocaine
(0.003-0.56mg/kg/injection) presentation. Sessions ended after 5 injections or
90min had elapsed. Different EtOH doses (0.5-2.0g/kg, i.g.) were administered
30min before the session, typically on Tuesdays and Fridays. Blood ethanol
concentrations (BECs) were also assessed. Pattern of FI responding was assessed
by determining quarter-life (QL) values. RESULTS: Cocaine self-administration was
characterized as an inverted U-shaped function of dose; QL values increased
monotonically with dose. EtOH pretreatments dose-dependently decreased self
administration at several cocaine doses in 3 of 4 monkeys. In one animal, EtOH
increased low-dose cocaine-maintained responding. For all monkeys, QL values were
increased by EtOH when low- and high-cocaine doses were self-administered,
suggesting additive effects of EtOH and cocaine. Furthermore, BECs were not
altered following cocaine self-administration. CONCLUSIONS: The reductions in
cocaine self-administration and the increases in QL values following EtOH,
suggest that EtOH was enhancing cocaine-related conditioned reinforcement. A
better understanding of the behavioral mechanisms that mediate the co-abuse of
alcohol and cocaine will lead to improved treatments for both drugs.
PMID- 27886526
TI - Food and beverage product reformulation as a corporate political strategy.
AB - Product reformulation- the process of altering a food or beverage product's
recipe or composition to improve the product's health profile - is a prominent
response to the obesity and noncommunicable disease epidemics in the U.S. To
date, reformulation in the U.S. has been largely voluntary and initiated by
actors within the food and beverage industry. Similar voluntary efforts by the
tobacco and alcohol industry have been considered to be a mechanism of corporate
political strategy to shape public health policies and decisions to suit
commercial needs. We propose a taxonomy of food and beverage industry corporate
political strategies that builds on the existing literature. We then analyzed the
industry's responses to a 2014 U.S. government consultation on product
reformulation, run as part of the process to define the 2015 Dietary Guidelines
for Americans. We qualitatively coded the industry's responses for predominant
narratives and framings around reformulation using a purposely-designed coding
framework, and compared the results to the taxonomy. The food and beverage
industry in the United States used a highly similar narrative around voluntary
product reformulation in their consultation responses: that reformulation is
"part of the solution" to obesity and NCDs, even though their products or
industry are not large contributors to the problem, and that progress has been
made despite reformulation posing significant technical challenges. This
narrative and the frames used in the submissions illustrate the four categories
of the taxonomy: participation in the policy process, influencing the framing of
the nutrition policy debate, creating partnerships, and influencing the
interpretation of evidence. These strategic uses of reformulation align with
previous research on food and beverage corporate political strategy.
PMID- 27886527
TI - Universal health coverage at the macro level: Synthetic control evidence from
Thailand.
AB - As more and more countries are moving towards Universal Health Coverage (UHC), it
is important to understand the macro level or aggregate impacts of such a policy.
We use synthetic control methods to study the impact of UHC, introduced in
Thailand in 2001, on various macroeconomic and health outcomes. Thailand is
compared to a weighted average of control countries in terms of aggregate health
financing indicators, aggregate health outcomes and economic performance, over
the period 1995 to 2012. Our results suggest that UHC helps alleviate the
financial consequences of illnesses. The estimated treatment effect of UHC on out
of-pocket payments as a percentage of overall health expenditures is negative 13
percentage points and its effect on annual government per capita health spending
is US$ 79. We detect a smaller effect of US$ 60.8 on total health spending per
capita which appears with a lag. We document positive health effects as captured
by reductions in infant and child mortality. We do not find any effect on GDP and
the share of the government budget devoted to health. Overall, our results
complement micro evidence based on within country variation. The counterfactual
design implemented here may be used to inform other countries on the macro level
repercussions of UHC.
PMID- 27886529
TI - Autobiographical and episodic memory deficits in mild traumatic brain injury.
AB - Those who have suffered a concussion, otherwise known as a mild traumatic brain
injury (mTBI), often complain of lingering memory problems. However, there is
little evidence in the behavioral literature reliably demonstrating memory
deficits. Thus, in the present study, cognitive profiles including measures of
general executive functioning and processing speed, as well as episodic and
semantic memory were collected in younger and older adult participants with or
without a remote (>1year prior to testing) mTBI. We first investigated whether
there were observable episodic and autobiographical memory impairments associated
with mTBI within an otherwise healthy young group. Next, because previous work
had demonstrated some overlap in patterns of behavioral impairment in normally
aging adults and younger adults with a history of mTBI (e.g. Ozen, Fernandes,
Clark, & Roy, 2015), we sought to determine whether these groups displayed
similar cognitive profiles. Lastly, we conducted an exploratory analysis to test
whether having suffered an mTBI might exacerbate age-related cognitive decline.
Results showed the expected age-related decline in episodic memory performance,
coupled with a relative preservation of semantic memory in older adults.
Importantly, this pattern was also present in younger adults with a history of
remote mTBI. No differences were observed across older adult groups based on mTBI
status. Logistic regression analyses, using each measure in our battery as a
predictor, successfully classified mTBI status in younger participants with a
high degree of specificity (79.5%). These results indicate that those who have
had an mTBI demonstrate a distinct cognitive signature, characterized by
impairment in episodic and autobiographical memory, coupled with a relative
preservation of semantic memory.
PMID- 27886528
TI - Neighborhood social stressors, fine particulate matter air pollution, and
cognitive function among older U.S. adults.
AB - A growing number of studies have found a link between outdoor air pollution and
cognitive function among older adults. Psychosocial stress is considered an
important factor determining differential susceptibility to environmental hazards
and older adults living in stressful neighborhoods may be particularly vulnerable
to the adverse health effects of exposure to hazards such as air pollution. The
objective of this study is to determine if neighborhood social stress amplifies
the association between fine particulate matter air pollution (PM2.5) and poor
cognitive function in older, community-dwelling adults. We use data on 779 U.S.
adults ages 55 and older from the 2001/2002 wave of the Americans' Changing Lives
study. We determined annual average PM2.5 concentration in 2001 in the area of
residence by linking respondents with EPA air monitoring data using census tract
identifiers. Cognitive function was measured using the number of errors on the
Short Portable Mental Status Questionnaire (SPMSQ). Exposure to neighborhood
social stressors was measured using perceptions of disorder and decay and
included subjective evaluations of neighborhood upkeep and the presence of
deteriorating/abandoned buildings, trash, and empty lots. We used negative
binomial regression to examine the interaction of neighborhood perceived stress
and PM2.5 on the count of errors on the cognitive function assessment. We found
that the association between PM2.5 and cognitive errors was stronger among older
adults living in high stress neighborhoods. These findings support recent
theoretical developments in environmental health and health disparities research
emphasizing the synergistic effects of neighborhood social stressors and
environmental hazards on residents' health. Those living in socioeconomically
disadvantaged neighborhoods, where social stressors and environmental hazards are
more common, may be particularly susceptible to adverse health effects of social
and physical environmental exposures.
PMID- 27886530
TI - Choosing wisely: The impact of patient selection on efficacy and safety outcomes
in the EINSTEIN-DVT/PE and AMPLIFY trials.
AB - BACKGROUND: The results of the EINSTEIN-DVT/PE and AMPLIFY trials, which compared
rivaroxaban and apixaban with conventional anticoagulation therapy for acute
venous thromboembolism (VTE), respectively, are often compared. However, the
trials differed in duration of therapy (3-12 and 6months, respectively) and in
patient selection (few exclusion criteria and more stringent exclusion criteria,
respectively). METHODS: To determine the effect of these methodological
differences on outcomes, the patients enrolled in EINSTEIN-DVT/PE were divided
into 2 cohorts; the 5253 patients that matched the exclusion criteria for AMPLIFY
and were treated for at least 6months (cohort 1) and the 2368 patients who would
have been ineligible for AMPLIFY (cohort 2). RESULTS: Compared with patients in
cohort 2, those in cohort 1 were older and more often male and there were more
with unprovoked VTE, prior VTE, cancer and known thrombophilia. In cohort 1,
rivaroxaban would have significantly reduced recurrent VTE (relative risk [RR],
0.64; 95% confidence interval [CI], 0.43-0.95) and major bleeding (RR, 0.50; 95%
CI, 0.30-0.82) compared with conventional therapy, whereas the two treatments
would have had similar effects on recurrent VTE (RR, 1.08; 95% CI, 0.65-1.79) and
major bleeding (RR, 1.03; 95% CI, 0.48-2.18) in cohort 2. CONCLUSIONS: This
analysis illustrates the influence of patient selection and treatments duration
on outcome results and highlights the limitations of cross-trial comparisons.
PMID- 27886531
TI - Coagulation and sepsis.
AB - Severe sepsis is almost invariably associated with systemic activation of
coagulation. There is ample evidence that demonstrates a wide-ranging cross-talk
between hemostasis and inflammation, which is probably implicated in the
pathogenesis of organ dysfunction in patients with sepsis. Inflammation not only
leads to initiation and propagation of coagulation activity, but coagulation also
markedly influences inflammation. Molecular mechanisms that play a role in
inflammation-induced effects on coagulation have been recognized in much detail.
Pro-inflammatory cells and cyto- and chemokines can activate the coagulation
system and downregulate crucial physiological anticoagulant mechanisms.
Initiation of coagulation activation and consequent thrombin generation is caused
by expression of tissue factor on activated monocytes and endothelial cells and
is ineffectually offset by tissue factor pathway inhibitor. At the same time,
endothelial-associated anticoagulant pathways, in particular the protein C
system, is impaired by pro-inflammatory cytokines. Also, fibrin removal is
severely obstructed by inactivation of the endogenous fibrinolytic system, mainly
as a result of upregulation of its principal inhibitor, plasminogen activator
inhibitor type 1 (PAI-1). Increased fibrin generation and impaired break down
lead to deposition of (micro)vascular clots, which may contribute to tissue
ischemia and ensuing organ dysfunction. The foundation of the management of
coagulation in sepsis is the explicit and thorough treatment of the underlying
disorder by antibiotic treatment and source control measures. Adjunctive
strategies focused at the impairment of coagulation, including anticoagulants and
restoration of physiological anticoagulant mechanisms, may supposedly be
indicated and have been found advantageous in experimental and initial clinical
trials.
PMID- 27886532
TI - Enantioselective metabolism and enantiomerization of benalaxyl in mice.
AB - The enantiomerization and enantioselective metabolism of benalaxyl in mice after
a single gavage administration were investigated in the present study. The
pharmacokinetic result indicated that elimination of (-)-R-benalaxyl in plasma
was slightly faster with the t1/2 of 26.65 h and 28.88 h for (-)-R- and (+)-S
benalaxyl, respectively. Consistent with this, elimination in tissues and
excretion were also enantioselective, with (+)-S-benalaxyl enriched in all
tissues, urine and feces. And formation of the metabolites also exhibited an
enantioselective manner. Both benalaxyl and most of its metabolites exhibited a
potent excretion to feces and urine. In addition, significant enantiomerization
of benalaxyl enantiomers was observed in plasma, with a larger extent from R to S
than S to R. Thus, this difference in enantiomerization may be one of the reasons
to explain the enantioselective enrichment of (+)-S-benalaxyl in mice observed in
this study. Data from this study proves that besides metabolic enzymes,
enantiomerization could be another important factor that contributes to the
enantioselective metabolism of a chiral pesticide. Thus, research at enantiomeric
level is necessary for efficient risk assessment of chiral pesticides.
PMID- 27886533
TI - Toxicological effects of dimethomorph on soil enzymatic activity and soil
earthworm (Eisenia fetida).
AB - The objective of this study was to evaluate the toxicity of the fungicide
dimethomorph to soil microbial activity and the earthworm Eisenia fetida.
Multiple biomarkers, namely, four soil enzymes (urease, dehydrogenase, invertase,
and acid phosphatase), four earthworm biochemical indices (dismutase, catalase,
cellulase, and malondialdehyde), and the transcriptional levels of both target
genes (dismutase and catalase) were measured at 1, 10, and 100 mg kg-1 after 1,
7, 21, and 28 days. The degradation rate of dimethomorph in soil was also
determined, and the results indicated that most parameters did not differ from
the controls at 1 and 10 mg kg-1 dimethomorph by the last exposure time (28 d).
However, high concentrations (100 mg kg-1) of dimethomorph had varying effects on
soil enzymatic activity and earthworms. These effects gradually decreased with
prolonged exposure times. Positive correlations (R2 > 0.57) between the target
gene expression levels and antioxidant enzyme activities were observed in this
study. We also found that earthworms have improved soil microbial activity and
accelerated the degradation of dimethomorph. Overall, higher concentrations of
dimethomorph might pose an ecological hazard to soil environments in the short
term.
PMID- 27886534
TI - Differences in the response of soil dehydrogenase activity to Cd contamination
are determined by the different substrates used for its determination.
AB - Dehydrogenase activity (DHA) is an important indicator of heavy metal toxicity in
contaminated soils. Different instances of DHA were determined using various
substrates and which could affect the description of heavy metal toxicity.
Currently, too few investigations have been done on selecting appropriate
substrates. This study employed indoor simulation to determine soil DHA and its
response to external cadmium (Cd) using two substrates (TTC and INT). Hormesis
for DHA obtained using the TTC method (DHA-TTC) in low Cd concentration was
observed which was quickly inhibited in high Cd concentration. While DHA obtained
using the INT method (DHA-INT) decreased slowly when Cd concentration increased.
The DHA-TTC and DHA-INT in soils at Cd concentration of 500 mg kg-1 decreased 86%
and 53%, respectively, compared to the control. The dose-response relationship of
Cd to DHA can be well simulated using the logistic model (p < 0.01), which
indicated DHA could be used to indicate soil Cd toxicity. Multiple stepwise
regression analysis revealed that total organic matter (TOC) is the major factor
influencing the toxicity of Cd to DHA-TTC, while TOC, pH and cation exchange
capacity (CEC) are major factors influencing the toxicity of Cd to DHA-INT. The
different responses of soil DHA-TTC and DHA-INT to Cd are due to the differences
in electron transport chain characteristics between TTC and INT, as well as the
influence of soil properties. Although both DHA-TTC and DHA-INT can monitor soil
Cd contamination, DHA-INT is recommended as a superior bio-indicator to indicate
and assess contamination of Cd in soil.
PMID- 27886535
TI - Risk assessment for the mercury polluted site near a pesticide plant in Changsha,
Hunan, China.
AB - The distribution characteristics of mercury fractions at the site near a
pesticide plant was investigated, with the total mercury concentrations ranging
from 0.0250 to 44.3 mg kg-1. The mercury bound to organic matter and residual
mercury were the main fractions, and the most mobile fractions accounted for only
5.9%-9.7%, indicating a relatively low degree of potential risk. The
relationships between mercury fractions and soil physicochemical properties were
analysed. The results demonstrated that organic matter was one of the most
important factors in soil fraction distribution, and both OM and soil pH appeared
to have a significant influence on the Fe/Mn oxides of mercury. Together with the
methodology of partial correlation analysis, the concept and model of delayed
geochemical hazard (DGH) was introduced to reveal the potential transformation
paths and chain reactions among different mercury fractions and therefore to have
a better understanding of risk development. The results showed that the site may
be classified as a low-risk site of mercury DGH with a probability of 10.5%, but
it had an easy trend in mercury DGH development due to low critical points of DGH
burst. In summary, this study provides a methodology for site risk assessment in
terms of static risk and risk development.
PMID- 27886536
TI - Rare earths and trace elements contents in leaves: A new indicator of the
composition of atmospheric dust.
AB - The relationship between the trace element distribution in atmospheric particles
and leaves of some exposed plants in the environment was recently demonstrated.
This indication would suggest that the trace element analysis of leaves in these
plants could provide information about the composition, nature and origin of the
atmospheric dust dispersed in the environment. In order to corroborate this
hypothesis, the distribution of trace elements and Rare Earths were studied in
leaves of some endemic plants, in the atmospheric fallout and in soils of rural,
urban and industrial ecosystems in Sicily. These elements have been chosen to
discriminate the source and nature of different source on atmospheric dust and
the larger capability of the composition of the latter materials to influence the
metal ion distribution in leaves of studied plants rather than the soil
composition. These evidences are related to the recognition both of positive La
anomaly and trace element enrichments in studied leaves and to their particular
V/Th and Co/Ni signature. On the other hand, some particular normalised REE
features recognised in leaves suggest that a limited contribution to the REE
budget in studied leaves is provided by the REE migration from roots.
PMID- 27886538
TI - Study of the presence of PCDDs/PCDFs on zero-valent iron nanoparticles.
AB - Studies show that nanoscale zero-valent iron (nZVI) particles enhance the
formation of chlorinated compounds such as polychlorinated dioxins and furans
(PCDD/Fs) during thermal processes. However, it is unclear whether nZVI acts as a
catalyst for the formation of these compounds or contains impurities, such as
PCDD/Fs, within its structure. We analyzed the presence of PCDD/Fs in nZVI
particles synthesized through various production methods to elucidate this
uncertainty. None of the 2,3,7,8-substituted congeners were found in the
commercially-produced nZVI, but they were present in the laboratory-synthesized
nZVI produced through the borohydride method, particularly in particles
synthesized from iron (III) chloride rather than from iron sulfate. Total PCDD/F
WHO-TEQ concentrations of up to 35 pg/g were observed in nZVI particles, with
hepta- and octa-chlorinated congeners being the most abundant. The reagents used
in the borohydride method were also analyzed, and our findings suggest that FeCl3
effectively contains PCDD/Fs at concentrations that could explain the
concentrations observed in the nZVI product. Both FeCl3 and nZVI showed a similar
PCDD/F patterns with slight differences. These results suggest that PCDD/Fs might
transfer from FeCl3 to nZVI during the production method, and thus, care should
be taken when employing certain nZVI for environmental remediation.
PMID- 27886537
TI - Bioelectrochemical approach for reductive and oxidative dechlorination of
chlorinated aliphatic hydrocarbons (CAHs).
AB - A sequential reductive-oxidative treatment was developed in this study in a
continuous-flow bioelectrochemical reactor to address bioremediation of
groundwater contaminated by trichloroethene (TCE) and less-chlorinated but still
harmful intermediates, such as vinyl chloride. In order to optimize the anodic
compartment, whereby the oxygen-driven microbial oxidation of TCE-daughter
products occurs, abiotic batch experiments were performed with various anode
materials poised at +1.20 V vs. SHE (i.e., graphite rods and titanium mesh anode
coated with mixed metal oxides (MMO)) and setups (i.e., electrodes embedded
within a bed of silica beads or graphite granule). The MMO anode displayed higher
efficiency (>90%) for oxygen generation compared to the graphite electrodes.
Additionally, the graphite bed presence adversely affects oxygen generation,
likely due to the oxygen scavenging. This effect was completely eliminated by
replacing the graphite granules with silica beads. The anodic setups were
thereafter verified in a mentioned reactor at an applied TCE loading rate of
approximately 20 MUM d-1 and a hydraulic retention time of 1.4 d in each
compartment. The cathode consisted of a bed of graphite granules and was
potentiostatically controlled at -0.65 V vs. SHE. The best reactor performance in
terms of removal efficiency (i.e., >97%), removal rate (i.e., 121.8 +/- 2.7 MUeq
L-1 d-1), and the residual concentration (i.e., 5.03 +/- 0.63 MUeq L-1) of
chlorinated contaminants was achieved with the MMO anode placed in a silica bed.
Ecotoxicity tests performed with algae confirmed these results by showing
progressive toxicity reduction from inlet to cathodic and anodic effluent using
this reactor configuration.
PMID- 27886539
TI - Understanding reduced inorganic mercury accumulation in rice following selenium
application: Selenium application routes, speciation and doses.
AB - Selenium (Se) has recently been demonstrated to reduce inorganic mercury (IHg)
accumulation in rice plants, while its mechanism is far from clear. Here, we
aimed at exploring the potential effects of Se application routes (soil or foliar
application with Se), speciation (selenite and selenate), and doses on IHg-Se
antagonistic interactions in soil-rice systems. Results of our pot experiments
indicated that soil application but not foliar application could evidently reduce
tissue IHg concentrations (root: 0-48%, straw: 15-58%, and brown rice: 26-74%),
although both application routes resulted in comparable Se accumulation in
aboveground tissues. Meanwhile, IHg distribution in root generally increased with
amended Se doses in soil, suggesting antagonistic interactions between IHg and Se
in root. These results provided initial evidence that IHg-Se interactions in the
rhizosphere (i.e., soil or rice root), instead of those in the aboveground
tissues, could probably be more responsible for the reduced IHg bioaccumulation
following Se application. Furthermore, Se dose rather than Se speciation was
found to be more important in controlling IHg accumulation in rice. Our findings
regarding the importance of IHg-Se interactions in the rhizosphere, together with
the systematic investigation of key factors affecting IHg-Se antagonism and IHg
bioaccumulation, advance our understanding of Hg dynamics in soil-rice systems.
PMID- 27886540
TI - The effect of different pyrolysis temperatures on the speciation and availability
in soil of P in biochar produced from the solid fraction of manure.
AB - Biochar application to agricultural land has been proposed as a means for
improving phosphorus (P) availability in soil. The purpose of the current study
was to understand how pyrolysis temperature affects P speciation in biochar and
how this affects availability of P in the amended soil. Biochar was produced at
different temperatures from digestate solids. The primary species of P in
digestate solids were simple calcium phosphates. However, a high co-occurrence of
magnesium (Mg) and P, indicated that struvite or other magnesium phosphates may
also be important species. At low temperatures, pyrolysis had little effect on P
speciation; however, as the temperature increased above 600 degrees C, the P
gradually became more thermodynamically stable in species such as apatite. At
very high temperatures above 1000 degrees C, there were indications of reduced
forms of P. Biochar production decreased the immediate availability of P in
comparison with the original digestate solids. However, for biochar produced at
low temperatures, availability quickly increased to the same levels as in the
digestate solids. For biochar produced at higher temperatures, availability
remained depressed for much longer. The low availability of P in the biochar
produced at high temperatures can probably be explained by the formation of less
soluble P species in the biochar. In contrast, the transient decrease of
availability of the P in the biochar produced at low temperatures can be
explained by mechanisms, such as sorption on biochar, which gradually decreases
because of oxidation of the biochar surfaces or changes in pH around the biochar
particles.
PMID- 27886541
TI - Long-term effects of nickel oxide nanoparticles on performance, microbial
enzymatic activity, and microbial community of a sequencing batch reactor.
AB - The nitrogen and phosphorus removal, microbial enzymatic activity, and microbial
community of a sequencing batch reactor (SBR) were evaluated under long-term
exposure to nickel oxide nanoparticles (NiO NPs). High NiO NP concentration (over
5 mg L-1) affected the removal of chemical oxygen demand, nitrogen, and
phosphorus. The presence of NiO NP inhibited the microbial enzymatic activities
and reduced the nitrogen and phosphorus removal rates of activated sludge. The
microbial enzymatic activities of the activated sludge showed a similar variation
trend to the nitrogen and phosphorus removal rates with the increase in NiO NP
concentration from 0 to 60 mg L-1. The Ni content in the effluent and activated
sludge showed an increasing trend with the increase in NiO NP concentration. Some
NiO NPs were absorbed on the sludge surface or penetrate the cell membrane into
the interior of microbial cells in the activated sludge. NiO NP facilitated the
increase in reactive oxygen species by disturbing the balance between the
oxidation and anti-oxidation processes, and the variation in lactate
dehydrogenase demonstrated that NiO NP could destroy the cytomembrane and cause
variations in the microbial morphology and physiological function. High
throughput sequencing demonstrated that the microbial community of SBR had some
obvious changes at 0-60 mg L-1 NiO NPs at the phyla, class and genus levels.
PMID- 27886542
TI - Occurrence of perfluoroalkyl substances in cord serum and association with growth
indicators in newborns from Beijing.
AB - Perfluoroalkyl substances (PFASs), a group of environmental pollutants,
persistently exist in the environment. To investigate the associations between
PFASs levels in cord serum and birth weight, birth length and ponderal index, we
measured PFASs in cord serum samples from 170 infants from Feb. 2012 to Jun. 2012
in Beijing, China. The mean concentrations in cord serum samples for
perfluorooctanoic acid (PFOA), perfluorooctane sulfonic acid (PFOS),
perfluorohexane sulfonic acid (PFHxS), perfluorononanoic acid (PFNA),
perfluorodecanoic acid (PFDA) and perfluoroundecanoic acid (PFUnA) were 1.285
ng/mL, 1.228 ng/mL, 0.230 ng/mL, 0.224 ng/mL, 0.100 ng/mL and 0.085 ng/mL,
respectively. First-born children had slightly higher exposure levels of PFHxS (p
< 0.001) and PFOA (p = 0.03) than second-born or third-born children. The
spearman correlation coefficients with gestation time were individually 0.160 (p
= 0.038) for PFHxS and 0.202 (p = 0.008) for PFOA. Both univariate and
multivariate linear regression analysis showed that the exposure levels of PFASs
had no statistically significant associations with birth weight, birth length or
ponderal index in the present population. For male infants, we observed that
PFHxS positively correlated with birth length, but the levels of PFUnA were
negatively associated with birth length.
PMID- 27886543
TI - Interactions among triphenyltin degradation, phospholipid synthesis and membrane
characteristics of Bacillus thuringiensis in the presence of d-malic acid.
AB - Degradation pathway and surface biosorption of triphenyltin (TPT) by effective
microbes have been investigated in the past. However, unclear interactions among
membrane components and TPT binding and transport are still obstacles to
understanding TPT biotransformation. To reveal the mechanism involved, the
phospholipid expression, membrane potential, cellular mechanism and molecular
dynamics between TPT and fatty acids (FAs) during the TPT degradation process in
the presence of d-malic acid (DMA) were studied. The results show that the
degradation efficiency of 1 mg L-1 TPT by Bacillus thuringiensis (1 g L-1) with
0.5 or 1 mg L-1 DMA reached values up to approximately 90% due to the promotion
of element metabolism and cellular activity, and the depression of FA synthesis
induced by DMA. The addition of DMA caused conversion of more linoleic acid into
10-oxo-12(Z)-octadecenoic acid, increased the membrane permeability, and
alleviated the decrease in membrane potential, resulting in TPT transport and
degradation. Fluorescence analysis reveals that the endospore of B. thuringiensis
could act as an indicator for membrane potential and cellular activities. The
current findings are advantageous for acceleration of biosorption, transport and
removal of pollutants from natural environments.
PMID- 27886544
TI - 3-Aroylindoles display antitumor activity in vitro and in vivo: Effects of N1
substituents on biological activity.
AB - A series of 3-aroylindole hydroxamic acids (10-17) were developed based on the
concept of a structural combination of tubulin and histone deacetylase (HDAC)
inhibitors. This was accomplished by introducing hydroxamic acid-containing
moieties at the N1 position of the tubulin assembly inhibitor, compound 9
(SCB01A, BPR0L075, phase II trial). Most of synthetic compounds produced in this
way displayed comparable HDAC inhibitory activity, and four (10, 12-14) of them
also inhibit tubulin assembly. Notably, compound 12 possesses not only tubulin
and HDAC inhibitory activity but also shows HDAC6 selectivity over other HDAC
isoforms. In addition, it exhibits remarkable inhibitory activity against the
growth cancer cells in vitro and in vivo (PC3 and RPMI-8226 cells). Notably, it
suppresses the growth of multiple myeloma xenografts without leading to the death
of teated animals like reference compound. In sum, this study provided potential
compounds with safer profiles for cancer treatment.
PMID- 27886545
TI - Design, synthesis, docking studies and biological evaluation of novel dihydro
1,3,5-triazines as human DHFR inhibitors.
AB - A novel series of dihydro-1,3,5-triazine derivatives bearing a heteroatom spiro
ring were designed and synthesized on the basis of molecular flexible docking
work, and their biological activities were evaluated. Compounds A2, A5, B1 and B3
showed potent human dihydrofolate reductase (hDHFR) inhibitory activity with IC50
values of 7.46 nM, 3.72 nM, 6.46 nM, 4.08 nM, versus reference drug methotrexate
(MTX). From the molecular docking result we concluded that the conformation space
generated by deformation of the flexible residue Phe31 is favorable for the
binding of the spiro-ring, and inserting heteroatom into spiro ring might
increase the binding affinity. There were 24 compounds with broadspectrum
antiproliferative activity against several tumor cell lines (HCT116, A549, HL-60,
HepG2 and MDA-MB-231) with IC50 values ranging from 0.79 to 0.001 MUM. The
antitumor activity in vivo of compound A2 was determined in a human alveolar
basal epithelial cell line A549 xenograft model. This study offered novel
anticancer agents with high inhibitory activity that target hDHFR and have a
binding mode of the novel molecular scaffold with hDHFR. This provides potent
support for further development of novel hDHFR inhibitors.
PMID- 27886547
TI - Comprehensive review on various strategies for antimalarial drug discovery.
AB - The resistance of malaria parasites to existing drugs carries on growing and
progressively limiting our ability to manage this severe disease and finally lead
to a massive global health burden. Till now, malaria control has relied upon the
traditional quinoline, antifolate and artemisinin compounds. Very few new
antimalarials were developed in the past 50 years. Among recent approaches,
identification of novel chemotherapeutic targets, exploration of natural products
with medicinal significance, covalent bitherapy having a dual mode of action into
a single hybrid molecule and malaria vaccine development are explored heavily.
The proper execution of these approaches and proper investment from international
agencies will accelerate the discovery of drugs that provide new hope for the
control or eventual eradication of this global infectious disease. This review
explores various strategies for assessment and development of new antimalarial
drugs. Current status and scientific value of previous approaches are
systematically reviewed and new approaches provide a pragmatic forecast for
future developments are introduced as well.
PMID- 27886546
TI - Biology-oriented drug synthesis (BIODS): In vitro beta-glucuronidase inhibitory
and in silico studies on 2-(2-methyl-5-nitro-1H-imidazol-1-yl)ethyl aryl
carboxylate derivatives.
AB - Current study is based on the biology-oriented drug synthesis (BIODS) of 2-(2
methyl-5-nitro-1H-imidazol-1-yl)ethyl aryl carboxylate derivatives 1-26, by
treating metronidazole with different aryl and hetero-aryl carboxylic acids in
the presence of 1,1'-carbonyl diimidazole (CDI) as a coupling agent. Structures
of all synthetic derivatives were confirmed with the help of various
spectroscopic techniques such as EI-MS, 1H -NMR and 13C NMR. CHN elemental
analyses were also found in agreement with the calculated values. Synthetic
derivatives were evaluated to check their beta-glucuronidase inhibitory activity
which revealed that except few derivatives, all demonstrated good inhibition in
the range of IC50 = 1.20 +/- 0.01-60.30 +/- 1.40 MUM as compared to the standard
d-saccharic acid 1,4-lactone (IC50 = 48.38 +/- 1.05 MUM). Compounds 1, 3, 4, 6, 9
19, and 21-24 were found to be potent analogs and showed superior activity than
standard. Limited structure-activity relationship is suggested that the molecules
having electron withdrawing groups like NO2, F, Cl, and Br, were displayed better
activity than the compounds with electron donating groups such as Me, OMe and
BuO. To verify these interpretations, in silico study was also performed, a good
correlation was observed between bioactivities and docking studies.
PMID- 27886549
TI - Enhanced adsorbability and photocatalytic activity of TiO2-graphene composite for
polycyclic aromatic hydrocarbons removal in aqueous phase.
AB - Photodegradation via titanium dioxide (TiO2) has been used to remove polycyclic
aromatic hydrocarbons (PAHs) from environmental media broadly. In this study, a
series of TiO2-graphene composites (P25-GR) with different GR weight ratios were
synthesized via hydrothermal reaction of graphene oxide (GO) and P25. Their
structures were characterized and the proprieties were tested in aqueous phase.
Phenanthrene (PHE), fluoranthene (FLAN), and benzo[a]pyrene (BaP) were selected
as models of PAHs. The experiment indicated that P25-2.5%GR exhibited enhancement
in both adsorption and photodegradation, ~80% of PAHs were removed after 2h
photocatalysis. The influence of photodegradation rate was studied, including
PAHs initial concentration and pH. Aromatic intermediates were identified during
the reaction process and the degradation pathways were portrayed. This work
explored the enhanced photocatalysis performance was attributed to the PAH
selective adsorbability and the strong electron transfer ability of the
composite. The analysis of the degradation intermediates confirmed that the
reaction proceeded with the formation of free radicals, leading to the gradual
PAH mineralization.
PMID- 27886548
TI - Design, synthesis, and evaluation of asymmetric EF24 analogues as potential anti
cancer agents for lung cancer.
AB - The nuclear factor-kappa B (NF-kappaB) signaling pathway has been targeted for
the therapy of various cancers, including lung cancer. EF24 was considered as a
potent inhibitor of NF-kappaB signaling pathway. In this study, a series of
asymmetric EF24 analogues were synthesized and evaluated for their anti-cancer
activity against three lung cancer cell lines (A549, LLC, H1650). Most of the
compounds exhibited good anti-tumor activity. Among them, compound 81 showed
greater cytotoxicity than EF24. Compound 81 also possessed a potent anti
migration and anti-proliferative ability against A549 cells in a concentration
dependent manner. Moreover, compound 81 induced lung cancer cells death by
inhibiting NF-kappaB signaling pathway, and activated the JNK-mitochondrial
apoptotic pathway by increasing reactive oxygen species (ROS) generation
resulting in apoptosis. In summary, compound 81 is a valuable candidate for anti
lung cancer therapy.
PMID- 27886550
TI - Vgamma1+gammadeltaT, early cardiac infiltrated innate population dominantly
producing IL-4, protect mice against CVB3 myocarditis by modulating IFNgamma+ T
response.
AB - Viral myocarditis (VMC) is an inflammation of the myocardium closely associated
with Coxsackievirus B3 (CVB3) infection. Vgamma1+gammadeltaT cells, one of early
cardiac infiltrated innate population, were reported to protect CVB3 myocarditis
while the precise mechanism not fully addressed. To explore cytokine profiles and
kinetics of Vgamma1+gammadeltaT and mechanism of protection against VMC, flow
cytometry was conducted on cardiac Vgamma1 cells in C57BL/6 mice following CVB3
infection. The level of cardiac inflammation, transthoracic echocardiography and
viral replication were evaluated after monoclonal antibody depletion of
Vgamma1gammadeltaT. We found that Vgamma1+gammadeltaT cells infiltration peaked
in the heart at day3 post CVB3 infection and constituted a minor source of IFN
gamma but major producers for early IL-4. Vgamma1gammadeltaT cells were activated
earlier holding a higher IL-4-producing efficiency than CD4+Th cells in the
heart. Depletion of Vgamma1+gammadeltaT resulted in a significantly exacerbated
cardiac infiltration, increased T, macrophage and neutrophil population in heart
homogenates and worse cardiomyopathy; which was accompanied by a significant
expansion of peripheral IFNgamma+CD4+ and CD8+T cells. Neutralization of IL-4 in
mice resulted in an exacerbated acute myocarditis confirming the IL-4-mediated
protective mechanism of Vgamma1. Our findings identify a unique property of
Vgamma1+gammadeltaT cells as one dominant early producers of IL-4 upon CVB3 acute
infection which is a key mediator to protect mice against acute myocarditis by
modulating IFNgamma-secreting T response.
PMID- 27886551
TI - Reconsidering azobenzene as a component of small-molecule hypoxia-mediated cancer
drugs: A theranostic case study.
AB - An azobenzene scaffold serves as both a fluorescence quencher and nitrogen
mustard deactivator in a mitochondrial targeting unit bearing theranostic drug
delivery system (DDS). The DDS exhibited a tissue selectivity for tumors with
aggressive phenotypes, and the efficient in vitro and in vivo azoreduction under
hypoxia conditions resulted in bright fluorescence at the tumor site as well as
the in situ activation of the prodrug. In vivo therapeutic experiments
demonstrated a significant reduction in tumor growth versus number of controls
and ex vivo tissue analysis confirmed tissue normalization with strongly reduced
angiogenic markers and suppressed cell proliferation. Mechanistic insight of the
DDS's mode of action was gained by gene and protein expression experiments, aided
by a proteomic analysis, revealing the circumvention of cellular drug resistance
pathways as well as the normalization of Slit-Robo signaling, and the involvement
of granzyme-triggered mitochondria-mediated apoptosis. Overall, the combined high
sensitivity and synthetic ease as well as excellent therapeutic response suggests
a revival of the azobenzene class of hypoxia activated drugs, especially applied
to theranostics, is warranted.
PMID- 27886553
TI - Mechanical stress regulates transport in a compliant 3D model of the blood-brain
barrier.
AB - Transport of fluid and solutes is tightly controlled within the brain, where
vasculature exhibits a blood-brain barrier and there is no organized lymphatic
network facilitating waste transport from the interstitial space. Here, using a
compliant, three-dimensional co-culture model of the blood-brain barrier, we show
that mechanical stimuli exerted by blood flow mediate both the permeability of
the endothelial barrier and waste transport along the basement membrane.
Application of both shear stress and cyclic strain facilitates tight junction
formation in the endothelial monolayer, with and without the presence of
astrocyte endfeet in the surrounding matrix. We use both dextran perfusion and
TEER measurements to assess the initiation and maintenance of the endothelial
barrier, and microparticle image velocimetry to characterize the fluid dynamics
within the in vitro vessels. Application of pulsatile flow to the in vitro
vessels induces pulsatile strain to the vascular wall, providing an opportunity
to investigate stretch-induced transport along the basement membrane. We find
that a pulsatile wave speed of approximately 1 mm/s with Womersley number of
0.004 facilitates retrograde transport of high molecular weight dextran along the
basement membrane between the basal endothelium and surrounding astrocytes.
Together, these findings indicate that the mechanical stress exerted by blood
flow is an important regulator of transport both across and along the walls of
cerebral microvasculature.
PMID- 27886552
TI - Three dimensional electrospun PCL/PLA blend nanofibrous scaffolds with
significantly improved stem cells osteogenic differentiation and cranial bone
formation.
AB - Nanofibrous scaffolds that are morphologically/structurally similar to natural
ECM are highly interested for tissue engineering; however, the electrospinning
technique has the difficulty in directly producing clinically relevant 3D
nanofibrous scaffolds with desired structural properties. To address this
challenge, we have developed an innovative technique of thermally induced
nanofiber self-agglomeration (TISA) recently. The aim of this work was to prepare
(via the TISA technique) and evaluate 3D electrospun PCL/PLA blend (mass ratio:
4/1) nanofibrous scaffolds having high porosity of ~95.8% as well as
interconnected and hierarchically structured pores with sizes from sub
micrometers to ~300 MUm for bone tissue engineering. The hypothesis was that the
incorporation of PLA (with higher mechanical stiffness/modulus and bioactivity)
into PCL nanofibers would significantly improve human mesenchymal stem cells
(hMSCs) osteogenic differentiation in vitro and bone formation in vivo. Compared
to neat PCL-3D scaffolds, PCL/PLA-3D blend scaffolds had higher mechanical
properties and in vitro bioactivity; as a result, they not only enhanced the cell
viability of hMSCs but also promoted the osteogenic differentiation. Furthermore,
our in vivo studies revealed that PCL/PLA-3D scaffolds considerably facilitated
new bone formation in a critical-sized cranial bone defect mouse model. In
summary, both in vitro and in vivo results indicated that novel 3D electrospun
PCL/PLA blend nanofibrous scaffolds would be strongly favorable/desired for hMSCs
osteogenic differentiation and cranial bone formation.
PMID- 27886554
TI - A biomaterial-assisted mesenchymal stromal cell therapy alleviates colonic
radiation-induced damage.
AB - Healthy tissues surrounding abdomino-pelvic tumours can be impaired by
radiotherapy, leading to chronic gastrointestinal complications with substantial
mortality. Adipose-derived Mesenchymal Stromal Cells (Ad-MSCs) represent a
promising strategy to reduce intestinal lesions. However, systemic administration
of Ad-MSCs results in low cell engraftment within the injured tissue.
Biomaterials, able to encapsulate and withstand Ad-MSCs, can overcome these
limitations. A silanized hydroxypropylmethyl cellulose (Si-HPMC) hydrogel has
been designed and characterized for injectable cell delivery using the operative
catheter of a colonoscope. We demonstrated that hydrogel loaded-Ad-MSCs were
viable, able to secrete trophic factors and responsive to the inflammatory
environment. In a rat model of radiation-induced severe colonic damage, Ad-MSC +
Si-HPMC improve colonic epithelial structure and hyperpermeability compared with
Ad-MSCs injected intravenously or locally. This therapeutic benefit is associated
with greater engraftment of Si-HPMC-embedded Ad-MSCs in the irradiated colonic
mucosa. Moreover, macrophage infiltration near the injection site was less
pronounced when Ad-MSCs were embedded in the hydrogel. Si-HPMC induces modulation
of chemoattractant secretion by Ad-MSCs that could contribute to the decrease in
macrophage infiltrate. Si-HPMC is suitable for cell delivery by colonoscopy and
induces protection of Ad-MSCs in the tissue potentiating their therapeutic effect
and could be proposed to patients suffering from colon diseases.
PMID- 27886555
TI - Overcoming multidrug resistance via simultaneous delivery of cytostatic drug and
P-glycoprotein inhibitor to cancer cells by HPMA copolymer conjugate.
AB - Multidrug resistance (MDR) is a common cause of failure in chemotherapy for
malignant diseases. MDR is either acquired as a result of previous repeated
exposure to cytostatic drugs (P388/MDR cells) or naturally, as some tumors are
congenitally resistant to chemotherapy (CT26 cells). One of the most common
mechanisms of MDR is upregulation of P-glycoprotein (P-gp) expression. Here, we
used HPMA copolymer conjugates, whereby the cytostatic drug doxorubicin (Dox) or
the derivative of the P-gp inhibitor reversin 121 (R121) or both were covalently
bound through a degradable pH-sensitive hydrazone bond. We proved that R121, when
bound to a polymeric carrier, is capable of inhibiting P-gp in P388/MDR cells and
sensitizing them in relation to the cytostatic activity of Dox. Conjugate bearing
both Dox and R121 was found to be far more potent in P388/MDR cells than
conjugate bearing Dox alone or a mixture of conjugates bearing either Dox or R121
when cytostatic activity in vitro, cell cycle arrest, accumulation of Dox in
cells and induction of apoptosis were determined. Importantly, conjugate bearing
R121 is also effective in vivo as it inhibits P-gp in P388/MDR tumors after
intraperitoneal administration, while both the conjugate bearing Dox and R121
induces apoptosis in P388/MDR tumors more effectively than conjugate bearing Dox
alone. Only conjugate bearing Dox and R121 significantly inhibited P388/MDR tumor
growth and led to the prolonged survival of treated mice. However, the most
dramatic antitumor activity of this conjugate was found in the CT26 tumor model
where it completely cured six out of eight experimental mice, while conjugate
bearing Dox alone cured no mice.
PMID- 27886556
TI - Modular delivery of CpG-incorporated lipid-DNA nanoparticles for spleen DC
activation.
AB - We introduce a versatile carrier system for in vitro and in vivo immune
stimulation based on soft matter DNA nanoparticles (NPs). The incorporation of
lipid-modified nucleotides into DNA strands enables the formation of micelles of
uniform size. In a single self-assembly step, the micelles can be equipped with
immune adjuvant (CpG) motifs and fluorescent probes. The immunological effects of
CpG confined at the NP surface were studied in a comprehensive manner in animal
experiments. Dose-dependent activation of spleen dendritic cells (DCs) by CpG
conjugated NP was observed, which was accompanied by the pronounced up-regulation
of co-stimulatory molecule and cytokine production.
PMID- 27886557
TI - Upconversion optical/magnetic resonance imaging-guided small tumor detection and
in vivo tri-modal bioimaging based on high-performance luminescent nanorods.
AB - In this work, we demonstrated multifunctional NaYbF4: Tm3+/Gd3+ upconversion (UC)
nanorods (UCNRs) with near-infrared (NIR)-to-NIR emission and controlled phase
and size for UC optical and T1/T2 dual-weighted magnetic resonance (MR) imaging
guided small tumor detection and tri-modal bioimaging. Cell toxicity and post
injection histology results revealed that our designed UCNRs present low
biotoxicity and good biocompatibility in living animals. Real-time tracking based
on UCNRs in living mice demonstrated that the UCNRs were mainly accumulated in
the reticuloendothelial system (RES) and excreted through the hepatic pathway.
Additionally, the UCNRs exhibited high X-ray absorption coefficient and large K
edge value, resulting in efficient in vivo CT imaging. A new type of binary
(Yb3+/Gd3+) MR contrast agent for simultaneous T1/T2 dual-weighted MR imaging was
achieved by doping Gd3+ into NaYbF4 host. Importantly, a small tumor (5 mm in
diameter) could be detected in vivo by intravenously injecting UCNRs under UC
optical and MR imaging modalities. Therefore, these multifunctional nanoprobes
based on NaYbF4:Tm3+/Gd3+ UCNRs with remarkable NIR-to-NIR emission provide
potential applications for tri-modal UC optical, CT, binary T1/T2 MR imaging, and
early-stage tumor detection in nanomedicine.
PMID- 27886558
TI - Optimization of sparse phase encodings for variable repetition-delay turbo-spin
echo (TSE) T1 measurements for preclinical applications.
AB - A variable repetition-delay (TR) spin echo sequence with repeated refocusing
pulses, i.e., a variable TR turbo-spin echo (TSE), provides an attractive means
of acquiring an accurate T1 map information that is free from gradient echo based
artifacts such as magnetic field inhomogeneities particularly for ultra-high
field (at 7T and above) preclinical applications. However, the applicability of
multi-slice TSE sequences is often limited by signal distortion from T2
relaxation due to echo-train acquisitions for short T2 tissues, inter-slice cross
talks and magnetization transfer (MT) from repetitive slice-selective 180 degrees
pulse, and extended scan times with multiple TR excitations. These TSE
shortcomings are difficult to remedy for preclinical applications, where small
sizes of target organs usually limit the slice-gap control with restricted
parallel imaging capabilities. In this study, compressed-sensing-assisted turbo
spin echo (CS-TSE) acquisitions for variable TR T1 measurements at 7T preclinical
scanner were implemented to reduce the echo-trains by sparse phase encodings.
Following the sparse signal simulation and sampling scheme optimization, the
measured T1 values from CS-TSE and TSE were compared for phantoms, ex vivo, and
in vivo subjects. The phantom T1 values from CS-TSE and TSE were identical to
those from the inversion recovery spin echo. For both ex vivo and in vivo multi
slice T1 mapping, the shortened echo-trains of CS-TSE relieved the T2 relaxation,
reduced the inter-slice interferences of multi-slice acquisition, and made room
for additional slice encodings while maintaining a shorter scan time than the
conventional TSE at the expense of local image smoothness from CS
regularizations.
PMID- 27886560
TI - Serum metalloproteinase 9 levels increase after generalized tonic-clonic
seizures.
AB - Metalloproteinase 9 (MMP9) is a member of a family of enzymes that mediate the
degradation of extracellular matrix proteins, and is especially involved in blood
brain barrier maintenance. Increased levels of MMP9 have been observed in many
neurological disorders, including epilepsy, suggesting it may be involved in the
pathogenesis of seizures. We investigated changes in MMP9 serum levels after
acute seizures in epilepsy patients. Concentrations of MMP9 in serum were
measured by ELISA in 43 patients 1-3, 24, and 72h after generalized tonic-clonic
seizure and once in participants of the control group. MMP9 levels were
significantly increased 1-3 and 24h after seizure and decreased to control levels
72h after seizure. Our results suggest that MMP9 is released after or just before
seizure; however, further studies are needed to resolve the consequences of the
observed MMP9 increase.
PMID- 27886559
TI - Ectopic expression of GA 2-oxidase 6 from rapeseed (Brassica napus L.) causes
dwarfism, late flowering and enhanced chlorophyll accumulation in Arabidopsis
thaliana.
AB - Gibberellins (GAs) are endogenous hormones that play an important role in higher
plant growth and development. GA2-oxidase (GA2ox) promotes catabolism and
inactivation of bioactive GAs or their precursors. In this study, we identified
the GA2-oxidase gene, BnGA2ox6, and found it to be highly expressed in the
silique and flower. Overexpression of BnGA2ox6 in Arabidopsis resulted in GA
deficiency symptoms, including inhibited elongation of the hypocotyl and stem,
delayed seed germination, and late flowering. BnGA2ox6 overexpression reduced
silique growth, but had no effect on seed development. Additionally, BnGA2ox6
overexpression enhanced chlorophyll b and total chlorophyll accumulation, and
downregulated mRNA expression levels of the CHL1 and RCCR genes, which are
involved in the chlorophyll degradation. These findings suggest that BnGA2ox6
regulates plant hight, silique development, flowering and chlorophyll
accumulation in transgenic Arabidopsis.
PMID- 27886561
TI - The STOP-BANG questionnaire improves the detection of epilepsy patients at risk
for obstructive sleep apnea.
AB - Patients with epilepsy and obstructive sleep apnea (OSA) are at risk for worsened
seizure control and quality of life. We performed a quality improvement project,
evaluating for improvements in the screening of OSA in epilepsy patients using
the STOP-BANG questionnaire. The electronic medical records of patients seen in
our epilepsy clinic were screened for 4 months prior to the intervention. We
subsequently implemented the STOP-BANG questionnaire for 3 months. Only 22/664
patients (3.3%) had their sleeping habits explored during the pre-intervention
period; 11 (1.7%) were referred to sleep medicine. Following implementation of
the STOP-BANG questionnaire, the percentage of patients screened for OSA
increased to 41.6% (269/647, Chi-square Fisher's Exact test 2-sided p<0.001). Of
the 269 patients screened, 84 (31.2%) met criteria for elevated OSA risk. Forty
one patients were referred to sleep medicine during the subsequent 3 month
period, including 33 who met STOP-BANG criteria for OSA. This represented 6.3%
and 5.1% (respectively) of all 647 patients, a significant improvement over the
percentage referred prior to the intervention (Chi-square Fisher's Exact test 2
sided p<0.001). Twelve of the 33 patients referred based on the STOP-BANG
questionnaire saw sleep medicine; 11 (91.7%) were referred for polysomnography
(PSG). Of the 10 patients who underwent PSG, 9 (90%) were diagnosed with OSA and
offered treatment with continuous positive airway pressure (CPAP).
PMID- 27886562
TI - Magnetic susceptibility, artifact volume in MRI, and tensile properties of swaged
Zr-Ag composites for biomedical applications.
AB - Zr-Ag composites were fabricated to decrease the magnetic susceptibility by
compensating for the magnetic susceptibility of their components. The Zr-Ag
composites with a different Zr-Ag ratio were swaged, and their magnetic
susceptibility, artifact volume, and mechanical properties were evaluated by
magnetic balance, three-dimensional (3-D) artifact rendering, and a tensile test,
respectively. These properties were correlated with the volume fraction of Ag
using the linear rule of mixture. We successfully obtained the swaged Zr-Ag
composites up to the reduction ratio of 96% for Zr-4, 16, 36, 64Ag and 86% for Zr
81Ag. However, the volume fraction of Ag after swaging tended to be lower than
that before swaging, especially for Ag-rich Zr-Ag composites. The magnetic
susceptibility of the composites linearly decreased with the increasing volume
fraction of Ag. No artifact could be estimated with the Ag volume fraction in the
range from 93.7% to 95.4% in three conditions. Young's modulus, ultimate tensile
strength (UTS), and 0.2% yield strength of Zr-Ag composites showed slightly lower
values compared to the estimated values using a linear rule of mixture. The
decrease in magnetic susceptibility of Zr and Ag by alloying or combining would
contribute to the decrease of the Ag fraction, leading to the improvement of
mechanical properties.
PMID- 27886563
TI - Nanomechanical properties, wear resistance and in-vitro characterization of Ta2O5
nanotubes coating on biomedical grade Ti-6Al-4V.
AB - Tantalum pentoxide nanotubes (Ta2O5 NTs) can dramatically raise the biological
functions of different kinds of cells, thus have promising applications in
biomedical fields. In this study, Ta2O5 NTs were prepared on biomedical grade Ti
6Al-4V alloy (Ti64) via physical vapor deposition (PVD) and a successive two-step
anodization in H2SO4: HF (99:1)+5% EG electrolyte at a constant potential of 15V.
To improve the adhesion of nanotubular array coating on Ti64, heat treatment was
carried out at 450 degrees C for 1h under atmospheric pressure with a
heating/cooling rate of 1 degrees Cmin-1. The surface topography and composition
of the nanostructured coatings were examined by atomic force microscopy (AFM) and
X-ray electron spectroscopy (XPS), to gather information about the corrosion
behavior, wear resistance and bioactivity in simulated body fluids (SBF). From
the nanoindentation experiments, the Young's modulus and hardness of the 5min
anodized sample were ~ 135 and 6GPa, but increased to ~ 160 and 7.5GPa,
respectively, after annealing at 450 degrees C. It was shown that the corrosion
resistance of Ti64 plates with nanotubular surface modification was higher than
that of the bare substrate, where the 450 degrees C annealed specimen revealed
the highest corrosion protection efficiency (99%). Results from the SBF tests
showed that a bone-like apatite layer was formed on nanotubular array coating, as
early as the first day of immersion in simulated body fluid (SBF), indicating the
importance of nanotubular configuration on the in-vitro bioactivity.
PMID- 27886565
TI - Investigating the relationship between reduced self-awareness of falls risk,
rehabilitation engagement and falls in older adults.
AB - The present study aimed to investigate whether self-awareness of falls risk is
associated with rehabilitation engagement, motivation for rehabilitation, and
number of falls after hospital discharge. The sample comprised 91 older adults
(Mage=77.97, SD=8.04) undergoing inpatient rehabilitation. The Self-Awareness of
Falls Risk Measure (SAFRM) was used to measure different aspects of self
awareness. The treating physiotherapist and occupational therapist rated the
patient's engagement in rehabilitation and the patient reported his/her
motivation for treatment. Falls information was collected from the patient and
significant other once a month for three months following hospital discharge.
Significant correlations were found between physiotherapist-rated engagement and
intellectual (rs=-0.22, p<0.05) and anticipatory awareness (rs=-0.24, p<0.05).
Occupational therapist-rated engagement and patient-reported motivation for
rehabilitation was correlated with emergent awareness (rs=-0.38 and -0.31,
p<0.05, respectively) and overall self-awareness (rs=-0.31 and -0.26, p<0.05,
respectively). Regression analyses indicated that overall self-awareness provided
a unique contribution to occupational therapist-rated engagement when controlling
for age, gender, cognition and functional ability. Falls were reported by 29.9%
of participants, however, self-awareness did not differ significantly between
fallers and non-fallers. The findings suggest that self-awareness of falls risk
is associated with rehabilitation engagement and motivation. Therefore, improving
patient self-awareness of falls risk may increase engagement in therapy leading
to better patient outcomes.
PMID- 27886564
TI - C-reactive protein is related to future cognitive impairment and decline in
elderly individuals with cardiovascular disease.
AB - AIMS: To explore the association of C-reactive protein (CRP) plasma levels with
subsequent cognitive performance and decline among elderly individuals with pre
existing cardiovascular disease (CVD), and to assess the role of cerebrovascular
indices in this relationship. METHODS: CRP levels were measured in a subgroup of
individuals with chronic CVD, who previously participated in a secondary
prevention trial. Cognitive performance was evaluated 14.7+/-1.9 and 19.9+/
1.0years after entry to the trial. A validated set of computerized cognitive
tests was used (Neurotrax Computerized Cognitive Battery) to assess performance
globally and in memory, executive function, visuospatial and attention domains.
Linear regression and mixed models were used to assess the relationship of CRP
plasma levels with cognitive scores and decline, respectively. In addition, we
tested whether cerebrovascular reactivity, carotid intima media thickness and
presence of carotid plaques modify these associations. RESULTS: Among 536
participants (mean age at the first cognitive evaluation 72.6+/-6.4years; 95%
males), CRP at the top tertile vs. the rest was associated with subsequent poorer
performance overall (beta=-2.2+/-1.0; p=0.031) and on tests of executive function
and attention (beta=-2.3+/-1.1; p=0.043 and beta=-2.0+/-1.4; p=0.047,
respectively). Moreover, CRP levels were positively related to a greater decline
in executive functions (beta=-2.4+/-1.1; p=0.03). These associations were
independent of potential confounders and were not modified by cerebrovascular
indices. CONCLUSION: Our findings suggest that systemic chronic inflammation,
potentially associated with underlying atherosclerosis, is related to cognitive
impairment and decline two decades later, in elderly individuals with pre
existing CVD.
PMID- 27886566
TI - Disentangling development of sensation seeking, risky peer affiliation, and binge
drinking in adolescent sport.
PMID- 27886567
TI - Evaluation of 8-hydroxy-2-deoxyguanosine and NFkB activation, oxidative stress
response, acetylcholinesterase activity, and histopathological changes in rainbow
trout brain exposed to linuron.
AB - Linuron is a widely used herbicide to control grasses and annual broad leaf
weeds. It is known that linuron has toxic effects on different organisms.
However, the toxic effects of linuron on aquatic organisms, especially fish, is
completely unknown. Thus, we aimed to investigate changes in 8-hydroxy-2
deoxyguanosine (8-OHdG) and nuclear factor kappa B (NFkB) activity,
histopathological changes, antioxidant responses and acetylcholinesterase (AChE)
activity in rainbow trout brain after exposure to linuron. Fish were exposed to
30MUg/L, 120MUg/L and 240MUg/L concentrations of linuron for twenty-one days.
Brain tissues were taken from fish for 8-OHdG and NFkB activity,
histopathological examination and determination of superoxide dismutase (SOD),
catalase (CAT) enzyme activity, lipid peroxidation (LPO), and reduced glutathione
(GSH) levels. Our data indicated that high linuron concentrations caused a
decrease in GSH levels, SOD and CAT activities in brain tissues (p<0.05). LPO
levels were significantly increased by 240MUg/L linuron. All concentrations
caused a significant inhibition in brain AChE enzyme activity (p<0.05).
Immunopositivity was detected for 8-OHdG and NFkB, and linuron exposure caused
histopathological damage to the brain tissues. The results of this study can
provide useful information for understanding of linuron-induced toxicity.
PMID- 27886568
TI - Deep sequencing methods for protein engineering and design.
AB - The advent of next-generation sequencing (NGS) has revolutionized protein
science, and the development of complementary methods enabling NGS-driven protein
engineering have followed. In general, these experiments address the functional
consequences of thousands of protein variants in a massively parallel manner
using genotype-phenotype linked high-throughput functional screens followed by
DNA counting via deep sequencing. We highlight the use of information rich
datasets to engineer protein molecular recognition. Examples include the creation
of multiple dual-affinity Fabs targeting structurally dissimilar epitopes and
engineering of a broad germline-targeted anti-HIV-1 immunogen. Additionally, we
highlight the generation of enzyme fitness landscapes for conducting fundamental
studies of protein behavior and evolution. We conclude with discussion of
technological advances.
PMID- 27886570
TI - Menarche, menstrual problems and suicidal behavior in Chinese adolescents.
AB - BACKGROUND: Menarche is the first menstrual cycle. Menstrual problems, such as
dysmenorrheal menorrhagia, oligomenorrhea, and irregular cycle are common in
female adolescents. This research aims to examine the associations between age at
menarche and menstrual problems and suicidal behavior among Chinese female
adolescents. METHODS: An epidemiological survey of 5831 female adolescents from
eight high schools of three counties of Shandong province, China, was conducted.
A self-administered paper-and-pencil questionnaire was used to collect
information. Logistic regression analyses were used to examine the association
between menstruation and suicidality. RESULTS: The mean age of the sample was
15.02 (SD=1.44) years. Of the sample, 5,231 (90.0%) had experienced their first
menstrual cycle, and 23.2%, 10.4%, and 4.5% of the sample reported having had
suicidal ideation, plan and attempt, respectively. In multivariate models,
menarche at <=11 years was associated with increased risk of suicidal ideation
(OR=1.41, 95%CI: 1.10-1.81) and menarche at 12 years was associated with suicide
plan (OR=1.23, 95%CI: 1.00-1.51). Irregular menstrual cycle was significantly
associated with increased risk of suicidal ideation (OR=1.40, 95%CI: 1.05-1.86)
and menstrual period less than or equal to 4 days was significantly associated
with increased risk of suicide plan (OR=1.32, 95%CI: 1.06-1.66). LIMITATIONS:
This cross-sectional study cannot establish the causal directions between
menstrual problems and suicidality in adolescents. CONCLUSIONS: Our study
suggests that earlier menarche, irregular menstrual cycle and short menstrual
period are associated with suicidal behavior in female adolescents. Further
research is warranted to examine the causal relationship between menstrual
problems and suicidal behavior in adolescents.
PMID- 27886569
TI - Effects of a right unilateral ultrabrief pulse electroconvulsive therapy course
on health related quality of life in elderly depressed patients.
AB - INTRODUCTION: Patients with Major Depressive Disorder (MDD) referred for
electroconvulsive therapy (ECT) have poorer Health Related Quality of Life
(HRQOL), compared with other patients with MDD, but ECT is associated with
significant and durable improvement in HRQOL. However, no prior research has
focused exclusively on elderly patients with MDD receiving ECT. METHODS: HRQOL
data from 240 depressed patients over the age of 60 was measured with the Medical
Outcomes Study Short Form 36 (SF-36). The SF-36 was measured before and after a
course of acute ECT. Predictors of change in HRQOL scores were identified by
generalized linear modeling. RESULTS: At baseline, participants showed very poor
HRQOL. After treatment with ECT, the full sample showed marked and significant
improvement across all SF-36 measures, with the largest gains seen in dimensions
of mental health. Across all participants, the Physical Component Summary (PCS)
score improved by 2.1 standardized points (95% CI, 0.61,3.56), while the Mental
Component Summary (MCS) score improved by 12.5 points (95% CI, 7.2,10.8) Compared
with non-remitters, remitters showed a trend toward greater improvement in the
PCS summary score of 2.7 points (95%CI, -0.45, 5.9), while the improvement in the
MCS summary score was significantly greater (8.5 points, 95% CI, 4.6,12.3) in the
remitters than non-remitters. Post-ECT SF-36 measurements were consistently and
positively related to baseline scores and remitter/non-remitter status or change
in depression severity from baseline. Objective measures of cognitive function
had no significant relationships to changes in SF-36 scores. LIMITATIONS: This
study's limitations include that it was an open label study with no comparison
group, and generalizability is limited to elderly patients. DISCUSSION: ECT
providers and elderly patients with MDD treated with ECT can be confident that
ECT will result in improved HRQOL in the short-term. Attaining remission is a key
factor in the improvement of HRQOL. Acute changes in select cognitive functions
were outweighed by improvement in depressive symptoms in determining the short
term HRQOL of the participants treated with ECT.
PMID- 27886571
TI - Apigenin inhibited hypoxia induced stem cell marker expression in a head and neck
squamous cell carcinoma cell line.
AB - OBJECTIVE: Cancer stem cells contribute to tumor recurrence, and a hypoxic
environment is critical for maintaining cancer stem cells. Apigenin is a natural
product with anticancer activity. However, the effect of apigenin on cancer stem
cells remains unclear. Our aim was to investigate the effect of apigenin on
cancer stem cell marker expression in head and neck squamous cell carcinoma cells
under hypoxia. DESIGN: We used three head and neck squamous cell carcinoma cell
lines; HN-8, HN-30, and HSC-3. The mRNA expression of cancer stem cell markers
was determined by semiquantitative RT-PCR and Real-time PCR. The cytotoxic effect
of apigenin was determined by MTT colorimetric assay. Flow cytometry was used to
reveal the number of cells expressing cancer stem cell surface markers. RESULTS:
HN-30 cells, a cancer cell line from the pharynx, showed the greatest response to
hypoxia by increasing their expression of CD44, CD105, NANOG, OCT-4, REX-1, and
VEGF. Apigenin significantly decreased HN-30 cell viability in dose- and time
dependent manners. In addition, 40MUM apigenin significantly down-regulated the
mRNA expression of CD44, NANOG, and CD105. Consistent with these results, the
hypoxia-induced increase in CD44+ cells, CD105+ cells, and STRO-1+ cells was
significantly abolished by apigenin. CONCLUSION: Apigenin suppresses cancer stem
cell marker expression and the number of cells expressing cell surface markers
under hypoxia.
PMID- 27886574
TI - The differential relationship between mental contamination and the core
dimensions of contact contamination fear.
AB - Two types of contamination fear are recognized: contact and mental contamination.
Contact contamination appears to be motivated both by harm avoidance and disgust
avoidance. This study aimed to examine the relationships between disgust
propensity, mental contamination and contact contamination while differentiating
between harm avoidance and disgust avoidance in contact contamination. 169 OCD
patients completed a set of questionnaires assessing mental contamination,
contact contamination, disgust propensity, OCD, anxiety and depression. 1)
Contact contamination based on disgust avoidance was more strongly associated
with mental contamination and disgust propensity than contact contamination based
on harm avoidance; 2) mental contamination significantly predicted contact
contamination based on disgust avoidance, while it did not predict contact
contamination based on harm avoidance; 3) mental contamination had a significant
mediational role in the relationship between disgust propensity and contact
contamination motivated by disgust avoidance. Mental contamination plays a role
in contact contamination fear when disgust is primarily experienced.
PMID- 27886573
TI - Nuclear transportation of exogenous epidermal growth factor receptor and androgen
receptor via extracellular vesicles.
AB - Epidermal growth factor receptor (EGFR) plays a central role in the progression
of several human malignancies. Although EGFR is a membrane receptor, it undergoes
nuclear translocation, where it has a distinct signalling pathway. Herein, we
report a novel mechanism by which cancer cells can directly transport EGFR to the
nucleus of other cells via extracellular vesicles (EVs). The transported receptor
is active and stimulates the nuclear EGFR pathways. Interestingly, the
translocation of EGFR via EVs occurs independently of the nuclear localisation
sequence that is required for nuclear translocation of endogenous EGFR. Also, we
found that the mutant receptor EGFRvIII could be transported to the nucleus of
other cells via EVs. To assess the role of EVs in the regulation of an actual
nuclear receptor, we studied the regulation of androgen receptor (AR). We found
that full-length AR and mutant variant ARv7 are secreted in EVs derived from
prostate cancer cell lines and could be transported to the nucleus of AR-null
cells. The EV-derived AR was able to bind the androgen-responsive promoter region
of prostate specific antigen, and recruit RNA Pol II, an indication of active
transcription. The nuclear-translocated AR via EVs enhanced the proliferation of
acceptor cells in the absence of androgen. Finally, we provide evidence that
nuclear localisation of AR could occur in vivo via orthotopically-injected EVs in
male SCID mice prostate glands. To our knowledge, this is the first study showing
the nuclear translocation of nuclear receptors via EVs, which significantly
extends the role of EVs as paracrine transcriptional regulators.
PMID- 27886572
TI - A rapid and sensitive UHPLC-MS/MS method for quantification of 83b1 in plasma and
its application to bioavailability study in rats.
AB - Great attentions have been drawn by quinoline for its broad bioactivity as anti
fungal, anti-bacterial and anti-tumor activities. Compared with cisplatin, 83b1,
a quinoline derivative, showed equal activity in anti-tumor and lower
cyctotoxicity in normal cell. In this study, a simple, rapid and sensitive method
for determination of 83b1 in rat plasma using UHPLC-MS/MS was developed for the
first time. Loratadine was used as an internal standard (IS). Separation was
performed on an Xterra MS C18 column by isocratic elution using acetonitrile:
water solution with 10/00 formic acid (90:10, v/v) as mobile phase at a flow rate
of 0.3mL/min. A triple quadrupole mass spectrometer operating in the positive ion
switching electron spray ionization mode with selection reaction monitoring (SRM)
was employed to determine 83b1 and IS transitions of m/z 321.82->147.84, 382.71
>258.76 for 83b1 and Loratadine, respectively. The values of specificity,
linearity and lower limit of quantification, intra- and inter- day precision and
accuracy, extraction recovery, matrix effect and stability for this method
satisfied the acceptable limits. The lower limit of quantification was 0.5ng/mL
with a linear range of 0.5-1500ng/mL. The validated method was employed to study
the bioavailability of 83b1 in rat by dosing with intravenous injection (1mg/kg)
and gavage (10mg/kg), and the oral bioavailability of 83b1 in rat was calculated
as 20.9+/-8.8%.
PMID- 27886575
TI - An evaluation of the appropriateness and effectiveness of structured reflection
for midwifery students in Ireland.
AB - Midwifery students undertaking the undergraduate midwifery education programme in
Ireland participate in facilitated reflective sessions that aim to develop their
skills of reflecting on and in clinical practice. This paper presents a
qualitative evaluation of the appropriateness and effectiveness of the
facilitated reflection sessions for pre and post-registration midwifery students
in two large Dublin maternity teaching hospitals. The aim was to evaluate
structured reflective practice sessions which sought to assist midwifery students
to become competent reflective practitioners. Group reflection sessions were
conducted weekly in a clinical practice area at the same time each week over one
academic year. After the series of structured reflective sessions, midwifery
students and facilitating staff were invited to evaluate the reflective process.
This evaluation consisted of a self-completion survey to identify the factors
that facilitated and impeded student participation in the sessions. Respondents
answered a series of questions about the reflective practice sessions and were
also invited to enter qualitative data regarding their subjective experiences of
the process in free text boxes. The data were then collated into themes by an
independent reviewer. The results of the evaluation clearly indicate that
midwifery students and facilitators welcomed the opportunity to engage in group
reflection sessions as a form of peer support and as a catalyst for learning from
clinical practice. Findings suggest that reflective practice can contribute to
the development of skilled, self-aware and engaged practitioners.
PMID- 27886576
TI - The effect of early goal-directed therapy for treatment of severe sepsis or
septic shock: A systemic review and meta-analysis.
AB - PURPOSE: To assess the effects of early goal-directed therapy (EGDT) on reducing
mortality compared with conventional management of severe sepsis or septic shock.
MATERIALS AND METHODS: We included a systemic review, using the Medline and
EMBASE. Seventeen randomized trials with 5765 patients comparing EGDT with usual
care were included. RESULTS: There were no significant differences in mortality
between EGDT and control groups (relative risk [RR], 0.89; 95% confidence
interval [CI], 0.79-1.00), with moderate heterogeneity (I2=56%). The EGDT was
associated with lower mortality rates when the mortality rate of the usual care
group was greater than 30% (12 trials; RR, 0.83; 95% CI, 0.72-0.96), but not when
the mortality rate in the usual care group was less than 30% (5 trials; RR, 1.03;
95% CI, 0.92-1.16). The mortality benefit was seen only in subgroup of population
analyzed between publication of the 2004 and 2012 Surviving Sepsis Campaign
guidelines, but not before and after these publications. CONCLUSION: This meta
analysis was heavily influenced by the recent addition of the trio of trials
published after 2014. The results of the recent trio of trials may be biased due
to methodological issues. This includes lack of blinding by incorporating similar
diagnostic and therapeutic interventions as the original EGDT trial.
PMID- 27886577
TI - High-flow nasal cannula oxygen therapy vs conventional oxygen therapy in cardiac
surgical patients: A meta-analysis.
AB - INTRODUCTION: The use of high-flow nasal cannula (HFNC) for the treatment of many
diseases has gained increasing popularity. In the present meta-analysis, we aimed
to assess the efficacy and safety of HFNCs compared with conventional oxygen
therapy (COT) in adult postextubation cardiac surgical patients. METHOD: We
reviewed the Embase, PubMed, Cochrane Central Register of Controlled Trials,
Wanfang databases, and the China National Knowledge Infrastructure. Two
investigators independently collected the data and assessed the quality of each
study. RevMan 5.3 was used for the present meta-analysis. RESULTS: We included
495 adult postextubation cardiac surgical patients. There was no significant
heterogeneity among the studies. Compared with COT, HFNCs were associated with a
significant reduction in the escalation of respiratory support (risk ratio, 0.61;
95% confidence interval [CI], 0.46-0.82; z = 3.32, P < .001). There were no
significant differences in the reintubation rate (risk ratio, 0.96; 95% CI, 0.04
24.84; z = 0.02, P = .98) or length of intensive care unit stay (weighted mean
difference, 0.13; 95% CI, -0.88 to 7.92; z = 1.57, P = .12) between the 2 groups.
No severe complications were reported in either group. CONCLUSIONS: The HFNC
could reduce the need for escalation of respiratory support compared with COT,
and it could be safely administered in adult postextubation cardiac surgical
patients.
PMID- 27886578
TI - Kynurenic acid and psychotic symptoms and personality traits in twins with
psychiatric morbidity.
AB - Increased cytokines and kynurenic acid (KYNA) levels in cerebrospinal fluid (CSF)
have been reported in patients with schizophrenia and bipolar disorder. The aim
of the present study was to investigate cytokines and kynurenines in the CSF of
twin pairs discordant for schizophrenia or bipolar disorder and to study these
CSF markers in relation to psychotic symptoms and personality traits. CSF levels
of tryptophan (TRP), KYNA, quinolinic acid (QUIN), interleukin (IL)-6, IL-8 and
tumor necrosis factor-alpha (TNF-alpha) were analyzed in 23 twins with
schizophrenia or bipolar disorder, and in their not affected co-twins. Ratings of
psychotic symptoms and personality traits were made using the Scales for
Assessment of Negative and Positive symptoms, the Structured Clinical Interview
for DSM-IV - Axis II Disorders, and the Schizotypal Personality Questionnaire -
Brief. A total score for psychotic symptoms and personality traits was
constructed for analysis. CSF KYNA was associated with the score for psychotic
symptom and personality traits. TNF-alpha and IL-8 were associated, and the intra
pair differences scores of TNF-alpha and IL-8 were highly correlated. Intraclass
correlations indicated genetic influences on CSF KYNA, TRP, IL-8 and TNF-alpha.
The association between KYNA and psychotic symptoms further supports a role of
KYNA in psychotic disorders.
PMID- 27886579
TI - A cytokine study of pediatric Tourette's disorder without obsessive compulsive
disorder.
AB - It has been suggested that post-infectious inflammation in central nervous system
is a cause of tic disorder including Tourette's disorder (TD). Since pro
inflammatory cytokines are important mediators inducing inflammation, the
cytokine levels are regarded as one of the important indicators of inflammation.
Several studies have investigated the relationship of autoimmunity and the
pathogenesis of TD by measuring the inflammatory cytokine levels of blood.
However, when using human samples, the experimental results can be affected by
the factors like size of sample, comorbidity, medication that patients take and
the severity of the diseases. Thus, it is important to exclude the possibility
that comorbidity and medication affects the level of inflammatory cytokines in
the serum of TD patients. In our experiment, we recruited 29 patients without
obsessive compulsive disorder (OCD) comorbidity and the majority of these
patients did not take medication. The six pro-inflammatory cytokine levels of
blood between patient and healthy groups were compared, considering the factors
above, to determine more accurate results. Of the cytokines we investigated, the
interleukin 12 p70 (IL-12p70) and tumor necrosis factor alpha (TNFalpha) levels
increased in patient group compared to healthy controls and the patient group
which have anti-streptolysin O (ASO) score under the 200 or YTGSS score from 10
to 19 also showed higher IL-12p70 or TNFalpha levels. In addition, the patients
who did not take medication showed higher TNFalpha levels compared to healthy
controls. In conclusion, we suggest that inflammatory pathways that involve IL
12p70 or TNFalpha are important to the pathogenesis of TD.
PMID- 27886580
TI - The real-life effectiveness of psychosocial therapies on social autonomy in
schizophrenia patients: Results from a nationwide cohort study in France.
AB - The objectives of the present study were to describe the prescribing patterns for
psychosocial therapies in routine clinical practice and to assess the impact of
psychoeducation on symptoms and social autonomy of patients with schizophrenia.
We used data from the nationwide French ESPASS observational cohort study
including 5967 patients with schizophrenia, which provided data on exposure to
psychosocial therapies from 4961 (83%) participants. Patients who initiated
psychosocial therapy within the first 3 months of study onset (n=143) were
compared to patients not subject to psychosocial therapy throughout follow up
(n=4268), using parametric tests. Symptom severity and social autonomy at 6
months from baseline were compared between patients undergoing psychoeducation
(n=117) and patients not subject to psychosocial therapy, matched (1:1) on
propensity scores. Patients who initiated psychosocial therapy were significantly
younger, more severely ill and used less often antipsychotic drugs than patients
in the reference group. At 6 months, patients who initiated psychoeducation and
their matched referents did not differ significantly in terms of symptom
severity, but their level of improvement in social autonomy was significantly
greater (p=0.005). In routine clinical practice, psychoeducation in addition to
antipsychotic drugs provides some benefit among schizophrenia patients,
particularly in terms of social autonomy.
PMID- 27886581
TI - A multi-omic approach to elucidate low-dose effects of xenobiotics in zebrafish
(Danio rerio) larvae.
AB - Regulatory-approved toxicity assays such as the OECD Fish Embryo Toxicity Assay
(TG236) allow correlation of chemical exposure to adverse morphological
phenotypes. However, these assays are ineffective in assessing sub-lethal (i.e.
low-dose) effects, or differentiating between similar phenotypes induced by
different chemicals. Inclusion of multi-omic analyses in studies investigating
xenobiotic action provides improved characterization of biological response,
thereby enhancing prediction of toxicological outcomes in whole animals in the
absence of morphological effects. In the current study, we assessed perturbations
in both the metabolome and transcriptome of zebrafish (Danio rerio; ZF) larvae
exposed from 96 to 120h post fertilization to environmental concentrations of
acetaminophen (APAP), diphenhydramine (DH), carbamazepine (CBZ), and fluoxetine
(FLX); common pharmaceuticals with known mechanisms of action. Multi-omic
responses were evaluated independently and integrated to identify molecular
interactions and biological relevance of the responses. Results indicated
chemical- and dose-specific changes suggesting differences in the time scale of
transcript abundance and metabolite production. Increased impact on the
metabolome relative to the transcriptome in FLX-treated animals suggests a
stronger post-translational effect of the treatment. In contrast, the
transcriptome showed higher sensitivity to perturbation in DH-exposed animals.
Integration of 'omic' responses using multivariate approaches provided additional
insights not obtained by independent 'omic' analyses and demonstrated that the
most distinct overall response profiles were induced following low-dose exposure
for all 4 pharmaceuticals. Importantly, changes in transcript abundance
corroborated with predictions from metabolomic enrichment analyses and the
identified perturbed biological pathways aligned with known xenobiotic mechanisms
of action. This work demonstrates that a multi-omic toxicological approach,
coupled with a sensitive animal model such as ZF larvae, can help characterize
the toxicological relevance of acute low-dose chemical exposures.
PMID- 27886582
TI - Crude extract of cyanobacteria (Radiocystis fernandoi, strain R28) induces liver
impairments in fish.
AB - Radiocystis fernandoi R28 strain is a cyanobacterium which produces mostly the RR
and YR microcystin variants (MC-RR and MC-YR, respectively). The effects of crude
extract of the R. fernandoi strain R28 were evaluated on the protein phosphatases
and on the structure and ultrastructure of the liver of the Neotropical fish,
Hoplias malabaricus, after acute and subchronic exposure. Concomitantly, the
accumulation of the majority of MCs was determined in the liver and muscle. The
fish were exposed to 120.60 MC-RR+MC-LR kg-fish-1 (=100MUg MC-LReq kg-fish-1) for
12 and 96h (one single dose, acute exposure) and 30days (one similar dose every
72h, subchronic exposure). MCs did not accumulate in the muscle but, in the
liver, MC-YR accumulated after acute exposure and MC-RR and MC-YR accumulation
occurred after subchronic exposure. Protein phosphatase 2A (PP2A) activity was
inhibited only after subchronic exposure. Acute exposure induced liver hyperemia,
hemorrhage, changes in hepatocytes and cord-like disorganization. At the
ultrastructural level, the decreasing of glycogen and lipid levels, the swelling
of mitochondria and whirling of endoplasmic reticulum suggested hepatocyte
necrosis. Subchronic exposure resulted in a complete disarrangement of cord-like
hepatocytes, some recovery of mitochondria and whirling endoplasmic reticulum and
extensive connective tissues containing fibrous materials in the liver
parenchyma. Despite microcystin toxicity and liver alterations, no tumor was
induced by MCs. In conclusion, the increased algal mass of R. fernandoi in
tropical freshwater, producing mainly MC-RR and MC-YR variants, results in fish
liver impairments.
PMID- 27886583
TI - Bioaugmentation and rhizosphere-assisted biodegradation as strategies for
optimization of the dissipation capacity of biobeds.
AB - Biobeds are on-farm biodepuration systems whose efficiency rely on their high
pesticide biodegradation capacity. We evaluated two optimization strategies,
bioaugmentation and/or rhizosphere-assisted biodegradation, to maximize the
dissipation capacity of biobeds. Iprodione was used as a model pesticide. Its
dissipation and metabolism was determined in a biobed packing material inoculated
with an iprodione-degrading Arthrobacter strain C1 (bioaugmentation, treatments
B+C1) and/or seeded with ryegrass (rhizosphere-assisted biodegradation,
treatments B+P). The impact of those strategies on the activity and composition
of the microbial community was determined. Bioaugmentation accelerated the
dissipation of iprodione which was further enhanced in the bioaugmented,
rhizosphere-assisted treatment (treatment B+P+C1, Half-life (DT50) = 3.4 d),
compared to the non-bioaugmented, non rhizosphere-assisted control (DT50 = 9.5 d,
treatment B). Bioaugmentation resulted in the earlier formation of intermediate
formation of metabolites I (3,5-dichlorophenyl-carboxamide), II (3,5
dichlorophenylurea acetate) and 3,5-dichloroaniline (3,5-DCA). The latter was
further dissipated by the indigenous microbial community. Acid phosphatase (AP)
and beta-glucosidase (GLU) were temporarily stimulated in rhizosphere-assisted
treatments, whereas a stimulation of the fluorescein diacetate (FDA) hydrolytic
activity in the bioaugmented treatments coincided with the hydrolysis of
iprodione. q-PCR showed that changes in the abundance of alpha-proteobacteria and
firmicutes was driven by the presence of rhizosphere while bioaugmentation had no
significant effect.
PMID- 27886584
TI - Attributes of successful actions to restore lakes and estuaries degraded by
nutrient pollution.
AB - As more success is achieved in restoring lakes and estuaries from the impacts of
nutrient pollution, there is increased opportunity to evaluate the scientific,
social, and policy factors associated with achieving restoration goals. We
examined case studies where deliberate actions to reduce nutrient pollution and
restore ecosystems resulted in ecological recovery. Prospective cases were
identified from scientific literature and technical documents for lakes and
estuaries with: (1) scientific evidence of nutrient pollution; (2) restoration
actions taken to mitigate nutrient pollution; and (3) documented ecological
improvement. Using these criteria, we identified 9 estuaries and 7 lakes spanning
countries, climatic regions, physical types, depths, and watershed areas. Among
16 case studies ultimately included, 8 achieved improvements short of stated
restoration goals. Five more were successful initially, but condition
subsequently declined. Three of the case studies achieved their goals fully and
are currently managing to maintain the restored condition. We examined each case
to identify both common attributes of nutrient management, grouped into 'themes',
and variations on those attributes, which were coded into categorical variables
based on thorough review of documents associated with each case. The themes and
variables were organized into a broad conceptual model illustrating how they
relate to each other and to nutrient management outcomes. We then explored
relationships among the themes and variables using multiple correspondence
analysis (MCA). Results of the MCA suggested that the attributes most associated
with achieving restoration goals include: (1) leadership by a dedicated watershed
management agency; (2) governance through a bottom-up collaborative process; (3)
a strategy that set numeric targets based on a specific ecological goal; and (4)
actions to reduce nutrient loads from all sources. While our study did not
provide a comprehensive road map to successful nutrient management, it suggested
attributes that could be emulated in future efforts. The quantitative approach
that was applied could be used to provide ongoing analysis as new examples of
nutrient management success emerge.
PMID- 27886585
TI - Facilitating adaptive management in a government program: A household energy
efficiency case study.
AB - Interim evaluations of government programs can sometimes reveal lower than
expected outcomes, leading to the question of how adjustments can be made while
the program is still underway. Although adaptive management frameworks can
provide a practical roadmap to address this question, a lack of successful
learnings and poor implementation have hampered the progress and wider
application of adaptive management. Using a case study involving an energy
efficiency government program targeting low-income households, this article
provides supporting evidence on how adaptive management can be facilitated and
applied. Factors such as proactive and responsive leadership, establishing a
research-practice interface, and recognizing the skills, expertise, and
contributions of multiple stakeholders guided adjustments to the program, and
later paved the way for longer-term organizational learning that impacted how
other programs are delivered. Implications for knowledge and practice, and a
discussion of the challenges faced in the program, advance current thinking in
adaptive management.
PMID- 27886586
TI - Nitrous oxide from moving bed based integrated fixed film activated sludge
membrane bioreactors.
AB - The present paper reports the results of a nitrous oxide (N2O) production
investigation in a moving bed based integrated fixed film activated sludge (IFAS)
membrane bioreactor (MBR) pilot plant designed in accordance with the University
of Cape Town layout for biological phosphorous removal. Gaseous and liquid
samples were collected in order to measure the gaseous as well as the dissolved
concentration of N2O. Furthermore, the gas flow rate from each reactor was
measured and the gas flux was estimated. The results confirmed that the anoxic
reactor represents the main source of nitrous oxide production. A significant
production of N2O was, however, also found in the anaerobic reactor, thus
indicating a probable occurrence of the denitrifying phosphate accumulating
organism activity. The highest N2O fluxes were emitted from the aerated reactors
(3.09 g N2ON m-2 h-1 and 9.87 g N2ON m-2 h-1, aerobic and MBR tank,
respectively). The emission factor highlighted that only 1% of the total treated
nitrogen was emitted from the pilot plant. Furthermore, the measured N2O
concentrations in the permeate flow were comparable with other reactors. Nitrous
oxide mass balances outlined a moderate production also in the MBR reactor
despite the low hydraulic retention time. On the other hand, the mass balance
showed that in the aerobic reactor a constant consumption of nitrous oxide (up to
almost 15 mg N2O h-1) took place, due to the high amount of stripped gas.
PMID- 27886587
TI - Measures of readiness for cognitive behavioural therapy in people with
intellectual disability: A systematic review.
AB - BACKGROUND AND AIMS: Cognitive behavioural therapy (CBT) is a promising treatment
for mental health problems in people with intellectual disabilities but some may
not be suited or ready. This review critically evaluates the quality and utility
of measures of CBT readiness in people with intellectual disabilities. METHODS
AND PROCEDURES: Twelve studies of six measures based on three aspects of CBT
readiness were identified through systematic review. OUTCOMES AND RESULTS: Across
measures, measurement quality was largely poor or un-assessed. Only one study
evaluated measurement change over the course of CBT. Not all participants with
intellectual disabilities could 'pass' readiness measures and performance may be
affected by levels of language and cognitive functioning. There was some evidence
that CBT readiness is trainable with brief interventions. CONCLUSIONS AND
IMPLICATIONS: Before using readiness measures in a clinical context, further work
is needed to extend initial evidence on recognising cognitive mediation as a CBT
readiness ability. Given the lack of consensus as to the definition of CBT
readiness and the heterogeneity of CBT interventions, future research could also
focus on developing readiness measures using a bottom up approach, developing
measures within the context of CBT interventions themselves, before further
refining and establishing their psychometric properties. WHAT THIS PAPER ADDS:
This paper is the first to systematically review measures of skills thought
necessary to be ready for cognitive behavioural therapy in intellectual
disabilities. The findings suggest that while readiness skills may be trainable
with brief interventions, the available measures of these skills have not been
fully evaluated for quality. Levels of functioning on these measures have yet to
be established relative to those without intellectual disabilities and
critically, there is very little evidence as to whether these skills are
important in cognitive behavioural therapy process and outcome. We suggest that
future research could focus on those constructs where there is preliminary
evidence for utility such as recognising cognitive mediation and also on
developing the concept of readiness perhaps by developing measures within the
context of specific CBT interventions. Until this is done, clinicians should
exercise caution in using these measures to assess readiness for cognitive
behavioural therapy in people with intellectual disabilities.
PMID- 27886588
TI - The expanding role of stereotactic body radiation therapy in oligometastatic
solid tumors: What do we know and where are we going?
AB - The spectrum hypothesis posits that there are distinct clinical states of
metastatic progression. Early data suggest that aggressive treatment of more
biologically indolent metastatic disease, characterized by metastases limited in
number and destination organ, may offer an opportunity to alter the disease
course, potentially allowing for longer survival, delay of systemic therapy, or
even cure. The development of stereotactic body radiation therapy (SBRT) has
opened new avenues for the treatment of oligometastatic disease. Early data
support the use of SBRT for treating oligometastases in a number of organs, with
promising rates of treated metastasis control and overall survival. Ongoing
investigation is required to definitively establish benefit, determine the
appropriate treatment regimen, refine patient selection, and incorporate SBRT
with systemic therapies.
PMID- 27886589
TI - ESR1 mutations: Moving towards guiding treatment decision-making in metastatic
breast cancer patients.
AB - Mutations in the gene coding for the estrogen receptor (ER), ESR1, have been
associated with acquired endocrine resistance in patients with ER-positive
metastatic breast cancer (MBC). Functional studies revealed that these ESR1
mutations lead to constitutive activity of the ER, meaning that the receptor is
active in absence of its ligand estrogen, conferring resistance against several
endocrine agents. While recent clinical studies reported that the occurrence of
ESR1 mutations is rare in primary breast cancer tumors, these mutations are more
frequently observed in metastatic tissue and circulating cell-free DNA of MBC
patients pretreated with endocrine therapy. Given the assumed impact that the
presence of ESR1 mutations has on outcome to endocrine therapy, assessing ESR1
mutations in MBC patients is likely to be of significant interest to further
individualize treatment for MBC patients. Here, ESR1 mutation detection methods
and the most relevant pre-clinical and clinical studies on ESR1 mutations
regarding endocrine resistance are reviewed, with particular interest in the
ultimate goal of guiding treatment decision-making based on ESR1 mutations.
PMID- 27886591
TI - Gambling machine annexes as enabling spaces for addictive engagement.
AB - The widespread proliferation of electronic gambling machines and improvements to
their design have contributed to rising levels of gambling-related harm including
harms associated with addictive behaviour and other impacts on health and
wellbeing. Research into their addictive potential has focused mainly on the
interface between gamblers and the machines themselves. We shift the focus onto
the spatial contexts, the rooms and the venues, in which gambling machines are
positioned. By examining a series of common layouts we identify the division of
venues into two main areas: one for the main social activities of the venue (the
"main hall") and the other a partitioned area (the "annex") in which gambling
machines are tightly clumped in ways that discourage social interaction. Other
features of the annex that encourage uninterrupted and solitary play include the
absence of tables to socialize around, dimmed lighting and entry pathways that
minimize scrutiny. We argue that these features promote a style of play more
oriented towards heavy and problematic gambling. We also explore explanations for
the nature of these annexes and discuss implications for public health.
PMID- 27886590
TI - Pelvic floor dynamics during high-impact athletic activities: A computational
modeling study.
AB - BACKGROUND: Stress urinary incontinence is a significant problem in young female
athletes, but the pathophysiology remains unclear because of the limited
knowledge of the pelvic floor support function and limited capability of
currently available assessment tools. The aim of our study is to develop an
advanced computer modeling tool to better understand the dynamics of the internal
pelvic floor during highly transient athletic activities. METHODS: Apelvic model
was developed based on high-resolution MRI scans of a healthy nulliparous young
female. A jump-landing process was simulated using realistic boundary conditions
captured from jumping experiments. Hypothesized alterations of the function of
pelvic floor muscles were simulated by weakening or strengthening the levator ani
muscle stiffness at different levels. Intra-abdominal pressures and corresponding
deformations of pelvic floor structures were monitored at different levels of
weakness or enhancement. FINDINGS: Results show that pelvic floor deformations
generated during a jump-landing process differed greatly from those seen in a
Valsalva maneuver which is commonly used for diagnosis in clinic. The urethral
mobility was only slightly influenced by the alterations of the levator ani
muscle stiffness. Implications for risk factors and treatment strategies were
also discussed. INTERPRETATION: Results suggest that clinical diagnosis should
make allowances for observed differences in pelvic floor deformations between a
Valsalva maneuver and a jump-landing process to ensure accuracy. Urethral
hypermobility may be a less contributing factor than the intrinsic sphincteric
closure system to the incontinence of young female athletes.
PMID- 27886592
TI - The intersection of climate/environment, food, nutrition and health: crisis and
opportunity.
AB - Climate/environmental change (C-E-C) is affecting human health and quality of
life. Significant attention has been given to the impact of C-E-C on food supply,
and food as a vehicle for exposure. However, C-E-C has been superimposed on
prevalent malnutrition, infectious and non-communicable diseases. We discuss why
nutrition is not synonymous with food and must be viewed as a biological variable
that affects and is affected by both C-E-C as well as the current global health
challenges. The nexus of C-E-C, food, nutrition and health must be considered in
the development of safe and efficacious interventions. A case is presented for
how the convergence of C-E-C, food/nutrition and health, presents an opportunity
for more integrated approaches to achieve global health goals.
PMID- 27886594
TI - Proposed binding mechanism of galbanic acid extracted from Ferula assa-foetida to
DNA.
AB - Recently, galbanic acid (GA), a sesquiterpenoid coumarin, has been introduced as
an apoptotic and geno/cytotoxicity agent. In the present study, GA has been
extracted from Ferula assa-foetida, a native medicinal plant in Iran, and
characterized by 1H NMR, mass spectroscopy. Additionally, spectroscopic studies
have been performed in order to investigate its DNA-interaction mode. The
electrochemical behavior of GA has been studied by cyclic voltammetry (CV) in
various scan rates. In neutral media (pH=7.3) one irreversible cathodic peak was
obtained at -1.46 V, while in higher scan rates an irreversible one was
determined at -1.67 V. According to the voltametric data GA can be easily reduced
by 2e-/2H+ mechanism at hanging mercury drop electrode (HMDE). The interaction of
GA with ct-DNA was evaluated by CV, differential pulse voltammetry (DPV),
enhancement fluorescence, UV-Vis, FT-IR spectroscopy and molecular docking. The
molecular docking study shows that the GA interacts to DNA on partial
intercalation mode via DNA groove binding and forms a complex by van der Waals
and electroastatic interactions. In addition, the thermodynamic parameters of GA
DNA complex were investigated with DeltaH degrees , DeltaS degrees and DeltaG
degrees values of 15.81KJmol-1, 133.95Jmol-1 and -23.10KJmol-1, respectively.
All data revealed that the GA is binding to DNA by van der Waals and
electrostatic interactions through the partial intercalations from the DNA's
grooves.
PMID- 27886593
TI - The evolution of microRNAs in plants.
AB - MicroRNAs (miRNAs) are a central player in post-transcriptional regulation of
gene expression and are involved in numerous biological processes in eukaryotes.
Knowledge of the origins and divergence of miRNAs paves the way for a better
understanding of the complexity of the regulatory networks that they participate
in. The biogenesis, degradation, and regulatory activities of miRNAs are
relatively better understood, but the evolutionary history of miRNAs still needs
more exploration. Inverted duplication of target genes, random hairpin sequences
and small transposable elements constitute three main models that explain the
origination of miRNA genes (MIR). Both inter-species and intra-species divergence
of miRNAs exhibits functional adaptation and adaptation to changing environments
in evolution. Here we summarize recent progress in studies on the evolution of
MIR and related genes.
PMID- 27886595
TI - Synthesis, photophysical properties and systematic evaluations of new
phenanthroimidazole fluorescent probe for bioimaging: Experimental and
theoretical study.
AB - In this study, the newly synthesized 4'-(1H-phenantro[9,10-d]-imidazol-2-yl)
bifenyl-4-carboaldehyde - PB2 was investigated as a fluorescent dye. For this
reason, the spectroscopic properties in solvents of different polarity were
studied. The experimental data were supported by quantum-chemical calculations
using density functional theory. Measurements and theoretical calculations showed
that PB2 compound is characterized by the non-monotonic solvatochromism, strongly
polar charge transfer excited state, large Stokes' shift, high fluorescence
quantum yield and high fluorescence lifetime. Simulations using AutoDock
presented in this study, showed that after conjugation with Concanavalin A in the
active site with LYS200, the PB2 possesses the highest probability of binding
affinity. Circular dichroism (CD) measurement was performed to monitor the
changes of the secondary structure of Concanavalin A induced by the presence of
PB2 fluorophore. These results indicate that the addition of PB2 influences the
secondary structure of Concanavalin A, but does not affect the interactions with
carbohydrate moieties. Finally, by using fluorescence microscopy it was
demonstrated that the PB2 is the photostable fluorescent probe and PB2 conjugate
Concanavalin A exhibits a blue fluorescence. The results of this study have
implications in designing PB2-protein conjugate as a valuable alternative to
commercial probes designed for cellular labeling in biological and biomedical
research.
PMID- 27886596
TI - An end-point method based on graphene oxide for RNase H analysis and inhibitors
screening.
AB - As a highly conserved damage repair protein, RNase H can hydrolysis DNA-RNA
heteroduplex endonucleolytically and cleave RNA-DNA junctions as well. In this
study, we have developed an accurate and sensitive RNase H assay based on
fluorophore-labeled chimeric substrate hydrolysis and the differential affinity
of graphene oxide on RNA strand with different length. This end-point measurement
method can detect RNase H in a range of 0.01 to 1 units /mL with a detection
limit of 5.0*10-3 units/ mL under optimal conditions. We demonstrate the utility
of the assay by screening antibiotics, resulting in the identification of
gentamycin, streptomycin and kanamycin as inhibitors with IC50 of 60+/-5uM, 70+/
8uM and 300+/-20uM, respectively. Furthermore, the assay was reliably used to
detect RNase H in complicated biosamples and found that RNase H activity in tumor
cells was inhibited by gentamycin and streptomycin sulfate in a concentration
dependent manner. The average level of RNase H in serums of HBV infection group
was similar to that of control group. In summary, the assay provides an
alternative tool for biochemical analysis for this enzyme and indicates the
feasibility of high throughput screening inhibitors of RNase H in vitro and in
vivo.
PMID- 27886597
TI - Paper-based enzymatic electrode with enhanced potentiometric response for
monitoring glucose in biological fluids.
AB - A novel paper-based potentiometric sensor with an enhanced response for the
detection of glucose in biological fluids is presented. The electrode consists on
platinum sputtered on a filter paper and a Nafion membrane to immobilize the
enzyme glucose oxidase. The response obtained is proportional to the logarithm of
the concentration of glucose, with a sensitivity of -119+/-8mV.decade-1, a linear
range that spans from 10-4M to 10-2.5 M and a limit of detection of 10-4.5 M of
glucose. It is shown that Nafion increases the sensitivity of the technique while
minimizing interferences. Validation with human serum samples shows an excellent
agreement when compared to standard methods. This approach can become an
interesting alternative for the development of simple and affordable devices for
point of care and home-based diagnostics.
PMID- 27886598
TI - A fluorescent probe for the efficient discrimination of Cys, Hcy and GSH based on
different cascade reactions.
AB - A fluorescent probe (1) for distinguishing amongst biothiols, including cysteine
(Cys), homocysteine (Hcy) and glutathione (GSH), is developed based on different
cascade reactions. The key design feature of fluorescent probe 1 is the
integration of two potential reaction groups for the thiol and amino groups of
biothiols in one molecule. By reacting with the halogen atom and alpha, beta
unsaturated malonitrile in probe 1, Cys, Hcy and GSH can generate a total of
three main products with distinct photophysical properties. Probe 1 shows a
strong fluorescence turn-on response to Cys with blue-green emission by using an
excitation wavelength of 390nm. At an excitation wavelength of 500nm, probe 1
responds to GSH over Cys and Hcy and emits strong orange fluorescence. The
discrimination of biothiols can be demonstrated by cell imaging experiments,
indicating that probe 1 can be a useful tool for the selective imaging of Cys and
GSH in living cells.
PMID- 27886600
TI - A lifetime-sensitive fluorescence anisotropy probe for DNA-based bioassays: The
case of SYBR Green.
AB - In standard steady-state fluorescence anisotropy (FA) DNA-based assays, the
ligand binding to a given receptor is typically signalled by the rotational
correlation time changes of the tracer. Herein, we report a radically different
strategy that relies on the peculiar excited state lifetime features of the SYBR
Green (SG) dye. This DNA-binding probe exhibits a drastically short lifetime in
solution, leading to a high FA signal. Its complexation to oligonucleotides
determines a singular and very large depolarization depending on the concerted
effects of extreme lifetime enhancement and resonance energy homotransfer. On the
basis of ligand-induced changes in the molar fractions of bound and free forms of
SG, the approach provides an unprecedented means for the FA monitoring of the
ligand binding to short DNA molecules, allowing the elaboration of a variety of
intercalator displacement assays and label-free biosensors that involve diverse
DNA structures (duplex, hairpin, G-quadruplex and single-stranded), ligand types
(ion, small organic molecule and protein) and binding modes (intercalation, minor
groove, allosteric switch). These findings open up promising avenues in the
design of a new generation of FA assays.
PMID- 27886599
TI - Recent advances in DNA-based electrochemical biosensors for heavy metal ion
detection: A review.
AB - The presence of heavy metal in food chains due to the rapid industrialization
poses a serious threat on the environment. Therefore, detection and monitoring of
heavy metals contamination are gaining more attention nowadays. However, the
current analytical methods (based on spectroscopy) for the detection of heavy
metal contamination are often very expensive, tedious and can only be handled by
trained personnel. DNA biosensors, which are based on electrochemical
transduction, is a sensitive but inexpensive method of detection. The principles,
sensitivity, selectivity and challenges of electrochemical biosensors are
discussed in this review. This review also highlights the major advances of DNA
based electrochemical biosensors for the detection of heavy metal ions such as
Hg2+, Ag+, Cu2+ and Pb2+.
PMID- 27886601
TI - One-step separation-free detection of carcinoembryonic antigen in whole serum:
Combination of two-photon excitation fluorescence and optical trapping.
AB - Direct analysis of biomolecules in complex biological samples remains a major
challenge for fluorescence-based approaches due to the interference of background
signals. Herein, we report an analytical methodology by exploiting a single low
cost near-infrared sub-nanosecond pulse laser to synchronously actualize optical
trapping and two-photon excitation fluorescence for senstive detection of
carcinoembryonic antigen (CEA) in buffer solution and human whole serum with no
separation steps. The assay is performed by simultaneously trapping and exciting
the same immune-conjugated microsphere fabricated with a sandwich immunization
strategy. Since the signal is strictly limited in the region of a three
dimensional focal volume where the microsphere is trapped, no obvious background
signal is found to contribute the detected signals and thus high signal-to
background data are obtained. As a proof-of-concept study, the constructed
platform exhibits good specificity for CEA and the detection limit reaches as low
as 8pg/mL (45 fM) with a wide linear range from 0.01 to 60ng/mL in the both
cases. To investigate the potential application of this platform in clinical
diagnosis, 15 cases of serum samples were analyzed with satisfactory results,
which further confirm the applicability of this method.
PMID- 27886602
TI - Multifunctional nanoparticles for protein detections in thin channels.
AB - This paper presents a method for simultaneous detection of two proteins by using
multifunctional nanoparticles with a magnetic immunoassay in thin channels.
Biofunctional magnetic graphene quantum dots (GQDs) combined with two
biofunctional quantum dots (QDs) were used for simultaneously detecting two
proteins. Magnetic GQDs enabled selective and quantitative nanoparticle
deposition with blue emission. Biofunctional QDs confirmed the two protein
detections with orange and green emissions. We used two model biomarkers [alpha
fetoprotein (AFP) and cancer antigen 125 (CA125)] to demonstrate the feasibility
of the proposed method. The detection limits (0.06pg/mL AFP and 0.001U/mL CA125)
and linear ranges (0.2pg/mL-0.68ng/mL AFP and 0.003-25U/mL CA125) of this method
are the same as those of single protein detection within experimental errors.
These detection limits are substantially lower and the linear ranges are
considerably wider than those of enzyme-linked immunosorbent assay (ELISA) and
other immunoassay methods. The differences between the proposed method and an
ELISA method in AFP and CA125 measurements of serum samples were less than 12%.
The proposed method demonstrates favorable detection of biomarkers with
advantages of speed, sensitivity, selectivity, and throughput.
PMID- 27886603
TI - MnO2 nanosheets as an artificial enzyme to mimic oxidase for rapid and sensitive
detection of glutathione.
AB - Nanozymes are increasingly used as components in assays and diagnostics. Here, we
describe a rapid and highly sensitive colorimetric assay for the detection and
quantification of glutathione (GSH) employing MnO2 nanosheets as an artificial
oxidase. In the assay pale yellow 3,3',5,5'-tetramethylbenzidine (TMB) is
oxidized to a blue product (oxTMB) under catalyzing of MnO2 nanosheets with a
significant change in absorption at 650nm. GSH selectively inhibits this reaction
with a detection limit of 300nM. The high specificity of inhibition by GSH allows
this system to be used to determine the GSH concentrations in human serum
samples. The MnO2 nanosheet-based assay is simple, rapid, sensitive and selective
for the quantification of GSH and surpasses detection methods based on other MnO2
nanomaterials.
PMID- 27886604
TI - A low cost and palm-size analyzer for rapid and sensitive protein detection by AC
electrokinetics capacitive sensing.
AB - Specific detection of protein biomarkers has a wide range of applications in
areas such as medical science, diagnostics, and pharmacology. Quantitative
detection of protein biomarkers in biological media, such as serum, is critically
important in detecting disease or physiological malfunction, or tracking disease
progression. Among various detection methods, electrical detection is
particularly well suited for point-of-care (POC) specific protein detection,
being of low cost, light weight and small form factor. A portable system for
sensitive and quantitative detection of protein biomarkers will be highly
valuable in controlling and preventing diseases outbreaks. Recently, an
alternating current electrokinetic (ACEK) capacitive sensing method has been
reported to demonstrate very promising performance on rapid and sensitive
detection of specific protein from serum. In this work, a low cost and portable
analyzer with good accuracy is developed to use with ACEK capacitive sensing to
produce a true POC technology. The development of a board-level capacitance
readout system is presented, as well as the adaption of the protocol for use with
ACEK capacitive sensing. Results showed that the developed system could achieve a
limit of detection of 10ng/mL, comparable to a sophisticated benchtop instrument.
With its small size and light-weight similar to a smart phone, the developed
system is ready to be applicable to POC diagnostics. Further, the readout system
can be readily expanded for multichannel monitoring and telecommunication
capabilities.
PMID- 27886605
TI - Self-tuning interfacial architecture for Estradiol detection by surface plasmon
resonance biosensor.
AB - This study reports the operation principles for reusable SPR biosensors utilizing
nanoscale-specific electrostatic levitation phenomena in their sensitive layer
design. Functional macromolecular building blocks localized near the "charged"
surface by a variety of weak electrostatic interactions create a flexible and
structurally variable architecture. A proof-of-concept is demonstrated by an
immunospecific detection of 17beta-Estradiol (E2) following the competitive
inhibition format. The sensing interfacial architecture is based on the BSA-E2
conjugate within the BSA matrix immobilized on the "charged" (as a result of
guanidine thiocyanate treatment) gold surface at pH 5.0. Kinetic analysis for
different E2 concentrations shows that using parameter beta of the stretched
exponential function ~(1-exp(-(t/tau)beta) as an analyte-specific response
measure allows one to substantially decrease the low detection limit (down to 10
3ng/ml) and increase the dynamic range (10-3-103ng/ml) of the SPR biosensor.
Finally, it's concluded that the created interfacial architecture is a typical
complex system, where SPR response is formed by the stochastic interactions
within the whole variety of processes in the system. The E2 addition destroys the
uniformity of the reaction space (where an interaction of the antibody (Ab) and
the analog of E2 in the self-tuneable matrix takes place) by the redistribution
of the immunospecific complexes Ab(E2)x (x=0, 1, 2) dependent on E2
concentration. Binding dynamics changes are reflected in the values of beta which
summarize in compact form all "hidden" information specific for the evolving
distributed interfacial system.
PMID- 27886606
TI - A symmetric supercapacitor/biofuel cell hybrid device based on enzyme-modified
nanoporous gold: An autonomous pulse generator.
AB - The integration of supercapacitors with enzymatic biofuel cells (BFCs) can be
used to prepare hybrid devices in order to harvest significantly higher power
output. In this study, a supercapacitor/biofuel cell hybrid device was prepared
by the immobilisation of redox enzymes with electrodeposited poly(3,4
ethylenedioxythiophene) (PEDOT) and the redox polymer [Os(2,2'
bipyridine)2(polyvinylimidazole)10Cl]+/2+(Os(bpy)2PVI) on dealloyed nanoporous
gold. The thickness of the deposition layer can be easily controlled by tuning
the deposition conditions. Once charged by the internal BFC, the device can be
discharged as a supercapacitor at a current density of 2mAcm-2 providing a
maximum power density of 608.8MUWcm-2, an increase of a factor of 468 when
compared to the power output from the BFC itself. The hybrid device exhibited
good operational stability for 50 charge/discharge cycles and ca. 7h at a
discharge current density of 0.2mAcm-2. The device could be used as a pulse
generator, mimicking a cardiac pacemaker delivering pulses of 10MUA for 0.5ms at
a frequency of 0.2Hz.
PMID- 27886607
TI - Preparation of the tri-arabino di-mycolate fragment of mycobacterial
arabinogalactan from defined synthetic mycolic acids.
AB - An efficient synthetic approach to tri-arabino di-mycolates, using structurally
defined synthetic alpha-, keto and methoxy mycolic acids is described.
PMID- 27886608
TI - Changes of normal appearing optic nerve head on diffusion-weighted imaging in
patients with diabetic retinopathy.
AB - PURPOSE: To investigate whether there is any change by measuring ADC values
particularly of the optic nerve head (ONH) in patients with diabetic retinopathy
(DR). MATERIAL AND METHODS: ADC values at the ONHs was measured in 56 patients
and 68 controls. RESULTS: ADC values of ONHs were significantly higher in
patients with DR compared to controls (p=0.011). ADC values in patients with
macular edema were higher than those without macular edema (p=0.017). CONCLUSION:
DWI of ONHs can be useful in cases where it is difficult to assess macular edema
during fundus examination, especially in diabetic patients with cataract.
PMID- 27886609
TI - Utility of virtual touch quantification in the diagnosis of pancreatic ductal
adenocarcinoma.
AB - This study aimed to compare the tissue stiffness of pancreatic ductal
adenocarcinoma (PDAC) with that of pancreatic parenchyma using virtual touch
quantification (VTQ). SWV was measured in 34 PDAC lesions and in pancreatic
parenchyma of both controls and patients. SWVs in PDAC lesions were significantly
higher than in pancreatic parenchyma in both healthy controls and in patients
with PDAC. The area under the ROC for diagnosis of PDAC was 0.94 for pancreatic
parenchyma in healthy controls, and 0.85 for pancreatic parenchyma in patients
with PDAC. VTQ can provide a useful and additional information for diagnosis of
PDAC.
PMID- 27886610
TI - Integration of intracardiac echocardiography and computed tomography during
atrial fibrillation ablation: Combining ultrasound contours obtained from the
right atrium and ventricular outflow tract.
AB - BACKGROUND: We aimed to optimize the acquisition of the left atrial (LA) and
pulmonary vein (PV) ultrasound contours for more accurate integration of
intracardiac echocardiography (ICE) and computed tomography (CT) using the
CARTO(r) 3 system during atrial fibrillation (AF) ablation. METHODS: Eighty-five
AF patients underwent integration of ICE and CT using (1) the LA roof and
posterior wall contours acquired from the right atrium (RA), (2) all LA/PV
contours from the RA (Whole-RA-integration), (3) the LA roof/posterior wall
contours from the RA and right ventricular outflow tract (RVOT) (Posterior-RA/RV
integration), and (4) all LA/PV contours from the RA and RVOT (Whole-RA/RV
integration). The integration accuracy was compared using the (1) surface
registration error, (2) distances between the three-dimensional CT and eight
specific sites on the anterior, posterior, superior, and inferior aspects of the
right and left circumferential PV isolation lines, and (3) registration score: a
score of 0 or 1 was assigned for whether or not each specific site was visually
aligned with the CT, and summed for each method (0 best, 8 worst). RESULTS:
Posterior-RA/RV-integration revealed a significantly lower surface registration
error (1.30+/-0.15mm) than Whole-RA- and Whole-RA/RV-integration (p<0.001). The
mean distances of the eight specific sites and the registration score for
Posterior-RA/RV-integration (median 1.26mm and 2, respectively) were
significantly smaller than those for the other integration approaches (p<0.001).
CONCLUSIONS: Image integration with the LA roof and posterior wall contours
acquired from the RA and RVOT may provide greater accuracy for catheter
navigation with three-dimensional CT during AF ablation.
PMID- 27886611
TI - Inter- and intraobserver consistency in LV myocardial strain measurement using a
novel multi-layer technique in patients with severe aortic stenosis and preserved
LV ejection fraction.
AB - BACKGROUND: A new transthoracic echocardiography (TTE) technique allows multi
layer measurement of left ventricular (LV), endocardial, epicardial, and whole
layer myocardial strain. We evaluated interobserver and intraobserver TTE
reproducibility for 2D LV global longitudinal (GLS) and circumferential strain
(GCS) estimates using data from severe aortic stenosis (AS) subjects with
preserved LV ejection fraction (EF). METHODS: Twenty severe AS subjects (11 male;
mean age, 75+/-7years; LV EF >50%) underwent TTE (Vivid E9, GE Healthcare).
Quantitative strain measurements of whole, endocardial, and epicardial layers
were performed. GLS was defined as all 17 averaged LV segments, according to the
American Heart Association classification. GCS was measured at the levels of the
mitral valve, papillary muscle, and apex. RESULTS: Interobserver correlation
coefficients in whole, endocardial, and epicardial layers for GLS estimates were
0.81, 0.83, and 0.80, respectively, whereas those for GCS estimates were 0.38,
0.56, and 0.19, respectively, for the mitral valve, 0.44, 0.54, and 0.36,
respectively, for the papillary muscle, and 0.55, 0.29, and 0.59, respectively,
for the apex. Intraobserver correlation coefficients in whole, endocardial, and
epicardial layers for GLS estimates were 0.97, 0.97, and 0.94, respectively,
whereas those for GCS estimates were 0.86, 0.81, and 0.50 , respectively, for the
mitral valve, 0.56, 0.72, and 0.28, respectively, for the papillary muscle, and
0.70, 0.69, and 0.62, respectively, for the apex. CONCLUSION: In severe AS
subjects with preserved LVEF, inter- and intra-observer TTE reproducibility in
whole, endocardial, and epicardial layers were more consistent for 2D LV GLS than
for 2D LVGCS.
PMID- 27886614
TI - Concerns about the ESC heart failure guidelines.
PMID- 27886612
TI - Decreased Norton's functional score is an independent long-term prognostic marker
in hospital survivors of acute myocardial infarction. Soroka Acute Myocardial
Infarction II (SAMI-II) project.
AB - BACKGROUND: Patient function is a risk factor of mortality following acute
myocardial infarction (AMI). Norton scale (NS) was originally developed to
estimate the risk for pressure ulcers. It contains 5 domains: mental condition,
physical condition, mobility, activity in daily living and incontinence.
OBJECTIVE: To evaluate NS as long-term prognostic marker following AMI. METHODS:
A retrospective study based on computerized medical records of AMI patient
hospitalized in a tertiary medical center in 2004-2012. NS scores and patients'
characteristics were collected from computerized databases. The primary outcome
was all-cause long-term (up-to 10-years) mortality. RESULTS: Overall 6964
patients were included; mean age 67.3+/-14.1years, 68.1% males. Mean NS score was
17.8+/-3; of which 21.1% had low-NS (<=16). Patients with low-NS had increased
prevalence of hypertension, diabetes and renal disease, 3-vessel coronary artery
disease, more often Non ST-Elevation Myocardial Infarction (NSTEMI) and in
hospital complications. Throughout the follow-up period cumulative mortality rate
in patients with low- and high-NS groups were 97.3% and 43% respectively (AdjHR
1.66; 95% CI: 1.521-1.826; p<0.001). Furthermore, a reduction in one point in the
NS score inversely associated with increased risk for mortality (AdjHR 1.10; 95%
CI: 1.12-1.22; p<0.001). CONCLUSIONS: NS is an independent long-term prognostic
marker for all-cause mortality in hospital survivors with a gradual "dose
response" effect. This data emphasizes the importance prognostic implication of
the general functional status on the prognosis of AMI patients.
PMID- 27886613
TI - Computed tomography is important in appropriately diagnosing patients with third
degree atrioventricular block and second-degree atrioventricular block but not
Wenckebach type.
AB - PURPOSE: To evaluate CT utility for detection of cardiac or lung abnormalities in
the diagnosis of organic cardiac disease in subjects with second- or third-degree
atrioventricular block (AVB) excepting Wenckebach type. MATERIALS AND METHODS: A
total of 50 consecutive patients (25 male; 64+/-15years) with de novo third- or
second-degree AVB underwent both TTE and a combination of cardiac and chest 320
slice CT (Aquilion one, Toshiba Medical) and were retrospectively analyzed. The
presence of focal left ventricular (LV) wall thinning and thickening was
evaluated on both TTE and cardiac CT. We evaluated the presence of significant
coronary artery stenosis, focal late enhancement (LE) in LV myocardium,
significant-sized (>10mm) lymph nodes in hialus or mediastinum and/or typical
lung nodules for sarcoidosis on CT. RESULTS: Abnormalities for 26%, 30%, and 36%
of patients were demonstrated on TTE, cardiac CT, and a combination of cardiac
and chest CT, respectively. 12% and 18% patients who did not exhibit cardiac
abnormalities on TTE, revealed abnormalities on cardiac CT, or a combination of
cardiac and chest CT, respectively. 36% patients had organic cardiac diseases.
Sensitivities for detection of organic cardiac disease were significantly greater
when cardiac and chest CT were combined than TTE alone (P<0.01). CONCLUSIONS:
Approximately one third of patients with third- or second-degree AVB had organic
cardiac diseases. For detection of cardiac and chest abnormality and correct
diagnosis of organic cardiac disease in patients with third- or second-degree
AVB, TTE is not sufficient on its own. CT or a combination of TTE and CT are
required.
PMID- 27886615
TI - HFpEF and HFrEF exhibit different phenotypes as assessed by leptin and
adiponectin.
AB - BACKGROUND: Heart failure with reduced ejection fraction (HFrEF) exhibits a
"reverse metabolic profile". Whether this profile exists in HF with preserved
ejection fraction (HFpEF) is unknown. We tested the hypothesis that HFpEF and
HFrEF are similar regarding concentrations of and prognostic impact of leptin and
adiponectin. METHODS: In patients with HFpEF(n=79), HFrEF(n=84), and
controls(n=71), we analyzed serum leptin and adiponectin concentrations, their
correlations, and associations with outcome. RESULTS: Leptin levels in HFpEF and
HFrEF were increased (p<0.05) compared to controls; with the highest levels in
HFpEF, median (IQR), 23.1 (10.2-51.0), vs. HFrEF 15.0 (6.2-33.2), and vs.
controls 10.8 (5.4-18.9) ng/mL.There was no difference between HFpEF and HFrEF
p=0.125 (adjusted for gender, BMI and age). Leptin was inversely associated with
NT-proBNP (r=-0.364 p=0.001) and associated with better outcome in HFrEF (HR per
ln increase of leptin 0.76, 95% CI 0.58-0.99, p=0.044) but not in HFpEF. Crude
levels of adiponectin were similar in HFpEF: 11.8 (7.9-20.1), HFrEF: 13.7 (7.0
21.1), and controls: 10.5 (7.4-15.1) MUg/L. In men, adjusted similarly as leptin,
there was no difference between HFpEF and HFrEF, p=0.310 but, compared to
controls, higher levels in HFpEF (p=0.044) and HFrEF (p=0.001). Adiponectin
correlated positively with NT-proBNP; r=0.396 p<0.001 and higher levels were
associated with adverse outcome only in HFrEF (HR per ln increase 2.88 (95% CI
1.02-8.14, p=0.045). CONCLUSION: HFpEF and HFrEF share elevated levels of leptin
and adiponectin. However, the concept of reverse metabolic profile could not be
confirmed in HFpEF, suggesting that HFpEF might have a conventional metabolic
profile, rather than a distinct HF syndrome.
PMID- 27886617
TI - Transcatheter versus surgical aortic valve replacement in low to intermediate
risk patients: A meta-analysis of randomized and observational studies.
AB - BACKGROUND: Transcatheter aortic valve replacement (TAVR) has become the
treatment of choice for patients with aortic stenosis and the preferred
alternative for high surgical risk patients. However, TAVR's suitability for
patients at low to intermediate risk still remains controversial. METHODS:
PubMed, MEDLINE and Clinical trials were systematically searched for randomized
control trials and observational cohort studies which reported the clinical
outcomes of TAVR versus surgical aortic valve replacement (SAVR) in patients at
low to intermediate surgical risk. Clinical endpoints including death, acute
kidney injury, myocardial infarction, and major adverse cardiac and
cerebrovascular events (MACCE) were assessed. RESULTS: From 2000 to 2016, 7
clinical studies comprising 6214 patients were identified. In each time point (in
hospital or 30days, 1year), TAVR was associated with similar incidence of death
from any cause, cardiovascular death and MACCE. TAVR reduced short-term incidence
of myocardial infarction and cerebrovascular events. However, TAVR was associated
with a higher rate of major vascular complications and permanent pacemaker
implantation. CONCLUSIONS: Comparing with SAVR in patients at low to intermediate
surgical risk, TAVR has similar rates of mortality and MACCE, lower incidence of
acute kidney injury and new-onset atrial fibrillation, but an increase in major
vascular complications and permanent pacemaker implantation.
PMID- 27886616
TI - Serial FDG-PET scans help to identify steroid resistance in cardiac sarcoidosis.
AB - BACKGROUND: Cardiac sarcoidosis (CS) is increasingly being recognized.
Immunosuppression with corticosteroids is the mainstay of therapy. But the
optimal dose of steroids and how to assess response to therapy is not known.
Prognosis is poor if these patients are untreated or undertreated. Fluorine-18
flurodeoxyglucose positron emission computed tomography (18FDG-PET CT) is a
sensitive tool in diagnosing CS. It correlates closely with the level of
granulomatous inflammation and can be used to monitor response to therapy.
METHODS: We identified 15 patients (6 women; mean age, 42.9+/-12.5years) based on
histopathological diagnosis. All had a baseline and follow-up fasting 18FDG-PET
CT scans before and after steroid therapy. Non-responders were defined as those
in whom ventricular arrhythmias, symptoms of HF and left ventricular systolic
function and/or ventricular arrhythmias did not improve or worsened despite
steroid therapy. FDG uptake of involved myocardium and lymph nodes (LN) was
compared in clinical responders and non-responders on follow-up. RESULTS: Of the
15 patients, 4 were clinical non-responders to steroid therapy. Follow-up 18FDG
PET CT was performed at 125.8+/-54.2days after the initiation of steroid therapy.
Myocardial maximum standardized uptake of FDG (SUVmax) value decreased
significantly in responders (p=0.004) while there was an increase in non
responders (p<0.05) on follow-up. Number of left ventricle (LV) segments with FDG
uptake significantly decreased in responders (p=0.007), and on increasing trend
in non-responders (p=0.465). Heterogeneous FDG uptake on baseline PET scan,
increase in intensity as well as area of myocardial inflammation on follow-up PET
scan was associated with poor clinical outcome despite steroid therapy.
CONCLUSIONS: Serial 18FDG-PET CT scans can be used to monitor steroid therapy in
active CS. Increase in PET uptake after steroid therapy correlates with poor
clinical outcome. Repeat PET scan may help to predict steroid-resistant CS and
the need for up-titration of immunosuppressive therapy among poor responders to
initial therapy.
PMID- 27886618
TI - Massive parallel sequencing questions the pathogenic role of missense variants in
dilated cardiomyopathy.
AB - BACKGROUND: Germline genetic variants are an important cause of dilated
cardiomyopathy (DCM). However, recent sequencing studies have revealed rare
variants in DCM-associated genes also in individuals without known heart disease.
In this study, we investigate variant prevalence and genotype-phenotype
correlations in Swedish DCM patients, and compare their genetic variants to those
detected in reference cohorts. METHODS AND RESULTS: We sequenced the coding
regions of 41 DCM-associated genes in 176 unrelated patients with idiopathic DCM
and found 102 protein-altering variants with an allele frequency of <0.04% in
reference cohorts; the majority were missense variants not previously described
in DCM. Fifty-five (31%) patients had one variant, and 24 (14%) patients had two
or more variants in the analysed genes. Detection of genetic variants in any
gene, and in LMNA, MYH7 or TTN alone, was associated with early onset disease and
reduced transplant-free survival. As expected, nonsense and frameshift variants
were more common in DCM patients than in healthy individuals of the reference
cohort 1000 Genomes Europeans. Surprisingly however, the prevalence, conservation
and pathogenicity scores, and localization of missense variants were similar in
DCM patients and healthy reference individuals. CONCLUSION: To our knowledge,
this is the first study to identify correlations between genotype and prognosis
when sequencing a large number of genes in unselected DCM patients. The similar
distribution of missense variants in DCM patients and healthy reference
individuals questions the pathogenic role of many variants, and suggests that
results from genetic testing of DCM patients should be interpreted with caution.
PMID- 27886619
TI - Open-label therapy with alirocumab in patients with heterozygous familial
hypercholesterolemia: Results from three years of treatment.
AB - BACKGROUND: PCSK9 inhibition with alirocumab significantly reduced LDL-C levels
in trials of up to 78weeks' duration in patients with heterozygous familial
hypercholesterolemia (HeFH). We report results from 3years of an ongoing open
label treatment extension (NCT01576484) to a 12-week double-blind trial in HeFH
patients (NCT01266876). METHODS: Patients who completed the parent study and were
receiving stable daily statin+/-ezetimibe could enter the open-label extension,
where they received alirocumab 150mg every 2 weeks (Q2W) subcutaneously (n=58).
The primary endpoint was safety (treatment-emergent adverse events, TEAEs).
Efficacy endpoints included the percentage change in LDL-C from baseline at Week
24. Safety and efficacy data were available up to Weeks 156 and 148,
respectively. RESULTS: Mean baseline LDL-C was 150.7mg/dL (3.9mmol/L), despite
all patients being on a statin (76% on high-intensity statin; 72% also receiving
ezetimibe). Over 156weeks, 54 (93.1%) patients experienced a TEAE, 12 (20.7%)
experienced a serious TEAE, and two (3.4%) discontinued due to a TEAE. Injection
site reactions occurred in 21 (36.2%) patients. Mean (SD) reduction in LDL-C from
baseline to Week 24 was 65.4 (21.1)%, with reductions maintained through 148weeks
(Week 148 reduction: 56.0 [23.8]%). Mean apolipoprotein B reduction was 50.9% and
median lipoprotein (a) reduction was 22.5% at Week 24 (46.1% and 25.6% at Week
148, respectively). CONCLUSIONS: Open-label treatment for 3years with alirocumab
150mg Q2W, administered with background statin+/-ezetimibe, was generally well
tolerated and had a safety profile comparable with that seen in the overall
alirocumab clinical trial program. Alirocumab provided significant, sustained LDL
C reductions.
PMID- 27886621
TI - Evaluation of two nucleophilic syntheses routes for the automated synthesis of 6
[18F]fluoro-l-DOPA.
AB - Two different strategies for the nucleophilic radiosynthesis of [18F]F-DOPA were
evaluated regarding their applicability for an automated routine production on an
Ecker&Ziegler Modular-Lab Standard module. Initially, we evaluated a promising 5
step synthesis based on a chiral, cinchonidine-derived phase-transfer catalyst
(cPTC) being described to give the product in high radiochemical yields (RCY),
high specific activities (AS) and high enantiomeric excesses (ee). However, the
radiosynthesis of [18F]F-DOPA based on this strategy showed to be highly complex,
giving the intermediate products as well as the final product in insufficient
yields for automatization. Furthermore, the automatization proved to be
problematic due to incomplete radiochemical conversions and the formation of
precipitates during the enantioselective reaction step. Furthermore, the required
use of HI at 180 degrees C during the last reaction step led to partial
decomposition of lines and seals of the module which further counteracts an
automatization. Further on, we evaluated a 3-step synthesis using the
commercially available, enantiomerically pure precursor AB1336 for
automatization. This synthesis approach gave much better results and [18F]F-DOPA
could be produced fully automated within 114min in RCYs of 20+/-1%, ee of >96%, a
radiochemical purity (RCP) of >98% and specific activities of up to 2.2GBq/MUmol.
PMID- 27886620
TI - PET measurement of "GABA shift" in the rat brain: A preclinical application of
bolus plus constant infusion paradigm of [18F]flumazenil.
AB - INTRODUCTION: We measured the tiagabine-induced enhancement of the GABAA
receptor's affinity for benzodiazepine ligands ("GABA shift") using
[18F]flumazenil (FMZ) PET with preclinical application of bolus plus constant
infusion (B/I). Differences in quantified results of [18F]FMZ binding were
compared to that of [18F]FMZ PET with single bolus injection (SB). MATERIALS AND
METHODS: Sprague-Dawley rats underwent [18F]FMZ PET scans with B/I, which
consisted of baseline and "GABA shift" sessions in a scan, or scans with SB one
week apart. Tiagabine (10mg/kg) was intravenously injected after the baseline
session. [18F]FMZ binding potentials (BPND) were calculated using an equilibrium
ratio method and a modeling method for B/I and SB, respectively. Regional brain
BPND changes (%) before and after the tiagabine treatment were also calculated.
RESULTS: In PET studies with B/I (Kbol=20min), [18F]FMZ distribution in the
various cortical and subcortical regions rapidly reached equilibrium. After the
tiagabine treatment, [18F]FMZ BPND were substantially increased across the
regions of interest (the frontal cortex, hippocampus, thalamus, and striatum),
ranging from 3% to 7% BPND change (B/I) and 6-14% BPND change (SB), respectively.
In PET studies with SB, a statistically significant increase of [18F]FMZ BPND was
found only in the striatum, due to the greater inter-individual variance compared
to those with B/I. CONCLUSIONS: Data demonstrated that an [18F]FMZ PET study with
B/I (Kbol=20min) is both reliable and sensitive for the assessment of altered
GABAA receptor function induced by tiagabine treatment in the rat brain. These
results may help to improve the efficiency of the development of new GABA
targeting drugs in the preclinical stage using [18F]FMZ PET.
PMID- 27886624
TI - Complementary knowledge sharing: Experiences of nursing students participating in
an educational exchange program between Madagascar and Norway.
AB - AIM AND OBJECTIVES: To describe how Malagasy and Norwegian nursing students
experience an educational exchange program in Madagascar. BACKGROUND: Previous
studies show that nursing students participating in an educational exchange
program enhanced their cultural knowledge and experienced personal growth.
However, few studies have described two-way exchange programs, including
experiences from both the hosts' and the guest students' perspectives. DESIGN:
This study applies a descriptive qualitative design. METHODS: Data were collected
in 2015 by means of five semi-structured interviews with Malagasy students and
two focus group interview sessions with Norwegian students. They were analysed
using qualitative content analysis. The study was conducted in Madagascar.
FINDINGS: The data analyses revealed one main theme and two sub-themes related to
the Malagasy and Norwegian nursing students' experiences. Main theme:
complementary knowledge sharing; sub-themes: (1) learning from each other and (2)
challenges of working together. CONCLUSION: The findings indicate that both the
Malagasy and Norwegian nursing students experienced the exchange program as
valuable and essential in exchanging knowledge. They also highlighted challenges,
linked mainly to language barriers and the lack of available resources.
PMID- 27886623
TI - Liver BCATm transgenic mouse model reveals the important role of the liver in
maintaining BCAA homeostasis.
AB - Unlike other amino acids, the branched-chain amino acids (BCAAs) largely bypass
first-pass liver degradation due to a lack of hepatocyte expression of the
mitochondrial branched-chain aminotransferase (BCATm). This sets up interorgan
shuttling of BCAAs and liver-skeletal muscle cooperation in BCAA catabolism. To
explore whether complete liver catabolism of BCAAs may impact BCAA shuttling in
peripheral tissues, the BCATm gene was stably introduced into mouse liver. Two
transgenic mouse lines with low and high hepatocyte expression of the BCATm
transgene (LivTg-LE and LivTg-HE) were created and used to measure liver and
plasma amino acid concentrations and determine whether the first two BCAA
enzymatic steps in liver, skeletal muscle, heart and kidney were impacted.
Expression of the hepatic BCATm transgene lowered the concentrations of hepatic
BCAAs while enhancing the concentrations of some nonessential amino acids.
Extrahepatic BCAA metabolic enzymes and plasma amino acids were largely
unaffected, and no growth rate or body composition differences were observed in
the transgenic animals as compared to wild-type mice. Feeding the transgenic
animals a high-fat diet did not reverse the effect of the BCATm transgene on the
hepatic BCAA catabolism, nor did the high-fat diet cause elevation in plasma
BCAAs. However, the high-fat-diet-fed BCATm transgenic animals experienced
attenuation in the mammalian target of rapamycin (mTOR) pathway in the liver and
had impaired blood glucose tolerance. These results suggest that complete liver
BCAA metabolism influences the regulation of glucose utilization during diet
induced obesity.
PMID- 27886625
TI - Culturally construed beliefs and perceptions of nursing students and the stigma
impacting on people living with AIDS: A qualitative study.
AB - BACKGROUND: Since HIV and AIDS were discovered, studies have demonstrated that
negative perceptions and reluctance to provide care to affected people persist
among nursing students throughout the world. This leads to poor quality care.
OBJECTIVES: To report on a study that explored socio-cultural influences on the
perceptions of international nursing students toward caring for people living
with HIV/AIDS. METHODS: A qualitative descriptive research design, guided by
stigma theory, was utilised. Participants were 21 international and Australian
undergraduate nursing students enrolled in a Bachelor of Nursing program at an
Australian university. Data were collected via semi-structured interviews in 2009
and 2011; manual thematic analysis was performed on interview data. FINDINGS:
Three major themes emerged: blame; othering; values. Perceptions were influenced
by complex, interrelated factors and underscored by culturally construed blame
and othering. People living with HIV/AIDS were perceived as alien and assumed as
homosexuals, drug users, or promiscuous. They were labelled 'bad people'. Many
participants were compassionate but others struggled with differences between
their personal values and professional values expected of a Registered Nurse.
There was considerable variation in the degree to which participants were willing
to embrace different perspectives and values. CONCLUSION: Nursing curricula is
vital to patient health and wellbeing and requires increased focus on the impact
of HIV/AIDS. It is important for educators to recognise that simply providing
information to students does not necessarily change their existing stigmatising
perceptions. By addressing the effect of being stigmatised and marginalised by
society nursing care to all patients will be enhanced. Students must also reflect
on their perceptions and values so as to embrace diversity.
PMID- 27886622
TI - Linoleic acid causes greater weight gain than saturated fat without hypothalamic
inflammation in the male mouse.
AB - A significant change in the Western diet, concurrent with the obesity epidemic,
was a substitution of saturated fatty acids with polyunsaturated, specifically
linoleic acid (LA). Despite increasing investigation on type as well as amount of
fat, it is unclear which fatty acids are most obesogenic. The objective of this
study was to determine the obesogenic potency of LA vs. saturated fatty acids and
the involvement of hypothalamic inflammation. Forty-eight mice were divided into
four groups: low-fat or three high-fat diets (HFDs, 45% kcals from fat) with LA
comprising 1%, 15% and 22.5% of kilocalories, the balance being saturated fatty
acids. Over 12 weeks, bodyweight, body composition, food intake, calorimetry, and
glycemia assays were performed. Arcuate nucleus and blood were collected for mRNA
and protein analysis. All HFD-fed mice were heavier and less glucose tolerant
than control. The diet with 22.5% LA caused greater bodyweight gain, decreased
activity, and insulin resistance compared to control and 1% LA. All HFDs elevated
leptin and decreased ghrelin in plasma. Neuropeptides gene expression was higher
in 22.5% HFD. The inflammatory gene Ikk was suppressed in 1% and 22.5% LA. No
consistent pattern of inflammatory gene expression was observed, with suppression
and augmentation of genes by one or all of the HFDs relative to control. These
data indicate that, in male mice, LA induces obesity and insulin resistance and
reduces activity more than saturated fat, supporting the hypothesis that
increased LA intake may be a contributor to the obesity epidemic.
PMID- 27886626
TI - Describing clinical faculty experiences with patient safety and quality care in
acute care settings: A mixed methods study.
AB - BACKGROUND: A major safety initiative in acute care settings across the United
States has been to transform hospitals into High Reliability Organizations. The
initiative requires developing cognitive awareness, best practices, and
infrastructure so that all healthcare providers including clinical faculty are
accountable to deliver quality and safe care. OBJECTIVE: To describe the
experience of baccalaureate clinical nursing faculty concerning safety and near
miss events, in acute care hospital settings. METHODS: A mixed method approach
was used to conduct the pilot study. Nurse faculty (n=18) completed study surveys
from the Agency for Healthcare Research and Quality (AHRQ) to track patient
safety concerns: Incidents; Near misses; or Unsafe conditions, during one
academic semester, within 9 different acute care hospitals. Additionally, seven
nurse faculty participated in end of the semester focus groups to discuss the
semester long experience. RESULTS: Clinical faculty identified a total of 24
patient occurrences: 15 Incidents, 1 Near miss event, and 8 Unsafe conditions.
Focus group participants (n=7) described benefits and challenges experienced by
nursing clinical faculty and students in relation to the culture of safety in
acute care hospital settings. Six themes resulted from the content analysis.
CONCLUSIONS: Utilizing nursing clinical faculty and students may add significant
value to promoting patient safety and the delivery of quality care, within acute
care hospital settings.
PMID- 27886627
TI - Facilitating the development of higher-order thinking skills (HOTS) of novice
nursing postgraduates in Africa.
AB - BACKGROUND: International research in nursing education has shown to be deficient
regarding both the quality of research produced and the building of disciplinary
capacity. The CHENMA (Collaboration for Higher Education of Nurses and Midwives
in Africa) project aimed to strengthen nursing and midwifery expertise in Africa.
Sixteen French-speaking students of the Democratic Republic of the Congo (DRC)
enrolled for a master's degree in nursing midwifery at a South African university
in 2008. Ten of the initial 16 students graduated with a master's degree in 2012.
One student withdrew and five students completed a postgraduate diploma in
midwifery. OBJECTIVES: The objective of this paper is to explore the quality of
the output of those master's degree students, namely their dissertation (with
specific reference to the demonstration of HOTS). METHODS: An exploratory,
evaluative, single, descriptive case study was utilised. Realist, purposeful
sampling was used. Six of the 10 completed final dissertations were evaluated as
well as three reflective reports from the supervisor, translator and critical
reader. RESULTS: The findings indicated that most dissertations fell below the
expected standard, with a paucity of higher-order thinking and application
skills. Language, and possibly cultural dynamics, seemed to be the largest
barrier to learning and communication. The dissertations lacked conceptual
skills, scientific writing skills, logical order of thought and congruency.
Analysis of the dissertations revealed a limited ability of novice scholars to
explore the nature of information and to interpret and manipulate the data in a
novel way.
PMID- 27886628
TI - EEG utilization in Canadian intensive care units: A multicentre prospective
observational study.
AB - PURPOSE: We have previously shown that electroencephalography (EEG) may be an
underutilized monitoring modality in a single general medical-surgical ICU, that
does not have a specific neurocritical care consultation service or neurocritical
care unit. The present study was designed to describe the pattern of EEG
utilization across 3 academic ICUs in Ontario, Canada that use different models
of neurocritical care. METHOD: In this prospective multicentre observational
study, ICU patients were screened weekly for 6 non-consecutive weeks to determine
if they met the ESICM's recommendations or suggestions for EEG monitoring. If
EEGs were performed, the results were recorded. Three models of neurocritical
care provision were examined in 3 academic tertiary ICUs. Site 1 is an
intensivist-led, medical-surgical ICU with no specific neurocritical care
consultation service. The second site is also an intensivist led medical-surgical
ICU, but with a formal neurocritical care consultation service. The third site is
a virtual neurological and neurotrauma ICU within a medical-surgical ICU, staffed
by rotating neurointensivists and general intensivists. RESULTS: Of the 375
patients who were screened, 127 patients (34%) met at least one ESICM indication
for EEG monitoring. Among the 127 patients, 46 patients (37%) had an EEG
performed. Site 1 had the highest proportion of EEGs performed. The most common
indication for EEG monitoring was for patients with unexplained altered level of
consciousness, in the absence of primary brain injury. For the EEGs performed per
ESICM indication, the majority of epileptiform abnormalities were found in
patients admitted with status epilepticus. CONCLUSIONS: EEG may be underutilized
in Canadian ICUs. The impact on patient management and outcomes are unknown.
PMID- 27886629
TI - Transient Epileptic Amnesia over twenty years: Long-term follow-up of a case
series with three detailed reports.
AB - PURPOSE: Transient Epileptic Amnesia (TEA) is a form of adult onset temporal lobe
epilepsy characterised by ictal amnesia. The amnesic seizures are often
accompanied by interical memory disturbance, involving autobiographical amnesia
and accelerated long-term forgetting. Short-term follow-up studies suggest a
relatively stable cognitive profile once treated, but recent case reports raise
concerns regarding the risk of developing Alzheimer's disease (AD). The current
study reports clinical and cognitive outcome in TEA patients over a 20-year
period. METHODS: A cohort of ten TEA patients first reported in 1998 were
followed up at two time intervals, each 10 years apart. Information regarding
clinical outcomes and subjective reports of memory functioning was gained via GP
records and clinical interview. Objective memory function was determined at each
time point via a comprehensive neuropsychological assessment, where possible.
RESULTS: Information was obtained for nine of the original 10 participants. Over
the 20-year period, 4 participants died, with no indication of dementia prior to
death. One participant was diagnosed with Vascular Dementia. Seizures were
generally well controlled. Subjective reports of memory varied, including no
concerns, stable memory difficulties, and worsening memory. Neuropsychological
assessment at 10 years showed stable performances across most measures. At the 20
year follow up, there was no evidence of a general cognitive decline.
Participants showed stability on some measures, with reductions on others.
Performance was not consistent with AD. CONCLUSIONS: No elevated risk of dementia
was evident from this TEA series. Although memory difficulties persist over time,
the prognosis of TEA appears generally benign.
PMID- 27886630
TI - The role of antiepileptic drugs in sudden unexpected death in epilepsy.
AB - Sudden unexpected death in epilepsy (SUDEP) primarily affects young adults and is
the leading cause of death related directly to seizures. High frequency of
generalized tonic-clonic seizures is the most important risk factor, and
effective seizure protection is probably the most important measure to prevent
these tragic deaths. For several years a potential role of antiepileptic drugs
(AEDs) has been discussed, but at present there is wide agreement that choice of
AED therapy does not influence the risk. However, although it is well known that
the efficacy and safety profiles of AEDs may differ significantly when used in
the treatment of genetic epilepsy compared to symptomatic or cryptogenic
epilepsy, this has generally been overlooked in epidemiologic studies of possible
relationships between AEDs and SUDEP. Consequently important information about
drug safety may have been lost. This review challenges the current view that no
AED can increase the risk of SUDEP.
PMID- 27886632
TI - Exploring the potential of iTRAQ proteomics for tracking the transformation of
extracellular proteins from enzyme-disintegrated waste activated sludge.
AB - To characterize the transformation of extracellular proteins extracted from raw
and enzyme-disintegrated waste activated sludge (WAS), extracts of extracellular
polymeric substances (EPS) were subject to isobaric tags for relative and
absolute quantitation (iTRAQ) proteomics analysis. 209 proteins were identified
and categorized into three Gene Ontology classifications: "cellular components",
"molecular function", and "biological processes". Most identified proteins
originated from intracellular components, organelles, or cytoplasm, suggesting
that cell decline and lysis represent the main sources of extracellular proteins
in WAS. The major protein functions comprised "transporter binding activity",
"macromolecule metabolic process", and "biosynthesis enzyme catalytic activity".
In total, 15 proteins categorized as "membrane part" and "biological adhesion"
and 10 as "organelle" were down- or up-regulated, respectively, implying that the
enzyme-disintegration mainly induced WAS floc-structure disintegration via
membrane structure and corresponding biological adhesion disruption. The
proteomics study will provide valuable clues to better understand EPS changes
associated with enzymatic treatment at molecular levels.
PMID- 27886631
TI - Metagenomics analysis reveals a new metallothionein family: Sequence and metal
binding features of new environmental cysteine-rich proteins.
AB - Metallothioneins are cysteine-rich proteins, which function as (i) metal carriers
in basal cell metabolism and (ii) protective metal chelators in conditions of
metal excess. Metallothioneins have been characterized from different eukaryotic
model and cultivable species. Presently, they are categorized in 15 families but
evolutionary relationships between these metallothionein families remain
unresolved. Several cysteine-rich protein encoding genes that conferred Cd
tolerance in Cd-sensitive yeast mutants have previously been isolated from soil
eukaryotic metatranscriptomes. They were called CRPs for "cysteine-rich
proteins". These proteins, of unknown taxonomic origins, share conserved cysteine
motifs and could be considered as metallothioneins. In the present work, we
analyzed these CRPs with respect to their amino acid sequence features and their
metal-binding abilities towards Cd, Zn and Cu metal ions. Sequence analysis
revealed that they share common features with different known metallothionein
families, but also exhibit unique specific features. Noticeably, CRPs display two
separate cysteine-rich domains which, when expressed separately in yeast, confer
Cd-tolerance. The N-terminal domain contains some conserved atypical Cys motifs,
such as one CCC and two CXCC ones. Five CRPs were expressed and purified as
recombinant proteins and their metal-binding characteristics were studied. All
these CRPs chelated Cd(II), Zn(II) and Cu(I), although displaying a better
capacity for Zn(II) coordination. All CRPs are able to confer Cd-tolerance, and
four of them confer Zn-tolerance in the Zn-sensitive zrc1Delta yeast mutant. We
designated these CRPs as environmental metallothioneins belonging to a new
formerly undescribed metallothionein family.
PMID- 27886633
TI - A novel method to harvest Chlorella sp. via low cost bioflocculant: Influence of
temperature with kinetic and thermodynamic functions.
AB - In this study, harvesting efficiency (HE) of bioflocculant (egg shell) was
observed with variation in flocculent concentrations (0-100mgL-1), temperature
(30 degrees C, 35 degrees C 40 degrees C, 45 degrees C and 50 degrees C) and
variable contact time (0-50min). It was found maximum (~95.6%) with 100mgL-1
bioflocculant concentration whereas influence of temperature was also observed
with optimized concentration of bioflocculant (100mgL-1) at 40 degrees C (~98.1%)
and 50 degrees C (~99.3%), in 30min of contact time. Significant changes in algal
cell structures were also analyzed after exposure to various temperatures with
microscopy, SEM (Scanning electron microscopy) and EDS (Energy dispersive X-ray
spectroscopy) images with and without bioflocculant. The experimental data was
found to be a good fit with pseudo-second order kinetic model. The thermodynamic
functions such as DeltaG (Gibbs free energy), DeltaH (enthalpy), DeltaS (entropy)
were also determined. The negative value of DeltaG and positive value of DeltaH
and DeltaS shows the spontaneous and endothermic nature of flocculation process.
PMID- 27886634
TI - Influence of lead in the sorption of arsenate by municipal solid waste composts:
metal(loid) retention, desorption and phytotoxicity.
AB - The ability of two municipal solid waste composts (MSW-C) to sorb As(V) in the
presence of Pb(II) and in acidic conditions was investigated. Sorption isotherms
and kinetics showed that both MSW-C were able to sorb As(V) in a similar way
(~0.24mmolg-1 MSW-C), but only when Pb(II) was present (0.45mmolL-1). The
concomitant sorption of Pb(II) by both MSW-C (~0.40mmolg-1) suggested that the
metal cation was likely acting as bridging element between the negatively charged
functional groups of composts and As(V). SEM-EDX analysis of the MSW
C+Pb(II)+As(V) systems supported the association between Pb(II) and As(V), while
sequential extraction procedures and organic acids treatment showed that As(V)
was strongly retained by MSW-C+Pb(II) and suggested the presence of different
interaction types between As(V) and Pb(II). Plant growth experiments highlighted
the key role of Pb(II) in the reduction of As(V)-phytotoxicity for triticale
plants (*Triticosecale Wittm.) in the presence of MSW-C.
PMID- 27886635
TI - Multiplex PCR reveals that viruses are more frequent than bacteria in children
with cystic fibrosis.
AB - BACKGROUND: Cystic fibrosis is a degenerative disease characterized by
progressive epithelial secretory gland dysfunction associated with repeated
respiratory infections. Bacterial infections are very frequent in children with
cystic fibrosis, but because rapid METHODS: for screening for the wide variety of
potentially involved viruses were unavailable until recently, the frequency of
viral presence is unknown. Multiplex PCR enables screening for many viruses
involved in respiratory infections. OBJECTIVES: This study aimed to evaluate the
frequency of viruses and bacteria in respiratory specimens from children with
cystic fibrosis and to clarify the incidence and characteristics (seasonality and
age of patients) of different viruses detected in children with cystic fibrosis.
STUDY DESIGN: In this 2-year prospective study, we obtained paired nasopharyngeal
swab and sputum specimens from children with cystic fibrosis during clinical
respiratory examinations separated by at least 14days. We analyzed viruses in
nasopharyngeal-swab specimens with multiplex PCR and bacteria in sputum with
standard methods. RESULTS: We analyzed 368 paired specimens from 33 children. We
detected viruses in 154 (41.8%) and bacteria in 132 (35.9%). Bacteria were
commoner in spring and summer; viruses were commoner in autumn and winter. In
every season, Staphylococcus aureus was the commonest bacteria and rhinovirus was
the commonest virus. Nearly all infections with Haemophilus influenzae occurred
in autumn and winter. Viruses were more prevalent in children <5 years old, and
bacteria were more prevalent in children >=12 years old. CONCLUSIONS: Multiplex
PCR screening for respiratory viruses is feasible in children with cystic
fibrosis; the clinical implications of screening warrant further study.
PMID- 27886636
TI - The impact of social support, unit cohesion, and trait resilience on PTSD in
treatment-seeking military personnel with PTSD: The role of posttraumatic
cognitions.
AB - BACKGROUND: The personal resources of social support, unit cohesion, and trait
resilience have been found to be associated with posttraumatic stress disorder
(PTSD) severity among military personnel. However, the underlying mechanisms of
these relationships are unclear. We hypothesized that negative posttraumatic
cognitions, which are associated with PTSD, mediate the relationships between
these personal resources and PTSD. METHODS: The relationship between PTSD symptom
severity and a latent factor comprised of social support, unit cohesion, and
trait resilience was evaluated using cross-sectional data from 366 treatment
seeking active duty military personnel with PTSD following deployments to or near
Iraq or Afghanistan. Structural equation modeling (SEM) was used to test whether
posttraumatic cognitions mediated this relationship. RESULTS: The SEM model
indicated that (1) a robust latent variable named personal resources (indicated
by social support, unit cohesion, and trait resilience) was negatively associated
with PTSD severity; (2) personal resources were negatively associated with
negative posttraumatic cognitions; (3) negative posttraumatic cognitions fully
mediated the association between personal resources and PTSD severity. The final
SEM mediation model showed a highly satisfactory fit [chi2 (22) = 16.344, p =
0.798; chi2/df = 0.743; CFI = 1; RMSEA = 0.000]. CONCLUSIONS: These findings
suggest that among active duty military personnel seeking treatment for PTSD,
personal resources (social support, unit cohesion, and trait resilience) may
mitigate PTSD severity by reducing negative posttraumatic cognitions.
PMID- 27886637
TI - Handling clinical comorbidity in randomized clinical trials in psychiatry.
AB - The purpose of this paper is to a) outline the importance of including patients
with clinical comorbidities in Randomized Clinical Trials (RCTs) of psychiatric
treatments; and b) to propose a specific approach for best handling, analyzing
and interpreting the data on clinical comorbidities in terms of their impact on
treatment outcomes. To do this we first define and describe clinical comorbidity
and differentiate it from other forms of comorbidity. We then describe the
methodological and analytical problems associated with excluding patients with
clinically comorbid conditions from RCTs, including the impact on the outcomes of
RCTs in psychiatry and the impact on evidence-based clinical decision-making. We
then address the challenges inherent to including patients with clinical
comorbidities in RCTs. Finally, we propose a methodological and analytic approach
to deal with these issues in RCTs which aims to significantly improve the
information yielded from RCTs in psychiatry, and thus improve clinical decision
making.
PMID- 27886640
TI - Perceived importance and responsibility for market-driven pig welfare: Literature
review.
AB - This review explores barriers and opportunities for market-driven pig welfare in
Europe. It finds, first, that consumers generally rank animal welfare as
important, but they also rank it low relative to other societal problems. Second,
consumers have a wide range of concerns about pig welfare, but they focus
especially on naturalness. Third, pig welfare is seen as an important indicator
of meat quality. Fourth, consumers tend to think that responsibility for pig
welfare lies with several actors: farmers, governments and themselves. The paper
concludes that there is an opportunity for the market-driven strategy to sell a
narrative about naturalness supplemented with other attractive qualities (such as
eating quality). It also emphasizes that pig welfare needs to be on the
political/societal agenda permanently if it is to be viewed as an important issue
by consumers and if consumers are to assume some sort of responsibility for it.
PMID- 27886639
TI - Hyaluronan-Derived Swelling of Solid Tumors, the Contribution of Collagen and
Cancer Cells, and Implications for Cancer Therapy.
AB - Despite the important role that mechanical forces play in tumor growth and
therapy, the contribution of swelling to tumor mechanopathology remains
unexplored. Tumors rich in hyaluronan exhibit a highly negative fixed charge
density. Repulsive forces among these negative charges as well as swelling of
cancer cells due to regulation of intracellular tonicity can cause tumor swelling
and development of stress that might compress blood vessels, compromising tumor
perfusion and drug delivery. Here, we designed an experimental strategy, using
four orthotopic tumor models, to measure swelling stress and related swelling to
extracellular matrix components, hyaluronan and collagen, as well as to tumor
perfusion. Subsequently, interventions were performed to measure tumor swelling
using matrix-modifying enzymes (hyaluronidase and collagenase) and by repurposing
pirfenidone, an approved antifibrotic drug. Finally, in vitro experiments on
cancer cell spheroids were performed to identify their contribution to tissue
swelling. Swelling stress was measured in the range of 16 to 75 mm Hg, high
enough to cause vessel collapse. Interestingly, while depletion of hyaluronan
decreased swelling, collagen depletion had the opposite effect, whereas the
contribution of cancer cells was negligible. Furthermore, histological analysis
revealed the same linear correlation between tumor swelling and the ratio of
hyaluronan to collagen content when data from all tumor models were combined. Our
data further revealed an inverse relation between tumor perfusion and swelling,
suggesting that reduction of swelling decompresses tumor vessels. These results
provide guidelines for emerging therapeutic strategies that target the tumor
microenvironment to alleviate intratumoral stresses and improve vessel
functionality and drug delivery.
PMID- 27886641
TI - Comparison of hot versus cold boning of beef carcasses on bacterial growth and
the risk of blown pack spoilage.
AB - Primals were prepared from beef Longissimus thoracis et lumborum (LTL), psoas
major (PM), quadriceps femoris (QF) and semitendinosus (S) muscles from cold and
hot boned carcasses, vacuum-packaged and stored for 42 or 100days at 2 degrees C
and 7 degrees C. Storage temperature, carcass or primal surface temperature, pH
and aw were monitored. Samples were taken periodically and tested for total
viable count mesophilic (TVCm), TVC psychrophilic (TVCp), total
Enterobacteriaceae count (TEC), presumptive Pseudomonas spp., lactic acid
bacteria (LAB), Clostridium spp. and Brochothrix thermosphacta. A fifth muscle,
biceps femoris (BF), was used to examine the impact of hot boning on blown pack
spoilage (BPS). Primal counts increased to 6-7log10cfucm-2 after 6weeks.
Significantly (P<0.05) higher TEC, Pseudomonas spp. and Br. thermosphacta counts
were observed on cold versus hot boned primals. In contrast, significantly
(P<0.05) higher TVC, LAB and Clostridium spp. concentrations were obtained on hot
boned beef. Moreover, BPS pack distension/bursting occurred considerably sooner
in hot boned product.
PMID- 27886638
TI - Preliminary evidence that negative symptom severity relates to multilocus genetic
profile for dopamine signaling capacity and D2 receptor binding in healthy
controls and in schizophrenia.
AB - Deficits in central, subcortical dopamine (DA) signaling may underlie negative
symptom severity, particularly anhedonia, in healthy individuals and in
schizophrenia. To investigate these relationships, we assessed negative symptoms
with the Schedule for the Assessment of Negative Symptoms and the Brief Negative
Symptom Scale (BNSS) and self-reported anhedonia with the Scales for Physical and
Social Anhedonia (SPSA), Temporal Experience of Pleasure Scale, and Snaith
Hamilton Pleasure Scale in 36 healthy controls (HC), 27 siblings (SIB) of
individuals with schizophrenia, and 66 individuals with schizophrenia or
schizoaffective disorder (SCZ). A subset of participants (N = 124) were genotyped
for DA-related polymorphisms in genes for DRD4, DRD2/ANKK1, DAT1, and COMT, which
were used to construct biologically-informed multi-locus genetic profile (MGP)
scores reflective of subcortical dopaminergic signaling. DA receptor type 2 (D2R)
binding was assessed among a second subset of participants (N = 23) using PET
scans with the D2R-selective, non-displaceable radioligand (N
[11C]methyl)benperidol. Higher MGP scores, reflecting elevated subcortical
dopaminergic signaling capacity, were associated with less negative symptom
severity, as measured by the BNSS, across all participants. In addition, higher
striatal D2R binding was associated with less physical and social anhedonia, as
measured by the SPSA, across HC, SIB, and SCZ. The current preliminary findings
support the hypothesis that subcortical DA function may contribute to negative
symptom severity and self-reported anhedonia, independent of diagnostic status.
PMID- 27886642
TI - Obesity and the breast cancer methylome.
AB - Breast cancer is associated with risk factors such as advancing age and obesity.
However, the linkages between these risk factors for breast cancer development
and initiation of the disease are not yet clear. Obesity may drive breast cancer
development through increases in circulating estrogens in postmenopausal women.
Mammary cell susceptibility to neoplastic transformation requires both genetic
and epigenetic alterations, including changes in DNA methylation. Obesity is also
subject to epigenetic regulation. In this review, the nature of epigenetic
changes, specifically changes to the methylome, are discussed in the context of
obesity and breast cancer, and a potential mechanism for the interaction of
obesity and breast cancer is proposed. This proposed mechanism identifies
opportunities for intervention (using drugs or biologic therapies) to prevent
breast cancer development in the obese patient.
PMID- 27886643
TI - Cardiac safety of adjuvant non-pegylated liposomal doxorubicin combined with
cyclophosphamide and followed by paclitaxel in older breast cancer patients.
AB - PURPOSE: To investigate the cardiac safety of adjuvant Non-Pegylated Liposomal
Doxorubicin (NPL-DOX) combined to Cyclophosphamide (CTX) and followed by weekly
Paclitaxel, in older patients (>=65 years) with diagnosis of high risk breast
cancer. The main end point of this prospective study was the detection of early
episodes of symptomatic congestive heart failure (CHF). METHODS: The cardiac
function was evaluated by left ventricular ejection fraction (LVEF) measurements
with repeated echocardiograms, performed 2 weeks before the beginning of
chemotherapy and every 6 months, until 30 months after the study entry; then
yearly for at least 5 years. RESULTS: Forty-seven patients were enrolled from two
Italian Divisions of Medical Oncology. Final results revealed no early episodes
of symptomatic CHF within the first 12 months from the enrolment. Only two
cardiac events were observed: an episode of atrial flutter after the first cycle
of NPL-DOX and CTX, with a quick return to normal rhythm, and a grade 3 (scored
to NCI-CTCAE, version 3.0) CHF episode, 18 months later chemotherapy start. No
other relevant toxicities were reported. CONCLUSIONS: This adjuvant combination
including NPL-DOX in elderly patients, resulted in a low rate of cardiac toxic
effects. Comparative trials should be encouraged to confirm these findings.
PMID- 27886644
TI - Oral administration with diosgenin enhances the induction of intestinal T helper
1-like regulatory T cells in a murine model of food allergy.
AB - Although the development of T helper (Th)1-like regulatory T (Treg) cells under
Th1 inflammatory conditions has been reported, the role of Th1-like Treg cells in
Th2 allergic responses remains mostly unclear. We previously demonstrated that
diosgenin, the major sapogenin contained in the Chinese yam, attenuated food
allergy and augmented Th1 and Treg immune responses. In this study, we
hypothesized that diosgenin may enhance the induction of Th1-like Treg cells in
the gut of mice with food allergy. Ovalbumin (OVA)-sensitized BALB/c mice were
gavaged daily with diosgenin and received repeatedly intragastric ovalbumin
challenges to induce intestinal allergic responses. The induction of Foxp3+ Treg
cells co-expressing Th1-type transcription factors, cytokines and chemokines in
the intestine was examined, and the mRNA expression of the chemokines
corresponding to Th1-like Treg cells was measured. Diosgenin administration
increased the number of Foxp3+ Treg cells co-expressing Th1 markers, including
CCR5, CXCR3, IFN-gamma and T-bet in the intestine, and enhanced populations of
Foxp3+IFN-gamma+ and Foxp3+T-bet+ cells that expressed the regulatory cytokine IL
10 in the Peyer's patches. Diosgenin also augmented the intestinal expression of
CXCR3, CCL3, and CXCL10. Concordantly, diosgenin increased the number of
CXCR3+Foxp3+IL-10 cells in the Peyer's patches. Our data demonstrated the
enhanced induction of Th1-like Treg cells in allergic mice treated with
diosgenin, providing evidence to suggest a role for Th1-like Treg cells in
diosgenin-mediated anti-allergic effects against Th2-type allergy.
PMID- 27886645
TI - A new 2-(2'-hydroxyphenyl)quinazolin-4(3H)-one derived acylhydrazone for
fluorescence recognition of Al3.
AB - A new 2-(2'-hydroxyphenyl)quinazolin-4(3H)-one derived acylhydrazone (QP) was
designed and synthesized as a fluorescent sensor. In Tris?HCl buffer (10mM,
pH7.4)/ethanol (1/9, v/v) solution, QP exhibits a highly selective fluorescence
response to Al3+ over other metal ions with a significant blue-shifted and
enhanced emission at 473nm. QP interacts with Al3+ reversibly through a 1:2
binding ratio with a detection limit of 4.79*10-8M. Potential applicability of QP
for Al3+ detection in tap and lake water samples were also examined by 'proof-of
concept' experiments.
PMID- 27886647
TI - High pressure Raman scattering study on Sm2Mo4O15 system.
AB - High-pressure Raman experiments were performed on Sm2Mo4O15 system up to 7.9GPa.
We show that this system exhibits an irreversible structural amorphization at
5.0GPa. In contrast to any other molybdates and tungstates experiencing pressure
induced amorphization, this structural change in Sm2Mo4O15 has strongly first
order character. This amorphous phase can be originated from the hindrance of a
crystalline structural phase transition from the P1- to P2/m structure.
Additionally, the assignment of Raman modes of the ambient-pressure phase of
Sm2Mo4O15 was proposed based on lattice dynamics calculations.
PMID- 27886646
TI - Graphene oxide-based fluorescent sensor for sensitive turn-on detection of
sinapine.
AB - Sinapine (SP) has attracted extensive attention in food and medical field because
it is a crucial component in many plants with unique physiological activities.
Here, we reported a fluorescent sensor based on the unique properties of graphene
oxide (GO) for rapid, sensitive detection of SP concentration. In this strategy,
GO has stronger affinity toward SP and can be also served as a quencher for DNA
fluorescence probe. The fluorescence can make a recovery by the addition of SP,
which can competitively desorb probe from the surface of GO, and the SP can thus
be monitored by recording the fluorescence change. Because of the low background
assays and high quenching ability offered by GO, the developed method provides a
great potential for plant-derived molecules research.
PMID- 27886648
TI - Selective and sensitive fluorimetric determination of carbendazim in apple and
orange after preconcentration with magnetite-molecularly imprinted polymer.
AB - In this study, magnetite-molecularly imprinted polymer has been used for the
first time as selective adsorbent before the fluorimetric determination of
carbendazim. Adsorption capacity of the magnetite-molecularly imprinted polymer
was found to be 2.31+/-0.63mgg-1 (n=3). Limit of detection (LOD) and limit of
quantification (LOQ) of the method were found to be 2.3 and 7.8MUgL-1,
respectively. Calibration graph was linear in the range of 10-1000MUgL-1.
Rapidity is an important advantage of the method where re-binding and recovery
processes of carbendazim can be completed within an hour. The same imprinted
polymer can be used for the determination of carbendazim without any capacity
loss repeatedly for at least ten times. Proposed method has been successfully
applied to determine carbendazim residues in apple and orange, where the
recoveries of the spiked samples were found to be in the range of 95.7-103%.
Characterization of the adsorbent and the effects of some potential interferences
were also evaluated. With the reasonably high capacity and reusability of the
adsorbent, dynamic calibration range, rapidity, simplicity, cost-effectiveness
and with suitable LOD and LOQ, the proposed method is an ideal method for the
determination of carbendazim.
PMID- 27886649
TI - Spectroscopic investigation, vibrational assignments, HOMO-LUMO, NBO, MEP
analysis and molecular docking studies of oxoaporphine alkaloid liriodenine.
AB - A combined experimental and theoretical DFT study of the structural, vibrational
and electronic properties of liriodenine is presented using B3LYP function with 6
311G (2d, p) basis set. The theoretical geometry optimization data were compared
with the X-ray data for a similar structure in the associated literature, showing
similar values. In addition, natural bond orbitals (NBOs), HOMO-LUMO energy gap,
mapped molecular Electrostatic Potential (MEP) surface calculation, first and
second order hyperpolarizabilities were also performed with the same calculation
level. Theoretical UV spectrum agreed well with the measured experimental data,
with transitions assigned. The molecular electrostatic potential map shows
opposite potentials regions that forms hydrogen bonds that stabilize the dimeric
form, which were confirmed by the close values related to the CO bond stretching
between the dimeric form and the experimental IR spectra (1654cm-1 for the
experimental, 1700cm-1 for the dimer form). Calculated HOMO/LUMO gaps shows the
excitation energy for Liriodenine, justifying its stability and kinetics
reaction. Molecular docking studies with Candida albicans dihydrofolate reductase
(DHFR) and Candida albicans secreted aspartic protease (SAP) showed binding free
energies values of -8.5 and -8.3kcal/mol, suggesting good affinity between the
liriodenine and the target macromolecules.
PMID- 27886651
TI - Foam-Mat Freeze-Drying of Bifidobacterium longum RO175: Viability and
Refrigerated Storage Stability.
AB - Foaming as a pretreatment was used prior to freeze-drying of Bifidobacterium
longum RO175 to investigate the potential acceleration of the drying rate and
increase in microorganism viability after the process. A study on storage of
foamed and nonfoamed freeze-dried products at 4 degrees C completed this study.
B. longum RO175 in foamed medium could be freeze-dried in 1/7 to 1/4 of the time
required for nonfoamed suspensions. In addition, foamed suspensions presented
higher viability immediately after freeze-drying (13.6% compared to 12.81 % or
11.46%, depending on the cryoprotective media). Refrigerated storage led to a
reduction in B. longum RO175 viability for all tested protective agents (foamed
and nonfoamed). No correlation between glass transition temperature and stability
of probiotic powders was observed during storage. In addition, lower viability
after 56 d of storage was observed for foamed materials, probably due to foam
porous structure and higher hygroscopicity, and oxygen presence and moisture
pickup during storage.
PMID- 27886650
TI - The relationship between gestational age and the severity of neonatal abstinence
syndrome.
AB - BACKGROUND AND AIMS: The relationship between gestational age at delivery and the
severity of neonatal abstinence syndrome (NAS) is poorly understood. Our
objective was to compare the length of pharmacotherapy and hospital stay among
opioid-exposed infants born during the late pre-term, early term, full term and
late term periods. DESIGN: Retrospective cohort study of infants affected by NAS.
SETTING: MetroHealth Medical Center in Cleveland, OH, USA: an urban tertiary care
hospital serving as the referral center for opioid dependency in pregnancy with a
level III neonatal intensive care unit. PARTICIPANTS: All deliveries complicated
by maternal opioid exposure from January 2000 to October 2014; 403 were eligible
to be included [n = 102 late pre-term, 34-36 weeks (LP), n = 158 early term, 37
38 weeks (ET), n = 122 full term, 39-40 weeks (FT), n = 21 late term, >=41 weeks
(LT)]. MEASUREMENTS: NAS requiring pharmacotherapy with opioids and hospital stay
duration were compared between gestational age cohorts. Interaction by type of
maternal medication was evaluated. FINDINGS: The necessity for pharmacotherapy
for NAS was similar in all gestational age groups [LP n = 45/102 (44%), ET n =
65/158 (41%), FT n = 55/122 (45%), LT n = 9/21 (43%); P = 0.92]. However, the
median duration of pharmacotherapy for NAS was significantly different between
the groups [LP =16.0 median (interquartile range: IQR = 10.0-24.0) days, ET =
22.5 (IQR = 15.0-40.0), FT = 23.0 (IQR = 6.0-38.0), LT = 22.0 (IQR = 6.0-28.0); P
= 0.02]. Neonatal intensive care unit admission for NAS (P = 0.07) and total
length of stay (P = 0.27), which includes observation for NAS not requiring
medication, were not different. There was no significant interaction between
gestational age cohorts and maternal medication assisted treatment therapy on the
need for or duration of NAS treatment. The results were unchanged when evaluated
for potential confounding variables. CONCLUSIONS: Gestational age (pre-term, term
or late term) at birth appears to be unrelated to the need for pharmacotherapy to
treat neonatal abstinence syndrome (NAS) in late pre-term and term infants. If
treatment is needed it may tend to be given for longer in term than pre-term or
late term infants.
PMID- 27886652
TI - Factors associated with short-term transitions of non-daily smokers: socio
demographic characteristics and other tobacco product use.
AB - AIMS: To examine the transitions in smoking status among non-daily smokers who
transitioned to daily or former smokers or remained as non-daily smokers during a
12-month period. We analyzed factors associated with these transitions, including
the use of cigars and smokeless tobacco (SLT). DESIGN: Secondary data analyses
using pooled data from the 2003, 2006/07 and 2010/11 Tobacco Use Supplements to
the Current Population Survey (TUS-CPS). SETTING: United States. PARTICIPANTS:
Self-respondents aged 18+ who have smoked for more than 5 years and were non
daily smokers 12 months before the interview (n = 13 673, or 14.5% of current
smokers). MEASUREMENTS: Multinomial logistic regression model to determine the
correlates of non-daily to daily, stable non-daily and non-daily to former
smoking transitions among non-daily smokers at baseline. The model controlled for
socio-demographic factors and the use of cigars and SLT. FINDINGS: Of the adults
in our sample, 2.6% were non-daily smokers at baseline. Among these, 69.7%
remained non-daily smokers (stable non-daily smokers), 18.4% became daily smokers
(non-daily to daily smokers) and 11.9% quit smoking (non-daily to former smokers)
after 12 months. The non-daily to daily versus stable non-daily smoking
transition was less likely among those who were aged 65+ (P = 0.018), male (P <
0.001), Hispanic (P < 0.001), with an income of $25 000-49 999 or >=$75 000 and
current users of SLT (P = 0.003), but more likely among those without a college
degree compared with the appropriate reference group. The non-daily to former
versus stable non-daily smoking transition was less likely among those aged 25+,
male (P = 0.013), non-Hispanic Asian (P = 0.032), without a college degree,
widowed/divorced/separated (P = 0.013) or never married (P = 0.011) and current
users of cigars (P = 0.003) compared with the appropriate reference group.
CONCLUSIONS: While more than two-thirds of non-daily smokers in the United States
remain as such after 12 months, others become daily smokers or quit. The
likelihood of remaining stable non-daily smokers and of transition from non-daily
to daily and non-daily to former smokers is associated with socio-demographic
factors and current use of cigars and smokeless tobacco.
PMID- 27886653
TI - Waffle Production: Influence of Baking Plate Material on Sticking of Waffles.
AB - Background of this study was to understand the factors that contribute to
sticking of fresh egg waffles on baking plates. The aim of this study was to
investigate the sticking (adhesion) behavior of waffles on 4 different baking
plate materials (ductile iron, grey iron, low alloyed steel, and steel with
titanium nitrite coating) at different baking parameters (temperature and time)
and application of 3 different release agents (different fat compositions).
Baking plates from ductile and grey iron showed lower release properties of
waffles than the 2 steel baking plates. Baking parameters had to be high enough
to allow rapid product crust formation but prevent burning, which again increases
sticking behavior. Release agents based on short-chain fatty acids with higher
degree of saturation provided better release behavior of waffles than those based
on long-chain fatty acids or on emulsifier-acid combinations. Baking plates with
increased hardness, good heat storage capacity, and smooth surface seemed to be
best suitable. Further research on appropriate coating material might be
promising for future.
PMID- 27886654
TI - Essential role of K+ uptake permease (Kup) for resistance to sucrose-induced
stress in Gluconacetobacter diazotrophicus PAl 5.
AB - Microorganisms are constantly challenged by stressful conditions, such as sugar
rich environments. Such environments can cause an imbalance of biochemical
activities and compromise cell multiplication. Gluconacetobacter diazotrophicus
PAl 5 is among the most sugar-tolerant bacteria, capable of growing in the
presence of up to 876 mM sucrose. However, the molecular mechanisms involved in
its response to high sucrose remain unknown. The present work aimed to identify
sucrose-induced stress resistance genes in G. diazotrophicus PAl 5. Screening of
a Tn5 transposon insertion library identified a mutant that was severely
compromised in its resistance to high sucrose concentrations. Molecular
characterization revealed that the mutation affected the kupA gene, which encodes
a K+ uptake transporter (KupA). Functional complementation of the mutant with the
wild type kupA gene recovered the sucrose-induced stress resistance phenotype.
High sucrose resistance assay, under different potassium concentrations, revealed
that KupA acts as a high-affinity K+ transporter, which is essential for
resistance to sucrose-induced stress, when extracellular potassium levels are
low. This study is the first to show the essential role of the KupA protein for
resistance to sucrose-induced stress in bacteria by acting as a high-affinity
potassium transporter in G. diazotrophicus PAl 5.
PMID- 27886655
TI - Gas Permeability and Permselectivity of Poly(L-Lactic Acid)/SiOx Film and Its
Application in Equilibrium-Modified Atmosphere Packaging for Chilled Meat.
AB - A layer of SiOx was deposited on the surface of poly(L-lactic acid) (PLLA) film
to fabricate a PLLA/SiOx layered film, by plasma-enhanced chemical vapor
deposition (PECVD) process. PLLA/SiOx film showed Young's modulus and tensile
strength increased by 119.2% and 91.6%, respectively, over those of neat PLLA
film. At 5 degrees C, the oxygen (O2 ) and carbon dioxide (CO2 ) permeability of
PLLA/SiOx film decreased by 78.7% and 71.7%, respectively, and the CO2 /O2
permselectivity increased by 32.5%, compared to that of the neat PLLA film. When
the PLLA/SiOx film was applied to the equilibrium-modified atmosphere packaging
of chilled meat, the gas composition in packaging reached a dynamic equilibrium
with 6% to 11% CO2 and 8% to 13% O2 . Combined with tea polyphenol pads, which
effectively inhibited the microbial growth, the desirable color of meat was
maintained and an extended shelf life of 52 d was achieved for the chilled meat.
PMID- 27886657
TI - Receipt of addiction treatment as a consequence of a brief intervention for drug
use in primary care: a randomized trial.
AB - BACKGROUND AND AIMS: Screening, brief intervention and 'referral to treatment'
programs have been promoted widely as US federal policy. Little is known about
the efficacy of the RT component (referral to treatment) of brief intervention
for motivating patients with unhealthy drug use identified by screening to use
addiction treatment. This study aimed to compare receipt of addiction treatment
following two types of brief intervention for drug use versus a no-intervention
control group among primary care patients screening positive for drug use.
DESIGN: Secondary analyses from a single-site randomized controlled trial.
SETTING: Massachusetts, USA. PARTICIPANTS: A total of 528 adults with Alcohol,
Smoking and Substance Involvement Screening Test (ASSIST) drug-specific scores >=
4. INTERVENTIONS: Random assignment to: (1) a 10-15-minute brief negotiated
interview (BNI) conducted by health educators (n = 174), (2) a 30-45-minute
adaptation of motivational interviewing by Masters-level counselors (MOTIV) (n =
177) or (3) no BI (n = 177). All received a list of treatment and mutual help
resources; both intervention protocols included dedicated staff for treatment
referrals. MEASUREMENTS: Receipt of any addiction treatment within 6 months after
study entry, assessed in a state-wide database and hospital electronic medical
record linked to trial data. FINDINGS: Among 528 participants, the main drugs
used were marijuana (63%), cocaine (19%) and opioids (17%); 46% met past-year
drug dependence criteria (short form Composite International Diagnostic
Interview); and 10% of MOTIV, 18% of BNI and 17% of control participants had any
addiction treatment receipt within 6 months after study entry. There was no
significant difference in addiction treatment receipt for BNI versus control
[adjusted odds ratio (AOR) = 1.11; 95% confidence interval (CI) = 0.57, 2.15,
Hochberg adjusted P = 0.76]. The MOTIV group had lower odds of linking to
treatment (AOR = 0.36, 95% CI = 0.17, 0.78, Hochberg adjusted P = 0.02) compared
with the no BI group. CONCLUSION: Brief intervention delivered in primary care
for screen-identified drug use did not increase addiction treatment receipt
significantly; a motivational interviewing approach appeared to be
counterproductive.
PMID- 27886656
TI - Neural mechanisms underlying visual attention to health warnings on branded and
plain cigarette packs.
AB - AIMS: To (1) test if activation in brain regions related to reward (nucleus
accumbens) and emotion (amygdala) differ when branded and plain packs of
cigarettes are viewed, (2) test whether these activation patterns differ by
smoking status and (3) examine whether activation patterns differ as a function
of visual attention to health warning labels on cigarette packs. DESIGN: Cross
sectional observational study combining functional magnetic resonance imaging
(fMRI) with eye-tracking. Non-smokers, weekly smokers and daily smokers performed
a memory task on branded and plain cigarette packs with pictorial health warnings
presented in an event-related design. SETTING: Clinical Research and Imaging
Centre, University of Bristol, UK. PARTICIPANTS: Non-smokers, weekly smokers and
daily smokers (n = 72) were tested. After exclusions, data from 19 non-smokers,
19 weekly smokers and 20 daily smokers were analysed. MEASUREMENTS: Brain
activity was assessed in whole brain analyses and in pre-specified masked
analyses in the amygdala and nucleus accumbens. On-line eye-tracking during
scanning recorded visual attention to health warnings. FINDINGS: There was no
evidence for a main effect of pack type or smoking status in either the nucleus
accumbens or amygdala, and this was unchanged when taking account of visual
attention to health warnings. However, there was evidence for an interaction,
such that we observed increased activation in the right amygdala when viewing
branded as compared with plain packs among weekly smokers (P = 0.003). When
taking into account visual attention to health warnings, we observed higher
levels of activation in the visual cortex in response to plain packaging compared
with branded packaging of cigarettes (P = 0.020). CONCLUSIONS: Based on
functional magnetic resonance imaging and eye-tracking data, health warnings
appear to be more salient on 'plain' cigarette packs than branded packs.
PMID- 27886658
TI - Identifying in-patient costs attributable to the clinical sequelae and
comorbidities of alcoholic liver disease in a national hospital database.
AB - BACKGROUND AND AIMS: The clinical sequelae and comorbidities of alcoholic liver
disease (ALD) often require hospitalization. The aims of this study were to (1)
compare the average costs of hospitalizations with ALD and the costs of
hospitalizations with other alcohol-related diagnoses that do not involve the
liver; and (2) estimate the percentage of the difference in costs between the ALD
and non-ALD hospitalizations that may be attributed to ascites, protein-calorie
malnutrition and other conditions. DESIGN: The 2012 National Inpatient Sample is
a population-based cross-sectional database representing more than 94% of all
discharges from community hospitals in the United States. SETTING: Community
hospitals in the United States. PARTICIPANTS: The sample included 72 531
hospitalizations with ALD and 287 047 hospitalizations with other alcohol-related
diagnoses. MEASUREMENTS: The dependent variable was total in-patient costs. We
estimated the contribution of ascites, protein-calorie malnutrition and other
conditions to the difference in costs between patients with ALD and patients with
other diagnoses. FINDINGS: Average costs for ALD patients were $3188.4 higher
than those for patients with other diagnoses ($13 543 versus $10 355; P < 0.001).
Among all conditions in the analysis, protein-calorie malnutrition had the
largest impact on costs [$6501; 95% confidence interval (CI) = 5956, 7045; P <
0.001] accounting for 12% of the higher costs of ALD stays. CONCLUSIONS: Costs of
hospital care for patients with alcoholic liver disease are higher than those for
patients with other alcohol-related diagnoses. These increased costs are
associated with specific clinical sequelae and comorbidities, with protein
calorie malnutrition-a largely preventable condition-making a substantial
contribution.
PMID- 27886659
TI - Physicochemical and Mechanical Properties of Bambara Groundnut Starch Films
Modified with Stearic Acid.
AB - The physicochemical and mechanical properties of biofilm prepared from bambara
starch modified with varying concentrations of stearic acid (0%, 2.5%, 3.5%, 5%,
7%, and 10%) were studied. By scanning electron microscopy, bambara starch films
modified with stearic acid (>=3.5%) showed a progressively rough surface compared
to those with 2.5% stearic acid and the control. Fourier transform infrared
spectroscopy spectra revealed a peak shift of approximately 31 cm-1 , suggesting
the promotion of hydrogen bond formation between hydroxyl groups of starch and
stearic acid. The addition of 2.5% stearic acid to bambara starch film reduced
water vapor permeability by approximately 17%. Bambara starch films modified with
higher concentration of stearic acid were more opaque and showed significantly
high melting temperatures. However, mechanical properties of starch films were
generally negatively affected by stearic acid. Bambara starch film may be
modified with 2.5% stearic acid for improved water vapor permeability and thermal
stability with minimal effect on tensile strength.
PMID- 27886661
TI - Bacterial diversity shift determined by different diets in the gut of the spotted
wing fly Drosophila suzukii is primarily reflected on acetic acid bacteria.
AB - The pivotal role of diet in shaping gut microbiota has been evaluated in
different animal models, including insects. Drosophila flies harbour an
inconstant microbiota among which acetic acid bacteria (AAB) are important
components. Here, we investigated the bacterial and AAB components of the
invasive pest Drosophila suzukii microbiota, by studying the same insect
population separately grown on fruit-based or non-fruit artificial diet. AAB were
highly prevalent in the gut under both diets (90 and 92% infection rates with
fruits and artificial diet respectively). Fluorescent in situ hybridization and
recolonization experiments with green fluorescent protein (Gfp)-labelled strains
showed AAB capability to massively colonize insect gut. High-throughput
sequencing on 16S rRNA gene indicated that the bacterial microbiota of guts fed
with the two diets clustered separately. By excluding AAB-related OTUs from the
analysis, insect bacterial communities did not cluster separately according to
the diet, suggesting that diet-based diversification of the community is
primarily reflected on the AAB component of the community. Diet influenced also
AAB alpha-diversity, with separate OTU distributions based on diets. High
prevalence, localization and massive recolonization, together with AAB clustering
behaviour in relation to diet, suggest an AAB role in the D. suzukii gut response
to diet modification.
PMID- 27886660
TI - Evaluation of the safety and adjuvant effect of a detoxified listeriolysin O
mutant on the humoral response to dengue virus antigens.
AB - Listeriolysin O (LLO) has been proposed as a potential carrier or adjuvant
molecule in the vaccination field. However, the cytotoxic and pro-apoptotic
effects of LLO are the major limitations for this purpose. Here, we have
performed a preclinical safety evaluation and characterized a new potential
adjuvant application for a non-cytolytic LLO mutant (dtLLO) to enhance and
modulate the immune response against the envelope (E) protein from dengue virus.
In addition, we have studied the adjuvant effects of dtLLO on human immune cells
and the role of membrane cholesterol for the binding and proinflammatory property
of the toxoid. Our in-vivo results in the murine model confirmed that dtLLO is a
safer molecule than wild-type LLO (wtLLO), with a significantly increased
survival rate for mice challenged with dtLLO compared with mice challenged with
wtLLO (P < 0.001). Histopathological analysis showed non-toxic effects in key
target organs such as brain, heart, liver, spleen, kidney and lung after
challenge with dtLLO. In vitro, dtLLO retained the capacity of binding to plasma
membrane cholesterol on the surface of murine and human immune cells.
Immunization of 6-8-week-old female BALB/c mice with a combination of dtLLO mixed
with E protein elicited a robust specific humoral response with isotype
diversification of immunoglobulin (Ig)G antibodies (IgG1 and IgG2a). Finally, we
demonstrated that cholesterol and lipid raft integrity are required to induce a
proinflammatory response by human cells. Taken together, these findings support a
potential use of the dtLLO mutant as a safe and effective adjuvant molecule in
vaccination.
PMID- 27886662
TI - Osteoblast response to the surface topography of hydroxyapatite two-dimensional
films.
AB - In the current study, three hydroxyapatite two-dimensional films with similar
wettability but different topological roughness have been prepared through a
facile one-step method using hydrated polylactic acid discs as substrates. The
results indicated that the protein adsorption capabilities of the hydroxyapatite
two-dimensional films and the proliferation of osteoblast cells on the as
prepared films increased obviously with the increase of the films' roughness.
These reveal that the cellular responses to two-dimensional materials can be
efficiently tuned by easily adjusting the topological roughness. This finding
affords an efficient strategy to regulate the cellular response to bioinorganic
two-dimensional materials and significantly expanded their application potentials
in tissue engineering. (c) 2017 Wiley Periodicals, Inc. J Biomed Mater Res Part
A: 105A: 991-999, 2017.
PMID- 27886663
TI - Organic impurity profiling of methylone and intermediate compounds synthesized
from catechol.
AB - This work examined the synthesis and organic impurity profile of methylone
prepared from catechol. The primary aim of this work was to determine whether the
synthetic pathway used to prepare 3,4-methylenedioxypropiophenone could be
ascertained through analysis of the synthesized methylone. The secondary aim was
the structural elucidation and origin determination of the organic impurities
detected in methylone and the intermediate compounds. The organic impurities
present in the reaction products were identified using GC-MS and NMR
spectroscopy. Six organic impurities were detected in 1,3-benzodioxole and
identified as the 1,3-benzodioxole dimer, 1,3-benzodioxole trimer, [1,3]
dioxolo[4,5-b]oxanthrene, 4,4'-, 4,5'-, and 5,5'-methylenebis-1,3-benzodioxole.
Six organic impurities were detected in 3,4-methylenedioxypropiophenone and
identified as (2-hydroxyphenyl) propanoate, [2-(chloromethoxy) phenyl]
propanoate, (2-propanoyloxyphenyl)propanoate, 5-[1-(1,3-benzodioxol-5-yl)prop-1
enyl]-1,3-benzodioxole, (5E)- and (5Z)-7-(1,3-benzodioxol-5-yl)-5-ethylidene-6
methyl-cyclopenta[f][1,3]benzodioxole). Exploratory synthetic experiments were
also conducted to unambiguously identify the organic impurities detected in 3,4
methylenedioxypropiophenone. Two organic impurities were detected in 5-bromo-3,4
methylenedioxypropiophenone and identified as [2-(chloromethoxy)phenyl]
propanoate and 3,4-methylenedioxypropiophenone. Five organic impurities were
detected in methylone and identified as 3,4-methylenedioxypropiophenone, 1-(1,3
benzodioxol-5-yl)-N-methyl-propan-1-imine, 1-(1,3-benzodioxol-5-yl)-2-methylimino
propan-1-one, 1-(1,3-benzodioxol-5-yl)-N1,N2-dimethyl-propane-1,2-diimine and
butylated hydroxytoluene. The origin of these organic impurities was also
ascertained, providing valuable insight into the chemical profiles of methylone
and the intermediate compounds. However, neither the catechol precursor nor the
1,3-benzodioxole intermediate could be identified based on the organic impurities
detected in the synthesized methylone using standard techniques. This
demonstrated that the organic impurity profiling of methylone had limitations in
the determination of precursor chemical and synthetic pathways used. Copyright
(c) 2017 John Wiley & Sons, Ltd.
PMID- 27886665
TI - ?
PMID- 27886664
TI - Degradation behaviors of geometric cues and mechanical properties in a 3D
scaffold for tendon repair.
AB - A three-dimensional (3D) scaffold fabricated via electrohydrodynamic jet printing
(E-jetting) and thermally uniaxial stretching, has been developed for tendon
tissue regeneration in our previous study. In this study, more in-depth
biological test showed that the aligned cell morphology guided by the anisotropic
geometries of the 3D tendon scaffolds, leading to up-regulated tendious gene
expression including collagen type I, decorin, tenascin-C, and biglycan, as
compared to the electrospun scaffolds. Given the importance of geometric cues to
the biological function of the scaffolds, the degradation behaviors of the 3D
scaffolds were investigated. Results from accelerated hydrolysis showed that the
E-jetted portion followed bulk-controlled erosion, while the unaixially stretched
portion followed surface-controlled erosion. The 3D tendon scaffold exhibited
consistency between the weight loss and the decline of mechanical properties,
which indicated by a 65% decrease in mass with a corresponding 56% loss in
ultimate tensile strength after degradation. This study not only reveals that the
anisotropic geometries of 3D tendon scaffold could affect cell morphology and
lead to desired gene expression toward tendon tissue but also gives an insight
into how the degradation impacts geometric cues and mechanical properties of the
as-fabricated scaffold. (c) 2017 Wiley Periodicals, Inc. J Biomed Mater Res Part
A: 105A: 1138-1149, 2017.
PMID- 27886666
TI - Nurse scientist or nursing scientist: Future considerations for the field.
PMID- 27886667
TI - Membrane Lipid Galore.
PMID- 27886668
TI - A new definition for oral health developed by the FDI World Dental Federation
opens the door to a universal definition of oral health.
PMID- 27886669
TI - Revising the American Dental Association Principles of Ethics and Code of
Professional Conduct: Adding "Respect for Human Dignity" as the sixth principle
of dental ethics to accommodate advances in genetic science.
PMID- 27886670
TI - Negotiating Payment Rates.
PMID- 27886671
TI - No clinically significant differences in crestal bone loss between immediate
implant placement and implants placed in healed bone: A systematic review of
articles published from 1966 through 2012.
PMID- 27886672
TI - How to get your staff members on board.
PMID- 27886673
TI - In silico, in vitro and case-control analyses as an effective combination for
analyzing BRCA1 and BRCA2 unclassified variants in a population-based sample.
AB - Ascertaining the clinical consequences of BRCA1 and BRCA2 variants of uncertain
significance (VUS) is currently indispensable for providing effective genetic
counseling and preventive actions for families with hereditary breast and ovarian
cancer (HBOC). To this end, we conducted a combination of in silico prediction
and cDNA splicing analyses of 13 BRCA1 and 10 BRCA2 VUS identified in our cohort
as well as a case-control analysis in a population-based sample of 10 recurrent
VUS. We observed consistent results between the in silico predictions and
sequencing analyses for all analyzed VUS. An abnormal cDNA pattern was observed
for variants c.212+1G>A and c.5278-1G>A in BRCA1 and c.516+2T>A and c.8168A>G in
BRCA2 according to in silico splicing prediction. A case-control study of VUS
confirmed the polymorphisms of the c.67+62A>G, c.7008-62A>G and c.8851G>A BRCA2
variants previously published. c.4068G>A in the BRCA2 gene can also be considered
a polymorphism due to its occurrence at a frequency greater than 1% in our
population. Our study shows that employing population-based analysis and a
combination of several in silico methods yields highly accurate information,
resulting in a reliable tool for selecting variants for cDNA sequencing analysis
in routine cancer genetic counseling units.
PMID- 27886674
TI - Pri-miR-34b/c rs4938723 polymorphism is associated with the risk of childhood
acute lymphoblastic leukemia.
AB - MicroRNAs (miRNAs), small noncoding regulatory RNAs, are key regulators of gene
expression. The impact of Pri-miR-34b/c rs4938723 variant on development of
various cancers is still controversial. In the present study, we examined whether
a rs4938723 variant located at the promoter region of Pri-miR-34b/c is associated
with childhood ALL. A total of 110 children with acute lymphoblastic leukemia
(ALL) and 120 healthy children were recruited to participate in this study. The
rs4938723 variant was genotyped by polymerase chain reaction restriction fragment
length polymorphism (PCR-RFLP) method. The rs4938723 variant decreased the risk
of ALL in heterozygous (TC vs OR = 0.48, 95% CI = 0.28-0.84, p = 0.012, TC vs TT)
and overdominant (OR = 0.51, 95% CI = 0.30-0.89, p = 0.0.020, TC vs TT + CC): OR
= 1.32, 95% CI = 0.67-2.59, p = 0.498; C vs T: OR = 0.99, 95% CI = 0.75-1.31, p =
0.986) inheritance models tested. The C allele significantly decreased the risk
of childhood ALL compared to T allele (OR = 0.52, 95% CI = 0.33-0.83, p = 0.006).
Our findings proposed an association between Pri-miR-34 b/c rs4938723 variant and
risk of childhood ALL development in a sample of Iranian population.
PMID- 27886675
TI - Pathogenic germline MCM9 variants are rare in Australian Lynch-like syndrome
patients.
AB - Lynch syndrome is a hereditary cancer syndrome caused by the autosomal dominant
inheritance of loss-of-function mutations in DNA mismatch repair (MMR) genes.
Approximately one quarter of clinically suspected cases have no identifiable
germline mutation in any MMR gene, a condition known as Lynch-like syndrome
(LLS). MCM9 was recently identified as the DNA helicase in the mammalian MMR
complex and loss of helicase activity results in microsatellite instability. We
hypothesized that pathogenic variants in MCM9 may account for LLS. The 5'UTR and
coding region of MCM9 were sequenced in germline DNA of 109 Australian patients
with LLS and variants were cross-referenced with three population-based databases
(dbSNP144, 1000 Genomes, ExAC). The functional effect of variants was assessed in
silico with PolyPhen-2, SIFT and CONDEL. Fifteen variants that included six
common SNPs and nine variants of unknown significance (VUS) were identified. We
conclude that VUS occur in MCM9 in a small proportion of LLS patients and MCM9
mutations are unlikely to explain most LLS cases.
PMID- 27886676
TI - Chromosomal rearrangements in myoepithelial carcinoma of the breast that
presented as metachronic double cancer with invasive ductal carcinoma in the
ipsilateral breast.
AB - Myoepithelial carcinoma of the breast is an extremely rare tumor composed
entirely of malignant spindle cells with myoepithelial differentiation. The
majority of previously reported cases have mainly described the
clinicopathological features of the disease, and few have presented cytogenetic
data. We herein present the case of a 48-year-old woman who was admitted with a
left-sided breast lump in the inner upper quadrant that was initially diagnosed
as a myoepithelioma with potentially malignant disorder. At 12 months after
resection, she complained about a newly developed solid mass in the subareolar
region of the ipsilateral breast that was diagnosed as an invasive ductal
carcinoma. In addition, 16 months after the initial admission, a re-growing
remnant lesion recurred in the inner upper quadrant and was ultimately diagnosed
as a myoepithelial carcinoma. Lymph node metastasis of the myoepithelial
carcinoma was also observed in her left axillary region 11 months after local
recurrence. A cytogenetic analysis showed recurring specific chromosomal
alterations both in the locally recurrent and in the lymph-node metastatic
lesion: 48, XX, t(5;18)(q13;q23),del(6)(q?),+14. + mar1. To our knowledge, this
is the first published report of clonal chromosomal rearrangements in
myoepithelial carcinoma of the breast that presented as metachronic double cancer
with invasive ductal carcinoma in the ipsilateral breast.
PMID- 27886678
TI - Role of Patellofemoral Offset in Total Knee Arthroplasty: A Randomized Trial.
AB - Total knee arthroplasty occasionally does not meet expectations. This randomized
clinical trial assessed the effect of restoration of the native patellofemoral
height on clinical outcomes. Group I underwent standard patellar bone resection;
group II underwent modified patellar bone resection that adjusted the amount of
anterior condylar bone removed and the anterior flange thickness. There were no
differences in anterior knee pain, Western Ontario and McMaster Universities
Arthritis Index scores, or Knee Injury and Osteoarthritis Outcome Score scores.
Patellofemoral compartment height restoration versus patellar height alone does
not appear to significantly reduce pain or improve function.
PMID- 27886677
TI - Differences in global DNA methylation of testicular seminoma are not associated
with changes in histone modifications, clinical prognosis, BRAF mutations or gene
expression.
AB - Testicular germ cell tumours of young adults are comprised of a heterogeneous
group of non-seminomas and a homogeneous group of seminomas. While the majority
of seminomas retain a hypo-methylated genome, a small fraction displays a highly
methylated genome, resembling hyper-methylated non-seminomas. It is well
established from e.g. melanoma, colorectal and thyroid cancer that a methylated
phenotype can be correlated to prognosis and can be related to BRAF mutations. In
the present study we investigated the global methylation level in 67 seminomas
and classified them as hypo-methylated, intermediate, patchy and hyper
methylated, respectively. A selected subset representing each level of DNA
methylation and the TCam2 seminoma cell line were subsequently analysed for a
range of other epigenetic marks (6 histone marks and 5-hydroxymethylcytosine),
the presence of the BRAF V600E de novo mutation, differences in the transcriptome
and finally correlated to the clinical outcome. We did not identify any histone
marks or hydroxymethylation levels that correlated with the methylation level of
the genome. Some histone marks, however, showed a great variation while others
were found at the same level in all the investigated seminomas. We did not
identify any tumours with the BRAF V600E mutation and transcriptome analysis
revealed no significant differences between hypo- and hyper-methylated seminomas.
Similarly, no obvious differences in the clinical manifestation of the patients
representing hypo- or hyper-methylated seminomas were identified. The level of
DNA methylation in testicular seminomas consequently seems secondary to the
manifestation of the tumour phenotype.
PMID- 27886679
TI - Impact of Inpatient Versus Outpatient Total Joint Arthroplasty on 30-Day Hospital
Readmission Rates and Unplanned Episodes of Care.
AB - This article describes a study comparing 30-day readmission rates between
patients undergoing outpatient versus inpatient total hip (THA) and knee (TKA)
arthroplasty. A retrospective review of 137 patients undergoing outpatient total
joint arthroplasty (TJA) and 106 patients undergoing inpatient (minimum 2-day
hospital stay) TJA was conducted. Unplanned hospital readmissions and unplanned
episodes of care were recorded. All patients completed a telephone survey. Seven
inpatients and 16 outpatients required hospital readmission or an unplanned
episode of care following hospital discharge. Readmission rates were higher for
TKA than THA. The authors found no statistical differences in 30-day readmission
or unplanned care episodes.
PMID- 27886680
TI - Timing of Operative Debridement in Open Fractures.
AB - The optimal treatment of open fractures continues to be an area of debate in the
orthopedic literature. Recent research has challenged the dictum that open
fractures should be debrided within 6 hours of injury. However, the expedient
administration of intravenous antibiotics remains of paramount importance in
infection prevention. Multiple factors, including fracture severity, thoroughness
of debridement, time to initial treatment, and antibiotic administration, among
other variables, contribute to the incidence of infection and complicate
identifying an optimal time to debridement.
PMID- 27886681
TI - Heterotopic Ossification in Trauma.
AB - Better understanding of the biology of heterotopic ossification (HO) formation
will lead to treatment and prevention modalities that can be directed
specifically at the cellular level. Early identification of HO precursor cells
and target genes may provide prognostic value that guides individualized
prophylactic treatment. Better understanding of molecular signaling and
proteomics variability will allow surgeons to individualize preemptive treatment
to suppress inflammation and formation of HO. Careful surgical technique to avoid
muscle damage is important. Damaged muscle should be debrided as a prophylactic
measure. Hemostasis and avoidance of a postoperative hematoma may decrease the
chance of formation of HO.
PMID- 27886682
TI - Clavicle Shaft Fractures in Adolescents.
AB - Midshaft clavicle fractures in adolescents are common. Recent literature in
adults fractures favors open reduction and plate fixation for significantly
displaced and/or shortened midshaft clavicle fractures, although whether this
applies to adolescents remains debatable. This article reviews the current
literature and controversy in the management of displaced adolescent clavicle
fractures.
PMID- 27886683
TI - Complications of Pediatric Foot and Ankle Fractures.
AB - Ankle fractures account for 5% and foot fractures account for approximately 8% of
fractures in children. Some complications are evident early in the treatment or
natural history of foot and ankle fractures. Other complications do not become
apparent until weeks, months, or years after the original fracture. The incidence
of long-term sequelae like posttraumatic arthritis from childhood foot and ankle
fractures is poorly studied because decades or lifelong follow-up has frequently
not been accomplished. This article discusses a variety of complications
associated with foot and ankle fractures in children or the treatment of these
injuries.
PMID- 27886684
TI - Controversies in Fractures of the Proximal Ulna.
AB - The olecranon process, coronoid process, and greater sigmoid notch are important
components of the complex proximal ulna. Along with providing bony stability to
the ulnohumeral joint, the proximal ulna serves as the attachment site of many
important muscles and ligaments that impart soft tissue stability to the elbow
joint. Management of proximal ulnar fractures continues to evolve as advances in
imaging and anatomic and biomechanical studies have led to improvements in
available implants; however, controversies remain, as shown in the current
relevant literature.
PMID- 27886685
TI - Posterior Malleolus Fractures.
AB - Posterior malleolus fractures vary in morphology. A computed tomography scan is
imperative to evaluate fragment size, comminution, articular impaction, and
syndesmotic disruption. Despite an increasing body of literature regarding
posterior malleolus fractures, many questions remain unanswered. Although,
historically, fragment size guided surgical fixation, it is becoming evident that
fragment size should not solely dictate treatment. Surgical treatment should
focus on restoring ankle joint structural integrity, which includes restoring
articular congruity, correcting posterior talar translation, addressing articular
impaction, removing osteochondral debris, and establishing syndesmotic stability.
PMID- 27886686
TI - Preoperative Versus Postoperative Initiation of Warfarin Therapy in Patients
Undergoing Total Hip and Knee Arthroplasty.
AB - The optimal strategy for postoperative deep venous thrombosis prophylaxis remains
controversial in hip and knee arthroplasty. Warfarin causes transient
hypercoagulability; however, the optimal timing of treatment remains unclear. We
evaluated the effects of preoperative versus postoperative warfarin therapy with
a primary endpoint of perioperative change in hemoglobin. Warfarin was dosed
according to a standard nomogram. No difference in perioperative hemoglobin
change was observed. The preoperative group demonstrated higher INRs. Initiation
of warfarin preoperatively was not associated with any difference in
perioperative hemoglobin change. Larger studies are needed to determine whether
the risk of adverse events is increased with either strategy.
PMID- 27886687
TI - Current Controversies in Management of Calcaneus Fractures.
AB - Displaced intraarticular fractures of the calcaneus represent a technically
challenging injury. Although there is conflicting evidence regarding advantages
and disadvantages of operative versus nonoperative treatment, a growing body of
literature suggests operative management with near-anatomic reduction of the
posterior facet and restoration of overall calcaneal morphology offers greater
potential for superior short- and long-term outcomes. A thorough understanding of
calcaneal anatomy, fracture pattern, and associated injuries, along with careful
selection of surgical approach and timing to surgery are critical to minimize the
risk of complication and maximize potential for optimal outcomes.
PMID- 27886688
TI - Controversies in Fracture Care.
PMID- 27886689
TI - Invited Commentary: Misreading Between the Lines.
PMID- 27886691
TI - Pharmacotherapy Pearls for the Geriatrician: Focus on Oral Disease-Modifying
Antirheumatic Drugs Including Newer Agents.
AB - Providing safe and effective pharmacotherapy to the geriatric patients with
rheumatological disorders is an ongoing struggle for the rheumatologist and
geriatrician alike. Cohesive communication and partnership can improve the care
of these patients and subvert adverse outcomes. Disease-modifying antirheumatic
drugs, including methotrexate, hydroxychloroquine, sulfasalazine, and
leflunomide, and the newest oral agent for treatment of rheumatoid arthritis,
tofacitinib, have distinctive monitoring and adverse effect profiles. This
article provides the general practitioner or geriatrician with clinically
relevant pearls regarding the use of these interventions in older patients.
PMID- 27886692
TI - Cardiovascular Disease Risk in Patients with Rheumatic Diseases.
AB - Evidence suggests the greater than 1.5 increased risk of cardiovascular disease
(CVD) in rheumatoid arthritis (RA) is related to an accelerated burden of
subclinical atherosclerosis that develops before the diagnosis of RA.
Dyslipidemia in RA is better quantified by lipoproteins and apolipoproteins than
cholesterol levels. Current risk factors likely underestimate CVD risk partly by
underestimating prior risk factor levels. To reduce CVD risk in RA, control
disease activity and aggressively treat CVD risk factors. Some of the two-fold
higher risk of heart failure and total mortality in RA may be due to myocardial
disease caused by inflammation.
PMID- 27886694
TI - Update on Crystal-Induced Arthritides.
AB - The prevalence of gout increases with age. Once serum concentration of urate
exceeds the saturation/solubility point, it deposits in and around the joints.
Clinical presentation in the elderly often has "atypical" features and is
challenging to diagnose. Treatment depends on the stage of the disease and the
patient's health status and comorbidities. Elderly patients often have several
confounding issues; thus, treatment decisions can be complicated and therapeutic
options limited. To prevent the recurrence of gout attacks, serum concentration
of urate should be maintained well below the saturation threshold of 6.8 mg/dL,
leading to dissolution of urate deposits and prevent recurrence.
PMID- 27886693
TI - Gaps in Aging Research as it Applies to Rheumatologic Clinical Care.
AB - The incidence and prevalence of rheumatologic conditions are increasing and the
rheumatology workforce must be aware of aging-specific issues. This article
reviews specific barriers to understanding the biology of aging and aging-related
mechanisms that may underlie development of rheumatologic diseases in older
adults. It summarizes gaps in the assessment, outcomes measurement, and treatment
of these diseases in this unique population. It also highlights potential
solutions to these barriers and suggests possible ways to bridge the gap, from a
research and education standpoint, so that clinicians can be better prepared to
effectively manage older adults with rheumatologic conditions.
PMID- 27886696
TI - A Review of Osteoporosis in the Older Adult.
AB - Osteoporosis in the elderly population is common. It results in more than 1.5
million fractures per year in the United States. The goal of managing
osteoporosis is to prevent fractures. In men, osteoporosis is underrecognized and
undertreated. More men than women die every year as a consequence of hip
fractures. A review of diagnosis and treatment of osteoporosis is described in
this article. Bisphosphonates are the first-line treatment for men and women. In
the past several years, advances in bone biology have resulted in major
therapeutic advances.
PMID- 27886695
TI - Pathogenesis and Management of Sarcopenia.
AB - Sarcopenia represents a loss of muscle strength and mass in older individuals.
Sarcopenia in the elderly has now become a major focus of research and public
policy debate due to its impact on morbidity, mortality, and health care
expenditure. Despite its clinical importance, sarcopenia remains under-recognized
and poorly managed in routine clinical practice. This is, in part, due to a lack
of available diagnostic testing and uniform diagnostic criteria. The management
of sarcopenia is primarily focused on physical therapy for muscle strengthening
and gait training. There are no pharmacologic agents for the treatment of
sarcopenia.
PMID- 27886697
TI - Nonsurgical Management of Osteoarthritis Knee Pain in the Older Adult.
AB - Symptomatic knee osteoarthritis is a common complaint of many elderly patients in
primary care offices. For those unable or unwilling to undergo knee replacement,
the primary practitioners' understanding of the strengths and weaknesses of the
available treatment modalities for pain relief is critical to successful in
office counseling and expectation management. Treatment requires a multimodal
approach of nonpharmacologic and pharmacologic therapies to achieve a maximal
clinical benefit. The focus of this review is on the nonsurgical options for
treatment of knee osteoarthritis in patients aged 65 and older.
PMID- 27886698
TI - Regional Rheumatic Disorders and Rehabilitation in Older Adults.
AB - Musculoskeletal problems are the most frequently reported complaints among older
adults living in the community. The impact of the aging process on skeletal
muscles and joints can have a profound effect on the ability of individuals with
and without disabilities to function. This article reviews the rehabilitation
medicine approach to the evaluation of older adults with regional rheumatic
disorders, and the rehabilitation medicine considerations for clinical
interventions. Future research considerations are encouraged in order to gain a
greater understanding of the subject matter and its impact on the provision of
care and patients' quality of life.
PMID- 27886699
TI - Rheumatologic Manifestations of Malignancy.
AB - A variety of conditions mimicking rheumatologic syndromes may be associated with
an underlying malignancy. Therefore, distinguishing these syndromes from more
common, nonparaneoplastic rheumatologic conditions can be perplexing. Some
autoimmune conditions and the medications used for their management can be
associated with increased future risk of malignancy. Some cancers can directly
involve the musculoskeletal structures, whereas others present with systemic
manifestations at sites away from the tumor and its metastases. Better awareness
and timely recognition of these associations may lead to earlier cancer detection
and hopefully better long-term survival.
PMID- 27886701
TI - Rheumatic Diseases in Older Adults.
PMID- 27886703
TI - Science-The Cornerstone of Nutrition Policy, Promotion, and Practice.
PMID- 27886702
TI - The Academy's Millennial Generation.
PMID- 27886700
TI - Sjogren Syndrome and Other Causes of Sicca in Older Adults.
AB - Dry eye and dry mouth symptoms are each reported by up to 30% of persons more
than 65 years of age, particularly in women. Medication side effects are the most
common contributing factors. The evaluation of these symptoms requires measures
of ocular and oral dryness. Sjogren syndrome is the prototypic disease associated
with dryness of the eyes and mouth and predominantly affects women in their
perimenopausal and postmenopausal years. In addition to topical treatment of the
mucosal dryness, patients with Sjogren syndrome may require treatment with
systemic immunomodulatory and immunosuppressive agents to manage a variety of
extraglandular manifestations.
PMID- 27886704
TI - Position of the Academy of Nutrition and Dietetics: Vegetarian Diets.
AB - It is the position of the Academy of Nutrition and Dietetics that appropriately
planned vegetarian, including vegan, diets are healthful, nutritionally adequate,
and may provide health benefits for the prevention and treatment of certain
diseases. These diets are appropriate for all stages of the life cycle, including
pregnancy, lactation, infancy, childhood, adolescence, older adulthood, and for
athletes. Plant-based diets are more environmentally sustainable than diets rich
in animal products because they use fewer natural resources and are associated
with much less environmental damage. Vegetarians and vegans are at reduced risk
of certain health conditions, including ischemic heart disease, type 2 diabetes,
hypertension, certain types of cancer, and obesity. Low intake of saturated fat
and high intakes of vegetables, fruits, whole grains, legumes, soy products,
nuts, and seeds (all rich in fiber and phytochemicals) are characteristics of
vegetarian and vegan diets that produce lower total and low-density lipoprotein
cholesterol levels and better serum glucose control. These factors contribute to
reduction of chronic disease. Vegans need reliable sources of vitamin B-12, such
as fortified foods or supplements.
PMID- 27886705
TI - The Commission on Dietetic Registration: Ahead of the Trends for a Competent 21st
Century Workforce.
PMID- 27886706
TI - Erratum.
PMID- 27886707
TI - What Strategies do Registered Dietitian Nutritionists Use to Assess a
Patient's/Client's Weight Loss Readiness?
PMID- 27886708
TI - Individual tooth segmentation from CT images scanned with contacts of maxillary
and mandible teeth.
AB - BACKGROUND AND OBJECTIVE: Tooth segmentation from computed tomography (CT) images
is a fundamental step in generating the three-dimensional models of tooth for
computer-aided orthodontic treatment. Individual tooth segmentation from CT
images scanned with contacts of maxillary and mandible teeth is especially
challenging, and no method has been reported previously. This study aimed to
develop a method for individual tooth segmentation from these images. METHODS:
Tooth contours of maxilla and mandible are first segmented from the volumetric CT
images slice-by-slice. For each slice, a line is extracted using the Radon
transform to separate neighboring teeth, and each tooth contour is then segmented
by a level set model from the corresponding side of the line. Then, each
maxillary tooth whose contours overlap with that of mandible ones is detected,
and a mesh model is reconstructed from all the contours of these maxillary and
mandible teeth with contour overlap. The reconstructed mesh model is segmented
using threshold and fast marching watershed method to separate the touched
maxillary and mandible teeth. Finally, the separated tooth models are restored to
fill the holes to obtain complete tooth models. The proposed method was tested on
CT images of ten subjects scanned with natural contacts of maxillary and mandible
teeth. RESULTS: For all the tested images, individual tooth regions are extracted
successfully, and the segmentation accuracy and efficiency of the proposed method
is promising. CONCLUSIONS: The proposed method is effective to segment individual
tooth from CT images scanned with contacts of maxillary and mandible teeth.
PMID- 27886709
TI - A computer tool for a minimax criterion in binary response and heteroscedastic
simple linear regression models.
AB - BACKGROUND AND OBJECTIVE: Binary response models are used in many real
applications. For these models the Fisher information matrix (FIM) is
proportional to the FIM of a weighted simple linear regression model. The same is
also true when the weight function has a finite integral. Thus, optimal designs
for one binary model are also optimal for the corresponding weighted linear
regression model. The main objective of this paper is to provide a tool for the
construction of MV-optimal designs, minimizing the maximum of the variances of
the estimates, for a general design space. METHODS: MV-optimality is a
potentially difficult criterion because of its nondifferentiability at equal
variance designs. A methodology for obtaining MV-optimal designs where the design
space is a compact interval [a, b] will be given for several standard weight
functions. RESULTS: The methodology will allow us to build a user-friendly
computer tool based on Mathematica to compute MV-optimal designs. Some
illustrative examples will show a representation of MV-optimal designs in the
Euclidean plane, taking a and b as the axes. The applet will be explained using
two relevant models. In the first one the case of a weighted linear regression
model is considered, where the weight function is directly chosen from a typical
family. In the second example a binary response model is assumed, where the
probability of the outcome is given by a typical probability distribution.
CONCLUSIONS: Practitioners can use the provided applet to identify the solution
and to know the exact support points and design weights.
PMID- 27886710
TI - Automated diagnosis of coronary artery disease (CAD) patients using optimized
SVM.
AB - BACKGROUND AND OBJECTIVE: Currently Coronary Artery Disease (CAD) is one of the
most prevalent diseases, and also can lead to death, disability and economic loss
in patients who suffer from cardiovascular disease. Diagnostic procedures of this
disease by medical teams are typically invasive, although they do not satisfy the
required accuracy. METHODS: In this study, we have proposed a methodology for the
automatic diagnosis of normal and Coronary Artery Disease conditions using Heart
Rate Variability (HRV) signal extracted from electrocardiogram (ECG). The
features are extracted from HRV signal in time, frequency and nonlinear domains.
The Principal Component Analysis (PCA) is applied to reduce the dimension of the
extracted features in order to reduce computational complexity and to reveal the
hidden information underlaid in the data. Finally, Support Vector Machine (SVM)
classifier has been utilized to classify two classes of data using the extracted
distinguishing features. In this paper, parameters of the SVM have been optimized
in order to improve the accuracy. RESULTS: Provided reports in this paper
indicate that the detection of CAD class from normal class using the proposed
algorithm was performed with accuracy of 99.2%, sensitivity of 98.43%, and
specificity of 100%. CONCLUSIONS: This study has shown that methods which are
based on the feature extraction of the biomedical signals are an appropriate
approach to predict the health situation of the patients.
PMID- 27886711
TI - EEG epochs with less alpha rhythm improve discrimination of mild Alzheimer's.
AB - BACKGROUND AND OBJECTIVE: Eyes-closed-awake electroencephalogram (EEG) is a
useful tool in the diagnosis of Alzheimer's. However, there is eyes-closed-awake
EEG with dominant or rare alpha rhythm. In this paper, we show that random
selection of EEG epochs disregarding the alpha rhythm will lead to bias
concerning EEG-based Alzheimer's Disease diagnosis. METHODS: We compared EEG
epochs with more than 30% and with less than 30% alpha rhythm of mild Alzheimer's
Disease patients and healthy elderly. We classified epochs as dominant alpha
scenario and rare alpha scenario according to alpha rhythm (8-13 Hz) percentage
in O1, O2 and Oz channels. Accordingly, we divided the probands into four groups:
17 dominant alpha scenario controls, 15 mild Alzheimer's patients with dominant
alpha scenario epochs, 12 rare alpha scenario healthy elderly and 15 mild
Alzheimer's Disease patients with rare alpha scenario epochs. We looked for group
differences using one-way ANOVA tests followed by post-hoc multiple comparisons
(p < 0.05) over normalized energy values (%) on the other four well-known
frequency bands (delta, theta, beta and gamma) using two different electrode
configurations (parieto-occipital and central). RESULTS: After carrying out post
hoc multiple comparisons, for both electrode configurations we found significant
differences between mild Alzheimer's patients and healthy elderly on beta- and
theta-energy (%) only for the rare alpha scenario. No differences were found for
the dominant alpha scenario in any of the five frequency bands. CONCLUSIONS: This
is the first study of Alzheimer's awake-EEG reporting the influence of alpha
rhythm on epoch selection, where our results revealed that, contrarily to what
was most likely expected, less synchronized EEG epochs (rare alpha scenario)
better discriminated mild Alzheimer's than those presenting abundant alpha
(dominant alpha scenario). In addition, we find out that epoch selection is a
very sensitive issue in qEEG research. Consequently, for Alzheimer's studies
dealing with resting state EEG, we propose that epoch selection strategies should
always be cautiously designed and thoroughly explained.
PMID- 27886712
TI - A SAS macro for the joint modeling of longitudinal outcomes and multiple
competing risk dropouts.
AB - BACKGROUND AND OBJECTIVES: The joint modeling of longitudinal and survival data
to assess effects of multiple informative dropout mechanisms on longitudinal
outcomes inference has received considerable attention during recent years;
related statistical programs to apply these methods have been lacking. This paper
provides a SAS macro implementation of a shared parameter model to accommodate
the analysis of longitudinal outcomes in the presence of multiple competing
survival/dropout events. METHODS: In this macro, we assumed that the associations
between the survival and the longitudinal submodels are linked through a set of
shared random effects. The submodel for the longitudinal outcome takes the form
of a linear mixed effects model, with specifications for the random intercept
and/or random slope. The survival submodel allows up to three different competing
causes for dropout, each allowing either an exponential or Weibull parametric
baseline hazard function. In addition, information criterion fit statistics AIC
and BIC are provided to assist with parametric baseline hazard function
selection. RESULTS: We illustrate the SAS Macro in a cognitive decline study
sensitivity analysis using data from the Atherosclerosis Risk in Communities
Neurocognitive Study (ARIC-NCS). In addition, we also conduct a simulation study
to show that the joint model provides unbiased parameter estimates when
informative dropout exists compared against separate model approach which assumes
missing at random dropout mechanisms. CONCLUSIONS: We have presented a SAS macro
to implement a shared parameter model for a longitudinal outcome and multiple
cause-specific dropouts and made the macro code freely available for download.
PMID- 27886714
TI - Classification of CT brain images based on deep learning networks.
AB - While computerised tomography (CT) may have been the first imaging tool to study
human brain, it has not yet been implemented into clinical decision making
process for diagnosis of Alzheimer's disease (AD). On the other hand, with the
nature of being prevalent, inexpensive and non-invasive, CT does present
diagnostic features of AD to a great extent. This study explores the significance
and impact on the application of the burgeoning deep learning techniques to the
task of classification of CT brain images, in particular utilising convolutional
neural network (CNN), aiming at providing supplementary information for the early
diagnosis of Alzheimer's disease. Towards this end, three categories of CT images
(N = 285) are clustered into three groups, which are AD, lesion (e.g. tumour) and
normal ageing. In addition, considering the characteristics of this collection
with larger thickness along the direction of depth (z) (~3-5 mm), an advanced CNN
architecture is established integrating both 2D and 3D CNN networks. The fusion
of the two CNN networks is subsequently coordinated based on the average of
Softmax scores obtained from both networks consolidating 2D images along spatial
axial directions and 3D segmented blocks respectively. As a result, the
classification accuracy rates rendered by this elaborated CNN architecture are
85.2%, 80% and 95.3% for classes of AD, lesion and normal respectively with an
average of 87.6%. Additionally, this improved CNN network appears to outperform
the others when in comparison with 2D version only of CNN network as well as a
number of state of the art hand-crafted approaches. As a result, these approaches
deliver accuracy rates in percentage of 86.3, 85.6 +/- 1.10, 86.3 +/- 1.04, 85.2
+/- 1.60, 83.1 +/- 0.35 for 2D CNN, 2D SIFT, 2D KAZE, 3D SIFT and 3D KAZE
respectively. The two major contributions of the paper constitute a new 3-D
approach while applying deep learning technique to extract signature information
rooted in both 2D slices and 3D blocks of CT images and an elaborated hand-crated
approach of 3D KAZE.
PMID- 27886713
TI - Automated classification of Pap smear images to detect cervical dysplasia.
AB - BACKGROUND AND OBJECTIVES: The present study proposes an intelligent system for
automatic categorization of Pap smear images to detect cervical dysplasia, which
has been an open problem ongoing for last five decades. METHODS: The
classification technique is based on shape, texture and color features. It
classifies the cervical dysplasia into two-level (normal and abnormal) and three
level (Negative for Intraepithelial Lesion or Malignancy, Low-grade Squamous
Intraepithelial Lesion and High-grade Squamous Intraepithelial Lesion) classes
reflecting the established Bethesda system of classification used for diagnosis
of cancerous or precancerous lesion of cervix. The system is evaluated on two
generated databases obtained from two diagnostic centers, one containing 1610
single cervical cells and the other 1320 complete smear level images. The main
objective of this database generation is to categorize the images according to
the Bethesda system of classification both of which require lots of training and
expertise. The system is also trained and tested on the benchmark Herlev
University database which is publicly available. In this contribution a new
segmentation technique has also been proposed for extracting shape features.
Ripplet Type I transform, Histogram first order statistics and Gray Level Co
occurrence Matrix have been used for color and texture features respectively. To
improve classification results, ensemble method is used, which integrates the
decision of three classifiers. Assessments are performed using 5 fold cross
validation. RESULTS: Extended experiments reveal that the proposed system can
successfully classify Pap smear images performing significantly better when
compared with other existing methods. CONCLUSION: This type of automated cancer
classifier will be of particular help in early detection of cancer.
PMID- 27886715
TI - A software program to measure the three-dimensional length of the spine from
radiographic images: Validation and reliability assessment for adolescent
idiopathic scoliosis.
AB - BACKGROUND AND OBJECTIVE: The aim of this study was to validate a new program
which aims at measuring the three-dimensional length of the spine's midline based
on two calibrated orthogonal radiographic images. The traditional uniplanar T1-S1
measurement method is not reflecting the actual three dimensional curvature of a
scoliotic spine and is therefore not accurate. The Spinal Measurement Software
(SMS) is an alternative to conveniently measure the true spine's length. METHODS:
The validity, inter- and intra-observer variability and usability of the program
were evaluated. The usability was quantified based on a subjective questionnaire
filled by eight participants using the program for the first time. The validity
and variability were assessed by comparing the length of five phantom spines
measured based on CT-scan data and on radiographic images with the SMS. The
lengths were measured independently by each participant using both techniques.
RESULTS: The SMS is easy and intuitive to use, even for non-clinicians. The SMS
measured spinal length with an error below 2 millimeters compared to length
obtained using CT scan datasets. The inter- and intra-observer variability of the
SMS measurements was below 5 millimeters. CONCLUSIONS: The SMS provides accurate
measurement of the spinal length based on orthogonal radiographic images. The
software is easy to use and could easily integrate the clinical workflow and
replace current approximations of the spinal length based on a single
radiographic image such as the traditional T1-S1 measurement.
PMID- 27886716
TI - Simulating the dynamics of lipid droplets in adipocyte differentiation.
AB - BACKGROUND: Lipid droplets are cellular organelles that regulate the storage and
hydrolysis of neutral lipids. The dynamic of lipid droplets (LDs), during the
differentiation process from fibroblast-like cells into adipocyte, is strictly
related to the lipid storage in cells. The number and size of the LDs depends on
the lipidic or lipolytic stimulations to which the cells are exposed. METHOD:
Here, we propose a computational approach to study the processes regulating the
LDs' number and growth/reduction in size using Monte Carlo simulations. The
number and size of LDs are measured before and after experimental treatment in
3T3-L1 cell cultures. The algorithms simulating the evolution from basal to
differentiate (lipidic or lipolytic) conditions are here detailed step by step.
The algorithms can mimic thousand interacting events between LDs or
squeezing/enlargement events of a single LD in a very brief computational time,
from seconds up to few minutes. RESULTS: The main processes regulating the
interactions between LDs are here presented, and for each of them, all the needed
information to re-write the computational routine are provided. More
specifically, the results obtained, analyzing the fusion process between LDs, are
here presented. CONCLUSIONS: Here, we would like to supply the basis to explore
the dynamics of lipid storage in cells with a computational approach and to
encourage the applications of numerical simulation to cell studies.
PMID- 27886717
TI - RUbioSeq+: A multiplatform application that executes parallelized pipelines to
analyse next-generation sequencing data.
AB - BACKGROUND AND OBJECTIVE: To facilitate routine analysis and to improve the
reproducibility of the results, next-generation sequencing (NGS) analysis
requires intuitive, efficient and integrated data processing pipelines. METHODS:
We have selected well-established software to construct a suite of automated and
parallelized workflows to analyse NGS data for DNA-seq (single-nucleotide
variants (SNVs) and indels), CNA-seq, bisulfite-seq and ChIP-seq experiments.
RESULTS: Here, we present RUbioSeq+, an updated and extended version of RUbioSeq,
a multiplatform application that incorporates a suite of automated and
parallelized workflows to analyse NGS data. This new version includes: (i) an
interactive graphical user interface (GUI) that facilitates its use by both
biomedical researchers and bioinformaticians, (ii) a new pipeline for ChIP-seq
experiments, (iii) pair-wise comparisons (case-control analyses) for DNA-seq
experiments, (iv) and improvements in the parallelized and multithreaded
execution options. Results generated by our software have been experimentally
validated and accepted for publication. CONCLUSIONS: RUbioSeq+ is free and open
to all users at http://rubioseq.bioinfo.cnio.es/.
PMID- 27886718
TI - Detecting retinal microaneurysms and hemorrhages with robustness to the presence
of blood vessels.
AB - BACKGROUND AND OBJECTIVES: Diabetic Retinopathy is the leading cause of blindness
in developed countries in the age group 20-74 years. It is characterized by
lesions on the retina and this paper focuses on detecting two of these lesions,
Microaneurysms and Hemorrhages, which are also known as red lesions. This paper
attempts to deal with two problems in detecting red lesions from retinal fundus
images: (1) false detections on blood vessels; and (2) different size of red
lesions. METHODS: To deal with false detections on blood vessels, novel filters
have been proposed which can distinguish between red lesions and blood vessels.
This distinction is based on the fact that vessels are elongated while red
lesions are usually circular blob-like structures. The second problem of the
different size of lesions is dealt with by applying the proposed filters on
patches of different sizes instead of filtering the full image. These patches are
obtained by dividing the original image using a grid whose size determines the
patch size. Different grid sizes were used and lesion detection results for these
grid sizes were combined using Multiple Kernel Learning. RESULTS: Experiments on
a dataset of 143 images showed that proposed filters detected Microaneurysms and
Hemorrhages successfully even when these lesions were close to blood vessels. In
addition, using Multiple Kernel Learning improved the results when compared to
using a grid of one size only. The areas under receiver operating characteristic
curve were found to be 0.97 and 0.92 for Microaneurysms and Hemorrhages
respectively which are better than the existing related works. CONCLUSIONS:
Proposed filters are robust to the presence of blood vessels and surpass related
works in detecting red lesions from retinal fundus images. Improved lesion
detection using the proposed approach can help in automatic detection of Diabetic
Retinopathy.
PMID- 27886719
TI - A dual stage adaptive thresholding (DuSAT) for automatic mass detection in
mammograms.
AB - BACKGROUND AND OBJECTIVE: Early detection and diagnosis of breast cancer through
mammography screening reduces breast cancer mortality by around 20%. However it
is often a complex process to differentiate abnormalities due to the ill-defined
margins and subtle appearances. METHOD: This paper investigates a new computer
aided approach to detect the abnormalities in the digital mammograms using a Dual
Stage Adaptive Thresholding (DuSAT). The suspicious mass region is identified
using global histogram and local window thresholding method. The global
thresholding is done based on the Histogram Peak Analysis (HPA) of the entire
image and the threshold is obtained by maximizing the proposed threshold
selection criteria. The local thresholding is carried out for each pixel in a
defined neighborhood window that provides precise segmentation results. RESULTS:
The algorithm is verified with 300 images in the DDSM database and 170 images in
the mini-MIAS database. Experimental results show that the proposed algorithm
achieves an average sensitivity of 92.5% with 1.06 FP/image for DDSM database and
an average sensitivity of 93.5% with 0.62 FP/image for mini-MIAS database.
CONCLUSION: The achieved results depict that the proposed approach provides
better results compared to other state-of-art methods for mass detection that
helps the radiologists in diagnosis of breast cancer at early stage.
PMID- 27886720
TI - Editorial.
PMID- 27886721
TI - Appointment of racial/ethnic minority directors: Ethnic matching or visibility
threat?
AB - The purpose of this study is to identify the industry and community-level
compositional factors that influence the appointment of racial/ethnic minorities
to corporate boards. We derived hypotheses from two theoretical perspectives:
ethnic matching and visibility threat. Our analysis relied on data from seventy
three Standard & Poor's 500 companies between 1980 and 2000. We find important
differences between and among racial/ethnic groups in terms of the mechanisms
that shape board appointments. Consistent with the ethnic matching perspective,
we find that the representation of Black and Hispanic board directors is strongly
predicted by the racial/ethnic composition of the industry's labor force.
Contrary to the visibility threat perspective, however, we find that the
appointment of Asian directors is strongly predicted by the ethnic composition of
the community where the firm is located.
PMID- 27886722
TI - Gender, race & the veteran wage gap.
AB - This paper analyzes earnings outcomes of Iraq/Afghanistan-era veterans. We
utilize the 2009-2013 American Community Survey and a worker-matching methodology
to decompose wage differences between veteran and non-veteran workers. Among
fully-employed, 25-40 year-olds, veteran workers make 3% less than non-veteran
workers. While male veterans make 9% less than non-veterans, female and black
veterans experience a wage premium (2% and 7% respectively). Decomposition of the
earnings gap identifies some of its sources. Relatively higher rates of
disability and lower rates of educational attainment serve to increase the
overall wage penalty against veterans. However, veterans work less in low-paying
occupations than non-veterans, serving to reduce the wage penalty. Finally, among
male and white subgroups, non-veterans earn more in the top quintile due largely
to having higher educational attainment and greater representation in higher
paying occupations, such as management.
PMID- 27886723
TI - Accounting for structural and exchange mobility in models of status attainment:
Social fluidity in five European countries.
AB - This paper proposes a new method to distinguish structural from exchange mobility
in status attainment models with interval endogenous variables. In order to
measure structural mobility, the paper proposes to trace occupational and
educational changes across generations using information provided by children
about their fathers. The validity of the method is assessed by comparing the
effects of father's socio-economic status and education on son's status and
educational attainments, net of occupational upgrading and educational expansion,
in five European countries: Britain, Denmark, Germany, Norway, and Spain, using
data from the 2005 EU-SILC survey. The results show that the effect of father's
on son's ISEI weakens greatly in all countries after considering occupational
upgrading, and that much of father's influence over sons occurs by directing them
towards occupations with good economic prospects. Useful extensions to the method
are discussed in the conclusions.
PMID- 27886724
TI - Sexual orientation differences in attitudes about sexuality, race, and gender.
AB - Researchers have extensively documented sociodemographic predictors of race and
gender attitudes, and the mechanisms through which such attitudes are formed and
change. Despite its growing recognition as an important status characteristic,
sexual orientation has received little attention as a predictor of Americans'
race and gender attitudes. Using nationally representative data from the American
National Election Survey 2012 Time Series Study, I compare heterosexuals' and
lesbian, gay, and bisexual (LGB) people's attitudes about sexuality, race, and
gender. For most attitudes, LGB people hold significantly more liberal attitudes
about sexuality, race, and gender than do heterosexuals, even upon controlling
for other powerful sociodemographic determinants of social attitudes. However, a
substantial proportion of these sexual orientation gaps in attitudes - especially
about race and gender - are explained by LGB people's relatively liberal
political ideology. The findings provide evidence for the necessity of
incorporating sexual orientation in future assessments of Americans' social and
political attitudes.
PMID- 27886725
TI - When love meets hate: The relationship between state policies on gay and lesbian
rights and hate crime incidence.
AB - Do public policies on gay and lesbian rights affect the incidence of hate crimes
based on sexual orientation? We propose that legal inequalities increase hate
crimes because they provide discursive opportunities for bias, discrimination,
and violence. Legal equality, however, will reduce violence. Using annual panel
data from 2000 to 2012, a period of substantial policy change, we analyze how
three state policies affect reported hate crimes: same-sex partnerships,
employment non-discrimination, and hate crime laws. Hate crime and employment non
discrimination laws that include sexual orientation reduce hate crime incidence.
Partnership recognition increases reported hate crimes, though it may not
increase actual crime incidence. Because incidence is spatially correlated,
policy changes in one state yield spillover benefits in other states. These
results provide some of the first quantitative evidence that public policies
affect hate crimes based on sexual orientation. Findings confirm the roles of
institutional heterosexism and discursive opportunities in producing hate crimes.
PMID- 27886726
TI - What fosters concern for inequality among American adolescents?
AB - Understanding cultural beliefs about social and economic inequality is vital to
discerning the roadblocks and pathways to addressing that inequality. The
foundation of concern for inequality is laid during adolescence, yet scholars
understand little about the factors that influence whether and how adolescents
come to express such concern. Arguing that structural and cultural contexts are
just as consequential as whether adolescents themselves are members of
disadvantaged groups, I draw on four theoretical perspectives to identify factors
that influence adolescents' concern for addressing inequality: the underdog
thesis, intergroup contact theory, the education enlightens thesis, and
ideological buttressing. Using representative restricted-use Educational
Longitudinal Survey data, I find that 12th-graders' beliefs are indeed influenced
by more than their own demography: the diversity of their social milieu, the
content of education in and out of the classroom, and ideological buttressing via
political region and entertainment all influence whether they express concern for
addressing inequality. These findings suggest extensions and amendments to the
four theoretical perspectives and underscore the importance of studying
structural and cultural factors that shape beliefs about inequality. The results
also point to several interventions that may increase students' concern for
inequality: involvement in civic-oriented extracurricular activities, more
education in academic subjects that consider inequality, nurturing of cross-race
friendships, and increased leisure reading.
PMID- 27886727
TI - You can't always get what you want: Network determinants of relationship
inactualization in adolescence.
AB - Despite widespread interest in the link between social and sexual networks,
little research has focused on how social networks influence the progression of
intimate relationships (e.g., from holding hands to sexual intercourse). I argue
that social networks not only affect individuals' opportunities to meet romantic
partners, but also shape the ideal and actual progressions of intimate acts
within their relationships. Using data from the National Longitudinal Survey of
Adolescent to Adult Health (Add Health), I conduct an optimal matching analysis
of adolescents' purported ideal versus actual relationship sequences, which are
comprised of romantic and sexual events. Low discrepancy scores indicate that
intimate acts in one's recent relationship were sequenced much as one had
desired. Results demonstrate that how students are situated within their schools'
broader friendship networks affects their experiences with intimacy: high rank
prestige individuals are better able to achieve their ideal relationships, as are
individuals with densely knit friendship networks. Additionally, increased gender
salience among boys within the socio-centric friendship network is associated
with increased discord between desired and actual relationship progressions, but
this relationship is mediated by the rareness of the individual's ideal
relationship trajectory.
PMID- 27886728
TI - Do legal school leaving rules still affect schooling and earnings?
AB - This paper quantifies whether compulsory schooling laws are still effective in
the 21st century and if so, to what extent the school compulsion continues to
influence individuals' educational achievement and labor market earnings. Using
American Community Survey, I find that compulsory schooling laws were effective
for the white men and women born in the 1930s and 1940s in the U.S.; however,
they no longer produce the same seasonality effects on the educational attainment
of the white cohorts who completed their educational attainment in the 2000s. I
also find that the school compulsion was not binding for the older African
American cohorts; however, they were effective in keeping the younger African
American men at school longer.
PMID- 27886729
TI - Reducing children's behavior problems through social capital: A causal
assessment.
AB - Behavior problems among young children have serious detrimental effects on short
and long-term educational outcomes. An especially promising prevention strategy
may be one that focuses on strengthening the relationships among families in
schools, or social capital. However, empirical research on social capital has
been constrained by conceptual and causal ambiguity. This study attempts to
construct a more focused conceptualization of social capital and aims to
determine the causal effects of social capital on children's behavior. Using data
from a cluster randomized trial of 52 elementary schools, we apply several
multilevel models to assess the causal relationship, including intent to treat
and treatment on the treated analyses. Taken together, these analyses provide
stronger evidence than previous studies that social capital improves children's
behavioral outcomes and that these improvements are not simply a result of
selection into social relations but result from the social relations themselves.
PMID- 27886730
TI - School transitions, peer influence, and educational expectation formation: Girls
and boys.
AB - School transitions are a regular feature of the educational career. While they
are of general interest as instances of academic change, they also represent
instances of peer environment and influence change. Previous theoretical and
empirical work suggests peer influence is important for students' academic and
educational outcomes, especially for the complex decision-making processes
leading up to those outcomes. In this manuscript, we study the impact of peers on
educational expectation formation at the 8th-to-9th-grade school transition. In
doing so, we test a theoretical model that links institutional settings, social
influence, and individual decision-making. We find the 9th grade transition
likely represents a negative shock for students' college attendance expectations.
Independent of this transition, however, stable peer environments further depress
expectations. A more equal mixture of new and old peers in the 9th grade likely
increases students' educational expectations in contrast. These effects of peer
perturbations and the re-organization of social ties they imply mainly apply to
female students. But, both male and female students revise their educational
expectations in light of changing peer intelligence comparisons, albeit in
countervailing ways.
PMID- 27886731
TI - Social capital, friendship networks, and youth unemployment.
AB - Youth unemployment is a contemporary social problem in many societies. Youths
often have limited access to information about jobs and limited social influence,
yet little is known about the relationship between social capital and
unemployment risk among youth. We study the effect of social capital on
unemployment risk in a sample of 19 year olds of Swedish, Iranian, and
Yugoslavian origin living in Sweden (N = 1590). We distinguish between two
dimensions of social capital: occupational contact networks and friendship
networks. First, ego's unemployment is found to be strongly associated with
friends' unemployment among individuals of Yugoslavian origins and individuals of
Swedish origin, but not Iranian origin. Second, occupational contact networks
reduce unemployment risks for all groups, but especially so for Iranians. The
effect sizes of the two dimensions are similar and substantial: going from low to
high values on these measures is associated with a difference of some 60-70
percent relative difference in unemployment risk. The findings are robust to a
number of different model specifications, including a rich set of social origin
controls, personality traits, educational performance, friends' characteristics,
and friendship network characteristics, as well as controls for geographical
employment patterns. A sensitivity simulation shows that homogeneity bias need to
be very strong to explain away the effect.
PMID- 27886733
TI - Maternal social status, early health capital, and young adults' economic
attainment: Early life course investigation.
AB - Using survey data collected from 12,278 adolescents and their mothers over 13
years in a nationally representative National Longitudinal Study of Adolescent
Health, this study examined how maternal social status influenced young adults'
economic attainment over the early life course. We found that weight at birth and
height at adolescence as early health capital mediated the influence of maternal
social status on young adults' economic attainment. Also, adolescents'
educational attainment and psychological vulnerabilities mediated the relation
between early health capital and young adults' economic attainment. These
findings highlight the importance of early intervention to prevent the persistent
influence of adverse maternal social status on youths' developmental outcomes.
PMID- 27886734
TI - Does the internet affect assortative mating? Evidence from the U.S. and Germany.
AB - The Internet has now become a habitual channel for finding a partner, but little
is known about the impact of this recent partnership market on mate selection
patterns. This study revisits the supply side perspective on assortative mating
by exploring the role played by online venues in breeding educational,
racial/ethnic and religious endogamy. It compares couples that met online
(through either online dating platforms, Internet social networking, Internet
gaming website, Internet chat, Internet community, etc.) to those that met
through various offline contexts of interaction. Using unique data from the U.S.
for the year 2009 and data from Germany collected between 2008 and 2014, I run
log-multiplicative models that allow for the strength of partners' association to
vary along meeting settings. Results reveal that the Internet promotes weaker
couple endogamy compared to conventional contexts typically known to foster
endogamy, such as school, family, friends, or religious venues.
PMID- 27886732
TI - Attention-deficit/hyperactivity disorder severity, diagnosis, & later academic
achievement in a national sample.
AB - Although 11% (6.4 million) American children are diagnosed with attention
deficit/hyperactivity disorder (ADHD), the role of ADHD severity in shaping the
association between ADHD diagnosis and academic achievement is not understood.
Using a nationally-representative sample of 7830 U.S. kindergartners from the
Early Childhood Longitudinal Study-Kindergarten Cohort, we use regression and
propensity score matching to compare diagnosed (N = 350) and undiagnosed children
who are cognitively, behaviorally, and demographically similar. Diagnosed
children with less severe ADHD-related behaviors on average scored lower in
reading (-0.30 SD) and math (-0.22 SD) than their undiagnosed peers - a
difference two times larger than that between diagnosed and undiagnosed children
with more severe ADHD-related behaviors. Pharmacological treatment did not
attenuate most of this "diagnostic labeling effect" among children with less
severe ADHD-related behaviors. Negative factors associated with an ADHD diagnosis
may outweigh potential benefits for achievement among children with less severe
ADHD-related behaviors, even those receiving treatment.
PMID- 27886736
TI - Pushed or pulled? Girls and boys facing early school leaving risk in Italy.
AB - Compared to girls, boys are more at risk of early school leaving. However, it is
unclear whether gender differences are driven by push factors, which alienate
students from the school system, or pull factors, which attract them out of it.
This paper examines gender differences in early school leaving, assessing the
role of previous scholastic performance, parental education, and differential
employment opportunities. By analyzing two nationally representative datasets, we
focus on Italy, a country with high rates of early school leaving and pronounced
gender inequalities in the labor market. Our results show that gender effects are
partially mediated by scholastic performance, a crucial push factor, and are
stronger among low-achieving students, pointing to a higher resilience of girls
to academic failure; parental education is highly protective, especially for
boys. Yet, boys' higher propensity to drop out is also, at least partly,
explained by better employment opportunities in the formal and informal labor
market.
PMID- 27886735
TI - Residential segregation and racial disparities in self-rated health: How do
dimensions of residential segregation matter?
AB - Previous research on segregation and health has been criticized for overlooking
the fact that segregation is a multi-dimensional concept (i.e., evenness,
exposure, concentration, centralization, and clustering) and recent evidence
drawn from non-black minorities challenges the conventional belief that
residential segregation widens racial health disparities. Combining a survey data
(n = 18,752) from Philadelphia with the 2010 Census tract (n = 925) data, we
examine two theoretical frameworks to understand why the association of
segregation with health may differ by race/ethnicity. Specifically, we
investigate how each dimension of segregation contributed to racial disparities
in self-rated health. We found (1) high levels of white/black concentration could
exacerbate the white/black health disparities up to 25 percent, (2) the
white/Hispanic health disparities was narrowed by increasing the level of
white/Hispanic centralization, and (3) no single dimension of segregation
statistically outperforms others. Our findings supported that segregation is bad
for blacks but may be beneficial for Hispanics.
PMID- 27886738
TI - Political economy of family life: couple's earnings, welfare regime and union
dissolution.
AB - This study examines how institutional settings moderate the relation of couples'
relative and absolute earnings to the likelihood of union dissolution. Based on
ECHP data, it covers 12 countries in four welfare regimes. The relationship
between a couple's economic dependence and the likelihood of union dissolution
were found to differ across regimes. With regard to relative earnings, equality
in earnings lowered the risk of separation only in regimes characterized by a
high degree of defamilialization, through either the state or the market. As for
absolute earnings, women's and men's earnings were significantly related to the
risk of union dissolution only in regimes where state defamilialization is low.
PMID- 27886737
TI - Social context of first birth timing in a rapidly changing rural setting.
AB - This article examines the influence of social context on the rate of first birth.
Drawing on socialization models, I develop a theoretical framework to explain how
different aspects of social context (i.e., neighbors), may affect the rate of
first birth. Neighbors, who in the study setting comprise individuals' immediate
social context, have an important influence on the rate of first birth. To test
my hypotheses, I leverage a setting, measures and analytical techniques designed
to study the impact of macro-level social contexts on micro-level individual
behavior. The results show that neighbors' age at first birth, travel to the
capital city and media exposure tend to reduce the first birth rate, while
neighbors' non-family work experience increases first birth rate. These effects
are independent of neighborhood characteristics and are robust against several
key variations in model specifications.
PMID- 27886739
TI - Occupational segregation and earnings inequality: Rural migrants and local
workers in urban China.
AB - This article examines the central role of occupation as the "reward packages" in
creating earnings disparities between rural migrants and local workers in urban
China's labor markets. Analyses of data from the population mini-census of China
in 2005 show that, rural migrants' earnings disadvantages are largely
attributable to occupational segregation (between-occupation variation) by
workers' household registration status (hukou) rather than unequal pay within the
same occupations, but surprisingly they enjoy a slight earnings advantage in
lower-status occupations (within-occupation variation). Even after controlling
for education and other characteristics, occupational segregation by hukou status
continues to exist. The occupational segregation is the most severe in government
agencies/state institutions and the least severe in the private sector, leading
to earnings disparities between rural migrants and urban local workers in
different work unit sectors. Our findings shed new light on how government
discriminatory policies could affect occupational segregation and thereby create
inequality among social groups in urban China.
PMID- 27886740
TI - Local peace and contemporary conflict: Constructing commonality and exclusion
during war in Afghanistan.
AB - Despite the "local turn" in international peacekeeping and the emphasis on
community-centered development during the recent wars in Afghanistan and Iraq, it
remains poorly understood how local actors-both foreign and indigenous-shape
local-level wartime settings. This article explores the processes and
consequences of one military unit's efforts to "win hearts and minds" in
Afghanistan during 2012-13. The first portion of the analysis examines original
textual data with a novel methodological approach depicting the unit's
perceptions of commonalities between itself and local actors. The second portion
explores the consequences with data from original interviews with residents of
southern Afghanistan in 2014-15. The findings suggest that achieving a local
peace can be undermined by military and development actors' own perception of the
local community. The article concludes with a discussion of how sociological
studies of micro-settings between actors can contribute to research on conflict
and wartime development, as well as how the sociological study of war can further
develop by disaggregating conflict settings and tracing the social construction
of wartime socio-political landscapes.
PMID- 27886741
TI - Spread of status value: Rewards and the creation of status characteristics.
AB - Rewards have social significance and are highly esteemed objects, but what does
their ownership signify to others? Prior work has demonstrated it may be possible
for these rewards to spread their status to those who possess them, such that
individuals gain or lose status and influence by virtue of the rewards they
display. Yet, is this spread enough to produce entirely new status
characteristics by virtue of their association with rewards? I propose a
theoretical extension of the spread of status value theory and offer an
experimental test considering whether the status value conveyed by rewards
spreads to a new, nominal characteristic of those who come to possess these
objects. The results indicate that states of a nominal characteristic do gain or
lose status value and behavioral influence through their association with
differentially valued rewards. Thus, rewards can create new status
characteristics with resulting behavioral expectations.
PMID- 27886742
TI - Drugs for chronic diseases: getting the price right.
PMID- 27886743
TI - Misrepresented risk of thyroid cancer in Fukushima.
PMID- 27886744
TI - Misrepresented risk of thyroid cancer in Fukushima - Authors' reply.
PMID- 27886745
TI - Are statins useful in patients with advanced chronic kidney disease?
PMID- 27886746
TI - Are statins useful in patients with advanced chronic kidney disease? - Authors'
reply.
PMID- 27886747
TI - Primary aldosteronism as a public health issue.
PMID- 27886748
TI - Primary aldosteronism as a public health issue - Authors' reply.
PMID- 27886749
TI - Papillary thyroid microcarcinoma and active surveillance.
PMID- 27886750
TI - Papillary thyroid microcarcinoma and active surveillance.
PMID- 27886751
TI - Papillary thyroid microcarcinoma and active surveillance.
PMID- 27886752
TI - Papillary thyroid microcarcinoma and active surveillance.
PMID- 27886753
TI - Papillary thyroid microcarcinoma and active surveillance - Authors' reply.
PMID- 27886754
TI - Chernobyl: assessing the health effects, 30 years later.
PMID- 27886755
TI - Correction to Lancet Diabetes Endocrinol 2016; 4: 885-86.
PMID- 27886756
TI - Correction to Lancet Diabetes Endocrinol 2016; 4: 960-61.
PMID- 27886757
TI - Different sample treatments for the determination of ICM-XR in fish samples
followed by LC-HRMS.
AB - Iodinated X-ray contrast media (ICM-XR) are a group of pharmaceuticals widely
used in medicine. Due to their low biodegradation rate, which makes their removal
at wastewater treatment plants difficult, and the high doses at which they are
administered, they have been detected in aquatic environments. In the present
paper, a method for the quantitative determination of a group of ICM-XR in
different fish species was developed and validated for the first time. Two
extraction techniques were compared: pressurised liquid extraction (PLE) and
QuEChERS (Quick, Easy, Cheap, Effective, Rugged and Safe), with PLE being
selected, followed by liquid chromatography-high resolution mass spectrometry. In
addition, several clean-up strategies were evaluated. The optimised method
provided PLE recoveries ranging from 60% to 88% and limits of detection ranging
from 5ng/g to 25ng/g (dry weight). The method was applied in order to evaluate
the presence of the selected ICM-XR in different fish species.
PMID- 27886758
TI - Sensing tyrosine enantiomers by using chiral CdSe/CdS quantum dots capped with N
acetyl-l-cysteine.
AB - Despite of the importance of enantiomers, the fluorescence sensing of enantiomers
and the interpretation of the "preferential interaction" still remain
insufficiently explored. In this study, we report the recognition of tyrosine
(Tyr) enantiomers by chiral N-acetyl-L-cysteine (L-NAC) capped CdSe/CdS quantum
dots (QDs) under alkaline experimental condition. L-Tyr could greatly quench the
fluorescence of CdSe/CdS QDs, while D-Tyr displayed no effect on the
fluorescence. The one-step synthesized chiral L-NAC-CdSe/CdS QDs demonstrated
high selectivity for Tyr enantiomers. In particular, the mechanism of chiral
recognition has been studied by UV/vis absorption spectra and circular dichroism
(CD) spectra. The changes of intensity and sign of CD spectra corroborated the
attachment of L-Tyr to the surface of QDs, which may be valuable aids in
obtaining a better understanding of the possible mechanism of enantioselective
recognition.
PMID- 27886760
TI - Practical limitations of single particle ICP-MS in the determination of
nanoparticle size distributions and dissolution: case of rare earth oxides.
AB - The applicability of single particle ICP-MS (SP-ICP-MS) for the analysis of
nanoparticle size distributions and the determination of particle numbers was
evaluated using the rare earth oxide, La2O3, as a model particle. The composition
of the storage containers, as well as the ICP-MS sample introduction system were
found to significantly impact SP-ICP-MS analysis. While La2O3 nanoparticles
(La2O3 NP) did not appear to interact strongly with sample containers, adsorptive
losses of La3+(over 24h) were substantial (>72%) for fluorinated ethylene
propylene bottles as opposed to polypropylene (<10%). Furthermore, each part of
the sample introduction system (nebulizers made of perfluoroalkoxy alkane (PFA)
or glass, PFA capillary tubing, and polyvinyl chloride (PVC) peristaltic pump
tubing) contributed to La3+ adsorptive losses. On the other hand, the presence of
natural organic matter in the nanoparticle suspensions led to a decreased
adsorptive loss in both the sample containers and the introduction system,
suggesting that SP-ICP-MS may nonetheless be appropriate for NP analysis in
environmental matrices. Coupling of an ion-exchange resin to the SP-ICP-MS led to
more accurate determinations of the La2O3 NP size distributions.
PMID- 27886759
TI - Capillary electrophoresis-tandem mass spectrometry combined with molecularly
imprinted solid phase extraction as useful tool for the monitoring of 5
nitroimidazoles and their metabolites in urine samples.
AB - A novel capillary electrophoresis-tandem mass spectrometry approach is proposed
for the determination of eleven 5-nitroimidazoles in urine samples for
therapeutical drug monitoring purposes. A comparison between two separation
modes, namely micellar electrokinetic chromatography and capillary zone
electrophoresis was carried out, obtaining higher selectivity when 1M formic acid
(pH 1.8) was selected as background electrolyte. 5-Nitroimidazoles were
hydrodynamically injected in water for 40s at 50mbar and their separation was
performed at 28kV and 25 degrees C. To improve migration time repeatability, a
pressure of 50mbar was applied to the inlet vial during runs without any loss of
peak resolution. Electrospray ionization parameters were established as follow:
6L/min, dry gas flow rate; 51,021.2Pa, nebulization pressure; 160 degrees C, dry
gas temperature. Sheath liquid consisted of a mixture of propan-2-ol/water/acetic
acid (60.0:38.8:0.2% v/v/v) supplied at 3.3uL/min. MS parameters were optimized
for analyte identification through their MS2 and MS3 spectra. The method was
applied to the determination of 5-nitroimidazoles in urine samples, applying
molecularly imprinted solid phase extraction for sample clean-up. Recoveries
higher than 79.2% demonstrated the suitability of the procedure. Limits of
detection ranged from 9.6 to 130.2ug/L while precision assays resulted in
relative standard deviations for peak areas lower than 16.1%.
PMID- 27886761
TI - Simultaneous determination of La, Ce, Pr, and Nd elements in aqueous solution
using surface-enhanced laser-induced breakdown spectroscopy.
AB - Determination of rare earth elements (REEs) plays an important role in the
extraction process. In this work, surface-enhanced laser-induced breakdown
spectroscopy (SENLIBS) was introduced to detect REEs (lanthanum,
cerium,praseodymium,and neodymium elements) in an aqueous solution. The emission
lines of La II 394.91nm, Ce II 418.66nm, Pr II 422.29nm, and Nd II 406.10nm were
selected for quantitative analysis by drying the analytical samples on a Zn metal
substrate surface and optimizing the experimental parameters. The results showed
that the limits of detection (LoDs) for determining La, Ce, Pr, and Nd elements
can reach to 0.85, 4.07, 2.97, and 10.98MUgmL-1, respectively, which proved that
SENLIBS is a feasible method for determining REEs.
PMID- 27886763
TI - DNA covalently linked to graphene oxide for biotin-streptavidin interaction
assay.
AB - Based on terminal protection of small molecule-linked DNA and the covalently
linking DNA to graphene oxide (GO) strategy, a high resisting nonspecific probe
displacement platform for small molecule-protein interaction assay is proposed in
this work. Specifically, the small molecule-linked DNA (probe 1) can be protected
from exonuclease-catalyzed digestion upon binding to the protein target of the
small molecule, so the DNA strand may hybridize with another DNA strand (FAM and
amino dual modified DNA, probe 2) that is previously covalently linked onto GO
surface. Such hybridization will result in the fluorescence restoration of FAM.
Taking biotin-streptavidin (SA) interaction assay as an example in this work, the
linearity, stability and specificity of the covalent sensor were systematically
studied and compared to the noncovalent sensor. The covalent sensor can determine
the protein in a linear range from 0.15 to 12nM with a detection limit of 0.08nM,
which is comparable with that of noncovalent sensor. Though both sensors have
similar sensitivity, the covalent one is more resistant to nonspecific probe
displacement by proteins. Furthermore, because the covalent sensor can be used
for the assay of biotin-SA interaction in serum samples, this novel method is
expected to have great potential applications in the future.
PMID- 27886762
TI - Core-shell Cu@Au nanoparticles-based colorimetric aptasensor for the
determination of lysozyme.
AB - A growing body of evidence indicates that lysozyme plays a significant role as an
indicator for many diseases and a drug for treatment of infections, ulcers and to
study the spatial conformation, enzyme kinetics, and molecular immunology.
Therefore, highly sensitive determination of lysozyme is necessary and vital in a
wide variety of fields. In this work, we put forward a simple but effective
strategy for colorimetric visualization of lysozyme based on iodide-responsive
Cu@Au nanoparticles (Cu@Au NPs) as well as the iodide-catalyzed H2O2-TMB (3,3,5,5
tetramethylbenzidine) reaction system. Colorimetric detection is applied because
of its simplicity, fast response for analysis, high detection limit, low costs
and practicality. In our strategy, iodide is applied for the reason that it can
induce an obvious color change of the Cu@Au nanoparticles solution from gray to
red, along with the change of morphologies of the Cu@Au nanoparticles from
irregular to spherical. Consequently, this phenomenon results in colorimetric
signal variation of the iodide-catalytic H2O2-TMB system. What's more, by quite
simple biomolecule modification on the Cu@Au nanoparticles surface, an all
purpose colorimetric platform is established for the accurate detection of
lysozyme, which could lead to the change of Cu@Au NP concentration through
molecular recognition. The results show that modified Cu-Au NPs successfully
achieved a simple, selective, visualized, and ultrasensitive detection of
lysozyme with a linear range from 10-7 to 10-3M and a detection limit of 60nM.
PMID- 27886764
TI - Sensitive and selective colorimetric assay of alkaline phosphatase activity with
Cu(II)-phenanthroline complex.
AB - Alkaline phosphatase (ALP) plays a vital role in dephosphorylation- and
phosphorylation-related cellular regulation and signaling processes. Accordingly,
the development of efficient methods for ALP activity assay is of significant
importance in clinical diagnosis. In this work, a simple and practical method is
reported for the first time for the sensitive and selective colorimetric assay of
ALP activity by exploiting a water-soluble Cu(II)-phenanthroline complex as the
probe, on the basis of the distinctive metal-to-ligand charge-transfer (MLCT)
properties. This method is simply built on a two-step chromogenic reaction: the
enzymatic hydrolysis of the substrate ascorbic acid 2-phosphate to ascorbic acid
(AA), followed by the reduction of the colorimetric probe Cu(BPDS)22-
(BPDS=bathophenanthroline disulfonate) by AA to its cuprous form. The latter
process triggers a turn-on spectral absorption at 424nm and a striking color
change of the solution from colorless to blackish-green. Needless of complicated
protocols and instrumentation, this method allows a sensitive readout of ALP
activity within a wide linear range of 0-200mUmL-1, with a detection limit down
to 1.25mUmL-1. Results also reveal that it is highly selective and holds great
potential in ALP inhibitor efficiency evaluation. In addition, quantitative
analysis of ALP activity in spiked serum samples has been realized successfully
in the linear range of 0-200mUmL-1, with a detection limit of 1.75mUmL-1.
Advantages of simplicity, wide linear range, high sensitivity and selectivity,
low cost, and little background interference render this method great potential
in practical applications.
PMID- 27886765
TI - Analytical advantages of copolymeric microspheres for fluorimetric sensing -
tuneable sensitivity sensors and titration agents.
AB - Analytical benefits related to application of copolymeric microspheres containing
different number of carboxylic acid mers have been studied on example of acrylate
copolymers. These structures can be used as a reagent in heterogeneous pH
titration, benefiting from different number of reactive groups - i.e. different
concentration of a titrant - within the series of copolymers. Thus introducing
the same amount of different microspheres from a series to the sample, different
amount of the titrant is introduced. Copolymeric microspheres also can be used as
optical sensors - in this respect the increasing number of reactive groups in the
series is useful to improve the analytical performance of microprobes -
sensitivity of determination or/and response range. The increase in ion
permeability of the spheres with increasing number of reactive mers is
advantageous. It is shown that for pH sensitive microspheres containing higher
number of carboxyl groups the higher sensitivity for alkaline pH samples is
observed for an indicator present in the beads. The significant increase of
optical responses is related to enhanced ion transport within the microspheres.
For zinc or potassium ions model sensors tested it was shown that by choice of pH
conditions and type of microspheres from the series, the optical responses can be
tuned - to enhance sensitivity for analyte concentration change as well as to
change the response pattern from sigmoidal (higher sensitivity, narrow range) to
linear (broader response range). For classical optode systems (e.g. microspheres
containing an optical transducer - pH sensitive dye and optically silent
ionophore - receptor) copolymeric microspheres containing carboxylic acid mers in
their structure allow application of the sensor in alkaline pH range, which is
usually inaccessible for applied optical transducer.
PMID- 27886766
TI - Hydrothermal carbonaceous sphere based stationary phase for anion exchange
chromatography.
AB - Monodisperse carbonaceous spheres produced by the hydrothermal carbonization of
sucrose were first applied as green stationary phase for ion chromatography after
quaternization. Depending on the polycondensation of methylamine and 1,4
butanediol diglycidyl ether, polymer containing quaternary ammonium groups were
facilely grafted onto the surfaces of hydrothermal carbonaceous spheres (HCSs).
The quaternized HCSs with different number of polyelectrolyte layers were
characterized by scanning electron microscopy, brunauer-emmett-teller, fourier
transform infrared spectroscopy, X-ray photoelectron spectroscopy,
thermogravimetric analysis and elemental analysis. The measurements of
breakthrough curves demonstrated that more layers of grafted polyelectrolyte
resulted in higher anion exchange capacity of stationary phase. With good
stability, common inorganic anions, monocarboxylic acids, polarizable anions and
carbohydrates were effectively separated on the stationary phases, respectively.
The high hydrophilicity of HCS surface afforded excellent peak symmetry for all
analytes. Furthermore, high-capacity HCSs stationary phase was successfully
applied to detect fluoride in tea samples.
PMID- 27886768
TI - Three-point multivariate calibration models by correlation constrained MCR-ALS: A
feasibility study for quantitative analysis of complex mixtures.
AB - When it comes to address quantitative analysis in complex mixtures, Partial Least
Squares (PLS) is often referred to as a standard first-order multivariate
calibration method. The set of samples used to build the PLS regression model
should ideally be large and representative to produce reliable predictions. In
practice, however, the large number of calibration samples is not always
affordable and the choice of these samples should be handled with care as it can
significantly affect the accuracy of the predictive model. Correlation
constrained multivariate curve resolution (CC-MCR) is an alternative regression
method for first-order datasets where, unlike PLS, calibration and prediction
stages are performed iteratively and optimized under constraints until the
decomposition meets the convergence criterion. Both calibration and test samples
are fitted into a unique bilinear model so that the number of calibration samples
is no longer a critical issue. In this paper we demonstrate that under certain
conditions CC-MCR models can provide for reasonable predictions in quantitative
analysis of complex mixtures even when only three calibration samples are
employed. The latter are defined as samples having the minimum, the maximum and
the average concentration, providing for a simple and rapid strategy to build
reliable calibration model. The feasibility of three-point multivariate
calibration approach was assessed with several case studies featuring mixtures of
different analytes in presence of interfering species. Satisfactory predictions
with relative errors in the range 3-15% were achieved and good agreement with
classical PLS models built from a larger set of calibration samples was observed.
PMID- 27886769
TI - Polyethylene comonomer characterization by using FTIR and a multivariate
classification technique.
AB - Many polyethylene (PE) films used in various packaging applications are based on
multi-layer systems and are fabricated by using the coextrusion techniques that
can combine different raw materials. The identification of the comonomer type
(octene - C8, hexene - C6 and butene - C4) in films, where two or more different
linear low density polyethylene (LLDPE) resins are present, is becoming a
frequent request. This report presents a novel approach where multivariate
classification techniques are used in combination with FTIR for the
identification of the comonomer type in coextruded films. A set of different
LLDPE coextruded samples have been measured by FTIR, and the resulting spectra
processed by Principal Component Analysis (PCA). By projecting the samples to the
scores plots a difference is observed as a function of comonomer composition.
This approach, compared with others is much faster, as just the time of measuring
a film by direct infrared analysis is required.
PMID- 27886767
TI - Preparation and characterization of magnetic molecularly imprinted polymers for
the extraction of hexamethylenetetramine in milk samples.
AB - Magnetic molecularly imprinted polymers (M-MIPs) were synthesized as the sorbents
for extracting hexamethylenetetramine (HMT) from milk samples. Molecular
simulations were used to calculate the interaction energies of the template
monomers. The physical properties of M-MIPs were characterized. The adsorption
isotherms and kinetics were investigated. Gas chromatography coupled with tandem
mass spectrometry (GC-MS/MS) was applied to determine the amount of HMT residue
in milk samples. In the optimized method, a linear calibration curve was obtained
using a matrix-matched standard in the range of 1.0-50.0MUgL-1. The limit of
detection (LOD) and limit of quantification (LOQ) was 0.3MUgkg-1 and 1.0MUgkg-1,
respectively. The relative standard deviation (RSD) of the intra-day assay ranged
from 2.6% to 5.2%, while that of the inter-day assay ranged from 3.6% to 11.5%.
The recovery of HMT in milk samples ranged from 88.7% to 111.4%.
PMID- 27886770
TI - Multiresidue method for trace pesticide analysis in honeybee wax comb by GC-QqQ
MS.
AB - The aim of this analytical study is to develop an improved multi-residue
methodology of high sensitivity and expanded scope for pesticide residue analysis
in honeybee wax combs. The method was validated for 160 pesticide residues
(including acaricides, insecticides, fungicides and herbicides) gas
chromatography amenable and covering a wide variety of polarity and chemical
structure. This method of analysis applied gas chromatography coupled to a triple
quadrupole mass spectrometer for the quantitative analysis of pesticide residues.
The extraction procedure applied was based QuEChERs method allowing acceptable
recoveries for most of the pesticides (98%), within the range 60-120% with an
associated precision (RSD) <20%, at concentration levels of MQL of 10ugkg-1 for
all pesticides with the exception of 3,5-dichloroaniline and chlordane (20ugkg
1). The expanded uncertainty of the results was +/-35% on average (coverage
factor k=2 for a confidence level of 95%). The chromatographic multi-residue
method was applied to determine levels of pesticide residues in 50 honeybee wax
comb samples randomly collected from different apiaries in Spain. A total of 32
pesticide residues (14 insecticides/acaricides, 10 insecticides, 6 fungicides and
2 herbicides) were detected in the samples. The highest pesticide concentrations
were found for those with insecticide-acaricide activity like acrinathrin,
chlorfenvinphos, coumaphos and fluvalinate-tau, some of them are mainly applied
in apiculture for controlling the honeybee parasite Varroa destructor. The total
load of pesticide residues ranged from 69 to 1000ugkg-1 for 40% of the analysed
samples, 22% contained pesticide residues in the ranges of 1000-2000ugkg-1, 24%
between 2000 and 5000ugkg-1 and 14% of the samples contained residues between
5000 and 9557ugkg-1.
PMID- 27886771
TI - A label-free electrochemical biosensor for microRNA detection based on catalytic
hairpin assembly and in situ formation of molybdophosphate.
AB - A label-free electrochemical biosensor for sensitive detection of miRNA-155 was
presented by coupling enzyme-catalyzed in situ generation of electronic mediator
for signal introduction with catalytic hairpin assembly (CHA) induced target
recycling amplification strategy. In this work, alkaline phosphatase (ALP) was
adopted to hydrolyze inactive substrate 1-naphthyl phosphate (NPP) to produce
phosphate ion (PO43-), which could further react with acidic molybdate to form
abundant molybdophosphate anion (PMo12O403-) on the surface of electrode. The
produced PMo12O403- could directly generate a strong and stable electrochemical
signal for quantitative detection of targets. In addition, CHA induced the cyclic
reuse of the target was also employed as an effective strategy for improving the
sensitivity of biosensor. This electrochemical method for miRNA-155 detection had
achieved a good linear relationship ranging from 10fM to 1nM with a detection
limit of 1.64fM. With this assay successfully applied in tumor cell lysates, it
holds great potential for early cancer diagnosis by employing miRNA as the
effective biomarker.
PMID- 27886772
TI - Selective and sensitive electrochemical device for direct VB2 determination in
real products.
AB - The developed by us electrochemical device for vitamin B2 (VB2; riboflavin)
determination, without preconcentration step, in real products exhibits high
sensitivity, selectivity, stability and low detection limit compared to those
described in the literature. The determination procedure was based on the
monitoring of the reduction current signal of VB2 bound with dsDNA anchored to
the electrode surface through intermediary - carboxyphenyl layer. The application
of such intermediary layer formed during electroreduction of appropriate
diazonium salt at CV peak potential guarantees high efficiency of hybridization
process and thus fully available places for VB2 interaction. Moreover, such
intermediary layer provides good electrical contact, what is very important in
the case of electrochemical sensors. The analytical range of work of the proposed
VB2 sensor was between 0.08-1uM (30-377MUgL-1) of riboflavin concentration. The
obtained detection (LOD) and quantification limits (LOQ) were 24+/-2 and 55+/
5MUgL-1, respectively. The proposed VB2 detection method was used for
determination of riboflavin content in commercially available dietary supplements
and yolk of hen egg samples. The accuracy of the obtained data was proved using
comparison with an independent method (HPLC FLD).
PMID- 27886773
TI - A novel aptameric biosensor based on the self-assembled DNA-WS2 nanosheet
architecture.
AB - It has been reported that tungsten disulfide (WS2) can bind single-stranded DNA
(ssDNA) with high affinity while it has less affinity toward double stranded DNA
(dsDNA). In this work, for the first time, the high affinity between WS2 and
ssDNA was used to construct stable sensing interface for ATP detection. A DNA
sequence with -SH at one end was first immobilized on Au electrode. WS2
nanosheets were immobilized on the SH-DNA/Au electrode surface due to the strong
affinity between WS2 and ssDNA. Then the WS2 nanosheets were used to immobilize
ATP binding aptamer (ABA) through the high affinity between WS2 and ssDNA, too.
When ATP reacts with the ABA aptamer, duplex will be formed and dissociated from
the WS2 nanosheets. On the basis of this, an electrochemical aptasensor for ATP
was fabricated. This ATP sensor showed high sensitivity, selectivity and
stability due to the unique WS2-ssDNA interactions and the specific aptamer
target recognition. Furthermore, this strategy was generalized to detect Hg2+
using a mercury-specific aptamer (MSO). This strategy can be expected to offer a
promising approach for designing high-performance electrochemical aptasensors for
a spectrum of targets.
PMID- 27886774
TI - Analysis of tricyclic antidepressants in human plasma using online-restricted
access molecularly imprinted solid phase extraction followed by direct mass
spectrometry identification/quantification.
AB - The use of a new class of hybrid materials, called restricted access molecularly
imprinted polymers (RAMIPs) seems to present a good strategy for the sample
preparation of complex matrices, since these materials combine good protein
elimination capacity with high degree selectivity. Mass spectrometers (MS) have
been successfully used for polar drug identification and quantification. In order
to combine the advantages of both RAMIPs and mass spectrometry, we proposed a
study that joins these properties in a single system, where we could analyse
tricyclic antidepressants from human plasma, without offline extraction or
chromatographic separation. A RAMIP for amitriptyline was synthesised by the bulk
method, using methacrylic acid as a functional monomer and glycidilmethacrylate
as a hydrophilic co-monomer. Then, epoxide ring openings were made and the
polymer was covered with bovine serum albumin (BSA). A column filled with RAMIP
BSA was coupled to a MS/MS instrument in an online configuration, using water as
loading and reconditioning mobile phase and a 0.01% acetic acid aqueous solution:
acetonitrile at 30:70 as elution mobile phase. The system was used for on-line
extraction and simultaneous quantification of nortriptyline, desipramine,
amitriptyline, imipramine, clomipramine and clomipramine-d3 (IS) (from 15.0 to
500.0MUgL-1) from plasma samples. The correlation coefficient was higher than
0.99 for all analytes. The CV (coefficient of variation) values ranged from 1.34%
to 19.13% for intra assay precision and 1.32-19.77% for inter assay precision.
The E% (relative error) values ranged from -19.15% to 19.51% for intra assay
accuracy and from -9.04% to 16.22% for inter assay accuracy.
PMID- 27886775
TI - A simple, fast and sensitive screening LC-ESI-MS/MS method for antibiotics in
fish.
AB - The objective of this study was to develop and validate a fast, sensitive and
simple liquid chromatography-electrospray ionization-tandem mass spectrometry (LC
ESI-MS/MS) method for the screening of six classes of antibiotics
(aminoglycosides, beta-lactams, macrolides, quinolones, sulfonamides and
tetracyclines) in fish. Samples were extracted with trichloroacetic acid. LC
separation was achieved on a Zorbax Eclipse XDB C18 column and gradient elution
using 0.1% heptafluorobutyric acid in water and acetonitrile as mobile phase.
Analysis was carried out in multiple reaction monitoring mode via electrospray
interface operated in the positive ionization mode, with sulfaphenazole as
internal standard. The method was suitable for routine screening purposes of 40
antibiotics, according to EC Guidelines for the Validation of Screening Methods
for Residues of Veterinary Medicines, taking into consideration threshold value,
cut-off factor, detection capability, limit of detection, sensitivity and
specificity. Real fish samples (n=193) from aquaculture were analyzed and 15%
were positive for enrofloxacin (quinolone), one of them at a higher concentration
than the level of interest (50ugkg-1), suggesting possible contamination or
illegal use of that antibiotic.
PMID- 27886776
TI - Synthesis and performance of chiral ferrocene modified silica gel for mixed-mode
chromatography.
AB - A brush-type chiral stationary phase, N-ferrocenyl benzoyl-(1S, 2R)-1, 2-diphenyl
ethanol-bonded on the silica gel (NFcBEs) for high performance liquid
chromatography (HPLC), was prepared using gamma-glycidoxypropyltrimethoxysilane
as coupling reagent. The structure of this novel material was characterized by
infrared spectroscopy, elemental analysis and thermogravimetric analysis.
Mechanism involved in the chromatographic separation is the multi-interaction
including hydrophobic, pi-pi, hydrogen-bonding, pi-charge transfer, dipole-dipole
and acid-base equilibrium interactions. Based on these interactions, successful
separation could be achieved among polycyclic aromatic hydrocarbons, mono
substituted benzenes, aromatic amines, quinolines, nucleosides, phenols and 5
nitroimidazoles drugs in reversed phase liquid chromatography (RPLC). Good
resolutions for substituted amine isomers were also obtained with NFcBEs.
Racemates of amino acids and drug carvedilol mixtures were well separated on
NFcBEs in the normal phase liquid chromatography (NPLC) mode. Such stationary
phase with characteristics of multi-interaction mechanism and mixed-mode
separation is potential for the analysis of complex samples. The retention
behaviors of R- and S-carvedilol on NFcBEs column were investigated with the
assistance of quantum chemistry calculation using the density functional theory
(DFT) B3LYP method.
PMID- 27886778
TI - Editorial Comment.
PMID- 27886779
TI - Editorial Comment.
PMID- 27886777
TI - Characteristics of patients who are not resuscitated in out of hospital cardiac
arrests and opportunities to improve community response to cardiac arrest.
AB - AIM: This study explores why resuscitation is withheld when emergency medical
staff arrive at the scene of a cardiac arrest and identifies modifiable factors
associated with this decision. METHODS: This is a secondary analysis of
unselected patients who sustained an out of hospital cardiac arrest attended by
ambulance vehicles participating in a randomized controlled trial of a mechanical
chest compression device (PARAMEDIC trial). Patients were categorized as 'non
resuscitation' patients if there was a do-not-attempt-cardiopulmonary
resuscitation (DNACPR) order, signs unequivocally associated with death or
resuscitation was deemed futile (15min had elapsed since collapse with no
bystander-CPR and asystole recorded on EMS arrival). RESULTS: Emergency Medical
Services attended 11,451 cardiac arrests. Resuscitation was attempted or
continued by Emergency Medical Service staff in 4805 (42%) of cases.
Resuscitation was withheld in 6646 cases (58%). 711 (6.2%) had a do not attempt
resuscitation decision, 4439 (38.8%) had signs unequivocally associated with
death and in 1496 cases (13.1%) CPR was considered futile. Those where
resuscitation was withheld due to futility were characterised by low bystander
CPR rates (7.2%) and by being female. CONCLUSIONS: Resuscitation was withheld by
ambulance staff in over one in ten (13.1%) victims of out of hospital cardiac
arrest on the basis of futility. These cases were associated with a very low rate
of bystander CPR. Future studies should explore strengthening the 'Chain of
Survival' to increase the community bystander CPR response and evaluate the
effect on the numbers of survivors from out of hospital cardiac arrest.
PMID- 27886781
TI - Ambulatory Blood Pressure Monitoring: A Complementary Strategy for Hypertension
Diagnosis and Management in Low-Income and Middle-Income Countries.
AB - Ambulatory blood pressure monitoring (ABPM) can assess out-of-clinic blood
pressure. ABPM is an underutilized resource in low-income and middle-income
countries but should be considered a complementary strategy to clinic blood
pressure measurement for the diagnosis and management of hypertension. Potential
uses for ABPM in low-income and middle-income countries include screening of high
risk individuals who have concurrent communicable diseases, such as HIV, and in
task-shifting health care strategies.
PMID- 27886782
TI - Ensuring Patient-Centered Access to Cardiovascular Disease Medicines in Low
Income and Middle-Income Countries Through Health-System Strengthening.
AB - Cardiovascular disease (CVD) is the leading cause of global mortality and is
expected to reach 23 million deaths by 2030. Eighty percent of CVD deaths occur
in low-income and middle-income countries (LMICs). Although CVD prevention and
treatment guidelines are available, translating these into practice is hampered
in LMICs by inadequate health care systems that limit access to lifesaving
medications. In this review article, we describe the deficiencies in the current
LMIC supply chains that limit access to effective CVD medicines, and discuss
existing solutions that are translatable to similar settings so as to address
these deficiencies.
PMID- 27886784
TI - Tuberculosis and the Heart.
AB - Owing to the high prevalence of tuberculosis (TB) and human immunodeficiency
virus/AIDS, tuberculous heart disease remains an important problem in TB endemic
areas. In this review, we reiterate salient aspects of the traditional
understanding and approach to its management, and provide important updates on
the pathophysiology, diagnosis, and treatment garnered over the past decade of
focused clinical and basic science research. We emphasize that, if implemented
widely, these improved evidence-based approaches to the disease can build on the
early progress made in treating tuberculous heart disease and help further the
goal of significantly reducing its historically high morbidity and mortality.
PMID- 27886780
TI - Global Shifts in Cardiovascular Disease, the Epidemiologic Transition, and Other
Contributing Factors: Toward a New Practice of Global Health Cardiology.
AB - One of the major drivers of change in the practice of cardiology is population
change. This article discusses the current debate about epidemiologic transition
paired with other ongoing transitions with direct relevance to cardiovascular
conditions. Challenges specific to patterns of risk factors over time; readiness
for disease surveillance and meeting global targets; health system, prevention,
and treatment efforts; and physiologic traits and human-environment interactions
are identified. This article concludes that a focus on the most populated regions
of the world will contribute substantially to protecting the large gains in
global survival and life expectancy accrued over the last decades.
PMID- 27886783
TI - mHealth Interventions to Counter Noncommunicable Diseases in Developing
Countries: Still an Uncertain Promise.
AB - mHealth constitutes a promise for health care delivery in low- and middle-income
countries (LMICs) where health care systems are unprepared to combat the threat
of noncommunicable diseases (NCDs). This article assesses the impact of mHealth
on NCD outcomes in LMICs. A systematic review identified controlled studies
evaluating mHealth interventions that addressed NCDs in LMICs. From the 1274
abstracts retrieved, 108 articles were selected for full text review and 20
randomized controlled trials were included from 14 LMICs. One-way SMS was the
most commonly used mobile function to deliver reminders, health education, and
information. mHealth interventions in LMICs have positive but modest effects on
chronic disease outcomes.
PMID- 27886786
TI - Infective Endocarditis in Low- and Middle-Income Countries.
AB - Infective endocarditis (IE) is a rare, life-threatening disease with a mortality
rate of 25% and significant debilitating morbidities. Although much has been
reported on contemporary IE in high-income countries, conclusions on the state of
IE in low- and middle-income countries (LMICs) are based on studies conducted
before the year 2000. Furthermore, unique challenges in the diagnosis and
management of IE persist in LMICs. This article reviews IE studies conducted in
LMICs documenting clinical experiences from the year 2000 to 2016. Presented are
the causes of IE, management of patients with IE, and prevailing challenges in
diagnosis and treatment of IE in LMICs.
PMID- 27886785
TI - Approaches to Sustainable Capacity Building for Cardiovascular Disease Care in
Kenya.
AB - Cardiovascular diseases are approaching epidemic levels in Kenya and other low-
and middle-income countries without accompanying effective preventive and
therapeutic strategies. This is happening in the background of residual and
emerging infections and other diseases of poverty, and increasing physical
injuries from traffic accidents and noncommunicable diseases. Investments to
create a skilled workforce and health care infrastructure are needed. Improving
diagnostic capacity, access to high-quality medications, health care, appropriate
legislation, and proper coordination are key components to ensuring the reversal
of the epidemic and a healthy citizenry. Strong partnerships with the developed
countries also crucial.
PMID- 27886787
TI - Rheumatic Heart Disease: The Unfinished Global Agenda.
AB - Primarily affecting the young, rheumatic heart disease (RHD) is a neglected
chronic disease commonly causing premature morbidity and mortality among the
global poor. Standard clinical prevention and treatment is based on studies from
the early antimicrobial era, as research investment halted soon after the virtual
eradication of the disease from developed countries. The emergence of new global
data on disease burden, new technologies, and a global health equity platform
have revitalized interest and investment in RHD. This review surveys past and
current evidence for standard RHD diagnosis and treatment, highlighting gaps in
knowledge.
PMID- 27886788
TI - Chagas Cardiomyopathy: Clinical Presentation and Management in the Americas.
AB - The initial infection of Chagas disease is typically asymptomatic, but
approximately 30% of people will progress to a chronic cardiac form, and others
develop the gastrointestinal form. Death is often sudden due to arrhythmias or
progressive heart failure. Prevention through vector control programs and blood
bank screening, along with strengthened surveillance systems and rapid
information sharing, are key to decreasing disease burden globally. The
epidemiology, diagnostic evaluation, diagnosis, and treatment of acute and
chronic Chagas cardiac disease are discussed with focus on educating the primary
care professionals and general cardiologists in nonendemic areas who have limited
experience treating this disease.
PMID- 27886789
TI - Electrophysiology in the Developing World: Challenges and Opportunities.
AB - As a subset of the growing epidemic of cardiovascular morbidity and mortality in
low-income and middle-income countries (LMICs), the significant burdens of heart
rhythm disorders also increase. Effective diagnostic and treatment modalities
exist, but financial resources and expertise are limited. Cost-effective
strategies exist to address most of these limitations, but many surmountable
barriers need to be overcome to introduce and improve electrophysiologic care in
LMICs. In this article, current and potential solutions are offered for the
diagnostic and therapeutic challenges of managing bradyarrhythmias and
tachyarrhythmias.
PMID- 27886790
TI - Cardiac Disease Associated with Human Immunodeficiency Virus Infection.
AB - Over the last 2 decades human immunodeficiency virus (HIV) infection has become a
chronic disease requiring long-term management. Aging, antiretroviral therapy,
chronic inflammation, and several other factors contribute to the increased risk
of cardiovascular disease in patients infected with HIV. In low-income and middle
income countries where antiretroviral therapy access is limited, cardiac disease
is most commonly related to opportunistic infections and end-stage manifestations
of HIV/acquired immunodeficiency syndrome, including HIV-associated
cardiomyopathy, pericarditis, and pulmonary arterial hypertension. Cardiovascular
screening, prevention, and risk factor management are important factors in the
management of patients infected with HIV worldwide.
PMID- 27886792
TI - Diagnosis and Management of Endomyocardial Fibrosis.
AB - Endomyocardial fibrosis (EMF) remains an important cause of restrictive
cardiomyopathy worldwide. Patients cluster in specific geographic locations and
are almost universally living in extreme poverty. Specific etiology remains
elusive and is likely multifactorial. Untreated EMF has a very poor prognosis.
Medical management can mitigate symptoms for a time but has no curative benefit.
Early surgical interventions may improve survival but are not readily available
in most EMF-endemic regions. Increased awareness, advocacy, and research are
needed to further understand this neglected tropical cardiomyopathy and to
improve survival of those affected.
PMID- 27886794
TI - Five Reasons Why Global Health Matters to Cardiologists.
PMID- 27886795
TI - Miscellaneous inflammatory musculoskeletal conditions: Always a diagnostic and
therapeutic challenge.
PMID- 27886796
TI - Adult-onset Still's disease: Advances in the treatment.
AB - Adult-onset Still's disease (AOSD) is a rare systemic inflammatory disorder
mainly characterized by persistent high spiking fevers, evanescent rash, and
joint involvement. The pathogenesis of AOSD is only partially known, but pro
inflammatory cytokines such as tumor necrosis factor (TNF)-alpha, interleukin
(IL)-1, IL-6, IL-18, and IFN-gamma seem to play a major role in this disorder.
AOSD is at the crossroad of auto-inflammatory syndromes and autoimmune diseases.
It is diagnosed by exclusion to determine the presence of high serum ferritin
levels, which is usually >1000 MUg/L. AOSD is generally treated with non
steroidal anti-inflammatory drugs, corticosteroids, and disease-modifying anti
rheumatic drugs (DMARDs). Although information on biologic therapy in the
management of AOSD is scarce, these drugs represent a major breakthrough in the
management of patients with AOSD refractory to corticosteroids or conventional
DMARDs or in patients presenting life-threatening manifestations. In this regard,
TNF-alpha, IL-1, and IL-6 antagonists had been proved effective in patients with
AOSD.
PMID- 27886791
TI - Environmental Exposures and Cardiovascular Disease: A Challenge for Health and
Development in Low- and Middle-Income Countries.
AB - Environmental exposures in low- and middle-income countries lie at the
intersection of increased economic development and the rising public health
burden of cardiovascular disease. Increasing evidence suggests an association of
exposure to ambient air pollution, household air pollution from biomass fuel,
lead, arsenic, and cadmium with multiple cardiovascular disease outcomes,
including hypertension, coronary heart disease, stroke, and cardiovascular
mortality. Although populations in low- and middle-income countries are
disproportionately exposed to environmental pollution, evidence linking these
exposures to cardiovascular disease is derived from populations in high-income
countries. More research is needed to further characterize the extent of
environmental exposures.
PMID- 27886797
TI - Catastrophic antiphospholipid syndrome: The current management approach.
AB - The current recommendation for catastrophic antiphospholipid syndrome (CAPS)
management is the standard triple therapy with anticoagulation (AC),
glucocorticoids (GCs), plasma exchange (PE), and/or intravenous immunoglobulins
(IVIGs). Of note, only AC has a significant effect on the prognosis of these
patients. However, from the experimental or basic point of view, there is only
indirect evidence to advocate the use of these immunomodulatory therapies (GC,
PE, and IVIG) in CAPS. Recently, there have been reports of severe or refractory
CAPS patients treated with the monoclonal antibodies rituximab and eculizumab.
The first blocks CD20, a surface protein expressed on the cytoplasmic membrane of
B cells, and decreases the generation of pathogenic autoantibodies such as
antiphospholipid (aPL) antibodies. The second binds with high affinity to C5
complement protein, inhibiting its cleavage and thus preventing the generation of
C5b-C9 complex.
PMID- 27886793
TI - Innovative Approaches to Hypertension Control in Low- and Middle-Income
Countries.
AB - Elevated blood pressure, a major risk factor for ischemic heart disease, heart
failure, and stroke, is the leading global risk for mortality. Treatment and
control rates are very low in low- and middle-income countries. There is an
urgent need to address this problem. The Global Alliance for Chronic Diseases
sponsored research projects focus on controlling hypertension, including
community engagement, salt reduction, salt substitution, task redistribution,
mHealth, and fixed-dose combination therapies. This paper reviews the rationale
for each approach and summarizes the experience of some of the research teams.
The studies demonstrate innovative and practical methods for improving
hypertension control.
PMID- 27886798
TI - Multicentric reticulohistiocytosis: Rheumatology perspective.
AB - Multicentric reticulohistiocytosis (MRH) is a rare, multisystemic non-Langerhans
cell histiocytosis characterized by skin and articular involvement, and rarely
involves various other organs. There are no specific laboratory findings for MRH.
Diagnosis is based on clinical findings and skin or synovial biopsy results.
There is currently no consensus for the treatment of MRH. Here, we review the
differential diagnosis and treatment options of MRH from the rheumatologist's
perspective. We also report an index case of MRH associated with Sjogren's
syndrome and pulmonary embolism.
PMID- 27886799
TI - IgG4-related disease: Advances in the diagnosis and treatment.
AB - IgG4-related disease is a rare immune-mediated systemic disease with the
capability of involving essentially any organ. Although the presenting clinical
features vary substantially according to the speciality to which patients present
first, perhaps the most common clinical presentation is that of single or
multiple organ enlargement, arousing suspicion of cancer. The disease is
frequently diagnosed unexpectedly in pathological specimens or on imaging
studies. The diagnostic approach is complex and includes not only IgG4-related
tests (serum levels, circulating plasmablasts, and specific immunohistochemical
studies), but also clinical, laboratory, and imaging tests as well as the typical
histopathological features (lymphocytic infiltration, storiform fibrosis,
eosinophilic infiltration, and obliterative phlebitis). IgG4-related tests should
not be considered as diagnostic in the absence of an appropriate clinical
scenario. Therapeutic approaches reported to date pertain primarily to
glucocorticoids, but the use of these medications has not been studied in a
controlled or prospective manner. The most current investigational treatment
approaches have focused on targeting cells of the B-cell lineage, including B
cell-depleting agents (rituximab) and a non-depleting homodimer monoclonal
antibody targeting CD19 and Fc-gamma RIIIb.
PMID- 27886800
TI - Behcet's disease: How to diagnose and treat vascular involvement.
AB - Behcet's disease is a multisystem disorder with unknown etiology and a unique
geographic distribution. The disease is characterized by recurrent skin-mucosa
lesions and sight-threatening panuveitis. Vascular involvement, which is more
common and more severe among males, has also equally characteristic features such
as affecting mostly veins, having a significant tendency for thrombosis, and
running a relapsing course. Lower extremity vein thrombosis (LEVT) is the most
frequent manifestation, followed by vena cava inferior thrombosis. Pulmonary
artery involvement (PAI), the most common form of arterial involvement, manifests
as aneurysms and "in situ" thrombosis. PAI and Budd-Chiari syndrome are the
leading causes of increased mortality. In vascular cluster, typically, several
types of venous or arterial vascular involvement may accumulate in the same
individual. LEVT or cerebral venous sinus thrombosis is often present in these
subgroups as the first event. Immunosuppressive treatment is essential in
preventing the attacks and increasing survival.
PMID- 27886801
TI - Approach to the patients with inadequate response to colchicine in familial
Mediterranean fever.
AB - Familial Mediterranean fever (FMF) is the most common form of monogenic
autoinflammatory conditions, and response to colchicine has been considered as
one of its distinctive features among other hereditary periodic fever disorders.
Prophylactic colchicine has been shown to be effective in the prevention of
inflammatory attacks and development of amyloidosis. However, the highest
tolerable doses of colchicine may not be adequate enough to manage these goals in
approximately 5% of FMF patients. Inadequate response to colchicine in fully
compliant FMF patients may be associated with genetic and/or environmental
factors affecting disease severity and colchicine bioavailability. Clarification
of the molecular pathogenic mechanisms of FMF has revealed that interleukin-1
beta (IL-1beta) cytokine is the most likely target to attack, and several case
reports and case series have already documented the efficacy and safety of
available anti-IL-1 agents, such as anakinra, rilonacept, and canakinumab in
those patients inadequately responding to colchicine. Characterization and early
identification of those FMF patients with uncontrolled inflammatory activity have
become more important after the availability of new treatment options for the
prevention of disease-associated complications and permanent damages.
PMID- 27886802
TI - Advancements in the management of uveitis.
AB - Uveitis may exist as a clinical manifestation of an underlying systemic disease
or may represent an idiopathic entity, sometimes with a very characteristic
pattern. Different forms of uveitis have been defined on the basis of three
important variables: chronicity, anatomic location, and underlying etiology. The
evolving understanding of the immune system has resulted in a more targeted
approach to manage patients with different forms of uveitis, although clearly
this approach is at a very early stage. Altered patterns of cellular processing
and different cytokine expression, including TNF, IL-1, IL-2, IL-6, and IL17,
have been defined in uveitis, and this has laid the pathway for targeted therapy.
Furthermore, approved biologic therapies for some of the more common autoimmune
illnesses have now been tested in uveitis. Adalimumab and infliximab have been
the best studied anti-TNF agents and indeed have now been recommended by an
expert panel as the first line of treatment for ocular manifestations of Behcet's
disease and the second line of treatment for other forms of uveitis. Adalimumab
has been recently approved for intermediate uveitis, posterior uveitis, and
panuveitis. Other biologic agents have been tested, including daclizumab, a
monoclonal antibody directed against IL-2, anti-IL1, and anti-IL-6 receptor
agents and therapies that block antigen-presenting cell and T-cell interaction,
such as abatacept. In small case series, other biologics such as interferon and
rituximab have also been evaluated. Although these biologic therapies have
provided a larger armamentarium to treat uveitis, challenges remain. Uveitis is
not a disease, but a manifestation of many potential systemic diseases that may
have specific individual therapeutic targets. Identification and characterization
of these underlying diseases are not always possible and, more importantly, the
most effective therapies for each entity have not been defined. In this study, an
approach to manage patients with uveitis is presented and current therapy is
reviewed.
PMID- 27886804
TI - Sarcoidosis: Rheumatology perspective.
AB - Sarcoidosis is a systemic inflammatory granulomatous disease for which
rheumatologists are uniquely trained and qualified to treat. Historically,
sarcoidosis has been managed within silos of medical subspecialties, but with
increased appreciation of the systemic nature of this disorder and the
availability of more therapeutic options, it is clear that a multidisciplinary
approach, with the rheumatologist as a key component, can offer more optimal
care. This manuscript reviews clinically relevant immunology and pathophysiology,
diagnostic issues, management decision-making, and therapeutics in the care of
patients with sarcoidosis. Issues particularly relevant to rheumatologists are
highlighted. These include aiding in establishing diagnosis; recognition of
disease manifestations involving bone, joint, and muscle; management of calcium
metabolism and metabolic bone disease; and formulation and implementation of anti
inflammatory and immunomodulatory therapies.
PMID- 27886803
TI - Relapsing polychondritis: A 2016 update on clinical features, diagnostic tools,
treatment and biological drug use.
AB - Relapsing polychondritis (RP) is a very rare autoimmune disease characterised by
a relapsing inflammation of the cartilaginous tissues (joints, ears, nose,
intervertebral discs, larynx, trachea and cartilaginous bronchi), which may
progress to long-lasting atrophy and/or deformity of the cartilages. Non
cartilaginous tissues may also be affected, such as the eyes, heart, aorta, inner
ear and skin. RP has a long and unpredictable course. Because no randomised
therapeutic trials are available, the treatment of RP remains mainly empirical.
Minor forms of the disease can be treated with non-steroidal anti-inflammatory
drugs, whereas more severe forms are treated with systemic corticosteroids. Life
threatening diseases and corticosteroid-dependent or resistant diseases are an
indication for immunosuppressant therapy such as methotrexate, azathioprine,
mycophenolate mofetil and cyclophosphamide. Biologics could be given as second
line treatment in patients with an active disease despite the use of steroids and
immunosuppressive drugs. Although the biologics represent new potential treatment
for RP, very scarce information is available to draw any firm conclusion on their
use in RP.
PMID- 27886805
TI - Prevalence and factors associated with smoking among adolescents.
AB - OBJECTIVE: Despite anti-smoking prevention programs, many adolescents start
smoking at school age. The main objectives of this study were to determine the
prevalence and risk factors associated with smoking in adolescents living in
Uruguaiana, RS, Brazil. METHODS: A prospective study was conducted in adolescents
(12-19 years), enrolled in municipal schools, who answered a self-administered
questionnaire on smoking. RESULTS: 798 adolescents were enrolled in the study,
with equal distribution between genders. The tobacco experimentation frequency
(ever tried a cigarette, even one or two puffs) was 29.3%; 14.5% started smoking
before 12 years of age and 13.0% reported smoking at least one cigarette/day last
month. Having a smoking friend (OR: 5.67, 95% CI: 2.06-7.09), having cigarettes
offered by friends (OR: 4.21, 95% CI: 2.46-5.76) and having easy access to
cigarettes (OR: 3.82, 95% CI: 1.22-5.41) was identified as factors associated
with smoking. Having parental guidance on smoking (OR: 0.67, 95% CI: 0.45-0.77),
having no contact with cigarettes at home in the last week (OR: 0.51, 95% CI:
0.11-0.79) and knowing about the dangers of electronic cigarettes (OR: 0.88, 95%
CI: 0.21-0.92) were identified as protection factors. CONCLUSION: The prevalence
of smoking among adolescents in Uruguaiana is high. The implementation of
measures to reduce/stop tobacco use and its new forms of consumption, such as
electronic cigarettes and hookah, are urgent and imperative in schools.
PMID- 27886806
TI - Psychopathology, quality of life, and related factors in children with celiac
disease.
AB - OBJECTIVE: This study aimed to survey children with celiac disease (CD) for
psychiatric disorders, determine the possible factors that predict
psychopathology, and analyze health-related quality of life and possible factors
that could affect the quality of life. METHODS: In this study, all children
completed the Schedule for Affective Disorders and Schizophrenia for School Age
Children - Present and Lifetime Version - Turkish Version (K-SADS-PL-T), as well
as the Pediatric Quality of Life Inventory (PedsQL) for the 8-12 age group, and a
sentence completion test. A face-to-face interview was performed with the parents
of the participants to inform them about the study. RESULTS: This study included
52 children with celiac disease in the age range of 8-12 years, and 40 healthy
children. The mean age of the study group was 10.36+/-0.36 years, and 31 (59%) of
them were females. The mean age of the control group was 10.35+/-0.46 years and
24 (60%) of them were females. The mean subscale scores of the Pediatric Quality
of Life Inventory were significantly lower in children with celiac disease when
compared to the control group (p<0.05). There was at least one psychiatric
disorder in the 26 (50%) children with celiac disease. CONCLUSIONS: This study
has shown once more that celiac disease is associated with some psychiatric
signs/diagnoses, and that it decreased quality of life. Further studies are
needed to determine the factors that could reduce the psychiatric signs. It is
apparent that those studies would contribute new approaches to improve diagnosis,
treatment, and quality of life.
PMID- 27886807
TI - A method for the assessment of facial hedonic reactions in newborns.
AB - OBJECTIVE: This study describes a quantitative and qualitative methodology to
assess hedonic responses to sweet stimulus in healthy newborns. METHODS: A
descriptive, cross-sectional, observational study, with healthy newborns (up to
24h of life), between 37 and 42 gestational weeks, vaginally born and breastfed
previously to all tests. The evaluation of the newborns reactions was performed
by hedonic facial expression analysis, characterized by facial expressions with
rhythmic serial tongue protrusion after neutral or sweet solution intake.
Initially, 1mL of water solution was provided to the newborn, followed by a 1
minute recording. Afterwards, the same amount of 25% sucrose solution was
provided, performing a second recording. The concordance between researchers was
analyzed by the Bland-Altman statistical method. RESULTS: A total of 100 newborns
(n=49 males, n=51 females; mean lifetime=15h 12min+/-6h 29min) were recorded for
neutral and sucrose solution intake, totaling 197 videos (n=3 missing in the
water treatment). These videos were double-blind analyzed and the test revealed a
90% concordance between the two trained researchers, in relation to both
solutions. The intraclass correlation coefficient was 0.99 for both solutions,
with a significant increase in frequency of hedonic expressions evoked by sucrose
solution intake. CONCLUSIONS: These results confirm that the proposed method has
an efficient power to detect significant differences between neutral and sucrose
stimuli. In conclusion, this evaluation method of hedonic facial reactions in
newborns reflects the response to a specific taste.
PMID- 27886809
TI - Small mammal utilization by Middle Stone Age humans at Die Kelders Cave 1 and
Pinnacle Point Site 5-6, Western Cape Province, South Africa.
AB - Reported here are the results of a taphonomic analysis of the small mammals
(between 0.75 kg and 4.5 kg adult body weight) and size 1 bovids (<=20 kg adult
body weight) from the Middle Stone Age (MSA) sites of Die Kelders Cave 1 (DK1)
and Pinnacle Point Site 5-6 (PP5-6), Western Cape Province, South Africa. This
study provides a comprehensive taphonomic analysis of MSA small mammals with a
focus on discerning the role of humans in their accumulation and the implications
for human behavioral adaptations. Based on comparisons with control assemblages
of known accumulation, it is evident that humans accumulated many of the Cape
dune mole-rats, hares, and size 1 bovids at DK1. The patterning of cut-marked and
burned mole-rat remains at DK1 provides evidence in the MSA for the systematic
utilization of small mammals for their skins and as a protein source. Unlike DK1,
small mammals and size 1 bovids constitute only a small portion of the PP5-6
mammals and they exhibit little evidence of human accumulation. Nocturnal and
diurnal raptors accumulated most of the small fauna at PP5-6. The nominal
presence of small mammals in the PP5-6 fauna is atypical of MSA sites in the Cape
Floristic Region, where they are abundant and often constitute large portions of
MSA archaeofaunas. DK1 humans maximized the environmental yield by exploiting low
quality resources, a strategy employed possibly in response to localized
environmental conditions and to greater human population densities. In
comparison, the MIS5-4 humans at PP5-6 did not exploit small mammals and instead
focused on higher-quality resources like shellfish and large ungulates. Humans
and predators accumulated few small mammals at PP5-6, suggesting that these taxa
may have been less abundant near the site and/or that humans could afford to
concentrate on high-quality resources, perhaps because of a higher-yield local
environment. This study suggests that an adaptive response to the environmental
conditions of MIS4 was to maximize the resource yield of local habitats to
include lower-quality resources when necessary. The incorporation of these
resources in the face of changing environmental and perhaps population pressures
is a subsistence adaptation that played a crucial role in the population
stability and expansion evidenced by the number of sites in the Cape dating to
MIS4.
PMID- 27886808
TI - Comparative isotope ecology of African great apes.
AB - The isotope ecology of great apes is a useful reference for palaeodietary
reconstructions in fossil hominins. As extant apes live in C3-dominated habitats,
variation in isotope signatures is assumed to be low compared to hominoids
exploiting C4-plant resources. However, isotopic differences between sites and
between and within individuals are poorly understood due to the lack of
vegetation baseline data. In this comparative study, we included all species of
free-ranging African great apes (Pan troglodytes, Pan paniscus, Gorilla sp.).
First, we explore differences in isotope baselines across different habitats and
whether isotopic signatures in apes can be related to feeding niches (faunivory
and folivory). Secondly, we illustrate how stable isotopic variations within
African ape populations compare to other extant and extinct primates and discuss
possible implications for dietary flexibility. Using 701 carbon and nitrogen
isotope data points resulting from 148 sectioned hair samples and an additional
collection of 189 fruit samples, we compare six different great ape sites. We
investigate the relationship between vegetation baselines and climatic variables,
and subsequently correct great ape isotope data to a standardized plant baseline
from the respective sites. We obtained temporal isotopic profiles of individual
animals by sectioning hair along its growth trajectory. Isotopic signatures of
great apes differed between sites, mainly as vegetation isotope baselines were
correlated with site-specific climatic conditions. We show that controlling for
plant isotopic characteristics at a given site is essential for faunal data
interpretation. While accounting for plant baseline effects, we found distinct
isotopic profiles for each great ape population. Based on evidence from
habituated groups and sympatric great ape species, these differences could
possibly be related to faunivory and folivory. Dietary flexibility in apes
varied, but temporal variation was overall lower than in fossil hominins and
extant baboons, shifting from C3 to C4-resources, providing new perspectives on
comparisons between extinct and extant primates.
PMID- 27886810
TI - Early modern human lithic technology from Jerimalai, East Timor.
AB - Jerimalai is a rock shelter in East Timor with cultural remains dated to 42,000
years ago, making it one of the oldest known sites of modern human activity in
island Southeast Asia. It has special global significance for its record of early
pelagic fishing and ancient shell fish hooks. It is also of regional significance
for its early occupation and comparatively large assemblage of Pleistocene stone
artefacts. Three major findings arise from our study of the stone artefacts.
First, there is little change in lithic technology over the 42,000 year sequence,
with the most noticeable change being the addition of new artefact types and raw
materials in the mid-Holocene. Second, the assemblage is dominated by small chert
cores and implements rather than pebble tools and choppers, a pattern we argue
pattern, we argue, that is common in island SE Asian sites as opposed to mainland
SE Asian sites. Third, the Jerimalai assemblage bears a striking resemblance to
the assemblage from Liang Bua, argued by the Liang Bua excavation team to be
associated with Homo floresiensis. We argue that the near proximity of these two
islands along the Indonesian island chain (c.100 km apart), the long antiquity of
modern human occupation in the region (as documented at Jerimalai), and the
strong resemblance of distinctive flake stone technologies seen at both sites,
raises the intriguing possibility that both the Liang Bua and Jerimalai
assemblages were created by modern humans.
PMID- 27886811
TI - Morphoarchitectural variation in South African fossil cercopithecoid endocasts.
AB - Despite the abundance of well-preserved crania and natural endocasts in the South
African Plio-Pleistocene cercopithecoid record, which provide direct information
relevant to the evolution of their endocranial characteristics, few studies have
attempted to characterize patterns of external brain morphology in this highly
successful primate Superfamily. The availability of non-destructive penetrating
radiation imaging systems, together with recently developed computer-based
analytical tools, allow for high resolution virtual imaging and modeling of the
endocranial casts and thus disclose new perspectives in comparative
paleoneurology. Here, we use X-ray microtomographic-based 3D virtual imaging and
quantitative analyses to investigate the endocranial organization of 14
cercopithecoid specimens from the South African sites of Makapansgat,
Sterkfontein, Swartkrans, and Taung. We present the first detailed comparative
description of the external neuroanatomies that characterize these Plio
Pleistocene primates. Along with reconstruction of endocranial volumes, we
combine a semi-automatic technique for extracting the neocortical sulcal pattern
together with a landmark-free surface deformation method to investigate
topographic differences in morphostructural organization. Besides providing and
comparing for the first time endocranial volume estimates of extinct Plio
Pleistocene South African cercopithecoid taxa, we report additional information
regarding the variation in the sulcal pattern of Theropithecus oswaldi
subspecies, and notably of the central sulcus, and the neuroanatomical condition
of the colobine taxon Cercopithecoides williamsi, suggested to be similar for
some aspects to the papionin pattern, and discuss potential phylogenetic and
taxonomic implications. Further research in virtual paleoneurology, applied to
specimens from a wider geographic area, is needed to clarify the polarity,
intensity, and timing of cortical surface evolution in cercopithecoid lineages.
PMID- 27886812
TI - Foraging potential of underground storage organ plants in the southern Cape,
South Africa.
AB - Underground storage organs (USOs) serve as a staple source of carbohydrates for
many hunter-gatherer societies and they feature prominently in discussions of
diets of early modern humans. While the way of life of hunter-gatherers in South
Africa's Cape no longer exists, there is extensive ethnographic, historical, and
archaeological evidence of hunter-gatherers' use of USOs. This is to be expected,
given that the Cape supports the largest concentration of plant species with USOs
globally. The southern Cape is the location of several Middle Stone Age sites
that are highly significant to research on the origins of behaviourally modern
humans, and this provided the context for our research. Here, we evaluate the
foraging potential of USOs by identifying how abundant edible biomass is in the
southern Cape, how easily it is gathered, and how nutritious it is. One hundred 5
* 5 m plots were assessed in terms of USO species and abundance. Nearly all of
the sites sampled (83%) contained edible USOs and some had high concentrations of
edible biomass. Extrapolating from these sites suggests that the edible USO
biomass falls within the range of biomass observed in areas supporting extant
hunter-gatherer communities. The nutritional content for six USO species was
assessed; these contained between 40 and 228 calories/100 g. Furthermore,
foraging events were staged to provide an indication of the potential return
rates for the same six USOs. The target species grow near the soil surface,
mostly in sandy soils, and were gathered with minimal effort. Some 50% of the
foraging events conducted yielded enough calories to meet the daily requirements
of a hunter-gatherer within two hours. In conclusion, we demonstrate that USOs
are a readily available source of carbohydrates in the southern Cape landscape
and, therefore, there is a strong possibility that USOs played a critical role in
providing food for early humans.
PMID- 27886813
TI - Cranial vault thickness in non-human primates: Allometric and geometric analyses
of the vault and its component layers.
AB - Extremely thick cranial vaults have been noted as a diagnostic characteristic of
Homo erectus since the first fossil of the species was identified, but relatively
little work has been done on elucidating its variation within extant non-human
primates. Cranial vault thickness (CVT) is not a monolithic trait, and the
relationship of its layers to other morphological variables is unknown. Total CVT
and the thickness of the cortical and diploe layers individually, as well as the
ratio between diploe and total thickness, were calculated from 258 female
individuals from 47 species of non-human primate. Measures of CVT were then
regressed onto measures of body, brain, vault, facial, and mandibular size as
well as vault shape. Total frontal and parietal CVT scales with positive
allometry or isometry with measures of size across a combined non-human primate
sample, although some variation exists within each infraorder and when diploe
thickness alone is compared to measures of size. CVT in this sample correlates
weakly with cranial vault shape, but the relationship described here contradicts
an earlier hypothesis that long, low vaults should be thicker than higher,
globular vaults. This study provides new data on the variation of vault
morphology among extant primates that may be used to inform future hypotheses for
the cranial vault hypertrophy of H. erectus.
PMID- 27886815
TI - Antiplatelet Therapy for Secondary Prevention After Acute Myocardial Infarction.
AB - Patients with prior myocardial infarction (MI) are at long-term heightened risk
for recurrent ischemic events. Several large randomized controlled trials have
demonstrated the benefit of more intensive antiplatelet strategies for long-term
secondary prevention of cardiovascular death, recurrent MI, and stroke in
patients with a history of MI at a cost of increased bleeding. The bleeding risk
associated with long-term intensive antiplatelet strategies requires careful
patient selection and involvement of patients in shared decision making regarding
risks and benefits of therapy. Clinical characteristics, adherence to therapy,
and integrated risk scores may aid clinicians in translating clinical trials into
individualized therapy.
PMID- 27886816
TI - Pretreatment with Antiplatelet Agents in the Setting of Percutaneous Coronary
Intervention: When and Which Drugs?
AB - Administering antiplatelet agents before coronary angiography to patients
referred to elective or urgent percutaneous coronary intervention (PCI) requires
a careful evaluation of advantages and disadvantages associated with platelet
inhibition to avoid overtreatment on one side and undertreatment on the other.
The delicate balance between ischemic protection and bleeding demands the ability
to undertake risk stratification and individualized decisions, which is
particularly challenging in the setting of ad hoc PCI and urgent procedures. This
review analyzes the current evidence on pretreatment with oral and intravenous
P2Y12 inhibitors in patients undergoing coronary angiography with intent to
undergo PCI.
PMID- 27886814
TI - Regulation of Platelet Activation and Coagulation and Its Role in Vascular Injury
and Arterial Thrombosis.
AB - Hemostasis requires tightly regulated interaction of the coagulation system,
platelets, blood cells, and vessel wall components at a site of vascular injury.
Dysregulation of this response may result in excessive bleeding if the response
is impaired, and pathologic thrombosis with vessel occlusion and tissue ischemia
if the response is robust. Studies have elucidated the major molecular signaling
pathways responsible for platelet activation and aggregation. Antithrombotic
agents targeting these pathways are in clinical use. This review summarizes
research examining mechanisms by which these multiple platelet signaling pathways
are integrated at a site of vascular injury to produce an optimal hemostatic
response.
PMID- 27886817
TI - Antithrombotic Therapy to Reduce Ischemic Events in Acute Coronary Syndromes
Patients Undergoing Percutaneous Coronary Intervention.
AB - Antithrombotic therapy is essential in the prevention of periprocedural death and
myocardial infarction during and after percutaneous coronary intervention. In the
pathogenesis of acute coronary syndromes (ACS), both platelets and the
coagulation cascade play an important role. Therefore, periprocedural
antithrombotic therapy is even more important in ACS than in elective PCI. The
most used agents are aspirin, platelet P2Y12 blockers, platelet glycoprotein
IIb/IIIa blockers, and parenteral anticoagulants. The P2Y12 blockers must be
continued at least 12 months. High-risk patients should be treated with
glycoprotein IIb/IIIa receptor antagonists, especially those undergoing primary
angioplasty for ST-elevation acute coronary syndrome.
PMID- 27886819
TI - Current Role of Platelet Function Testing in Percutaneous Coronary Intervention
and Coronary Artery Bypass Grafting.
AB - There is interindividual variability in the pharmacodynamic response to
antiplatelet medications. High on-treatment platelet reactivity, reflecting a
failure to achieve adequate platelet inhibition, is associated with a higher risk
for thrombotic events. Low on-treatment platelet reactivity, or an enhanced
response to antiplatelet medications, has been linked to a higher risk for
bleeding. There is evidence for the prognostic value of platelet function testing
for risk prediction. This review presents the current evidence regarding platelet
function testing in patients undergoing percutaneous cardiac intervention and
coronary artery bypass grafting. The possible role of platelet function testing
for individualized antiplatelet treatment is highlighted.
PMID- 27886820
TI - Optimal Duration of Dual Antiplatelet Therapy After Percutaneous Coronary
Intervention.
AB - Dual antiplatelet therapy (DAPT) is an essential component of treatment in
patients with coronary artery disease treated with percutaneous coronary
intervention (PCI). Recommendations for duration of DAPT after PCI should
consider patient-specific risk, clinical presentation, stent characteristics, and
procedural factors. Prolonged DAPT results in a reduction of stent thrombosis
(ST) and myocardial infarction (MI) at the cost of increased bleeding. Studies of
shorter-duration DAPT demonstrate similar mortality, MI, ST, and less bleeding
when compared with longer DAPT duration. We review current evidence for
strategies of prolonged DAPT and abbreviated DAPT following PCI.
PMID- 27886818
TI - Genetic Determinants of P2Y12 Inhibitors and Clinical Implications.
AB - There is significant interpatient variability in clopidogrel effectiveness, which
is due in part to cytochrome P450 (CYP) 2C19 genotype. Approximately 30% of
individuals carry CYP2C19 loss-of-function alleles, which have been consistently
shown to reduce clopidogrel effectiveness after an acute coronary syndrome and
percutaneous coronary intervention. Guidelines recommend consideration of
prasugrel or ticagrelor in these patients. A clinical trial examining outcomes
with CYP2C19 genotype-guided antiplatelet therapy is ongoing. In the meantime,
based on the evidence available to date, several institutions have started
clinically implementing CYP2C19 genotyping to assist with antiplatelet selection
after percutaneous coronary intervention.
PMID- 27886821
TI - Cangrelor: Pharmacology, Clinical Data, and Role in Percutaneous Coronary
Intervention.
AB - In clinical trials that assessed the safety and efficacy of cangrelor during
percutaneous coronary intervention (PCI), cangrelor was administered as a 30
MUg/kg bolus followed by a 4-MUg/kg/min infusion for at least 2 hours or the
duration of the PCI, whichever was longer. Cangrelor is currently indicated as an
adjunct to PCI to reduce the risk of myocardial infarction, repeat coronary
revascularization, and stent thrombosis in patients who have not been treated
with a P2Y12 platelet inhibitor and are not being given a glycoprotein IIb/IIIa
inhibitor.
PMID- 27886822
TI - Ticagrelor: Effects Beyond the P2Y12 Receptor.
AB - Platelet P2Y12 receptor inhibitors are crucial in the treatment of patients with
acute coronary syndrome or undergoing percutaneous coronary intervention.
Ticagrelor is a reversibly binding, potent oral P2Y12 inhibitor that also is a
weak inhibitor of the equilibrative nucleoside transporter-1 pathway for cellular
adenosine uptake. It is hypothesized that ticagrelor has clinically relevant "off
target" effects, independent of its effect on platelet aggregation and
thrombosis. This review considers the pleiotropic effects of ticagrelor and some
of the possible mechanisms related to these effects.
PMID- 27886823
TI - Protease-Activated Receptor-1 Antagonists Post-Percutaneous Coronary
Intervention.
AB - Thrombin is a potent platelet agonist, and protease-activated receptor-1 (PAR-1)
is the main thrombin receptor in human platelets and thrombin. PAR-1 antagonism
has attracted interest as a potential therapeutic target to reduce
atherothrombotic events in patients with atherosclerotic disease, especially
coronary artery disease. In this review, the author describes the rationale of
PAR-1 antagonism for the reduction of atherothrombotic events and reviews the key
phase 3 trial results, with special attention to analyses in percutaneous
coronary intervention patients.
PMID- 27886824
TI - Switching P2Y12 Receptor Inhibiting Therapies.
AB - Antiplatelet therapy with aspirin and a P2Y12 receptor inhibitor is the
cornerstone of treatment of patients with atherothrombotic disease
manifestations. Switching between P2Y12 inhibitors occurs commonly in clinical
practice for a variety of reasons, including safety, efficacy, adherence, and
economic considerations. There are concerns about the optimal approach for
switching because of potential drug interactions, which may lead to ineffective
platelet inhibition and thrombotic complications, or potential overdosing due to
overlap in drug therapy, which might cause excessive platelet inhibition and
increased bleeding. This review provides practical considerations of switching
based on pharmacodynamic and clinical data available from the literature.
PMID- 27886825
TI - Antiplatelet and Antithrombotic Therapy in Patients with Atrial Fibrillation
Undergoing Coronary Stenting.
AB - Stroke prevention is the main priority in the management cascade of atrial
fibrillation. Most patients require long-term oral anticoagulation (OAC) and may
require percutaneous coronary intervention. Prevention of recurrent cardiac
ischemia and stent thrombosis necessitate dual antiplatelet therapy (DAPT) for up
to 12 months. Triple antithrombotic therapy with OAC plus DAPT of shortest
feasible duration is warranted, followed by dual antithrombotic therapy of OAC
and antiplatelet agent, and OAC alone after 12 months. Because of elevated risk
of hemorrhagic complications, new-generation drug-eluting stents, lower-intensity
OAC, radial access, and routine use of gastric protection with proton pump
inhibitors are recommended.
PMID- 27886826
TI - Antiplatelet and Anticoagulation Therapy in Percutaneous Coronary Intervention.
PMID- 27886828
TI - Wrist Arthroscopy for Athletic Injuries.
AB - Management of hand and wrist injuries for athletes often places emphasis on an
expeditious return to sport. Arthroscopic techniques have the advantage of
directly visualizing joint derangements and correcting them via a minimally
invasive approach. This article discusses the evaluation and management of common
wrist injuries treated with arthroscopy in athletes, including scapholunate and
lunotriquetral injury, triangular fibrocartilage complex tears, hamatolunate
impingement, and arthroscopic-assisted reduction of wrist fractures.
PMID- 27886827
TI - Treating the Football Athlete: Coaches' Perspective from the University of
Michigan.
AB - Although football is one of the most popular sports in America, its high injury
incidence places concern on the injury prevention and safety of its players. This
article investigates the perspectives of two National Collegiate Athletic
Association Division 1 football coaches on promoting injury management and player
safety while maintaining a highly competitive team. Through obtaining their
coaching philosophy team management topics, effective strategies that contribute
to a team culture prioritizing player well-being were identified. Interactions of
football coaches with physicians and medical specialists are explored to
highlight strengths that can optimize the care and treatment of football
athletes.
PMID- 27886829
TI - Finger Injuries in Ball Sports.
AB - Finger injuries are common in athletes playing in professional ball sports.
Understanding the intricate anatomy of the digit is necessary to properly
diagnose and manage finger injuries. Unrecognized or poorly managed finger
injuries can lead to chronic deformities that can affect an athlete's
performance. Multiple factors and treatment options should be considered to
provide the best functional outcome and rapid return to play for an athlete. This
article discusses the mechanism of injury, diagnosis, treatment, and return-to
play recommendations for common finger injuries in ball sports.
PMID- 27886830
TI - Flexor Tendon Pulley Injuries in Rock Climbers.
AB - Closed pulley ruptures are rare in the general population but occur more
frequently in rock climbers due to biomechanical demands on the hand. Injuries
present with pain and swelling over the affected pulley, and patients may feel or
hear a pop at the time of injury. Sequential pulley ruptures are required for
clinical bowstringing of the flexor tendons. Ultrasound confirms diagnosis of
pulley rupture and evaluates degree of displacement of the flexor tendons.
Isolated pulley ruptures frequently are treated conservatively with early
functional rehabilitation. Sequential pulley ruptures require surgical
reconstruction. Most climbers are able to return to their previous activity
level.
PMID- 27886832
TI - Thumb Injuries in Athletes.
AB - Thumb injuries are common in athletes and present a challenging opportunity for
upper extremity physicians. Common injuries include metacarpal base fractures
(Bennett and Rolando types), ulnar and radial collateral ligament injuries,
dislocation of the carpometacarpal and metacarpophalangeal joints, and phalanx
fractures. This review, although not exhaustive, highlights some of the most
common thumb injuries in athletes. The treating physician must balance pressure
from athletes, parents, coaches, and executives to expedite return to play with
the long-term well-being of the athlete. Operative treatment may expedite return
to play; however, one must carefully weigh the added risks involved with surgical
intervention.
PMID- 27886831
TI - Finger Injuries in Football and Rugby.
AB - Football and rugby athletes are at increased risk of finger injuries given the
full-contact nature of these sports. Some players may return to play early with
protective taping, splinting, and casting. Others require a longer rehabilitation
period and prolonged time away from the field. The treating hand surgeon must
weigh the benefits of early return to play for the current season and future
playing career against the risks of reinjury and long-term morbidity, including
post-traumatic arthritis and decreased range of motion and strength. Each player
must be comprehensively assessed and managed with an individualized treatment
plan.
PMID- 27886834
TI - Upper Extremity Injuries in Gymnasts.
AB - Gymnastics is a unique sport, which loads the wrist and arms as weight-bearing
extremities. Because of the load demands on the wrist in particular, stress
fractures, physeal injury, and overuse syndromes may be observed. This spectrum
of injury has been termed "gymnast's wrist," and incorporates such disorders as
wrist capsulitis, ligamentous tears, triangular fibrocartilage complex tears,
chondromalacia of the carpus, stress fractures, distal radius physeal arrest, and
grip lock injury.
PMID- 27886835
TI - Shoulder Injuries in the Overhead Throwing Athlete.
AB - The overhead pitching motion is a coordinated sequence of movements that subjects
the shoulder to extreme forces. The ultimate goal of this complex, dynamic
activity is to generate high ball velocity and accuracy. In doing so, repetitive
throwing can cause adaptive and pathologic changes in the thrower's shoulder.
This article reviews the relevant shoulder anatomy, the kinetic chain, and
throwing mechanics, as well as common shoulder injuries and surgical options for
the treating orthopedic surgeon.
PMID- 27886833
TI - Upper Extremity Injuries in Tennis Players: Diagnosis, Treatment, and Management.
AB - Upper extremity tennis injuries are most commonly characterized as overuse
injuries to the wrist, elbow, and shoulder. The complex anatomy of these
structures and their interaction with biomechanical properties of tennis strokes
contributes to the diagnostic challenges. A thorough understanding of tennis
kinetics, in combination with the current literature surrounding diagnostic and
treatment methods, will improve clinical decision-making.
PMID- 27886836
TI - Ulnar Neuropathy in Cyclists.
AB - The form and function of the cyclist exposes the ulnar nerve to both traction and
compressive forces at both the elbow and wrist. Prevention of ulnar neuropathy
and treatment of early symptoms include bike fitting, avoidance of excessive or
prolonged weight-bearing through the hands, and the use of padded gloves. For
persisting or progressive symptoms, a thorough history and physical examination
is essential to confirm the diagnosis and to rule out other sites of nerve
compression. The majority of compression neuropathies in cyclists resolve after
appropriate rest and conservative treatment; however, should symptoms persist,
nerve decompression may be indicated.
PMID- 27886837
TI - Therapy and Rehabilitation for Upper Extremity Injuries in Athletes.
AB - The approach to rehabilitation of upper extremity injuries in athletes differs
from traditional rehabilitation protocols. In general, athletes have higher
functional demands and wish to return to competitive sport in a timely manner.
Comprehensive rehabilitation must therefore be balanced with a timely and safe
return to sport. Several rehabilitation programs and adjunctive therapies are
available to hasten convalescence while minimizing the athlete's risks of
reinjury. Here, we review techniques for soft tissue mobilization and strength
training in athletic populations. We also discuss orthotics, taping, and
alternative therapies used in rehabilitation and evaluate the evidence in support
of these modalities.
PMID- 27886839
TI - Medial Elbow Injuries in the Throwing Athlete.
AB - Medial elbow injuries in the throwing athlete are common and increasing in
frequency. They occur due to repetitive supraphysiologic forces acting on the
elbow during the overhead throw. Overuse and inadequate rest are salient risk
factors for injury. Most athletes improve substantially with rest and
nonoperative treatment, although some athletes may require surgical intervention
to return to play. Because of advances in conservative and surgical treatments,
outcomes after medial elbow injury have improved over time. Currently, most
athletes are able to return to a high level of play after ulnar collateral
ligament reconstruction and experience a low rate of complications.
PMID- 27886838
TI - Biceps and Triceps Ruptures in Athletes.
AB - Although rare, biceps and triceps tendon ruptures constitute significant injuries
that can lead to profound disability if left untreated, especially in the
athletic population. Biceps rupture is more common than triceps rupture, with
both resulting from a forceful eccentric load. Surgical repair is the treatment
method of choice for tendinous ruptures in athletes. Nonoperative management is
rarely indicated in this population and is typically reserved for individuals
with partial ruptures that quickly regain strength and function. Surgical
anatomy, evaluation, diagnosis, and surgical management of these injuries are
covered in this article.
PMID- 27886840
TI - Elbow Dislocations in Contact Sports.
AB - Elbow dislocations are more common in athletes than in the general population.
Simple elbow dislocations should be managed with early range of motion and early
return to sport, even with high-level contact athletes. Patients with instability
on examination or with complex elbow dislocations may require surgical
intervention. Overall, the outcomes after simple elbow dislocations are excellent
and athletes should be able to return to play without significant limitations.
PMID- 27886841
TI - Management of Upper Extremity Injury in Divers.
AB - Modern competitive diving-especially platform diving-applies dramatic stress to
the upper extremity. Some stress occurs during handstand-style takeoffs, but more
force is delivered to the hand, wrist, elbow, and shoulder during hands-first
entry. Hand positions that minimize the amount of splash result in forceful wrist
extension. This repetitive impact can lead to chronic upper extremity pain and
acute injuries that require operative intervention. Many divers use taping and
bracing to prevent or treat this type of injury, but these are only modestly
effective. Although minor injuries can improve with conservative management,
carpal fractures and ligamentous injuries often require operative intervention.
PMID- 27886842
TI - Hand and Wrist Injuries in Golfers and Their Treatment.
AB - A thorough understanding of the swing phases and mechanisms of injury in golf
allows accurate diagnosis, treatment, and future prevention of injuries.
Recommended initial treatment starts with cessation of practice to rest the
wrist, a splint or orthotic brace, and nonsteroidal antiinflammatory drug
medication with corticosteroid injection and swing modification. Pisiform
excision is the best treatment of the most severe chronic cases of pisiform
ligament complex syndrome. Delayed diagnosis of hook of hamate fracture may lead
to complications, including flexor tendon rupture. Prompt surgical resection is
recommended to hasten return to sport and to prevent further complications.
PMID- 27886843
TI - Expediting Professional Athletes' Return to Competition.
AB - Return-to-play (RTP) decisions often represent a challenge to physicians caring
for athletes. The multifaceted and unique nature of each RTP decision makes
standardization of the decision-making process impossible and demands of the
physician thoughtful consideration of all competing interests and variables. Such
difficult medical decisions are further complicated by unique ethical and legal
considerations. Although no concrete RTP recommendations are available, the
consensus of experienced team physicians and knowledge of the rules and
regulations that apply to RTP are helpful guides to treating the various upper
extremity injuries that occur in elite athletes.
PMID- 27886844
TI - Hand and Wrist Injuries in Boxing and the Martial Arts.
AB - Hand and wrist injuries in martial arts are typically a reflection of the combat
nature of this discipline. In striking sports, the axial load mechanism of injury
is common and causes fractures and dislocations; in grappling sports, sprain
injuries and degenerative changes predominate. There is clear evidence to support
that hand protection reduces the risk of hand injury. Traditional training in
martial arts on proper technique and target selection in striking sports reduces
the risk of hand injury, and is an important component of hand and wrist injury
prevention.
PMID- 27886845
TI - Optimizing the Treatment of Upper Extremity Injuries in Athletes.
PMID- 27886846
TI - Are we facing a noncommunicable disease pandemic?
AB - The global boom in premature mortality and morbidity from noncommunicable
diseases (NCDs) shares many similarities with pandemics of infectious diseases,
yet public health professionals have resisted the adoption of this label. It is
increasingly apparent that NCDs are actually communicable conditions, and
although the vectors of disease are nontraditional, the pandemic label is apt.
Arguing for a change in terminology extends beyond pedantry as the move carries
serious implications for the public health community and the general public.
Additional resources are unlocked once a disease reaches pandemic proportions
and, as a long-neglected and underfunded group of conditions, NCDs desperately
require a renewed sense of focus and political attention. This paper provides
objections, definitions, and advantages to approaching the leading cause of
global death through an alternative lens. A novel framework for managing NCDs is
presented with reference to the traditional influenza pandemic response.
PMID- 27886847
TI - Autophagy in the respiratory diseases.
PMID- 27886848
TI - Management of lung cancer with chronic obstructive pulmonary disorder - What are
the issues?
PMID- 27886850
TI - Cellular senescence and autophagy in the pathogenesis of chronic obstructive
pulmonary disease (COPD) and idiopathic pulmonary fibrosis (IPF).
AB - Aging is associated with impairments in homeostasis. Although aging and
senescence are not equivalent, the number of senescent cells increases with
aging. Cellular senescence plays important roles in tissue repair or remodeling,
as well as embryonic development. Autophagy is a process of lysosomal self
degradation that maintains a homeostatic balance between the synthesis,
degradation, and recycling of cellular proteins. Autophagy diminishes with aging;
additionally, accelerated aging can be attributed to reduced autophagy. Cellular
senescence has been widely implicated in the pathogenesis of chronic obstructive
pulmonary disease (COPD), a disease of accelerated lung aging, presumably by
impairing cell repopulation and by aberrant cytokine secretion in the senescence
associated secretory phenotype. The possible participation of autophagy in the
pathogenic sequence of COPD has been extensively explored. Although it has been
reported that increased autophagy may induce epithelial cell death, an
insufficient reserve of autophagy can induce cellular senescence in bronchial
epithelial cells of COPD. Furthermore, advanced age is one of the most important
risk factors for the development of idiopathic pulmonary fibrosis (IPF). Telomere
shortening is found in blood leukocytes and alveolar epithelial cells from
patients with IPF. Accelerated senescence of epithelial cells plays a role in IPF
pathogenesis by perpetuating abnormal epithelial-mesenchymal interactions.
Insufficient autophagy may be an underlying mechanism of accelerated epithelial
cell senescence and myofibroblast differentiation in IPF. Herein, we review the
molecular mechanisms of cellular senescence and autophagy and summarize the role
of cellular senescence and autophagy in both COPD and IPF.
PMID- 27886849
TI - Prevalence and burden of comorbidities in Chronic Obstructive Pulmonary Disease.
AB - The classical definition of Chronic Obstructive Pulmonary Disease (COPD) as a
lung condition characterized by irreversible airway obstruction is outdated. The
systemic involvement in patients with COPD, as well as the interactions between
COPD and its comorbidities, justify the description of chronic systemic
inflammatory syndrome. The pathogenesis of COPD is closely linked with aging, as
well as with cardiovascular, endocrine, musculoskeletal, renal, and
gastrointestinal pathologies, decreasing the quality of life of patients with
COPD and, furthermore, complicating the management of the disease. The most
frequently described comorbidities include skeletal muscle wasting, cachexia
(loss of fat-free mass), lung cancer (small cell or non-small cell), pulmonary
hypertension, ischemic heart disease, hyperlipidemia, congestive heart failure,
normocytic anemia, diabetes, metabolic syndrome, osteoporosis, obstructive sleep
apnea, depression, and arthritis. These complex interactions are based on chronic
low-grade systemic inflammation, chronic hypoxia, and multiple common
predisposing factors, and are currently under intense research. This review
article is an overview of the comorbidities of COPD, as well as their interaction
and influence on mutual disease progression, prognosis, and quality of life.
PMID- 27886851
TI - The role of necroptosis in pulmonary diseases.
AB - By regulating the cell number and eliminating harmful cells, programmed cell
death plays a critical role in development, homeostasis, and disease. While
apoptosis is a recognized form of programmed cell death, necrosis was considered
a type of uncontrolled cell death induced by extreme physical or chemical stress.
However, recent studies have revealed the existence of a genetically programmed
and regulated form of necrosis, termed necroptosis. Necroptosis is defined as
necrotic cell death that is dependent on receptor-interacting protein kinase 3
(RIPK3). RIPK3, receptor-interacting protein kinase 1 (RIPK1), and a mixed
lineage kinase domain-like protein (MLKL) form a multiprotein complex called a
necrosome. Although necroptosis generally provides a cell-autonomous host
defense, on the other hand, cell rupture caused by necroptosis induces
inflammation through the release of damage-associated molecular patterns, such as
mitochondrial DNA, HMGB1, and IL-1. Previously, necroptosis was considered an
alternative to apoptosis, but it is becoming increasingly clear that necroptosis
itself is relevant to clinical disease, independent of apoptosis. According to
some recent studies, autophagy, a cellular process for organelle and protein
turnover, regulates necroptosis. This review outlines the principal components of
necroptosis and provides an overview of the emerging importance of necroptosis in
the pathogenesis of pulmonary disease, including chronic obstructive pulmonary
disease, lung cancer, infection, and sepsis. We also discuss the molecular
relationship between necroptosis and autophagy. Strategies targeting necroptosis
may yield novel therapies for pulmonary diseases.
PMID- 27886852
TI - "Cold air" and/or "talking" as cough triggers, a sign for the diagnosis of cough
variant asthma.
AB - BACKGROUND: Fractional exhaled nitric oxide (FeNO) is considered an alternative
marker of eosinophilic airway inflammation and is sometimes incorporated in the
diagnosis of asthma. However, many patients with cough variant asthma (CVA)
demonstrate an FeNO in the normal range. Therefore, additional information is
needed to confirm the diagnosis of CVA, particularly in patients with low FeNO
levels. We aimed to investigate the feasibility of using cough triggers to help
diagnose CVA. METHODS: We studied 163 patients presenting with prolonged/chronic
cough alone (including 104 CVA patients) who underwent FeNO measurements and an
airway responsiveness test, and answered a questionnaire listing 18 cough
triggers. The sensitivity and specificity of FeNO levels and cough triggers for
the diagnosis of CVA were determined. RESULTS: CVA patients showed higher FeNO
levels than non-CVA patients. When the cut-off value of FeNO levels for the
diagnosis of CVA was set at 22ppb, its sensitivity was 57%. CVA patients more
frequently responded to "cold air" and "talking" as cough triggers than non-CVA
patients. When the analysis was confined to those with a low FeNO (<22ppb) group,
the sensitivity and positive predictive values of "cold air" and "talking" for
the diagnosis of CVA were 36% and 70% for "cold air", and 44% and 74% for
"talking", respectively. Their specificity was 81%. "Cold air" was associated
with airway hyperresponsiveness in all patients with an emphasis on those with
low FeNO levels. CONCLUSION: "Cold air" and/or "talking" as cough triggers could
be signs for the diagnosis of CVA, particularly when FeNO levels are low.
PMID- 27886854
TI - Efficacy and safety of indacaterol/glycopyrronium in Japanese patients with COPD:
Pooled analysis of SHINE and ARISE.
AB - BACKGROUND: To better evaluate the efficacy and safety of the
indacaterol/glycopyrronium (IND/GLY) fixed-dose combination versus tiotropium in
Japanese patients, a pooled data analysis was conducted from the SHINE and ARISE
studies, which were part of the IND/GLY clinical trial program. METHODS: Japanese
patients with moderate-to-severe COPD were included in the analysis. Efficacy in
terms of pre-dose forced expiratory volume in one second (FEV1) at Week 12 and
Week 24/26 (ARISE/SHINE) and FEV1 at 30min and 60min post-dose at Day 1, Week 12,
and Week 24/26 was evaluated. Health status using the St. George's Respiratory
Questionnaire (SGRQ) score, rescue medication use (number of puffs/day), safety,
and tolerability were also assessed. RESULTS: In total, 340 patients (IND/GLY,
n=161; IND, n=41; GLY, n=40; tiotropium, n=79; and placebo, n=19) were included
in the analysis that focused on comparing IND/GLY versus tiotropium since they
were included in both studies. At Week 12 and Week 24/26, pre-dose FEV1 was
significantly improved with IND/GLY compared with tiotropium (treatment
differences=70mL and 80mL, respectively; both P<=0.001). FEV1 at 30min and 60min
post-dose, the SGRQ total score, and rescue medication use were more
statistically significant with IND/GLY than with tiotropium for all assessed time
points. The overall incidence of adverse events (AEs) and serious AEs was similar
between the IND/GLY- and tiotropium-treated groups. CONCLUSIONS: Compared to
tiotropium, IND/GLY provided significant improvements in lung function, health
status, and rescue medication use, while having a good safety profile in Japanese
patients with moderate-to-severe COPD.
PMID- 27886853
TI - Airway remodeling associated with cough hypersensitivity as a consequence of
persistent cough: An experimental study.
AB - BACKGROUND: Chronic cough involves airway remodeling associated with cough reflex
hypersensitivity. Whether cough itself induces these features remains unknown.
METHODS: Guinea pigs were assigned to receive treatment with citric acid (CA),
saline (SA), or CA+dextromethorphan (DEX). All animals were exposed to 0.5M CA on
days 1 and 22. On days 4-20, the CA and CA+DEX groups were exposed to CA, and the
SA group to saline thrice weekly, during which the CA+DEX group was administered
DEX pretreatment to inhibit cough. The number of coughs was counted during each
10-min CA or SA exposure. Terbutaline premedication was started to prevent
bronchoconstriction. Bronchoalveolar lavage and pathology were examined on day
25. Average cough number for 10 CA exposures was examined as "cough index" in the
CA group, which was divided into frequent (cough index>5) and infrequent (<5)
cough subgroups for lavage and pathology analysis. RESULTS: The number of coughs
significantly increased in the CA group from day 13 onwards. In the CA+DEX and SA
groups, the number of coughs did not differ between days 1 and 22, while average
number of coughs during days 4-20 was significantly lower than at days 1 and 22.
Bronchoalveolar cell profiles were similar among the four groups. The smooth
muscle area of small airways was significantly greater in the frequent-cough
subgroup than in the other groups (in which it was similar), and highly
correlated with cough index in CA group. CONCLUSION: Repeated cough induces
airway smooth muscle remodeling associated with cough reflex hypersensitivity.
PMID- 27886855
TI - Electrically induced mechanomyograms reflect inspiratory muscle strength in young
or elderly subjects.
AB - BACKGROUND: Respiratory muscle strength has been used as a tool for evaluating
respiratory rehabilitation in chronic obstructive pulmonary disease. However,
mouth pressure measurement evaluated by maximum expiratory mouth pressure (PEmax)
or inspiratory mouth pressure (PImax) offers an indirect method for measuring
respiratory muscle strength. We demonstrated the evaluation of diaphragm
contractility using a mechanomyogram (MMG), which is the mechanical signal
generated by the motion of the diaphragm induced by the electric stimulation of
the phrenic nerve. METHODS: Study participants were 21 young and 20 elderly
subjects with no symptoms of respiratory disease. The elderly subjects were
divided into non-smoker or smoker groups. The smoker group was defined as
subjects having a Brinkman Index of greater than 300. We measured basic
spirometric parameters, mouth pressure (PEmax, PImax), and diaphragmatic MMG.
RESULTS: Diaphragmatic MMG showed more clear contrast between young subjects and
elderly non-smoker or smoker subjects than the conventional method for
respiratory muscle contraction (PEmax, PImax). In addition, the diaphragmatic MMG
strongly correlated with inspiratory muscle strength. CONCLUSIONS: Diaphragmatic
MMG may reflect diaphragmatic contractility more directly and sensitively than
the conventional method.
PMID- 27886856
TI - A retrospective cohort study of outcome in systemic sclerosis-associated
interstitial lung disease.
AB - BACKGROUND: The relationship between the histological pattern and survival in
systemic sclerosis-associated interstitial lung disease (SSc-ILD) is unclear. In
patients with SSc-ILD, we investigated whether the clinical data obtained by non
invasive examinations could be used for prognostic evaluation, and attempted to
clarify whether complicating acute exacerbation (AE) and the selection of
pharmacological therapy were associated with survival. METHODS: Thirty-five
patients with SSc-ILD, who had not been diagnosed by surgical lung biopsy were
analyzed, retrospectively. The HRCT findings were evaluated by 2 radiologists and
classified into "CT-UIP" or "CT-inconsistent with UIP" patterns based on whole
lung interpretations. HRCT scores were calculated based on the extent of
abnormality evidenced by HRCT. The log-rank test was used to determine variables,
including clinical parameters and histories. RESULTS: Twelve (34%) of the 35
patients died during a median follow-up period of approximately 7.9 years. The
log-rank test showed that a higher mortality was associated with higher age, a CT
UIP pattern, a higher score for ground-glass attenuation with traction
bronchiectasis on HRCT, and complicating AE, whereas a lower mortality was
significantly associated with the use of immunosuppressants. A CT-UIP pattern was
significantly associated with a higher incidence of later AE. CONCLUSION:
Treatment with immunosuppressants was associated with a longer survival, and
complicating AE is a predictor of shortened survival in SSc-ILD patients. Among
the clinical parameters determined by non-invasive examinations, a CT-UIP pattern
and the extent of fibrotic lesions on HRCT, but not a histological pattern of
UIP, may be predictors of shortened survival.
PMID- 27886857
TI - Factors associated with positive inhalation provocation test results in subjects
suspected of having chronic bird-related hypersensitivity pneumonitis.
AB - BACKGROUND: Chronic bird-related hypersensitivity pneumonitis (BRHP) is often
misdiagnosed as other interstitial lung diseases. While the utility of the
inhalation provocation test (IPT) has been reported, the test is not commonly
performed. In this study, we aimed to identify significant clinical variables
associated with positive inhalation provocation test results in subjects
suspected of having chronic BRHP. This would help clinicians decide whether to
perform IPT in patients suspected of having chronic BRHP in real-life practice.
METHODS: We retrospectively evaluated 107 patients who underwent the IPT for
suspected chronic BRHP. We used the IPT as the gold standard diagnostic tool for
chronic BRHP. RESULTS: Specific antibodies against pigeon dropping extract were
documented in 52% of the IPT-positive patients but also in 38% of the IPT
negative patients (p=0.172). By using the logistic regression model, three
significant predictors of IPT results were identified as follows: (1) a history
of raising birds (odds ratio [OR] 3.112), (2) exposure to birds from the
surrounding environment (OR 7.321), (3) white blood cell count (*102/MUl; OR
0.959). CONCLUSIONS: This study demonstrates that current or past exposure to
avian antigens is a positive predictor of positive IPT results in patients
suspected of having chronic BRHP.
PMID- 27886859
TI - Tolerability and efficacy of afatinib at a low starting dosage in 10 elderly or
low performance status patients with advanced refractory non-small-cell lung
cancer.
AB - BACKGROUND: Whether a full dosage of afatinib is tolerable and effective for
elderly or low performance status (PS) patients with advanced refractory non
small-cell lung cancer (NSCLC) is unclear. METHODS: We retrospectively evaluated
the tolerability and efficacy of afatinib in 10 patients (the majority elderly)
with a low PS score (2 or 3), who had advanced refractory adenocarcinoma and were
carrying active epidermal growth factor receptor mutations. Afatinib was
administered at a starting dosage of 20 or 30mg/day, followed by 10mg increases
in dose up to a maximum dosage of 40mg/day. RESULTS: The median patient age was
76 years and 50% of the patients had a PS of 3. The patients had previously been
treated with gefitinib and/or erlotinib, with a median number of three
chemotherapy regimens. All the patients received at least 30mg/day of afatinib.
Eight patients did not receive the 40mg/day dosage because of patient refusal due
to grade 2 diarrhea (n=6) or the judgment of the doctor (n=2). One patient
discontinued the treatment because of drug-induced interstitial lung disease. The
most frequent adverse event was grade 2 diarrhea. The objective response rate was
11% and the PS score of five cases improved after afatinib therapy. The median
progression-free survival and overall survival periods were 3.6 months and 5.8
months, respectively. CONCLUSIONS: A low starting dosage of afatinib might enable
elderly or low PS patients with advanced refractory NSCLC to receive this drug as
salvage therapy.
PMID- 27886858
TI - Clinical analysis of patients treated with afatinib for advanced non-small cell
lung cancer: A Nagano Lung Cancer Research Group observational study.
AB - BACKGROUND: Afatinib has been available in Japan for the treatment of epidermal
growth factor receptor (EGFR)-mutated non-small cell lung cancer (NSCLC) since
May 2014. We conducted an observational study in patients treated with afatinib
in Nagano prefecture, focusing on response and associated toxicities. METHODS: We
analyzed the clinical records of NSCLC patients treated with afatinib between May
2014 and February 2015. RESULTS: The records of a total of 73 patients (27 men,
46 women) with a median age of 69 years (range: 42-85 years) were analyzed.
Afatinib was administered to 11 patients as a first-line therapy, but it was
predominantly administered as a fifth-line or beyond therapy (32 cases, 43.8%).
The overall response rates for afatinib as a first-line therapy and beyond second
line therapy were 80% (95% confidence interval [CI]: 55.2-100.0%) and 27.1% (95%
CI: 14.5-39.7%), respectively. The main toxicities grade >3 included diarrhea
(8.2%), skin rash (6.8%), nausea (6.8%), and appetite loss (6.8%). A low body
surface area (BSA) (<1.5m2) was significantly associated with a higher frequency
of diarrhea grade >2, compared with a higher BSA (>= 1.5m2). Forty-eight patients
(63.0%) were treated without a dose reduction of afatinib. CONCLUSIONS: Although
the survival benefit with afatinib remains unclear, our observational analysis
demonstrated the feasibility of using afatinib for EGFR-mutated NSCLC in clinical
practice. In particular, a relatively high level of drug delivery is possible. In
addition, a lower BSA may be a predictor of diarrhea in patients treated with
afatinib.
PMID- 27886860
TI - The predictive value of endobronchial ultrasonography with a guide sheath in the
diagnosis of the histologic subtypes of lung cancer.
AB - BACKGROUND: Recent studies have shown differential response to chemotherapy among
the subtypes of non-small cell lung carcinoma (NSCLC). Therefore, to accurately
differentiate between the types of lung cancer is of paramount importance.
Transbronchial biopsy using endobronchial ultrasonography with a guide sheath
(EBUS-GS) is a promising method for the diagnosis of NSCLC. The purpose of this
study was to evaluate the consistency between the types of lung cancer
histologically diagnosed by bronchial biopsy or cytologically by EBUS-GS, and the
final diagnosis of the resected specimen. METHODS: A retrospective analysis was
performed on 203 patients having primary lung cancers diagnosed by EBUS-GS, who
subsequently underwent curative pulmonary resection at the Hokkaido University
Hospital between July 2003 and December 2011. In the present study, non-small
cell carcinoma was defined as non-squamous cell carcinoma, and squamous cell
carcinoma (Sq) was excluded. RESULTS: Of the 40 cases diagnosed as Sq by EBUS-GS,
37 cases were diagnosed as Sq, and 3 cases were diagnosed as non-Sq after
surgical resection. Of the 159 cases diagnosed as non-Sq by EBUS-GS, 151 cases
were diagnosed as non-Sq, 6 as Sq, and 2 as small cell carcinoma after surgical
resection. These results showed that the positive predictive value of EBUS-GS in
the diagnosis of Sq was 93%, and its positive predictive value in diagnosing non
Sq was 95%. CONCLUSIONS: The pathological subtyping of NSCLC using small tissue
and cytology samples obtained by EBUS-GS appears to effectively distinguish
between Sq and non-Sq and is therefore considered useful in making a treatment
decision.
PMID- 27886862
TI - Advancing tuberculosis screening in Japan: Historical considerations and the way
forward.
PMID- 27886863
TI - Analysis of scrub typhus involvement of the lung by bronchoalveolar lavage: A
case report.
PMID- 27886861
TI - Applicability of the Japanese equation for estimating glomerular filtration rate
in patients with advanced-stage thoracic cancer.
AB - BACKGROUND: The 24-h creatinine clearance (24-h Ccr) and the Cockcroft-Gault
equation (CG) are commonly used as markers of renal function in clinical
practice. However, the utility of the Japanese equation for estimating glomerular
filtration rate (eGFR) in cancer patients has not yet been evaluated. The aim of
this cross-sectional study was to investigate the extent and correlating factors
for differences between eGFR and both 24-h Ccr and CG in advanced-stage thoracic
cancer patients. METHODS: eGFR, 24-h Ccr, and CG were calculated in 90 patients
with thoracic malignancies. We evaluated how these three parameters are affected
by clinical factors, including age, body surface area, serum creatinine
concentration, and body mass index. RESULTS: eGFR and CG were significantly
correlated with 24-h Ccr (r=0.64, p<0.001 and; r=0.67, p<0.001, respectively).
However, the median value derived from eGFR was higher than the median 24-h Ccr
and the CG value (74.0, 65.2, and 63.9mL/min, respectively). Age had a
significant positive correlation with the differences between eGFR and both 24-h
Ccr and CG value (r=0.30, p=0.005 and; r=0.47, p<0.001, respectively). The
differences between eGFR and the other two parameters were significantly higher
in older patients (age>=70 years) than in younger patients (age<70 years)
(p=0.023, p<0.001, respectively). CONCLUSIONS: eGFR is likely to overestimate the
renal function of elderly cancer patients. A modified equation for evaluating the
renal function of Japanese older patients might be needed.
PMID- 27886864
TI - An autopsy case of refractory pulmonary hypertension with sarcoidosis.
AB - A 63-year-old man with sarcoidosis-associated pulmonary hypertension (SAPH) died
suddenly of decompensated right heart failure. At autopsy, microscopy showed
subpleural and interlobular fibrosis in both upper lobes, with marked broncho
bronchiolectasis, as well as bronchovascular bundle fibrosis and fibrotic
organization in alveolar lumens, which are consistent with pulmonary sarcoidosis.
Intimal fibrosis and medial hypertrophy were noted in the proximal elastic to
distal muscular pulmonary arteries (Heath-Edwards, grades II-III) within
intensive fibrotic lesions. Additionally, diffuse alveolar capillary
multiplication (DACM) was present in macroscopically normal lung parenchymal
lesions, associated with wall muscularization. In this case, muscularization of
capillaries may have been induced by hypoxemia and hypoxic pulmonary
vasoconstriction, resulting in pulmonary hypertension.
PMID- 27886866
TI - Corrigendum to "The effect of different drugs on the preparation and biological
outcomes of plasma rich in growth factors" [Ann. Anat. 196 (2014) 423-429].
PMID- 27886865
TI - Two cases of pseudo-achalasia with lung cancer: Case report and short literature
review.
AB - Pseudo-achalasia with lung cancer is a rare complication. We present 2 cases of
pseudo-achalasia with lung cancer and summarize previous reports. The previous
reports suggested that lung cancer can be complicated with pseudo-achalasia
caused by paraneoplastic neurological syndromes rather than direct invasion of
the tumor cells to the lower esophageal sphincter, irrespective of the histology
of the lung cancer; this can strongly influence the performance status. Treatment
for pseudo-achalasia improves not only the symptoms, but also the performance
status. Therefore, pseudo-achalasia should be considered when lung cancer
patients present with dysphagia without other known causes.
PMID- 27886867
TI - Is It Time to Retire Santa Claus?
PMID- 27886868
TI - Pilot Evaluation of the Informant AD8 as a Case-Finding Instrument for Cognitive
Impairment in General Practitioner Clinics of Singapore: A Brief Report.
AB - BACKGROUND: Case-finding services using a composite total risk score (TRS) and
the informant AD8 have been previously recommended to detect cognitive impairment
(CI) in government subsidized primary health care centers of Singapore (ie,
polyclinics). OBJECTIVE: We compared the feasibility of implementing the services
recommended for government-subsidized primary health care in private, primary
health care service providers such as general practitioner (GP) clinics. METHOD:
123 patients >=60 years of age were recruited from 2 GP clinics within Singapore.
Trained research personnel administered the AD8 to informants. Patients of the
present study were compared against a random sample of 123 patients selected from
polyclinics. RESULTS: Significantly higher positive screening rates (AD8 >=3)
were found among patients in polyclinics than GP clinics (P < .001). Patients
attending polyclinics reported more comorbid medical issues such as subjective
cognitive complaint (P < .001) and heart disease (P < .001). The TRS of patients
attending polyclinics was significantly higher than those attending GP clinics (P
< .001), indicating a higher proportion of patients at risk of CI in polyclinics.
Therefore, patients attending polyclinics were found to have higher AD8 scores
compared with patients in GP clinics (P < .001). CONCLUSION: Compared with GP
clinics, polyclinics may be more suited to provide case-finding services for the
detection of CI in primary health care.
PMID- 27886870
TI - Interventions for the Treatment of Pain in Nursing Home Residents: A Systematic
Review and Meta-Analysis.
AB - BACKGROUND: More than one-half of nursing home residents experience a complex mix
of pain. Despite this, assessment and treatment of pain remain inadequate.
METHODS: Using techniques of the Cochrane Collaboration and Preferred Reporting
Items for Systematic Reviews and Meta-Analyses (PRISMA) guidelines, we assessed
efficacy of interventions aimed at reducing chronic pain in nursing home
residents >65 years of age. We searched for controlled trials comparing and
measuring pain interventions using standardized pain scales. Two reviewers
independently selected included studies, abstracted data, and assessed risk of
bias. We performed meta-analyses calculating standardized mean differences (SMDs)
using random effect models. RESULTS: Fourteen trials (n = 2293) were included in
the meta-analysis: 7 reported nonanalgesic treatments, 4 reported analgesic
treatments, 5 reported system modifications, and 2 reported educational
interventions. A variety of pain scales were used, reporting outcome measures
from 1 week to 1 year. Pooled results at trial completion revealed a
statistically significant small treatment effect [SMD -0.33, 95% confidence
interval (CI) -0.51, -0.14]. Further subgroup analysis revealed that residents
receiving analgesic interventions benefited most (SMD -0.65, 95% CI -1.07,
0.23), followed by those receiving educational interventions (SMD -0.40, 95% CI
0.59, -0.21), and those receiving system modification interventions (SMD -0.26,
95% CI -0.51, -0.02). CONCLUSIONS: Nonanalgesic treatment and control groups
showed no statistical differences. Our findings suggest that analgesics are the
most effective pain intervention and should be considered first-line therapy.
Caution should be used in interpreting findings as few trials were included, risk
of bias was variable, sample sizes were small, and pooled treatment effects were
small to moderate.
PMID- 27886869
TI - Frailty and the Prediction of Negative Health Outcomes: A Meta-Analysis.
AB - INTRODUCTION: Frailty is one of the most important concerns regarding our aging
population. Evidence grows that the syndrome is linked to several important
health outcomes. A general overview of frailty concepts and a comprehensive meta
analysis of their relation with negative health outcomes still lacks in
literature, making it difficult for health care professionals and researchers to
recognize frailty and the related health risks on the one hand and on the other
hand to appropriately follow up the frailty process and take substantiated
action. Therefore, this study aims to give an overview of the predictive value of
the main frailty concepts for negative health outcomes in community-dwelling
older adults. METHODS: This review and meta-analysis assembles prospective
studies regarding the relation between frailty and any potential health outcome.
Frailty instruments were subdivided into frailty concepts, so as to make
comprehensive comparisons. Odds ratios (ORs), hazard ratios (HRs), and relative
risk (RR) scores were extracted from the studies, and meta-analyses were
conducted in OpenMeta Analyst software. RESULTS: In total, 31 articles retrieved
from PubMed, Web of Knowledge, and PsycInfo provided sufficient information for
the systematic review and meta-analysis. Overall, (pre)frailty increased the
likelihood for developing negative health outcomes; for example, premature
mortality (OR 2.34 [1.77-3.09]; HR/RR 1.83 [1.68-1.98]), hospitalization (OR 1.82
[1.53-2.15]; HR/RR 1.18 [1.10-1.28]), or the development of disabilities in basic
activities of daily living (OR 2.05 [1.73-2.44]); HR/RR 1.62 [1.50-1.76]).
CONCLUSION: Overall, frailty increases the risk for developing any discussed
negative health outcome, with a 1.8- to 2.3-fold risk for mortality; a 1.6- to
2.0-fold risk for loss of activities of daily living; 1.2- to 1.8-fold risk for
hospitalization; 1.5- to 2.6-fold risk for physical limitation; and a 1.2- to 2.8
fold risk for falls and fractures. The analyses presented in this study can be
used as a guideline for the prediction of negative outcomes according to the
frailty concept used, as well as to estimate the time frame within which these
events can be expected to occur.
PMID- 27886871
TI - Update on New Imaging Techniques for Trauma.
AB - Computed tomography (CT) and MRI are complementary imaging modalities for the
evaluation of the traumatic spine. Osseous delineation is best assessed with CT,
whereas MRI gives superb soft tissue description. Awareness of the strengths and
pitfalls of each modality is critical in the accurate interpretation of images.
Advances in MR imaging of the spine, particularly of the spinal cord, provide
glimpses into to the pathobiological mechanism of spinal cord injury. Innovative
techniques relay microstructural information about the integrity of the axons and
myelin sheaths. In addition to clinical status, imaging features may be helpful
in prognostication and in monitoring therapeutic interventions.
PMID- 27886872
TI - Pediatric Thoracolumbar Spine Trauma.
AB - This article reviews thoracolumbar injury patterns that may be seen in children.
Although much of the management of these injuries has been extrapolated from the
adult literature, unique surgical and nonsurgical considerations in treating
children with thoracolumbar spine fractures are discussed. In conclusion, most
children achieve satisfactory outcomes in long-term follow-up after healing.
PMID- 27886873
TI - Treatment of Odontoid Fractures in the Aging Population.
AB - Odontoid fractures are the most common cervical fracture type among the elderly
population. Several treatment options exist for these patients, ranging from
immobilization with a semirigid orthosis to surgical arthrodesis. This report
reviews the key points in the management of odontoid fractures in the aged
patient, including diagnosis, the various forms of conservative therapies, and
the options for surgical intervention.
PMID- 27886874
TI - Treatment of Facet Injuries in the Cervical Spine.
AB - Facet injuries are common in the cervical spine. Many classification systems over
the years have characterized the heterogeneity of these injuries. For unilateral
facet fractures with minimal displacement and no neurological deficit, there is
mounting evidence that better radiographic and clinical outcomes may be achieved
with surgical treatment. Anterior and posterior approaches can both be utilized
successfully for the surgical management of facet injuries. The anterior approach
is well tolerated, allows one to address a disc herniation, and provides a high
union rate with good sagittal alignment. The posterior approach allows for easier
open reduction and biomechanically superior fixation.
PMID- 27886875
TI - The Role of a Miniopen Thoracoscopic-assisted Approach in the Management of Burst
Fractures Involving the Thoracolumbar Junction.
AB - Thoracoscopic spinal surgery is a minimally invasive open endoscopic approach to
the anterior thoracolumbar spine for decompression and stabilization. It offers
an alternative to open thoracotomy for thoracolumbar burst fractures, anterior
spinal cord decompression, and spinal reconstruction with interbody and
anterolateral plate instrumentation for restoration of biomechanical stability
and alignment. Posterior instrumentation may not sufficiently stabilize a
significantly disrupted anterior load-bearing spinal column, and the high access
morbidity of open procedures is of significant concern. The adoption by spine
surgeons of minimally invasive thoracoscopic techniques used by thoracic surgeons
has expanded to include treatment of most anterior thoracolumbar disorders.
PMID- 27886876
TI - Complications in the Management of Patients with Spine Trauma.
AB - More than 50% of patients diagnosed with acute, traumatic spinal cord injury will
experience at least 1 complication during their hospitalization. Age, severity of
neurological injury, concurrent traumatic brain injury, comorbid illness, and
mechanism of injury are all associated with increasing risk of complication. More
than 75% of complications will occur within 2 weeks of injury. The complications
associated with SCI carry a significant risk of morbidity and mortality; their
early identification and management is critical in the care of the SCI patient.
PMID- 27886877
TI - Minimally Invasive Treatment of Spine Trauma.
AB - The role for minimally invasive surgery (MIS) continues to expand in the
management of spinal pathology. In the setting of trauma, operative techniques
that can minimize morbidity without compromising clinical efficacy have
significant value. MIS techniques are associated with decreased intraoperative
blood loss, operative time, and morbidity, while providing patients with
comparable outcomes when compared with conventional open procedures. MIS
interventions further enable earlier mobilization, decreased hospital stay,
decreased pain, and an earlier return to baseline function when compared with
traditional techniques. This article reviews patient selection and select MIS
techniques for those who have suffered traumatic spinal injury.
PMID- 27886878
TI - Return to Play for Athletes.
AB - Sports-related activities are associated with a variety of spinal injuries. Spine
surgeons must be able to determine an athlete's readiness to return to play. Most
spine surgeons agree that an athlete should be neurologically intact, be pain
free, be at full strength, and have full range of motion before returning to
full, unrestricted athletic activity. Certain spine injuries such as stingers may
allow for return to play nearly immediately; whereas, other clinical entities
such as spear tackler's spine are considered absolute contraindications to return
to play.
PMID- 27886879
TI - Thoracolumbar Trauma Classification.
AB - Useful thoracolumbar injury classifications allow for meaningful and concise
communication between surgeons, trainees, and researchers. Although many have
been proposed, none have been able to obtain universal acceptance. Historically,
classifications focused only on the osseous injuries; more recent classifications
focused on the injury morphology and other critical determinants of treatment,
including the posterior ligamentous complex integrity and the patient's
neurologic status. This review details the important historic classifications and
reviews more contemporary thoracolumbar injury classifications, such as the
Thoracolumbar Injury Classification System and the AOSpine Thoracolumbar Injury
Classification System.
PMID- 27886880
TI - Timing of Surgery After Spinal Cord Injury.
AB - Although timing for surgical intervention after spinal cord injury remains
controversial, there is accumulating evidence suggesting that early surgery may
improve neurologic outcomes, particularly with incomplete spinal cord injury, and
may reduce non-neurologic complications and health care resource utilization.
Moreover, even in patients with complete spinal cord injury, minor improvement in
neurologic function can lead to significant changes in quality of life. This
article reviews the experimental and clinical data examining surgical timing
after spinal cord injury.
PMID- 27886881
TI - Central Cord Syndrome.
AB - Central cord syndrome is a common spinal cord injury. The purpose of this review
article is to provide an overview of the anatomy, pathophysiology, prognosis, and
management of this disorder.
PMID- 27886882
TI - Pharmacologic Management of Acute Spinal Cord Injury.
AB - Spinal cord injury is a serious global public health problem that often leaves
patients with devastating permanent disabilities. Although advances in supportive
care have improved outcome in recent decades, there remains great need for a safe
and efficacious medical treatment that improves neurologic outcome. This article
reviews pharmacologic treatments evaluated or in the process of development in
humans. Cellular transplantation strategies are briefly reviewed especially where
they have been evaluated with pharmacologic treatments. There is great hope that
one or more of these new therapeutics will be successfully translated and improve
the neurologic recovery of patients in the near future.
PMID- 27886883
TI - Restorative Treatments for Spinal Cord Injury.
AB - Spinal cord injury remains an incurable disease with an enormous impact
functionally, financially, and emotionally on affected individuals and their
families. Current treatment modalities are focused on minimizing secondary injury
and maximizing residual function via rehabilitation. In this article, the authors
discuss ambitious advancements under investigation aimed at restoring function.
These promising experimental treatments focus on neuroprotection with hypothermia
and pharmacologic therapies, regeneration via cell transplantation, and rewiring
with electrical stimulation.
PMID- 27886884
TI - Classification and Management of Pediatric Craniocervical Injuries.
AB - This article addresses the key features, clinical presentation, patterns of
injury, indicated workup, and radiographic findings associated with
craniocervical injuries in the pediatric population. It discusses nonsurgical and
surgical management of pediatric cervical spine trauma, addressing when each is
indicated, and the various techniques available to the pediatric neurosurgeon.
PMID- 27886885
TI - Classification and Management of Pediatric Subaxial Cervical Spine Injuries.
AB - Appropriate management of subaxial spine injury in children requires an
appreciation for the differences in anatomy, biomechanics, injury patterns, and
treatment options compared with adult patients. Increased flexibility, weak neck
muscles, and cranial disproportion predispose younger children to upper cervical
injuries and spinal cord injury without radiographic abnormality. A majority of
subaxial cervical spine injuries can be treated nonoperatively. Surgical
instrumentation options for children have significantly increased in recent
years. Future studies of outcomes for children with subaxial cervical spine
injury should focus on injury classification and standardized outcome measures to
ensure continued improvement in quality of care for this patient population.
PMID- 27886886
TI - Adult and Pediatric Spine Trauma.
PMID- 27886887
TI - New Treatments for Nonarteritic Anterior Ischemic Optic Neuropathy.
AB - Despite increasing knowledge about the risk factors and clinical findings of
nonarteritic anterior ischemic optic neuropathy (NAION), the treatment of this
optic neuropathy has remained limited and without clear evidence-based benefit.
Historical treatments of NAION are reviewed, beginning with the Ischemic Optic
Neuropathy Decompression Trial. More recent treatments are placed within the
historical context and illustrate the need for evidence-based therapy for
ischemic optic neuropathy.
PMID- 27886888
TI - Imaging of Oculomotor (Third) Cranial Nerve Palsy.
AB - When evaluating a patient with an oculomotor cranial nerve palsy it may be
unclear which neuroimaging modality is optimal; MRI, MR angiography, computed
tomography, computed tomography angiography, or intraarterial digital subtraction
angiography. We discuss the clinical guidelines in the evaluation of such
patients and review neuroimaging techniques, outlining the advantages and
disadvantages of each.
PMID- 27886889
TI - Update on Ocular Myasthenia Gravis.
AB - Ocular myasthenia gravis (OMG) is a localized form of myasthenia gravis in which
autoantibodies directed against acetylcholine receptors block or destroy these
receptors at the postsynaptic neuromuscular junction. The hallmark of OMG is a
history of painless weakness or fatigability of the extraocular muscles and
ptosis with normal pupillary function and visual acuity. Clinical, laboratory,
electrophysiologic, and pharmacologic tests are available for diagnosis.
Treatment can begin with symptom management; there is no cure. Prognosis is
improved by use of immunomodulators. Despite advances in treatment, research is
needed, especially in the areas of surgical intervention and medical therapy
based on risk stratification.
PMID- 27886890
TI - Orbital Disease in Neuro-Ophthalmology.
AB - Many abnormalities of the orbit present with neuro-ophthalmic findings, such as
impaired ocular motility or alignment, and sensory changes, including optic
neuropathy. Comprehensive coverage of all orbital diseases is beyond the scope of
this article. This review focuses on diagnosis and management of the most common
and the most vision- or life-threatening orbital conditions as well as more
recently discovered entities and points of active controversy. These conditions
include orbital trauma, vascular disease, inflammatory and infectious diseases,
and neoplasms. Common presenting symptoms and associated neuro-orbital diseases
also are summarized.
PMID- 27886891
TI - The Yield of Diagnostic Imaging in Patients with Isolated Horner Syndrome.
AB - We sought to determine, with a retrospective chart review, the imaging yield for
patients with clinically isolated Horner syndrome. MRI/MRA of the head and neck
extending from the supraorbital ridge to T4 with fat suppression and with
postcontrast images was obtained. Of 88 patients with isolated Horner syndrome
who were imaged, 20% had a causative etiology on imaging. The most common cause
of an isolated Horner syndrome was a carotid artery dissection. There was 1
patient with a primary malignancy found to be the causative lesion in this group,
and 1 patient with spread of their known metastatic disease.
PMID- 27886892
TI - Optical Coherence Tomography in Neuro-ophthalmology.
AB - The afferent visual pathway is a functionally eloquent region of the central
nervous system (CNS). Key clinical features of inflammatory, ischemic, and
compressive CNS lesions can be appreciated through detailed ophthalmic
examination. Optical coherence tomography (OCT) provides a noninvasive means of
capturing manifestations of axonal and neuronal loss in the CNS. OCT represents a
surrogate marker of structural integrity in the CNS, through which mechanisms of
neurodegeneration and repair may be better understood. In this article, the role
of OCT in facilitating the diagnosis and management of several CNS disorders is
discussed.
PMID- 27886893
TI - Erectile Dysfunction Agents and Nonarteritic Anterior Ischemic Optic Neuropathy.
AB - Phosphodiesterase-5 inhibitors (PDE5I) are used for treatment of erectile
dysfunction and pulmonary arterial hypertension and have been implicated as a
causative factor for development of nonarteritic anterior ischemic optic
neuropathy (NAION). Controversy remains regarding a cause and effect between
PDE5I use and NAION because the mechanism by which NAION occurs is still not well
understood. Because neuro-ophthalmologists have accepted that there is a
potential relationship between ingestion of the PDE5I class of medications and
NAION, the neuro-ophthalmologist should inquire about PDE5I use when evaluating a
patient with a new diagnosis of NAION, and counsel patients regarding the
implication of continued use of PDE5I.
PMID- 27886894
TI - Homonymous Hemianopia and Vision Restoration Therapy.
AB - Homonymous hemianopia from stroke causes visual disability. Although some
patients experience spontaneous improvement, others have limited to no change and
may be left with a severe disability. Current rehabilitation strategies are
compensatory and cannot restore function. Animal studies suggest that central
nervous system plasticity could allow for redirection of lost visual function
into undamaged areas of cortex. A commercial therapy system was developed, from
which claims of visual field expansion were disputed by independent researchers.
The treatment remains controversial with seemingly contradictory data being
generated. Continued research is underway to demonstrate the (non-)efficacy of
this treatment method.
PMID- 27886895
TI - Update on Idiopathic Intracranial Hypertension.
AB - Idiopathic intracranial hypertension (IIH) is a disorder of elevated intracranial
pressure of unknown cause occurring predominantly in young women of childbearing
age. The typical patient symptom profile is the presence of daily headache, pulse
synchronous tinnitus, transient visual obscurations, and papilledema with its
associated visual loss. Although surgical procedures are performed for those who
fail medical therapy, their relative efficacy remains unclear. The main morbidity
of IIH is from visual loss. This visual loss is present in most patients and can
usually be reversed if recognized early in the patients' course and treated.
PMID- 27886896
TI - Venous Sinus Stenting for Idiopathic Intracranial Hypertension: Where Are We Now?
AB - Idiopathic intracranial hypertension causes headache, papilledema and visual
field loss, typically in obese women of childbearing age. Its anatomical
underpinnings remain unclear, but a stenosis at the junction of the transverse
and sigmoid sinuses has been recognized in the majority of patients through
venography. The stenosis may result from intrinsic dural sinus anatomy or
extrinsic compression by increased intracranial pressure, but in either case, its
stenting has been shown to lead to an improvement in symptoms of intracranial
hypertension and papilledema in multiple retrospective, non-controlled studies.
Prospective, controlled trials are needed to confirm its efficacy and safety.
PMID- 27886897
TI - Update on the Management of Central Retinal Artery Occlusion.
AB - Central retinal artery occlusion (CRAO) is caused by partial or complete
occlusion of the central retinal artery, most commonly by an embolus from the
ipsilateral carotid artery, aortic arch, or heart, and is the ocular equivalent
of an acute cerebral ischemic event. The risk factors for a CRAO and acute
cerebral ischemia are very similar, if not identical. Because no current
therapeutic intervention has been shown to improve visual outcomes compared with
the natural history of CRAO, management of CRAO should be focused on secondary
prevention of vascular events, such as cerebral ischemia, myocardial infarction,
and cardiovascular death.
PMID- 27886898
TI - Neuro-Ophthalmology for Neurologists.
PMID- 27886900
TI - Differential Diagnosis of Atopic Dermatitis.
AB - Atopic dermatitis (AD) is a common inflammatory condition of the skin that is
usually seen in childhood, but can onset or persist into adulthood. The
characteristic distribution and morphology based on age, chronic relapsing
course, and pruritus comprise the clinical criteria used in the diagnosis of AD.
However, the numerous morphologies ranging from acute, weeping erythematous
papules to chronic lichenified plaques, can be simulated by multiple other
conditions, including inflammatory, infectious, neoplastic, and photo-dermatoses.
Recognition of the distinguishing features and clinical mimickers of atopic
dermatitis is vital for an accurate and timely diagnosis, and initiation of
proper treatment regimens.
PMID- 27886901
TI - Itch in Atopic Dermatitis.
AB - Chronic itch in inflammatory skin diseases, such as atopic dermatitis, markedly
diminishes the quality of life of affected individuals. Comprehensive progress
has been made in understanding itch signaling and associated mediators in the
skin, dorsal root ganglia, spinal cord, and central nervous system, which may
amplify or suppress atopic itch. Conventional therapies for atopic dermatitis are
capable of reducing atopic itch; however, most patients are not satisfied with
the antipruritic capacity of conventional treatments. Exploring itch pathways and
mechanisms may lead to novel therapeutic approaches for atopic itch.
PMID- 27886899
TI - Atopic Dermatitis and Allergic Urticaria: Cutaneous Manifestations of
Immunodeficiency.
AB - Atopic dermatitis and allergic urticaria are common conditions of the skin that
can also be the presenting symptoms of uncommon diseases. Defects leading to
immunodeficiency may be associated with atopic dermatitis or allergic urticaria.
Unusually severe or otherwise atypical presentations of atopic dermatitis or
allergic urticaria may lead to clinical suspicion of an underlying
immunodeficiency.
PMID- 27886902
TI - Wet Wrap Therapy in Moderate to Severe Atopic Dermatitis.
AB - National and international guidelines address stepwise atopic dermatitis (AD)
management. Wet wrap therapy (WWT) is important as an acute therapeutic
intervention for treatment of moderate to severe AD. Using clothing instead of
bandages makes this intervention simpler, less time intensive, and less
expensive. Education of patients and caregivers is critical to success;
methodology must be standardized. Future studies must carefully describe all
procedure components. Incorporation of validated outcomes tools would help with
interpretation. WWT should be considered as a potential treatment option ahead of
systemic immunosuppressive therapies for patients failing conventional therapy.
PMID- 27886903
TI - Allergic Contact Dermatitis.
AB - Allergic contact dermatitis (ACD) is a common skin disease caused by a T cell
mediated immune reaction to usually innocuous allergens. ACD can have grave
medical and socioeconomic consequences. ACD and irritant contact dermatitis often
occur together. A detailed history and clinical examination are crucial and guide
patch testing, which is the gold standard to diagnose ACD. T-cell clones
persisting in the skin may explain the tendency of ACD to relapse even after
years of allergen avoidance. Traditional treatments for ACD are topical steroids,
calcineurin inhibitors, phototherapy, retinoids (including the recent
alitretinoin), and immunosuppressants. Targeted therapies are lacking.
PMID- 27886904
TI - Mastocytosis and Anaphylaxis.
AB - This article updates current knowledge on epidemiology, risk factors, triggers,
and management of anaphylaxis in patients with mastocytosis. Hyperactive mast
cells and higher number of effector mast cells are speculated to facilitate
anaphylaxis in this condition. In children, increased risk is limited to those
with extensive skin involvement and high tryptase. In adults, manifestations of
anaphylaxis are severe with high frequency of cardiovascular symptoms.
Hymenoptera stings are the most common triggers for these reactions; however,
idiopathic anaphylaxis and reactions to food or drugs occur. Patients with
mastocytosis should be informed about risk of anaphylaxis and prescribing
emergency self-medication and installing emergency preparedness before general
anesthesia is considered.
PMID- 27886905
TI - Cutaneous Manifestation of Drug Allergy and Hypersensitivity.
AB - Drug hypersensitivity reactions may manifest with either organ-specific or
systemic symptoms, but cutaneous eruptions are the most common manifestations.
Different medications may cause identical skin symptoms, whereas hypersensitivity
to a single drug may manifest with various patterns of symptoms depending on the
pathomechanism of hypersensitivity. Drug reactions should be also taken into
account in the differential diagnosis of numerous skin rashes. Analysis of
morphology of drug-induced lesions, about potential immunologic or
nonimmunological mechanisms, is important for the final diagnosis. Thus, here the
authors present a morphologic approach to the diagnosis of cutaneous drug-induced
eruptions.
PMID- 27886906
TI - The Angiotensin-Converting-Enzyme-Induced Angioedema.
AB - The bradykinin B2 receptor antagonist icatibant is effective in angiotensin
converting enzyme inhibitor-induced angioedema. The drug is not approved
officially for this indication and has to be administered in an emergency
situation off-label. Corticosteroids or antihistamines do not seem to work in
this condition. The effectiveness of C1-esterase-inhibitor in angiotensin
converting enzyme-induced angioedema must be verified in a double-blind study.
PMID- 27886908
TI - Cutaneous Manifestation of Food Allergy.
AB - Hypersensitivity reactions to foods can have diverse and highly variable
manifestations. Cutaneous reactions, such as acute urticaria and angioedema, are
among the most common manifestations of food allergy. However, cutaneous
manifestations of food allergy encompass more than just IgE-mediated processes
and include atopic dermatitis, contact dermatitis, and even dermatitis
herpetiformis. These cutaneous manifestations provide an opportunity to better
understand the diversity of adverse immunologic responses to food and the
interconnected pathways that produce them.
PMID- 27886907
TI - Differential Diagnosis of Chronic Urticaria and Angioedema Based on Molecular
Biology, Pharmacology, and Proteomics.
AB - Differential diagnosis of urticaria and angioedema has been based on the
phenotype as either acute or chronic depending on the duration of more than 6 to
8 weeks, respectively. Additional subdivisions include poorly defined terms such
as idiopathic, spontaneous, or autoimmune. In this article, the author suggests
that an increased understanding of the acquired and innate immune system and data
from novel proteomic technology have blurred the lines between these categories
of diagnosis. Specific molecular pathways and response to specific medications
should be incorporated in classification and diagnosis schemes.
PMID- 27886909
TI - Clinical Measures of Chronic Urticaria.
AB - The use of standardized, valid, and reliable clinical measures is an important
element in modern patient management, particularly in diseases that are not
objectively assessable and are associated with a high disease burden. Chronic
urticaria is such a disorder for which several new and well-developed clinical
measures became available. These measures comprise tools to assess disease
activity, disease control, and health-related quality-of-life impairment. This
review provides an overview of the currently available clinical measures for
chronic urticaria. In addition, it provides information on their strengths and
limitations and how to best use them and evaluate their results.
PMID- 27886910
TI - Current and Future Biomarkers in Atopic Dermatitis.
AB - Atopic dermatitis (AD) is a heterogeneous disease and many attempts have been
made to define subsets of patients based on clinical characteristics. However,
the current characterization of patients with AD might not adequately reflect the
pathophysiologic diversity within patients with AD. This article reviews current
biomarkers for AD and future perspectives. In the future, patients with AD will
be stratified based on biomarker expression levels in body fluids and tissue,
genetic variants, or combined biomarker expression patterns. With new targeted
therapies for AD currently investigated in clinical trials this will lead to
better identification of patients that can benefit from these highly specific,
but expensive new treatments.
PMID- 27886911
TI - The Role of Fungi in Atopic Dermatitis.
AB - There is little doubt that Malassezia spp plays a role in atopic dermatitis
because it may interact with the local skin immune responses and barrier
function, and sensitization against this skin-colonizing yeast can correlate with
disease activity. Also, antifungal therapy shows beneficial effects in some
patients. However, the pathogenetic mechanism and mutual interaction between
Malassezia spp and atopic dermatitis still remain partly unclear and need further
investigation.
PMID- 27886912
TI - Infectious Complications in Atopic Dermatitis.
AB - Atopic dermatitis is characterized by the interplay of skin barrier defects with
the immune system and skin microbiome that causes patients to be at risk for
infectious complications. This article reviews the pathogenesis of atopic
dermatitis and the mechanisms through which patients are at risk for infection
from bacterial, viral, and fungal pathogens. Although these complications may be
managed acutely, prevention of secondary infections depends on a multipronged
approach in the maintenance of skin integrity, control of flares, and microbial
pathogens.
PMID- 27886913
TI - Biologics in Chronic Urticaria.
AB - Chronic urticaria (CU) is defined as wheals, angioedema, or both, that last more
than 6 weeks. Second-generation antihistamines are considered the first-line
therapy for CU. Unfortunately, many patients will fail antihistamines and require
alternative therapy, including immune response modifiers or biologics. Multiple
biological agents have been evaluated for use in antihistamine-refractory CU,
including omalizumab, rituximab, and intravenous immunoglobulin; omalizumab is
the most efficacious. Because of the success of omalizumab, multiple new
biologics that are directed at the IgE pathway are under investigation. This
review summarizes the relevant data regarding the efficacy of biologics in
antihistamine-refractory CU.
PMID- 27886915
TI - Allergic Skin Diseases.
PMID- 27886914
TI - Allergic Skin Disease and the Practicing Allergist: Growing Unmet Need, New
Science, and New Treatments.
PMID- 27886916
TI - Understanding Heart Failure.
AB - Heart failure (HF) is a growing global health concern that affects more than 20
million people worldwide. With an ever-growing segment of the population over the
age of 65, the prevalence of HF and its associated costs are expected to increase
exponentially over the next decade. Advances in the understanding of the
pathophysiology and treatment of HF have resulted in the ability to enhance both
the quantity and the quality of life of patients with HF. This article reviews
the current understanding of the pathophysiology, cause, classification, and
treatment of HF and describes areas of uncertainty that demand future study.
PMID- 27886917
TI - Coronary Sinus Lead Extraction.
AB - Expanded indications for cardiac resynchronization therapy and the increasing
incidence of cardiac implantable electronic device infection have led to an
increased need for coronary sinus (CS) lead extraction. The CS presents unique
anatomical obstacles to successful lead extraction. Training and facility
requirements for CS lead extraction should mirror those for other leads. Here we
review the indications, technique, and results of CS lead extraction. Published
success rates and complications are similar to those reported for other leads,
although multiple techniques may be required. Re-implantation options may be
limited, which should be incorporated into pre-procedural decision making.
PMID- 27886918
TI - Cardiac Resynchronization Therapy: An Overview on Guidelines.
AB - Cardiac resynchronization therapy (CRT) is included in international consensus
guidelines as a treatment with proven efficacy in well-selected patients on top
of optimal medical therapy. Although all the guidelines strongly recommend CRT
for LBBB with QRS duration greater than 150 milliseconds, lower strength of
recommendation is reported for QRS duration of 120 to 150 milliseconds,
especially if not associated with LBBB. CRT is not recommended for a QRS of less
than 120 milliseconds. No indication emerges for guiding the implant based on
echocardiographic evaluation of dyssynchrony. Many data indicate that CRT is
underused and there is heterogeneity in its implementation.
PMID- 27886919
TI - Why the Authors Use Cardiac Resynchronization Therapy with Defibrillators.
AB - Cardiac resynchronization therapy (CRT) improves left ventricular function,
especially in patients with left bundle branch block or those receiving chronic
right ventricular pacing. CRT is typically accomplished by placing a right
ventricular endocardial pacing lead and a left ventricular pacing lead via the
coronary sinus to a coronary vein overlying the lateral or posterolateral left
ventricle. CRT can be combined with an implantable defibrillator or with a
pacemaker. Limited data are available to compare these two versions of CRT head
to head. This review summarizes the relevant trials and meta-analyses regarding
these two forms of CRT.
PMID- 27886920
TI - Why We Have to Use Cardiac Resynchronization Therapy-Pacemaker More.
AB - Both cardiac resynchronization therapy with a pacemaker (CRT-P) and with a
biventricular implantable cardioverter-defibrillator (CRT-D) are electrical
treatment modalities validated for the management of chronic heart failure. There
is no strong scientific evidence that a CRT-D must be offered to all candidates.
Common sense should limit the prescription of these costly and complicated
devices. The choice of CRT-P is currently acceptable. A direction to explore
could be to downgrade from CRT-D to CRT-P at the time of battery depletion in
patients with large reverse remodeling and no ventricular tachycardia and
ventricular fibrillation detected.
PMID- 27886922
TI - Atrial Fibrillation During Cardiac Resynchronization Therapy.
AB - The landmark trials on cardiac resynchronization therapy (CRT) have focused on
patients with sinus rhythm at inclusion. Little data are available on the
efficacy of CRT in patients with atrial fibrillation (AF), while AF has a high
prevalence (20-40%) among patients receiving CRT. This review focuses on the
detrimental effect of AF on CRT response and discusses management of patients
with AF during CRT. Uncertainty remains as to which thresholds of AF burden can
lead to a reduced response to CRT and every effort should be made in trying to
assess and guarantee successful biventricular pacing in patients with AF.
PMID- 27886921
TI - Cardiac Resynchronization Therapy in Women.
AB - The benefits of cardiac resynchronization therapy (CRT) on the outcomes of
patients with heart failure are unquestionable. Women are under-represented in
all CRT studies. Most of the available data show that CRT produces a greater
clinical benefit in women than men. In several studies, women have left bundle
branch block more frequently than men. Women have a remarkably high (90%) CRT
response over a wide range of QRS lengths (130-175 milliseconds). Use of a QRS
duration of 150 milliseconds as the threshold for CRT prescription may deny a
life-saving therapy to many women likely to benefit from CRT.
PMID- 27886923
TI - Atrioventricular Node Ablation.
AB - Cardiac resynchronization therapy (CRT) is a device-based, nonpharmacologic
approach that has shown to improve the outcome in patients with heart failure in
terms of mortality and morbidity reduction. Large randomized trials have
virtually enrolled patients in New York Heart Association class III-IV, with
reduced left ventricular ejection fraction, with evidence of electrical
dyssynchrony, and receiving optimal medical therapy and who were in sinus rhythm.
Guidelines remain imprecise as to defining differentiated approaches according to
the forms of atrial fibrillation other than permanent. These recommendations
remain unsupported by evidence derived from randomized controlled trials, which
are much needed.
PMID- 27886924
TI - How to Improve Cardiac Resynchronization Therapy Benefit in Atrial Fibrillation
Patients: Pulmonary Vein Isolation (and Beyond).
AB - Although cardiac resynchronization therapy (CRT) is an important treatment of
symptomatic heart failure patients in sinus rhythm with low left ventricular
ejection fraction and ventricular dyssynchrony, its role is not well defined in
patients with atrial fibrillation (AF). CRT is not as effective in patients with
AF because of inadequate biventricular capture and loss of atrioventricular
synchrony. Both can be addressed with catheter ablation of AF. It is still
unclear if these therapies offer additive benefits in patients with ventricular
dyssynchrony. This article discusses the role and techniques of catheter ablation
of AF in patients with heart failure, and its application in CRT recipients.
PMID- 27886925
TI - The Role of Atrioventricular and Interventricular Optimization for Cardiac
Resynchronization Therapy.
AB - Many patients with left ventricular systolic dysfunction may benefit from cardiac
resynchronization therapy; however, approximately 30% of patients do not
experience significant clinical improvement with this treatment. AV and VV delay
optimization techniques have included echocardiography, device-based algorithms,
and several other novel noninvasive techniques. Using these techniques to
optimize device settings has been shown to improve hemodynamic function acutely;
however, the long-term clinical benefit is limited. In most cases, an empiric AV
delay with simultaneous biventricular or left ventricular pacing is adequate. The
value of optimization of these intervals in "nonresponders" still requires
further investigation.
PMID- 27886926
TI - Containing the Cost of Heart Failure Management: A Focus on Reducing
Readmissions.
AB - Heart failure (HF) consumes a large proportion of the total national health care
budget. Incidence and prevalence of HF are increasing and may give rise to an
unsustainable increase in health care spending. Hospitalizations account for the
vast majority of HF-related expenses, and 20% to 25% of patients discharged with
a diagnosis of HF are readmitted within 60 days. Thus, efforts to reduce HF
readmissions are a reasonable target for reducing overall expenses. It is to be
seen if targeting readmission rates will lead to significant cost savings, and
more importantly, to improved patient outcomes.
PMID- 27886927
TI - What We Can Learn from "Super-responders".
AB - This review discusses the state of the art of knowledge to help decision making
in patients who are candidates for cardiac resynchronization therapy (CRT) and to
analyze the long-term total and cardiac mortality, sudden death, and CRT with a
defibrillator intervention rate, as well as the evolution of echocardiographic
parameters in patients with a left ventricular (LV) ejection fraction of greater
than 50% after CRT implantation. Owing to normalization of LV function in super
responders, the need for a persistent defibrillator backup is also considered.
PMID- 27886928
TI - Cardiac Resynchronization Therapy: How to Decrease Nonresponders.
AB - Nonresponse to cardiac resynchronization therapy (CRT) is still a major issue in
therapy expansion. The description of fast, simple, cost-effective methods to
optimize CRT could help in adapting pacing intervals to individual patients. A
better understanding of the importance of appropriate patient selection, left
ventricular lead placement, and device programming, together with a
multidisciplinary approach and an optimal follow-up of the patients, may reduce
the percentage of nonresponders.
PMID- 27886930
TI - Cellular and Molecular Aspects of Dyssynchrony and Resynchronization.
AB - Dyssynchronous contraction of the ventricle significantly worsens morbidity and
mortality in patients with heart failure (HF). Approximately one-third of
patients with HF have cardiac dyssynchrony and are candidates for cardiac
resynchronization therapy (CRT). The initial understanding of dyssynchrony and
CRT was in terms of global mechanics and hemodynamics, but lack of clinical
benefit in a sizable subgroup of recipients who appear otherwise appropriate has
challenged this paradigm. This article reviews current understanding of these
cellular and subcellular mechanisms, arguing that these aspects are key to
improving CRT use, as well as translating its benefits to a wider HF population.
PMID- 27886929
TI - Cardiac Resynchronization Therapy Follow-up: Role of Remote Monitoring.
AB - Cardiac resynchronization therapy (CRT) is increasingly used in heart failure
treatment and management of these patients imposes significant challenges. Remote
monitoring is becoming essential for CRT follow-up and allows close surveillance
of device function and patient condition. It is helpful to reduce clinic visits,
increase device longevity and provide early detection of device failure. Clinical
effects include prevention of appropriate and inappropriate shocks and early
detection of arrhythmias, such as atrial fibrillation. For modification of heart
failure the addition of monitoring to CRT by means of device-based
multiparameters may help to modify disease progression and improve survival.
PMID- 27886931
TI - Exploring the Electrophysiologic and Hemodynamic Effects of Cardiac
Resynchronization Therapy: From Bench to Bedside and Vice Versa.
AB - Cardiac resynchronization therapy (CRT) is an important therapy for heart failure
patients with prolonged QRS duration. In patients with left bundle branch block
the altered left ventricular electrical activation results in dyssynchronous,
inefficient contraction of the left ventricle. CRT aims to reverse these changes
and to improve cardiac function. This article explores the electrophysiologic and
hemodynamic changes that occur during CRT in patient and animal studies. It also
addresses how novel techniques, such as multipoint and endocardial pacing, can
further improve the electromechanical response.
PMID- 27886932
TI - Newer Echocardiographic Techniques in Cardiac Resynchronization Therapy.
AB - Echocardiographic imaging plays a major role in patient selection for cardiac
resynchronization therapy (CRT). One-third of patients do not respond; there is
interest in advanced echocardiographic imaging to improve response. Current
guidelines favor CRT for patients with electrocardiographic (ECG) QRS width of
150 milliseconds or greater and left bundle branch block. ECG criteria are
imperfect; there is interest in advanced echocardiographic imaging to improve
patient selection. This discussion focuses on newer echocardiographic methods to
improve patient selection, improve delivery, and identify patients at risk for
poor outcomes and serious ventricular arrhythmias.
PMID- 27886933
TI - The Role of Cardiovascular Magnetic Resonance in Cardiac Resynchronization
Therapy.
AB - Randomized, controlled trials have shown that cardiac resynchronization therapy
(CRT) is beneficial in patients with heart failure, impaired left ventricular
(LV) systolic function, and a wide QRS complex. Other studies have shown that
targeting the LV pacing site can also improve patient outcomes. Cardiovascular
magnetic resonance (CMR) is a radiation-free imaging modality that provides
unparalleled spatial resolution. In addition, emerging data suggest that targeted
LV lead deployment over viable myocardium improves the outcome of patients
undergoing CRT. This review explores the role of CMR in the preoperative workup
of patients undergoing CRT.
PMID- 27886934
TI - Coronary Sinus Lead Positioning.
AB - Although cardiac resynchronization therapy improves morbidity and mortality in
patients with cardiomyopathy, heart failure, and electrical dyssynchrony, the
rate of nonresponders using standard indications and implant techniques is still
high. Optimal coronary sinus lead positioning is important to increase the chance
of successful resynchronization. Patient factors such as cause of heart failure,
type of dyssynchrony, scar burden, coronary sinus anatomy, and phrenic nerve
capture may affect the efficacy of the therapy. Several modalities are under
investigation. Alternative left ventricular lead implantation strategies are
occasionally required when the transvenous route is not feasible or would result
in a suboptimal lead position.
PMID- 27886935
TI - Robotic-Assisted Left Ventricular Lead Placement.
AB - Robot-assisted left ventricular lead implantation for cardiac resynchronization
therapy is a feasible and safe technique with superior visualization, dexterity,
and precision to target the optimal pacing site. The technique has been
associated with clinical response and beneficial reverse remodeling comparable
with the conventional approach via the coronary sinus. The lack of clinical
superiority and a residual high nonresponder rate suggest that the appropriate
clinical role for the technique remains as rescue therapy.
PMID- 27886936
TI - Extending evidence to practice: Implementation of Models of Care for
musculoskeletal health conditions across settings.
PMID- 27886937
TI - Models of Care for musculoskeletal health: Moving towards meaningful
implementation and evaluation across conditions and care settings.
AB - Models of Care (MoCs) are increasingly recognised as a system-level enabler to
translate evidence for 'what works' into policy and, ultimately, clinical
practice. MoCs provide a platform for a reform agenda in health systems by
describing not only what care to deliver but also how to deliver it. Given the
enormous burden of disease associated with musculoskeletal (MSK) conditions,
system-level (macro) reform is needed to drive downstream improvements in MSK
healthcare - at the health service (meso) level and at the clinical interface
(micro) level. A key challenge in achieving improvements in MSK healthcare is
sustainable implementation of reform initiatives, whether they be macro, meso or
micro level in scope. In this chapter, we introduce the special issue of the
Journal dedicated to implementation of MSK MoCs. We provide a contextual
background on MoCs, a synthesis of implementation approaches across care settings
covered across the chapters in this themed issued, and perspectives on the
evaluation of MoCs.
PMID- 27886938
TI - Implementation of musculoskeletal Models of Care in primary care settings:
Theory, practice, evaluation and outcomes for musculoskeletal health in high
income economies.
AB - Musculoskeletal conditions represent one of the largest causes of years lived
with disability in high-income economies. These conditions are predominantly
managed in primary care settings, and yet, there is a paucity of evidence on
which approaches work well in increasing the uptake of best practice and in
closing the evidence-to-practice gap. Increasingly, musculoskeletal models of
service delivery (as components of models of care) such as integrated care,
stratified care and therapist-led care have been tested in primary health care
pathways for joint pain in older adults, for low back pain and for arthritis. In
this chapter, we discuss why implementation of these models is important for
primary care and how models are implemented using three case examples: we review
implementation theory, principles and outcomes; we consider the role of health
economic evaluation; and we propose key evidence gaps in this field. We propose
the following research priorities for this area: investigating the
generalisability of models of care across, for example, urban and rural settings,
and for different musculoskeletal conditions; increasing support for self
management; understanding the importance of context in choosing a model of care;
detailing how implementation has been undertaken; and evaluation of
implementation and its impact.
PMID- 27886939
TI - Development and implementation of Models of Care for musculoskeletal conditions
in middle-income and low-income Asian countries.
AB - This chapter discusses the challenges faced in the development and implementation
of musculoskeletal (MSK) Models of Care (MoCs) in middle-income and low-income
countries in Asia and outlines the components of an effective MoC for MSK
conditions. Case studies of four such countries (The Philippines, Malaysia,
Bangladesh and Myanmar) are presented, and their unique implementation issues are
discussed. The success experienced in one high-income country (Singapore) is also
described as a comparison. The Community Oriented Program for Control of
Rheumatic Diseases (COPCORD) project and the role of Asia Pacific League of
Associations for Rheumatology (APLAR), a professional body supporting MoC
initiatives in this region, are also discussed. The experience and lessons
learned from these case studies can provide useful information to guide the
implementation of future MSK MoC initiatives in other middle-income and low
income countries.
PMID- 27886940
TI - Harnessing and supporting consumer involvement in the development and
implementation of Models of Care for musculoskeletal health.
AB - Consumer involvement in the design and delivery of their healthcare is an
integral strategy to ensure that health services and systems meet consumers'
needs. This is also true for the design and delivery of Models of Care. This
chapter presents the identified healthcare needs of people with musculoskeletal
conditions and focuses on the current systematic review evidence for consumer
involvement interventions in musculoskeletal Models of Care across the micro,
meso and macro levels of healthcare. This chapter also presents three case
studies of consumer involvement in different aspects of healthcare, offers a
series of practice points to help translate the systematic review evidence into
practice, and also provides direction to available resources, which support the
implementation of consumer involvement within Models of Care.
PMID- 27886941
TI - Management of musculoskeletal pain in a compensable environment: Implementation
of helpful and unhelpful Models of Care in supporting recovery and return to
work.
AB - Models of Care (MoCs) for injured workers in the compensation environment
recommend adoption of biopsychosocial management approaches. Still, widespread
dominance of biomedical constructs at the system, organisational and individual
levels of the compensation system prevails, contributing to suboptimal management
practices and outcomes for injured workers. Efforts to implement contemporary
MoCs in the compensation environment show some promise in improving outcomes.
Areas of promise at the organisational level, particularly in the workplace, and
at the system level are discussed. Implementation of a contemporary understanding
of pain biology as part of the biopsychosocial approach in the management of the
person with pain and associated disability has been effective in the non
compensable environment. The implications of this for the compensable environment
are explored. Resultant helpful and unhelpful perspectives and behaviours are
presented as a blueprint for areas of potential change in development and
implementation of MoCs in a compensable environment.
PMID- 27886942
TI - Models of Care for addressing chronic musculoskeletal pain and health in children
and adolescents.
AB - Chronic musculoskeletal pain among children and adolescents is common and can
negatively affect quality of life. It also represents a high burden on the health
system. Effective models of care for addressing the prevention and management of
pediatric musculoskeletal pain are imperative. This chapter will address the
following key questions: (1) Why are pediatric-specific models of pain care
needed? (2) What is the burden of chronic musculoskeletal pain among children and
adolescents? (3) What are the best practice approaches for early identification
and prevention of chronic musculoskeletal pain in children and adolescents? (4)
What are the recommended strategies for clinical management of chronic pain,
including pharmacological, physical, psychological and complementary, and
alternative approaches? (5) What are the most effective strategies for
implementing models of pain care across different care settings? (6) What are the
research priorities to improve models of care for children and adolescents with
chronic musculoskeletal pain?
PMID- 27886943
TI - Use of eHealth technologies to enable the implementation of musculoskeletal
Models of Care: Evidence and practice.
AB - Musculoskeletal (MSK) conditions are the second leading cause of morbidity
related burden of disease globally. EHealth is a potentially critical factor that
enables the implementation of accessible, sustainable and more integrated MSK
models of care (MoCs). MoCs serve as a vehicle to drive evidence into policy and
practice through changes at a health system, clinician and patient level. The use
of eHealth to implement MoCs is intuitive, given the capacity to scale
technologies to deliver system and economic efficiencies, to contribute to
sustainability, to adapt to low-resource settings and to mitigate access and care
disparities. We follow a practice-oriented approach to describing the 'what' and
'how' to harness eHealth in the implementation of MSK MoCs. We focus on the
practical application of eHealth technologies across care settings to those MSK
conditions contributing most substantially to the burden of disease, including
osteoarthritis and inflammatory arthritis, skeletal fragility-associated
conditions and persistent MSK pain.
PMID- 27886944
TI - Osteoarthritis: Models for appropriate care across the disease continuum.
AB - Osteoarthritis (OA) is a leading cause of pain and disability worldwide. Despite
the existence of evidence-based treatments and guidelines, substantial gaps
remain in the quality of OA management. There is underutilization of behavioral
and rehabilitative strategies to prevent and treat OA as well as a lack of
processes to tailor treatment selection according to patient characteristics and
preferences. There are emerging efforts in multiple countries to implement models
of OA care, particularly focused on improving nonsurgical management. Although
these programs vary in content and setting, key lessons learned include the
importance of support from all stakeholders, consistent program delivery and
tools, a coherent team to run the program, and a defined plan for outcome
assessment. Efforts are still needed to develop, deliver, and evaluate models of
care across the spectrum of OA, from prevention through end-stage disease, in
order to improve care for this highly prevalent global condition.
PMID- 27886945
TI - Implementation of Models of Care for secondary osteoporotic fracture prevention
and orthogeriatric Models of Care for osteoporotic hip fracture.
AB - As the world's population ages, the prevalence of osteoporosis and its resultant
fragility fractures is set to increase dramatically. This chapter focuses on
current frameworks and major initiatives related to the implementation of
fracture liaison services (FLS) and orthogeriatrics services (OGS), Models of
Care designed to reliably implement secondary fracture prevention measures for
individuals presenting to health services with fragility fractures. The current
evidence base regarding the impact and effectiveness of FLS and OGS is also
considered.
PMID- 27886946
TI - Building multidisciplinary health workforce capacity to support the
implementation of integrated, people-centred Models of Care for musculoskeletal
health.
AB - To address the burden of musculoskeletal (MSK) conditions, a competent health
workforce is required to support the implementation of MSK models of care.
Funding is required to create employment positions with resources for service
delivery and training a fit-for-purpose workforce. Training should be aligned to
define "entrustable professional activities", and include collaborative skills
appropriate to integrated and people-centred care and supported by shared
education resources. Greater emphasis on educating MSK healthcare workers as
effective trainers of peers, students and patients is required. For quality,
efficiency and sustainability of service delivery, education and research
capabilities must be integrated across disciplines and within the workforce, with
funding models developed based on measured performance indicators from all three
domains. Greater awareness of the societal and economic burden of MSK conditions
is required to ensure that solutions are prioritised and integrated within
healthcare policies from local to regional to international levels. These
healthcare policies require consumer engagement and alignment to social,
economic, educational and infrastructure policies to optimise effectiveness and
efficiency of implementation.
PMID- 27886948
TI - Variation in Hospital Intrapartum Practices and Association With Cesarean Rate.
AB - OBJECTIVE: To examine hospital variation in intrapartum care and its relationship
with cesarean rates. DESIGN: Cross-sectional survey. SETTING: Connecticut and
Massachusetts hospitals providing obstetric services. PARTICIPANTS: Nurse
managers or other clinical staff knowledgeable about intrapartum care. METHODS:
We assessed labor and delivery unit capacity and staffing, fetal monitoring,
labor management, intrapartum interventions, newborn care, quality assurance, and
performance review practices. Association of hospital characteristics and
intrapartum practices with cesarean rate was evaluated using Wilcoxon exact rank
sum test and Kendall's tau-b correlation coefficient. RESULTS: Among 60 eligible
hospitals, respondents from 39 hospitals (65%) completed the survey. Cesarean
rates varied from 21% to 42% (median = 30%). Regular review of cesarean rates and
indications (85%), regular provision of feedback on cesarean rates and
indications to physicians (80%), and regular review of vaginal birth after
cesarean rates (94%) were commonly performed at responding hospitals. These
practices, however, were not associated with hospital cesarean rate. Hospitals
that offered cesarean at the request of the woman (p < .01) and had more liberal
indications for labor induction (p < .01) and cesarean birth (p < .01) had
significantly greater cesarean rates than institutions without these practices.
Routinely placing an intravenous line (p < .01) and drawing blood for complete
blood count/type and antibody screen (p < .01) in low-risk women were associated
with greater cesarean rates; having a certified nurse-midwife in house at all
times (p = .01) and permitting women to eat during labor (p = .02) were
associated with lower cesarean rates. CONCLUSION: Intrapartum practices of
hospitals varied markedly. These different patterns of care may suggest differing
levels of intrapartum intervention.
PMID- 27886947
TI - Utilization of electroencephalogram post cardiac arrest in the United States: A
nationwide retrospective cohort analysis.
AB - OBJECTIVE: The use of electroencephalogram (EEG) has been demonstrated to have
diagnostic and prognostic value in cardiac arrest patients. The use of this
modality across the United States in this population is unknown. METHODS: The
Nationwide Inpatient Sample (NIS) is a federal database capturing 20% of all US
hospital admissions. A cohort of patients who suffered both in and out of
hospital cardiac arrests from the 2006 to 2012 NIS datasets was created. RESULTS:
The records of 55,208,382 hospitalizations were analyzed, of which 207,703
patients suffered a cardiac arrest. There were 2952 (1.42%) patients who also had
an EEG. Patients who had an EEG compared to those who did not were: younger (62.2
years SD 16.6 vs 66.9 years SD 16.2, p<0.01), were less likely to have insurance
coverage (89.9% vs 91.6%, p=0.03) and had significantly longer length of stay
(8.6days IQR 3.7-17.1 vs 4.1days IQR 1.0-10.5, p<0.01). Patients treated at urban
teaching hospitals were more likely to receive an EEG than patients treated at
urban non-teaching and rural hospitals (p<0.01). The rate of EEG in survivors of
cardiac arrest increased from 1.03% in 2006 to 2.16% in 2012, a relative increase
of 110% (p<0.02). The median time to performance of an EEG was 1.6days IQR 0.33
4.53 days. CONCLUSION: EEG is performed on approximately 2% of patients who
suffer cardiac arrest in the United States. The treatment hospital and patient
characteristics of those who received an EEG different from those who did not.
PMID- 27886949
TI - Why Do Chilean Women Choose to Have or Not Have Pap Tests?
AB - OBJECTIVE: To describe the perceptions of Chilean women about why women choose to
have or not have Pap test screening. DESIGN: Qualitative research using content
analysis according to Krippendorf. SETTING: Four urban health clinics in
Santiago, Chile. PARTICIPANTS: Fifty-seven Chilean women. METHODS: Audiotaped
focus groups. RESULTS: Six themes emerged: Reasons that make it difficult for
women to schedule appointments, Characteristics of health professionals that make
it difficult to have a Pap test, Characteristics of the test that are barriers to
having a Pap test, The relationship of the test with cancer, Family context, and
Each woman's personal characteristics. CONCLUSION: Primary health care providers
play an important role in promoting adherence to cervical cancer screening.
Nurses should proactively address women's perceptions and knowledge about
screening and openly and uniformly discuss the importance and benefits of Pap
test screening.
PMID- 27886950
TI - The Elegance of Structure.
PMID- 27886951
TI - Endovascular Colorectal Adenocarcinoma Metastasis.
PMID- 27886952
TI - Complex Inferior Vena Cava Filter Retrieval Complicated by Migration of Filter
Fragment into the Aorta and Subsequent Distal Embolization.
AB - In 2005, a 48-year-old man with a spinal cord injury had an inferior vena cava
filter placed for recurrent deep vein thrombosis and pulmonary embolism. He was
referred for filter retrieval after a computed tomography scan demonstrated caval
stenosis and 2 fractured filter arms, 1 in a pulmonary artery and 1 penetrating
into the retroperitoneum and impinging on the aorta. During retrieval, 1 arm was
inadvertently advanced into the aorta, and embolization of the arm occurred to
the left profunda femoris artery. It was subsequently retrieved. This is the
first reported case to the authors' knowledge of migration and embolization of a
filter fragment into the systemic arterial system.
PMID- 27886953
TI - Flipping the Filter: Forceps Retrieval of a Left Common Iliac Vein Denali Filter
through the Left Common Femoral Vein.
PMID- 27886954
TI - Venous Access Site Closures Using the VASCADE Vascular Closure System.
AB - This single-center, retrospective study describes using the VASCADE Vascular
Closure System (Cardiva Medical, Inc, Santa Clara, California) to close 32 venous
access sites in 21 consecutive patients (11 females; 10 males; age 45 y +/- 19)
after catheter-directed interventions. Sheath sizes were 5-10 F (8.7 F +/- 1.7).
Hemostasis was achieved in 30 of 32 deployments (93.8%). Complications were
observed after 6 of 32 procedures, including 5 minor events in 4 patients and 1
major event in 1 patient which was likely unrelated to device deployment. The
VASCADE device efficaciously closes venotomies after deep venous procedures, but
further studies comparing it with manual compression are required to define its
optimal use.
PMID- 27886955
TI - Iatrogenic Internal Mammary Arteriovenous Fistula.
PMID- 27886956
TI - Methyl Methacrylate Mimicking a Retained Guide Wire.
PMID- 27886957
TI - Ultrasound-Guided Percutaneous Microwave Ablation of Parathyroid Adenoma.
PMID- 27886958
TI - Hepatic Artery Embolization for Hepatic Rupture in HELLP Syndrome.
PMID- 27886959
TI - Can Aprons Be Properly Evaluated for Their Protective Quality without In-House
Validation?
PMID- 27886961
TI - Letter to the Editor.
PMID- 27886960
TI - Re: Better Outcomes if Percutaneous Drainage Is Used Early and Proactively in the
Course of Necrotizing Pancreatitis.
PMID- 27886962
TI - Re: Safety and Efficacy of Doxorubicin Drug-Eluting Embolic Chemoembolization of
Hepatocellular Carcinoma Supplied by Extrahepatic Collateral Arteries.
PMID- 27886963
TI - Letters to the Editor.
PMID- 27886964
TI - Endocrine Treatment Is Still the Main Option in the Management of Metastatic
Breast Cancer Patients with Liver-Dominant Metastases.
PMID- 27886966
TI - Erratum
PMID- 27886965
TI - Letter To The Editor.
PMID- 27886967
TI - The "Independent" Plastic Surgery Match: Analysis of Changes in Recent Years and
Applicant Preferences.
AB - BACKGROUND: The number of surgical applicants to "independent" plastic surgery
programs has drastically decreased. However, the competitiveness of recent
applicants relative to previous years has not been assessed. The purpose of this
study was to analyze the characteristics of recent "independent" applicants and
to obtain their preferences regarding the match. METHODS: A 25-question survey
was distributed to 97 applicants of the 2012 "independent" match. The survey
consisted of questions regarding demographics, academic qualifications, interview
results, match results, program characteristic preferences, interview
preferences, and future career plans. RESULTS: A total of 62 applicants
responded; 71% male, 82% US medical graduates, 94% general surgery residents, and
76% in university programs. Three-quarters had >=2 publications and 29% in
plastic surgery journals. Applicants most commonly attended 11 to 13 interviews,
and 31% got their top choice. Mean rank list position matched was 2.7. Out of 10
program selection criteria, overall training quality and geographic location were
most important to applicants. Difficulty of on-call responsibilities and research
opportunities were least important. Applicants interested in university-based
practice had a significantly higher interest in research (p = 0.003). Most prefer
one-on-one interviews with 5 sessions being ideal. Two-thirds would prefer
regional coordination of interviews. Half were undecided about fellowship, and
most were undecided about subspecialty of greatest interest. University
affiliated (39%) and university-based (33%) were the most commonly envisioned
future practices. CONCLUSION: The profile of "independent" plastic surgery
applicants has not changed much in recent years. When selecting a program,
applicants are looking for the best overall operative training above all else
with little regard for difficulty of on-call responsibilities or ability to do
research. Applicants are still very moldable in their preference of future career
plans with the majority possessing an early interest in academic-related
practices.
PMID- 27886968
TI - Defining Communication Improvement Needs in General Surgery: An Analysis of
Pages, Communications, Patterns, and Content??>.
AB - OBJECTIVE: To describe patterns of pages communication to general surgery (GS)
residents, identify the need for and develop strategies to improve
interprofessional communication. DESIGN: Retrospective cohort study. SETTING:
General surgery (GS) service at a tertiary care academic institution, Sunnybrook
Health Sciences Centre, in Toronto, Ontario, Canada. PARTICIPANTS: All pages sent
to GS residents over 4 weeks at an academic institution. Timing, training level
of receiver and content of pages were captured. RESULTS: Communication priority
was assigned by 2 independent reviewers-low (121+ min), medium (31-120min), high
(6-30min), and immediate (0-5min) priority. Overall, 2 independent reviewers
analyzed pages' content through an inductive process, and generated themes. Of
2025 pages retrieved, 963 (47.5%) contained exclusively a call back number. A
median of 74 pages per day (range: 43-116) were received, with median page
interval of 9.4 minutes (range: 0-640). Junior residents received 79.5% of pages.
Timing of the pages was 43.9% weekday shift, 31.8% weeknight shift, and 24.3%
weekend call. Communication priority was deemed low for 35.4% of pages, medium
for 32.3%, high for 12.4%, and immediate for 0.7%. Content analysis of 1062 pages
generated 5 major themes: nonurgent medical issue (54.0%), administrative
(15.3%), communication (13.5%), emergencies (4.8%), and GS consultation requests
(4.0%). Priority and content of pages varied according to training level and page
timing. CONCLUSIONS: Pages to GS residents were frequent and most often of low
priority. They were seldom related to urgent medical matters. Education and new
communication strategies are warranted to reduce low priority pages.
PMID- 27886970
TI - Surgical Residency Recruitment-Opportunities for Improvement.
AB - INTRODUCTION: The Association of Program Directors in Surgery convened a panel
during Surgical Education Week 2016 to discuss the current state of the general
surgery residency application process and to review alternative ways to evaluate
the suitability of each applicant to a residency program. METHODS/RESULTS: Over
40,000 applicants registered for the National Resident Matching Program's 2016
Main Residency Match. General Surgery had 2345 applicants for 1241 categorical
postgraduate year (PGY)-1 positions, and 1239 of those positions were filled when
the matching algorithm was processed. Program Directors reported that only 33% of
applications received an in-depth review, and 62% were rejected with minimal
review. Eventually (after all applications had been reviewed), only 13% of
applicants were invited to interview. CONCLUSIONS: There are several
opportunities for improvement within the current application process. These
included standardized letter of recommendation and personal statements,
refinement of the interview process, and recalibration of the Medical Student
Performance Evaluation.
PMID- 27886969
TI - A Pilot Study to Gauge Effectiveness of Standardized Patient Scenarios in
Assessing General Surgery Milestones.
AB - PURPOSE: Some General Surgery Milestones can be difficult to assess in
traditional clinical settings and especially difficult to assess in junior
residents. The purpose of this pilot study was to METHODS: A total of 9
categorical interns participated in a comprehensive, 4-module, SP scenario
designed to evaluate and manage right upper quadrant pain. SP checklist scores
(SP%) were converted to Milestone-equivalent scores for direct comparison (SP-C).
Milestone scores were analyzed from 3 different sources: SP, faculty (FAC), and
CCC. Interns completed course evaluations at the end of each session. Spearman's
rho was used to determine correlations. Wilcoxon signed rank tests were used to
test for differences between scores from different sources. RESULTS: Individual
intern Milestone scores from the 3 sources (SP-C, FAC, and CCC) did not
correlate. All 7 mean Milestone scores from SPs were significantly higher than
from FAC and CCC. FAC and CCC scores were statistically equivalent except for
Systems-Based Practice 1 (SBP1) and Patient Care 3 (PC3) where CCC scores were
significantly higher than FAC. Mean SP% scores for PC1 were significantly lower
than for PROF1, MK1, MK2, and ICS1 (p < 0.05). Interns felt the modules were
moderately to very useful. CONCLUSIONS: Developing an SP scenario for Milestones
evaluation is feasible. SPs, faculty observers, and CCC each use different data
to provide a unique source of Milestone assessment. SP scenarios may be ideally
suited to assess specific resident strengths and weaknesses and provide
individualized feedback, thus augmenting traditional evaluations. Additional SP
scenarios, assessing a broader range of skills and Milestones, are advisable for
more reliable estimates of resident performance.
PMID- 27886971
TI - The Feasibility of Real-Time Intraoperative Performance Assessment With SIMPL
(System for Improving and Measuring Procedural Learning): Early Experience From a
Multi-institutional Trial.
AB - PURPOSE: Intraoperative performance assessment of residents is of growing
interest to trainees, faculty, and accreditors. Current approaches to collect
such assessments are limited by low participation rates and long delays between
procedure and evaluation. We deployed an innovative, smartphone-based tool, SIMPL
(System for Improving and Measuring Procedural Learning), to make real-time
intraoperative performance assessment feasible for every case in which surgical
trainees participate, and hypothesized that SIMPL could be feasibly integrated
into surgical training programs. METHODS: Between September 1, 2015 and February
29, 2016, 15 U.S. general surgery residency programs were enrolled in an
institutional review board-approved trial. SIMPL was made available after 70% of
faculty and residents completed a 1-hour training session. Descriptive and
univariate statistics analyzed multiple dimensions of feasibility, including
training rates, volume of assessments, response rates/times, and dictation rates.
The 20 most active residents and attendings were evaluated in greater detail.
RESULTS: A total of 90% of eligible users (1267/1412) completed training.
Further, 13/15 programs began using SIMPL. Totally, 6024 assessments were
completed by 254 categorical general surgery residents (n = 3555 assessments) and
259 attendings (n = 2469 assessments), and 3762 unique operations were assessed.
There was significant heterogeneity in participation within and between programs.
Mean percentage (range) of users who completed >=1, 5, and 20 assessments were
62% (21%-96%), 34% (5%-75%), and 10% (0%-32%) across all programs, and 96%, 75%,
and 32% in the most active program. Overall, response rate was 70%, dictation
rate was 24%, and mean response time was 12 hours. Assessments increased from 357
(September 2015) to 1146 (February 2016). The 20 most active residents each
received mean 46 assessments by 10 attendings for 20 different procedures.
CONCLUSIONS: SIMPL can be feasibly integrated into surgical training programs to
enhance the frequency and timeliness of intraoperative performance assessment. We
believe SIMPL could help facilitate a national competency-based surgical training
system, although local and systemic challenges still need to be addressed.
PMID- 27886972
TI - The Resident-Run Minor Surgery Clinic: A Pilot Study to Safely Increase Operative
Autonomy.
AB - OBJECTIVE: General surgery training has evolved to align with changes in work
hour restrictions, supervision regulations, and reimbursement practices. This has
culminated in a lack of operative autonomy, leaving residents feeling
inadequately prepared to perform surgery independently when beginning fellowship
or practice. A resident-run minor surgery clinic increases junior resident
autonomy, but its effects on patient outcomes have not been formally established.
This pilot study evaluated the safety of implementing a resident-run minor
surgery clinic within a university-based general surgery training program.
DESIGN: Single institution case-control pilot study of a resident-run minor
surgery clinic from 9/2014 to 6/2015. Rotating third-year residents staffed the
clinic once weekly. Residents performed operations independently in their own
procedure room. A supervising attending surgeon staffed each case prior to
residents performing the procedure and viewed the surgical site before wound
closure. Postprocedure patient complications and admissions to the hospital
because of a complication were analyzed and compared with an attending control
cohort. SETTING: Massachusetts General Hospital General in Boston, MA; an
academic tertiary care general surgery residency program. PARTICIPANTS: Ten third
year general surgery residents. RESULTS: Overall, 341 patients underwent a total
of 399 procedures (110 in the resident clinic vs. 289 in the attending clinic).
Minor surgeries included soft tissue mass excision (n = 275), abscess incision
and drainage (n = 66), skin lesion excision (n = 37), skin tag removal (n = 15),
and lymph node excision (n = 6). There was no significant difference in the
overall rate of patients developing a postprocedure complication within 30 days
(3.6% resident vs. 2.8% attending; p = 0.65); which persisted on multivariate
analysis. Similar findings were observed for the rate of hospital admission
resulting from a complication. Resident evaluations overwhelmingly supported the
rotation, citing increased operative autonomy as the greatest strength.
CONCLUSIONS: Implementation of a resident-run minor surgery clinic is a safe and
effective method to increase trainee operative autonomy. The rotation is well
suited for mid-level residents, as it provides an opportunity for realistic self
evaluation and focused learning that may enhance their operative experience
during senior level rotations.
PMID- 27886973
TI - Using the ACGME Milestones for Resident Self-Evaluation and Faculty Engagement.
AB - BACKGROUND: Since July 2014 General Surgery residency programs have been required
to use the Accreditation Council for Graduate Medical Education milestones twice
annually to assess the progress of their trainees. We felt this change was a
great opportunity to use this new evaluation tool for resident self-assessment
and to furthermore engage the faculty in the educational efforts of the program.
METHODS: We piloted the milestones with postgraduate year (PGY) II and IV
residents during the 2013/2014 academic year to get faculty and residents
acquainted with the instrument. In July 2014, we implemented the same protocol
for all residents. Residents meet with their advisers quarterly. Two of these
meetings are used for milestones assessment. The residents perform an independent
self-evaluation and the adviser grades them independently. They discuss the
evaluations focusing mainly on areas of greatest disagreement. The faculty member
then presents the resident to the clinical competency committee (CCC) and the
committee decides on the final scores and submits them to the Accreditation
Council for Graduate Medical Education website. We stored all records anonymously
in a MySQL database. We used Anova with Tukey post hoc analysis to evaluate
differences between groups. We used intraclass correlation coefficients and
Krippendorff's alpha to assess interrater reliability. RESULTS: We analyzed
evaluations for 44 residents. We created scale scores across all Likert items for
each evaluation. We compared score differences by PGY level and raters (self,
adviser, and CCC). We found highly significant increases of scores between most
PGY levels (p < 0.05). There were no significant score differences per PGY level
between the raters. The interrater reliability for the total score and 6
competency domains was very high (ICC: 0.87-0.98 and alpha: 0.84-0.97). Even
though this milestone evaluation process added additional work for residents and
faculty we had very good participation (93.9% by residents and 92.9% by faculty)
and feedback was generally positive. CONCLUSION: Even though implementation of
the milestones has added additional work for general surgery residency programs,
it has also opened opportunities to furthermore engage the residents in
reflection and self-evaluation and to create additional venues for faculty to get
involved with the educational process within the residency program. Using the
adviser as the initial rater seems to correlate closely with the final CCC
assessment. Self-evaluation by the resident is a requirement by the RRC and the
milestones seem to be a good instrument to use for this purpose. Our early
assessment suggests the milestones provide a useful instrument to track trainee
progression through their residency.
PMID- 27886975
TI - In vitro metabolic engineering of bioelectricity generation by the complete
oxidation of glucose.
AB - The direct generation of electricity from the most abundant renewable sugar,
glucose, is an appealing alternative to the production of liquid biofuels and
biohydrogen. However, enzyme-catalyzed bioelectricity generation from glucose
suffers from low yields due to the incomplete oxidation of the six-carbon
compound glucose via one or few enzymes. Here, we demonstrate a synthetic ATP-
and CoA-free 12-enzyme pathway to implement the complete oxidation of glucose in
vitro. This pathway is comprised of glucose phosphorylation via polyphosphate
glucokinase, NADH generation catalyzed by glucose 6-phosphate dehydrogenase
(G6PDH) and 6-phosphogluconate dehydrogenase (6PGDH), electron transfer from NADH
to the anode, and glucose 6-phosphate regeneration via the non-oxidative pentose
phosphate pathway and gluconeogenesis. The faraday efficiency from glucose to
electrons via this pathway was as high as 98.8%, suggesting the generation of
nearly 24 electrons per molecule of glucose. The generated current density was
greatly increased from 2.8 to 6.9mAcm-2 by replacing a low-activity G6PDH with a
high-activity G6PDH and introducing a new enzyme, 6-phosphogluconolactonase,
between G6PDH and 6PGDH. These results suggest the great potential of high-yield
bioelectricity generation through in vitro metabolic engineering.
PMID- 27886974
TI - Evaluating Coding Accuracy in General Surgery Residents' Accreditation Council
for Graduate Medical Education Procedural Case Logs.
AB - INTRODUCTION: The Accreditation Council for Graduate Medical Education (ACGME)
case log captures resident operative experience based on Current Procedural
Terminology (CPT) codes and is used to track operative experience during
residency. With increasing emphasis on resident operative experiences, coding is
more important than ever. It has been shown in other surgical specialties at
similar institutions that the residents' ACGME case log may not accurately
reflect their operative experience. What barriers may influence this remains
unclear. As the only objective measure of resident operative experience, an
accurate case log is paramount in representing one's operative experience. This
study aims to determine the accuracy of procedural coding by general surgical
residents at a single institution. METHODS: Data were collected from 2
consecutive graduating classes of surgical residents' ACGME case logs from 2008
to 2014. A total of 5799 entries from 7 residents were collected. The CPT codes
entered by residents were compared to departmental billing records submitted by
the attending surgeon for each procedure. Assigned CPT codes by institutional
American Academy of Professional Coders certified abstract coders were considered
the "gold standard." A total of 4356 (75.12%) of 5799 entries were identified in
billing records. Excel 2010 and SAS 9.3 were used for analysis. In the event of
multiple codes for the same patient, any match between resident codes and billing
record codes was considered a "correct" entry. A 4-question survey was
distributed to all current general surgical residents at our institution for
feedback on coding habits, limitations to accurate coding, and opinions on ACGME
case log representation of their operative experience. RESULTS: All 7 residents
had a low percentage of correctly entered CPT codes. The overall accuracy
proportion for all residents was 52.82% (range: 43.32%-60.07%). Only 1 resident
showed significant improvement in accuracy during his/her training (p = 0.0043).
The survey response rate was 100%. Survey results indicated that inability to
find the precise code within the ACGME search interface and unfamiliarity with
available CPT codes were by far the most common perceived barriers to accuracy.
Survey results also indicated that most residents (74%) believe that they code
accurately most of the time and agree that their case log would accurately
represent their operative experience (66.6%). CONCLUSION: This is the first study
to evaluate correctness of residents' ACGME case logs in general surgery. The
degree of inaccuracy found here necessitates further investigation into the
etiology of these discrepancies. Instruction on coding practices should also
benefit the residents after graduation. Optimizing communication among attendings
and residents, improving ACGME coding search interface, and implementing
consistent coding practices could improve accuracy giving a more realistic view
of residents' operative experience.
PMID- 27886976
TI - Airway and serum adipokines after allergen and diesel exposure in a controlled
human crossover study of atopic adults.
AB - Adipokines are mediators released from adipose tissue. These proteins are
regarded as active elements of systemic and pulmonary inflammation, whose
dysregulation can alter an individual's risk of developing allergic lung
diseases. Despite this knowledge, adipokine responses to inhaled stimuli are
poorly understood. We sought to measure serum and lung adiponectin, leptin, and
resistin in an atopic adult study population following exposure to allergen and
diesel exhaust (DE). Two types of lung samples including bronchoalveolar lavage
(BAL) and bronchial wash (BW), and a time course of serum samples, were collected
from the 18 subjects who participated in the randomized, double-blinded
controlled human study. The two crossover exposure triads in this study were
inhaled DE and filtered air each followed by instilled allergen or saline. Serum
and lung adipokine responses to these exposures were quantified using enzyme
linked immunosorbent assay. Allergen significantly increased adiponectin and
leptin in BAL, and adiponectin in the BW 48 hours after exposure. Serum leptin
and resistin responses were not differentially affected by exposure, but varied
over time. Coexposure with DE and allergen revealed significant correlations
between the adiponectin/leptin ratio and FEV1 changes and airway responsiveness
measures. Changes in lung and serum adipokines in response to allergen exposure
were identified in the context of a controlled exposure study. Coexposure
identified a potentially protective role of adiponectin in the lung. This
response was not observed in those with baseline airway hyper-responsiveness, or
after allergen exposure alone. The clinical relevance of this potentially
adaptive adipokine pattern warrants further study.
PMID- 27886977
TI - Can Submandibular Tracheal Intubation Be an Alternative to Tracheotomy During
Surgery for Major Maxillofacial Fractures?
AB - PURPOSE: During surgery for major maxillofacial fractures, orotracheal intubation
can interfere with some surgical procedures and nasal intubation can be
contraindicated or impossible. That is why tracheotomy is presented as a
solution, although it carries a relatively high incidence of complications. In
this study, the use of submandibular tracheal intubation is basically evaluated
as an alternative to tracheotomy in such circumstances. MATERIALS AND METHODS:
This prospective study was performed in patients undergoing surgery for major
maxillofacial fractures in which oral intubation and/or nasal intubation have
been unsuitable, impossible, or contraindicated. The technique of submandibular
intubation was assessed intraoperatively and in the postoperative period. The
outcomes and complications are presented. RESULTS: The study included 26 patients
aged between 14 and 57 years. All patients had mandibular fractures, with 19
midface fractures (73.1%), 11 nasal bone fractures (42.3%), 10 zygomatic bone
fractures (38.5%), 9 naso-orbito-ethmoidal fractures (34.6%), and 9 frontobasilar
fractures (34.6%). The procedure time ranged from 5 to 12 minutes (mean, 7
minutes 4.6 seconds). Delayed extubation was performed in 15 cases (57.7%) in
which the tube was left in place for a period ranging from 8 to 50 hours (mean,
30 hours 24 minutes). The technique has proved to be straightforward and
satisfactory. A postoperative superficial infection occurred in 2 patients,
whereas hypertrophic scars occurred in another 2 patients. CONCLUSIONS:
Submandibular endotracheal intubation is straightforward, safe, and quick to
carry out. It can be an alternative to tracheotomy as it allows operative
techniques and postoperative airway protection without the risks and side effects
of tracheotomy.
PMID- 27886978
TI - In Reply.
PMID- 27886979
TI - Focusing on the Effectiveness of Prophylactic Antibiotics to Prevent Prosthetic
Joint Infection Caused by Dental Treatment.
PMID- 27886980
TI - Alopecia Areata in an Adolescent With Inflammatory Bowel Disease.
PMID- 27886981
TI - A Computer Adaptive Measure of Delay Discounting.
AB - Delay discounting has been linked to important behavioral, health, and social
outcomes, including academic achievement, social functioning and substance use,
but thoroughly measuring delay discounting is tedious and time consuming. We
develop and consistently validate an efficient and psychometrically sound
computer adaptive measure of discounting. First, we develop a binary search-type
algorithm to measure discounting using a large international data set of 4,190
participants. Using six independent samples ( N = 1,550), we then present
evidence of concurrent validity with two standard measures of discounting and a
measure of discounting real rewards, convergent validity with addictive behavior,
impulsivity, personality, survival probability; and divergent validity with time
perspective, life satisfaction, age and gender. The new measure is considerably
shorter than standard questionnaires, includes a range of time delays, can be
applied to multiple reward magnitudes, shows excellent concurrent, convergent,
divergent, and discriminant validity-by showing more sensitivity to effects of
smoking behavior on discounting.
PMID- 27886983
TI - Trial of novel leukaemia drug is stopped for second time after two more deaths.
PMID- 27886982
TI - Impact of Norepinephrine Weight-Based Dosing Compared With Non-Weight-Based
Dosing in Achieving Time to Goal Mean Arterial Pressure in Obese Patients With
Septic Shock.
AB - BACKGROUND: Currently, a lack of standardization exists in norepinephrine dosing
units, the first-line vasopressor for septic shock. Timely achievement of goal
mean arterial pressure (MAP) is dependent on optimal vasopressor dosing.
OBJECTIVE: To determine if weight-based dosing (WBD) of norepinephrine leads to
earlier time to goal MAP compared with non-WBD in obese patients with septic
shock. METHODS: This was a retrospective, multicenter cohort study. Patients had
a body mass index (BMI) >=30 kg/m2 and received norepinephrine for septic shock
with either a non-WBD strategy (between December 2009 and January 2013) or WBD
strategy (between January 2013 and December 2015). The primary outcome was time
to goal MAP. Secondary outcomes were norepinephrine duration, dose requirements,
and development of treatment-related complications. RESULTS: A total of 287
patients were included (WBD 144; non-WBD 143). There was no difference in median
time to goal MAP (WBD 58 minutes, interquartile range [IQR] = 16.8-118.5, vs non
WBD 60 minutes, IQR = 17.5-193.5; P = 0.28). However, there was a difference in
median cumulative norepinephrine dose (WBD 12.6 mg, IQR = 4.9-45.9, vs non-WBD
10.5 mg, IQR = 3.9-25.6; P = 0.04) and time to norepinephrine discontinuation
(WBD 33 hours, IQR = 15-69, vs non-WBD 27 hours, IQR = 12-51; P = 0.03). There
was no difference in rates of atrial fibrillation (WBD 15.3% vs non-WBD 23.7%; P
= 0.07) or mortality (WBD 23.6% vs non-WBD 23.1%; P = 0.92). CONCLUSION: WBD of
norepinephrine does not achieve time to goal MAP earlier in obese patients with
septic shock. However, WBD may lead to higher norepinephrine cumulative dose
requirements and prolonged time until norepinephrine discontinuation.
PMID- 27886984
TI - The Need for Forest Decontamination: For the Recovery of Fukushima.
PMID- 27886986
TI - Decontamination and Recovery of a Nuclear Facility to Allow Continued Operation.
AB - A power supply failure caused a loss of power to key ventilation systems in an
operating nuclear facility. The in-cell depression was lost, which led to an
egress of activity through prepared areas and into the normal operating areas.
After an initial programme of radiological monitoring to quantify and categorise
the activity in the operating areas, a plan was developed for the decontamination
and remediation of the plant. The scope of the recovery plan was substantial and
featured several key stages. The contamination was almost entirely 137Cs,
reflecting the alpha:beta/gamma ratio for the facility. In addition to the
physical remediation work, several administrative controls were introduced such
as new local rules, safety signage to indicate abnormal radiological conditions
in certain areas and training of the decontamination teams. All areas of plant,
which were contaminated, were returned to normal access arrangements and the
plant was successfully returned to full operational capability, <12 months from
the date of the event.
PMID- 27886985
TI - Efficacy of Lens Protection Systems: Dependency on Different Cranial CT Scans in
The Acute Stroke Setting.
AB - To evaluate the dose-reduction potential with different lens protectors for
patients undergoing cranial computed tomography (CT) scans. Eye lens dose was
assessed in vitro (alpha-Al2O3:C thermoluminescence dosemeters) using an Alderson
Rando phantom(r) in cranial CT protocols at different CT scanners (SOMATOM
Definition-AS+(r)(CT1) and SOMATOM-Definition-Flash(r) (CT2)) using two different
lens-protection systems (Somatex(r) (SOM) and Medical Imaging Systems(r) (MIS)).
Summarised percentage of the transmitted photons: (1) CT1 (a) unenhanced CT (nCT)
with gantry angulation: SOM = 103%, MIS = 111%; (2) CT2 (a) nCT without gantry
angulation: SOM = 81%, MIS = 91%; (b) CT angiography (CTA) with automatic dose
modulation technique: SOM = 39%, MIS = 74%; (c) CTA without dose-modulation
technique: SOM = 22%, MIS = 48%; (d) CT perfusion: SOM = 44%, MIS = 69%. SOM
showed a higher dose-reduction potential than MIS maintaining equal image
quality. Lens-protection systems are most effective in CTA protocols without dose
reduction techniques. Lens-protection systems lower the average eye lens dose
during CT scans up to 1/3 (MIS) and 2/3 (SOM), respectively, if the eye lens is
exposed to the direct beam of radiation. Considering both the CT protocol and the
material of lens protectors, they seem to be mandatory for reducing the radiation
exposure of the eye lens.
PMID- 27886987
TI - INTEGRATED OPERATIONAL DOSIMETRY SYSTEM AT CERN.
AB - CERN, the European Organization for Nuclear Research, upgraded its operational
dosimetry system in March 2013 to be prepared for the first Long Shutdown of
CERN's facilities. The new system allows the immediate and automatic checking and
recording of the dosimetry data before and after interventions in radiation
areas. To facilitate the analysis of the data in context of CERN's approach to As
Low As Reasonably Achievable (ALARA), this new system is interfaced to the
Intervention Management Planning and Coordination Tool (IMPACT). IMPACT is a web
based application widely used in all CERN's accelerators and their associated
technical infrastructures for the planning, the coordination and the approval of
interventions (work permit principle). The coupling of the operational dosimetry
database with the IMPACT repository allows a direct and almost immediate
comparison of the actual dose with the estimations, in addition to enabling the
configuration of alarm levels in the dosemeter in function of the intervention to
be performed.
PMID- 27886988
TI - LIFETIME LUNG CANCER RISKS ASSOCIATED WITH INDOOR RADON EXPOSURE BASED ON VARIOUS
RADON RISK MODELS FOR CANADIAN POPULATION.
AB - This study calculates and compares the lifetime lung cancer risks associated with
indoor radon exposure based on well-known risk models in the literature; two risk
models are from joint studies among miners and the other three models were
developed from pooling studies on residential radon exposure from China, Europe
and North America respectively. The aim of this article is to make clear that the
various models are mathematical descriptions of epidemiologically observed real
risks in different environmental settings. The risk from exposure to indoor radon
is real and it is normal that variations could exist among different risk models
even when they were applied to the same dataset. The results show that lifetime
risk estimates vary significantly between the various risk models considered
here: the model based on the European residential data provides the lowest risk
estimates, while models based on the European miners and Chinese residential
pooling with complete dosimetry give the highest values. The lifetime risk
estimates based on the EPA/BEIR-VI model lie within this range and agree
reasonably well with the averages of risk estimates from the five risk models
considered in this study.
PMID- 27886990
TI - Radiation Protection Studies for Medical Particle Accelerators using Fluka Monte
Carlo Code.
AB - Radiation protection (RP) in the use of medical cyclotrons involves many aspects
both in the routine use and for the decommissioning of a site. Guidelines for
site planning and installation, as well as for RP assessment, are given in
international documents; however, the latter typically offer analytic methods of
calculation of shielding and materials activation, in approximate or idealised
geometry set-ups. The availability of Monte Carlo (MC) codes with accurate up-to
date libraries for transport and interaction of neutrons and charged particles at
energies below 250 MeV, together with the continuously increasing power of modern
computers, makes the systematic use of simulations with realistic geometries
possible, yielding equipment and site-specific evaluation of the source terms,
shielding requirements and all quantities relevant to RP at the same time. In
this work, the well-known FLUKA MC code was used to simulate different aspects of
RP in the use of biomedical accelerators, particularly for the production of
medical radioisotopes. In the context of the Young Professionals Award, held at
the IRPA 14 conference, only a part of the complete work is presented. In
particular, the simulation of the GE PETtrace cyclotron (16.5 MeV) installed at
S. Orsola-Malpighi University Hospital evaluated the effective dose distribution
around the equipment; the effective number of neutrons produced per incident
proton and their spectral distribution; the activation of the structure of the
cyclotron and the vault walls; the activation of the ambient air, in particular
the production of 41Ar. The simulations were validated, in terms of physical and
transport parameters to be used at the energy range of interest, through an
extensive measurement campaign of the neutron environmental dose equivalent using
a rem-counter and TLD dosemeters. The validated model was then used in the design
and the licensing request of a new Positron Emission Tomography facility.
PMID- 27886989
TI - U.S. Department of Defense Multiple-Parameter Biodosimetry Network.
AB - The U.S. Department of Defense (USDOD) service members are at risk of exposure to
ionizing radiation due to radiation accidents, terrorist attacks and national
defense activities. The use of biodosimetry is a standard of care for the triage
and treatment of radiation injuries. Resources and procedures need to be
established to implement a multiple-parameter biodosimetry system coupled with
expert medial guidance to provide an integrated radiation diagnostic system to
meet USDOD requirements. Current USDOD biodosimetry capabilities were identified
and recommendations to fill the identified gaps are provided. A USDOD Multi
parametric Biodosimetry Network, based on the expertise that resides at the Armed
Forces Radiobiology Research Institute and the Naval Dosimetry Center, was
designed. This network based on the use of multiple biodosimetry modalities would
provide diagnostic and triage capabilities needed to meet USDOD requirements.
These are not available with sufficient capacity elsewhere but could be needed
urgently after a major radiological/nuclear event.
PMID- 27886991
TI - RADIATION DOSE FOR PEDIATRIC AND YOUNG ADULT CT: A SURVEY TO ESTABLISH AGE-BASED
REFERENCE LEVELS OF 2015-2016 IN KOREA.
AB - To assess the doses delivered to pediatric patients during computed tomography
(CT) examinations of the brain, chest, high-resolution lung and abdomen, and to
establish diagnostic reference levels (DRLs) for various age groups in Korea.
Dose survey was done to the 19 hospitals performing CT on children, addressing
the scan parameters, volume CT dose index (CTDIvol) and dose length product
(DLP). Per five age group (0, 1, 2-5, 6-10, 11-17 y of age), the proposed DRLs
for brain, chest, high-resolution lung and abdomen CT are, respectively, in terms
of CTDIvol: 18, 23, 26, 31, 36 mGy; 2, 3, 4, 6, 8 mGy; 2, 3, 4, 5, 7 mGy; 3, 4,
5, 6, 9 mGy; and in terms of DLP: 260, 350, 420, 500, 620 mGy*cm; 50, 80, 100,
170, 340 mGy*cm; 30, 40, 60, 90, 280 mGy*cm; 70, 80, 200, 300, 500 mGy*cm.
Compared with published DRLs our suggestion for pediatric CT dose is the lower
end. However, an optimization process should be initiated to reduce the spread in
patient dose among hospitals despite same CT protocols shown in the study. A
major element of this process should be the establishment of institution
performance standard and the use of built DRLs.
PMID- 27886992
TI - Characteristics of The Narrow Spectrum Beams Used in the Secondary Standard
Dosimetry Laboratory at the Lebanese Atomic Energy Commission.
AB - The Secondary Standard Dosimetry Laboratory at the Lebanese Atomic Energy
Commission has different calibration methods for various types of dosimeters used
in industrial, military and medical fields. The calibration is performed using
different beams of X-rays (low and medium energy) and Gamma radiation delivered
by a Cesium 137 source. The Secondary Standard Dosimetry laboratory in charge of
calibration services uses different protocols for the determination of high and
low air kerma rate and for narrow and wide series. In order to perform this
calibration work, it is very important to identify all the beam characteristics
for the different types of sources and qualities of radiation. The following work
describes the methods used for the determination of different beam
characteristics and calibration coefficients with their uncertainties in order to
enhance the radiation protection of workers and patient applications in the
fields of medical diagnosis and industrial X-ray. All the characteristics of the
X-ray beams are determined for the narrow spectrum series in the 40 and 200 keV
range where the inherent filtration, the current intensity, the high voltage, the
beam profile and the total uncertainty are the specific characteristics of these
X-ray beams. An X-ray software was developed in order to visualize the reference
values according to the characteristics of each beam.
PMID- 27886993
TI - Consideration of the Protection Curtain's Shielding Ability after Identifying the
Source of Scattered Radiation in the Angiography.
AB - To decrease radiation exposure to medical staff performing angiography, the dose
distribution in the angiography was calculated in room using the particle and
heavy ion transport code system (PHITS), which is based on Monte Carlo code, and
the source of scattered radiation was confirmed using a tungsten sheet by
considering the difference shielding performance among different sheet
placements. Scattered radiation generated from a flat panel detector, X-ray tube
and bed was calculated using the PHITS. In this experiment, the source of
scattered radiation was identified as the phantom or acrylic window attached to
the X-ray tube thus, a protection curtain was placed on the bed to shield against
scattered radiation at low positions. There was an average difference of 20%
between the measured and calculated values. The H*(10) value decreased after
placing the sheet on the right side of the phantom. Thus, the curtain could
decrease scattered radiation.
PMID- 27886994
TI - Development of Northeast Asia Nuclear Power Plant Accident Simulator.
AB - A conclusion from the lessons learned after the March 2011 Fukushima Daiichi
accident was that Korea needs a tool to estimate consequences from a major
accident that could occur at a nuclear power plant located in a neighboring
country. This paper describes a suite of computer-based codes to be used by
Korea's nuclear emergency response staff for training and potentially operational
support in Korea's national emergency preparedness and response program. The
systems of codes, Northeast Asia Nuclear Accident Simulator (NANAS), consist of
three modules: source-term estimation, atmospheric dispersion prediction and dose
assessment. To quickly assess potential doses to the public in Korea, NANAS
includes specific reactor data from the nuclear power plants in China, Japan and
Taiwan. The completed simulator is demonstrated using data for a hypothetical
release.
PMID- 27886995
TI - Cosmic Radiation Exposure of Future Hypersonic Flight Missions.
AB - Cosmic radiation exposure in air traffic grows with flight altitude, geographical
latitude and flight time. For future high-speed intercontinental point-to-point
travel, the trade-off between reduced flight time and enhanced dose rate at
higher flight altitudes is investigated. Various representative (partly)
hypersonic cruise missions are considered and in dependence on solar activity the
integral route dose is calculated for envisaged flight profiles and trajectories.
Our results are compared to those for corresponding air connections served by
present day subsonic airliners. During solar maximum, we find a significant
reduction in route dose for all considered high-speed missions compared to the
subsonic reference. However, during solar minimum, comparable or somewhat larger
doses result on transpolar trajectories with (partly) hypersonic cruise at Mach
5. Both solar activity and routing are hence found to determine, whether
passengers can profit from shorter flight times in terms of radiation exposure,
despite of altitude-induced higher dose rates. Yet, aircrews with fixed number of
block hours are always subject to larger annual doses, which in the considered
cases take values up to five times the reference. We comment on the implications
of our results for route planning and aviation decision-making in the absence of
radiation shielding solutions.
PMID- 27886996
TI - A Comparison of Remediation After The Chernobyl and Fukushima Daiichi Accidents.
AB - Extensive remediation was conducted on contaminated landscapes after the
Chernobyl accident in 1986 and the Fukushima Daiichi accident in 2011. A
comparison is made of a range of different features relevant to each accident
including the characteristics of the contamination and the landscapes affected,
the radiological criteria, the designation of areas to be remediated and the
remediation measures adopted.
PMID- 27886998
TI - Wilfrid T Fothergill.
PMID- 27886997
TI - Using Stable Free Radicals to Obtain Unique and Clinically Useful Data In Vivo in
Human Subjects.
AB - This paper attempts to: (1) provide a critical overview of the challenges and
opportunities to extend electron paramagnetic resonance (EPR) into practical
applications in human subjects, based on EPR measurements made in vivo; (2)
summarize the clinical applications of EPR for improving treatments in cancer,
wound healing and diabetic care, emphasizing EPR's unique capability to measure
tissue oxygen repeatedly and with particular sensitivity to hypoxia and (3)
summarize the capabilities of in vivo EPR to measure radiation dose for triage
and medical guidance after a large-scale radiation exposure. The conclusion is
that while still at a relatively early stage of its development and availability,
clinical applications of EPR already have demonstrated significant value and the
field is likely to grow in both the extent of its applications and its impact on
significant problems.
PMID- 27886999
TI - Oliver Guy Dodge.
PMID- 27887000
TI - Oral cancer rates rise by two thirds.
PMID- 27887001
TI - Douglas Stewart Robbie.
PMID- 27887002
TI - Longitudinal Predictions of Depression Symptoms Using the Activation and
Avoidance Subscales of the Japanese Behavioral Activation for Depression Scale
Short Form.
AB - The Behavioral Activation for Depression Scale-Short Form (BADS-SF) is a
questionnaire containing two subscales: Activation and Avoidance and was
developed to measure changes in client behavior over the course of behavioral
activation therapy. This study examines whether the subscales of the Japanese
BADS-SF predict the future development of depression. Japanese university
students ( N = 129) completed the BADS-SF and the Center for Epidemiologic
Studies Depression Scale (CES-D) at the baseline and second test conducted eight
weeks later, with no intervention in between. Multiple regression analyses
revealed that baseline avoidance scores predicted the scores of CES-D during the
second test, after controlling for baseline CES-D and the other BADS-SF subscale
scores, but the relationship of the Activation subscale with the CES-D scores was
not significant. Therefore, the results indicate that the avoidance scale
predicts the occurrence of symptoms of depression.
PMID- 27887003
TI - Philip Markman.
PMID- 27887004
TI - Janet Anne Peters.
PMID- 27887005
TI - Patrick Guy Houghton.
PMID- 27887006
TI - The Perceived Impact of Trauma-Focused Research on Forensic Psychiatric Patients
With Lifetime Victimization Histories.
AB - This study examined the perceived costs, benefits, and motivations for
participating in individual trauma-focused interviews among forensic psychiatric
patients ( N = 74). The majority of our participants were male, and 100% endorsed
adverse childhood experiences (e.g., abuse, neglect) or exposure to potentially
traumatic events (e.g., assault). Levels of posttraumatic avoidance (41.9%),
reexperiencing (59.5%), and increased arousal (51.3%) were high. In line with
previous studies, our findings suggest an overall positive research experience in
this sample. In spite of extensive histories of lifetime victimization we did not
find an association between victimization, posttraumatic symptomatology, and a
negative research experience. Our findings suggest that participation in trauma
focused research is not only possible with, but also potentially beneficial for
forensic patients.
PMID- 27887007
TI - Clinical commissioning groups will be rated on sepsis care.
PMID- 27887009
TI - Continuous Performance Test Is Sensitive to a Single Methylphenidate Challenge in
Preschool Children With ADHD.
AB - OBJECTIVE: There is a lack of evidence-based diagnostic paradigms and
personalized interventions for preschoolers with ADHD. This study aimed to
evaluate the performance of preschoolers diagnosed with ADHD on a continuous
performance test (CPT) before and after a single methylphenidate (MPH) challenge.
METHOD: The Test of Variables of Attention (TOVA)-a CPT-was administered to 61
preschoolers (5.64 +/- 0.69 years; 74% boys) with ADHD before and after a single
MPH challenge (0.3 or 0.5 mg/kg). Baseline TOVA performance was correlated with
Conners' Rating Scales (CRS) and compared with post-MPH TOVA performance.
RESULTS: A high rate of omission errors and several significant correlations
between TOVA values and CRS scores were found at baseline. A single MPH
administration improved TOVA performance significantly and was well tolerated.
CONCLUSION: TOVA assessment may assist in the evaluation of the effect of MPH in
preschoolers with ADHD and may help in planning interventions for them.
PMID- 27887010
TI - Technical note: rapid, large-volume resuscitation at resuscitative thoracotomy by
intra-cardiac catheterization.
AB - An emergency thoracotomy may be life-saving by achieving four goals: (i)
releasing cardiac tamponade, (ii) controlling haemorrhage, (iii) allowing access
for internal cardiac massage and (iv) clamping the descending aorta to isolate
circulation to the upper torso in damage control surgery. We theorize that a new
goal should be achieving rapid, large-volume fluid resuscitation and we describe
a technique to achieve this.
PMID- 27887008
TI - Can Sluggish Cognitive Tempo Be Distinguished From ADHD Inattention in Very Young
Children? Evidence From a Sample of Korean Preschool Children.
AB - OBJECTIVE: This study evaluated whether sluggish cognitive tempo (SCT) is
separable from ADHD-inattention (IN) and uniquely associated with internalizing
dimensions in preschool children in South Korea. METHOD: Mothers of 172 preschool
children (ages 4-6 years; 52% girls) rated children's SCT, ADHD-IN, ADHD
hyperactivity/impulsivity (HI), oppositional defiant disorder (ODD), aggression,
emotional reactivity, anxiety/depression, somatic complaints, withdrawal, and
sleep problems. RESULTS: Eight of 10 SCT symptoms showed convergent and
discriminant validity with ADHD-IN. ADHD-IN remained significantly positively
associated with ADHD-HI, ODD, and aggressive behavior after controlling for SCT,
whereas SCT was no longer positively associated with these externalizing
behaviors after controlling for ADHD-IN. Both SCT and ADHD-IN were uniquely
associated with greater emotionally reactivity, anxiety/depression, and
withdrawal. Only SCT was uniquely associated with somatic complaints, and only
ADHD-IN was uniquely associated with sleep problems. CONCLUSION: Findings
replicate results with children and adolescents, thus expanding evidence for the
validity of SCT in early development.
PMID- 27887011
TI - Large primary leiomyoma causing progressive cervical deformity.
AB - Leiomyomas are benign smooth tumors that rarely affect the neck area. Complete
surgical resection is the treatment of choice. Here, we describe a 13-year-old
girl with a large leiomyoma of the neck, which increased in size after incomplete
resection. The tumor caused progressive cervical kyphotic deformity, difficulty
breathing and severe malnourishment. The tumor was resected successfully in a
second surgery, and the patient is stable after 3 years of follow-up.
Histopathologically, the tumor was consistent with leiomyoma and showed strong
reactivity to specific smooth muscle markers, such as desmin and caldesmon. This
is the second reported case demonstrating massive growth of a leiomyoma, with
emphasis on complete resection from the beginning.
PMID- 27887012
TI - Epididymal papillary cystadenocarcinoma metastasising to the testis in a patient
with infertility managed with Onco-microTeSE.
AB - Papillary cystadenomas of the epididymis are known to occur in association with
Von Hippel-Lindau (VHL) disease. The development of a papillary
cystadenocarcinoma, its malignant counterpart, is rare with only a few sporadic
cases reported in the literature. Metastatic deposits are exceedingly uncommon;
in fact, only a single case report has documented metastases to the paraureteral
region, but metastases to the testis have never been reported. A 43-year-old
gentleman with VHL disease presented with non-obstructive azoospermia, a right
epididymal mass, and an atrophic surgically corrected undescended left testis.
The epididymal mass was reported as a papillary cystadenocarcinoma on biopsy. The
patient was managed with a radical inguinal orchidectomy and bench microTeSE with
successful sperm retrieval. Metastatic papillary cystadenocarcinoma of the
epididymis to the testis has never been previously reported. This case was
managed by radical orchidectomy and subsequent onco-microTeSE, allowing safe
oncological treatment and optimal fertility preservation.
PMID- 27887013
TI - Severe cervical spondylotic myelopathy with complete neurological and
neuroradiological recovery within a month after surgery.
AB - Cervical spondylotic myelopathy is a complex syndrome evolving in the presence of
degenerative changes. The choice of care and prognostic factors are
controversial. The use of appropriate surgical technique is very important.
Posterior approach may be chosen when pathology is present dorsally and/or in the
presence of neutral to lordotic alignment. Anterior approach is the golden
standard in patients with kyphosis and/or stenosis due to ventral lesions, even
with three or more affected levels. A 67-year-old man presented with progressive
weakness and clumsiness (mJOA: 5; Nurick: 4). An anterior discectomy,
osteophytectomy and bilateral foraminotomy of the C4-C5; C5-C6; C6-C7 were
performed. Polyether-Ether-Ketone spacers and a titanium plate were placed. The
patient was mobilized 3-hour post-surgery and was released the following day.
Medicament therapy and a neck-conditioning program were prescribed. Clinical
examinations were normal within a month. Magnetic resonance imaging showed no
traces of the preoperatively registered intramedullary focal T2 hyper-intensity.
PMID- 27887014
TI - Intra-abdominal myositis ossificans in an asymptomatic patient during closure of
a Hartmann's colostomy.
AB - Intra-abdominal myositis ossificans, also known as heterotopic mesenteric
ossification, defines the formation of bone-like lesions inside the abdominal
cavity. It is a rare medical condition, usually following abdominal surgery or
trauma. A 55-year-old male presented for closure of a Hartmann's colostomy,
created 6 months ago because of sigmoid adenocarcinoma. Intraoperative findings
consisted of an elongated bone-like lesion attached on the peritoneum and
protruding inside the abdominal cavity, while two more stiff calcified nodules
were found in the mesocolon. All lesions were excised. The presence of a hard
consistency sigmoid stump led to cancelation of the operation. Heterotopous bone
formation constitutes a challenging surgical condition, since its clinical
presentation is innocuous and repetitive surgery acts a stimuli for additional
bone creation. Computed tomography scan plays a major role in its diagnosis,
while nonsteroidal anti-inflammatory drugs and cimetidine are helpful in
preventing further recurrence of the disease.
PMID- 27887015
TI - Uncommon cause for ileocolic fistulation and caecal perforation following
multiple magnet ingestion in an adult.
AB - Non-accidental ingestion of foreign bodies rarely occurs in adults. We report a
case of multiple magnet ingestion in an adult with learning difficulties to
highlight the associated abdominal complications. Multiple magnets may not pass
through the gastrointestinal tract spontaneously and approach considerations
should differ from those who had ingested an isolated magnet or other foreign
bodies.
PMID- 27887016
TI - Inferior vena caval filter strut perforation causing intramural duodenal
haematoma.
AB - We present a case of intramural duodenal haematoma caused by inferior vena caval
(IVC) filter strut perforation requiring innovative open and endovascular
retrieval. A 32-year-old woman presents in shock with dull epigastric pain and
non-bilious vomiting. She had previously had an IVC filter for deep venous
thrombosis and pulmonary embolism. Computed tomography demonstrated strut
perforation into the second part of the duodenum, causing intramural haematoma
and duodenal obstruction. Laparotomy facilitated evacuation of the duodenal
haematoma, while the IVC filter was retrieved by endovascular means. Causes of
duodenal haematoma include blunt trauma, haematologic malignancy, coagulopathy,
percutaneous or endoscopic procedures, pancreatic pathology, peptic ulcer disease
and aortoenteric fistula. Duodenal haematoma is rare and is usually managed
conservatively or by percutaneous drainage. While this patient had a typical
presentation, IVC filter strut perforation has not been described in the
literature as a cause for duodenal haematoma.
PMID- 27887017
TI - Massive seminoma presenting with inguinal lymph node metastases only.
AB - Seminomatous germ cell tumours characteristically affect men in their second-to
fourth decades, presenting as a testicular mass. Metastases when present are
usually seen in para-aortic lymph nodes. These tumours are difficult to diagnose
clinically and histologically when the presentation is unusual. We describe a
seminoma presenting in a 61-year-old male as an inguinal mass with associated
lymphadenopathy resembling lymphoma. Past medical history included ipsilateral
cryptorchidism and orchidopexy. The tumour responded well to conventional
chemotherapy.This case illustrates a possible diagnostic pitfall and that germ
cell tumours should be included in the differential diagnosis of tumours
presenting in the groin.
PMID- 27887018
TI - Case report: imaging the clinical course of FOPE-a cause of adolescent knee pain.
AB - Focal periphyseal oedema (FOPE) is a rare MRI finding associated with pain in
adolescent patients with very few reported cases. We present a case of FOPE in a
13-year-old girl and the only follow-up imaging available for an isolated
presentation of this condition.This article describes a clinical course that
correlates well with the imaging obtained. This article describes a clinical
course that correlates well with the imaging obtained.
PMID- 27887019
TI - First case of penile metastasis following abdominoperineal resection with VRAM
flap reconstruction.
AB - Penile metastases are rare in colorectal cancer. We report the first case of such
a recurrence in a patient who had undergone an extralevator abdominoperineal
resection with vertical rectus abdominis myocutaneous flap perineal
reconstruction. The patient was treated with curative intent by total penectomy.
PMID- 27887020
TI - Gastric glomus tumor.
AB - Gastric glomus tumors are rare, mesenchymal neoplasms, generally described as
benign and account for nearly 1% of all gastrointestinal soft tissue tumors. The
most common gastrointestinal site of involvement is the stomach, particularly the
antrum. Gastric glomus tumors are submucosal tumors that lack specific clinical
and endoscopic characteristics, and are often mistaken for the more common
gastrointestinal stromal tumors. A 62-year-old Caucasian female presented with
shortness of breath and a persistent cough. Clinical workup revealed a mass in
the upper abdomen. After endoscopic ultrasound and fine needle aspiration raised
concerns for cancer, the patient elected to proceed with exploratory laparotomy.
A local resection was performed at the time of surgery. Pathologic and
immunohistochemical findings following surgical resection were consistent with a
gastric glomus tumor. Consideration of gastric glomus tumors in the differential
diagnosis may optimize the chance for a more accurate preoperative diagnosis and
targeted surgical intervention.
PMID- 27887021
TI - An unusual presentation of a rare disease: posterior reversible encephalopathy
syndrome following abdominal sepsis.
AB - Posterior reversible encephalopathy syndrome (PRES) is an unusual disease of
unknown incidence and cause. There are a wide range of associated, predisposing
medical causes to include pregnancy, renal failure, immunosuppressive medication
administration and hypertension. The diagnosis is made following the radiographic
identification of characteristic vasogenic edema in the setting of neurologic
impairment. A significant portion of patients will have long-term, if not
permanent, sequelae of the disease. We present a patient who developed PRES
following a hemicolectomy that was complicated by an anastomotic leak. She went
on to a complete recovery following surgical treatment of the leak and supportive
care.
PMID- 27887022
TI - Case report: successful open resection of a symptomatic giant liver haemangioma
during the second trimester of pregnancy.
AB - Liver haemangiomas are consistently reported to be the commonest benign liver
tumours and are most often incidental findings in asymptomatic individuals. Large
lesions can become symptomatic, spontaneously rupture or result in a consumptive
coagulopathy known as Kasabach-Merrit Syndrome. It is believed that the female
sex hormones, particularly oestrogen, have an influence on haemangioma
development and growth. The optimal management of large haemangiomas during the
hyperoestrogenic state of pregnancy is poorly described in the current
literature. To our knowledge, we describe only the second case of a giant hepatic
haemangioma resection during pregnancy.
PMID- 27887023
TI - A case of primary intracardiac yolk sac tumour with extracardiac extension.
AB - Primary cardiac tumour is a rare entity as secondaries in the heart are more
common. A 2-year-old child was having repeated respiratory tract infection with
poor oral intake and poor activity for 3 months. His symptoms progressed from New
York Heart Association (NYHA) Class II to IV. On evaluation he had an
intracardiac mass with extracardiac extension. Emergency tumour excision under
deep hypothermic circulatory arrest was performed with provisional diagnosis of
sarcoma. But Serum markers, histopathological examination and
immunohistochemistry confirmed diagnosis of yolk sac tumour. Postoperative
recovery was uneventful and the child was receiving adjuvant chemotherapy.
Extensive literature review revealed only four cases of primary intracardiac yolk
sac tumour published till date. Our case report is unique, in that intracardiac
tumour had extracardiac extension by infiltration through right atrial wall.
Previous four reports mention purely intracardiac mass.
PMID- 27887024
TI - Insulinoma or non-insulinoma pancreatogenous hypoglycemia? A diagnostic dilemma.
AB - Insulinoma is the most common cause of endogenous hyperinsulinemic hypoglycemia
in adults. An alternate etiology, non-insulinoma pancreatogenous hypoglycemia
(NIPH), is rare. Clinically, NIPH is characterized by postprandial
hyperinsulinemic hypoglycemia, negative 72-h fasts, negative preoperative
localization studies for insulinoma and positive selective arterial calcium
infusion tests. Histologically, diffuse islet hyperplasia with increased number
and size of islet cells is present and confirms the diagnosis. Differentiating
NIPH from occult insulinoma preoperatively is challenging. Partial pancreatectomy
is the procedure of choice; however, recurrence of symptoms, although less
debilitating, occurs commonly. Medical management with diazoxide, verapamil and
octreotide can be used for persistent symptoms. Ultimately, near-total or total
pancreatectomy may be necessary. We report a case of a 67-year-old male with
hypoglycemia in whom preoperative workup, including computerized tomography
abdomen, suggested insulinoma, but whose final diagnosis on pathology was NIPH
instead.
PMID- 27887025
TI - Design and expression of a chimeric vaccine candidate for avian necrotic
enteritis.
AB - Necrotic enteritis is an economically important disease of poultry mainly caused
by Clostridium perfringens The bacteria release multiple toxins of which NetB,
alpha toxin and TpeL have been reported to play important roles in pathogenicity
and/or severity of the disease. In this study, the sequence of clostridial toxins
NetB, alpha toxin and TpeL were analyzed using bioinformatics tools to determine
protein domains with high immunogenicity factor. Several chimeric trivalent
proteins consisting of the immunogenic regions of the three toxins were designed
and evaluated. The separate regions were fused together using rigid linkers.
Based on a modeled tertiary structure, a proper combination was selected and
expressed in a bacterial host (Escherichia coli) and successfully purified. The
expression of the chimeric protein was further verified by western blotting. The
ability of the immunized serum in recognizing each individual subunit of the
chimeric protein was also examined. Circular dichroism was used to evaluate the
predicted secondary structure of the chimeric protein. In vitro potency test
demonstrated that the serum from a rabbit immunized with the chimeric protein is
able to partially neutralize Alpha toxin, hence the construct can potentially be
used as a vaccine against C. perfringens.
PMID- 27887026
TI - Chaetomium thermophilum formate dehydrogenase has high activity in the reduction
of hydrogen carbonate (HCO3 -) to formate.
AB - While formate dehydrogenases (FDHs) have been used for cofactor recycling in
chemoenzymatic synthesis, the ability of FDH to reduce CO2 could also be utilized
in the conversion of CO2 to useful products via formate (HCOO-). In this study,
we investigated the reduction of CO2 in the form of hydrogen carbonate (HCO3-) to
formate by FDHs from Candida methylica (CmFDH) and Chaetomium thermophilum
(CtFDH) in a NADH-dependent reaction. The catalytic performance with HCO3- as a
substrate was evaluated by measuring the kinetic rates and conducting
productivity assays. CtFDH showed a higher efficiency in converting HCO3- to
formate than CmFDH, whereas CmFDH was better in the oxidation of formate. The pH
optimum of the reduction was at pH 7-8. However, the high concentrations of HCO3-
reduced the reaction rate. CtFDH was modeled in the presence of HCO3- showing
that it fits to the active site. The active site setting for hydride transfer in
CO2 reduction was modeled. The hydride donated by NADH would form a favorable
contact to the carbon atom of HCO3-, resulting in a surplus of electrons within
the molecule. This would cause the complex formed by hydrogen carbonate and the
hydride to break into formate and hydroxide ions.
PMID- 27887027
TI - Expansion of the substrate range of the gentisate 1,2-dioxygenase from
Corynebacterium glutamicum for the conversion of monohydroxylated benzoates.
AB - The gentisate 1,2-dioxygenases (GDOs) from Corynebacterium glutamicum and various
other organisms oxidatively cleave the aromatic nucleus of gentisate (2,5
dihydroxybenzoate), but are not able to convert salicylate (2-hydroxybenzoate).
In contrast, the alpha-proteobacterium Pseudaminobacter salicylatoxidans
synthesises an enzyme ('salicylate dioxygenase', SDO) which cleaves gentisate,
but also (substituted) salicylate(s). Sequence comparisons showed that the SDO
belongs to a group of GDOs mainly originating from Gram-positive bacteria which
also include the GDO from C. glutamicum ATCC 13032. The combination of sequence
comparisons with previously performed structural and mutational analyses of the
SDO allowed to identify an amino acid residue (Ala112) which might prevent the
oxidation of (substituted) salicylate(s) by the GDO from C. glutamicum Therefore,
the relevant mutation (Ala->Gly) was introduced into the GDO from C. glutamicum
The GDO variant obtained gained the ability to oxidise salicylate and several
other monohydroxylated substrates. In order to screen a broader range of enzyme
variants a chromogenic assay was developed which allowed the detection of
bacterial colonies converting salicylate. The applicability of this test system
was proven by screening a set of GDO variants obtained by saturation mutagenesis
at different positions. This demonstrated that also GDO variants carrying the
mutations Ala112->Ser, Ala112->Ile and Ala112->Asp converted salicylate.
PMID- 27887028
TI - Influence of urbanity on perception of mental illness stigma: a population based
study in urban and rural Hanoi, Vietnam.
AB - BACKGROUND AND AIMS: To examine, for the first time in Vietnam, whether urbanity
of respondents among other socio-demographic factors affects the public
perception of stigma attached to persons with mental illness in Hanoi. METHODS: A
general population-based survey was carried out in 2013 in the greater Hanoi
area. The perception of stigma attached to people with mental illness was
elicited using Link's perceived discrimination and devaluation scale (PDDS)
carried out in Vietnamese language. The survey sample (n = 806) was stratified
for gender, urban/rural location, age, household size and marital status, in
accordance with the 2013 Vietnamese census. RESULTS: Comparing the total score of
the PDDS and its single items, we found less perceived stigma and discrimination
among the rural population of Hanoi and in respondents who reported religious
attainment to either Buddhism or Christianity. Logistic regression analyses found
no significant influences of gender, age, household size or marital status
regarding the perceived stigma toward persons with mental illness. CONCLUSION:
Less negative perception of stigma attached to persons with mental illness that
was observed among the rural population in the Hanoi area may be interpreted in
the light of possibly more demanding living conditions in modern urban Vietnam
with less opportunities for mentally ill patients and points toward a dynamic
interaction with rapidly changing living conditions in Asian megacities.
PMID- 27887029
TI - Circulating Humorous Antitobacco Videos on Social Media.
AB - We investigated whether exposure to same humorous antitobacco videos via
different types of social media platforms and contexts (health vs. humor)
influences individual's health risk perceptions, attitudes, and behavioral
intents. An experiment with a 2 (social media types: YouTube and Facebook) * 2
(message contexts: health-focused and humor-focused contexts) factorial design
was conducted. It was found that those who watched the humorous antitobacco
videos on Facebook in the health-context exhibited a higher level of risk
perception of smoking, less positive attitude toward smokers, and a higher level
of intention to avoid smoking in the future than the participants who viewed the
same videos on YouTube in the health-context or on Facebook in the humor-context.
These findings provide useful practical guidelines in using social media for
health communication/promotion. Humorous health promotion messages are best
circulated on social networking sites such as Facebook accompanied by others'
support for the given health topic (i.e., in health-contexts).
Practical/theoretical implications and limitations of the study were further
discussed in this article.
PMID- 27887030
TI - Energy and nutrient intakes of Swedish children in relation to consumption of and
habits associated with school lunch.
AB - AIMS: School lunches are provided free in Sweden, although some children choose
not to eat school lunch. The aim of this study was to analyse Swedish children's
total energy and nutrient intakes on weekdays by the frequency of school lunch
consumption and to analyse energy and nutrient intakes from school lunches by
sex. Factors associated with children's school lunch habits were also studied.
METHODS: Children in grades 2 and 5 ( n=1905) completed a food diary (school
lunch data available for 1840 children) and the mean energy and nutrient intakes
per day and per school lunch were calculated. The children also completed
questions on the frequency of school lunch consumption and school lunch habits.
Logistic regression was used to assess factors associated with school lunch
habits. RESULTS: Children who reported eating school lunch every day had
significantly higher energy and absolute nutrient intakes than children reporting
eating school lunch less than five times a week, but not standardized for energy.
Boys had significantly higher energy and absolute nutrient intakes from school
lunches than girls, but not standardized for energy. Younger children and
children who liked school lunches had higher odds of eating school lunch every
day. Children in grade 5, those with a foreign background and those disliking
school lunches had higher odds of omitting the main lunch component. CONCLUSIONS:
Regular school lunch consumption was associated with a higher total intake for
most nutrients, but not a better nutrient density. School lunch habits were
associated with age, ethnic background and liking school lunches.
PMID- 27887031
TI - Job mobility and health in the Danish workforce.
AB - AIMS: The globalized economy has stimulated mobility in the labour market in many
countries and Denmark has one of the highest rates of mobility between workplaces
among the OECD countries. This raises the question of the potential health
effects of mobility and the effect of disease on mobility. METHODS: This study
was register-based with a longitudinal design using data on the entire Danish
population in 1992-2006. The data included mobility between employers and
workplaces and seven different diseases based on admissions to hospital and drug
prescriptions. RESULTS: After adjusting for relevant confounders, an exposure
response relationship was seen between mobility and the incidence of ischaemic
heart disease, stroke, duodenal ulcer, anxiety/depression and, most strongly,
with alcohol-related disorders. The effects were not very strong, however, with
odds ratios varying from 1.2 to 1.6. As expected, no effect was seen for
colorectal cancer. We also found an effect of both somatic and mental disorders
on mobility, but not for the two cancer types. Mobility did not seem to prevent
being out of the labour force after diagnosis. CONCLUSIONS: Frequent mobility in
the labour market increases the risk of cardiovascular disease, common mental
disorders and alcohol-related disorders and these diagnoses also seem to increase
the risk of subsequent mobility.
PMID- 27887032
TI - Provider Perception of Pharmacy Services in the Patient-Centered Medical Home.
AB - BACKGROUND: Despite the positive data on clinical outcomes, cost savings, and
provider experience, no study has surveyed providers to evaluate what pharmacy
services they find to be worthwhile. OBJECTIVE: To determine what clinical,
cost/access, and educational pharmacy services providers in a patient-centered
medical home (PCMH) consider worthwhile and the perceived barriers to successful
pharmacist incorporation. METHODS: A cross-sectional online survey was
distributed to primary care physicians, nurse practitioners, and physician
assistants in a PCMH physician group. RESULTS: The survey response rate was 78%.
Top-tier clinical services were identified as medication counseling,
reconciliation, adherence assessment, polypharmacy assessment, and drug
information. Formulary review was the only top-tier cost- or access-related
service. Top-tier educational services included new black-boxed warnings, drug
market withdrawals, and new drug reviews. Ninety-one percent of providers were
comfortable referring to a pharmacist for diabetes medication selection and dose
titration, but no other disease state eclipsed 75%. More than twice as many
providers found the pharmacy service to be very or extremely valuable when the
pharmacist is physically located in the office versus virtual interactions (70%
vs 34%). CONCLUSION: Top-tier clinical, cost/access, and educational services
considered worthwhile by providers in a PCMH have been identified. In addition to
these services, when developing or evaluating a pharmacy service, special
attention should be paid to provider preference for physical location in the
office and perceived barriers to the pharmacist availability, concern over
complex disease management competency and patient confusion as to the role of the
pharmacist.
PMID- 27887033
TI - Skin Irritation and Sensitization Potential of Fixed-Dose Combination of
Diclofenac 1% and Menthol 3% Topical Gel: Results of Two Phase I Patch Studies.
AB - Phase I, randomized, controlled patch studies were conducted to evaluate skin
sensitization and irritation potential of a new gel formulation containing 1%
diclofenac and 3% menthol as a fixed-combination product.In study A, healthy
volunteers were exposed to 4 test patches containing 1% diclofenac+3% menthol,
diclofenac, menthol, or placebo gels during an induction (nine 48 to 72-h
applications) and challenge phase (one 48-h application). Some subjects were re
challenged to evaluate suspected sensitization. Study B participants underwent 21
consecutive 24-h patch applications of the 4 treatments from study A, 0.2% sodium
lauryl sulfate (positive control), 0.9% saline, and a marketed gel (1%
diclofenac, Voltaren). Application sites were visually scored by blinded
observers for skin sensitization/irritation.In study A, 77% of participants
showed minimal erythema and signs of glazing and peeling with 1% diclofenac+3%
menthol by the end of the induction phase, which diminished during the challenge
phase. Similar patterns were seen with menthol gel. Only 1 subject exhibited
possible sensitization to 1% diclofenac+3% menthol. In study B, mean cumulative
irritation score with 1% diclofenac+3% menthol was significantly higher
(P<0.0001) vs. reference treatments; however, the positive control failed to
produce the expected level of irritation. No treatment-related adverse events
were reported.The sensitization and irritation potential of 1% diclofenac+3%
menthol was greater than with the reference treatments. Comparison with positive
control was not possible because it did not produce irritation under
semiocclusive patch conditions.
PMID- 27887035
TI - Impact of transgene genome location on gene migration from herbicide-resistant
wheat (Triticum aestivum L.) to jointed goatgrass (Aegilops cylindrica Host).
AB - BACKGROUND: Wheat (Triticum aestivum) (ABD) and jointed goatgrass (Aegilops
cylindrica) (CD) can cross and produce hybrids that can backcross to either
parent. Such backcrosses can result in progeny with chromosomes and/or chromosome
segments retained from wheat. Thus, a herbicide resistance gene could migrate
from wheat to jointed goatgrass. In theory, the risk of gene migration from
herbicide-resistant wheat to jointed goatgrass is more likely if the gene is
located on the D genome and less likely if the gene is located on the A or B
genome of wheat. RESULTS: BC1 populations (jointed goatgrass as a recurrent
parent) were analyzed for chromosome numbers and transgene transmission rates
under sprayed and non-sprayed conditions. Transgene retention in the non-sprayed
BC1 generation for the A, B and D genomes was 84, 60 and 64% respectively. In the
sprayed populations, the retention was 81, 59 and 74% respectively. CONCLUSION:
The gene transmission rates were higher than the expected 50% or less under
sprayed and non-sprayed conditions, possibly owing to meiotic chromosome
restitution and/or chromosome non-disjunction. Such high transmission rates in
the BC1 generation negates the benefits of gene placement for reducing the
potential of gene migration from wheat to jointed goatgrass. (c) 2016 Society of
Chemical Industry.
PMID- 27887034
TI - Epicurus and B. F. Skinner: In search of the good life.
AB - This paper examines similarities in the works of Epicurus, an ancient Greek
philosopher, and B. F. Skinner, a behavioral psychologist. They both were
empiricists who argued in favor of the lawfulness of behavior while maintaining
that random events were included within those laws. They both devoted much effort
to describing how individuals could live effective, rewarding and pleasurable
lives. They both emphasized simple and natural pleasures (or reinforcers) and the
importance of combining personal pleasures with actions that benefit friends and
community. They both opposed punishment and all aversive measures used by
governments and religions to control behaviors. And both created utopias: a real
community, The Garden, where Epicurus lived with his followers, and a fictional
one, Walden Two, by Skinner. We consider how a combination of the ideas of
Epicurus and Skinner can contribute to their common goal of helping people to
live better lives.
PMID- 27887036
TI - Customized mandibular reconstruction plates improve mechanical performance in a
mandibular reconstruction model.
AB - The purpose of this paper was to analyze the biomechanical performance of
customized mandibular reconstruction plates with optimized strength. The best
locations for increasing bar widths were determined with a sensitivity analysis.
Standard and customized plates were mounted on mandible models and mechanically
tested. Maximum stress in the plate could be reduced from 573 to 393 MPa (-31%)
by increasing bar widths. The median fatigue limit was significantly greater (p <
0.001) for customized plates (650 +/- 27 N) than for standard plates (475 +/- 27
N). Increasing bar widths at case-specific locations was an effective strategy
for increasing plate fatigue performance.
PMID- 27887037
TI - Failure of CRP decline within three days of hospitalization is associated with
poor prognosis of Community-acquired Pneumonia.
AB - BACKGROUND: C-reactive protein (CRP) is a well-known acute phase protein used to
monitor the patient's response during treatment in infectious diseases. Mortality
from Community-acquired Pneumonia (CAP) remains high, particularly in
hospitalized patients. Better risk prediction during hospitalization could
improve management and ultimately reduce mortality levels. The aim of this study
was to evaluate CRP on the 3rd day (CRP3) of hospitalization as a predictor for
30 days mortality. METHODS: A retrospective multicentre cohort study of adult
patients admitted with CAP at three Danish hospitals. Predictive associations of
CRP3 (absolute levels and relative decline) and 30 days mortality were analysed
using receiver operating characteristics and logistic regression. RESULTS: Eight
hundred and fourteen patients were included and 90 (11%) died within 30 days. The
area under the curve for CRP3 level and decline for predicting 30 days mortality
were 0.64 (0.57-0.70) and 0.71 (0.65-0.76). Risk of death was increased in
patients with CRP3 level >75 mg/l (OR 2.44; 95%CI 1.36-4.37) and in patients with
a CRP3 decline <50% (OR 4.25; 95%CI 2.30-7.83). In the multivariate analysis, the
highest mortality risk was seen in patients who failed to decline by 50%,
irrespective of the actual level of CRP (OR 7.8; 95%CI 3.2-19.3). Mortality risk
increased significantly according to CRP decline for all strata of CURB-65 score.
CONCLUSIONS: CRP responses day 3 is a valuable predictor of 30 days mortality in
hospitalized CAP patients. Failure to decline in CRP was associated with a poor
prognosis irrespective of the actual level of CRP or CURB-65.
PMID- 27887039
TI - Corrigendum.
PMID- 27887038
TI - AIMS65 score: a new prognostic tool to predict mortality in variceal bleeding.
PMID- 27887040
TI - In vitro assessment of non-irritant microemulsified voriconazole hydrogel system.
AB - Research was aimed on microemulsion-based hydrogel for voriconazole. Oleic acid
and isopropyl myristate as lipid phases; tween 20: tween 80 as surfactants and
PEG600 as cosurfactant were selected to formulate voriconazole microemulsions.
The promising microemulsions in terms of zeta potential, pH, viscosity, and drug
release were selected and developed into hydrogels using carbopol 934. Resulting
microemulsion-based hydrogel (MBH) of voriconazole were evaluated for in vitro
diffusion and ex vivo permeation. Antifungal potentials of MBH were assessed
against selected fungal strains. Optimal MBH formulations, O6 and O8 had
displayed their antifungal potentials with enlarged zone of inhibition against
selected fungal strains.
PMID- 27887202
TI - Serological markers in diagnosis of pediatric inflammatory bowel disease and as
predictors for early tumor necrosis factor blocker therapy.
AB - OBJECTIVE: To describe the prevalence of serological markers in newly diagnosed
treatment-naive pediatric inflammatory bowel disease (IBD), their utility in
differentiating Crohn's disease (CD), ulcerative colitis (UC) and symptomatic non
IBD patients and whether serological markers are associated with early TNF
blocker treatment. MATERIAL AND METHODS: Ninety-six children and adolescents <18
years, 58 with IBD and 38 symptomatic non-IBD controls were included. At
diagnosis and after 1-2 years, serological antibodies (anti-Saccharomyces
cerevisiae antibodies (ASCA), perinuclear anti-neutrophil cytoplasmic antibody
(pANCA), flagellin expressed by Clostridial phylum (anti-CBir1), outer membrane
porin of Escherichia coli (anti-OmpC), Pseudomonas fluorescens-associated
sequence (anti-I2), CRP, ESR and fecal calprotectin were analyzed. The choice of
treatment was made at the discretion of the treating pediatrician. RESULTS: Of
the IBD patients, 20 (36%) and 26 (47%) were positive for ASCA and pANCA compared
to 3(8%), p < .01 and 10 (27%), p = .04 of the controls. Thirteen (72%) of UC
patients were pANCA positive, versus 13 (35%) of CD patients (p < .01). None of
the UC patients was ASCA positive versus 20 (54%) of CD patients (p < .0001).
Compared to conventionally treated patients, the 18 (49%) TNF blocker treated CD
patients had higher presence of ASCA (p < .01), lower presence of pANCA (p = .02)
and higher levels of fecal calprotectin, CRP and ESR at diagnosis. In
multivariate analyses ASCA and pANCA status, but not CRP, ESR or calprotectin,
were independently associated with early TNF blocker treatment. CONCLUSIONS: ASCA
and pANCA status were associated with having IBD and with early TNF blocker
treatment in CD.
PMID- 27887203
TI - Contrast enhanced ultrasound features of hepatic cystadenoma and hepatic
cystadenocarcinoma.
AB - OBJECTIVE: Hepatic (biliary) cystic tumor (HBCT) is a rare focal cystic liver
lesion, which has been rarely described in the literature. In our current
multicenter, retrospective study, we aimed to analyze contrast enhanced
ultrasound (CEUS) features and its diagnostic performance in histologically
proved HBCT. MATERIAL AND METHODS: Twenty-three patients with single HBCT were
retrospectively analyzed. Histologically, 17 (73.9%) were benign hepatic
(biliary) cystadenoma (HBCA), 6 (26.1%) were hepatic (biliary) cystadenocarcinoma
(HBCAC). All CEUS examinations were assessed by two independent radiologists in
consensus. Criteria of CEUS imaging evaluation included the contrast enhancement
pattern of lesion (hypoenhancing, hyperenhancing, isoenhancing in comparison to
the surrounding liver parenchyma) during the arterial, portal venous and late
phases. RESULTS: After injection of ultrasound contrast agents, most of the HBCTs
(78.3%, 18/23) had typical honeycomb enhancement pattern of the cystic wall,
septa or mural nodules. Comparing between HBCA and HBCAC, hyperenhancement of the
honeycomb septa during the arterial phase was more common in HBCA (p = .047).
However, hypoenhancement during the portal venous and late phases was the
characteristic of HBCAC (p = .041). CONCLUSIONS: The EFSUMB algorithm for CEUS
for characterization of solid focal liver lesions is also applicable to HBCT.
CEUS evaluation can avoid further diagnostic investigations or invasive biopsy
procedure.
PMID- 27887563
TI - The trans-generational impact of population density signals on host-parasite
interactions.
AB - BACKGROUND: The density of a host population is a key parameter underlying
disease transmission, but it also has implications for the expression of disease
through its effect on host physiology. In response to higher densities,
individuals are predicted to either increase their immune investment in response
to the elevated risk of parasitism, or conversely to decrease their immune
capacity as a consequence of the stress of a crowded environment. However, an
individual's health is shaped by many different factors, including their genetic
background, current environmental conditions, and maternal effects. Indeed,
population density is often sensed through the presence of info-chemicals in the
environment, which may influence a host's interaction with parasites, and also
those of its offspring. All of which may alter the expression of disease, and
potentially uncouple the presumed link between changes in host density and
disease outcomes. RESULTS: In this study, we used the water flea Daphnia magna
and its obligate bacterial parasite Pasteuria ramosa, to investigate how signals
of high host density impact on host-parasite interactions over two consecutive
generations. We found that the chemical signals from crowded treatments induced
phenotypic changes in both the parental and offspring generations. In the absence
of a pathogen, life-history changes were genotype-specific, but consistent across
generations, even when the signal of density was removed. In contrast, the
influence of density on infected animals depended on the trait and generation of
exposure. When directly exposed to signals of high-density, host genotypes
responded differently in how they minimised the severity of disease. Yet, in the
subsequent generation, the influence of density was rarely genotype-specific and
instead related to ability of the host to minimise the onset of infection.
CONCLUSION: Our findings reveal that population level correlations between host
density and infection capture only part of the complex relationship between
crowding and the severity of disease. We suggest that besides its role in
horizontal transmission, signals of density can influence parasite epidemiology
by modifying mechanisms of resistance across multiple generations, and elevating
variability via genotype-by-environment interactions. Our results help resolve
why some studies are able to find a positive correlation between high density and
resistance, while others uncover a negative correlation, or even no direct
relationship at all.
PMID- 27887564
TI - The Drosophila transcriptional network is structured by microbiota.
AB - BACKGROUND: Resident microorganisms (microbiota) have far-reaching effects on the
biology of their animal hosts, with major consequences for the host's health and
fitness. A full understanding of microbiota-dependent gene regulation requires
analysis of the overall architecture of the host transcriptome, by identifying
suites of genes that are expressed synchronously. In this study, we investigated
the impact of the microbiota on gene coexpression in Drosophila. RESULTS: Our
transcriptomic analysis, of 17 lines representative of the global genetic
diversity of Drosophila, yielded a total of 11 transcriptional modules of co
expressed genes. For seven of these modules, the strength of the transcriptional
network (defined as gene-gene coexpression) differed significantly between flies
bearing a defined gut microbiota (gnotobiotic flies) and flies reared under
microbiologically sterile conditions (axenic flies). Furthermore, gene
coexpression was uniformly stronger in these microbiota-dependent modules than in
both the microbiota-independent modules in gnotobiotic flies and all modules in
axenic flies, indicating that the presence of the microbiota directs gene
regulation in a subset of the transcriptome. The genes constituting the
microbiota-dependent transcriptional modules include regulators of growth,
metabolism and neurophysiology, previously implicated in mediating phenotypic
effects of microbiota on Drosophila phenotype. Together these results provide the
first evidence that the microbiota enhances the coexpression of specific and
functionally-related genes relative to the animal's intrinsic baseline level of
coexpression. CONCLUSIONS: Our system-wide analysis demonstrates that the
presence of microbiota enhances gene coexpression, thereby structuring the
transcriptional network in the animal host. This finding has potentially major
implications for understanding of the mechanisms by which microbiota affect host
health and fitness, and the ways in which hosts and their resident microbiota
coevolve.
PMID- 27887565
TI - Genomic evaluation by including dominance effects and inbreeding depression for
purebred and crossbred performance with an application in pigs.
AB - BACKGROUND: Improved performance of crossbred animals is partly due to heterosis.
One of the major genetic bases of heterosis is dominance, but it is seldom used
in pedigree-based genetic evaluation of livestock. Recently, a trivariate genomic
best linear unbiased prediction (GBLUP) model including dominance was developed,
which can distinguish purebreds from crossbred animals explicitly. The objectives
of this study were: (1) methodological, to show that inclusion of marker-based
inbreeding accounts for directional dominance and inbreeding depression in
purebred and crossbred animals, to revisit variance components of additive and
dominance genetic effects using this model, and to develop marker-based
estimators of genetic correlations between purebred and crossbred animals and of
correlations of allele substitution effects between breeds; (2) to evaluate the
impact of accounting for dominance effects and inbreeding depression on
predictive ability for total number of piglets born (TNB) in a pig dataset
composed of two purebred populations and their crossbreds. We also developed an
equivalent model that makes the estimation of variance components tractable.
RESULTS: For TNB in Danish Landrace and Yorkshire populations and their
reciprocal crosses, the estimated proportions of dominance genetic variance to
additive genetic variance ranged from 5 to 11%. Genetic correlations between
breeding values for purebred and crossbred performances for TNB ranged from 0.79
to 0.95 for Landrace and from 0.43 to 0.54 for Yorkshire across models. The
estimated correlation of allele substitution effects between Landrace and
Yorkshire was low for purebred performances, but high for crossbred performances.
Predictive ability for crossbred animals was similar with or without dominance.
The inbreeding depression effect increased predictive ability and the estimated
inbreeding depression parameter was more negative for Landrace than for Yorkshire
animals and was in between for crossbred animals. CONCLUSIONS: Methodological
developments led to closed-form estimators of inbreeding depression, variance
components and correlations that can be easily interpreted in a quantitative
genetics context. Our results confirm that genetic correlations of breeding
values between purebred and crossbred performances within breed are positive and
moderate. Inclusion of dominance in the GBLUP model does not improve predictive
ability for crossbred animals, whereas inclusion of inbreeding depression does.
PMID- 27887566
TI - The common redstart as a suitable model to study cuckoo-host coevolution in a
unique ecological context.
AB - BACKGROUND: Co-evolutionary arms-races result in spatio-temporally dynamic
relationships between interacting species, e.g., brood parasites and their avian
hosts. However, majority of avian co-evolutionary studies are limited to "snap
shots" of a single breeding season in an open-nesting host. In a long-term study
(11 breeding seasons), we explored a unique system between the brood parasitic
common cuckoo (Cuculus canorus) and its host, the common redstart (Phoenicurus
phoenicurus) which is exceptional among all cuckoo hosts due to being a cavity
nester. Conditions in cavities are different from open nests, e.g., lower risks
of predation, more favourable microclimate, increased risks of unsuccessful
eviction of host offspring by the cuckoo nestling. Different conditions in
cavities thus can be expected to shape parasite-host coevolution differently from
what is typically studied in open nesting hosts. RESULTS: In our highly
parasitised nest-box population (32.5%, n = 569 nests) only 35.7% of cuckoo eggs
were laid into the nest cup and incubated by redstarts. Host nests shifted
availability to later into the breeding season from 2006 to 2016 and cuckoos
followed this trend by also shifting their timing of parasitism. Although
previous studies revealed that redstarts selectively eject experimental non
mimetic eggs (desertion was not a specific response to foreign eggs), the hosts
never ejected naturally-laid cuckoo eggs or cuckoo eggs cross-fostered into
naturally non-parasitised nests. We solve the long-standing debate about the
origin of cuckoo eggs found on the nest rim: we gained the first direct video
recording evidence that eggs found on the nest rim were mislaid by parasites and
not ejected by hosts. Naturally-parasitised nests were deserted more often
(18.6%) than control non-parasitized nests (5.6%) or nests artificially
parasitised by us (1.4%). This suggests that the sight of the laying cuckoo
female is the primary cue that triggers egg rejection (by desertion) in this
host. Review of data from this and other study sites (10 populations, n = 853
experiments) demonstrates high variability in rejection rates and shows that
populations facing higher parasitism rates reject parasitic eggs with higher
frequencies. Surprisingly, cuckoo chicks either growing solitarily or with
redstart chicks did not differ in their fledging success. CONCLUSIONS: We suggest
that the redstart is an ideal model system to study the flexibility and limits of
brood parasite-host co-evolution in an extreme ecological setting.
PMID- 27887567
TI - Fluorescence chromosome banding and FISH mapping in perennial ryegrass, Lolium
perenne L.
AB - BACKGROUND: The unambiguous identification of individual chromosomes is a key
part of the genomic characterization of any species. In this respect, the
development and application of chromosome banding techniques has revolutionised
mammalian and especially, human genomics. However, partly because of the
traditional use of chromosome squash preparations, consistent fluorescence
banding has rarely been achieved in plants. Here, successful fluorescence
chromosome banding has been achieved for the first time in perennial ryegrass
(Lolium perenne), a forage and turf grass with a large genome and a symmetrical
karyotype with chromosomes that are difficult to distinguish. RESULTS: Based on
flame-dried chromosome preparations instead of squashes, a simple fluorescence Q
banding technique using quinacrine mustard, unambiguously identified each
chromosome and enabled the development of a banded karyotype and ideogram of the
species. This Q-banding technique was also shown to be compatible with sequential
FISH mapping enabling labelled genes and molecular markers to be precisely
assigned to specific cytogenetic bands. A technique for DAPI-banding, which gave
a similar pattern to Q-banding, was also introduced. This was compatible with
FISH mapping and was used to anchor a single copy gene from an earlier mapped
linkage group of L. perenne, thus providing a step towards integration of the
genetic and cytogenetic maps. CONCLUSIONS: By enabling the allocation of genes
mapped by other methods to physically identified chromosome positions, this work
will contribute to a better understanding of genomic structures and functions in
grasses.
PMID- 27887568
TI - A new method of finding groups of coexpressed genes and conditions of
coexpression.
AB - BACKGROUND: To study a biological phenomenon such as finding mechanism of
disease, common methodology is to generate the microarray data in different
relevant conditions and find groups of genes co-expressed across conditions from
such data. These groups might enable us to find biological processes involved in
a disease condition. However, more detailed understanding can be made when
information of a biological process associated with a particular condition is
obtained from the data. Many algorithms are available which finds groups of co
expressed genes and associated conditions of co-expression that can help finding
processes associated with particular condition. However, these algorithms depend
on different input parameters for generating groups. For real datasets, it is
difficult to use these algorithms due to unknown values of these parameters.
RESULTS: We present here an algorithm, clustered groups, which finds groups of co
expressed genes and conditions of co-expression with minimal input from user. We
used random datasets to derive a cutoff on the basis of which we filtered the
resultant groups and showed that this can improve the relevance of obtained
groups. We showed that the proposed algorithm performs better than other known
algorithms on both real and synthetic datasets. We have also shown its
application on a temporal microarray dataset by extracting biclusters and
biological information hidden in those biclusters. CONCLUSIONS: Clustered groups
is an algorithm which finds groups of co-expressed genes and conditions of co
expression using only a single parameter. We have shown that it works better than
other existing algorithms. It can be used to find these groups in different data
types such as microarray, proteomics, metabolomics etc.
PMID- 27887570
TI - MetaMIS: a metagenomic microbial interaction simulator based on microbial
community profiles.
AB - BACKGROUND: The complexity and dynamics of microbial communities are major
factors in the ecology of a system. With the NGS technique, metagenomics data
provides a new way to explore microbial interactions. Lotka-Volterra models,
which have been widely used to infer animal interactions in dynamic systems, have
recently been applied to the analysis of metagenomic data. RESULTS: In this
paper, we present the Lotka-Volterra model based tool, the Metagenomic Microbial
Interacticon Simulator (MetaMIS), which is designed to analyze the time series
data of microbial community profiles. MetaMIS first infers underlying microbial
interactions from abundance tables for operational taxonomic units (OTUs) and
then interprets interaction networks using the Lotka-Volterra model. We also
embed a Bray-Curtis dissimilarity method in MetaMIS in order to evaluate the
similarity to biological reality. MetaMIS is designed to tolerate a high level of
missing data, and can estimate interaction information without the influence of
rare microbes. For each interaction network, MetaMIS systematically examines
interaction patterns (such as mutualism or competition) and refines the biotic
role within microbes. As a case study, we collect a human male fecal microbiome
and show that Micrococcaceae, a relatively low abundance OTU, is highly connected
with 13 dominant OTUs and seems to play a critical role. MetaMIS is able to
organize multiple interaction networks into a consensus network for comparative
studies; thus we as a case study have also identified a consensus interaction
network between female and male fecal microbiomes. CONCLUSIONS: MetaMIS provides
an efficient and user-friendly platform that may reveal new insights into
metagenomics data. MetaMIS is freely available at:
https://sourceforge.net/projects/metamis/ .
PMID- 27887569
TI - Immune modulation of CD4+CD25+ regulatory T cells by zoledronic acid.
AB - BACKGROUND: CD4+CD25+ regulatory T (Treg) cells suppress tumor immunity by
inhibiting immune cells. Manipulation of Treg cells represents a new strategy for
cancer treatment. Zoledronic acid (ZA), a nitrogen-containing bisphosphonate,
inhibits the expression of receptor activator of nuclear factor kappa-B ligand
(RANKL) on osteoblasts to inhibit osteoclastogenesis. In a mouse model of
bisphosphonate-related osteonecrosis of the jaw, administration of ZA suppressed
Treg-cell activity and activated inflammatory Th17 cells. However, the
interaction between ZA and Treg cells remained unclear. This study investigated
the immune modulation of Treg cells by ZA. METHODS: Flow cytometry was used to
analyze the phenotypic and immunosuppressive characteristics of Treg cells
treated with ZA. Chemotactic migration was evaluated using transwell assays.
Quantitative real-time PCR (qRT-PCR) was used to investigate the effect of ZA on
the expression of suppressive molecules by Treg cells. RESULTS: Proliferation of
isolated Treg cells in culture was inhibited by ZA, although ZA did not induce
apoptosis. qRT-PCR and flow cytometry showed that ZA significantly downregulated
the expression of CCR4, CTLA4, PD-1 and RANKL on Treg cells. Chemotactic
migration and immunosuppressive functions were also significantly attenuated in
Treg cells pretreated with ZA, and these effects were dose-dependent. Co-culture
with Treg cells significantly increased the migration rate of breast cancer
cells, while pretreatment of Treg cells with ZA attenuated this effect.
CONCLUSIONS: Our findings demonstrated that ZA acted as an immune modulator by
significantly inhibiting the expansion, migration, immunosuppressive function and
pro-metastatic ability of Treg cells. Immunomodulation of Treg cells by ZA
represents a new strategy for cancer therapy.
PMID- 27887571
TI - LBSizeCleav: improved support vector machine (SVM)-based prediction of Dicer
cleavage sites using loop/bulge length.
AB - BACKGROUND: Dicer is necessary for the process of mature microRNA (miRNA)
formation because the Dicer enzyme cleaves pre-miRNA correctly to generate miRNA
with correct seed regions. Nonetheless, the mechanism underlying the selection of
a Dicer cleavage site is still not fully understood. To date, several studies
have been conducted to solve this problem, for example, a recent discovery
indicates that the loop/bulge structure plays a central role in the selection of
Dicer cleavage sites. In accordance with this breakthrough, a support vector
machine (SVM)-based method called PHDCleav was developed to predict Dicer
cleavage sites which outperforms other methods based on random forest and naive
Bayes. PHDCleav, however, tests only whether a position in the shift window
belongs to a loop/bulge structure. RESULT: In this paper, we used the length of
loop/bulge structures (in addition to their presence or absence) to develop an
improved method, LBSizeCleav, for predicting Dicer cleavage sites. To evaluate
our method, we used 810 empirically validated sequences of human pre-miRNAs and
performed fivefold cross-validation. In both 5p and 3p arms of pre-miRNAs,
LBSizeCleav showed greater prediction accuracy than PHDCleav did. This result
suggests that the length of loop/bulge structures is useful for prediction of
Dicer cleavage sites. CONCLUSION: We developed a novel algorithm for feature
space mapping based on the length of a loop/bulge for predicting Dicer cleavage
sites. The better performance of our method indicates the usefulness of the
length of loop/bulge structures for such predictions.
PMID- 27887572
TI - Maternal smoking impacts key biological pathways in newborns through epigenetic
modification in Utero.
AB - BACKGROUND: Children exposed to maternal smoking during pregnancy exhibit
increased risk for many adverse health effects. Maternal smoking influences
methylation in newborns at specific CpG sites (CpGs). Here, we extend evaluation
of individual CpGs to gene-level and pathway-level analyses among 1062
participants in the Norwegian Mother and Child Cohort Study (MoBa) using the
Illumina 450 K platform to measure methylation in newborn DNA and maternal
smoking in pregnancy, assessed using the biomarker, plasma cotinine. We used
novel implementations of bioinformatics tools to collapse epigenome-wide
methylation data into gene- and pathway-level effects to test whether exposure to
maternal smoking in utero differentially methylated CpGs in genes enriched in
biologic pathways. Unlike most pathway analysis applications, our approach allows
replication in an independent cohort. RESULTS: Data on 485,577 CpGs, mapping to a
total of 20,199 genes, were used to create gene scores that were tested for
association with maternal plasma cotinine levels using Sequence Kernel
Association Test (SKAT), and 15 genes were found to be associated (q < 0.25). Six
of these 15 genes (GFI1, MYO1G, CYP1A1, RUNX1, LCTL, and AHRR) contained
individual CpGs that were differentially methylated with regards to cotinine
levels (p < 1.06 * 10-7). Nine of the 15 genes (FCRLA, MIR641, SLC25A24, TRAK1,
C1orf180, ITLN2, GLIS1, LRFN1, and MIR451) were associated with cotinine at the
gene-level (q < 0.25) but had no genome-wide significant individual CpGs (p >
1.06 * 10-7). Pathway analyses using gene scores resulted in 51 significantly
associated pathways, which we tested for replication in an independent cohort (q
< 0.05). Of those 32 replicated in an independent cohort, which clustered into
six groups. The largest cluster consisted of pathways related to cancer, cell
cycle, ERalpha receptor signaling, and angiogenesis. The second cluster,
organized into five smaller pathway groups, related to immune system function,
such as T-cell regulation and other white blood cell related pathways.
CONCLUSIONS: Here we use novel implementations of bioinformatics tools to
determine biological pathways impacted through epigenetic changes in utero by
maternal smoking in 1062 participants in the MoBa, and successfully replicate
these findings in an independent cohort. The results provide new insight into
biological mechanisms that may contribute to adverse health effects from exposure
to tobacco smoke in utero.
PMID- 27887573
TI - In-vitro function of upstream visfatin polymorphisms that are associated with
adverse cardiometabolic parameters in obese children.
AB - BACKGROUND: Visfatin is an adipokine associated with glucose and lipid
metabolism. We previously reported two visfatin upstream single nucleotide
polymorphisms (SNPs), c.-3187G > A (rs11977021) and c.-1537C > T (rs61330082),
which were in perfect linkage disequilibrium, in a Singaporean cohort of severely
obese children and are associated with visfatin level and adverse cardiometabolic
parameters. We aim to functionally characterize the effect of c.-3187G > A and c.
1537C > T SNPs on basal transcriptional activity. METHODS: A 1.6 kb and 3.7 kb
upstream promoter region of the visfatin gene was amplified by polymerase chain
reaction and separately cloned into luciferase reporter vectors. Successful
clones were transfected into human embryonic kidney (HEK293T) and human breast
carcinoma (MCF7) cells and in-vitro dual-luciferase assay was performed.
Electrophoretic mobility shift assay ( EMSA) was also conducted to examine the
binding affinity between transcription factors and visfatin promoter sequences.
RESULTS: Variant promoter with only c.-1537C > T SNP did not show a change in
transcriptional activity as compared to the wild type. However, variant promoter
with both c.-3187G > A and c.-1537C > T SNPs showed a statistically significant
increase of 1.41 fold (p < 0.01) in transcriptional activity. The longer 3.7kbp
visfatin promoter sequence was also shown to have significantly higher
transcriptional activity (p < 0.05) as compared to the shorter 1.6kbp visfatin
promoter. Both c.-3187G > A and c.-1537C > T variants showed an increased binding
with nuclear protein. DISCUSSION AND CONCLUSIONS: We have demonstrated for the
first time that visfatin variant promoter with both c.-3187G > A and c.-1537C > T
SNPs result in an increase in transcriptional activity. This supports our
previous finding and postulation that these SNPs contribute to elevated visfatin
levels which may mediate higher triglyceride levels, severe systolic blood
pressure and severe hypertension in obese children. These SNPs may co-operatively
affect enhancer or silencer function to regulate transcriptional activity. In
conclusion, this study shows that upstream visfatin SNPs could potentially affect
phenotypic outcome in obese children through alteration of circulating visfatin
level.
PMID- 27887574
TI - FluxFix: automatic isotopologue normalization for metabolic tracer analysis.
AB - BACKGROUND: Isotopic tracer analysis by mass spectrometry is a core technique for
the study of metabolism. Isotopically labeled atoms from substrates, such as
[13C]-labeled glucose, can be traced by their incorporation over time into
specific metabolic products. Mass spectrometry is often used for the detection
and differentiation of the isotopologues of each metabolite of interest. For
meaningful interpretation, mass spectrometry data from metabolic tracer
experiments must be corrected to account for the naturally occurring isotopologue
distribution. The calculations required for this correction are time consuming
and error prone and existing programs are often platform specific, non-intuitive,
commercially licensed and/or limited in accuracy by using theoretical
isotopologue distributions, which are prone to artifacts from noise or unresolved
interfering signals. RESULTS: Here we present FluxFix ( http://fluxfix.science ),
an application freely available on the internet that quickly and reliably
transforms signal intensity values into percent mole enrichment for each
isotopologue measured. 'Unlabeled' data, representing the measured natural
isotopologue distribution for a chosen analyte, is entered by the user. This data
is used to generate a correction matrix according to a well-established
algorithm. The correction matrix is applied to labeled data, also entered by the
user, thus generating the corrected output data. FluxFix is compatible with
direct copy and paste from spreadsheet applications including Excel (Microsoft)
and Google sheets and automatically adjusts to account for input data dimensions.
The program is simple, easy to use, agnostic to the mass spectrometry platform,
generalizable to known or unknown metabolites, and can take input data from
either a theoretical natural isotopologue distribution or an experimentally
measured one. CONCLUSIONS: Our freely available web-based calculator, FluxFix (
http://fluxfix.science ), quickly and reliably corrects metabolic tracer data for
natural isotopologue abundance enabling faster, more robust and easily accessible
data analysis.
PMID- 27887575
TI - The gut microbiota in young and middle-aged rats showed different responses to
chicken protein in their diet.
AB - BACKGROUND: Meat protein in the diet has been shown to be beneficial for the
growth of Lactobacillus in the caecum of growing rats; however, it is unknown
whether gut microbiota in middle-aged animals have the same responses to meat
protein diets. This study compared the composition of the gut microbiota between
young and middle-aged rats after being fed 17.7% chicken protein diet for 14
days. METHODS: Feces were collected on day 0 and day 14 from young rats (4 weeks
old) and middle-aged rats (64 weeks old) fed with 17.7% chicken protein diets.
The composition of the gut bacteria was analyzed by sequencing the V4-V5 region
of the 16S ribosomal RNA gene. RESULTS: The results showed that the composition
of the gut microbiota was significantly different between young and middle-aged
rats on both day 0 and day 14. The percentage of Firmicutes decreased for middle
aged rats (72.1% versus 58.1% for day 0 and day 14, respectively) but increased
for young rats (41.5 versus 57.7% for day 0 and day 14, respectively). The
percentage of Bacteroidetes increased to 31.2% (20.5% on day 0) for middle-aged
rats and decreased to 29.6% (41.3% on day 0) for young rats. The relative
abundance of the beneficial genus Lactobacillus increased in response to the
intake of chicken protein in the young group, while it had the opposite effect in
the middle-aged group. CONCLUSION: The results of our study demonstrated that
17.7% chicken protein diet promoted the beneficial genus Lactobacillus in young
rats, but the opposite effect were found in the middle-aged group. To evaluate
the linkage between diet and host health, age effect should be considered in the
future studies.
PMID- 27887576
TI - Carotid arterial wall inflammation in peripheral artery disease is augmented by
type 2 diabetes: a cross-sectional study.
AB - BACKGROUND: Patients with peripheral artery disease (PAD) are at increased risk
of secondary events, which is exaggerated in the presence of type 2 diabetes
mellitus. Diabetes is associated with a systemic pro-inflammatory state. We
therefore investigated the cumulative impact of PAD and type 2 diabetes on
carotid arterial wall inflammation. As recent data suggest a detrimental role of
exogenous insulin on cardiovascular disease, we also included a group of insulin
users. RESULTS: 18F-fluorodeoxyglucose positron emission tomography with computed
tomography (18F-FDG PET/CT) imaging showed increased carotid arterial wall
inflammation, assessed as target-to-background ratio (TBR), in PAD patients
without diabetes (PAD-only: n = 11, 1.97 +/- 0.57) compared with matched controls
(n = 12, 1.49 +/- 0.57; p = 0.009), with a significant further TBR increase in
PAD patients with type 2 diabetes (PAD-DM, n = 23, 2.90 +/- 1, p = 0.033 vs PAD
only). TBR of insulin users (n = 12, 3.31 +/- 1.14) was higher compared with
patients on oral medication only (n = 11, 2.44 +/- 0.76, p = 0.035), despite
comparable PAD severity (Fontaine stages), BMI and CRP. Multivariate regression
analysis showed that Hba1c and plasma insulin levels, but not dose of exogenous
insulin, correlated with TBR. CONCLUSIONS: Concurrent diabetes significantly
augments carotid arterial wall inflammation in PAD patients. A further increase
in those requiring insulin was observed, which was associated with diabetes
severity, rather than with the use of exogenous insulin itself.
PMID- 27887577
TI - Impact of financial incentives on alcohol intervention delivery in primary care:
a mixed-methods study.
AB - BACKGROUND: Local and national financial incentives were introduced in England
between 2008 and 2015 to encourage screening and brief alcohol intervention
delivery in primary care. We used routine Read Code data and interviews with
General Practitioners (GPs) to assess their impact. METHODS: A sequential
explanatory mixed-methods study was conducted in 16 general practices
representing 106,700 patients and 99 GPs across two areas in Northern England.
Data were extracted on screening and brief alcohol intervention delivery for 2010
11 and rates were calculated by practice incentive status. Semi-structured
interviews with 14 GPs explored which factors influence intervention delivery and
recording in routine consultations. RESULTS: Screening and brief alcohol
intervention rates were higher in financially incentivised compared to non
incentivised practices. However absolute rates were low across all practices.
Rates of short screening test administration ranged from 0.05% (95% CI: 0.03
0.08) in non-incentivised practices to 3.92% (95% CI: 3.70-4.14) in nationally
incentivised practices. For the full AUDIT, rates were also highest in nationally
incentivised practices (3.68%, 95% CI: 3.47-3.90) and lowest in non-incentivised
practices (0.17%, 95% CI: 0.13-0.22). Delivery of alcohol interventions was
highest in practices signed up to the national incentive scheme (9.23%, 95% CI:
8.91-9.57) and lowest in non-incentivised practices (4.73%, 95% CI: 4.50-4.96).
GP Interviews highlighted a range of influences on alcohol intervention delivery
and subsequent recording including: the hierarchy of different financial
incentive schemes; mixed belief in the efficacy of alcohol interventions; the
difficulty of codifying complex conditions; and GPs' beliefs about patient
centred practice. CONCLUSIONS: Financial incentives have had some success in
encouraging screening and brief alcohol interventions in England, but levels of
recorded activity remain low. To improve performance, future policies must
prioritise alcohol prevention work within the quality and outcomes framework, and
address the values, attitudes and beliefs that shape how GPs' provide care.
PMID- 27887578
TI - Evaluation of an international educational programme for health care
professionals on best practice in the management of a perinatal death: IMproving
Perinatal mortality Review and Outcomes Via Education (IMPROVE).
AB - BACKGROUND: Stillbirths and neonatal deaths are devastating events for both
parents and clinicians and are global public health concerns. Careful clinical
management after these deaths is required, including appropriate investigation
and assessment to determine cause (s) to prevent future losses, and to improve
bereavement care for families. An educational programme for health care
professionals working in maternal and child health has been designed to address
these needs according to the Perinatal Society of Australia and New Zealand
Guideline for Perinatal Mortality: IMproving Perinatal mortality Review and
Outcomes Via Education (IMPROVE). The programme has a major focus on stillbirth
and is delivered as six interactive skills-based stations. We aimed to determine
participants' pre- and post-programme knowledge of and confidence in the
management of perinatal deaths, along with satisfaction with the programme. We
also aimed to determine suitability for international use. METHODS: The IMPROVE
programme was delivered to health professionals in maternity hospitals in all
seven Australian states and territories and modified for use internationally with
piloting in Vietnam, Fiji, and the Netherlands (with the assistance of the
International Stillbirth Alliance, ISA). Modifications were made to programme
materials in consultation with local teams and included translation for the
Vietnam programme. Participants completed pre- and post-programme evaluation
questionnaires on knowledge and confidence on six key components of perinatal
death management as well as a satisfaction questionnaire. RESULTS: Over the
period May 2012 to May 2015, 30 IMPROVE workshops were conducted, including 26
with 758 participants in Australia and four with 136 participants
internationally. Evaluations showed a significant improvement between pre- and
post-programme knowledge and confidence in all six stations and overall, and a
high degree of satisfaction in all settings. CONCLUSIONS: The IMPROVE programme
has been well received in Australia and in three different international settings
and is now being made available through ISA. Future research is required to
determine whether the immediate improvements in knowledge are sustained with less
causes of death being classified as unknown, changes in clinical practice and
improvement in parents' experiences with care. The suitability for this programme
in low-income countries also needs to be established.
PMID- 27887580
TI - Factors associated with difficulty accessing health care for infants in Canada:
mothers' reports from the cross-sectional Maternity Experiences Survey.
AB - BACKGROUND: Approximately 13% of Canadian mothers report difficulty accessing
health care for their infants, yet little is known about the factors associated
with difficulty. Therefore, we examined factors associated with difficulty
accessing non-routine health care for Canadian infants, from birth to 14 months
of age, as reported by their mothers. METHODS: Data was drawn from the Maternity
Experiences Survey (MES), a cross-sectional, nationally representative survey of
mothers who gave birth between November 2005 and May 2006, aged 15 years or
older, and lived with their infants at the time of survey administration. A
multivariable logistic regression analysis was conducted to determine factors
associated with reporting difficulty, with difficulty defined as a mother
reporting it being somewhat or very difficult to access a health care provider.
RESULTS: Analysis of 2832 mothers who reported needing to access a health care
provider for their infant for a non-routine visit found that 13% reported
difficulty accessing a provider. Factors associated with reporting difficulty
were: residing in Quebec (aOR 1.89, 95% CI: 1.31-2.73), being an immigrant (aOR
1.58, 95% CI: 1.10-2.27), mistimed pregnancy (aOR 1.44, 95% CI: 1.05-1.98), low
level of social support (aOR 1.69, 95% CI: 1.05-2.73), good health (aOR 1.88, 95%
CI: 1.43-2.47), postpartum depression symptoms (aOR 1.55, 95% CI: 1.02-2.37) and
a self-reported 'too-short' postpartum hospital stay (aOR 1.69, 95% CI: 1.21
2.35). Additionally, accessing care for an infant with a birth weight of 2500 g
or more (aOR 2.43, 95% CI: 1.02-5.82), was associated with reporting difficulty.
Household income, mothers' level of education, marital status, Aboriginal
ethnicity, and size of community of residence were not associated with difficulty
accessing care. CONCLUSIONS: Ease of health care access for Canadian infants is
not equal, suggesting that efforts to improve access should be tailored to groups
facing increased difficulties.
PMID- 27887579
TI - Outcomes of high-dose levofloxacin therapy remain bound to the levofloxacin
minimum inhibitory concentration in complicated urinary tract infections.
AB - BACKGROUND: Fluoroquinolones are a guideline-recommended therapy for complicated
urinary tract infections, including pyelonephritis. Elevated drug concentrations
of fluoroquinolones in the urine and therapy with high-dose levofloxacin are
believed to overcome resistance and effectively treat infections caused by
resistant bacteria. The ASPECT-cUTI phase 3 clinical trial (ClinicalTrials.gov,
NCT01345929 and NCT01345955 , both registered April 28, 2011) provided an
opportunity to test this hypothesis by examining the clinical and microbiological
outcomes of high-dose levofloxacin treatment by levofloxacin minimum inhibitory
concentration. METHODS: Patients were randomly assigned 1:1 to
ceftolozane/tazobactam (1.5 g intravenous every 8 h) or levofloxacin (750 mg
intravenous once daily) for 7 days of therapy. The ASPECT-cUTI study provided
data on 370 patients with at least one isolate of Enterobacteriaceae at baseline
who were treated with levofloxacin. Outcomes were assessed at the test-of-cure (5
9 days after treatment) and late follow-up (21-42 days after treatment) visits in
the microbiologically evaluable population (N = 327). RESULTS: Test-of-cure
clinical cure rates above 90% were observed at minimum inhibitory concentrations
<=4 MUg/mL. Microbiological eradication rates were consistently >90% at
levofloxacin minimum inhibitory concentrations <=0.06 MUg/mL. Lack of eradication
of causative pathogens at the test-of-cure visit increased the likelihood of
relapse by the late follow-up visit. CONCLUSIONS: Results from this study do not
support levofloxacin therapy for complicated urinary tract infections caused by
organisms with levofloxacin minimum inhibitory concentrations >=4 MUg/mL. TRIAL
REGISTRATION: ClinicalTrials.gov, NCT01345929 and NCT01345955.
PMID- 27887581
TI - Design of a randomized controlled trial of Internet-based cognitive behavioral
therapy for treatment-induced menopausal symptoms in breast cancer survivors.
AB - BACKGROUND: Menopausal symptoms are common and may be particularly severe in
younger women who undergo treatment-induced menopause. Medications to reduce
menopausal symptoms are either contra-indicated or have bothersome side effects.
Previous studies have demonstrated that face-to-face cognitive behavioral therapy
(CBT) is effective in alleviating menopausal symptoms in women with breast
cancer. However, compliance with face-to-face CBT programs can be problematic. A
promising approach is to use the Internet to make this form of CBT more
accessible and feasible for patients. This study is evaluating the efficacy and
cost-effectiveness of an Internet-based CBT program, with or without therapist
guidance, in alleviating or reducing the severity of menopausal symptoms.
METHODS/DESIGN: In a multicenter, randomized controlled trial we are evaluating
the efficacy of two Internet-based CBT programs in alleviating or reducing the
impact of menopausal symptoms, and particularly hot flushes and night sweats, in
breast cancer survivors who have experienced a treatment-induced menopause.
Secondary outcomes include sexual functioning, sleep quality, hot flush
frequency, psychological distress, health-related quality of life and cost
effectiveness. We will recruit 248 women who will be randomized to either a
therapist guided or a self-management version of the 6-week Internet-based CBT
program, or to a usual care, waiting list control group. Self-administered
questionnaires are completed at baseline (T0), and at 10 weeks (T1) and 24 weeks
(T2) post-randomization. DISCUSSION: Internet-based CBT is a potentially useful
treatment for reducing menopausal symptoms in breast cancer survivors. This study
will provide evidence on the efficacy and cost-effectiveness of such an Internet
based CBT program, with or without therapist support. If demonstrated to be
efficacious and cost-effective, the availability of such structured supportive
intervention programs will be a welcome addition to standard medical treatment
offered to cancer patients with treatment-induced menopause. TRIAL REGISTRATION:
The study is retrospectively registered at ClinicalTrials.gov on January 26th
2016 ( NCT02672189 ).
PMID- 27887582
TI - YPTB3816 of Yersinia pseudotuberculosis strain IP32953 is a virulence-related
metallo-oligopeptidase.
AB - BACKGROUND: Although bacterial peptidases are known to be produced by various
microorganisms, including pathogenic bacteria, their role in bacterial physiology
is not fully understood. In particular, oligopeptidases are thought to be mainly
involved in degradation of short peptides e.g. leader peptides released during
classical protein secretion pathways. The aim of this study was to investigate
effects of inactivation of an oligopeptidase encoding gene opdA gene of Yersinia
pseudotuberculosis on bacterial properties in vivo and in vitro, and to test
dependence of the enzymatic activity of the respective purified enzyme on the
presence of different divalent cations. RESULTS: In this study we found that
oligopeptidase OpdA of Yersinia pseudotuberculosis is required for bacterial
virulence, whilst knocking out the respective gene did not have any effect on
bacterial viability or growth rate in vitro. In addition, we studied enzymatic
properties of this enzyme after expression and purification from E. coli. Using
an enzyme depleted of contaminant divalent cations and different types of
fluorescently labelled substrates, we found strong dependence of its activity on
the presence of particular cations. Unexpectedly, Zn2+ showed stimulatory
activity only at low concentrations, but inhibited the enzyme at higher
concentrations. In contrast, Co2+, Ca2+ and Mn2+ stimulated activity at all
concentrations tested, whilst Mg2+ revealed no effect on the enzyme activity at
all concentrations used. CONCLUSIONS: The results of this study provide valuable
contribution to the investigation of bacterial peptidases in general, and that of
metallo-oligopeptidases in particular. This is the first study demonstrating that
opdA in Yersinia pseudotuberculsosis is required for pathogenicity. The data
reported are important for better understanding of the role of OpdA-like enzymes
in pathogenesis in bacterial infections. Characterisation of this protein may
serve as a basis for the development of novel antibacterials based on specific
inhibition of this peptidase activity.
PMID- 27887583
TI - Impact of physical and mental health on life satisfaction in old age: a
population based observational study.
AB - BACKGROUND: It is widely assumed that poor health lowers life satisfaction when
ageing. Yet, research suggests this relationship is not straightforward. This
study investigated how older people evaluate their life when facing disease and
disabilities. METHODS: The Leiden 85-plus Study, a prospectively followed cohort
of a cohort of a middle-sized city in the Netherlands, all aged 85 years, that
was age-representative of the general population, was used. Those with severe
cognitive dysfunction were excluded (n = 501). Comorbidities, physical
performance, cognitive function, functional status, residual lifespan, depressive
symptoms and experienced loneliness were measured during home visits. Life
satisfaction was self-reported with Cantril's ladder. All analyses were performed
using regression analysis. RESULTS: Participants reported high life satisfaction
(median 8 out of 10 points) despite having representative levels of disease and
disability. Comorbidity, low cognitive function, and residual lifespan as markers
of health were not associated with life satisfaction. Poor physical performance
and low functional status were weakly but significantly associated with lower
life satisfaction (p < 0.05 respectively p < 0.001), but significance was lost
after adjustment for depressive symptoms and perceived loneliness. Depressive
symptoms and perceived loneliness were strongly related to lower life
satisfaction (both p < 0.001), even after adjustment for physical health
characteristics. CONCLUSION: Poor physical health was hardly related to lower
life satisfaction, whereas poor mental health was strongly related to lower life
satisfaction. This indicates that mental health has a greater impact on life
satisfaction at old age than physical health, and that physical health is less
relevant for a satisfactory old age.
PMID- 27887584
TI - Ratio of apoB/LDL: a potential clinical index for vascular cognitive impairment.
AB - BACKGROUND: Vascular cognitive impairment (VCI), compared to vascular dementia
(VD), has a broader definition and highlights the effect of vascular disease in
dementia, and stroke seems play an important role in the development of VCI.
However, not all patients with brain infarcts suffer from VCI; unique risk
factors appear to cause such progression. This study aimed to find potential risk
factors of vascular cognitive impairment among patients with brain infarcts.
METHODS: Thirty-seven dementia patients and 74 brain infarction patients were
included; all had infarcts in both basilar ganglia. The frequencies of risk
factors, such as age, hypertension, and hyperlipidemia, were compared between the
two groups. RESULTS: The incident rate of hyperlipidemia in the patients with
dementia was 35.14%, which was significantly lower than that in the patients with
infarction (59.46%, P = 0.015). In the dementia group, there was a positive
correlation between the ratio of apoprotein B (apoB)/low density lipoprotein
(LDL) and the Mini Mental State Examination (MMSE) score (R = 0.411, P = 0.011).
CONCLUSION: Our study indicated that the ratio of apoB/LDL may be a potential
clinical index for vascular cognitive impairment.
PMID- 27887585
TI - Reduction in antibiotic prescribing for respiratory tract infections in Swedish
primary care- a retrospective study of electronic patient records.
AB - BACKGROUND: Swedish studies on antibiotic use in primary care have been based on
one-week registrations of infections. In order to study adherence to guidelines,
analyses based on large databases that provide information on diagnosis linked
prescriptions, are needed. This study describes trends in management of
infections in Swedish primary care particularly with regards to antibiotic
prescribing and adherence to national guidelines. METHODS: A descriptive study of
Sweden's largest database regarding diagnosis linked antibiotic prescription
data, the Primary care Record of Infections in Sweden (PRIS), for the years 2008,
2010 and 2013. RESULTS: Although the consultation rate for all infections
remained around 30% each year, antibiotic prescribing rates decreased
significantly over the years from 53.7% in 2008, to 45.5% in 2010, to 38.6% in
2013 (p = .032). The antibiotic prescribing rate for respiratory tract infections
(RTIs) decreased from 40.5% in 2008 to 24.9% in 2013 while those for urinary
tract infections and skin and soft tissue infections were unchanged. For most RTI
diagnoses there was a decrease in prescription rate from 2008 to 2013,
particularly for the age group 0-6 years. Phenoxymethylpenicillin (PcV) was the
antibiotic most often prescribed, followed by tetracycline. Tonsillitis and acute
otitis media were the two RTI diagnoses with the highest number of prescriptions
per 1000 patient years (PY). For these diagnoses an increase in adherence to
national guidelines was seen, with regards to treatment frequency, choice of
antibiotics and use of rapid antigen detection test. The frequency in antibiotic
prescribing varied greatly between different Primary Healthcare Centres (PHCCs).
CONCLUSION: Falling numbers of consultations and decreased antibiotic
prescription rates for RTIs have reduced the antibiotic use in Swedish primary
care substantially. Overprescribing of antibiotics could still be suspected due
to large variability in prescribing frequency, especially for acute bronchitis
and sinusitis. Continuous evaluation of diagnosis linked prescribing data and
feedback to doctors is essential in order to achieve a more prudent antibiotic
use.
PMID- 27887586
TI - Hepatitis E virus seroepidemiology: a post-earthquake study among blood donors in
Nepal.
AB - BACKGROUND: As one of the causative agents of viral hepatitis, hepatitis E virus
(HEV) has gained public health attention globally. HEV epidemics occur in
developing countries, associated with faecal contamination of water and poor
sanitation. In industrialised nations, HEV infections are associated with travel
to countries endemic for HEV, however, autochthonous infections, mainly through
zoonotic transmission, are increasingly being reported. HEV can also be
transmitted by blood transfusion. Nepal has experienced a number of HEV
outbreaks, and recent earthquakes resulted in predictions raising the risk of an
HEV outbreak to very high. This study aimed to measure HEV exposure in Nepalese
blood donors after large earthquakes. METHODS: Samples (n = 1,845) were collected
from blood donors from Kathmandu, Chitwan, Bhaktapur and Kavre. Demographic
details, including age and sex along with possible risk factors associated with
HEV exposure were collected via a study-specific questionnaire. Samples were
tested for HEV IgM, IgG and antigen. The proportion of donors positive for HEV
IgM or IgG was calculated overall, and for each of the variables studied. Chi
square and regression analyses were performed to identify factors associated with
HEV exposure. RESULTS: Of the donors residing in earthquake affected regions
(Kathmandu, Bhaktapur and Kavre), 3.2% (54/1,686; 95% CI 2.7-4.0%) were HEV IgM
positive and two donors were positive for HEV antigen. Overall, 41.9% (773/1,845;
95% CI 39.7-44.2%) of donors were HEV IgG positive, with regional variation
observed. Higher HEV IgG and IgM prevalence was observed in donors who reported
eating pork, likely an indicator of zoonotic transmission. Previous exposure to
HEV in Nepalese blood donors is relatively high. CONCLUSION: Detection of recent
markers of HEV infection in healthy donors suggests recent asymptomatic HEV
infection and therefore transfusion-transmission in vulnerable patients is a risk
in Nepal. Surprisingly, this study did not provide evidence of a large HEV
outbreak following the devastating earthquakes in 2015.
PMID- 27887587
TI - Experiences of support in working toward personal recovery goals: a
collaborative, qualitative study.
AB - BACKGROUND: Recovery can be understood as a subjective process guided by personal
expectations, goals and hopes. The aim of the study was to explore how persons
using a Community Mental Health Centre (CMHC) experienced that their expectations
for treatment, and goals and hopes for recovery were supported by the health
professionals during treatment. METHODS: Employing a hermeneutic-phenomenological
approach, eight service users were interviewed about their expectations for
treatment and their goals and hopes for recovery at the start of their contact
with health professionals at a CMHC. Two years later, they were re-interviewed
about their experiences of treatment and support from the health professionals in
their work towards these goals and hopes. A collaborative approach was adopted. A
co-researcher with lived experience took part in all stages of the study. Data
were analysed by means of a data-driven stepwise approach in line with thematic
analysis. RESULTS: Five themes reflecting how participants experienced support
from health professionals at the CMHC in their work towards their recovery goals
were elicited, as follows: developing an understanding of oneself and one's
mental health problems; learning how to change feelings and behaviours; being
'pushed' into social arenas; finding helpful medication; and counselling in
family, practical and financial issues. The participants' expectations about
counselling with regard to longer-term family, practical, and financial
challenges were insufficiently met by the CMHC. In the experience of the service
users, recovery occurred within the context of their everyday life with or
without the support of their professional helpers. CONCLUSIONS: To facilitate
recovery, health professionals should acknowledge the service user's personal
goals and hopes and take a more comprehensive and longer-term approach to his or
her needs and desires. Acknowledging and facilitating recovery goals by offering
counselling with regard to family, practical and financial issues seems
particularly important.
PMID- 27887588
TI - Longitudinal reference ranges for maternal plasma laeverin, and its role as a
potential biomarker of preeclampsia.
AB - BACKGROUND: Laeverin is a placenta-specific membrane-bound aminopeptidase. In
this study we wanted to: 1) serially measure plasma levels of laeverin in healthy
women during the second half of pregnancy and postpartum, 2) determine whether
laeverin is differently expressed at 22-24 weeks in women who later develop
preeclampsia compared to controls, 3) compare laeverin protein expression in
placenta and umbilical vein serum in healthy and preeclamptic pregnancies at
birth. METHODS: Plasma was obtained serially, approximately every 4-weeks, from
53 healthy women with uncomplicated pregnancies during 22+0 to 39+6 weeks of
gestation, and at 22-24 weeks from 15 women who later developed preeclampsia.
Enzyme-linked immunosorbent assay was used to measure laeverin protein
concentration. Serum from healthy non-pregnant premenopausal women (n = 10),
menopausal women (n = 10) and men (n = 11) were used as negative controls.
Protein extracts from placental tissue were obtained after birth from healthy- (n
= 11) and preeclamptic women (n = 13). Paired umbilical artery and vein serum
samples from the neonates (n = 10) of healthy mothers were also analyzed.
Multilevel modeling was used to determine the reference centiles. Differences
between groups were analyzed using Student's t-test. RESULTS: Healthy pregnant
women at term (37-40 weeks) had significantly higher plasma levels of laeverin
(mean 4.95 +/- 0.32 ng/mL; p < 0.0001) compared to men (mean 0.18 +/- 0.31
ng/mL), non-pregnant premenopausal women (mean 0.77 +/- 0.26 ng/mL) and
postmenopausal women (mean 0.57 +/- 0.40 ng/mL). Maternal plasma laeverin levels
decreased with advancing gestation, from 6.96 +/- 0.32 ng/mL at 22-24 weeks to
4.95 +/- 0.32 ng/mL at term (p < 0.0001) in uncomplicated pregnancies. Half of
the women who developed preeclampsia had plasma laeverin levels below the 5th
percentile at 22-24 weeks gestation. However, laeverin levels were 1.6 fold
higher in preeclamptic compared to healthy placentas (p = 0.0071). Umbilical
venous samples of healthy neonates (n = 38) had higher (p = 0.001) mean levels of
laeverin (16.63 +/- 0.73 ng/mL), compared to neonates of preeclamptic (n = 14)
mothers (12.02 +/- 1.00 ng/mL). Postpartum plasma levels of laeverin decreased in
healthy and preeclamptic women with a half-life of 3 and 5 days, respectively.
CONCLUSIONS: Maternal plasma levels of laeverin decrease with advancing gestation
during the second half of normal pregnancy and lower levels measured at 22-24
weeks might be associated with the development of preeclampsia later in
gestation.
PMID- 27887589
TI - Guidelines for dementia or Parkinson's disease with depression or anxiety: a
systematic review.
AB - BACKGROUND: Depression and anxiety remain under-diagnosed and under-treated in
those with neurologic diseases such as dementia or Parkinson's Disease (PD). Our
objectives were to first, to provide a synthesis of high quality guidelines
available for the identification and management of depression or anxiety in those
with dementia or PD. Second, to identify areas for improvement for future
guidelines. METHODS: We searched MEDLINE, PsycINFO, and EMBASE (2009 to July 24,
2015), grey literature (83 sources; July 24-Sept 6, 2015), and bibliographies of
included studies. Included studies were evaluated for quality by four independent
reviewers the AGREE II tool. Guideline characteristics, statements and
recommendations relevant to depression or anxiety for dementia and PD were then
extracted. (PROSPERO CRD: 42016014584) RESULTS: 8121 citations were reviewed with
31 full text articles included for assessment with the AGREE II tool. 17 were of
sufficient quality for inclusion. Mean overall quality scores were between 4.25
to 6.5. Domain scores were lowest in the areas of stakeholder involvement,
applicability, and editorial independence. Recommendations for the screening and
diagnosis of depression were found for PD and dementia. There was little evidence
to guide diagnosis or management of anxiety. Non-pharmacologic therapies were
recommended for dementia patients. Most advocated pharmacologic treatment for
depression, for both PD and dementia, but did not specify an agent due to lack of
evidence. CONCLUSIONS: The available recent high quality guidelines outline
several recommendations for the management of comorbid depression or anxiety in
PD or dementia. However there remain significant gaps in the evidence.
PMID- 27887590
TI - Comparing the adverse clinical outcomes in patients with non-insulin treated type
2 diabetes mellitus and patients without type 2 diabetes mellitus following
percutaneous coronary intervention: a systematic review and meta-analysis.
AB - BACKGROUND: Several studies showed Type 2 Diabetes Mellitus (T2DM) to be
associated with worse adverse clinical outcomes compared to non-T2DM (NDM)
following Percutaneous Coronary Intervention (PCI). In addition, patients with
insulin-treated T2DM (ITDM) showed worse clinical outcomes compared to patients
with non-insulin treated T2DM (NITDM). Since NITDM and NDM have seldom been
systematically analyzed, this study aimed to compare the short and long term
adverse clinical outcomes observed in patients with NITDM and patients without
T2DM following PCI. METHODS: Medline/PubMed, EMBASE and the Cochrane library were
searched for Randomized Controlled Trials (RCTs) and observational studies
comparing patients with (including ITDM and NITDM) and without T2DM following
PCI. Endpoints included adverse clinical outcomes reported during a short and a
long term follow up period. Odd Ratios (OR) and 95% Confidence Intervals (CI) in
accordance with either a fixed or a random effects model appropriately, were
calculated and the pooled analyses were performed with RevMan 5.3. RESULTS:
Twelve studies consisting of a total number of 52,451 patients (14,863 NITDM and
37,588 NDM) were included. Patients with NITDM were found to have significantly
higher short-term Major Adverse Cardiac Events (MACEs) and mortality with OR:
1.63, 95% CI (1.17, 2.27); P = 0.004 and OR: 1.71, 95% CI (1.40, 2.10), P <
0.00001 respectively and higher long-term MACEs and mortality with OR: 1.25, 95%
CI (1.12, 1.40), P = 0.0001 and OR: 1.32, 95% CI (1.19, 1.47), P < 0.00001
respectively compared to NDM following PCI. In addition, compared to NDM, long
term Target Vessel Revascularization (TVR) and Target Lesion Revascularization
(TLR) were significantly higher in the NITDM group with OR: 1.36, 95% CI (1.18,
1.56), P < 0.0001 and OR: 1.32, 95% CI (1.10, 1.59), P = 0.003 respectively.
However, even if an increased long-term stent thrombosis was observed in the
NITDM group with OR: 1.13; 95% CI (0.91, 1.40), P = 0.28, the result was
insignificant. CONCLUSION: Short and long term MACEs and mortality were
significantly higher in patients with NITDM compared to patients without diabetes
following PCI. Revascularization also significantly favored patients without
T2DM. However, stent thrombosis was not significantly different.
PMID- 27887591
TI - A prospective cohort study to assess seroprevalence, incidence, knowledge,
attitudes and practices, willingness to pay for vaccine and related risk factors
in dengue in a high incidence setting.
AB - BACKGROUND: Dengue is one of the most important vector-borne diseases in the
world, causing significant morbidity and economic impact. In Colombia, dengue is
a major public health problem. Departments of La Guajira, Cesar and Magdalena are
dengue endemic areas. The objective of this research is to determine the
seroprevalence and the incidence of dengue virus infection in the participating
municipalities from these Departments, and also establish the association between
individual and housing factors and vector indices with seroprevalence and
incidence. We will also assess knowledge, attitudes and practices, and
willingness-to-pay for dengue vaccine. METHODS: A cohort study will be assembled
with a clustered multistage sampling in 11 endemic municipalities. Approximately
1000 homes will be visited to enroll people older than one year who living in
these areas, who will be followed for 1 year. Dengue virus infections will be
evaluated using IgG indirect ELISA and IgM and IgG capture ELISA. Additionally,
vector indices will be measured, and adult mosquitoes will be captured with
aspirators. Ovitraps will be used for continuous estimation of vector density.
DISCUSSION: This research will generate necessary knowledge to design and
implement strategies with a multidimensional approach that reduce dengue
morbidity and mortality in La Guajira and other departments from Colombian
Caribbean.
PMID- 27887592
TI - Association of peripheral arterial disease with all-cause and cardiovascular
mortality in hemodialysis patients: a meta-analysis.
AB - BACKGROUND: Recent studies have shown an association between peripheral arterial
disease (PAD) and increased risk of mortality in hemodialysis (HD) patients;
however, the estimates vary widely and are inconsistent. It is necessary to
elucidate the degree of mortality risk for PAD patients in HD population.
METHODS: PubMed, EMBASE, Web of Science and Cochrane Library (from inception to
September 4th, 2016) were systematically searched for cohort studies assessing
the association between PAD and mortality in HD patients. We calculated the
pooled risk ratios (RRs) with 95% confidence intervals (CI) of all-cause and
cardiovascular (CV) mortality using random effects models. Subgroup analyses were
conducted to explore the source of heterogeneity. RESULTS: The search identified
2,973 potentially eligible records and 10 studies (n = 32,864) were included. Our
meta-analysis revealed that PAD significantly increased the risk of all-cause
mortality (RR 2.15, 95 % CI 1.67-2.77, n = 32,864) and CV mortality (RR 2.99, 95
% CI 1.66-5.38, n = 31,794) in HD patients after multivariate adjustment.
Subgroup analyses showed the study design and follow-up time might be two sources
of heterogeneity. CONCLUSION: PAD may be a prognostic marker of all-cause and CV
mortality in HD patients. More attention should be paid to diagnosis and
management of PAD in HD patients.
PMID- 27887593
TI - Expression of Barhl2 and its relationship with Pax6 expression in the forebrain
of the mouse embryo.
AB - BACKGROUND: The transcription factor Barhl2 is an antiproneural transcription
factor with roles in neuronal differentiation. The functions of its homologue in
Drosophila development are better understood than its functions in mammalian
brain development. Existing evidence suggests that its expression in the
embryonic forebrain of the mouse is regional and may complement that of another
transcription factor that is important for forebrain development, Pax6. The aim
of this study is to provide a more detailed description of the Barhl2 expression
pattern in the embryonic forebrain than is currently available, to relate its
expression domains to those of Pax6 and to examine the effects of Pax6 loss on
Barhl2 expression. RESULTS: We found that Barhl2 is expressed in the developing
diencephalon from the time of anterior neural tube closure. Its expression
initially overlaps that of Pax6 in a central region of the alar diencephalon but
over the following days their domains of expression become complementary in most
forebrain regions. The exceptions are the thalamus and pretectum, where
countergradients of Pax6 and Barhl2 expression are established by embryonic day
12.5, before overall Pax6 levels in these regions decline greatly while Barhl2
levels remain relatively high. We found that Barhl2 expression becomes
upregulated in specifically the thalamus and pretectum in Pax6-null mice.
CONCLUSIONS: The region-specific expression pattern of Barhl2 makes it likely to
be an important player in the development of region-specific differences in
embryonic mouse forebrain. Repression of its expression in the thalamus and
pretectum by Pax6 may be crucial for allowing proneural factors to promote normal
neuronal differentiation in this region.
PMID- 27887594
TI - First trimester serum placental growth factor and hyperglycosylated human
chorionic gonadotropin are associated with pre-eclampsia: a case control study.
AB - BACKGROUND: To study whether maternal serum hyperglycosylated human chorionic
gonadotropin (hCG-h) improves first trimester prediction of pre-eclampsia when
combined with placental growth factor (PlGF), pregnancy-associated plasma protein
A (PAPP-A) and maternal risk factors. METHODS: Gestational-age-adjusted
concentrations of hCG, hCG-h, PlGF and PAPP-A were analysed in serum samples by
time-resolved immunofluorometric assays at 8-13 weeks of gestation. The case
control study included 98 women who developed pre-eclampsia, 25 who developed
gestational hypertension, 41 normotensive women with small-for-gestational-age
(SGA) infants and 177 controls. RESULTS: Of 98 women with pre-eclampsia, 24 women
developed preterm pre-eclampsia (diagnosis < 37 weeks of gestation) and 13 of
them had early-onset pre-eclampsia (diagnosis < 34 weeks of gestation). They had
lower concentrations of PlGF, PAPP-A and proportion of hCG-h to hCG (%hCG-h) than
controls. In receiver-operating characteristics (ROC) curve analysis, the area
under the curve (AUC) for the combination of PlGF, PAPP-A, %hCG-h, nulliparity
and mean arterial blood pressure was 0.805 (95% confidence interval, CI, 0.699
0.912) for preterm pre-eclampsia and 0.870 (95% CI 0.750-0.988) for early-onset
pre-eclampsia. Without %hCG-h the AUC values were 0.756 (95% CI 0.651-0.861) and
0.810 (95% CI 0.682-0.938) respectively. For prediction of gestational
hypertension, the AUC for %hCG-h was 0.708 (95% CI 0.608-0.808), but for other
markers the AUC values were not significant. None of the AUC values were
significant for the prediction of SGA infants in normotensive women. CONCLUSIONS:
First trimester maternal serum %hCG-h tended to improve prediction of preterm and
early-onset pre-eclampsia when combined with PlGF, PAPP-A and maternal risk
factors.
PMID- 27887595
TI - Antimicrobial stewardship of Chinese ministry of health reduces multidrug
resistant organism isolates in critically ill patients: a pre-post study from a
single center.
AB - BACKGROUND: China's Ministry of Health (MOH) has established a policy about the
antimicrobial stewardship. To date, the effects of this policy on multidrug
resistant organism (MDRO) in critically ill patients are unknown. METHODS: A pre
post study was conducted on intensive care unit (ICU) patients from June 2010 to
May 2011 and from June 2012 to May 2013. Bacterial cultures were conducted at ICU
admission and discharge. In June 2011, our hospital started to administer the
antimicrobial stewardship program of Chinese MOH. We collected the data on
antimicrobial consumption during the 3-year period in all hospital and individual
department every month, and analyzed the correlation between the proportion of
critically patients colonized or infected with MDRO and antimicrobial
consumption. RESULTS: A total of 978 patients were involved in the present study.
With the intervention, the monthly mean Defined Daily Dose (DDD) per 100 occupied
bed-days throughout the hospital decreased from 96 +/- 7 to 65 +/- 6 (p < 0.001),
and the proportion of patients colonized or infected with MDRO decreased from 36
to 13% at the time of ICU admission and declined from 48 to 29% at the time of
ICU discharge (both p < 0.001). There was a significant positive relationship
between the proportion of all critically ill patients colonized or infected with
MDRO at ICU admission and the DDD of the entire hospital (R2 = 0.7858, p <
0.001). CONCLUSION: The antimicrobial stewardship program of Chinese MOH reduced
the consumption of antibiotics. Moreover, the proportion of patients colonized or
infected with MDRO decreased along with reduced consumption of antibiotics. TRIAL
REGISTRATION: Retrospectively registered: NCT02128399; Date of registration: 22
APR 2014; Detail information web link:
https://clinicaltrials.gov/ct2/show/NCT02128399?term=NCT02128399&rank=1.
PMID- 27887596
TI - Effectiveness, immunogenicity and safety of 23-valent pneumococcal polysaccharide
vaccine revaccinations in the elderly: a systematic review.
AB - BACKGROUND: In many industrialized countries routine vaccination with the 23
valent pneumococcal polysaccharide vaccine (PPSV-23) is recommended to prevent
pneumococcal disease in the elderly. However, vaccine-induced immunity wanes
after a few years, and there are controversies around revaccination with PPSV-23.
Here, we systematically assessed the effectiveness and safety of PPSV-23
revaccination. METHOD: We conducted a systematic literature review in MEDLINE,
EMBASE, and Cochrane Central Register of Controlled Trials from inception to June
2015. We included all study types that compared effectiveness, immunogenicity
and/or safety of PPSV-23 as a primary vs. a revaccination dose in persons aged 50
years and older. With respect to immunogenicity, we calculated the ratio of
geometric mean antibody concentrations and opsonophagocytic indexes at identical
time-points after primary and revaccination. Additionally, we compared rates and
severity of adverse events (AEs) after primary and revaccination. RESULTS: We
included 14 observational studies. 10 studies had a prospective design and
analysed data on (i) the same individuals after a first and a second dose of PPSV
23 given 1 to 10 years later (n = 5) or (ii) two groups consisting of
participants receiving PPSV-23 who were either vaccine-naive or had received a
first PPSV-23 dose 3 to 13 years earlier (n = 5). Three studies used electronic
data bases to compare AEs after primary vs. revaccination doses of PPSV-23 after
1 to 10 years and one study had a cross-sectional design. Number of participants
in the non-register-based and register-based studies ranged from 29 to 1414 and
360 to 316,000, respectively. 11 out of 14 included studies were at high risk of
bias, three studies had an unclear risk of bias. None of the studies reported
data on clinical effectiveness. Immunogenicity studies revealed that during the
first two months antibody levels tended to be lower after revaccination as
compared to primary vaccination. Thereafter, no obvious differences in antibody
levels were observed. Compared to primary vaccination, revaccination was
associated with an increased risk of local and systemic AEs, which, however, were
usually mild and self-limiting. The risk and severity of AEs appeared to decrease
with longer intervals between primary and revaccination. CONCLUSION: Data
comparing the effectiveness of primary vs. revaccination with PPSV-23 are still
lacking, because there are no studies with clinical endpoints. Data from
observational studies indicates that revaccination with PPSV-23 is likely to
induce long-term antibody levels that are comparable to those after primary
vaccination. Given the high disease burden and the waning of vaccine-induced
immunity, revaccination with PPSV-23 could be considered in the elderly. The
increased risk of local and systemic AEs can likely be mitigated when giving
revaccination at least five years after the primary dose. Adequately powered
randomized controlled trials using clinical endpoints are urgently needed.
PMID- 27887597
TI - Technology-enhanced multi-domain at home continuum of care program with respect
to usual care for people with cognitive impairment: the Ability
TelerehABILITation study protocol for a randomized controlled trial.
AB - BACKGROUND: According to the World Alzheimer Report (Prince, The Global Impact of
Dementia: an Analysis of Prevalence, Incidence, Cost and Trends, 2015), 46.8
million people worldwide are nowadays living with dementia. And this number is
estimated to approximate 131.5 million by 2050, with an increasing burden on
society and families. The lack of medical treatments able to stop or slow down
the course of the disease has moved the focus of interest toward the
nonpharmacological approach and psychosocial therapies for people with/at risk of
dementia, as in the Mild Cognitive Impairment (MCI) condition. The purpose of the
present study is to test an individualized home-based multidimensional program
aimed at enhancing the continuum of care for MCI and outpatients with dementia in
early stage using technology. METHODS: The proposed study is a single blind
randomized controlled trial (RCT) involving 30 subjects with MCI and Alzheimer's
disease (AD) randomly assigned to the intervention group (Ability group), who
will receive the "Ability Program", or to the active control group (ACG), who
will receive "Treatment As Usual" (TAU). The protocol provides for three steps of
assessment: at the baseline (T_0), after treatment, (T_1) and at follow-up (T_2)
with a multidimensional evaluation battery including cognitive functioning,
behavioral, functional, and quality of life measures. The Ability Program lasts 6
weeks, comprises tablet-delivered cognitive (5 days/week) and physical activities
(7 days/week) combined with a set of devices for the measurement and monitoring
from remote of vital and physical health parameters. The TAU equally lasts 6
weeks and includes paper and pencil cognitive activities (5 days/week), with
clinician's prescription to perform physical exercise every day and to monitor
selected vital parameters. DISCUSSION: Results of this study will inform on the
efficacy of a technology-enhanced home care service to preserve cognitive and
motor levels of functioning in MCI and AD, in order to slow down their loss of
autonomy in daily life. The expected outcome is to ensure the continuity of care
from clinical practice to the patient's home, enabling also cost effectiveness
and the empowerment of patient and caregiver in the care process, positively
impacting on their quality of life. TRIAL REGISTRATION: ClinicalTrials.gov ID:
NCT02746484 (registration date: 12/apr/2016 - retrospectively registered).
PMID- 27887598
TI - Comparison of the most common isolates of postoperative endophthalmitis in South
Korea; Enterococcus species vs coagulase-negative staphylococci.
AB - BACKGROUND: To compare the related factors or manifestations of the two most
common isolates of post-operative endophthalmitis, which were Enterococcus spp.
and coagulase-negative staphylococci (CNS) in South Korea. METHODS: Medical
records were reviewed for cases of post-operative endophthalmitis caused by
Enterococcus spp. and CNS at eight institutions between January 2004 and July
2010. Various factors including age, sex, residence, systemic diseases, smoking
and drinking history, and best corrected visual acuity, and length of time
between causative intraocular surgery and symptom development were compared
between the two groups. RESULTS: The total number of post-operative
endophthalmitis cases was 128 and in 116 cases, microbiological culture tests
from the aqueous humor or vitreous were performed. Among these cases, 67 (57.8%)
were culture proven. Among these 67 cases, 19 (28.4%) were caused by Enterococcus
spp., 14 (20.9%) were caused by Staphylococcus epidermidis endophthalmitis, and 5
(7.5%) were caused by other CNS spp. Age, sex, causative procedure, past medical
history, social history, and laterality were not different in the two groups.
Mean initial and final visual acuity were significantly worse in the Enterococcus
spp. endophthalmitis group than in the CNS group (p = 0.049, 0.042,
respectively). Length of time between the causative procedure and symptom
development was significantly shorter in cases of Enterococcus spp.
endophthalmitis (p = 0.004). CONCLUSIONS: Enterococcus spp. induce more severe
and rapid-onset postoperative endophthalmitis than CNS. Infectious
endophthalmitis developed within 2 days after cataract operation could be caused
by Enterococcus spp. and have chance to be poor prognosis in South Korea.
PMID- 27887599
TI - How severe and prevalent are Ebola and Marburg viruses? A systematic review and
meta-analysis of the case fatality rates and seroprevalence.
AB - BACKGROUND: Ebola and Marburg virus diseases are said to occur at a low
prevalence, but are very severe diseases with high lethalities. The fatality
rates reported in different outbreaks ranged from 24-100%. In addition, sero
surveys conducted have shown different seropositivity for both Ebola and Marburg
viruses. We aimed to use a meta-analysis approach to estimate the case fatality
and seroprevalence rates of these filoviruses, providing vital information for
epidemic response and preparedness in countries affected by these diseases.
METHODS: Published literature was retrieved through a search of databases.
Articles were included if they reported number of deaths, cases, and
seropositivity. We further cross-referenced with ministries of health, WHO and
CDC databases. The effect size was proportion represented by case fatality rate
(CFR) and seroprevalence. Analysis was done using the metaprop command in STATA.
RESULTS: The weighted average CFR of Ebola virus disease was estimated to be
65.0% [95% CI (54.0-76.0%), I2 = 97.98%] whereas that of Marburg virus disease
was 53.8% (26.5-80.0%, I2 = 88.6%). The overall seroprevalence of Ebola virus was
8.0% (5.0%-11.0%, I2 = 98.7%), whereas that for Marburg virus was 1.2% (0.5-2.0%,
I2 = 94.8%). The most severe species of ebolavirus was Zaire ebolavirus while
Bundibugyo Ebolavirus was the least severe. CONCLUSIONS: The pooled CFR and
seroprevalence for Ebola and Marburg viruses were found to be lower than usually
reported, with species differences despite high heterogeneity between studies.
Countries with an improved health surveillance and epidemic response have lower
CFR, thereby indicating need for improving early detection and epidemic response
in filovirus outbreaks.
PMID- 27887600
TI - Individual, household and community level factors associated with keeping
tuberculosis status secret in Ghana.
AB - BACKGROUND: In tuberculosis (TB) control, early disclosure is recommended for the
purposes of treatment as well as a means of reducing or preventing person-to
person transmission of the bacteria. However, disclosure maybe avoided as a means
of escaping stigma, and possible discrimination. This study aimed at providing
insights into factors associated with intentions of Ghanaians to keep positive TB
diagnosis in their families' a secret. METHODS: The paper was based on data from
the 2014 Ghana Demographic and Health Survey. Descriptive statistics of
proportions with Chi-square test and binary logistic regression were used to
identify individual, household and community level factors that predicted the
outcome variable (keeping TB secret). RESULTS: Women were more inclined (33%)
than men (25%) to keep TB in the family a secret. Views about keeping TB secret
declined with age for both sexes. For women, higher education had a positive
association with whether TB in the family would be kept a secret or not but the
same was not observed for men. In a multivariable regression model, the strongest
predictor of keeping TB secret was whether the respondent would keep HIV secret,
and this was uniform among women (OR = 6.992, p < 0.001) and men (OR = 9.870, p <
0.001). CONCLUSION: Unwillingness towards disclosing TB status in Ghana is
associated with varied socioeconomic and demographic characteristics, which may
be driven by fears of stigma and discrimination. Addressing TB-related stigma and
discrimination can enhance positive attitudes towards TB disclosure. For an
infectious disease such as TB, openness towards status disclosure is important
for public health.
PMID- 27887601
TI - Protocol for a prospective, randomized study on neurophysiological assessment of
lower urinary tract function in a healthy cohort.
AB - BACKGROUND: Lower urinary tract symptoms are highly prevalent and a large
proportion of these symptoms are known to be associated with a dysfunction of the
afferent pathways. Diagnostic tools for an objective and reproducible assessment
of afferent nerve function of the lower urinary tract are missing. Previous
studies showed first feasibility results of sensory evoked potential recordings
following electrical stimulation of the lower urinary tract in healthy subjects
and patients. Nevertheless, a refinement of the methodology is necessary.
METHODS: This study is a prospective, randomized trial conducted at Balgrist
University Hospital, Zurich, Switzerland. Ninety healthy subjects (forty females
and fifty males) without lower urinary tract symptoms are planned to be included
in the study. All subjects will undergo a screening visit (including standardized
questionnaires, 3-day bladder diary, urinalysis, medical history taking, vital
signs, physical examination, neuro-urological examination) followed by two
measurement visits separated by an interval of 3 to 4 weeks. Electrical
stimulations (0.5Hz-5Hz, bipolar, square wave, pulse width 1 ms) will be applied
using a custom-made transurethral catheter at different locations of the lower
urinary tract including bladder dome, trigone, proximal urethra, membranous
urethra and distal urethra. Every subject will be randomly stimulated at one
specific site of the lower urinary tract. Sensory evoked potentials (SEP) will be
recorded using a 64-channel EEG cap. For an SEP segmental work-up we will place
additional electrodes on the scalp (Cpz) and above the spine (C2 and L1). Visit
two and three will be conducted identically for reliability assessment.
DISCUSSION: The measurement of lower urinary tract SEPs elicited by electrical
stimulation at different locations of the lower urinary tract has the potential
to serve as a neurophysiological biomarker for lower urinary tract afferent nerve
function in patients with lower urinary tract symptoms or disorders. For
implementation of such a diagnostic tool into clinical practice, an optimized
setup with efficient and reliable measurements and data acquisition is crucial.
In addition, normative data from a larger cohort of healthy subjects would
provide information on variability, potential confounding factors and cut-off
values for investigations in patients with lower urinary tract
dysfunction/symptoms. TRIAL REGISTRATION: Clinicaltrials.gov; Identifier:
NCT02272309 .
PMID- 27887602
TI - Combination of aspirin with essential fatty acids is superior to aspirin alone to
prevent or ameliorate sepsis or ARDS.
AB - It has been suggested that aspirin may be of benefit in treating sepsis and ARDS
in view of its ability to block cyclo-oxygenase-1 (COX-1) and COX-2 activities;
inhibit nuclear factor kappa B (NF-kappaB); enhance the production of endothelial
nitric oxide (eNO) and lipoxin A4 (LXA4). Our previous studies revealed that
plasma phospholipid content of arachidonic acid (AA) and eicosapentaenoic acid
(EPA) is low in patients with sepsis. This implies that beneficial actions of
aspirin in sepsis and ARDS is unlikely to be obtained in view of deficiency of AA
and EPA, the precursors of LXA4 and resolvins respectively that are potent anti
inflammatory compounds and enhancers of eNO generation. In view of this, I
propose that a combination of aspirin and AA and EPA (and possibly,
docosahexaenoic acid, DHA) is likely to be superior in the management of sepsis
and ARDS compared to aspirin alone. This suggestion is supported by the recent
observation that trauma patients with uncomplicated recoveries had higher
resolvin pathway gene expression and lower gene expression ratios of leukotriene:
resolvin pathways.
PMID- 27887603
TI - Genetic elements associated with antimicrobial resistance among avian pathogenic
Escherichia coli.
AB - BACKGROUND: Avian-pathogenic Escherichia coli (APEC) are pathogenic strains of E.
coli that are responsible for one of the most predominant bacterial disease
affecting poultry worldwide called avian colibacillosis. This study describes the
genetic determinants implicated in antimicrobial resistance among APEC isolated
from different broiler farms in Egypt. METHODS: A total of 116 APEC were
investigated by serotyping, antimicrobial resistance patterns to 10
antimicrobials, and the genetic mechanisms underlying the antimicrobial-resistant
phenotypes. RESULTS: Antibiogram results showed that the highest resistance was
observed for ampicillin, tetracycline, nalidixic acid, and chloramphenicol. The
detected carriage rate of integron was 29.3% (34/116). Further characterization
of gene cassettes revealed the presence gene cassettes encoding resistance to
trimethoprim (dfrA1, dfrA5, dfrA7, dfrA12), streptomycin/spectinomycin (aadA1,
aadA2, aadA5, aadA23), and streptothricin (sat2). To our knowledge, this the
first description of the presence of aadA23 in APEC isolates. Analysis of other
antimicrobial resistance types not associated with integrons revealed the
predominance of resistance genes encoding resistance to tetracycline (tetA and
tetB), ampicillin (bla TEM), chloramphenicol (cat1), kanamycin (aphA1), and
sulphonamide (sul1 and sul2). Among ciprofloxacin-resistant isolates, the S83L
mutation was the most frequently substitution observed in the quinolone
resistance-determining region of gyrA (56.3%). The bla TEM and bla CTX-M-1 genes
were the most prevalent among APEC isolates producing extended-spectrum beta
lactamase (ESbetaL). CONCLUSIONS: These findings provided important clues about
the role of integron-mediated resistance genes together with other independent
resistance genes and chromosomal mutations in shaping the epidemiology of
antimicrobial resistance in E. coli isolates from poultry farms in Egypt.
PMID- 27887604
TI - Variable ventilation improves pulmonary function and reduces lung damage without
increasing bacterial translocation in a rat model of experimental pneumonia.
AB - BACKGROUND: Variable ventilation has been shown to improve pulmonary function and
reduce lung damage in different models of acute respiratory distress syndrome.
Nevertheless, variable ventilation has not been tested during pneumonia.
Theoretically, periodic increases in tidal volume (VT) and airway pressures might
worsen the impairment of alveolar barrier function usually seen in pneumonia and
could increase bacterial translocation into the bloodstream. We investigated the
impact of variable ventilation on lung function and histologic damage, as well as
markers of lung inflammation, epithelial and endothelial cell damage, and
alveolar stress, and bacterial translocation in experimental pneumonia. METHODS:
Thirty-two Wistar rats were randomly assigned to receive intratracheal of
Pseudomonas aeruginosa (PA) or saline (SAL) (n = 16/group). After 24-h, animals
were anesthetized and ventilated for 2 h with either conventional volume
controlled (VCV) or variable volume-controlled ventilation (VV), with mean VT = 6
mL/kg, PEEP = 5cmH2O, and FiO2 = 0.4. During VV, tidal volume varied randomly
with a coefficient of variation of 30% and a Gaussian distribution. Additional
animals assigned to receive either PA or SAL (n = 8/group) were not ventilated
(NV) to serve as controls. RESULTS: In both SAL and PA, VV improved oxygenation
and lung elastance compared to VCV. In SAL, VV decreased interleukin (IL)-6
expression compared to VCV (median [interquartile range]: 1.3 [0.3-2.3] vs. 5.3
[3.6-7.0]; p = 0.02) and increased surfactant protein-D expression compared to NV
(2.5 [1.9-3.5] vs. 1.2 [0.8-1.2]; p = 0.0005). In PA, compared to VCV, VV reduced
perivascular edema (2.5 [2.0-3.75] vs. 6.0 [4.5-6.0]; p < 0.0001), septum
neutrophils (2.0 [1.0-4.0] vs. 5.0 [3.3-6.0]; p = 0.0008), necrotizing vasculitis
(3.0 [2.0-5.5] vs. 6.0 [6.0-6.0]; p = 0.0003), and ultrastructural lung damage
scores (16 [14-17] vs. 24 [14-27], p < 0.0001). Blood colony-forming-unit (CFU)
counts were comparable (7 [0-28] vs. 6 [0-26], p = 0.77). Compared to NV, VCV,
but not VV, increased expression amphiregulin, IL-6, and cytokine-induced
neutrophil chemoattractant (CINC)-1 (2.1 [1.6-2.5] vs. 0.9 [0.7-1.2], p = 0.025;
12.3 [7.9-22.0] vs. 0.8 [0.6-1.9], p = 0.006; and 4.4 [2.9-5.6] vs. 0.9 [0.8
1.4], p = 0.003, respectively). Angiopoietin-2 expression was lower in VV
compared to NV animals (0.5 [0.3-0.8] vs. 1.3 [1.0-1.5], p = 0.01). CONCLUSION:
In this rat model of pneumonia, VV improved pulmonary function and reduced lung
damage as compared to VCV, without increasing bacterial translocation.
PMID- 27887605
TI - A randomised, open-labelstudy of insulin glargine or neutral protamine Hagedorn
insulin in Chinese paediatric patients with type 1 diabetes mellitus.
AB - BACKGROUND: We aimed to describe the safety and efficacy of insulin glargine in
Chinese paediatric patients with type 1 diabetes mellitus (T1DM). Neutral
protamine Hagedorn (NPH) insulin was the reference therapy. METHODS: This open
label, randomised, Phase III study was conducted at 10 sites in China. Children
aged >=6 to <18 years with T1DM were randomised (2:1) to insulin glargine or NPH
insulin asbasal insulinfor a 24-week treatment period. For all patients, insulin
aspart was given as bolus insulin. The primary endpoint was absolute change in
glycated haemoglobin(HbA1c) from baseline to Week 24. Secondary endpoints
included the percentage of patients reaching HbA1c <7.5% (<58.5 mmol/mol), and
safety. The study was registered at clinicaltrials.gov (NCT01223131). RESULTS: In
total,196 patients were screened, and 162 were randomised (107 and 55 patients
were randomised to insulin glargine and NPH insulin, respectively). The mean +/-
SD of absolute change in HbA1c was-0.25 +/- 1.68% (-2.69 +/- 18.32 mmol/mol) in
the insulin glargine group and -0.54 +/- 1.67% (-5.55 +/- 20.32 mmol/mol) in the
NPH insulin group. At Week 24, 18.7 and 21.6% of patients in the insulin glargine
and NPH insulin groups achieved HbA1c <7.5% (<58.5 mmol/mol). Both treatments
were generally well tolerated. A numerically lower rate of symptomatic
hypoglycaemia per patient year was observed for insulin glargine versus NPH
insulin (24.3 +/- 45.8 versus32.3 +/- 43.2); severe hypoglycaemia was rare (<2%).
CONCLUSIONS: Initiation of insulin glargine can aid Chinese paediatric patients
with T1DM to safely reduce their HbA1c levels.
PMID- 27887606
TI - Cancer somatic mutations cluster in a subset of regulatory sites predicted from
the ENCODE data.
AB - BACKGROUND: Transcriptional regulation of gene expression is essential for
cellular differentiation and function, and defects in the process are associated
with cancer. The ENCODE project has mapped potential regulatory sites across the
complete genome in many cell types, and these regions have been shown to harbour
many of the somatic mutations that occur in cancer cells, suggesting that their
effects may drive cancer initiation and development. The ENCODE data suggests a
very large number of regulatory sites, and methods are needed to identify those
that are most relevant and to connect them to the genes that they control.
METHODS: Predictive models of gene expression were developed by integrating the
ENCODE data for regulation, including transcription factor binding and DNase1
hypersensitivity, with RNA-seq data for gene expression. A penalized regression
method was used to identify the most predictive potential regulatory sites for
each transcript. Known cancer somatic mutations from the COSMIC database were
mapped to potential regulatory sites, and we examined differences in the mapping
frequencies associated with sites chosen in regulatory models and other
(rejected) sites. The effects of potential confounders, for example replication
timing, were considered. RESULTS: Cancer somatic mutations preferentially occupy
those regulatory regions chosen in our models as most predictive of gene
expression. CONCLUSION: Our methods have identified a significantly reduced set
of regulatory sites that are enriched in cancer somatic mutations and are more
predictive of gene expression. This has significance for the mechanistic
interpretation of cancer mutations, and the understanding of genetic regulation.
PMID- 27887607
TI - Erratum to: The effectiveness of non-pyrethroid insecticide-treated durable wall
lining to control malaria in rural Tanzania: study protocol for a two-armed
cluster randomized trial.
PMID- 27887608
TI - Role of NCAN rs2228603 polymorphism in the incidence of nonalcoholic fatty liver
disease: a case-control study.
AB - BACKGROUND: Recently genome-wide association studies identified that NCAN
rs2228603 polymorphism was associated with non-alcoholic fatty liver disease
(NAFLD) mainly in subjects of European ancestry. While no research have been
conducted to demonstrate the relationship between NCAN rs2228603 and NAFLD in
Chinese Han adults. The aim of this study was to investigate whether NCAN
rs2228603 is associated with NAFLD in Chinese population. METHODS: Gene NCAN
rs2228603 was genotyped in 182 patients with NAFLD and 195 healthy controls. The
expression of NCAN was tested according to polymerase chain reaction analysis
(PCR) and serum lipids were performed by biology techniques. RESULTS: No
significant difference was found in genotype and allele frequencies of NCAN
rs2228603 between the NAFLD group and the controls (P > 0.05). Subjects with the
NCAN rs2228603 CT genotype showed a higher level of alkaline phosphatase (AKP) (P
= 0.017) and a higher high-density lipoprotein (HDL) (P < 0.05). CONCLUSIONS: Our
study for the first time identified that the gene NCAN rs2228603 is not a risk
factor for the incidence of NAFLD in Chinese population. Also we found the dual
and opposite role of T variant in protecting liver with a higher level of HDL and
conferring risk for liver damage with a higher level of AKP. TRIAL REGISTRATION:
Chinese Clinical Trial Register.gov Identifier: ChiCTR-ROC-15006447 .
PMID- 27887609
TI - Cytotoxic effects of ergone, a compound isolated from Fulviformes fastuosus.
AB - BACKGROUND: Mushrooms inspired the cuisines of many cultures and conventional
medicaments for cancer. However, a substantial number of mushroom species are yet
unexplored, possessing an unknown chemical, biological and pharmacological
profiles. Fulviformes fastuosus is a terrestrial mushroom, which is commonly
found in Sri Lankan woodlands. The current study was aimed at isolation and
characterization of a potent cytotoxic compound from F. fastuosus and
investigating the apoptotic effect induced by the active principle against cancer
and normal cell lines. METHODS: Bioactivity guided isolation of active principles
from the methanol extract of F. fastuosus was performed by a rapid extraction and
isolation method using different chromatographic techniques. Potential cytotoxic
compound was identified using one and two dimensional nuclear magnetic resonance
spectroscopy and mass spectrometry. Isolated compound was screened for in vitro
cytotoxicity against Hepatocellular carcinoma (HepG-2), Muscle rhabdomyosarcoma
(RD) and Rat Wistar liver normal (CC-1) cell lines using 3 4, 5-(dimethylthiazol
2-yl) 2-5-diphenyl tetrazolium bromide (MTT) cell viability assay. Apoptotic
features of cells were observed via microscopic examination and ethidium
bromide/acridine orange fluorescent staining. RESULTS: The interpretation of
spectral data resulted in the identification of the chemical structure as ergosta
4,6,8 (14),22-tetraen-3-one (ergone). Ergone exhibited promising cytotoxic
properties against RD cells with less cytotoxicity effect on CC-1 cells. In
addition, ergone also possesses a strong cytotoxic effect against HepG-2 cells
showing low toxic level for CC-1 cells. Apoptotic features of treated cells were
detected via morphological characterization and ethidium bromide/acridine orange
staining. CONCLUSION: The present study elaborates the isolation of a potent
cytotoxic compound; ergone, from F. fastuosus via a rapid and efficient isolation
method. Importantly, ergone has exhibited greater cytotoxic activity against RD
cells with high selectivity index compared to cytotoxicity against HepG-2 cells.
Ergone can be used in the development of therapeutic strategies for curbing
rhabdomyosarcoma.
PMID- 27887610
TI - Integral strategy to supportive care in breast cancer survivors through
occupational therapy and a m-health system: design of a randomized clinical
trial.
AB - BACKGROUND: Technological support using e-health mobile applications (m-health)
is a promising strategy to improve the adherence to healthy lifestyles in breast
cancer survivors (excess in energy intake or low physical activity are
determinants of the risk of recurrence, second cancers and cancer mortality).
Moreover, cancer rehabilitation programs supervised by health professionals are
needed due to the inherent characteristics of these breast cancer patients. Our
main objective is to compare the clinical efficacy of a m-health lifestyle
intervention system alone versus an integral strategy to improve Quality of Life
in breast cancer survivors. METHODS: This therapeutic superiority study will use
a two-arm, assessor blinded parallel RCT design. Women will be eligible if: they
are diagnosed of stage I, II or III-A breast cancer; are between 25 and 75 years
old; have a Body Mass Index > 25 kg/m2; they have basic ability to use mobile
apps; they had completed adjuvant therapy except for hormone therapy; and they
have some functional shoulder limitations. Participants will be randomized to one
of the following groups: integral group will use a mobile application (BENECA
APP) and will receive a face-to-face rehabilitation (8-weeks); m-health group
will use the BENECA app for 2-months and will received usual care information.
Study endpoints will be assessed after 8 weeks and 6 months. The primary outcome
will be Quality of Life measured by The European Organization for Research and
Treatment of Cancer Quality of Life Questionnaire Core and breast module. The
secondary outcomes: body composition; upper-body functionality (handgrip,
Disability of the Arm, Shoulder and Hand questionnaire, goniometry); cognitive
function (Wechsler Adult Intelligence Scale, Trail Making Test); anxiety and
depression (Hospital Anxiety and Depression Scale); physical fitness (Short
version of the Minnesota Leisure Time Physical Activity Questionnaire, Self
Efficacy Scale for Physical Activity); accelerometry and lymphedema. DISCUSSION:
This study has been designed to seek to address the new needs for support and
treatment of breast cancer survivors, reflecting the emerging need to merge new
low cost treatment options with much-needed involvement of health professionals
in this type of patients. TRIAL REGISTRATION: ClinicalTrials.gov Identifier:
NCT02817724 (date of registration: 22/06/2016).
PMID- 27887611
TI - The performance of interferon-gamma release assay in nontuberculous mycobacterial
diseases: a retrospective study in China.
AB - BACKGROUND: The interferon-gamma release assay (IGRA) is more specific than the
tuberculin skin test to discriminate between tuberculosis (TB) and nontuberculous
mycobacterial (NTM) diseases. Here we performed a retrospective study to evaluate
the performance of the T-SPOT.TB in patients with NTM diseases. METHODS: Between
March, 2013 and Nov, 2015, a total of 58 patients with NTM diseases had a T
SPOT.TB performed were enrolled, 30 patients had definite NTM diseases, 28 had
probable diseases. Their clinicopathological characteristics were reviewed and
analyzed. Cultures for mycobacteria were performed. The indirect proportion
method with Lowenstein-Jensen (L-J) medium was used for first-line drug
susceptibility test. T-SPOT.TB assay was performed according to the
manufacturer's instructions. Data were expressed as mean +/- standard deviation
(continuous variables) and as numbers and percentages (categorical variables).
The chi 2 test was used for comparisons between proportions. RESULTS: The average
age was 51.8 +/- 16.1 years (range 10 to 77 years), 58.6% (34/58) were male.
16.4% (9/55) were TB-PCR positive. 34 (58.6%) isolates were Mycobacterium
intracellulare, ten (17.2%) were Mycobacterium chelonae and seven (12.1%) were
Mycobacterium fortuitum. Fifty-two (89.7%) patients were NTM lung disease, five
(8.6%) were pleural disease, and one (1.7%) lymphadenitis. The total positivity
of T-SPOT.TB was 53.4% (31/58) among the whole group (probable and definite). For
probable cases, the T-SPOT.TB assay was positive in 53.5% (15/28); for definite
cases, 16 (53.3%) of 30 definite cases were positive. There was no statistical
difference in the positivity rate between them (P < 0.01). CONCLUSIONS: In the
study, we showed that a significant portion of NTM diseases were T-SPOT.TB
positive in China. Although T-SPOT.TB is useful diagnostic method for
differentiating TB from NTM diseases, in China, the IGRA assay show limited value
in the discrimination. In addition, further research is needed to investigate the
association between TB infection and treatment for NTM patients.
PMID- 27887612
TI - Phenotyping the Snark: hazards of 3D.
PMID- 27887613
TI - Enhancing evidence use in public health nutrition policymaking: theoretical
insights from a New Zealand case study.
AB - BACKGROUND: Enhancing the use of evidence in policymaking is critical to
addressing the global burden of nutrition-related disease. Whilst the public
health nutrition community has embraced evidence-informed policymaking, their
approach of defining relevant evidence and evaluating policy has not brought
about major shifts in policymaking. This article uses a public health nutrition
case study to refine a novel theory-informed framework for enhancing the use of
evidence in government public health nutrition policymaking. Our aim is to
contribute insights from evidence-informed policy to the emerging paradigm in
public health nutrition policymaking. METHODS: An enquiry framework informed by
three groups of theories underpinning evidence-informed policy was used to
explore the role of socially mediated processes on the use of evidence. A public
health nutrition case study on food marketing to New Zealand children was
conducted to refine the framework. Interview data collected from 54 individuals
representing four key policy stakeholder groups, policymakers, academics, and
food industry and non-government organisations were analysed using deductive and
inductive thematic analysis. To enhance theoretical robustness, an alternative
hypothesis of political explanations for evidence use was explored alongside the
enquiry framework. RESULTS: We found the prevailing political climate influenced
the impact of advocacy for evidence inclusive processes at the meta-policy and
policymaking process levels and in policy community relationships. Low levels of
awareness of the impact of these processes on evidence use and uncoordinated
advocacy resulted in the perpetuation of ad hoc policymaking. These findings
informed refinements to the enquiry framework. CONCLUSION: Our study highlights
the role advocates can play in shifting government public health nutrition
policymaking systems towards enhanced use of evidence. Our Advocacy for Evidence
Use framework argues for a three-channel approach to advocacy for using evidence
in the public interest. The framework provides a means for building a
constituency for evidence use in public health nutrition and adds understanding
about advocacy to the field of evidence-informed policy. Future research should
examine the impact of coordinated advocacy on public health nutrition
policymaking systems.
PMID- 27887614
TI - Insight into k13-propeller gene polymorphism and ex vivo DHA-response profiles
from Cameroonian isolates.
AB - BACKGROUND: The spread of Plasmodium falciparum resistance to artemisinin
derivatives in Southeast Asia is a major source of concern and the emergence of
resistance in Africa would have dramatic consequences, by increasing malaria
mortality and morbidity. It is therefore urgent to implement regular monitoring
in sentinel sites in sub-Saharan Africa using robust and easy-to-implement tools.
The prevalence of k13-propeller mutations and the phenotypic profiles are poorly
known in sub-Saharan Africa. Here, the k13-propeller polymorphism was compared to
both ex vivo susceptibility to DHA and early parasitological and clinical
responses to artemisinin combination therapy (ACT). METHODS: Plasmodium
falciparum isolates were collected in 2015 in Yaounde (Cameroon) from patients
treated with dihydroartemisinin-piperaquine combination. Samples were analysed
for their susceptibility to artemisinin using the k13-propeller sequencing, the
ex vivo ring-stage survival assay, the in vivo parasite positive rate and the
clinical statute at day 2. RESULTS: None of the collected isolates revealed the
presence of resistance mutations in the k13-propeller sequence. The median ring
stage survival rate for all the 64 interpretable isolates after a 6-hour pulse of
700 nM dihydroartemisinin was low, 0.49% (IQR: 0-1.3). Total parasite clearance
was observed for 87.5% of patients and the remaining parasitaemic isolates
(12.5%) showed a high reduction of parasite load, ranging from 97.5 to 99.9%.
Clinical symptoms disappeared in 92.8% of cases. CONCLUSION: This study
demonstrated the absence of k13-resistant genotypes in P. falciparum isolates
from Cameroon. Only synonymous mutations were found with a low prevalence (4.3%).
A good association between k13 genotypes and the ex vivo ring-stage survival
assay or parasitological and clinical data was obtained. These results give a
baseline for the long-term monitoring of artemisinin derivative efficacy in
Africa.
PMID- 27887615
TI - Developing a set of strong intronic promoters for robust metabolic engineering in
oleaginous Rhodotorula (Rhodosporidium) yeast species.
AB - BACKGROUND: Red yeast species in the Rhodotorula/Rhodosporidium genus are
outstanding producers of triacylglyceride and cell biomass. Metabolic engineering
is expected to further enhance the productivity and versatility of these hosts
for the production of biobased chemicals and fuels. Promoters with strong
activity during oil-accumulation stage are critical tools for metabolic
engineering of these oleaginous yeasts. RESULTS: The upstream DNA sequences of 6
genes involved in lipid biosynthesis or accumulation in Rhodotorula toruloides
were studied by luciferase reporter assay. The promoter of perilipin/lipid
droplet protein 1 gene (LDP1) displayed much stronger activity (4-11 folds) than
that of glyceraldehyde-3-phosphate dehydrogenase gene (GPD1), one of the
strongest promoters known in yeasts. Depending on the stage of cultivation,
promoter of acetyl-CoA carboxylase gene (ACC1) and fatty acid synthase beta
subunit gene (FAS1) exhibited intermediate strength, displaying 50-160 and 20-90%
levels of GPD1 promoter, respectively. Interestingly, introns significantly
modulated promoter strength at high frequency. The incorporation of intron 1 and
2 of LDP1 (LDP1in promoter) enhanced its promoter activity by 1.6-3.0 folds.
Similarly, the strength of ACC1 promoter was enhanced by 1.5-3.2 folds if
containing intron 1. The intron 1 sequences of ACL1 and FAS1 also played
significant regulatory roles. When driven by the intronic promoters of ACC1 and
LDP1 (ACC1in and LDP1in promoter, respectively), the reporter gene expression
were up-regulated by nitrogen starvation, independent of de novo oil biosynthesis
and accumulation. As a proof of principle, overexpression of the endogenous acyl
CoA-dependent diacylglycerol acyltransferase 1 gene (DGA1) by LDP1in promoter was
significantly more efficient than GPD1 promoter in enhancing lipid accumulation.
CONCLUSION: Intronic sequences play an important role in regulating gene
expression in R. toruloides. Three intronic promoters, LDP1in, ACC1in and FAS1in,
are excellent promoters for metabolic engineering in the oleaginous and
carotenogenic yeast, R. toruloides.
PMID- 27887616
TI - Weak outcome predictors of multimodal rehabilitation at one-year follow-up in
patients with chronic pain-a practice based evidence study from two SQRP centres.
AB - BACKGROUND: For patients with chronic pain, the heterogeneity of clinical
presentations makes it difficult to identify patients who would benefit from
multimodal rehabilitation programs (MMRP). Yet, there is limited knowledge
regarding the predictors of MMRP's outcomes. This study identifies predictors of
outcome of MMRPs at a 12-month follow-up (FU-12) based on data from the Swedish
Quality Registry for Pain Rehabilitation (SQRP). METHODS: Patients with chronic
pain from two clinical departments in Sweden completed the SQRP questionnaires
background, pain characteristics, psychological symptoms, function,
activity/participation, health and quality of life-on three occasions: 1) during
their first visit; 2) immediately after the completion of their MMRP; and 3) 12
months after completing the MMRP (n = 227). During the FU-12, the patients also
retrospectively reported their global impressions of any changes in their
perception of pain and their ability to handle their life situation in general.
RESULTS: Significant improvements were found for pain, psychological symptoms,
activity/participation, health, and quality of life aspects with low/medium
strong effects. A general pattern was observed from the analyses of the changes
from baseline to FU-12; the largest improvements in outcomes were significantly
associated with poor situations according to their respective baseline scores.
Although significant regressors of the investigated outcomes were found, the
significant predictors were weak and explained a minor part of the variation in
outcomes (15-25%). At the FU-12, 53.6% of the patients reported that their pain
had decreased and 80.1% reported that their life situation in general had
improved. These improvements were associated with high education, low pain
intensity, high health level, and work importance (only pain perception). The
explained variations were low (9-11%). CONCLUSIONS: Representing patients in real
world clinical settings, this study confirmed systematic reviews that outcomes of
MMRP are associated with broad positive effects. A mix of background and baseline
variables influenced the outcomes investigated, but the explained variations in
outcomes were low. There is still a need to develop standardized and relatively
simple outcomes that can be used to evaluate MMRP in trials, in clinical
evaluations at group level, and for individual patients.
PMID- 27887617
TI - Increased expression of TROP2 in airway basal cells potentially contributes to
airway remodeling in chronic obstructive pulmonary disease.
AB - BACKGROUND: The airway epithelium of chronic obstructive pulmonary disease (COPD)
patients undergoes aberrant repair and remodeling after repetitive injury
following exposure to environmental factors. Abnormal airway regeneration
observed in COPD is thought to originate in the stem/progenitor cells of the
airway epithelium, the basal cells (BCs). However, the molecular mechanisms
underlying these changes remain unknown. Here, trophoblast cell surface antigen 2
(TROP2), a protein implicated in the regulation of stem cell activity, was
examined in lung tissue samples from COPD patients. METHODS: The expression of
TROP2 and hyperplasia index Ki67 was assessed in lung epithelium specimens from
non-smokers (n = 24), smokers (n = 24) and smokers with COPD (n = 24). Primary
airway BCs were isolated by bronchoscopy from healthy individuals and COPD
patients and subsequently transfected with pcDNA3.1-TROP2 or siRNA sequence in
vitro. The functional consequences of TROP2 overexpression in BCs were explored.
RESULTS: Immunohistochemistry and immunofluorescence revealed increased TROP2
expression in airway BCs in smokers with COPD compared to nonsmokers and smokers
without COPD, and staining was highly localized to hyperplastic regions
containing Ki67 positive cells. TROP2 expression was also inversely correlated
with airflow limitation in patients with COPD (r = -0.53, P < 0.01). pcDNA3.1
TROP2-BCs in vitro exhibited improved proliferation with activation of ERK1/2
phosphorylation signaling pathway. In parallel, changes in vimentin and E
cadherin in pcDNA3.1-TROP2-BCs were consistent with an epithelial-mesenchymal
transition (EMT)-like change, and secretion of inflammatory factors IL-1beta, IL
8 and IL-6 was increased. Moreover, down-regulation of TROP2 by siRNA
significantly attenuated the proliferation of BCs derived from COPD patients. EMT
like features and cytokine levels of COPD basal cells were also weakened
following the down-regulation of TROP2. CONCLUSION: The results indicate that
TROP2 may play a crucial role in COPD by affecting BC function and thus airway
remodeling through increased BC hyperplasia, EMT-like change, and introduction of
inflammatory molecules into the microenvironment.
PMID- 27887618
TI - Portable air cleaners should be at the forefront of the public health response to
landscape fire smoke.
AB - Landscape fires can produce large quantities of smoke that degrade air quality in
both remote and urban communities. Smoke from these fires is a complex mixture of
fine particulate matter and gases, exposure to which is associated with increased
respiratory and cardiovascular morbidity and mortality. The public health
response to short-lived smoke events typically advises people to remain indoors
with windows and doors closed, but does not emphasize the use of portable air
cleaners (PAC) to create private or public clean air shelters. High efficiency
particulate air filters and electrostatic precipitators can lower indoor
concentrations of fine particulate matter and improve respiratory and
cardiovascular outcomes. We argue that PACs should be at the forefront of the
public health response to landscape fire smoke events.
PMID- 27887619
TI - The prognostic value of measurement of high-sensitive cardiac troponin T for
mortality in a cohort of stable chronic obstructive pulmonary disease patients.
AB - BACKGROUND: Cardiovascular disease (CVD) is a common comorbidity in chronic
obstructive pulmonary disease (COPD). Cardiac troponin (cTn) elevation,
indicating myocardial injury, is frequent during acute COPD exacerbations and
associated with increased mortality. The prognostic value of circulating cTnT
among COPD patients in the stable state of the disease is still unknown. The
purpose of the present study was to assess the association between circulating
cTnT measured by a high sensitive assay (hs-cTnT) and all-cause mortality among
patients with stable COPD without overt CVD. METHODS: In a prospective cohort
study we included 275 patients from the Akershus University Hospital's outpatient
clinic and from Glittre, a pulmonary rehabilitation clinic. COPD-severity and
cardiovascular risk factors were assessed, and time to all-cause death was
recorded during a mean follow-up time of 2.8 years. RESULTS: One hundred-eighty
patients (65%) had hs-cTnT concentrations >= the level of detection (5.0 ng/L)
and 66 patients (24%) had hs-cTnT above the normal range (>=14.0 ng/L). In total,
47 patients (17%) died. hs-cTnT concentrations in the ranges <5.0, 5.0-13.9 and
>=14 ng/L were associated with crude mortality rates of 2.8, 4.4 and 11.0 per 100
patient-years, respectively. In adjusted analyses the hazard ratios (95%
confidence intervals) for death were 1.7 (0.8-3.9) and 2.9 (1.2-7.2) among
patients with hs-cTnT concentrations 5.0-13.9 and >=14 ng/L, respectively,
compared to patients with hs-cTnT <5.0 ng/L. CONCLUSIONS: hs-cTnT elevation is
frequently present in patients with stable COPD without overt CVD, and associated
with increased mortality, independently of COPD-severity and other cardiovascular
risk factors.
PMID- 27887620
TI - Anatomy meets dentistry! Linking anatomy and clinical practice in the preclinical
dental curriculum.
AB - BACKGROUND: Establishing a strong link early on between preclinical coursework
and the clinical context is necessary for students to be able to recognize the
practical relevance of the curriculum during their preclinical anatomical courses
and to transfer knowledge more easily. Our objective was to enhance the clinical
relevance of a preclinical anatomy course for second-year medical students of
dentistry by implementing an interdisciplinary skills training course on
"Palpation of the Head and Neck Muscles" and to measure the learning outcomes.
METHODS: For the curricular development of the expanded course module, Kern's 6
step approach was applied including subjective evaluation. We used a peer
teaching format supported by an e-learning application. A randomized control
study measured effects of the two components (skills training, e-module) on
learning outcomes. Four learning methods were compared: (1) lecture, (2) lecture
+ e-module, (3) lecture + skills training, (4) lecture + skills training + e
module. An objective structured clinical examination (OSCE) was used to measure
and compare learning outcomes. RESULTS: The two-way variance analysis
demonstrated that participation in the skills training had a statistically
significant effect on the OSCE results (p = 0.0007). Students who participated in
the skills training did better (phi 107.4 +/- 14.4 points) than students who only
attended the lecture (phi 88.8 +/- 26.2 points). Students who used the e-module
but did not attend the skills training earned a slightly but not significantly
higher average number of points (phi 91.8 +/- 31.3 points) than those who only
attended the lecture. The learning outcomes of the skills training were again
significantly increased when the training was combined with the e-module (phi
121.8 +/- 21.8 points), thus making it the ideal method for achieving the
learning objectives defined in this study. CONCLUSIONS: The "Palpation of the
Head and Neck Muscles" interdisciplinary skills training course linking basic
anatomical knowledge and clinical skills led to clearly improved learning
outcomes for both, anatomical knowledge and clinical skills. The additional use
of an e-learning tool (e-module) improved the learning effect.
PMID- 27887622
TI - Learning to care: medical students' reported value and evaluation of palliative
care teaching involving meeting patients and reflective writing.
AB - BACKGROUND: Over recent years there has been an increase in teaching of both
palliative care and reflective practice in UK medical schools. The palliative
care teaching at the University of Cambridge School of Clinical Medicine is multi
faceted and involves students writing reflective essays after individually
meeting patients approaching the end of life during their final year general
practice and hospital medicine placements. This paper draws on two studies
examining this teaching element to analyse what the students found valuable about
it and to comment on the practice of meeting patients and subsequent reflective
writing. METHODS: Two studies have explored students' perceptions of these course
components. The first was a thematic analysis of 234 reflective essays from 123
students written in 2007-2008, including examining what students wrote about the
exercise itself. The second project involved a semi-structured questionnaire that
students completed anonymously; this paper reports on the free text elements of
that study [sample size =107]. Since similar themes were found in both studies,
the coding structures from each project were compared and combined, enabling
triangulation of the findings around what the students found valuable from the
palliative care teaching involving meeting patients and reflective writing.
RESULTS: Overall, students reported that these components of the palliative care
teaching are valuable. Four main themes were identified as aspects that students
valued: (1) dedicated time with patients, (2) learning about wider elements of
treatment and holistic care, (3) practicing communication skills, and (4)
learning about themselves through reflective writing. Some students expressed a
dislike for having to formally write a reflective essay. CONCLUSION: It is
possible to arrange for all of the medical students to individually meet at least
two patients receiving palliative or end of life care. Students found these
encounters valuable and many wrote about the benefit of formally writing about
these experiences. Students reported finding this model useful in widening their
skill-set and understanding of palliative care.
PMID- 27887621
TI - Pediatric emergency department visits and ambient Air pollution in the U.S. State
of Georgia: a case-crossover study.
AB - BACKGROUND: Estimating the health effects of ambient air pollutant mixtures is
necessary to understand the risk of real-life air pollution exposures. METHODS:
Pediatric Emergency Department (ED) visit records for asthma or wheeze (n =
148,256), bronchitis (n = 84,597), pneumonia (n = 90,063), otitis media (n =
422,268) and upper respiratory tract infection (URI) (n = 744,942) were obtained
from Georgia hospitals during 2002-2008. Spatially-contiguous daily
concentrations of 11 ambient air pollutants were estimated from CMAQ model
simulations that were fused with ground-based measurements. Using a case
crossover study design, odds ratios for 3-day moving average air pollutant
concentrations were estimated using conditional logistic regression, matching on
ZIP code, day-of-week, month, and year. RESULTS: In multipollutant models, the
association of highest magnitude observed for the asthma/wheeze outcome was with
"oxidant gases" (O3, NO2, and SO2); the joint effect estimate for an IQR increase
of this mixture was OR: 1.068 (95% CI: 1.040, 1.097). The group of "secondary
pollutants" (O3 and the PM2.5 components SO42-, NO3-, and NH4+) was strongly
associated with bronchitis (OR: 1.090, 95% CI: 1.050, 1.132), pneumonia (OR:
1.085, 95% CI: 1.047, 1.125), and otitis media (OR: 1.059, 95% CI: 1.042, 1.077).
ED visits for URI were strongly associated with "oxidant gases," "secondary
pollutants," and the "criteria pollutants" (O3, NO2, CO, SO2, and PM2.5).
CONCLUSIONS: Short-term exposures to air pollution mixtures were associated with
ED visits for several different pediatric respiratory diseases.
PMID- 27887623
TI - Generation of protective immunity against Orientia tsutsugamushi infection by
immunization with a zinc oxide nanoparticle combined with ScaA antigen.
AB - BACKGROUND: Zinc oxide nanoparticle (ZNP) has been applied in various biomedical
fields. Here, we investigated the usage of ZNP as an antigen carrier for vaccine
development by combining a high affinity peptide to ZNP. RESULTS: A novel zinc
oxide-binding peptide (ZBP), FPYPGGDA, with high affinity to ZNP (K a = 2.26 *
106 M-1) was isolated from a random peptide library and fused with a bacterial
antigen, ScaA of Orientia tsutsugamushi, the causative agent of scrub typhus. The
ZNP/ZBP-ScaA complex was efficiently phagocytosed by a dendritic cell line,
DC2.4, in vitro and significantly enhanced anti-ScaA antibody responses in vivo
compared to control groups. In addition, immunization with the ZNP/ZBP-ScaA
complex promoted the generation of IFN-gamma-secreting T cells in an antigen
dependent manner. Finally, we observed that ZNP/ZBP-ScaA immunization provided
protective immunity against lethal challenge of O. tsutsugamushi, indicating that
ZNP can be used as a potent adjuvant when complexed with ZBP-conjugated antigen.
CONCLUSIONS: ZNPs possess good adjuvant potential as a vaccine carrier when
combined with an antigen having a high affinity to ZNP. When complexed with ZBP
ScaA antigen, ZNPs could induce strong antibody responses as well as protective
immunity against lethal challenges of O. tsutsugamushi. Therefore, application of
ZNPs combined with a specific soluble antigen could be a promising strategy as a
novel vaccine carrier system.
PMID- 27887624
TI - Detection and phylogenetic analysis of porcine epidemic diarrhea virus in central
China based on the ORF3 gene and the S1 gene.
AB - BACKGROUND: Porcine epidemic diarrhea (PED) has increased in severity in China
since 2010. To investigate further the infectivity, genetic diversity and
molecular epidemiology of its causative agent, the porcine epidemic diarrhea
virus (PEDV), we assessed 129 clinical samples, which were the intestinal tissue
of piglets with severe diarrhea, from 17 cities in central China. Both the spike
(S) glycoprotein (S1, 1-789 amino acids (aa)) and the full-length ORF3 gene of 21
representative field strains from 21 farms in 11 cities were sequenced and
analysed. METHODS: PEDV was detected by reverse transcription-polymerase chain
reaction (RT-PCR), and S1 and ORF3 sequences were processed by the Clustal W
method via DNAMAN 8 software, and phylogenetic trees were constructed by the
neighbor-joining method using MEGA 6 software. RESULTS: The prevalence of PEDV
was 92.25% and was detected in 119 of 129 samples, with 94.03% (63 of 67) of pig
farms harbouring the disease. According to the phylogenetic analysis of the S1
genes, our isolates all fell into group G2 (variants) and showed a close
relationship to isolates from Chinese (HN1303, CH/ZMDZY/11 and AJ1102), Korean
(AD01), American (MN, IA1, IA2 and 13-019349) sources, and these isolates
differed genetically from other Chinese (LZC, CH/HNZZ/2011 and SD-M) and Korean
(SM98) strains as well Japanese (83-P5 and MK) strains. In addition, our isolates
differed from attenuated vaccine strains, CV777 (used in China) and DR13 (used in
Korea). According to our derived amino acid sequence analysis, we detected one
novel variant PEDV, viz: CH/HNLY, with 4-aa insertion/deletion (RSSS/T) at
position 375 and 1-aa (D) deletion at position 430 compared to the CV777
attenuated strain. These mutations were located on the receptor binding domain.
Our ORF3 gene analyses showed that the prevalent PEDV isolates were variants, and
the isolated strains differed genetically from the vaccine strains. CONCLUSIONS:
These findings illustrated the existence of genetic diversity among
geographically distinct PEDV strains, and our study has provided an impetus to
conduct further research on the PEDV receptor binding protein and on the new and
efficacious vaccines design.
PMID- 27887625
TI - The impact of temperature and precipitation on blacklegged tick activity and Lyme
disease incidence in endemic and emerging regions.
AB - BACKGROUND: The incidence of Lyme disease shows high degrees of inter-annual
variation in the northeastern United States, but the factors driving this
variation are not well understood. Complicating matters, it is also possible that
these driving factors may vary in regions with differing histories of Lyme
disease endemism. We evaluated the effect of the number of hot (T > 25 degrees
C), dry (precipitation = 0) days during the questing periods of the two immature
Ixodes scapularis life stages (larval and nymphal) on inter-annual variation in
Lyme disease incidence between 2000 and 2011 in long-term endemic versus recently
endemic areas. We also evaluated the effect of summer weather on tick questing
activity and the number of ticks found on small mammals between 1994 and 2012 on
six sites in Millbrook, NY. RESULTS: The number of hot, dry days during the
larval period of the previous year did not affect the human incidence of Lyme
disease or the density of questing nymphs the following season. However, dry
summer weather during the nymphal questing period had a significant negative
effect on the incidence of Lyme disease in the long-term endemic areas, and on
the density of questing nymphs. Summer weather conditions had a more pronounced
effect on actively questing I. scapularis collected via dragging than on the
number of ticks found feeding on small mammals. In recently endemic areas Lyme
disease incidence increased significantly over time, but no trend was detected
between disease incidence and dry summer weather. CONCLUSIONS: Recently endemic
regions showed an increase in Lyme disease incidence over time, while incidence
in long-term endemic regions appears to have stabilized. Only within the
stabilized areas were we able to detect reduced Lyme disease incidence in years
with hot, dry summer weather. These patterns were reflected in our field data,
which showed that questing activity of nymphal I. scapularis was reduced by hot,
dry summer weather.
PMID- 27887626
TI - Expression and processing analyses of wild type and p.R47H TREM2 variant in
Alzheimer's disease brains.
AB - BACKGROUND: Genetic analyses showed that the triggering receptor expressed in
myeloid cells 2 (TREM2) p.R47H variant increases the risk for Alzheimer's disease
(AD). The question of whether the p.R47H mutation affects expression or function
of the receptor remains unanswered. To address this question we quantified mRNA
and analyzed protein profiles of WT and p.R47H TREM2 in human brains. METHODS:
Quantitative real-time PCR (qPCR) was performed using 2 sets of primers one that
detects all TREM2 mRNA isoforms and one specific for the alternative spliced
isoform (TREM2alt) that encodes for the extracellular domain (soluble TREM2).
Because in the brain TREM2 is expressed primarily in microglial cells, we also
assessed the levels of IBA1 to control for microglial variability across samples.
For TREM2 protein quantitation and N-glycosylation processing, RIPA brain
extracts were analyzed by Western blot before and after EndoH and PNGaseF
treatments. RESULTS: We identified statistically significant increased levels of
TREM2 transcripts in the temporal cortex of AD subjects when compared with
controls; TREM2alt was likewise higher in AD cases, but was not significant after
adjustment for covariates. Quantitative analysis of TREM2 protein confirmed qPCR
results that showed higher levels in AD than in control brains. Among AD
subjects, we observed a trend towards higher mRNA and protein TREM2 levels in
carriers of the p.R47H risk allele. Analysis of individual TREM2 species found no
difference in the relative amounts of mature and immature species, and carboxyl
terminal fragments between non carriers and p.R47H samples. Furthermore, TREM2
species from either non carriers or p.R47H brains were equally susceptible to
EndoH and PNGaseF treatments. CONCLUSIONS: Our results suggest that TREM2
expression is increased in AD. Furthermore, we provide evidence indicating that
p.R47H mutation does not affect the levels of TREM2 either directly by altering
expression or indirectly by affecting processing of the protein. Our data support
previous findings that suggest that p.R47H variant affects TREM2 function by
altering binding properties of the receptor rather than expression.
PMID- 27887627
TI - EphA5 protein, a potential marker for distinguishing histological grade and
prognosis in ovarian serous carcinoma.
AB - BACKGROUND: Ovarian serous carcinoma (OSC) is the most common ovarian epithelial
malignancy. Disregulation of Eph/ephrin signaling has been implicated in
oncogenesis and tumor progression. EphA5 receptor is one of large families of Eph
tyrosine kinase receptor and is documented in the development of nervous system.
Till now, there is no published data about the role of EphA5 in ovarian
epithelial neoplasmas. METHODS: This study aims to investigate the expression of
EphA5 protein in ovarian serous carcinoma, and its relationship to clinical
pathological characteristics. Sixty-one cases of ovarian serous carcinoma, 24
cases of benign ovarian serous tumors, 42 cases of serous borderline tumors and
20 cases of normal fallopian tubes were examined using immunohistochemical
staining. The relationship between EphA5 expression and pathological parameters
was analyzed. Kaplan-Meier survival function was used to analyze prognosis of
patients. RESULTS: Immunostaining analysis demonstrated that the EphA5 protein
was highly expressed in 100% (20/20) of normal fallopian tube samples, 100%
(24/24) of benign epithelial ovarian tumors, 76% (32/42) of ovarian serous
borderline tumors, and 31% (19/61) of ovarian serous carcinomas. Loss of
EphA5expression was associated with tumor grade (P < 0.001) and FIGO stage (P =
0.005). The survival analysis showed that patients with negative or weak
expression of EphA5 protein had a poor outcome than those with positive
expression (P = 0.004). CONCLUSIONS: Our results show that EphA5 may be a
potential biomarker for distinguishing high-and low-grade ovarian serous
carcinoma and a potential prognostic marker.
PMID- 27887628
TI - Implications of vessel co-option in sorafenib-resistant hepatocellular carcinoma.
AB - The reason why tumors generally have a modest or transient response to
antiangiogenic therapy is not well understood. This poses a major challenge for
sorafenib treatment of advanced hepatocellular carcinoma (HCC) where alternate
therapies are lacking. We recently published a paper entitled "Co-option of liver
vessels and not sprouting angiogenesis drives acquired sorafenib resistance in
hepatocellular carcinoma" in the Journal of the National Cancer Institute,
providing a potential explanation for this limited benefit. We found that in mice
bearing HCCs that had acquired resistance to sorafenib, tumors had switched from
using angiogenesis for growth to co-opting the liver vasculature by becoming more
invasive. Accumulating evidence suggests that many human tumor types may use
vessel co-option, which has profound implications for the use of anti-angiogenic
agents for cancer treatment.
PMID- 27887629
TI - The Oxford Nanopore MinION: delivery of nanopore sequencing to the genomics
community.
AB - Nanopore DNA strand sequencing has emerged as a competitive, portable technology.
Reads exceeding 150 kilobases have been achieved, as have in-field detection and
analysis of clinical pathogens. We summarize key technical features of the Oxford
Nanopore MinION, the dominant platform currently available. We then discuss
pioneering applications executed by the genomics community.
PMID- 27887630
TI - Immunohistochemical insights into Saffold virus infection of the brain of
juvenile AG129 mice.
AB - BACKGROUND: Saffold Virus (SAFV) is a human cardiovirus that is suspected of
causing infection of the central nervous system (CNS) in children. While recent
animal studies have started to elucidate the pathogenesis of SAFV, very little is
known about the mechanisms behind it. METHOD: In this study, we attempted to
elucidate some of the mechanisms of the pathogenesis of SAFV in the brain of a
juvenile mouse model by using immunohistochemical methods. RESULTS: We first
showed that SAFV is able to infect both neuronal and glial cells in the brain of
2 week-old AG129 mice. We then showed that SAFV is able to induce apoptosis in
both neuronal and glial cells in the brain. Lastly, we showed that SAFV infection
does not show any signs of gross demyelination in the brain. CONCLUSION: Overall,
our results provide important insights into the mechanisms of SAFV in the brain.
PMID- 27887631
TI - The complexities and caveats of lineage tracing in the mammary gland.
AB - Lineage tracing is increasingly being utilised to probe different cell types that
exist within the mammary gland. Whilst this technique is powerful for tracking
cells in vivo and dissecting the roles of different cellular subsets in
development, homeostasis and oncogenesis, there are important caveats associated
with lineage tracing strategies. Here we highlight key parameters of particular
relevance for the mammary gland. These include tissue preparation for whole-mount
imaging, whereby the inclusion of enzymatic digestion can drastically alter
tissue architecture and cell morphology, and therefore should be avoided. Other
factors include the scoring of clones in three dimensions versus two dimensions,
the timing of induction, and the marked variability in labelling efficiency that
is evident not only between different mouse models harbouring a similar gene
promoter but also within a given strain and even within a single mammary gland.
Thus, it becomes crucial to visualise extensive areas of ductal tissue and to
consider the intricacies of the methodology for lineage tracing studies on normal
mammary development and on potential 'cells of origin' of cancer.
PMID- 27887632
TI - Adjuvant therapies in advanced hepatocellular carcinoma: moving forward from the
STORM.
AB - : Like other previous treatments and approaches, sorafenib, an antiangiogenic
drug, failed to show any benefit in the adjuvant setting for hepatocellular
carcinoma in a large clinical trial. We discuss reasons and implications of these
negative results and the implications for clinical practice and future research.
TRIAL REGISTRATION: ClinicalTrials.gov: NCT00692770 . Registered 5 June 2008.
This study has been completed.
PMID- 27887633
TI - Antitumor activity of TY-011 against gastric cancer by inhibiting Aurora A,
Aurora B and VEGFR2 kinases.
AB - BACKGROUND: Overexpression of Aurora A and B has been reported in a wide range of
tumor types, including gastric cancer. Anti-angiogenesis has been considered as
an important therapeutic modality in advanced gastric cancer. Here we identified
a novel compound TY-011 with promising antitumor activity by targeting mitotic
kinases (Aurora A and B) and angiogenic receptor tyrosine kinase (VEGFR2).
METHODS: HTRF(r) KinEASETM assay was used to detect the effect of TY-011 against
Aurora A, Aurora B and VEGFR2 activities. Docking simulation study was performed
to predict the binding mode of TY-011 with Aurora A and B kinases. CCK-8 assay
was used to test cell growth. Cell cycle and cell apoptosis was analyzed by flow
cytometry. Gastric cancer cell xenograft mouse models were used for in vivo
study. TUNEL kit was used to determine the apoptosis of tumor tissues.
Immunohistochemistry analysis and HUVEC tube formation assay were performed to
determine the anti-angiogenesis ability. Immunofluorescence and western blot were
used to test protein expression. RESULTS: TY-011 was identified as a potential
Aurora A and B inhibitor by HTRF(r) KinEASETM assay. It effectively inhibited
cellular Aurora A and B activities in a concentration-dependent manner. TY-011
occupied the ATP-binding site of both Aurora A and B kinases. TY-011 demonstrated
prominent inhibitory effects on proliferation of gastric cancer cells. TY-011
treatment induced an obvious accumulation of cells at G2/M phase and a modest
increase of cells with >4 N DNA content, which then underwent apoptosis.
Meaningfully, orally administration of TY-011 demonstrated superior efficacy
against the tumor growth in gastric cancer cell xenograft, with ~90% inhibition
rate and 100% tumor regression at 9 mg/kg dose, and TY-011 did not affect the
body weight of mice. Interestingly, we observed that TY-011 also antagonized
tumor angiogenesis by targeting VEGFR2 kinase. CONCLUSIONS: These results
indicate that TY-011 is a well-tolerated, orally active compound that targets
mitosis and angiogenesis in tumor growth, and provides strong preclinical support
for use as a therapeutic for human gastric cancers.
PMID- 27887634
TI - Mental contrasting as a behaviour change technique: a systematic review protocol
paper of effects, mediators and moderators on health.
AB - BACKGROUND: Mental contrasting is a self-regulation strategy that is required for
strong goal commitment. In mental contrasting, individuals firstly imagine a
desired future or health goal that contrasted with the reality proceeding the
goal state, which after reflection is viewed as an obstacle (Oettingen et al. J
Pers Soc Psychol 80:736-753, 2001). Mentally contrasting a positive future with
reality enables individuals to translate positive attitudes and high efficacy
into strong goal commitment. METHODS: A systematic review of the literature is
proposed to explore the efficacy of mental contrasting as a behaviour change
technique (Michie et al., Ann Behav Med 46: 81-95, 2013) for health. The review
also aims to identify the effects of mental contrasting on health-related
behaviour, as well as identifying mediator and moderator variables. DISCUSSION:
This will be the first systematic review of mental contrasting as a health
behaviour change technique. With sufficient studies, a meta-analysis will be
conducted with sensitivity and sub group analyses. If meta-analysis is not
appropriate, a narrative synthesis of the reviewed studies will be conducted.
SYSTEMATIC REVIEW REGISTRATION: Review protocol registered on PROSPERO reference
CRD42016034202 .
PMID- 27887635
TI - Anisakis pegreffii (Nematoda: Anisakidae) products modulate oxidative stress and
apoptosis-related biomarkers in human cell lines.
AB - BACKGROUND: In countries with elevated prevalence of zoonotic anisakiasis and
high awareness of this parasitosis, a considerable number of cases that associate
Anisakis sp. (Nematoda, Anisakidae) and different bowel carcinomas have been
described. Although neoplasia and embedded larvae were observed sharing the
common site affected by chronic inflammation, no association between the nematode
and malignancy were directly proved. Similarly, no data are available about the
effect of secretory and excretory products of infecting larvae at the host's
cellular level, except in respect to allergenic interaction. METHODS: To test the
mechanisms by which human non-immune cells respond to the larvae, we exposed the
fibroblast cell line HS-68 to two Anisakis products (ES, excretory/secretory
products; and EC, crude extract) and evaluated molecular markers related to
stress response, oxidative stress, inflammation and apoptosis, such as p53,
HSP70, TNF-alpha, c-jun and c-fos, employing cell viability assay,
spectrophotometry, immunoblotting and qPCR. RESULTS: Both Anisakis products led
to increased production of reactive oxygen species (ROS), especially in EC
treated cells. While the ES treatment induces activation of kinases suggesting
inflammation and cell proliferation (or inhibition of apoptosis), in EC-treated
cells, other signaling pathways indicate the inhibition of apoptosis, marked by
strong upregulation of Hsp70. Elevated induction of p53 in fibroblasts treated by
both Anisakis products, suggests a significantly negative effect on the host DNA.
CONCLUSIONS: This study shows that in vitro cell response to Anisakis products
can result in at least two different scenarios, which in both cases lead to
inflammation and DNA damage. Although these preliminary results are far from
proving a relationship between the parasite and cancer, they are the first to
support the existence of conditions where such changes are feasible.
PMID- 27887636
TI - Development and validation of a nomogram for predicting the survival of patients
with non-metastatic nasopharyngeal carcinoma after curative treatment.
AB - BACKGROUND: The TNM staging system is far from perfect in predicting the survival
of individual cancer patients because only the gross anatomy is considered. The
survival rates of the patients who have the same TNM stage disease vary across a
wide spectrum. This study aimed to develop a nomogram that incorporates other
clinicopathologic factors for predicting the overall survival (OS) of non
metastatic nasopharyngeal carcinoma (NPC) patients after curative treatments.
METHODS: We retrospectively collected the clinical data of 1520 NPC patients who
were diagnosed histologically between November 2000 and September 2003. The
clinical data of a separate cohort of 464 patients who received intensity
modulated radiation therapy (IMRT) between 2001 and 2010 were also retrieved to
examine the extensibility of the model. Cox regression analysis was used to
identify the prognostic factors for building the nomogram. The predictive
accuracy and discriminative ability were measured using the concordance index (c
index). RESULTS: We identified and incorporated 12 independent clinical factors
into the nomogram. The calibration curves showed that the prediction of OS was in
good agreement with the actual observation in the internal validation set and
IMRT cohort. The c-index of the nomogram was statistically higher than that of
the 7th edition TNM staging system for predicting the survival in both the
primary cohort (0.69 vs. 0.62) and the IMRT cohort (0.67 vs. 0.63). CONCLUSION:
We developed and validated a novel nomogram that outperformed the TNM staging
system in predicting the OS of non-metastatic NPC patients who underwent curative
therapy.
PMID- 27887637
TI - Clinical improvement and reduction in serum calprotectin levels after an
intensive exercise programme for patients with ankylosing spondylitis and non
radiographic axial spondyloarthritis.
AB - BACKGROUND: The efficacy of exercise therapy for ankylosing spondylitis (AS) is
well-documented, but dearth of information is for non-radiographic axial
spondyloarthritis (nr-axSpA). Biomarkers like serum calprotectin, interleukins IL
6, IL-17 and tumour necrosis factor (TNF)-alpha may reflect the disease activity
of axial spondyloarthritis (axSpA). In this study, we investigated clinical and
laboratory parameters of both axSpA subgroups in response to intensive physical
exercise. METHODS: Altogether, 46 patients with axSpA, characterised according to
the Assessment of SpondyloArthritis International Society criteria as having nr
axSpA or AS underwent 6-month exercise programme. Clinical outcomes of disease
activity, Bath AS Disease Activity Index (BASDAI), AS Disease Activity Index
(ASDAS-CRP), mobility, Bath AS Metrology Index (BASMI) and function, Bath AS
Functional Index (BASFI) were evaluated at baseline and at the end of the
exercise programme. Serum IL-6 and IL-17, TNF-alpha and calprotectin were
measured via ELISA. The clinical and laboratory data of 29 control axSpA patients
were used for the evaluation of the results. RESULTS: In all axSpA patients, the
ASDAS-CRP (2.10 +/- 0.12 to 1.84 +/- 0.11, p <0.01) and BASMI (1.28 +/- 0.14 to
0.66 +/- 0.84, p <0.0001) improved after 6 months of exercise therapy. There was
a significant improvement in the ASDAS-CRP in the nr-axSpA subgroup (2.01 +/-
0.19 to 1.73 +/- 0.16, p <0.05) and in the BASMI in both, the nr-axSpA and the AS
subgroups (1.09 +/- 0.12 to 0.47 +/- 0.08, p <0.0001 and 1.43 +/- 0.24 to 0.82 +/
0.23, p <0.0001, respectively). Both, ASDAS-CRP and BASDAI, were significantly
improved in the exercise axSpA group compared to the control axSpA group (mean
0.26 vs. -0.13 and -0.49 vs. 0.12, respectively, all p <0.05). Only calprotectin
was significantly reduced after the exercise programme in nr-axSpA and AS
patients (from 2379.0 +/- 243.20 to 1779.0 +/- 138.30 MUg/mL and from 2430.0 +/-
269.70 to 1816.0 +/- 148.20 MUg/mL, respectively, all p <0.01). The change in
calprotectin was more profound in the axSpA intervention group (mean -604.56)
than in the control axSpA (mean -149.28, p <0.05). CONCLUSION: This study
demonstrated similar efficacy for an intensive exercise programme in both nr
axSpA and AS patients. A significant decrease in serum calprotectin levels in
both subgroups of axSpA patients after the exercise programme reflected an
improvement in the disease activity and spinal mobility.
PMID- 27887638
TI - Mitochondrial replacement approaches: challenges for clinical implementation.
AB - The advent of mitochondrial replacement techniques poses many scientific,
regulatory, and ethical questions. Previous studies suggest good safety and
efficacy profiles of these techniques, but challenges remain for clinical
implementation and international consensus is needed on the regulation of these
approaches.
PMID- 27887639
TI - Prevalence, sensitivity and specificity of antibodies against carbamylated
proteins in a monocentric cohort of patients with rheumatoid arthritis and other
autoimmune rheumatic diseases.
AB - BACKGROUND: Antibodies against carbamylated proteins (anti-CarP) have been
recently identified in the sera of patients with rheumatoid arthritis (RA). The
objective of the study was to evaluate the prevalence, sensitivity and
specificity of anti-CarP compared to anti-citrullinated peptide antibodies (ACPA)
and rheumatoid factor (RF), replicating the existing data in a large cohort of
Italian patients with RA and extending the evaluation to other autoimmune
rheumatic diseases (AIRDs). METHODS: Serum samples (n = 607) from 309 patients
with RA, 200 disease controls and 98 normal healthy subjects (NHS) were
evaluated. Anti-CarP were detected using carbamylated fetal calf serum as the
antigen. ACPAs were detected using second-generation ELISA and IgM RF was
assessed as part of routine analysis. RESULTS: Anti-CarP antibodies were detected
in 117 patients with RA (34.4%), ACPA in 190 patients (61.4%) and RF in 202
patients (65.3%). Two (2.04%) of the NHS were positive for anti-CarP, one NHS
(1.02%) was positive for ACPA and three NHS were positive for RF (3.06%). Among
disease controls, anti-CarP antibodies were detected in 33 patients (16.5%), ACPA
in 29 patients (14.5%) and RF in 64 patients (32%). In particular, 16.8% of
patients with systemic lupus erythematosus and 31.1% of patients with Sjogren
syndrome were positive for anti-CarP. The sensitivity of anti-CarP, ACPA and RF
was 46.8%, 61.8% and 64.4%, respectively and specificity was 91.95%, 89.93% and
76.51%, respectively. CONCLUSIONS: The present study extends the knowledge of
anti-CarP antibodies, confirming previous data on the diagnostic accuracy of anti
CarP in RA in a large cohort of Italian patients. Anti-CarP antibodies
demonstrated relatively low sensitivity and slightly higher specificity compared
to ACPA and RF. Even if predominantly present in RA, anti-CarP was detected in a
variable percentage of patients with other autoimmune rheumatic diseases and
their generation could be attributed to the inflammatory status; the clinical
relevance of anti-CarP antibodies in these latter patients should be further
determined.
PMID- 27887640
TI - Functional and regulatory profiling of energy metabolism in fission yeast.
AB - BACKGROUND: The control of energy metabolism is fundamental for cell growth and
function and anomalies in it are implicated in complex diseases and ageing.
Metabolism in yeast cells can be manipulated by supplying different carbon
sources: yeast grown on glucose rapidly proliferates by fermentation, analogous
to tumour cells growing by aerobic glycolysis, whereas on non-fermentable carbon
sources metabolism shifts towards respiration. RESULTS: We screened deletion
libraries of fission yeast to identify over 200 genes required for respiratory
growth. Growth media and auxotrophic mutants strongly influenced respiratory
metabolism. Most genes uncovered in the mutant screens have not been implicated
in respiration in budding yeast. We applied gene-expression profiling approaches
to compare steady-state fermentative and respiratory growth and to analyse the
dynamic adaptation to respiratory growth. The transcript levels of most genes
functioning in energy metabolism pathways are coherently tuned, reflecting
anticipated differences in metabolic flows between fermenting and respiring
cells. We show that acetyl-CoA synthase, rather than citrate lyase, is essential
for acetyl-CoA synthesis in fission yeast. We also investigated the
transcriptional response to mitochondrial damage by genetic or chemical
perturbations, defining a retrograde response that involves the concerted
regulation of distinct groups of nuclear genes that may avert harm from
mitochondrial malfunction. CONCLUSIONS: This study provides a rich framework of
the genetic and regulatory basis of energy metabolism in fission yeast and
beyond, and it pinpoints weaknesses of commonly used auxotroph mutants for
investigating metabolism. As a model for cellular energy regulation, fission
yeast provides an attractive and complementary system to budding yeast.
PMID- 27887641
TI - Structural divergence of chromosomes between malaria vectors Anopheles lesteri
and Anopheles sinensis.
AB - BACKGROUND: Anopheles lesteri and Anopheles sinensis are two major malaria
vectors in China and Southeast Asia. They are dramatically different in terms of
geographical distribution, host preference, resting habitats, and other traits
associated with ecological adaptation and malaria transmission. Both species
belong to the Anopheles hyrcanus group, but the extent of genetic differences
between them is not well understood. To provide an effective way to differentiate
between species and to find useful markers for population genetics studies, we
performed a comparative cytogenetic analysis of these two malaria vectors.
RESULTS: Presented here is a standard cytogenetic map for An. lesteri, and a
comparative analysis of chromosome structure and gene order between An. lesteri
and An. sinensis. Our results demonstrate that much of the gene order on
chromosomes X and 2 was reshuffled between the two species. However, the banding
pattern and the gene order on chromosome 3 appeared to be conserved. We also
found two new polymorphic inversions, 2Lc and 3Rb, in An. lesteri, and we mapped
the breakpoints of these two inversions on polytene chromosomes. CONCLUSIONS: Our
results demonstrate the extent of structural divergence of chromosomes between
An. lesteri and An. sinensis, and provide a new taxonomic cytogenetic tool to
distinguish between these two species. Polymorphic inversions of An. lesteri
could serve as markers for studies of the population structure and ecological
adaptations of this major malaria vector.
PMID- 27887642
TI - Rapid scoring of genes in microbial pan-genome-wide association studies with
Scoary.
AB - Genome-wide association studies (GWAS) have become indispensable in human
medicine and genomics, but very few have been carried out on bacteria. Here we
introduce Scoary, an ultra-fast, easy-to-use, and widely applicable software tool
that scores the components of the pan-genome for associations to observed
phenotypic traits while accounting for population stratification, with minimal
assumptions about evolutionary processes. We call our approach pan-GWAS to
distinguish it from traditional, single nucleotide polymorphism (SNP)-based GWAS.
Scoary is implemented in Python and is available under an open source GPLv3
license at https://github.com/AdmiralenOla/Scoary .
PMID- 27887643
TI - Distribution of FDG-avid nodes in esophageal cancer: implications for
radiotherapy target delineation.
AB - PURPOSE: Clinical target volumes (CTV) for radiotherapy (RT) in esophageal cancer
(EC) are based on standard expansions of primary tumor volume. Data is needed to
define regions at highest risk for occult disease, based on histology and
location of the primary tumor. We therefore reviewed PET scans in EC patients to
characterize the location of FDG-avid lymph node metastases (LNM). MATERIALS AND
METHODS: We identified 473 EC patients with reviewable pre-treatment PET-CT
scans. Tumors were classified by histology and location; 85% were distal or GE
junction tumors and 71% were adenocarcinoma. FDG-avid LNM were classified using
standard radiographic nodal atlases, and distances from primary tumor to
paraesophageal LNM were also measured. RESULTS: The most common LNM in upper EC
were supraclavicular, retrotracheal and paratracheal. The most common LNM in
lower EC were paraesophageal and in the gastrohepatic space. Overall, 55% of
paraesophageal LNM were adjacent to primary tumor. Of upper esophageal tumors
with paraesophageal LNM, 87% were adjacent to the tumor and none were >6 cm from
tumor. However, 57% of lower esophageal tumors with paraesophageal LNM had non
adjacent paraesophageal nodes, 24% of which were >8 cm from the tumor.
CONCLUSION: A more data-driven and individualized approach to CTV delineation
could improve the therapeutic ratio of RT in esophageal cancer. These results can
guide CTV delineation by indicating the potential distribution of nodal
involvement in esophageal cancer.
PMID- 27887644
TI - Copenhagen comorbidity in HIV infection (COCOMO) study: a study protocol for a
longitudinal, non-interventional assessment of non-AIDS comorbidity in HIV
infection in Denmark.
AB - BACKGROUND: Modern combination antiretroviral therapy (cART) has improved
survival for people living with HIV (PLWHIV). Non-AIDS comorbidities have
replaced opportunistic infections as leading causes of mortality and morbidity,
and are becoming a key health concern as this population continues to age. The
aim of this study is to estimate the prevalence and incidence of non-AIDS
comorbidity among PLWHIV in Denmark in the cART era and to determine risk factors
contributing to the pathogenesis. The study primarily targets cardiovascular,
respiratory, and hepatic non-AIDS comorbidity. METHODS/DESIGN: The Copenhagen
comorbidity in HIV-infection (COCOMO) study is an observational, longitudinal
cohort study. The study was initiated in 2015 and recruitment is ongoing with the
aim of including 1500 PLWHIV from the Copenhagen area. Follow-up examinations
after 2 and 10 years are planned. Uninfected controls are derived from the
Copenhagen General Population Study (CGPS), a cohort study including 100,000
uninfected participants from the same geographical region. Physiological and
biological measures including blood pressure, ankle-brachial index,
electrocardiogram, spirometry, exhaled nitric oxide, transient elastography of
the liver, computed tomography (CT) angiography of the heart, unenhanced CT of
the chest and upper abdomen, and a number of routine biochemical analysis are
uniformly collected in participants from the COCOMO study and the CGPS. Plasma,
serum, buffy coat, peripheral blood mononuclear cells (PBMC), urine, and stool
samples are collected in a biobank for future studies. Data will be updated
through periodical linking to national databases. DISCUSSION: As life expectancy
for PLWHIV improves, it is essential to study long-term impact of HIV and cART.
We anticipate that findings from this cohort study will increase knowledge on non
AIDS comorbidity in PLWHIV and identify targets for future interventional trials.
Recognizing the demographic, clinical and pathophysiological characteristics of
comorbidity in PLWHIV may help inform development of new guidelines and enable us
to move forward to a more personalized HIV care. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT02382822 .
PMID- 27887645
TI - Resource utilisation, costs and clinical outcomes in non-institutionalised
patients with Alzheimer's disease: 18-month UK results from the GERAS
observational study.
AB - BACKGROUND: Alzheimer's disease (AD), the commonest cause of dementia, represents
a significant cost to UK society. This analysis describes resource utilisation,
costs and clinical outcomes in non-institutionalised patients with AD in the UK.
METHODS: The GERAS prospective observational study assessed societal costs
associated with AD for patients and caregivers over 18 months, stratified
according to baseline disease severity (mild, moderate, or moderately
severe/severe [MS/S]). All patients enrolled had an informal caregiver willing to
participate in the study. Healthcare resource utilisation was measured using the
Resource Utilization in Dementia instrument, and 18-month costs estimated by
applying unit costs of services and products (2010 values). Total societal costs
were calculated using an opportunity cost approach. RESULTS: Overall, 526
patients (200 mild, 180 moderate and 146 MS/S at baseline) were recruited from 24
UK centres. Mini-Mental State Examination (MMSE) scores deteriorated most
markedly in the MS/S patient group, with declines of 3.6 points in the mild
group, 3.5 points in the moderate group and 4.7 points in the MS/S group; between
group differences did not reach statistical significance. Patients with MS/S AD
dementia at baseline were more likely to be institutionalised (Kaplan-Meier
probability 28% versus 9% in patients with mild AD dementia; p < 0.001 for
difference across all severities) and had a greater probability of death (Kaplan
Meier probability 15% versus 5%; p = 0.013) at 18 months. Greater disease
severity at baseline was also associated with concomitant increases in caregiver
time and mean total societal costs. Total societal costs of L43,560 over 18
months were estimated for the MS/S group, versus L25,865 for the mild group and
L30,905 for the moderate group (p < 0.001). Of these costs, over 50% were related
to informal caregiver costs at each AD dementia severity level. CONCLUSIONS: This
study demonstrated a mean deterioration in MMSE score over 18 months in patients
with AD. It also showed that AD is a costly disease, with costs increasing with
disease severity, even when managed in the community: informal caregiver costs
represented the main contributor to societal costs.
PMID- 27887646
TI - It's complicated: why do tuberculosis patients not initiate or stay adherent to
treatment? A qualitative study from South Africa.
AB - BACKGROUND: Individuals who test positive for active tuberculosis (TB) but do not
initiate treatment present a challenge to TB programmes because they contribute
to ongoing transmission within communities. To better understand why individuals
do not initiate treatment, or are adherent after initiating treatment, South
African respondents were approached to obtain insights as to which factors
enabled and inhibited the treatment process. METHODS: This qualitative work was
nested in a larger study investigating initial loss to follow-up (LTFU) amongst
new smear positive TB patients across five provinces of South Africa. In-depth
interviews were done with 41 adherent and initial LTFU respondents. RESULTS: Key
issues contributing to initial LTFU appeared to be a poor knowledge, or low
awareness of TB treatment; stigma around TB including its connection to HIV;
immediate problems in the respondents' lives particularly poverty, lack of access
to transport and the need to continue working; and problems in the healthcare
facilities including under resourced facilities, poor functioning health systems
and negative staff attitudes. In contrast the reasons given for being adherent
related to the level of illness, support received at home and healthcare
facilities, a belief in the health system and positive experiences in the health
service including positive attitudes from staff. CONCLUSIONS: Key changes need to
be made to the healthcare system to enable patients to initiate treatment and
remain adherent, but the six month regimen of daily observed treatment presents
real practical and personal challenges to patients. Alternative strategies to
DOTS at facility level should be investigated to bring services closer to
communities to encourage patients to access care, initiate and adhere to
treatment.
PMID- 27887647
TI - The hide and seek of Plasmodium vivax in West Africa: report from a large-scale
study in Beninese asymptomatic subjects.
AB - BACKGROUND: Plasmodium vivax is considered to be absent from western Africa,
where the prevalence of Duffy-negative red blood cell phenotype proves to be
high. Several studies have, however, detected P. vivax infection cases in this
part of Africa, raising the question of what is the actual prevalence of P. vivax
in local populations. METHODS: The presence of P. vivax was investigated in a
large population of healthy blood donors in Benin using microscopy, serology and
molecular detection. The seroprevalence was measured with species-specific ELISA
using two recombinant P. vivax proteins, namely rPvMSP1 and rPvCSP1. Specific
molecular diagnosis of P. vivax infection was carried out using nested-PCR. The
performances and cut-off values of both rPvCSP1 and rPvMSP1 ELISA were first
assessed using sera from P. vivax-infected patients and from non-exposed
subjects. RESULTS: Among 1234 Beninese blood donors, no parasites were detected
when using microscopy, whereas 28.7% (354/1234) of patients exhibited had
antibodies against rPvMSP1, 21.6% (266/1234) against rPvCSP1, and 15.2%
(187/1234) against both. Eighty-four samples were selected for nested-PCR
analyses, of which 13 were positive for P. vivax nested-PCR and all Duffy
negative. CONCLUSION: The results of the present study highlight an unexpectedly
high exposure of Beninese subjects to P. vivax, resulting in sub-microscopic
infections. This suggests a probably underestimated and insidious parasite
presence in western Africa. While the vaccination campaigns and therapeutic
efforts are all focused on Plasmodium falciparum, it is also essential to
consider the epidemiological impact of P. vivax.
PMID- 27887648
TI - The potential hazard of drug-eluting stent-induced coronary vasospasm causing
subacute stent thrombosis: a case report.
AB - BACKGROUND: Drug-eluting stent (DES) -induced coronary vasospasm is a well known
phenomenon after stent implantation; however, the extent of this risk is still
unknown. We report a case in which DES-induced severe coronary vasospasm was
clinically suspected as a cause of subacute stent thrombosis (ST). CASE
PRESENTATION: A 67-year-old man came to our hospital due to chest pain with mild
exercise. He was diagnosed with effort angina by coronary angiography and
underwent DES implantation in the mid-left ascending artery (LAD) after the
administration of dual anti-platelet therapy. The procedure was uneventful, but
his symptoms changed from effort angina to rest angina after stenting. Five days
after the procedure, subacute ST occurred, requiring aspiration thrombectomy and
balloon angioplasty. Thereafter, he continued to report early morning chest
discomfort. We performed a spasm provocation test to evaluate the coronary
vasomotor response; it revealed severe stent-edge spasm in the left main trunk to
the LAD, except for the stented lesion, and total occlusion of the left
circumflex artery. CONCLUSIONS: To our knowledge, the present case is the first
report describing in-stent thrombosis secondary to stent-edge spasm. This case
describes the potential hazard of DES-induced coronary vasospasm. Although there
are several overlapping risk factors for ST development, we consider that stent
edge spasm also plays an important role in ST development. Therefore, we should
monitor new-onset rest angina after stent implantation and carefully assess DES
induced coronary vasospasm.
PMID- 27887649
TI - Postpartum depression in the Occupied Palestinian Territory: a longitudinal study
in Bethlehem.
AB - BACKGROUND: Postpartum depression (PPD) affects women from different cultures
around the world. No previous studies have investigated PPD among women in
Palestine. Fertility rates in Palestine are among the highest in the world, hence
even low rates of PPD could have considerable national impact. The aim of this
study was to determine the prevalence of, and risk factors for, PPD among
Palestinian mothers. METHODS: 101 mothers were recruited during the registration
of their child's birth (within 1 week) at the Bethlehem branch of the Ministry of
Interior. Participants were assessed via a face to face interview, and were
followed up 1 week, 2 weeks, 6 weeks, 3 months, and 6 months later by telephone
interview. Interviews included the Arabic Edinburgh Postnatal Depression Scale
(EPDS), with PPD indicated by depressive symptoms (EPDS score >=11) at >=2 follow
up time points. Pearson's correlation was calculated between repeated EPDS
scores, and multivariable logistic regression was used to investigate risk
factors for PPD. RESULTS: The prevalence of depressive symptoms was fairly
constant (14-19%) over the follow-up period. Most depressive symptoms developed
within 1 month of delivery; mothers with depressive symptoms at 3 months
postpartum were highly likely to still have symptoms at 6 months. 27.7% (28/101)
of women met our criteria for PPD. High parity (odds ratio (OR) 4.52 (95% CI
0.90, 22.8) parity 3+ versus primiparous), unplanned pregnancy (OR 2.44 (0.99,
6.01)) and sex of child not being the one desired (OR 5.07 (1.12, 22.9)) were
associated with PPD, but these associations were attenuated in multivariable
analysis. CONCLUSIONS: The prevalence of PPD in Palestine appears to be higher
than in high income countries, but similar to the prevalence in other Middle
Eastern countries. High parity and unplanned pregnancy were identified as risk
factors for PPD, suggesting that fully meeting the need for family planning could
reduce the incidence of PPD in the Palestinian population.
PMID- 27887650
TI - The anti-inflammatory effect of Andrographis paniculata (Burm. f.) Nees on pelvic
inflammatory disease in rats through down-regulation of the NF-kappaB pathway.
AB - BACKGROUND: Andrographis paniculata (Burm. f.) Nees (APN), a principal
constituent of a famous traditional Chinese medicine Fukeqianjin tablet which is
used for the treatment of pelvic inflammatory disease (PID), has been reported to
have anti-inflammatory effect in vitro. However, whether it has pharmacological
effect on PID in vivo is unclear. Therefore, the aim of this study is to test the
anti-inflammatory effect of APN and illuminate a potential mechanism. METHODS:
Thirty-six female specific pathogen-free SD rats were randomly divided into
control group, PID group, APN1 group, APN2 group, APN3 group and prednisone
group. Pathogen-induced PID rats were constructed. The APN1, APN2 and APN3 group
rats were orally administrated with APN extract at different levels. The
prednisone group rats were administrated with prednisone. Eight days after the
first infection, the histological examination of upper genital tract was carried
out, and enzyme-linked immunosorbent assay (ELISA) was carried out using
homogenate of the uterus and fallopian tube. Furthermore, immunohistochemical
evaluations of NF-kappaB p65 and IkappaB-alpha in uterus was conducted. RESULTS:
APN obviously suppressed the infiltrations of neutrophils and lymphocytes, and it
could significantly reduce the excessive production of cytokines and chemokines
including IL-1beta, IL-6, CXCL-1, MCP-1 and RANTES in a dose-dependent manner.
Furthermore, APN could block the pathogen-induced activation of NF-kappaB
pathway. CONCLUSION: APN showed potent anti-inflammatory effect on pathogen
induced PID in rats, with a potential mechanism of inhibiting the NF-kappaB
signal pathway.
PMID- 27887651
TI - Studies on the therapeutic effect of propolis along with standard antibacterial
drug in Salmonella enterica serovar Typhimurium infected BALB/c mice.
AB - BACKGROUND: Antibiotic resistance is an emerging public health problem. Centers
for Disease Control and Prevention (CDC) has described antibiotic resistance as
one of the world's most pressing health problems in 21st century. WHO rated
antibiotic resistance as "one of the three greatest threats to human health". One
important strategy employed to overcome this resistance is the use of combination
of drugs. Many plants, natural extracts have been shown to exhibit synergistic
response with standard drugs against microorganisms. The present study focused on
the antibacterial potential of propolis in combination with the standard
antibiotic Cefixime against the typhoid causing bacteria i.e. Salmonella.
METHODS: Ethanolic extract of propolis was taken for the present work. For the
experiment BALB/c mice were taken as animal model and divided into ten groups.
Along with normal and infected control groups, four different combinations of
cefixime and propolis were used. Biochemical, hematological and histopathological
indices were studied by following the standard protocols. RESULTS: In BALB/c
mice, Salmonella causes severe biochemical, hematological and histopathological
alterations by 5th day of infection. Ethanolic extract of propolis at a dose of
300 mg/kg body weight of mice when used alone to treat Salmonella infection in
mice gave significant results by 30th day of treatment. Similarly, when cefixime
(4 mg/kg body weight of mice) was used to treat infection in mice, significant
results as compared to infected control were observed after 5th day. But when
propolis and cefixime were used together in different concentrations in
combination therapy, evident results were observed after 5 days of treatment. The
levels of various liver and kidney function enzymes, blood indices and the
histopathology of liver, spleen and kidney were restored to near normal after 5
days of treatment and at much lower doses as compared to the effective dose when
used alone. CONCLUSION: The study confirmed that significant results were
observed in three combinations of cefixime and propolis as compared to infected
controls. Propolis acted synergistically with cefixime and enhanced the efficacy
of antibiotic and reduced its effective dose in combined therapy.
PMID- 27887652
TI - Limitations of malaria reactive case detection in an area of low and unstable
transmission on the Myanmar-Thailand border.
AB - BACKGROUND: Reactive case detection is an approach that has been proposed as a
tool for malaria elimination in low-transmission settings. It is an intuitively
justified approach based on the concept of space-time clustering of malaria
cases. When an index malaria clinical case is detected, it triggers reactive
screening and treatment in the index house and neighbouring houses. However, the
efficacy of this approach at varying screening radii and malaria prevalence
remains ill defined. METHODS: Data were obtained from a detailed demographic and
geographic surveillance study in four villages on the Myanmar-Thailand border.
Clinical cases were recorded at village malaria clinics and were linked back to
patients' residencies. These data were used to simulate the efficacy of reactive
case detection for clinical cases using rapid diagnostic tests (RDT). Simulations
took clinical cases in a given month and tabulated the number of cases that would
have been detected in the following month at varying screening radii around the
index houses. Simulations were run independently for both falciparum and vivax
malaria. Each simulation of a reactive case detection effort was run in
comparison with a strategy using random selection of houses for screening.
RESULTS: In approximately half of the screenings for falciparum and 10% for vivax
it would have been impossible to detect any malaria cases regardless of the
screening strategy because the screening would have occurred during times when
there were no cases. When geographically linked cases were present in the
simulation, reactive case detection would have only been successful at detecting
most malaria cases using larger screening radii (150-m radius and above). At this
screening radius and above, reactive case detection does not perform better than
random screening of an equal number of houses in the village. Screening within
very small radii detects only a very small proportion of cases, but despite this
low performance is better than random screening with the same sample size.
CONCLUSIONS: The results of these simulations indicate that reactive case
detection for clinical cases using RDTs has limited ability in halting
transmission in regions of low and unstable transmission. This is linked to high
spatial heterogeneity of cases, acquisition of malaria infections outside the
village, as well missing asymptomatic infections. When cases are few and
sporadic, reactive case detection would result in major time and budgetary
losses.
PMID- 27887653
TI - Intravascular versus surface cooling for targeted temperature management after
out-of-hospital cardiac arrest - an analysis of the TTM trial data.
AB - BACKGROUND: Targeted temperature management is recommended after out-of-hospital
cardiac arrest and may be achieved using a variety of cooling devices. This study
was conducted to explore the performance and outcomes for intravascular versus
surface devices for targeted temperature management after out-of-hospital cardiac
arrest. METHOD: A retrospective analysis of data from the Targeted Temperature
Management trial. N = 934. A total of 240 patients (26%) managed with
intravascular versus 694 (74%) with surface devices. Devices were assessed for
speed and precision during the induction, maintenance and rewarming phases in
addition to adverse events. All-cause mortality, as well as a composite of poor
neurological function or death, as evaluated by the Cerebral Performance Category
and modified Rankin scale were analysed. RESULTS: For patients managed at 33
degrees C there was no difference between intravascular and surface groups in the
median time taken to achieve target temperature (210 [interquartile range (IQR)
180] minutes vs. 240 [IQR 180] minutes, p = 0.58), maximum rate of cooling (1.0
[0.7] vs. 1.0 [0.9] degrees C/hr, p = 0.44), the number of patients who reached
target temperature (within 4 hours (65% vs. 60%, p = 0.30); or ever (100% vs.
97%, p = 0.47), or episodes of overcooling (8% vs. 34%, p = 0.15). In the
maintenance phase, cumulative temperature deviation (median 3.2 [IQR 5.0]
degrees C hr vs. 9.3 [IQR 8.0] degrees C hr, p = <0.001), number of patients
ever out of range (57.0% vs. 91.5%, p = 0.006) and median time out of range (1
[IQR 4.0] hours vs. 8.0 [IQR 9.0] hours, p = <0.001) were all significantly
greater in the surface group although there was no difference in the occurrence
of pyrexia. Adverse events were not different between intravascular and surface
groups. There was no statistically significant difference in mortality
(intravascular 46.3% vs. surface 50.0%; p = 0.32), Cerebral Performance Category
scale 3-5 (49.0% vs. 54.3%; p = 0.18) or modified Rankin scale 4-6 (49.0% vs.
53.0%; p = 0.48). CONCLUSIONS: Intravascular and surface cooling was equally
effective during induction of mild hypothermia. However, surface cooling was
associated with less precision during the maintenance phase. There was no
difference in adverse events, mortality or poor neurological outcomes between
patients treated with intravascular and surface cooling devices. TRIAL
REGISTRATION: TTM trial ClinicalTrials.gov number
https://clinicaltrials.gov/ct2/show/NCT01020916 NCT01020916; 25 November 2009.
PMID- 27887654
TI - Proportion of children meeting recommendations for 24-hour movement guidelines
and associations with adiposity in a 12-country study.
AB - BACKGROUND: The Canadian 24-h movement guidelines were developed with the hope of
improving health and future health outcomes in children and youth. The purpose of
this study was to evaluate adherence to the 3 recommendations most strongly
associated with health outcomes in new 24-h movement guidelines and their
relationship with adiposity (obesity and body mass index z-score) across
countries participating in the International Study of Childhood Obesity,
Lifestyle and the Environment (ISCOLE). METHODS: Cross-sectional results were
based on 6128 children aged 9-11 years from the 12 countries of ISCOLE. Sleep
duration and moderate-to-vigorous physical activity (MVPA) were assessed using
accelerometry. Screen time was measured through self-report. Body weight and
height were measured. Body mass index (BMI, kg . m-2) was calculated, and BMI z
scores were computed using age- and sex-specific reference data from the World
Health Organization. Obesity was defined as a BMI z-score > +2 SD. Meeting the
overall 24-h movement guidelines was defined as: 9 to 11 h/night of sleep, <=2
h/day of screen time, and at least 60 min/day of MVPA. Age, sex, highest parental
education and unhealthy diet pattern score were included as covariates in
statistical models. Associations between meeting vs. not meeting each single
recommendation (and combinations) with obesity were assessed with odds ratios
calculated using generalized linear mixed models. A linear mixed model was used
to examine the differences in BMI z-scores between children meeting vs. not
meeting the different combinations of recommendations. RESULTS: The global
prevalence of children meeting the overall recommendations (all three behaviors)
was 7%, with children from Australia and Canada showing the highest adherence
(15%). Children meeting the three recommendations had lower odds ratios for
obesity compared to those meeting none of the recommendations (OR = 0.28, 95% CI
0.18-0.45). Compared to not meeting the 24-h movement recommendations either
independently or combined, meeting them was significantly associated with a lower
BMI z-score. Whenever the MVPA recommendation was included in the analysis the
odds ratios for obesity were lower. CONCLUSIONS: For ISCOLE participants meeting
these 3 healthy movement recommendations the odds ratios of being obese or having
high BMI z-scores were lower. However, only a small percentage of children met
all recommendations. Future efforts should aim to find promising ways to increase
daily physical activity, reduce screen time, and ensure an adequate night's sleep
in children. TRIAL REGISTRATION: The International Study of Childhood Obesity,
Lifestyle and the Environment (ISCOLE) was registered at ClinicalTrials.gov
(Identifier NCT01722500) (October 29, 2012).
PMID- 27887655
TI - Fracture risk and healthcare resource utilization and costs among osteoporosis
patients with type 2 diabetes mellitus and without diabetes mellitus in Japan:
retrospective analysis of a hospital claims database.
AB - BACKGROUND: Osteoporosis, osteoporosis-related fractures, and diabetes are
considerable health burdens in Japan. Diabetes in patients with osteoporosis has
been reported to be associated with increased fracture risk. This retrospective
analysis of a Japanese hospital claims database investigated the real-world
effect of type 2 diabetes mellitus (T2DM) on the incidence of clinical fractures,
costs, and healthcare resource utilization in patients with osteoporosis and a
subgroup of patients prescribed raloxifene. METHODS: Women aged >=50 years
diagnosed with osteoporosis who had a first prescription claim for osteoporosis
treatment with a pre-index period >=12 months and a post-index period of 30
months were selected from a database extract (April 2008-July 2013). Patients
prescribed raloxifene were classed as a subgroup. Patients diagnosed with T2DM
constituted the T2DM group; all other patients (excluding patients with type 1
diabetes mellitus) constituted the non-diabetes mellitus (non-DM) group. Groups
were matched by exact matching, using selected baseline characteristics. Patient
demographic and clinical characteristics were compared using chi-squared tests, t
tests, or Wilcoxon rank sum tests. Time to first fracture was examined using
Kaplan-Meier survival analysis. RESULTS: Overall, the T2DM and non-DM groups had
7580 and 7979 patients, respectively; following matching, there were 3273
patients per group. In the raloxifene subgroup, the T2DM and non-DM groups had
668 and 699 patients, respectively; following matching, there were 239 patients
per group. At baseline, the T2DM group (overall and raloxifene subgroup) had
significantly higher healthcare resource utilization and comorbidities. During
the post-index period, a similar pattern was observed in the overall group, even
after matching; the T2DM group also had a higher incidence of fracture. In the
raloxifene subgroup, after matching, there were no significant differences in
fracture incidence or costs and fewer differences in healthcare resource
utilization between the T2DM and non-DM groups. CONCLUSIONS: These findings
suggest that comorbid T2DM increases fracture incidence in patients with
osteoporosis, compared with patients without DM. Increases in fracture incidence
were accompanied by greater costs and healthcare resource utilization, which are
important considerations for clinical practice in Japan. Further research
investigating the use of raloxifene for treatment of osteoporosis with comorbid
T2DM may also be warranted.
PMID- 27887656
TI - Looking beyond the cancer cell for effective drug combinations.
AB - Combinations of therapies are being actively pursued to expand therapeutic
options and deal with cancer's pervasive resistance to treatment. Research
efforts to discover effective combination treatments have focused on drugs
targeting intracellular processes of the cancer cells and in particular on small
molecules that target aberrant kinases. Accordingly, most of the computational
methods used to study, predict, and develop drug combinations concentrate on
these modes of action and signaling processes within the cancer cell. This focus
on the cancer cell overlooks significant opportunities to tackle other components
of tumor biology that may offer greater potential for improving patient survival.
Many alternative strategies have been developed to combat cancer; for example,
targeting different cancer cellular processes such as epigenetic control;
modulating stromal cells that interact with the tumor; strengthening physical
barriers that confine tumor growth; boosting the immune system to attack tumor
cells; and even regulating the microbiome to support antitumor responses. We
suggest that to fully exploit these treatment modalities using effective drug
combinations it is necessary to develop multiscale computational approaches that
take into account the full complexity underlying the biology of a tumor, its
microenvironment, and a patient's response to the drugs. In this Opinion article,
we discuss preliminary work in this area and the needs-in terms of both
computational and data requirements-that will truly empower such combinations.
PMID- 27887657
TI - Complexity galore: 3D cultures, biomechanics and systems medicine at the eighth
ENBDC workshop "Methods in Mammary Gland Development and Cancer".
AB - The ENBDC workshop "Methods in Mammary Gland Development and Cancer" is an
established international forum to showcase the latest technical advances in the
field. The eighth meeting focused on emerging concepts and technologies for
studying normal and neoplastic breast development.
PMID- 27887658
TI - Parameter set for computer-assisted texture analysis of fetal brain.
AB - BACKGROUND: Magnetic resonance data were collected from a diverse population of
gravid women to objectively compare the quality of 1.5-tesla (1.5 T) versus 3-T
magnetic resonance imaging of the developing human brain. MaZda and B11
computational-visual cognition tools were used to process 2D images. We proposed
a wavelet-based parameter and two novel histogram-based parameters for Fisher
texture analysis in three-dimensional space. RESULTS: Wavenhl, focus index, and
dispersion index revealed better quality for 3 T. Though both 1.5 and 3 T images
were 16-bit DICOM encoded, nearly 16 and 12 usable bits were measured in 3 and
1.5 T images, respectively. The four-bit padding observed in 1.5 T K-space
encoding mimics noise by adding illusionistic details, which are not really part
of the image. In contrast, zero-bit padding in 3 T provides space for storing
more details and increases the likelihood of noise but as well as edges, which in
turn are very crucial for differentiation of closely related anatomical
structures. CONCLUSIONS: Both encoding modes are possible with both units, but
higher 3 T resolution is the main difference. It contributes to higher perceived
and available dynamic range. Apart from surprisingly larger Fisher coefficient,
no significant difference was observed when testing was conducted with down
converted 8-bit BMP images.
PMID- 27887659
TI - Fab glycosylation of immunoglobulin G does not associate with improvement of
rheumatoid arthritis during pregnancy.
AB - BACKGROUND: Changes in immunoglobulin G (IgG) constant domain (Fc) glycosylation
are associated with changes in rheumatoid arthritis (RA) disease activity in
response to pregnancy. Here, we sought to determine whether the same holds true
for variable domain (Fab) glycosylation. METHODS: IgGs were captured from RA and
control sera obtained before (RA only), during and after pregnancy, followed by
Fc and Fab separation, glycan release, and mass spectrometric detection. In
parallel, glycans from intact IgG were analysed. The data was used to calculate
glycosylation traits, and to estimate the level of Fab glycosylation. RESULTS:
The overall level of Fab glycosylation was increased in RA patients compared to
controls, while no differences in Fab glycosylation patterns were found. For the
Fc and intact IgG (Total) previously observed differences in galactosylation and
bisection were confirmed. Furthermore, increased galactosylation of Fc and Total
were associated with lower disease activity and autoantibody positivity. In
addition, the change in Fc galactosylation associated with the change in disease
activity during pregnancy and after delivery, while this was not the case for
Fab. CONCLUSIONS: In contrast to changes in Fc glycosylation, changes in Fab
glycosylation are not associated with improvement of RA during pregnancy and
arthritis flare after delivery.
PMID- 27887661
TI - Biochemical and proteomic analyses of the physiological response induced by
individual housing in gilts provide new potential stress markers.
AB - BACKGROUND: The objective assessment of animal stress and welfare requires proper
laboratory biomarkers. In this work, we have analyzed the changes in serum
composition in gilts after switching their housing, from pen to individual
stalls, which is generally accepted to cause animal discomfort. RESULTS: Blood
and saliva samples were collected a day before and up to four days after changing
the housing system. Biochemical analyses showed adaptive changes in lipid and
protein metabolism after the housing switch, whereas cortisol and muscular
markers showed a large variability between animals. 2D-DIGE and iTRAQ proteomic
approaches revealed variations in serum protein composition after changing
housing and diet of gilts. Both techniques showed alterations in two main
homeostatic mechanisms: the innate immune and redox systems. The acute phase
proteins haptoglobin, apolipoprotein A-I and alpha1-antichymotrypsin 3, and the
antioxidant enzyme peroxiredoxin 2 were found differentially expressed by 2D
DIGE. Other proteins related to the innate immune system, including
lactotransferrin, protegrin 3 and galectin 1 were also identified by iTRAQ, as
well as oxidative stress enzymes such as peroxiredoxin 2 and glutathione
peroxidase 3. Proteomics also revealed the decrease of apolipoproteins, and the
presence of intracellular proteins in serum, which may indicate physical injury
to tissues. CONCLUSIONS: Housing of gilts in individual stalls and diet change
increase lipid and protein catabolism, oxidative stress, activate the innate
immune system and cause a certain degree of tissue damage. We propose that
valuable assays for stress assessment in gilts may be based on a score composed
by a combination of salivary cortisol, lipid metabolites, innate immunity and
oxidative stress markers and intracellular proteins.
PMID- 27887660
TI - Co-infusion of haplo-identical CD19-chimeric antigen receptor T cells and stem
cells achieved full donor engraftment in refractory acute lymphoblastic leukemia.
AB - BACKGROUND: Elderly patients with relapsed and refractory acute lymphoblastic
leukemia (ALL) have poor prognosis. Autologous CD19 chimeric antigen receptor
modified T (CAR-T) cells have potentials to cure patients with B cell ALL;
however, safety and efficacy of allogeneic CD19 CAR-T cells are still
undetermined. CASE PRESENTATION: We treated a 71-year-old female with relapsed
and refractory ALL who received co-infusion of haplo-identical donor-derived CD19
directed CAR-T cells and mobilized peripheral blood stem cells (PBSC) following
induction chemotherapy. Undetectable minimal residual disease by flow cytometry
was achieved, and full donor cell engraftment was established. The transient
release of cytokines and mild fever were detected. Significantly elevated serum
lactate dehydrogenase, alanine transaminase, bilirubin and glutamic-oxalacetic
transaminase were observed from days 14 to 18, all of which were reversible after
immunosuppressive therapy. CONCLUSIONS: Our preliminary results suggest that co
infusion of haplo-identical donor-derived CAR-T cells and mobilized PBSCs may
induce full donor engraftment in relapsed and refractory ALL including elderly
patients, but complications related to donor cell infusions should still be
cautioned. TRIAL REGISTRATION: Allogeneic CART-19 for Elderly Relapsed/Refractory
CD19+ ALL. NCT02799550.
PMID- 27887662
TI - The effects of water lubrication of tracheal tubes on post-intubation airway
complications: study protocol for a randomized controlled trial.
AB - BACKGROUND: Water is known to have lubricating properties, thus it is used for
lubrication of tracheal tubes to reduce airway injuries caused by intubation.
However, there is no definite evidence to substantiate the beneficial effects of
lubricating tracheal tubes using water for attenuating airway injuries. Moreover,
the lubrication pretreatment may cause contamination of the tube, leading to
respiratory infections. Therefore, this trial aims to assess whether no
pretreatment of tracheal tubes does not increase post-intubation airway
complications as compared with water lubrication of tubes. METHODS/DESIGN: This
is a prospective, double-blind, single-center, parallel-arm, noninferiority,
randomized controlled trial to be conducted in participants aged 20-80 years who
are undergoing elective surgery under general anesthesia with orotracheal
intubation. Participants are randomly assigned into one of two groups depending
on whether intubation is performed using a tracheal tube lubricated with water (n
= 150) or without any pretreatment (n = 150). The primary outcome is the
incidence of sore throat at 0, 2, 4, and 24 h after surgery, which is analyzed
with a noninferiority test. The secondary outcomes are the incidence and severity
of postoperative hoarseness, oropharyngeal injuries, and respiratory infections.
DISCUSSION: Because we hypothesized that lubricating tracheal tubes using water
has no advantage in reducing airway injuries associated with intubation, we will
compare the incidence of sore throat, which is the most common complaint after
intubation, in a noninferiority manner. This is the first randomized controlled
trial to investigate the possibly beneficial or harmful effects of lubricating
tracheal tubes using water before intubation. We expect that this trial will
provide useful evidence to formulate a protocol for preparing tracheal tubes
before intubation. TRIAL REGISTRATION: This trial is registered at
ClinicalTrials.gov on 1 July 2015 ( NCT02492646 ).
PMID- 27887663
TI - Effects of royal jelly supplementation on regulatory T cells in children with
SLE.
AB - BACKGROUND AND OBJECTIVE: To our knowledge, no previous studies have focused on
the immunomodulatory effects of fresh royal jelly (RJ) administration on systemic
lupus erythematosus (SLE) in humans. Our aim was to study the effect of fresh RJ
administration on the disease course in children with SLE with some immunological
markers (CD4+ and CD8+ regulatory T cells and T lymphocytes apoptosis). METHODS:
This was an open-label study in which 20 SLE children received 2 g of freshly
prepared RJ daily, for 12 weeks. RESULTS: The percentages of CD4+ CD25+high
FOXP3+cells (CD4+ regulatory T cells) and CD8+CD25+high FOXP3+cells (CD8+
regulatory T cells) were significantly increased after RJ treatment when compared
with baseline values. Apoptotic CD4 T lymphocytes were significantly decreased
after RJ therapy when compared with baseline values and the control group.
CONCLUSION: This is the first human study on the effect of RJ supplementation in
children with SLE. Our results showed improvements with 3-month RJ treatment with
regard to the clinical severity score and laboratory markers for the disease. At
this stage, it is a single study with a small number of patients, and a great
deal of additional wide-scale randomized controlled studies are needed to
critically validate the efficacy of RJ in SLE.
PMID- 27887664
TI - Translating sickle cell guidelines into practice for primary care providers with
Project ECHO.
AB - BACKGROUND: Approximately 100,000 persons with sickle cell disease (SCD) live in
the United States, including 15,000 in the Midwest. Unfortunately, many patients
experience poor health outcomes due to limited access to primary care providers
(PCPs) who are prepared to deliver evidence-based SCD care. Sickle Treatment and
Outcomes Research in the Midwest (STORM) is a regional network established to
improve care and outcomes for individuals with SCD living in Indiana, Illinois,
Michigan, Minnesota, Ohio, and Wisconsin. METHODS: STORM investigators
hypothesized that Project ECHO(r) methodology could be replicated to create a low
cost, high-impact intervention to train PCPs in evidence-based care for pediatric
and young adult patients with SCD in the Midwest, called STORM TeleECHO. This
approach utilizes video technology for monthly telementoring clinics consisting
of didactic and case-based presentations focused on the National Heart, Lung and
Blood Institute (NHLBI) evidence-based guidelines for SCD. RESULTS: Network leads
in each of the STORM states assisted with developing the curriculum and are
recruiting providers for monthly clinics. To assess STORM TeleECHO feasibility
and acceptability, monthly attendance and satisfaction data are collected.
Changes in self-reported knowledge, comfort, and practice patterns will be
compared with pre-participation, and 6 and 12 months after participation.
CONCLUSIONS: STORM TeleECHO has the potential to increase implementation of the
NHLBI evidence-based guidelines, especially increased use of hydroxyurea,
resulting in improvements in the quality of care and outcomes for children and
young adults with SCD. This model could be replicated in other pediatric chronic
illness conditions to improve PCP knowledge and confidence in delivering evidence
based care.
PMID- 27887665
TI - In the eye of the beholder: to make global health estimates useful, make them
more socially robust.
AB - A plethora of new development goals and funding institutions have greatly
increased the demand for internationally comparable health estimates in recent
years and have brought important new players into the field of health estimate
production. These changes have rekindled debates about the validity and
legitimacy of global health estimates. This paper draws on country case studies
and personal experience to support our opinion that the production and use of
estimates are deeply embedded in specific social, economic, political, and
ideational contexts, which vary at different levels of the global health
architecture. Broadly, most global health estimates tend to be made far from the
localities where the data upon which they are based are collected and where the
results of estimation processes must ultimately be used if they are to make a
difference to the health of individuals. Internationally standardised indicators
are necessary, but they are no substitute for data that meet local needs and that
fit with local ideas of what is credible and useful - in other words, data that
are both technically and socially robust for those who make key decisions about
health. We suggest that greater engagement of local actors (and local data) in
the formulation, communication, and interpretation of health estimates would
increase the likelihood that these data will be used by those most able to
translate them into health gains for the longer term. Besides strengthening
national information systems, this requires ongoing interaction, building trust,
and establishing a communicative infrastructure. Local capacities to use
knowledge to improve health must be supported.
PMID- 27887666
TI - Contributions of national and global health estimates to monitoring health
related sustainable development goals.
AB - The millennium development goals triggered an increased demand for data on child
and maternal mortalities for monitoring progress. With the advent of the
sustainable development goals and growing evidence of an epidemiological
transition toward non-communicable diseases, policymakers need data on mortality
and disease trends and distribution to inform effective policies and support
monitoring progress. Where there are limited capacities to produce national
health estimates (NHEs), global health estimates (GHEs) can fill gaps for global
monitoring and comparisons. This paper discusses lessons learned from Thailand's
burden of disease (BOD) study on capacity development on NHEs and discusses the
contributions and limitations of GHEs in informing policies at the country level.
Through training and technical support by external partners, capacities are
gradually strengthened and institutionalized to enable regular updates of BOD at
national and subnational levels. Initially, the quality of cause-of-death
reporting in death certificates was inadequate, especially for deaths occurring
in the community. Verbal autopsies were conducted, using domestic resources, to
determine probable causes of deaths occurring in the community. This method
helped to improve the estimation of years of life lost. Since the achievement of
universal health coverage in 2002, the quality of clinical data on morbidities
has also considerably improved. There are significant discrepancies between the
Global Burden of Disease 2010 study estimates for Thailand and the 1999
nationally generated BOD, especially for years of life lost due to HIV/AIDS, and
the ranking of priority diseases. National ownership of NHEs and an effective
interface between researchers and decision-makers contribute to enhanced country
policy responses, whereas subnational data are intended to be used by various
subnational partners. Although GHEs contribute to benchmarking country
achievement compared with global health commitments, they may hamper development
of NHE capacities. GHEs should encourage and support countries to improve their
data systems and develop a data infrastructure that supports the production of
empirical data needed to underpin estimation efforts.
PMID- 27887667
TI - From instinct to evidence: the role of data in country decision-making in Chile.
AB - BACKGROUND: The Chilean health system has undergone profound reforms since 1990,
while going through many political upheavals, and faced demographic, health, and
economic transformations. The full information requirements to develop an
evidence-informed process implied the best possible use of available data, as
well as efforts for improving the information systems. OBJECTIVE: To examine,
from a historical perspective, the use of data during the health reforms
undertaken in Chile since 1990, and to identify the factors that have determined
its utilization and improvement. DESIGN: A qualitative methodological approach
was followed to review the case study of the Chilean experience with data on
decision-making. We use as the primary source our first-hand experience as
officials of the Ministry of Health (MOH) and the Ministry of Finance during the
reform period considered. Second, a literature review was conducted, using
documents from official sources, historical accounts, books, policy reports, and
articles about the reform process, looking for the use of data. FINDINGS: The
Chilean health care reform process was intensive in utilization and production of
information. In this context, the MOH conducted several studies, from the burden
of disease, efficacy of interventions, cost-effectiveness, out-of-pocket
payments, and fiscal impact to social preferences, among others. Policy and
prioritization frameworks developed by international agencies influenced the use
of data and the studies' agenda. CONCLUSIONS: Health systems in Latin America
have struggled to adapt to changing health needs caused by demographic transition
and economic growth. Health reforms in Chile provide lessons of this sustained
effort, based on data and scientific grounds, with lights and shadows. Tradition,
receptiveness to foreign ideas, and benchmarking with international data
determined this approach, facilitated by the political influence of physicians
and other technocrats. Besides, internationally comparable statistics are shown
to play a significant role in policy debate.
PMID- 27887668
TI - Meddling with middle modalities: a decomposition approach to mental health
inequalities between intersectional gender and economic middle groups in northern
Sweden.
AB - BACKGROUND: Intersectionality has received increased interest within population
health research in recent years, as a concept and framework to understand
entangled dimensions of health inequalities, such as gender and socioeconomic
inequalities in health. However, little attention has been paid to the
intersectional middle groups, referring to those occupying positions of mixed
advantage and disadvantage. OBJECTIVE: This article aimed to 1) examine mental
health inequalities between intersectional groups reflecting structural positions
of gender and economic affluence and 2) decompose any observed health
inequalities, among middle groups, into contributions from experiences and
conditions representing processes of privilege and oppression. DESIGN:
Participants (N=25,585) came from the cross-sectional 'Health on Equal Terms'
survey covering 16- to 84-year-olds in the four northernmost counties of Sweden.
Six intersectional positions were constructed from gender (woman vs. men) and
tertiles (low vs. medium vs. high) of disposable income. Mental health was
measured through the General Health Questionnaire-12. Explanatory variables
covered areas of material conditions, job relations, violence, domestic burden,
and healthcare contacts. Analysis of variance (Aim 1) and Blinder-Oaxaca
decomposition analysis (Aim 2) were used. RESULTS: Significant mental health
inequalities were found between dominant (high-income women and middle-income
men) and subordinate (middle-income women and low-income men) middle groups. The
health inequalities between adjacent middle groups were mostly explained by
violence (mid-income women vs. men comparison); material conditions (mid- vs. low
income men comparison); and material needs, job relations, and unmet medical
needs (high- vs. mid-income women comparison). CONCLUSIONS: The study suggests
complex processes whereby dominant middle groups in the intersectional space of
economic affluence and gender can leverage strategic resources to gain mental
health advantage relative to subordinate middle groups.
PMID- 27887669
TI - Couple interdependence impacts HIV-related health behaviours among pregnant
couples in southwestern Kenya: a qualitative analysis.
AB - INTRODUCTION: HIV infection is frequently transmitted within stable couple
partnerships. In order to prevent HIV acquisition in HIV-negative couples, as
well as improve coping in couples with an HIV-positive diagnosis, it has been
suggested that interventions be aimed at strengthening couple relationships, in
addition to addressing individual behaviours. However, little is known about
factors that influence relationships to impact joint decision-making related to
HIV. METHODS: We conducted qualitative in-depth interviews with 40 pregnant women
and 40 male partners in southwestern Kenya, an area of high HIV prevalence.
Drawing from the interdependence model of communal coping and health behaviour
change, we employed thematic analysis methods to analyze interview transcripts in
Dedoose software with the aim of identifying key relationship factors that could
contribute to the development of a couples-based intervention to improve health
outcomes for pregnant women and their male partners. RESULTS: In accordance with
the interdependence model, we found that couples with greater relationship
centred motivations described jointly engaging in more health-enhancing
behaviours, such as couples HIV testing, disclosure of HIV status, and
cooperation to improve medication and clinic appointment adherence. These couples
often had predisposing factors such as stronger communication skills and shared
children, and were less likely to face potential challenges such as polygamous
marriages, wife inheritance, living separately, or financial difficulties. For
HIV-negative couples, joint decision-making helped them face the health threat of
acquiring HIV together. For couples with an HIV-positive diagnosis, communal
coping helped reduce risk of interspousal transmission and improve long-term
health prospects. Conversely, participants felt that self-centred motivations led
to more concurrent sexual partnerships, reduced relationship satisfaction, and
mistrust. Couples who lacked interdependence were more likely to mention
experiencing violence or relationship dissolution, or having difficulty coping
with HIV-related stigma. CONCLUSIONS: We found that interdependence theory may
provide key insights into health-related attitudes and behaviours adopted by
pregnant couples. Interventions that invest in strengthening relationships, such
as couple counselling during pregnancy, may improve adoption of beneficial HIV
related health behaviours. Future research should explore adaptation of existing
evidence-based couple counselling interventions to local contexts, in order to
address modifiable relationship characteristics that can increase interdependence
and improve HIV-related health outcomes.
PMID- 27887670
TI - Early progression under mitotane and polychemotherapy does not mean failure in
adrenocortical carcinoma patient.
PMID- 27887671
TI - Choroidal metastasis from papillary thyroid cancer: An unusual feature of a
common disease.
PMID- 27887672
TI - Cost of Cutaneous Melanoma by Tumor Stage: A Descriptive Analysis.
AB - BACKGROUND AND OBJECTIVE: The basis for optimal resource allocation is an
understanding of requirements during the diagnostic and treatment phases. Costs
associated with the rising incidence of cutaneous melanoma are considerable. We
undertook an up-to-date analysis of the cost of diagnosis, treatment, and follow
up according to tumor stage. METHODS: We constructed descriptive tables following
a theoretical model of direct costs based on amounts published in directives for
the Spanish national health system and in international guidelines for managing
cutaneous melanoma according to stage at diagnosis and clinical course. The
tables allowed us to calculate the cost of treating individual patients as well
as the expected cost for all patients with tumors in the same stage. RESULTS:
Individual patients would generate costs ranging from ?1689 (for a stage I tumor)
to ?88, 268 (stage IV). The largest differences were between stages IA and IB-IIA
and between stages III and IV. Costs differed greatly between patients with early
stage tumors and favorable outcomes and those with recurring tumors, which cost
50-fold more in the first year and 20-fold more after 10 years of follow-up.
CONCLUSIONS: The high cost of diagnosing advanced-stage cutaneous melanoma calls
attention to the need to promote primary prevention and early detection. Our
findings provide the knowledge base for cost-effectiveness studies in this
disease.
PMID- 27887673
TI - A reddish plaque in the forehead.
PMID- 27887674
TI - Nodule on the External Ear.
PMID- 27887675
TI - Clinical Efficacy and Safety of Ixekizumab for Treatment of Psoriasis.
AB - Psoriasis is a common, chronic, inflammatory skin disorder with a physical and
emotional burden. Emerging evidence suggests that IL17-A is a key cytokine in the
immunopathogenesis of psoriasis. Ixekizumab is a humanized IgG4 monoclonal
antibody that acts by neutralizing IL-17A. Data from Phase I-III studies reveal
that ixekizumab is highly effective in treating patients with moderate-to-severe
plaque psoriasis. A large proportion of patients receiving ixekizumab achieved or
maintained complete or near complete resolution of psoriatic lesions with an
acceptable safety profile through week 60. These remarkable results introduce a
paradigm shift in the medically management of psoriasis, where complete or almost
completely clear skin becomes the new therapeutic goal.
PMID- 27887676
TI - Trauma patients: I can't get no (patient) satisfaction?
AB - BACKGROUND: The Centers for Medicare and Medicaid Services (CMS) provides
financial incentives to hospitals based on the Hospital Consumer Assessment of
Healthcare Providers and Systems (HCAHPS) patient satisfaction survey. This data
is made publicly available on their website to be utilized by patients and
insurers. Hospitals are profoundly interested in identifying patient populations
that negatively contribute to overall patient satisfaction scores. Hospitals
consider trauma patients "high risk" from a HCAHPS perspective, but there is no
data to inform this opinion. The purpose of this study is to evaluate trauma
patient satisfaction scores and their impact on overall patient satisfaction.
METHODS: Three different analyses were performed. Group 1 was composed of ALL
patients admitted to our hospital over a 7-month period who were administered a
validated patient satisfaction survey by a 3rd party and compared patient
satisfaction of trauma vs. non-trauma patients (ALL). Group 2 compared admitted
patients with a specific ICD-9 procedure code to non-trauma patients who
underwent a procedure with the same ICD-9 code (ICD). Group 3 examines patient
satisfaction between three Level I Trauma Centers within our geographic area
(TC). Patient satisfaction data of trauma vs non-trauma patients (ALL), those
with a specific ICD-9 procedure code (ICD), and the 3 Level I Trauma Centers in
our area (TC) were analyzed with the appropriate statistical test. RESULTS: In
the ALL group, no difference in satisfaction was noted in 18/21 questions for
trauma patients when compared to non-trauma patients at our hospital. In the ICD
group, 57 ICD-9 procedure codes were analyzed. Of these, only patients who
required spinal fusion secondary to trauma reported lower overall patient
satisfaction. No meaningful difference was found in HCAHPS associated
satisfaction between the Level I Trauma Centers in our area (TC). CONCLUSION: In
contrast to commonly held opinion, trauma patients do not negatively contribute
to overall patient satisfaction in our facility. Certain injuries may offer
opportunities for improvement and efforts around improved physician-patient
communication may be warranted. In the era of public reporting and financial
penalties, surgeons should embrace patient satisfaction as it may be vital to the
survival of the trauma center.
PMID- 27887677
TI - Outcome disparities between African Americans and Caucasians in contemporary
kidney transplant recipients.
AB - BACKGROUND: Racial disparities in African-American (AA) kidney transplant have
persisted for nearly 40 years, with limited data available on the scope of this
issue in the contemporary era of transplantation. METHODS: Descriptive
retrospective cohort study of US registry data including adult solitary kidney
transplants between Jan 1, 2005 to Dec 31, 2009. RESULTS: 60,695 recipients were
included; 41,426 Caucasians (68%) and 19,269 AAs (32%). At baseline, AAs were
younger, had lower college graduation rates, were more likely to be receiving
public health insurance and have diabetes. At one-year post-transplant, AAs had
62% higher risk of graft loss (RR 1.62, 95% CI 1.50-1.75) which increased to 93%
at five years (RR 1.93, 95% CI 1.85-2.01). Adjusted risk of graft loss,
accounting for baseline characteristics, was 60% higher in AAs (HR 1.61 [1.52
1.69]). AAs had significantly higher risk of acute rejection and delayed graft
function. CONCLUSION: AAs continue to experience disproportionately high rates of
graft loss within the contemporary era of transplant, which are related to a
convergence of an array of socioeconomic and biologic risk factors.
PMID- 27887678
TI - [Cushing's disease in a psychiatric setting].
PMID- 27887679
TI - [Mindfulness-based interventions in obsessive-compulsive disorder: Mechanisms of
action and presentation of a pilot study].
AB - Obsessive-compulsive disorder (OCD) is a frequent and severe disease, potentially
inducing a major impairment for the patient and burden for their family. Recent
research in psychiatry and neuroscience have led to better comprehension of the
disease's mechanisms and helped to improve its treatment. However, a large
proportion of patients have refractory symptoms, including for traditional
cognitive and behavioral therapy by exposure and response prevention (ERP),
leading clinicians to look for new treatments. Mindfulness-based interventions
(MBI) are a new type of approach, initially based on Buddhist meditation, which
aims to provide better consciousness of the present moment. It has been
successfully developed in some psychiatric diseases and other general medical
conditions such as chronic pain. The two main programs using mindfulness
meditation, Mindfulness-based stress reduction (MBSR) and Mindfulness-based
cognitive therapy (MBCT), have shown effectiveness for the reduction of
depressive and anxiety symptoms and relapses of depressive episodes in unipolar
depression. It has no side effects and is well tolerated by patients. Its action
relies on the specific correction of cognitive deficits in attention, emotion
regulation and executive functions which are shared by OCD, GAD and depression.
For OCD, we make the hypothesis that Mindfulness-Based Interventions could reduce
the cognitive bias specifically existing in this pathology, such as dysfunctional
beliefs, and therefore improve the symptoms. This article first reviews the
existing literature on clinical trials involving Mindfulness-Based Interventions
in OCD which comprises a small number of clinical studies based on very different
types of protocols. At this time, and due to the lack of gold-standard studies
with a large number of patients, no proof of the efficiency of mindfulness-based
interventions in OCD has been shown. In a second section, following our
hypothesis on the mechanisms of specific and non-specific action of this therapy
in OCD, we propose a cognitive model of mindfulness-based therapy action in OCD
involving the correction of OCD's cognitive bias. In this model, mindfulness
based therapy is supposed to treat specifically the cognitive aspects of the
disease, while ERP is focused on its behavioral part. Then we present a clinical
study aiming to prove the feasibility and the interest of the use of mindfulness
in OCD, carried out in two different clinical centers. One of them used MBCT
while the second used MBSR. Its results show the feasibility of mindfulness-based
therapy in OCD patients and tend to prove that it could be more effective in
young patients suffering from less severe forms of OCD. In parallel, attention
tests and fMRI scans were done at the beginning and at the end of the therapy.
Their results will be published separately. We also discuss the putative role of
a specific form of MBCT adapted for OCD, specifically for its benefits in
psychoeducation, which could reduce the dysfunctional beliefs present in OCD
patients. Finally, we propose a therapeutic strategy in which the MBCT could
complement the classical ERP therapy, as a "maintenance" treatment, aiming to
extend the relapse of OCD symptoms. This article is a step further in the use of
mindfulness-based therapy for OCD which could be added to the existing treatments
reducing the patient's symptoms and improving their quality of life.
PMID- 27887680
TI - Emergency Video Telemedicine Consultation for Newborn Resuscitations: The Mayo
Clinic Experience.
AB - OBJECTIVE: To describe the Mayo Clinic experience with emergency video
telemedicine consultations for high-risk newborn deliveries. PATIENTS AND
METHODS: From March 26, 2013, through December 31, 2015, the Division of Neonatal
Medicine offered newborn telemedicine consultations to 6 health system sites. A
wireless tablet running secure video conferencing software was used by the local
care teams. Descriptive data were collected on all consultations. After each
telemedicine consult, a survey was sent to the neonatologist and referring
provider to assess the technology, teamwork, and user satisfaction. RESULTS:
During the study, neonatologists conducted 84 telemedicine consultations, and 64
surveys were completed. Prematurity was the most frequent indication for
consultation (n=32), followed by respiratory distress (n=15) and need for
advanced resuscitation (n=14). After the consult, nearly one-third of the infants
were able to remain in the local hospital. User assessment of the technology
revealed that audio and video quality were poor or unusable in 16 (25%) and 12
(18.8%) of cases, respectively. Providers failed to establish a video connection
in 8 consults (9.5%). Despite technical issues, providers responded positively to
multiple questions assessing teamwork (86.0% [n=37 of 43] to 100.0% [n=17 of 17]
positive responses per question). In 93.3% (n=14 of 15) of surveyed cases, the
local provider agreed that the telemedicine consult improved patient safety,
quality of care, or both. CONCLUSION: Telemedicine consultation for neonatal
resuscitation improves patient access to neonatology expertise and prevents
unnecessary transfers to a higher level of care. A highly reliable technology
infrastructure that provides high-quality audio and video should be considered
for any emergency telemedicine service.
PMID- 27887681
TI - Bone Accrual in Males with Autism Spectrum Disorder.
AB - OBJECTIVE: To test the hypothesis that bone accrual over a 4-year period is
reduced in boys with autism spectrum disorder (ASD) compared with typically
developing controls. STUDY DESIGN: Twenty-five boys with ASD and 24 controls were
assessed for bone outcomes. Fourteen boys with ASD and 11 controls were assessed
both at baseline and after 4 years. The mean subject age was 11.0 +/- 1.6 years
at study initiation and 14.9 +/- 1.6 years at follow-up. Bone mineral density
(BMD) was measured at the spine, hip, and whole body using dual-energy X-ray
absorptiometry and normalized for age, race, and sex (BMD z-scores). Height
adjustments were performed as well. We assessed medical history, physical
activity using questionnaires, vitamin D and calcium intake using food records,
and serum calcium, phosphorus, 25(OH)-vitamin D, and pubertal hormone levels.
RESULTS: Boys with ASD had lower spine, hip, and whole body BMD z-scores compared
with controls. In those subjects assessed both at baseline and after 4 years,
bone accrual rates did not differ between the 2 groups; however, spine and hip
BMD z-scores remained lower in the boys with ASD than in controls at follow-up.
Notably, the ASD group was less physically active at both time points.
CONCLUSION: Although pubertal bone accrual was similar to that in controls, BMD
in children with ASD remained low over a 4-year follow-up period, suggesting that
low BMD is a consequence of prepubertal factors, such as low physical activity.
Studies are needed to investigate the causes and consequences of decreased BMD,
to assess BMD in females and adults with ASD, and to evaluate therapeutic
interventions.
PMID- 27887682
TI - Tracheal cartilage growth by intratracheal injection of basic fibroblast growth
factor.
AB - BACKGROUND/PURPOSE: We have previously shown that intratracheal injection of
slowly released (in gelatin) basic fibroblast growth factor (bFGF) significantly
enlarged the tracheal lumen by a slight margin. This study aimed to investigate
differences in tracheal cartilage growth by the intratracheal injection of bFGF
doses in a rabbit model. METHODS: Water (group 1; n=7; control) or 100MUg (group
2; n=8) or 200MUg (group 3; n=8) of bFGF dissolved in water was injected into the
posterior wall of the cervical trachea of New Zealand white rabbits using a
tracheoscope. All animals were sacrificed four weeks later. RESULTS: The mean
circumferences of cervical tracheas for groups 1, 2, and 3 were 18.8+/-0.83,
21.1+/-2.0, and 22.1+/-1.3mm, respectively. A significant difference was found
between groups 1 and 2 (P=0.034) and groups 1 and 3 (P=0.004). The mean luminal
areas of cervical tracheas for groups 1, 2, and 3 were 27.0+/-2.1, 32.2+/-4.8,
and 36.3+/-4.6mm2, respectively. A significant difference was found between
groups 1 and 3 (P=0.001). CONCLUSION: Intratracheal injection of bFGF in the dose
range used significantly promoted the growth of tracheal cartilage in a rabbit
model. LEVELS OF EVIDENCE: Level II at treatment study (animal experiment).
PMID- 27887683
TI - Sacral nerve stimulation allows for decreased antegrade continence enema use in
children with severe constipation.
AB - BACKGROUND: Sacral nerve stimulation (SNS) can be beneficial for children with
constipation, but no studies have focused on children with constipation severe
enough to require antegrade continence enemas (ACEs). Our objective was to
evaluate the efficacy of SNS in children with constipation treated with ACE.
METHODS: Using a prospective patient registry, we identified patients <21years
old who were receiving ACE prior to SNS placement. We compared ACE/laxative
usage, PedsQL Gastrointestinal Symptom Scale (GSS), Fecal Incontinence Quality of
Life Scale (FIQL), Fecal Incontinence Severity Index (FISI), and Vancouver
Dysfunctional Elimination Syndrome Score (DES) at baseline and progressive follow
up time intervals. RESULTS: Twenty-two patients (55% male, median 12years) were
included. Median ACE frequency decreased from 7 per week at baseline to 1 per
week at 12months (p<0.0001). Ten children (45%) had their
cecostomy/appendicostomy closed. Laxative use, GSS, FIQL, and DES did not change.
FISI improved over the first 12months with statistical significance reached only
at 6months (p=0.02). Six (27%) children experienced complications after SNS that
required further surgery. CONCLUSIONS: In children with severe constipation
dependent on ACE, SNS led to a steady decrease in ACE usage with nearly half of
patients receiving cecostomy/appendicostomy closure within 2years. LEVEL OF
EVIDENCE: IV.
PMID- 27887684
TI - The relationship of red blood cell transfusion to intestinal mucosal injury in
premature infants.
AB - OBJECTIVE: To determine the incidence of intestinal mucosal injury before and
after transfusions in premature infants. STUDY DESIGN: Urine was collected
throughout the hospital stay of 62 premature infants and specimens obtained
within 24h before and after transfusion were assayed for intestinal fatty acid
binding protein (iFABP). A urinary iFABP:creatinine ratio (iFABPu:Cru) of
2.0pg/nmol was considered elevated. RESULT: Forty-nine infants were transfused.
iFABPu:Cru was elevated following 71 (75.6%) of 94 transfusions for which urine
was available. In 51 (71.8%) of these, iFABPu:Cru was also elevated prior to the
transfusion. Among four cases of transfusion-associated NEC, iFABPu was elevated
following every sentinel transfusion and prior to three of them. CONCLUSION:
Subclinical intestinal mucosal injury is frequent following blood transfusions in
premature infants and, when present, usually precedes transfusion. This suggests
that transfusion may not be a primary mediator of intestinal injury so much as
anemia and its associated conditions. LEVEL OF EVIDENCE: Prognosis study/level 3.
PMID- 27887685
TI - Reply to letter to the editor.
PMID- 27887686
TI - Erratum for "Utility of Isoproterenol to Provoke Outflow Tract Gradients in
Patients with Hypertrophic Cardiomyopathy" Am J Cardiol 2008;101:516-520.
PMID- 27887687
TI - Comparison of Lower Extremity Endovascular Intervention Outcomes in Women Versus
Men.
AB - This study examined a gender difference in 12-month patient outcomes after
endovascular intervention for symptomatic peripheral artery disease (PAD)
utilizing propensity score-matched Excellence in Peripheral Artery Disease
registry data. Mortality and repeat intervention outcomes after endovascular
treatment in women compared with men in the existing literature are inconsistent
and confounded by other risk factors. The study included 1,084 patients who
underwent 1,702 endovascular procedures, at 8 non-Veterans Administration
hospitals from January 2005 to October 2015. Frailty hazard ratios by gender for
time-to-event analysis were estimated. Women made up about 40% of the study
population. After propensity score matching, 449 women and 449 men were included
in the analysis, in which women had similar baseline patient and lesion
characteristics, laboratory data, procedural characteristics, and medical therapy
as men. Within 12-month postintervention, women were at a lower risk of mortality
than men (p = 0.05); however, they underwent significantly more frequent repeat
revascularization procedures (p = 0.04). In subgroup analysis, women with
superficial femoral artery disease were also at higher risk of 12-month repeat
intervention than men (p <0.01) and had a higher mortality risk, albeit with an
attenuated significance (p = 0.07). Women with PAD are at a higher risk of 12
month repeat intervention than men.
PMID- 27887688
TI - Long-Term Outcomes After Stent Implantation for Left Main Coronary Artery (from
the Multicenter Assessing Optimal Percutaneous Coronary Intervention for Left
Main Coronary Artery Stenting Registry).
AB - We assessed long-term outcomes after left main coronary artery (LMCA) stenting
based on lesion types and stenting strategies. In the Assessing Optimal
percutaneous coronary Intervention for Left Main Coronary Artery stenting
registry, we evaluated 1,607 consecutive patients undergoing stent implantation
for unprotected LMCA lesions (bifurcation lesions: n = 1318 and nonbifurcation
lesions: n = 289). Among the bifurcation lesions, 1,281 lesions were treated with
stenting across the bifurcation (bifurcation 1-stent strategy: n = 999 or
bifurcation 2-stent strategy: n = 282). Among the nonbifurcation lesions, 219
lesions were treated with nonbifurcation stenting. The median follow-up duration
was 4.6 (95% CI 4.5 to 4.8) years. The 5-year risk of bifurcation lesions
relative to nonbifurcation lesions was neutral for target lesion
revascularization (TLR) (adjusted hazard ratio [HR] 0.82, 95% CI 0.55 to 1.23, p
= 0.34) and all-cause death (adjusted HR 1.22, 95% CI 0.87 to 1.71, p = 0.26).
The risk of the bifurcation 1-stent strategy relative to nonbifurcation stenting
in nonbifurcation lesions was also neutral for TLR (adjusted HR 1.19, 95% CI 0.74
to 1.90, p = 0.47) and all-cause death (adjusted HR 0.81, 95% CI 0.56 to 1.18, p
= 0.27). However, the bifurcation 2-stent strategy was associated with worse
clinical outcomes than the bifurcation 1-stent strategy in TLR (adjusted HR 1.76,
95% CI 1.23 to 2.52, p = 0.002) and definite or probable stent thrombosis (crude
HR 3.50, 95% CI 1.32 to 9.33, p = 0.01), despite neutral risk for all-cause death
(adjusted HR 1.00, 95% CI 0.74 to 1.36, p = 0.99). There was no definite or
probable very late stent thrombosis up to 5 years. In conclusion, long-term
outcomes after stent implantation for unprotected LMCA lesions were not dependent
on the bifurcation lesion types but related to the bifurcation stenting
strategies with worse outcomes for the bifurcation 2-stent strategy.
PMID- 27887689
TI - Usefulness of Post-coronary Dilation to Prevent Recurrent Myocardial Infarction
in Patients Treated With Percutaneous Coronary Intervention for Acute Coronary
Syndrome (from the BASE ACS Trial).
AB - Stent underexpansion is associated with worse outcome after stent implantation.
Whether post-dilation (PD) improves outcome in patients with acute coronary
syndrome (ACS) remains unclear. We performed post hoc analysis of outcome in
patients from the BASE ACS (A prospective randomized comparison of titanium
nitride-oxide-coated bioactive stents with everolimus-eluting stents in acute
coronary syndrome) trial who underwent PD versus those who did not. The BASE ACS
trial randomized 827 patients (1:1) with ACS to receive either titanium-nitride
oxide-coated bioactive stents or everolimus-eluting stents. The primary end point
was major adverse cardiac events (MACE): a composite of cardiac death, nonfatal
myocardial infarction (MI), or ischemia-driven target lesion revascularization.
Follow-up was planned at 12 months and yearly thereafter for up to 7 years. Of
827 patients enrolled in the BASE ACS trial, 357 (43.2%) underwent PD. Median
follow-up duration was 5 years. Patients who underwent PD had less frequent
nonfatal MI events at long-term follow-up, compared with those who did not (4.5%
vs 8.5%, respectively, p = 0.02). The rates of MACE (15.7% vs 15.1%,
respectively, p = 0.81), and the other endpoints, were not significantly
different (p >0.5 for all). The results were consistent in propensity score
matched analysis (270 pairs). In patients treated with bioactive stents, those
who underwent PD had a trend for a fewer nonfatal MI events (p = 0.076).
Comparably, in patients treated with everolimus-eluting stents, MACE and all the
individual end points were comparable (p >0.5 for all). In conclusion, patients
treated with early percutaneous coronary intervention for ACS who underwent PD
had less frequent nonfatal MI events at long-term follow-up, compared with those
who did not; MACE rates were not significantly different.
PMID- 27887690
TI - Relation of Mitochondrial DNA Copy Number in Peripheral Blood to Postoperative
Atrial Fibrillation After Isolated Off-Pump Coronary Artery Bypass Grafting.
AB - Oxidative stress has been considered to be an important factor contributing to
postoperative atrial fibrillation (PoAF). Mitochondrial DNA (mtDNA) copy number
in peripheral blood has been found to be associated with a patient's oxidative
stress. Therefore, we sought to determine whether there was association between
mtDNA copy number and the onset of atrial fibrillation. mtDNA copy numbers were
measured using the quantitative real-time polymerase chain reaction in peripheral
blood from 485 consecutive patients with sinus rhythm undergoing coronary artery
bypass grafting. The blood was collected before surgery. In the cohort, the
incidence of PoAF was 20.8% (101/485). The mean mtDNA copy number was
significantly higher in patents with PoAF than in those with sinus rhythm (36.43
vs 16.63, p <0.001). The receiver operating characteristic analysis proved that
the mtDNA copy number could predict PoAF with good sensitivity and specificity
(area under the curve = 0.814, cutoff = 20.91, sensitivity = 70.3%, specificity =
80.2%, p <0.001). On multivariate logistic and Cox regression analysis, mtDNA
copy number was shown to be a significant independent risk factor for PoAF (odds
ratio = 10.01, p <0.001 and hazard ratio = 7.011, p = 0.004). There was a strong
positive correlation between mtDNA copy number and malondialdehyde in patients
with PoAF (r = 0.449, p = 0.01). In conclusion, we showed that elevated mtDNA
copy number in peripheral blood is associated with PoAF. Further investigation is
needed to validate mtDNA copy number as a predictive biomarker for PoAF and to
explore its potential role in arrhythmogenesis.
PMID- 27887691
TI - Cost Effectiveness of Achieving Targets of Low-Density Lipoprotein Particle
Number Versus Low-Density Lipoprotein Cholesterol Level.
AB - A recent analysis of a commercially insured US population found fewer
cardiovascular disease (CVD) events in high-risk patients attaining low levels of
low-density lipoprotein (LDL), as measured by LDL particle number (LDL-P) versus
low LDL cholesterol (LDL-C). Here, we investigated the cost effectiveness of LDL
lowering therapy guided by LDL-P. Patients were selected from the HealthCore
Integrated Research Database and followed for 12 to 36 months. Patients who
achieved LDL-P <1,000 nmol/l were placed into the LDL-P cohort, whereas those
without LDL-P tests, but who achieved LDL-C <100 mg/dl, were placed into the LDL
C cohort. CVD-related costs included all health plan paid amounts related to CVD
events or lipid management. Cost effectiveness was assessed through incremental
cost-effectiveness ratios, defined as difference in total costs across the
cohorts divided by difference in CVD events, measured over follow-up. Each cohort
included 2,094, 1,242, and 705 patients over 12-, 24-, and 36-month follow-up.
Patients in the LDL-P cohort received more aggressive lipid-lowering therapy and
had fewer CVD events during follow-up compared to patients in the LDL-C cohort.
This led to greater pharmacy costs and lower medical costs over time. Incremental
cost-effectiveness ratio estimates ranged from $23,131 per CVD event avoided at
12 months to $3,439 and -$4,555 at 24- and 36-month follow-up, suggesting a high
likelihood that achieving LDL-P <1,000 nmol/l is cost effective. In conclusion,
LDL-lowering therapy guided by LDL-P was demonstrated to be cost effective, with
greater clinical and economic benefit seen over longer time horizons and with the
increased use of generic statins.
PMID- 27887692
TI - Mechanisms of Effort Intolerance in Patients With Heart Failure and Borderline
Ejection Fraction.
AB - Combining echocardiography and cardiopulmonary stress testing allows noninvasive
assessment of hemodynamics, and oxygen extraction (A-VO2 difference). We
evaluated mechanisms of effort intolerance in patients with heart failure with
borderline (40% to 49%) left ventricular ejection fraction (EF) (HF and
Borderline Ejection fraction). We included 89 consecutive patients with HF and
Borderline Ejection fraction (n = 25; 63.6 +/- 14 years, 64% men), control
subjects (n = 22), patients with HF with preserved EF (n = 26; EF >=50%), and
patients with HF with reduced EF (n = 16; <40%). Various echo parameters (left
ventricular volumes, EF, stroke volume, mitral regurgitation [MR] volume, e',
right ventricle end-diastolic area, and right ventricle end-systolic area), and
ventilatory or combined parameters (peak oxygen consumption [VO2] and A-VO2
difference) were measured at 4 predefined activity stages. Effort-induced
functional MR was frequent and more prevalent in HF and Borderline Ejection
fraction than in all the other types of HF. In multivariable analysis heart rate
response (p <0.0001), A-VO2 difference (p = 0.02), stroke volume (p = 0.002), and
right ventricle end-systolic area were the only independent predictors of
exercise capacity in HF and Borderline Ejection fraction but peak EF was not. In
HF and Borderline Ejection fraction exercise intolerance is predominantly due to
chronotropic incompetence, peripheral factors, and limited stroke volume reserve,
which are related to right ventricle dysfunction and functional MR but not to
left ventricular ejection fraction. Combined testing can be helpful in
determining mechanisms of exercise intolerance in HF and Borderline Ejection
fraction.
PMID- 27887693
TI - Reprint of "Survey and first molecular characterization of Echinococcus
granulosus sensu stricto (G1) in Pampas fox (Lycalopex gymnocercus) in Buenos
Aires province, Argentina".
AB - Echinococcosis is a zoonosis caused by tapeworms of the genus Echinococcus.
Echinococcus granulosus sensu lato (s. l.) has a world-wide distribution and its
transmission is primarily maintained in a synanthropic cycle with dogs as
definitive hosts and livestock species as intermediate hosts. However, many wild
canids also function as definitive hosts for E. granulosus s. l. Echinococcosis
in humans is mainly caused by E. granulosus sensu stricto (s. s.) G1 genotype. In
the present work, we expanded the epidemiological study on echinococcosis
reported cases in Pampas fox (Lycalopex gymnocercus) to provide a prevalence
estimate for rural areas of southern Buenos Aires province, Argentina. Ninety
five whole intestines were analyzed using the sedimentation and counting
technique with a result of 83 foxes (87.37%) harboring at least one helminth
species. E. granulosus s. l. adults were found in one Pampas fox (1.05%). These
adult helminthes were E. granulosus s. s. (G1) according to the genotyping
analysis of a 450-bp region of the mitochondrial cytochrome c oxidase subunit 1
(cox1) gene.
PMID- 27887694
TI - Reprint of "Assessing the impact of a joint human-porcine intervention package
for Taenia solium control: Results of a pilot study from northern Lao PDR".
AB - Following confirmation that a remote village of approximately 300 inhabitants in
northern Lao PDR was hyperendemic for the Neglected Tropical Disease Taenia
solium, a pilot human-porcine therapeutic control intervention was implemented
between October 2013 and November 2014. Mass drug administration with a three day
albendazole 400mg protocol was offered to all eligible humans in October 2013 and
March 2014. At these times, and again in October 2014, eligible village pigs
received the anti-cysticercosis TSOL18 vaccination and an oral dose of
oxfendazole anthelmintic at 30mg/kg, both repeated one month later. Community and
individual human taeniasis prevalences were estimated via copro-antigen ELISA of
volunteered human faecal samples prior to October 2013, and again in January
2015, in order to examine the short term impact of the intervention.
PMID- 27887695
TI - Reprint of "Echinococcus granulosus sensu stricto (s.s.) from the critically
endangered antelope Addax nasomaculatus in Tunisia".
AB - Echinococcus granulosus sensu lato (s.l.) is a zoonotic disease highly endemic in
Tunisia. Canids including stray and semi-stray dogs, jackals and foxes are known
as definitive hosts and a wide range of ungulates have been shown to harbour the
metacestode hydatid stage and may serve as intermediate hosts. Fertile hydatid
cysts of Echinococcus equinus and E. granulosus sensu stricto (s.s.) were
recently molecularly identified for the first time from Tunisian donkeys. E.
granulosus (s.s.) was also identified from wild boars in Tunisia. Here we report
the confirmation of hydatid cysts caused by E. granulosus (s.s.) in the
critically endangered antelope, Addax nasomaculatus in Tunisia. DNA-based
molecular analysis revealed that A. nasomaculatus was infected with E. granulosus
(s.s.) which had a 100% identity with the main globally distributed E. granulosus
(s.s.) (EgTu01) haplotype. Cysts of Taenia hydatigena (n=33) were also observed
on the liver and in the body cavity. Due to their endangered status and their
relatively small numbers, it is unlikely that hydatid infection of A.
nasomaculatus will form a major contribution to the epidemiology and transmission
of E. granulosus in Tunisia, but infection may result in pathology, morbidity and
early mortality, and may still play a role in the perpetuation of the parasite in
wildlife cycles.
PMID- 27887696
TI - Reprint of "Neurocysticercosis-related mortality in Brazil, 2000-2011:
Epidemiology of a neglected neurologic cause of death".
AB - Neurocysticercosis (NCC) is an important cause of severe neurological disease
mainly in low- and middle-income countries, but data on NCC mortality from
endemic areas are scarce. Here we analysed the epidemiological patterns of NCC
related mortality in Brazil. We included all deaths recorded in Brazil between
2000 and 2011, in which NCC was mentioned on death certificates, either as
underlying or as associated cause of death. NCC was identified in 1829/12,491,280
deaths (0.015%), 1130 (61.8%) as underlying cause, and 699 (38.2%) as associated
cause. Overall age-adjusted mortality rate for the period was 0.97
deaths/1,000,000 inhabitants (95% confidence interval [CI]: 0.83-1.12). The
highest NCC-related mortality rates were found in males, elderly, white
race/colour and residents in endemic states/regions. Age-adjusted mortality rates
at national level decreased significantly over time (annual percent change [APC]:
-4.7; 95% CI: -6.0 to -3.3), with a decrease in the Southeast, South and Central
West regions, and a non-significant increasing trend in the North and Northeast
regions. We identified spatial and spatiotemporal high-risk mortality clusters
located mainly in NCC-endemic areas. Conditions related to the nervous system
were the most commonly associated causes of death when NCC was mentioned as an
underlying cause, and HIV/AIDS was the main underlying cause when NCC was an
associated cause. NCC is a neglected and preventable cause of severe neurologic
disease and death with high public health impact in Brazil. There is a clear need
to strengthen nationwide epidemiological surveillance and control for the
taeniasis/cysticercosis complex.
PMID- 27887697
TI - Hypercrosslinked cholesterol-based polystyrene monolithic capillary columns.
AB - Cholesteryl methacrylate has been used to obtain hypercrosslinked
poly(cholesteryl methacrylate-co-4-methylstyrene-co-vinylbenzyl chloride-co
divinylbenzene) monolithic stationary phase for capillary liquid chromatography.
Different contents of cholesteryl methacrylate and toluene in polymerization
mixture have been tested to obtain chromatographic performance. The best column
has been prepared using 7% of cholesteryl methacrylate and 1% of toluene in
polymerization mixture. Such a monolithic material exhibited a surface area of
452m2/g (BET). The column efficiency of about 63 000 plates/m (H=15MUm) was
achieved for benzene. The polystyrene column with cholesteryl methacrylate
providing an additional functional group gave the highest efficiency compared to
known poly(4-methylstyrene-co-vinylbenzyl chloride-co-divinylbenzene) and
poly(cholesteryl methacrylate-co-trimethylolpropane trimethacrylate) monolithic
columns. All of the above capillary columns were used for the separations of
small molecules, such as alkylbenzenes, o-terphenyl/triphenylene, parabens, and
proteins in reversed-phase liquid chromatography mode. The relative standard
deviation of day-to-day reproducibility of hypercrosslinked poly(cholesteryl
methacrylate-co-4-methylstyrene-co-vinylbenzyl chloride-co-divinylbenzene)
monolithic columns were between 12.39-15.95% for retention factors and 10-24% for
plate heights, respectively.
PMID- 27887698
TI - Simultaneous extraction of polycyclic aromatic hydrocarbons through the complete
dissolution of solid biological samples in sodium hydroxide/urea/thiourea aqueous
solution.
AB - In order to precisely and simultaneously extract polycyclic aromatic hydrocarbons
(PAHs) for measurement using a high performance liquid chromatography
fluorescence detector (HPLC-FL), a novel sample preparation method was developed.
This method is based on the complete and fast dissolution of biological samples
in a new non-alcoholic alkaline medium. A solution composed of NaOH/urea/thiourea
at an optimized ratio was used for complete dissolution of approximately 0.25g
dried fish samples within 20min. The proposed method was conducted at 10 degrees
C and under atmospheric pressure to obtain a stable and highly homogeneous
solution, without the need for microwaves or any other apparatus. This process
operates at considerably lower temperature than conventional methods and provides
an opportunity to simultaneously extract the target analytes from their matrices
by adding the extracting solvent in the initial steps of the dissolution; this
process greatly reduced the time of analysis and the loss of analytes via
vaporization. Several key parameters were identified and their effects on
precision and extraction recoveries were investigated. Linearity over a
calibration range of 1.0-100 and 2.5-100ngg-1 was achieved, with high
coefficients of determination (r2) ranging between 0.9987 and 0.9998. Based on
relative standard deviations (n=5), the intra-day and inter-day precisions of the
spiked PAHs were found to be better than 3.1% and 3.2%, respectively, at a
concentration level of 25ngg-1. The recoveries of PAH from spiked marine fish
tissues and shrimp samples were in the range of 90.6%-100.4%. The spiked samples
were also treated with the alcoholic alkaline and Soxhlet extraction methods in
order to provide a comparison.
PMID- 27887699
TI - An improved method for the analysis of 2-aminoacetophenone in wine based on
headspace solid-phase microextraction and heart-cut multidimensional gas
chromatography with selective detection by tandem mass spectrometry.
AB - Heart-cut multidimensional gas chromatography coupled to selective mass
spectrometric detection (H/C MDGC-MS-MS) was shown to be a suitable combination
for analysis of the key component for the wine off-flavor named "atypical aging
off-flavor" (german: "Untypische Alterungsnote", UTA), 2-aminoacetophenone (2
AAP). Headspace solid phase microextraction (HS-SPME) was applied as an easy to
automate sample preparation step suiting routine control situations. During
method development two critical aspects were found, of which one is the chemical
degradation of the neat substance, leading to signal reduction and a false
response behavior. A second aspect is the pH stability of the deuterated
isotopologue 1-(2-aminophenyl)-2,2,2-trideuterio-ethanone (2-AAP-d3) used for
quantification via a stable isotope dilution assay. Despite an earlier suggestion
to increase the extractable amount of 2-AAP and its isotopic standard in the
headspace, alkalization of 2-AAP-d3 containing aqueous samples were found to be
critical. In such a milieu a deuterium exchange can then cause erroneous
quantitative results. The method proposed in our study thus uses native wine pH
conditions and proved to be suitable for routine control with respect to the
detection of the "atypical aging off-flavor" in wine at concentration levels
below its sensory threshold of about 1MUgL-1. Good linearity was obtained in the
calibrated range from 0.1-8MUgL-1 (y=1,2338x+0,1029, R=0,9985) with limits of
detection or quantification being 0.01 and 0.14MUg/L, respectively.
PMID- 27887700
TI - Advances in downstream processing of biologics - Spectroscopy: An emerging
process analytical technology.
AB - Process analytical technologies (PAT) for the manufacturing of biologics have
drawn increased interest in the last decade. Besides being encouraged by the Food
and Drug Administration's (FDA's) PAT initiative, PAT promises to improve process
understanding, reduce overall production costs and help to implement continuous
manufacturing. This article focuses on spectroscopic tools for PAT in downstream
processing (DSP). Recent advances and future perspectives will be reviewed. In
order to exploit the full potential of gathered data, chemometric tools are
widely used for the evaluation of complex spectroscopic information. Thus, an
introduction into the field will be given.
PMID- 27887701
TI - More than 170 polyunsaturated tocopherol-related compounds in a vitamin E
capsule: Countercurrent chromatographic enrichment, gas chromatography/mass
spectrometry analysis and preliminary identification of the potential artefacts.
AB - Tocopherols and tocotrienols (usually summed up as vitamin E) are a class of
structurally related natural antioxidants. Commonly, only some of the eight
classic representatives (four tocopherols and four tocotrienols) are found with
varied composition in food. In this study we fractionated 230mg oil from
commercial vitamin E supplement capsules by countercurrent chromatography (CCC)
and subsequent analysis by gas chromatography with mass spectrometry (GC/MS) of
silylated CCC fractions showed that these eight isomers represented only about
70% of total tocopherol compounds. Detailed analysis enabled the detection of
161T3 isomers (alpha-, gamma- and delta-T3) along with 18 tetra- and several
penta-unsaturated isomers (tocools), two tocomonoenol isomers, and several
degradation products with shorter isoprenoid side chain (apo-tocools).
Altogether, over 170 tocool compounds, most likely artefacts which originated
from an inappropriate oil refining process were described in this study. Silver
ion high performance liquid chromatography (Ag+-HPLC) was used to separate one
fraction rich in gamma-T3 into four peaks each consisting of at least five peaks
according to GC/MS. About ten gamma-T3 isomers were also detected in rice bran
oils from one producer bought retail in Germany.
PMID- 27887702
TI - Wiring technique for lesser trochanter fixation in proximal IM nailing of
unstable intertrochanteric fractures: A modified candy-package wiring technique.
AB - INTRODUCTION: The purpose of this study was to introduce a new surgical
technique, that involves modified Candy-package wiring followed by IM nailing
fixation and to determine the clinical and radiological results obtained in
patients with unstable intertrochanteric fractures with a lesser trochanter
fragment. MATERIALS AND METHODS: This study included 22 patients who were
undergone proximal IM nailing with lesser trochanter wiring between January 2014
to June 2015. All patients were treated with minimally invasive technique of
candy-package wiring for lesser trochanter fragments. The mean age was 75.8 years
(range, 53-88) and average follow-up period was 15.1 months (range, 12-24). Each
patient was followed up for a minimum of 12 months and their clinical and
radiological results were analyzed. RESULTS: The mean period required for
fracture union was 16.6 weeks. (range, 8-25) and union was successfully completed
in all cases. The WOMAC scores at the last F/U visit (average; 45.4, range; 21
75) were not significantly different to pre-trauma status (average; 36.5, range;
19-59) (p=0.087). In comparison of ambulatory capacity prior to trauma,
ambulatory aggravation was noted in four cases (18.2%), and eighteen cases
(81.8%) was sustained walking ability of prior of trauma. Wiring breakage was
found in two cases and heterotrophic ossification in one case. There were no
functional deficeit related to the radiologic finding in these patients. With
regard to postoperative complications, were no cut-outs, breakage, or pullout of
screws. CONCLUSION: When surgically repairing unstable intertrochanteric
fractures with lesser trochanter comminution using proximal IM nailing method,
the modified Candy-packaging wiring technique increases the fixation force at the
fracture site. Thus, through this technique, both firm fixation of the lesser
trochanter and more stable bony union were obtained.
PMID- 27887703
TI - The Delphi method: A tool to support injury control and trauma care policy.
PMID- 27887704
TI - Aberrant modulation of brain activation by emotional valence during self
referential processing among patients with delusions of reference.
AB - BACKGROUND AND OBJECTIVES: Delusions of reference are thought to reflect
abnormally heightened attributions of salience to mundane events or stimuli that
lead to convictions that they are personally significant or directed at the
observer. Recent findings highlight abnormal recruitment of brain regions
associated with self-referential processes among patients with referential
delusions. Given the inherent overlap of emotion, incentive salience, and self
relevance, as well as with aberrant thought processes in psychosis, this study
investigated the implicit relations between participants' perception of the
emotional valence of stimuli on neural correlates of self-referent judgments
among schizophrenia-spectrum patients with referential delusions. METHODS: During
fMRI scanning, participants indicated whether sentences describing personal
characteristics seemed to refer specifically to them. Subsequently, participants
rated their perceived emotional valence of each statement. RESULTS: Regression
analyses revealed differential relations between groups across regions associated
with self-referential processing, including prefrontal regions, anterior
cingulate, insula, precuneus, and dorsal striatum. Within these regions, greater
activation related to sentences rated as more positive among healthy comparison
participants and more negative among patients. LIMITATIONS: The current results
warrant replication and extension with larger and longitudinal samples to assess
potential moderating relations of clinical and demographic individual
differences. CONCLUSIONS: These findings support aberrant brain activation
associated with emotional and salience brain networks in schizophrenia and
highlight the importance of considering specific emotional attributes (valence)
in discrete domains of delusional thought (self-referential communication).
PMID- 27887705
TI - Perceptions on the surgical treatment of inflammatory bowel disease in Spain.
Results of a national survey.
AB - INTRODUCTION: The incidence of inflammatory bowel disease (IBD) is increasing in
Spain but there is little information on the availability of multidisciplinary
care. This study aims to assess surgeon's opinions on the current situation of
surgery for IBD in Spain. METHODS: An electronic closed survey was sent to
members of the Spanish Association of Surgeons (AEC) from January to March 2015.
This was a 52-item anonymised questionnaire with questions about how the
treatment of IBD patients is organized in each centre, the existence of specific
units, the management strategy in IBD patients, and the opinion of colorectal,
general and trainee surgeons about the surgical treatment of IBD in their centre
and in Spain. RESULTS: One hundred and ninety-two surgeons responded. Most
participants work in tertiary hospitals (45%), most of them from different
hospitals, some from the same hospital. Only 50% of hospitals have
multidisciplinary teams for IBD. The initial approach is laparoscopic in 56% of
cases, and 80% of participants in centres with multidisciplinary teams consider
the timing of surgery to be appropriate. The annual number of IBD surgeries in
tertiary hospitals is higher than in secondary hospitals in ulcerative colitis
(57 vs. 24% 10-15 patients/year, P<.001) and Crohn's disease (68 vs. 28% 3-5
patients/month, P<.001). Most centres operate less than 10 ulcerative colitis
patients per year, even larger centres (67%) and they perform <=3 J-pouches/month
(ulcerative colitis and other indications) (P<.001). Ninety-five percent of
surgeons consider that centralization of complex cases in specialized units and
the creation of national registries should be developed. The majority of
participants (70%) believe that there is a deficit in research and educational
activities in IBD surgery in Spain. CONCLUSION: This survey suggests that most
Spanish hospitals have a low volume of IBD surgery, even large tertiary
hospitals, and many centres do not have a multidisciplinary team dedicated to IBD
patients. Most survey participants believe it is necessary to develop registries
and increase training and research in IBD surgery in Spain.
PMID- 27887706
TI - Sectorization of medical and surgical management of inflammatory bowel disease.
PMID- 27887707
TI - [Usefulness of social and educational approach in adolescents and young adults
with cancer: The Lille team's experience].
AB - Within the second "Cancer plan" 2009-2013, the French national institute of
cancer (INCa) recommended the implementation of programs dedicated to adolescents
and youngs adults (AYA) with cancer. In this context and in parallel to the
specific medical care developed for AYA, the Oscar-Lambret center created a
psycho-social-educational team including among others a social worker (SW) and a
special educational teacher (SET), offering multidisciplinary qualifications and
views. The social approach, realized as a pair by SW-SET, takes into account
every aspect of each AYA (family, academic, career/professional, personal,
cultural aspects...). We expose the first 2 years' experience of this special
program for AYA through diagnosis to remission time. For this period, 164 AYA
were seen by the social professionals, with a total of 602 consultations in the
unit. The number of these consultations depended on the needs of AYA and their
family. Nevertheless, only 10 AYA required no further intervention (6.1 %). The
study highlights that the social interventions are most frequently about
scolarity, work and disability recognition. These 2 years of experience of the SW
SET team offered a way to reflect upon our values and our culture, and on the
role of the social worker in a medical setting. Each AYA has a personal story,
which affects significantly the way to overcome the challenges that come with the
disease. Our findings underscore the need for AYA with cancer to have access to
personalized supportive care, encouraging them in pursing their personal goals
and rewarding themselves.
PMID- 27887708
TI - Pulsatile gonadotropin-releasing hormone therapy in persistent amenorrheic weight
recovered anorexia nervosa patients.
AB - OBJECTIVE: To compare hormonal and clinical responses to GnRH pulsatile treatment
in weight-recovered anorexia nervosa patients (Rec-AN) with persistent functional
hypothalamic amenorrhea (HA) vs. in patients with secondary and primary HA.
DESIGN: Retrospective, observational, ambulatory study. SETTING: University
hospital. PATIENT(S): Forty-one women: 19 Rec-AN (body mass index >18.5 kg/m2
without menses recovery), 15 secondary HA without any eating disorders patients
(SHA), and 7 primary HA patients (PHA). INTERVENTION(S): Gonadotropin-releasing
hormone pulsatile therapy. MAIN OUTCOME MEASURE(S): Baseline E2, LH, and P plasma
levels and their changes during induction cycles; ovulation, follicular
recruitment, and pregnancies. RESULTS: The Rec-AN group displayed higher basal E2
and LH plasma levels after GnRH injection compared with SHA and PHA. Higher E2
and LH levels were observed during induction cycles in Rec-AN compared with SHA
and PHA. Follicular recruitment was higher in Rec-AN. The ovulation rate was
higher in Rec-AN compared with PHA but similar to SHA. CONCLUSION(S): This study
showed increased gonadal status and higher E2 response to pulsatile GnRH therapy
in persistent amenorrheic weight-recovered AN compared with HA from other causes.
It suggests that their individual set-point of body weight allowing a fully
functional gonadal axis is not reached yet. Specific factors of gonadal inertia
in Rec-AN still remain unclear.
PMID- 27887709
TI - Impact of tamoxifen therapy on fertility in breast cancer survivors.
AB - OBJECTIVE: To determine whether tamoxifen use is associated with decreased
ovarian reserve and decreased likelihood of having a child after a breast cancer
diagnosis, using data from the Furthering Understanding of Cancer, Health, and
Survivorship in Adult (FUCHSIA) Women Study. DESIGN: Population-based cohort
study. SETTING: Not applicable. PATIENT(S): Three hundred ninety-seven female
breast cancer survivors aged 22-45 years whose cancer was diagnosed between ages
20 and 35 years and who were at least 2 years after diagnosis; 108 survivors also
participated in a clinic visit. INTERVENTION(S): None. MAIN OUTCOME MEASURE(S):
Time to first child after cancer diagnosis, clinical measures of ovarian reserve
(antimullerian hormone [AMH] and antral follicle count [AFC]) after cancer.
RESULT(S): Women who had ever used tamoxifen were substantially less likely to
have a child after the breast cancer diagnosis (hazard ratio [HR] 0.29; 95%
confidence interval [CI], 0.16, 0.54) than women who had never used tamoxifen.
After adjusting for age at diagnosis, exposure to an alkylating agent, and race,
the HR was 0.25 (95% CI, 0.14, 0.47). However, after adjusting for potential
confounders, women who had used tamoxifen had an estimated geometric mean AMH
level 2.47 times higher (95% CI, 1.08, 5.65) than women who had never taken
tamoxifen. Antral follicle count was also higher in the tamoxifen group compared
with the tamoxifen nonusers when adjusted for the same variables (risk ratio
1.21; 95% CI, 0.84, 1.73). CONCLUSION(S): Breast cancer survivors who had used
tamoxifen were less likely to have a child after breast cancer diagnosis compared
with survivors who never used tamoxifen. However, tamoxifen users did not have
decreased ovarian reserve compared with the tamoxifen nonusers.
PMID- 27887710
TI - Supplementation with progestogens in the first trimester of pregnancy to prevent
miscarriage in women with unexplained recurrent miscarriage: a systematic review
and meta-analysis of randomized, controlled trials.
AB - OBJECTIVE: To investigate whether treatment with progestogens in the first
trimester of pregnancy would decrease the incidence of miscarriage in women with
a history of unexplained recurrent miscarriage. DESIGN: Systematic review and
meta-analysis. SETTING: Not applicable. PATIENT(S): Women with a history of
unexplained recurrent miscarriage. INTERVENTION(S): Randomized, controlled trials
were identified by searching electronic databases. We included randomized,
controlled trials comparing supplementation with progestogens (i.e., intervention
group) in the first trimester of pregnancy with control (either placebo or no
treatment) in women with a history of recurrent miscarriage. All types of
progestogens, including natural P and synthetic progestins, were analyzed. MAIN
OUTCOME MEASURE(S): The primary outcome was the incidence of miscarriage. The
summary measures were reported as relative risk (RR) with 95% confidence interval
(CI). RESULT(S): Ten trials including 1,586 women with recurrent miscarriage were
analyzed. Eight studies used placebo as control and were double-blind. Regarding
the intervention, two RCTs used natural P, whereas the other eight studies used
progestins: medroxyprogesterone, cyclopentylenol ether of progesterone,
dydrogesterone, or 17-hydroxyprogesterone caproate. Pooled data from the 10
trials showed that women with a history of unexplained recurrent miscarriage who
were randomized to the progestogens group in the first trimester and before 16
weeks had a lower risk of recurrent miscarriage (RR 0.72, 95% CI 0.53-0.97) and
higher live birth rate (RR 1.07, 95% CI 1.02-1.15) compared with those who did
not. No statistically significant differences were found in the other secondary
outcomes, including preterm birth (RR 1.09, 95% CI 0.71-1.66), neonatal mortality
(RR 1.80, 95% CI 0.44-7.34), and fetal genital abnormalities (RR 1.68, 95% CI
0.22-12.62). CONCLUSION(S): Our findings provide evidence that supplementation
with progestogens may reduce the incidence of recurrent miscarriages and seem to
be safe for the fetuses. Synthetic progestogens, including weekly IM 17
hydroxyprogesterone caproate, but not natural P, were associated with a lower
risk of recurrent miscarriage. Given the limitations of the studies included in
our meta-analysis, it is difficult to recommend route and dose of progestogen
therapy. Further head-to-head trials of P types, dosing, and route of
administration are required.
PMID- 27887711
TI - Low body mass index compromises live birth rate in fresh transfer in vitro
fertilization cycles: a retrospective study in a Chinese population.
AB - OBJECTIVE: To evaluate the effects of low body mass index (BMI) on in vitro
fertilization (IVF) outcomes in fresh transfer cycles. DESIGN: Retrospective
cohort study. SETTING: University-affiliated hospital. PATIENT(S): A total of
4,798 cycles with conventional stimulation and fresh transfer in a single IVF
center during the period 2013-2014. Low BMI (<18.5 kg/m2) was defined according
to World Health Organization guidelines, and cycles within a normal weight range
(18.5-24.9 kg/m2) were used as reference. INTERVENTION(S): None. MAIN OUTCOME
MEASURE(S): Live birth rate per fresh embryo transfer. RESULT(S): Low BMI was
associated with reduced live birth rates and increased miscarriage rates compared
with normal weight, controlling for important covariates known to influence IVF
outcomes. Patient age was the most potent confounder, causing a 10.5% reduction
in the odds ratio (OR) for live birth between the groups compared. When an
interaction term (age * BMI) was introduced, the OR for live birth was reduced in
cycles of those aged >=35 years compared with cycles of those aged 28-34 years,
whereas the change in OR between cycles in those aged <28 and cycles in those
aged 28-34 years was insignificant. CONCLUSION(S): Low BMI is associated with
negative outcomes in fresh transfer cycles, especially for women of advanced age.
PMID- 27887713
TI - Magnetic resonance imaging correlation to intraoperative findings of deeply
infiltrative endometriosis.
AB - OBJECTIVE: To show characteristics of deeply infiltrative endometriosis (DIE) on
magnetic resonance imaging (MRI) and how they correlate with intraoperative
findings. DESIGN: Overview of still and dynamic MRI images of four different
patients with DIE. We then used videos from their surgeries to highlight the
appearance of endometriosis corresponding to these images (educational video).
SETTING: University hospital. PATIENT(S): Four different patients with DIE were
included in this video. These were all women of reproductive age who suffered
from debilitating deeply infiltrative endometriosis. These patients had a pelvic
MRI performed at our institution and subsequently underwent surgery with one of
our minimally invasive gynecologic surgeons. INTERVENTION(S): The MRI
endometriosis protocol includes T1-weighted fat and nonfat saturated as well as
T2-weighted sequences. Images are taken along all three planes (axial, sagittal,
and coronal) before and after contrast. What distinguishes the standard MRI from
the endometriosis-protocol MRI is the thickness of the slices taken. For the
evaluation of endometriosis, T1 nonfat saturated images are taken in 6-mm slices
with no skip sections in between. Then, T1 fat saturated images and T2-weighted
images are taken in 5-mm slices with a 1-mm skip section in between slices. The
areas that are suspicious for lesions consistent with DIE are corroborated on
videos taken during surgery. MAIN OUTCOME MEASURE(S): Value of accurate mapping
of lesions with the use of preoperative MRI in surgical planning and complete
resection of diseased tissue. RESULT(S): Results from a previously published
prospective study by Bazot et al. reported sensitivity, specificity, positive
predictive value, and negative predictive value of 90.3%, 91%, 92.1%, and 89%,
respectively. Similarly to our institution, that study used a 1.5-T MRI, and the
protocol of our institution closely mimicked the technique used in that study.
Another prospective study published by Hottat et al. showed sensitivity,
specificity, and positive and negative predictive values of MRI predicting
intraoperative disease of 96.3%, 100%, 100%, and 93.3% respectively. Those
results were gathered with the use of a 3.0-T MRI. The high accuracy in these
studies of prediction of deep pelvic endometriosis in specific locations shows
that MRI is effective for preoperative planning, as was the case for the four
patients in our video. CONCLUSION(S): Preoperative planning for DIE with the use
of MRI is integral in surgical planning. Other imaging modalities to diagnose
DIE, such as transvaginal ultrasound, endoanal ultrasound, barium enema,
cystoscopy, and rectoscopy, have all been used and studied for the evaluation of
endometriosis. However, given its accuracy for mapping lesions, MRI could
potentially replace multiple types of imaging while offering the best option for
preoperative planning. Accurate mapping would result in greater success of
resection and allow for multidisciplinary planning if necessary. Furthermore,
being able to train the eye to identify lesions on MRI that are consistent with
DIE is an asset to the gynecologic surgeon.
PMID- 27887714
TI - Erratum.
PMID- 27887715
TI - Focus on recurrent miscarriage phenotypes.
PMID- 27887716
TI - Who requests their sperm donor's identity? The first ten years of information
releases to adults with open-identity donors.
AB - OBJECTIVE: To report findings from 10 years of requests from adults eligible to
obtain their open-identity sperm donor's information. DESIGN: Analysis of
archived family and donor data. Semistructured interviews at information
releases. SETTING: Not applicable. PATIENT(S): A total of 85 DI adults requesting
43 donor identities; program data on 256 DI families. INTERVENTION(S): None. MAIN
OUTCOME MEASURE(S): We identified [1] demographic predictors of requesting donor
identities, [2] information release timing and length, and [3] request motives.
RESULT(S): Just >35% of eligible DI adults requested their donor's identity.
Adults ranged from 18-27 years, requesting at median age 18 years. More women
than men requested. Proportionally fewer adults requested when they had
heterosexual-couple parents, and proportionally more when they had one rather
than two parents. In interviews, the common theme was wanting to know more about
the donor, especially about shared characteristics. Most adults planned to
contact their donor. More than 94% of adults had donors who were open to contact;
adults expressed modest expectations about this contact. CONCLUSION(S): In 2001,
the first adults became eligible to obtain their open-identity sperm donor's
information. Ten years of identity requests at one program indicates that
information about one's donor is important to a significant proportion of these
DI adults. Most requested their donor's identity soon after becoming eligible,
suggesting some urgency to wanting the information. Interview data highlighted
the role of donor information in helping adults better understand themselves and
their ancestry. Findings hold important implications for practice and policy.
PMID- 27887717
TI - Continued existence of significant disparities in the technical practices of
sperm morphology assessment and the clinical implications: results of a French
questionnaire.
AB - OBJECTIVE: To investigate current practices for sperm morphology assessment.
DESIGN: E-mail survey questionnaire. SETTING: Diagnostic and clinical
institutions/laboratories. PATIENT(S): French biologists and clinicians (n =
225). INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): Answers to 24 questions.
RESULT(S): The survey shows that even now in France there is great inconsistency
in the use of sperm morphology assessments. For example, the survey revealed that
no fewer than six different staining techniques were in use. Automatic reading is
hardly used (used by 2.5% of the biologists replying to the survey). More than
33.6% of biologists use a threshold of normal forms unsuited to their
classification, and 20% do not perform any internal quality control in this area.
Prescribing doctors seldom trust the tests, likely due to their lack of analytic
reliability. Among the biologists surveyed 26% said the percentage of normal
forms is either unreliable or not very reliable in analytic terms, and 24% of
clinicians stated that it has little clinical relevance. CONCLUSION(S): The
survey reveals a marked lack of uniformity in French laboratories for performing
sperm morphology assessment and in the use of the results by physicians. Regular
quality control procedures and well-trained personnel, up to date with their
training and conversant with the latest techniques as well as harmonized
practices, are clearly indispensable. It is time for a consensus on the practice
and interpretation of this particular test.
PMID- 27887718
TI - Novel centrifugal technology for measuring sperm concentration in the home.
AB - OBJECTIVE: To evaluate the analytical performance and usability of the Trak Male
Fertility Testing System, a semiquantitative (categorical) device recently US
Food and Drug Administration (FDA)-cleared for measuring sperm concentration in
the home by untrained users. DESIGN: A three-site clinical trial comparing self
reported lay user results versus reference results obtained by computer-aided
semen analysis (CASA). SETTING: Simulated home use environments at fertility
centers and urologist offices. PATIENT(S): A total of 239 untrained users.
INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): Sperm concentration results
reported from self-testing lay users and laboratory reference method by CASA were
evaluated semiquantitatively against the device's clinical cutoffs of 15 M/mL
(current World Health Organization cutoff) and 55 M/mL (associated with faster
time to pregnancy). Additional reported metrics include assay linearity,
precision, limit of detection, and ease-of-use ratings from lay users. RESULT(S):
Lay users achieved an accuracy (versus the reference) of 93.3% (95% confidence
interval [CI] 84.1%-97.4%) for results categorized as <=15 M/mL, 82.4% (95% CI
73.3%-88.9%) for results categorized as 15-55 M/mL, and 95.5% (95% CI 88.9%
98.2%) for results categorized as >55 M/mL. When measured quantitatively, Trak
results had a strong linear correlation with CASA measurements (r = 0.99). The
precision and limit of detection studies show that the device has adequate
reproducibility and detection range for home use. Subjects generally rated the
device as easy to use. CONCLUSION(S): The Trak System is an accurate tool for
semiquantitatively measuring sperm concentration in the home. The system may
enable screening and longitudinal assessment of sperm concentration at home.
CLINICAL TRIAL REGISTRATION NUMBER: ClinicalTrials.gov identifier: NCT02475395.
PMID- 27887719
TI - Leucine-rich repeat-containing G-protein-coupled receptor 5-positive cells in the
endometrial stem cell niche.
AB - OBJECTIVE: To study, isolate and characterize leucine-rich repeat-containing
heterotrimeric guanine nucleotide-binding protein-coupled receptor 5 (LGR5)
positive cells from human endometrium to determine their functional relevance.
DESIGN: Prospective experimental animal study. SETTING: University research
laboratories. ANIMAL(S): Nonobese diabetic mice (NOD-SCID) (strain code 394;
NOD.CB17-Prkdcscid/NcrCrl). INTERVENTION(S): Human LGR5+ cells were labeled with
superparamagnetic iron oxide nanoparticles (SPIOs) and injected under the kidney
capsule in immunocompromised mice. MAIN OUTCOME MEASURE(S): Epithelial and
stromal LGR5+ cells were isolated from human endometrium by means of fluorescence
activated cell sorting, and phenotypic characterization was performed by means of
flow cytometry with the use of hematopoietic and mesenchymal markers. Engrafted
SPIO-labeled LGR5+ cells were localized with the use of Prussian blue staining
and immunohistochemistry against CD9 and Vimentin. Deep transcriptomic profiling
of LGR5+ cells was performed with the use of microarrays and RNA sequencing.
RESULT(S): The percentage of LGR5+ cells in human endometrium represented 1.08 +/
0.73% and 0.82 +/- 0.76% of total cells in the epithelial and stromal
compartments, respectively. LGR5+ cells were phenotypically characterized by
abundant expression of CD45 hematopoietic marker and no expression of surface
markers CD31, CD34, CD133, CD73, and CD90. Coexpression with the macrophage
marker CD163 was detected. Xenotransplantation of labeled LGR5+ cells into the
kidney capsules of immunocompromised mice resulted in a weak endometrial
reconstitution from this cell of origin. Transcriptomic profiling revealed new
attributes for LGR5+ cells related to their putative hematopoietic origin.
CONCLUSION(S): These data suggest that endometrial LGR5 is not an endogenous stem
cell marker. Instead, LGR5+ cells appear to be recruited from blood to be part of
the stem cell niche at the perivascular microenvironment to activate the
endogenous niche.
PMID- 27887720
TI - Prehospital electrocardiographic acuteness score of ischemia is inversely
associated with neurohormonal activation in STEMI patients with severe ischemia.
AB - BACKGROUND: Elevated levels of N-terminal pro brain natriuretic peptide (NT
proBNP) are associated with adverse cardiovascular outcome after ST elevation
myocardial infarction (STEMI). We hypothesized that decreasing acuteness-score
(based on the electrocardiographic score by Anderson-Wilkins acuteness score of
myocardial ischemia) is associated with increasing NT-proBNP levels and the
impact of decreasing acuteness-score on NT-proBNP levels is substantial in STEMI
patients with severe ischemia. METHODS: In 186 STEMI patients treated with
primary percutaneous coronary intervention (pPCI), the severity of ischemia
(according to Sclarovsky-Birnbaum severity grades of ischemia) and the acuteness
score were obtained from prehospital ECG. Patients were classified according to
the presence of severe ischemia or non-severe ischemia and acute ischemia or non
acute ischemia. Plasma NT-proBNP (pmol/L) was obtained after pPCI within 24hours
of admission and was correlated with the acuteness-score. RESULTS: NT-proBNP
levels were median (25th-75th interquartile) 112 (51-219) pmol/L in patients with
non-severe ischemia (71.5%) and 145 (79-339) in patients with severe ischemia
(28.5%) (p=0.074). NT-proBNP levels were highest in patients with severe and non
acute ischemia compared to those with severe and acute ischemia (182 (98-339)
pmol/L vs 105 (28-324) pmol/L, p=0.012). There was a negative correlation between
acuteness-score and log(NT-proBNP) in patients with severe ischemia (r=0.395,
p=0.003), which remained significant in multilinear regression analysis (beta=
0.155, p=0.007). No correlation was observed between the acuteness-score and
log(NT-proBNP) in patients with non-severe ischemia (p=0.529) or in the entire
population (p=0.187). CONCLUSION: In STEMI patients with severe ischemia,
neurohormonal activation is inversely associated with ECG patterns of acute
myocardial ischemia.
PMID- 27887721
TI - Comparative Multimodal Meta-analysis of Structural and Functional Brain
Abnormalities in Autism Spectrum Disorder and Obsessive-Compulsive Disorder.
AB - BACKGROUND: Autism spectrum disorder (ASD) and obsessive-compulsive disorder
(OCD) share inhibitory control deficits possibly underlying poor control over
stereotyped and repetitive and compulsive behaviors, respectively. However, it is
unclear whether these symptom profiles are mediated by common or distinct neural
profiles. This comparative multimodal meta-analysis assessed shared and disorder
specific neuroanatomy and neurofunction of inhibitory functions. METHODS: A
comparative meta-analysis of 62 voxel-based morphometry and 26 functional
magnetic resonance imaging (fMRI) studies of inhibitory control was conducted
comparing gray matter volume and activation abnormalities between patients with
ASD (structural MRI: 911; fMRI: 188) and OCD (structural MRI: 928; fMRI: 247) and
control subjects. Multimodal meta-analysis compared groups across voxel-based
morphometry and fMRI. RESULTS: Both disorders shared reduced function and
structure in the rostral and dorsomedial prefrontal cortex including the anterior
cingulate. OCD patients had a disorder-specific increase in structure and
function of left basal ganglia (BG) and insula relative to control subjects and
ASD patients, who had reduced right BG and insula volumes versus OCD patients. In
fMRI, ASD patients showed disorder-specific reduced left dorsolateral-prefrontal
activation and reduced posterior cingulate deactivation, whereas OCD patients
showed temporoparietal underactivation. CONCLUSIONS: The multimodal comparative
meta-analysis shows shared and disorder-specific abnormalities. Whereas the
rostrodorsomedial prefrontal cortex was smaller in structure and function in both
disorders, this was concomitant with increased structure and function in BG and
insula in OCD patients, but a reduction in ASD patients, presumably reflecting a
disorder-specific frontostriatoinsular dysregulation in OCD in the form of poor
frontal control over overactive BG, and a frontostriatoinsular maldevelopment in
ASD with reduced structure and function in this network. Disorder-differential
mechanisms appear to drive overlapping phenotypes of inhibitory control
abnormalities in patients with ASD and OCD.
PMID- 27887722
TI - The Effect of Specific Surface Area of Chitin-Metal Silicate Coprocessed
Excipient on the Chemical Decomposition of Cefotaxime Sodium.
AB - Chitin-metal silicates are multifunctional excipients used in tablets.
Previously, a correlation between the surface acidity of chitin-calcium and
chitin-magnesium silicate and the chemical decomposition of cefotaxime sodium was
found but not with chitin-aluminum silicate. This lack of correlation could be
due to the catalytic effect of silica alumina or the difference in surface area
of the excipients. The objective of this study was to investigate the effect of
the specific surface area of the excipient on the chemical decomposition of
cefotaxime sodium in the solid state. Chitin was purified and coprocessed with
different metal silicates to prepare the excipients. The specific surface area
was determined using gas adsorption. The chemical decomposition was studied at
constant temperature and relative humidity. Also, the degradation in solution was
studied. A correlation was found between the degradation rate constant and the
surface area of chitin-aluminum and chitin-calcium silicate but not with chitin
magnesium silicate. This was due to the small average pore diameter of this
excipient. Also, the degradation in solution was slower than in solid state. In
conclusion, the stability of cefotaxime sodium was dependent on the surface area
of the excipient in contact with the drug.
PMID- 27887723
TI - Synergistic Effect of Cavitation and Agitation on Protein Aggregation.
AB - It was recently reported that dropping induces protein aggregation due to the
occurrence of cavitation. Agitation also causes protein aggregation. In this
study, vials filled with antibody solution were subjected to a cycle of dropping
and shaking using the friability testing apparatus to examine the combined effect
of cavitation and agitation on protein aggregation. A cycle of dropping and
shaking generated a massive amount of subvisible particles. Comparison of
aggregation rate at different fill volumes indicated that shaking plays an
important role in protein aggregation due to combination stress. Furthermore, the
impact of dropping on aggregate formation was apparent because aggregation rate
under combination stress was much faster than that under shaking stress alone.
Increase in aggregate concentration was observed after shaking of the antibody
solution, which was freshly filled into vials that had been previously used in
the dropping and shaking test. Polysorbate 80 was effective in inhibiting
aggregate formation under combination stress. These results suggest the following
particle formation pathway: cavitation caused by dropping promotes antibody
unfolding, the unfolded antibodies adsorb on the inner surface of the vial, and
subsequent shaking yields subvisible particles by desorbing the adsorbed
antibodies.
PMID- 27887724
TI - Facial deformations during nasal continuous positive airway pressure therapy.
AB - Obstructive Sleep Apnoea (OSA), characterised by repeated collapse of the
pharyngeal airway during sleep, causes cessation of breathing followed by
arousal, restoring normality. Continuous Positive Airway Pressure (CPAP) is a non
invasive, effective treatment for OSA where positive pressure is applied to the
airway through a mask, maintaining patency. Nasal masks are commonly used,
contacting the face across the upper lip, sides of the nose and the nasal bridge.
Despite health benefits, therapy compliance is sub-optimal, often due to poor
mask fit and discomfort. Masks have been designed to conform to the facial
profile, but have not taken into account facial deformations. The nature of
facial deformations while undergoing CPAP therapy is unknown. This study
investigates the facial deformations experienced by a patient while undergoing
nasal CPAP therapy. Magnetic Resonance Imaging (MRI) scans of the face were
acquired of participants in the reference configuration and while undergoing CPAP
therapy. The CPAP scan volume was registered onto the reference volume prior to
surface generation for each state. Perpendicular deformation was measured from
the reference facial profile to the deformed profile. Large facial deformations
were measured at the sides of the nose (4.6+/-1.6mm) and the upper lip (4.9+/
1.8mm) with much smaller deformations at the nasal bridge (2.4+/-0.2mm). When
normalised by applied load and tissue thickness, no difference was found. These
findings and techniques can be used to consider facial deformation in the
development of future nasal CPAP masks to improve comfort and compliance to
therapy.
PMID- 27887725
TI - A calcified polymeric valve for valve-in-valve applications.
AB - The prevalence of aortic valve stenosis (AS) is increasing in the aging society.
More recently, novel treatments and devices for AS, especially transcatheter
aortic valve replacement (TAVR) have significantly changed the therapeutic
approach to this disease. Research and development related to TAVR require
testing these devices in the calcified heart valves that closely mimic a native
calcific valve. However, no animal model of AS has yet been available.
Alternatively, animals with normal aortic valve that are currently used for TAVR
experiments do not closely replicate the aortic valve pathology required for
proper testing of these devices. To solve this limitation, for the first time, we
developed a novel polymeric valve whose leaflets possess calcium hydroxyapatite
inclusions immersed in them. This study reports the characteristics and
feasibility of these valves. Two types of the polymeric valve, i.e., moderate and
severe calcified AS models were developed and tested by deploying a transcatheter
valve in those and measuring the related hemodynamics. The valves were tested in
a heart flow simulator, and were studied using echocardiography. Our results
showed high echogenicity of the polymeric valve, that was correlated to the
severity of the calcification. Aortic valve area of the polymeric valves was
measured, and the severity of stenosis was defined according to the clinical
guidelines. Accordingly, we showed that these novel polymeric valves closely
mimic AS, and can be a desired cost-saving solution for testing the performance
of the transcatheter aortic valve systems in vitro.
PMID- 27887726
TI - Visualisation studies and glomerular filtration in early diabetic rats.
AB - The purpose of this mini-review is to show that more modern multi-photon
microscopy approaches allow quantitative glomerular filtration experiments.
Modern science has now entered a transition period from light microscopy to multi
photon confocal microscopy. Since the late 20th century, multi-photon microscopy
has been applied in the study of organ function. In keeping with observations
made in renal physiology and other representative studies throughout this
transition period, and in the context of advancing microscopy techniques, this
review has been presented as a comment on the glomerular filtration barrier, with
a focus on the early aetiopathogenesis of diabetes.
PMID- 27887727
TI - Shear-mediated platelet activation in the free flow: Perspectives on the emerging
spectrum of cell mechanobiological mechanisms mediating cardiovascular implant
thrombosis.
AB - Shear-mediated platelet activation (SMPA) is central in thrombosis of implantable
cardiovascular therapeutic devices. Despite the morbidity and mortality
associated with thrombosis of these devices, our understanding of mechanisms
operative in SMPA, particularly in free flowing blood, remains limited. Herein we
present and discuss a range of emerging mechanisms for consideration for "free
flow" activation under supraphysiologic shear. Further definition and
manipulation of these mechanisms will afford opportunities for novel
pharmacologic and mechanical strategies to limit SMPA and enhance overall implant
device safety.
PMID- 27887728
TI - Novel multi-functional fluid flow device for studying cellular
mechanotransduction.
AB - Cells respond to their mechanical environment by initiating multiple
mechanotransduction signaling pathways. Defects in mechanotransduction have been
implicated in a number of pathologies; thus, there is need for convenient and
efficient methods for studying the mechanisms underlying these processes. A
widely used and accepted technique for mechanically stimulating cells in culture
is the introduction of fluid flow on cell monolayers. Here, we describe a novel,
multifunctional fluid flow device for exposing cells to fluid flow in culture.
This device integrates with common lab equipment including routine cell culture
plates and peristaltic pumps. Further, it allows the fluid flow treated cells to
be examined with outcomes at the cell and molecular level. We validated the
device using the biologic response of cultured UMR-106 osteoblast-like cells in
comparison to a commercially available system of laminar sheer stress to track
live cell calcium influx in response to fluid flow. In addition, we demonstrate
the fluid flow-dependent activation of phospho-ERK in these cells, consistent
with the findings in other fluid flow devices. This device provides a low cost,
multi-functional alternative to currently available systems, while still
providing the ability to generate physiologically relevant conditions for
studying processes involved in mechanotransduction in vitro.
PMID- 27887729
TI - Valveless pumping mechanics of the embryonic heart during cardiac looping:
Pressure and flow through micro-PIV.
AB - Cardiovascular development is influenced by the flow-induced stress environment
originating from cardiac biomechanics. To characterize the stress environment, it
is necessary to quantify flow and pressure. Here, we quantify the flow field in a
developing zebrafish heart during the looping stage through micro-particle
imaging velocimetry and by analyzing spatiotemporal plots. We further build upon
previous methods to noninvasively quantify the pressure field at a low Reynolds
number using flow field data for the first time, while also comparing the impact
of viscosity models. Through this method, we show that the atrium builds up
pressure to ~0.25mmHg relative to the ventricle during atrial systole and that
atrial expansion creates a pressure difference of ~0.15mmHg across the atrium,
resulting in efficient cardiac pumping. With these techniques, it is possible to
noninvasively fully characterize hemodynamics during heart development.
PMID- 27887730
TI - Reducing upper airway collapse at lower continuous positive airway titration
pressure.
AB - During respiration, upper airway (UA) collapse occurs when the forces generated
from the negative UA pressures exceed the forces produced by the UA muscles;
which leads to loose soft tissue at the back of the mouth. At predetermined
titration pressure, the Continuous Positive Airway Pressure (CPAP) normally
provides a continuous pressurized and humidified air to prevent airway collapse.
However, high titration pressures are not always tolerated by patients and may
lead to damaging effects including stroke symptoms for cardiovascular disease
patients. This paper hypothesizes that superimposed pressure oscillation can
modulate the loose tissue and allow unblocking the upper airway passages to help
reduce the required titration pressure. Using MRI scans, this paper investigates
the effects of using pressure oscillations superimposed on the CPAP to keep the
airway open at lower pressure distributions inside the upper airway and
consequently increase the patients' comfort and reduce their rejection to the
CPAP.
PMID- 27887731
TI - Assessment of heavy metal pollution in surface sediments of the Bayan Lepas area,
Penang, Malaysia.
AB - This study aimed to evaluate the spatial and temporal distribution of heavy
metals (Cd, Cr, Cu, Co, Fe, Pb, Ni, V, and Zn) in the sediments of Bayan Lepas
Free Industrial Zone of Penang, Malaysia. Ten sampling stations were selected and
sediment samples were collected during low tide (2012-2013). Metals were analyzed
and the spatial distribution of metals were evaluated based on GIS mapping.
According to interim sediment quality guidelines (ISQG), metal contents ranged
from below low level to above high level at different stations. Based on the
geoaccumulation index (Igeo) of sediment, sampling stations were categorized from
unpolluted to strongly polluted. The enrichment factor (EF) of metals in the
sediment varied between no enrichment to extremely high enrichment. The potential
ecological risk index (RI) indicated Bayan Lepas FIZ was at low risk.
PMID- 27887732
TI - Expressions of pathologic markers in PRP based chondrogenic differentiation of
human adipose derived stem cells.
AB - BACKGROUND: Optimization of the differentiation medium through using autologous
factors such as PRP is of great consideration, but due to the complex, variable
and undefined composition of PRP on one hand and lack of control over the
absolute regulatory mechanisms in in vitro conditions or disrupted and different
mechanisms in diseased tissue microenvironments in in vivo conditions on the
other hand, it is complicated and rather unpredictable to get the desired effects
of PRP making it inevitable to monitor the possible pathologic or undesired
differentiation pathways and therapeutic effects of PRP. Therefore, in this study
the probable potential of PRP on inducing calcification, inflammation and
angiogenesis in chondrogenically-differentiated cells was investigated. METHODS:
The expressions of chondrogenic, inflammatory, osteogenic and angiogenic markers
from TGFbeta or PRP-treated cells during chondrogenic differentiation of human
adipose-derived stem cells (ADSCs) was evaluated. Expressions of Collagen II (Col
II), Aggrecan, Sox9 and Runx2 were quantified using q-RT PCR. Expression of Col
II and X was investigated by immunocytochemistry as well. Glycosaminoglycans
(GAGs) production was also determined by GAG assay. Possible
angiogenic/inflammatory potential was determined by quantitatively measuring the
secreted VEGF, TNFalpha and phosphorylated VEGFR2 via ELISA. In addition, the
calcification of the construct was monitored by measuring ALP activity and
calcium deposition. RESULTS: Our data showed that PRP positively induced
chondrogenesis; meanwhile the secretion of angiogenic and inflammatory markers
was decreased. VEGFR2 phosphorylation and ALP activity had a decreasing trend,
but tissue mineralization was enhanced upon treating with PRP. CONCLUSIONS:
Although reduction in inflammatory/angiogenic potential of the chondrogenically
differentiated constructs highlights the superior effectiveness of PRP in
comparison to TGFbeta for chondrogenic differentiation, yet further improvement
of the PRP-based chondrogenic differentiation media is required to inhibit the
production of angiogenic/inflammatory markers, calcification and the release of
synthesized GAG out of the construct.
PMID- 27887733
TI - Determining oxidative stress and EROD activity in dab (Limanda limanda) in the
North and Baltic Seas.
AB - The North and Baltic Seas are heavily trafficked marine areas with extensive
anthropogenic activities, including cargo and fishing vessels, waste dumping, oil
platforms, industrial activities and contamination from coastal runoff. In order
to evaluate the environmental health of these regions, we used the demersal fish
dab (Limanda limanda) as a sentinel species. The current study used well
established biomarkers for PAH exposure and oxidative stress, measuring EROD
activity, the acute antioxidant response as well as oxidation of proteins
detected as protein carbonyl levels. Results show the strongest biomarker results
in an area with extensive oil drilling, where dab displayed high levels of EROD
activities. This was also seen in dab captured in the Baltic Sea where elevated
levels of oxidized glutathione and a trend towards higher EROD activity were
observed. The obtained results did, however, not indicate a coherent biomarker
response. The study was conducted off shore where many areas have presumably low
levels of pollutants, and we could detect minor effects using the biomarker
approach.
PMID- 27887734
TI - Two countries - Two treatment strategies for rectal cancer.
AB - BACKGROUND AND PURPOSE: Trials in rectal cancer have shown that radiotherapy (RT)
decreases local recurrence rates, whereas the effects on survival are uncertain.
Swedish and Norwegian oncologists have had different treatment recommendations.
The aim was to evaluate local recurrence rates and survival in the two countries.
PATIENTS AND METHODS: Between 1995 and 2012 rectal cancer patients registered in
Sweden and Norway were analyzed, presenting population-based "real world" data.
RESULTS: Totally 29,029 Swedish and 15,456 Norwegian patients were analyzed.
Resection for cure was performed in two-thirds of the patients. RT was given to
49% of Swedish patients, mainly short-course RT and to 26% of Norwegian patients,
predominantly chemoradiotherapy (CRT). In Sweden, the proportion irradiated was
stable whereas in Norway, an increase from 10% to 40% was seen. Local 5-year
recurrence rates were initially higher in Norway (12%) than in Sweden (8%),
whereas they were equally low (4%) during the latter time. No survival
differences were seen, however, survival improved with time in both countries.
CONCLUSIONS: Two entirely different approaches to preoperative therapy resulted
in similar survival with initially higher local recurrence rates in Norway, but
similarly low rates in later years. This raises questions about optimal RT rates
and regimens.
PMID- 27887735
TI - Influence of general anaesthesia on the brainstem.
AB - The exact role of the brainstem in the control of body functions is not yet well
known and the same applies to the influence of general anaesthesia on brainstem
functions. Nevertheless in all general anaesthesia the anaesthesiologist should
be aware of the interaction of anaesthetic drugs and brainstem function in
relation to whole body homeostasis. As a result of this interaction there will be
changes in consciousness, protective reflexes, breathing pattern, heart rate,
temperature or arterial blood pressure to name a few. Brainstem function can be
explored using three different approaches: clinically, analyzing changes in brain
electric activity or using neuroimaging techniques. With the aim of providing the
clinician anaesthesiologist with a global view of the interaction between the
anaesthetic state and homeostatic changes related to brainstem function, the
present review article addresses the influence of anaesthetic drug effects on
brainstem function through clinical exploration of cranial nerves and reflexes,
analysis of electric signals such as electroencephalographic changes and what it
is known about brainstem through the use of imaging techniques, more specifically
functional magnetic resonance imaging.
PMID- 27887736
TI - Use of Clarus Video System(r) in expected difficult airway in a patient with Rett
syndrome.
AB - Difficult airway management remains one of the key points in our specialty, as
the difficulty or impossibility of tracheal intubation is the main cause of
morbidity/mortality attributable to anaesthesia. Rett syndrome is a severe and
incapacitating neurological disease. We present the case of a 21-year-old girl
affected by this syndrome, with significant psychomotor retardation and difficult
airway predictors, who was scheduled to have a laparoscopic cholecystectomy under
general anaesthesia. We decided on one attempt of Clarus Video System(r)
fiberoptic intubation as primary intervention. Intubation was successfully
performed with the help of this optical stylet. The use of optical stylets is
gaining prominence and finding a place in the latest algorithms of difficult
airway management. We highlight the growing role these devices play in managing
difficult airway, therefore we review the current situation of videolaryngoscopes
in the management of the predicted difficult airway.
PMID- 27887737
TI - Polyphenolic diversity and characterization in the red-purple berries of East
Asian wild Vitis species.
AB - Grapes (Vitis spp.) produce diverse polyphenolic compounds, which are
phytochemicals that contribute to human health. In this study, the polyphenolic
profiles of the red-purple berries of two wild grape species native to Japan,
Vitis ficifolia and V. coignetiae, and their interspecific hybrid cultivars were
investigated and compared with the profiles of V. vinifera and V. * labruscana
cultivars. Proanthocyanidins (PAs) were present at lower concentrations in both
skins and seeds of wild grape species and their hybrid cultivars than those in V.
vinifera cultivars. They also differed in their composition, consisting mainly of
epicatechin in wild grape species, but containing considerable amounts of both
epigallocatechin in the skins and epicatechin gallate in the seeds of V.
vinifera. In contrast, V. ficifolia varieties and their hybrid cultivars
accumulated high concentrations of diverse anthocyanins, and whose compositions
of anthocyanins and flavonols differed between species in their degree of
modification by glucosylation, acylation, methylation and B-ring hydroxylation.
Principal component analysis (PCA) indicated that the polyphenolic constituents
clearly separate V. vinifera and V. * labruscana cultivars from the wild grape
species as well as between wild grape species, V. coignetiae and V. ficifolia.
Intermediate compositions were also observed in the hybrid cultivars between
these wild grape species and V. vinifera.
PMID- 27887738
TI - Mother-infant interactions in captive and wild chimpanzees.
AB - We review studies on mother-infant interactions in chimpanzees, our closest
living relatives, in captive and wild environments. Infant cognitive development
is formed through mother-infant interactions during the long dependent period,
which is approximately 5 years. Patterns of interaction between mothers and
infants are different from those observed in adult chimpanzees. Mother-infant
interactions are relatively altruistic, although solicitation by infants is
almost always required. Active teaching has rarely been reported in chimpanzees;
instead, infants socially learn new skills through long-term observation. Case
studies describing rearing of a disabled infant by a multiparous but
inexperienced chimpanzee, and the carrying of dead infants in the wild
chimpanzees of Bossou reveal the strong affectionate bond between mothers and
infants. Characteristics of human mother-infant interaction are highlighted
through comparisons with chimpanzees.
PMID- 27887739
TI - Differences in the modulation of reactive species, lipid bodies, cyclooxygenase
2, 5-lipoxygenase and PPAR-gamma in cerebral malaria-susceptible and resistant
mice.
AB - Proinflammatory responses are associated with the severity of cerebral malaria.
NO, H2O2, eicosanoid and PPAR-gamma are involved in proinflammatory responses,
but regulation of these factors is unclear in malaria. This work aimed to compare
the expression of eicosanoid-forming-enzymes in cerebral malaria-susceptible CBA
and C57BL/6 and -resistant BALB/c mice. Mice were infected with Plasmodium
berghei ANKA, and the survival rates and parasitemia curves were assessed. On the
sixth day post-infection, cyclooxygenase-2 and 5-lipoxygenase in brain sections
were assessed by immunohistochemistry, and, NO, H2O2, lipid bodies, and PPAR
gamma expression were assessed in peritoneal macrophages. The C57BL/6 had more
severe disease with a lower survival time, higher parasitemia and lower
production of plasmodicidal NO and H2O2 molecules than BALB/c. Enhanced COX-2 and
5-LOX expression were observed in brain tissue cells and vessels from C57BL/6
mice, and these mice expressed higher constitutive PPAR-gamma levels. There was
no translocation of PPAR-gamma from cytoplasm to nucleus in macrophages from
these mice. CBA mice had enhanced COX-2 expression in brain tissue cells and
vessels and also lacked PPAR-gamma cytoplasm-to-nucleus translocation. The
resistant BALB/c mice presented higher survival time, lower parasitemia and
higher NO and H2O2 production on the sixth day post-infection. These mice did not
express either COX-2 or 5-LOX in brain tissue cells and vessels. Our data showed
that besides the high parasite burden and lack of microbicidal molecules, an
imbalance with high COX-2 and 5-LOX eicosanoid expression and a lack of
regulatory PPAR-gamma cytoplasm-to-nucleus translocation in macrophages were
observed in mice that develop cerebral malaria.
PMID- 27887740
TI - Outcomes of Renal Transplantation in Patients With Bipolar Affective Disorder and
Schizophrenia: A National Retrospective Cohort Study.
AB - BACKGROUND: Patients with severe psychiatric disorders such as schizophrenia and
bipolar affective disorder (BPAD) have in the past been excluded from organ
transplantation programs based on their psychiatric illness. However, there is
little data on the outcomes of renal transplantation in these patients and little
evidence to support such exclusion. METHODS: We reviewed the database of the
Irish National Renal Transplant Programme and identified all patients with a
history of BPAD or schizophrenia who had received a transplant over a 28-year
period. Data were collected for the following outcomes: patient survival, graft
survival, graft function, length of hospitalization for transplantation, and
frequency of acute rejection episodes. The control group was the general
transplant group, that is, all patients without these psychiatric disorders and
who had received a renal transplant during the relevant time period. RESULTS:
Between January 1, 1986, and December 31, 2013, 3000 renal transplants were
performed at our center. Of the transplant recipients, 0.5% (n = 15) had a
diagnosis of BPAD and 0.2% (n = 6) had schizophrenia. No significant differences
were found between the BPAD or schizophrenia group and the general renal
transplant group in relation to patient survival, graft survival, and graft
function. In addition, length of hospital admission for transplantation and
frequency of acute rejection episodes were comparable among the 3 groups.
CONCLUSIONS: Although consideration of psychiatric comorbidity is an important
part of pretransplant assessment and selection, patients should not be
discriminated against based on a diagnosis of BPAD or schizophrenia as there is
no evidence that this negatively affects transplant outcomes.
PMID- 27887741
TI - Evaluation of 16 genotype-guided Warfarin Dosing Algorithms in 310 Korean
Patients Receiving Warfarin Treatment: Poor Prediction Performance in VKORC1
1173C Carriers.
AB - PURPOSE: The purpose of this study was to evaluate the performance of 16
previously published warfarin dosing algorithms in Korean patients. METHODS: The
16 algorithms were selected through a literature search and evaluated using a
cohort of 310 Korean patients with atrial fibrillation or cerebral infarction who
were receiving warfarin therapy. FINDINGS: A large interindividual variation (up
to 11-fold) in warfarin dose was observed (median, 25 mg/wk; range, 7-77 mg/wk).
Estimated dose and actual maintenance dose correlated well overall (r range, 0.52
0.73). Mean absolute error (MAE) of the 16 algorithms ranged from -1.2 to -20.1
mg/wk. The percentage of patients whose estimated dose fell within 20% of the
actual dose ranged from 1.0% to 49%. All algorithms showed poor accuracy with
increased MAE in a higher dose range. Performance of the dosing algorithms was
worse in patients with VKORC1 1173TC or CC than in total (r range, 0.38-0.61 vs
0.52-0.73; MAE range, -2.6 to -28.0 mg/wk vs -1.2 to -20.1 mg/wk). IMPLICATIONS:
The algorithms had comparable prediction abilities but showed limited accuracy
depending on ethnicity, warfarin dose, and VKORC1 genotype. Further studies are
needed to develop genotype-guided warfarin dosing algorithms with greater
accuracy in the Korean population.
PMID- 27887742
TI - Corrigendum to "Dentognathic remains of Australopithecus afarensis from Nefuraytu
(Woranso-Mille, Ethiopia): Comparative description, geology, and paleoecological
context" [J Hum Evol 100 (2016) 35-53].
PMID- 27887743
TI - Quantification of Diabetic Macular Ischemia Using Optical Coherence Tomography
Angiography and Its Relationship with Visual Acuity.
AB - PURPOSE: To quantify foveal avascular zone (FAZ) area and macular vascular
density objectively using optical coherence tomography angiography (OCTA) and to
examine correlations with visual acuity in eyes with diabetic retinopathy (DR) in
the absence of diabetic macular edema. DESIGN: Retrospective observational case
series. PARTICIPANTS: Eighty-four eyes from 55 patients with DR and 34 control
eyes from 27 age-matched healthy participants. METHODS: All eyes underwent OCTA
(RTVue-XR Avanti; Optovue, Inc, Fremont, CA). Integrated automated algorithms
were used to quantify FAZ area and macular vascular density. MAIN OUTCOME
MEASURES: FAZ area, vessel area density (VAD), vessel length density (VLD), and
visual acuity. RESULTS: In each study eye, DR was classified as mild
nonproliferative DR (NPDR; n = 32 [38%]), moderate-to-severe NPDR (n = 31 [37%]),
or proliferative DR (n = 21 [25%]). Mean FAZ area was greater in diabetic eyes
compared with control eyes both in the superficial (0.427 mm2 vs. 0.275 mm2; P <
0.001) and deep (0.616 mm2 vs. 0.372 mm2; P < 0.001) vascular networks. Mean VAD
was lower in diabetic eyes compared with control eyes in both the superficial
(49.44% vs. 55.09%; P < 0.001) and deep (56.65% vs. 61.32%; P < 0.001) networks.
Mean VLD was also lower in diabetic eyes compared with control eyes in both the
superficial (17.68 mm-1 vs. 21.55 mm-1; P < 0.001) and deep (21.19 mm-1 vs. 24.38
mm-1; P < 0.001) networks. In all eyes, there was a statistically significant
negative correlation between the logarithm of the minimum angle of resolution
(logMAR) visual acuity and the vascular density in both the superficial (VAD, rho
= -0.52; VLD, rho = -0.54; P < 0.001) and deep (VAD, rho = -0.50; VLD, rho =
0.50; P < 0.001) networks. A positive correlation was found between logMAR visual
acuity and FAZ area in both the superficial (rho = 0.29; P < 0.01) and deep (rho
= 0.48; P < 0.001) networks. CONCLUSIONS: Automated quantitative algorithms allow
for objective assessment of retinal vascular changes in eyes with DR that are
correlated to visual acuity. These methods may prove useful in monitoring disease
progression and identifying parameters that affect visual function.
PMID- 27887744
TI - Findings from a UK-Morocco training programme to improve capacity in nutrition
surveillance, research and education.
PMID- 27887745
TI - 2016 Pinnochio awards: Public Health Responsibility Deal among the nominees!
PMID- 27887746
TI - Behaviour revised: Contaminant effects on aquatic animal behaviour.
PMID- 27887747
TI - The Rise of Invasive Species Denialism.
AB - Scientific consensus on the negative impacts of invasive alien species (IAS) is
increasingly being challenged. Whereas informed scepticism of impacts is
important, science denialism is counterproductive. Such denialism arises when
uncertainty on impacts is confounded by differences in values. Debates on impacts
must take into account both the evidence presented and motivations.
PMID- 27887748
TI - Probes for manipulating and monitoring IP3.
AB - Inositol 1,4,5-trisphosphate (IP3) is an important second messenger produced via
G-protein-coupled receptor- or receptor tyrosine kinase-mediated pathways. IP3
levels induce Ca2+ release from the endoplasmic reticulum (ER) via IP3 receptor
(IP3R) located in the ER membrane. The resultant spatiotemporal pattern of Ca2+
signals regulates diverse cellular functions, including fertilization, gene
expression, synaptic plasticity, and cell death. Therefore, monitoring and
manipulating IP3 levels is important to elucidate not only the functions of IP3
mediated pathways but also the encoding mechanism of IP3R as a converter of
intracellular signals from IP3 to Ca2+.
PMID- 27887749
TI - Angiogenic factors are associated with multiple sclerosis.
AB - A growing body of evidence suggests that angiogenesis plays a crucial role in the
pathogenesis of multiple sclerosis (MS). Animal models of MS show a significant
improvement when the process of angiogenesis is halted. In this study, we
measured the serum levels of vascular-endothelial growth factor (VEGF), soluble
Endoglin (sEng), angiopoietin 1(Ang-1), angiopoietin 2 (Ang-2), and uric acid
(UA) as well as serum anti-Epstein-Barr virus (EBV) EBNA-1 IgG in 50 MS patients
(including 20 newly diagnosed and 30 patients taking IFN-beta for >6months) and
40 healthy individuals. Enzyme-linked immunosorbent assays (ELISA) were used
apart from UA where the uricase quantitative enzymatic assay was used. A
significant increase of VEGF, Ang-1, Ang-2, and sEng in serum samples of MS
patients with respect to healthy subjects was observed. VEGF was higher in newly
diagnosed MS patients in comparison to patients taking interferon-beta and was
associated with EDSS. The serum levels of UA were statistically lower in MS
patients as compared to the healthy group. Higher levels of anti-EBV antibody
titers were seen in MS patients than controls and anti-EBV titers correlated with
angiogenic factors. It seems that in summary, angiogenesis may play an important
role in MS and infection with EBV might be correlated with this phenomenon.
PMID- 27887750
TI - Chronic Kidney Disease.
AB - The definition and classification of chronic kidney disease (CKD) have evolved
over time, but current international guidelines define this condition as
decreased kidney function shown by glomerular filtration rate (GFR) of less than
60 mL/min per 1.73 m2, or markers of kidney damage, or both, of at least 3 months
duration, regardless of the underlying cause. Diabetes and hypertension are the
main causes of CKD in all high-income and middle-income countries, and also in
many low-income countries. Incidence, prevalence, and progression of CKD also
vary within countries by ethnicity and social determinants of health, possibly
through epigenetic influence. Many people are asymptomatic or have non-specific
symptoms such as lethargy, itch, or loss of appetite. Diagnosis is commonly made
after chance findings from screening tests (urinary dipstick or blood tests), or
when symptoms become severe. The best available indicator of overall kidney
function is GFR, which is measured either via exogenous markers (eg, DTPA,
iohexol), or estimated using equations. Presence of proteinuria is associated
with increased risk of progression of CKD and death. Kidney biopsy samples can
show definitive evidence of CKD, through common changes such as glomerular
sclerosis, tubular atrophy, and interstitial fibrosis. Complications include
anaemia due to reduced production of erythropoietin by the kidney; reduced red
blood cell survival and iron deficiency; and mineral bone disease caused by
disturbed vitamin D, calcium, and phosphate metabolism. People with CKD are five
to ten times more likely to die prematurely than they are to progress to end
stage kidney disease. This increased risk of death rises exponentially as kidney
function worsens and is largely attributable to death from cardiovascular
disease, although cancer incidence and mortality are also increased. Health
related quality of life is substantially lower for people with CKD than for the
general population, and falls as GFR declines. Interventions targeting specific
symptoms, or aimed at supporting educational or lifestyle considerations, make a
positive difference to people living with CKD. Inequity in access to services for
this disease disproportionally affects disadvantaged populations, and health
service provision to incentivise early intervention over provision of care only
for advanced CKD is still evolving in many countries.
PMID- 27887751
TI - Heart failure and vitamin D receptor gene.
PMID- 27887752
TI - Changes in plasma fatty acid composition are associated with improvements in
obesity and related metabolic disorders: A therapeutic approach to overweight
adolescents.
AB - BACKGROUND & AIMS: In recent years, obesity has reached alarming levels among
children and adolescents. The study of plasma fatty acid (FA) composition, as a
reflection of diet, and its associations with other parameters, that are closely
linked to obesity and the cardiometabolic profile, may be useful for setting
nutritional goals for obesity treatment and prevention. This study explored the
relationship between plasma FA levels and body fat and cardiometabolic risk
markers, in overweight adolescents. METHODS: A multidisciplinary weight loss
program was followed by 127 overweight and obese adolescents aged 12-17 years
old. Plasma FA composition, anthropometric indicators of adiposity and
biochemical parameters were analyzed at baseline, two months (the end of the
intensive intervention phase) and six months (the end of the extensive phase).
RESULTS: While saturated fatty acid (SFA) and n-6 polyunsaturated fatty acid
(PUFA) levels decreased significantly during the intervention, monounsaturated
fatty acid (MUFA) and n-3 PUFA showed the opposite trend. The decrease in SFA
C14:0 was associated with a reduction in total and LDL cholesterol,
apolipoprotein B and insulin. The increase in MUFAs, especially C18:1n-9, was
related to a reduction in weight, fat mass, fat mass index and glucose. Regarding
PUFAs, changes in the n-3 series were not associated with any of the parameters
studied, whereas the reduction in n-6 PUFAs was directly related to weight, fat
mass, total and HDL cholesterol, apolipoprotein A1, glucose and insulin, and
inversely associated with diastolic blood pressure. The adolescents with greater
weight loss presented significant changes in MUFAs, n-6 PUFAs and C14:0.
CONCLUSIONS: Modifications in plasma FA composition were associated with
adiposity reduction and cardiometabolic profile improvement in an anti-obesity
program aimed at adolescents. The changes observed in FA composition were related
to the success of the treatment, since the individuals most affected by these
variations were those who presented the greatest weight loss.
PMID- 27887753
TI - Effect of culturally competent educational films about prenatal screening on
informed decision making of pregnant women in the Netherlands.
AB - OBJECTIVE: To evaluate the effect of a culturally competent educational film
(CCEF) on informed decision making (IDM) regarding prenatal screening (PS) in a
study population consisting of multicultural pregnant women. METHODS: A cross
sectional study with 262 women in the control group and 117 in the intervention
group. All counselled participants received a self-report questionnaire to obtain
data on IDM and only the intervention group received the CCEF. Twenty two percent
of the study population had an ethnic minority background and 52% had a low or
medium educational level. RESULTS: After exposure to the CCEF, knowledge about
the Fetal Anomaly Scan (FAS) was significantly increased in ethnic minority women
and in 'medium' and 'highly' educated women. Among women in the intervention
group who had the intention to participate in FAS, there was an increase of 11%
in IDM and a decrease of 12% in uninformed decision making. CONCLUSION: CCEF
leads to a significant increase in the level of knowledge in medium and highly
educated groups as well as non-western ethnic minority groups. The increase in
IDM among intentional participants in the FAS is promising as well. CCEF's are a
valuable complement to counseling about PS.
PMID- 27887756
TI - Needlestick injuries among healthcare professionals in training: using the
surgical 'time-out' and hand-off protocols to deter high-risk needlesticks.
PMID- 27887754
TI - Meticillin-resistant Staphylococcus aureus (MRSA) acquisition risk in an endemic
neonatal intensive care unit with an active surveillance culture and
decolonization programme.
AB - BACKGROUND: Meticillin-resistant Staphylococcus aureus (MRSA) is a leading cause
of healthcare-associated infection in the neonatal intensive care unit (NICU).
Decolonization may eliminate bacterial reservoirs that drive MRSA transmission.
AIM: To measure the association between colonization pressure from decolonized
and non-decolonized neonates and MRSA acquisition to inform use of this strategy
for control of endemic MRSA. METHODS: An eight-year retrospective cohort study
was conducted in a level-4 NICU that used active surveillance cultures and
decolonization for MRSA control. Weekly colonization pressure exposures were
defined as the number of patient-days of concurrent admission with treated
(decolonized) and untreated (non-decolonized) MRSA carriers in the preceding
seven days. Poisson regression was used to estimate risk of incident MRSA
colonization associated with colonization pressure exposures. The population
attributable fraction was calculated to assess the proportion of overall unit
MRSA incidence attributable to treated or untreated patients in this setting.
FINDINGS: Every person-day increase in exposure to an untreated MRSA carrier was
associated with a 6% increase in MRSA acquisition risk [relative risk (RR): 1.06;
95% confidence interval (CI): 1.01-1.11]. Risk of acquisition was not influenced
by exposure to treated, isolated MRSA carriers (RR: 1.01; 95% CI: 0.98-1.04). In
the context of this MRSA control programme, 22% (95% CI: 4.0-37) of MRSA
acquisition could be attributed to exposures to untreated MRSA carriers.
CONCLUSION: Untreated MRSA carriers were an important reservoir for transmission.
Decolonized patients on contact isolation posed no detectable transmission
threat, supporting the hypothesis that decolonization may reduce patient-to
patient transmission. Non-patient reservoirs may contribute to unit MRSA
acquisition and require further investigation.
PMID- 27887755
TI - Use of ventilator utilization ratio for stratifying alcohol-based hand-rub
consumption data to improve surveillance on intensive care units.
AB - BACKGROUND: Germany has established a nationwide surveillance system of alcohol
based hand-rub consumption (AHC) per patient-day in hospital settings as a
surrogate parameter for hand hygiene (HH) compliance. Analysis of AHC data in
intensive care units (ICUs) shows not only a wide range of consumption between
units of different specialties, but also within units of one specialty. This
seems to reflect variation in the number of HH opportunities per patient-day
between ICUs due to variation in complexity of care. AIM: To investigate whether
ventilator utilization ratio (VUR) might be a good surrogate for describing
complexity and intensity of care on ICUs and whether stratification by VUR works
as a new method of setting benchmarks for AHC data. METHODS: Data from 365 ICUs
participating in the German national nosocomial infection surveillance system
(KISS) were used. VUR was calculated by dividing the number of ventilator-days
per unit by the number of patient-days per unit. AHC was stratified according to
VUR in quartiles. FINDINGS: The median AHC was 107mL/patient-day [interquartile
range (IQR): 86-134] and the median VUR was 33% (IQR: 22-45%). The Spearman rank
correlation coefficient was 0.28 (P<0.0001). After stratifying AHC according to
VUR, the AHC in quartile I was significantly lower compared to quartile IV. There
was also significant difference between quartile I and quartiles II and III.
CONCLUSION: Stratification of AHC data according to VUR is suggested to improve
the quality of benchmark parameters based on AHC data as surrogate parameter for
HH compliance in ICUs.
PMID- 27887757
TI - Hepatic Artery Rupture in a Young Man with Abdominal Pain and a Testicular Mass.
AB - BACKGROUND: Male patients presenting to the emergency department (ED) with
abdominal pain accompanied by a testicular mass should be evaluated for the
presence of hernia, epididymitis, orchitis, and testicular torsion. When a
patient presents with an asymptomatic testicular nodule or mass, the emergency
physician should consider testicular carcinoma, a diagnosis that typically
warrants no more than prompt urologic outpatient referral. CASE REPORT: We
present a case involving a young male whose presenting complaint was abdominal
pain. Despite his reluctance to initially discuss any genitourinary (GU)
complaints, careful questioning and thorough examination revealed a large left
testicular mass. Despite having a benign abdomen, the patient experienced a rapid
clinical deterioration in the ED after a previously undiagnosed metastatic lesion
to his liver eroded into his hepatic artery. WHY SHOULD AN EMERGENCY PHYSICIAN BE
AWARE OF THIS?: This case highlights the importance of performing a GU
examination in all patients presenting with abdominal pain and discusses a rare
presentation of a relatively common male condition. We also discuss the
prioritization of emergent interventions and diagnostic studies specific to this
case.
PMID- 27887758
TI - Blind Nasal Intubation Revisited: No Longer a Blind Technique?
AB - BACKGROUND: Advancements in airway management have made the practice of blind
nasal intubation obsolete. We report on successful blind nasal intubation
performed with the help of capnography and real-time ultrasonography in two
patients with tempormandibular joint ankylosis. CASE REPORT: Blind nasal
intubation was performed in a 12-year-old patient and a 17-year old patient under
general anesthesia with spontaneous respiration. Capnography was used as an aid
during insertion and dynamic ultrasonography was performed to guide and confirm
proper tracheal tube placement. Use of capnography helps in following the correct
path toward the glottic opening, with quick detection of any obstruction, and
with confirmation of final placement of the tracheal tube. Ultrasonography aids
with entry into the glottis and with identifying the correct placement. We
recommend the use of this modified blind nasal intubation in patients with
limited mouth opening when equipment, such as a fiberoptic scope, is not
available or is nonfunctional in the emergency department. WHY SHOULD AN
EMERGENCY PHYSICIAN BE AWARE OF THIS?: Adapting this technique will add to the
armamentarium available for airway management in emergency medicine, particularly
in maxillofacial injuries with limited mouth opening.
PMID- 27887759
TI - Implementing an open-access CASA software for the assessment of stallion sperm
motility: Relationship with other sperm quality parameters.
AB - Setting an open-access computer assisted sperm analysis (CASA) may benefit the
evaluation of motility in mammalian sperm, especially when economic constraints
do not allow the use of a commercial system. There have been successful attempts
to develop such a device in Zebra fish sperm and the system has been used in very
few studies on mammalian spermatozoa. Against this background, the present study
aimed at developing an open-access CASA system for mammalian sperm using the
horse as a model and based upon the Image J software previously established for
Zebra fish sperm. Along with determining the sperm progressive motility and other
kinetic parameters (such as amplitude of lateral head displacement), the
"results" window was adjusted to simplify subsequent statistical analyses. The
path window was enriched with colored sperm trajectories on the basis of the
subpopulation they belong to and a number that allowed the sperm track to be
associated to the sperm motility data shown in the "results" window. Data
obtained from the novel plugin (named as CASA_bgm) were compared with those of
the commercial CASA Hamilton-Thorn IVOS Vers.12, through Bland Altman's plots.
While the percentage of total and progressive motile sperm, VCL, VAP, VSL, LIN
and STR and ALH were in agreement with those obtained with the commercial system,
BCF significantly differed between the two systems probably due to their
settings. Interestingly, a positive and significant correlation between the
percentages of total motile sperm evaluated through CASA_bgm and those showing
high mitochondrial membrane potential evaluated by JC-1 staining was found. In
conclusion, CASA_bgm ImageJ plugin could be useful and reliable for stallion
sperm motility analysis and it is our aim to apply this system to other mammalian
species.
PMID- 27887760
TI - Response by Wallace et al. to letter regarding "Quadrigeminal Perimesencephalic
Subarachnoid Hemorrhage".
PMID- 27887761
TI - Letter to the Editor regarding "Quadrigeminal Perimesencephalic Subarachnoid
Hemorrhage".
PMID- 27887762
TI - Extracardiac Signs of Fluid Overload in the Critically Ill Cardiac Patient: A
Focused Evaluation Using Bedside Ultrasound.
AB - Fluid balance management is of great importance in the critically ill cardiac
patient. Although intravenous fluids are a cornerstone therapy in the management
of unstable patients, excessive administration coupled with cardiac dysfunction
leads to elevation in central venous pressure and end-organ venous congestion.
Fluid overload is known to have a detrimental effect on organ function and is
responsible for significant morbidity in critically ill patients. Multisystem
bedside point of care ultrasound imaging can be used to assess signs of fluid
overload and venous congestion in critically ill patients. In this review we
describe the ultrasonographic extracardiac signs of fluid overload and how they
can be used to complement clinical evaluation to individualize patient
management.
PMID- 27887763
TI - Composite lymphoma with diffuse large B-cell lymphoma and classical Hodgkin
lymphoma components: A case report and review of the literature.
AB - Composite lymphoma (CL) is an infrequently diagnosed entity in which two or more
distinct types of lymphomas occur synchronously in the same organ or anatomical
site. Most commonly, CLs are composed of two non-Hodgkin B-cell lymphomas. We
present a case of a composite lymphoma with diffuse large B-cell lymphoma, not
otherwise specified (DLBCL-NOS) and classical Hodgkin lymphoma (CHL) components
involving the terminal ileum, colon and pericolic lymph nodes.
Immunohistochemical evaluation for determination of cell of origin of the DLBCL
NOS component indicated a germinal center B-cell subtype. Immunoglobulin heavy
chain fragment length analysis revealed identical dominant monoclonal peaks on
the DH1-6-JH reaction, and also a dominant monoclonal peak observed only in the
framework II reaction done on the CHL component, indicating a partial clonal
relationship between the two components. Additionally, a review of the available
literature reveals a total of 20 previously reported cases of CL with DLBCL-NOS
and CHL components, and most of the tested cases showed clonal relationship
between the two components. The overall findings indicate that in most cases, the
two components of CL with DLBCL-NOS and CHL components are clonally related, and
suggest a shared origin from a common B-cell precursor.
PMID- 27887764
TI - The importance of economic context in interpreting forensic data on drug
trafficking networks.
PMID- 27887765
TI - Activity of ceftazidime-avibactam against multidrug-resistance Enterobacteriaceae
expressing combined mechanisms of resistance.
AB - INTRODUCTION: Antimicrobial resistance in Enterobacteriaceae is increasing
worldwide and is making treating infections caused by multidrug-resistant
Enterobacteriaceae a challenge. The use of beta-lactam agents is compromised by
microorganisms harboring extended-spectrum beta-lactamases (ESBLs) and other
mechanisms of resistance. Avibactam is a non beta-lactam agent that inhibits
clinically relevant beta-lactamases, such as ESBL and AmpC. The ceftazidime
avibactam combination (CAZ-AVI) was recently approved for use in certain
complicated infections, and may provide a therapeutic alternative for infections
caused by these microorganisms. METHODS: The in vitro activity of CAZ and CAZ-AVI
(AVI at a fixed concentration of 4mg/L) was tested against 250 clinical isolates
of Enterobacteriaceae using broth microdilution. EUCAST breakpoint criteria were
used for CAZ, and FDA criteria for CAZ-AVI. Clinical isolates included bacteria
producing extended-spectrum beta-lactamases (ESBLs) and acquired AmpC beta
lactamases (AACBLs). Porin loss in Klebsiella pneumoniae was also evaluated.
RESULTS: The combination of AVI with CAZ displayed excellent activity against
clinical isolates of ESBL-producing Escherichia coli and Klebsiella pneumoniae,
rendering all the ceftazidime-resistant isolates susceptible to ceftazidime. CAZ
AVI retained activity against porin-deficient isolates of K. pneumoniae producing
ESBLs, AACBLs, or both, although MIC values were higher compared to porin
expressing isolates. CAZ-AVI rendered all the ceftazidime-resistant AACBL
producing Enterobacteriaceae tested susceptible to ceftazidime. CONCLUSION: CAZ
AVI showed potent in vitro activity against clinical isolates of
Enterobacteriaceae producing ESBLs and/or AACBLs, including K. pneumoniae with
loss of porins.
PMID- 27887768
TI - Evaluation of Acute Kidney Injury Using Contrast Ultrasonography in a Rabbit
Model of Crush Syndrome.
AB - This study aimed to investigate the feasibility of evaluating acute kidney injury
(AKI) using contrast ultrasonography in a rabbit model of crush syndrome. Sixty
four New Zealand white rabbits were anesthetized and the left hind limb was
wrapped and compressed for 4 h with a sphygmomanometer. Contrast ultrasonography
of the left kidney using microbubbles as the contrast medium was performed. The
serum levels of blood urea nitrogen (BUN), creatine (Cr), creatine kinase (CK)
and lactate dehydrogenase (LDH) were significantly increased in comparison to
before the compression. Correlation analysis showed that peak intensity was
negatively correlated with the biochemical parameters and area under the curve
(AUC) was positively correlated with these parameters. Contrast ultrasonography
is thus sensitive to the changes in renal perfusion after limb crush injury in
rabbits. The ultrasonography results correlated well with the biochemical
parameters that are related to muscle injury and AKI.
PMID- 27887770
TI - Robust learning in SpikeProp.
AB - Training a Spiking Neural Network using SpikeProp and its derivatives faces
stability issues. Surges, marked by a sudden rise in learning cost, are a common
occurrence during the learning process. They disrupt the learning process and
often destabilize the process resulting in failure. A proper learning rate, which
is neither too small nor too big, is important to minimize surges. Furthermore,
external disturbances due to imperfection in sample data as well as internal
disturbances are additional destabilizing source during the learning process. In
this paper, we perform error system analysis incorporating external disturbance,
followed by weight convergence analysis along with detailed robust stability
analysis of SpikeProp learning process to ensure error bound of the learning
process. Based on these results, we propose a robust adaptive learning rate
scheme that aligns with the results of theoretical analysis. The performance of
the proposed method has been compared with other prevalent methods based on
different benchmark datasets and the results demonstrate that our method indeed
has better performance in terms of convergence and learning speed as well.
PMID- 27887769
TI - Activity and Sedentary Time 10 Years After a Successful Lifestyle Intervention:
The Diabetes Prevention Program.
AB - INTRODUCTION: This study aims to determine if evidence exists for a lasting
effect of the Diabetes Prevention Program (DPP) lifestyle intervention on
activity levels by comparing objectively collected activity data between the DPP
Outcome Study (DPPOS) cohort and adults from the National Health and Nutrition
Examination Survey (NHANES; 2003-2006). METHODS: Average minutes/day of light and
moderate to vigorous physical activity (MVPA) and sedentary behavior from
ActiGraph accelerometers (collected 2010-2012) were examined (2013-2014) for
comparable DPPOS and NHANES subgroups by age, sex, and diabetes status.
Longitudinal questionnaire data on leisure activity, collected yearly from DPP
baseline to the time of accelerometer measurement (1996-2010; 11.9-year mean
follow-up), were also examined to provide support for a long-term intervention
effect. RESULTS: Average minutes/day of accelerometer-derived MVPA was higher in
all DPPOS subgroups versus NHANES subgroups of similar age/sex/diabetes status;
with values as much as twice as high in some DPPOS subgroups. Longitudinal
questionnaire data from DPP/DPPOS showed a maintained increase of 1.24 MET
hours/week (p=0.026) of leisure activity in DPPOS participants from all original
study arms between DPP baseline and accelerometer recording. There were no
consistent differences between comparable DPPOS and NHANES subgroups for
accelerometer-derived sedentary or light-intensity activity minutes/day.
CONCLUSIONS: More than 10 years after the start of DPP, DPPOS participants
performed more accelerometer-measured MVPA than similar adults from NHANES.
Longitudinal questionnaire data support the accelerometer-based findings by
suggesting that leisure activity levels at the time of accelerometer recording
remained higher than DPP baseline levels.
PMID- 27887771
TI - Microbiological Research Under the Nagoya Protocol: Facts and Fiction.
AB - The Nagoya Protocol is based on concepts of biological diversity that are hardly
applicable to microorganisms. Because of this incongruence, the Nagoya Protocol
threatens future microbial research, potentially defeating its original purpose.
Countries with appropriate regulations can promote science and their bioeconomy
through international collaboration and simultaneously gain a competitive
advantage.
PMID- 27887772
TI - Dechlorination of organochloride waste mixture by microwave irradiation before
forming solid recovered fuel.
AB - In order to form a modified solid recovered fuel (SRF) with low chlorine content,
high calorific value and well combustion performance, low temperature microwave
irradiation was applied to remove the chlorine of the organochloride waste
mixture before they were mixed to form SRF. The optimizing conditions of final
temperature, microwave absorbents and heating rate were also detected to obtain
high dechlorination ratio and high ratio of hydrogen chloride (HCl) to volatiles.
In the temperature range of 220-300 degrees C, 280 degrees C would be chose as
the optimal low microwave modified temperature concerning at which the
dechlorination ratio was high and ratio of HCl to volatiles was relatively high
as well; The use of microwave absorbents of graphite and silicon carbide (SiC)
had a pronounced effect on the dechlorination of organochloride waste mixture,
and the dechlorination ratio was increased significantly which could be reached
to 87%, almost 20% higher than absorbent absent sample; The heating rate should
set be not too fast nor too slow, and there was no big difference between the
heating rate of 13 degrees C/min and 15 degrees C/min; The content of Cl of
modified SRF is dramatically decreased and reaches to a low level 0.328%. Hence,
the modified SRF can be ascended from the third class to the second class
according to the Finland chlorine Classes I-III. Moreover, the combustibility of
modified SRF was substantial improved compared to the traditional SRF. The low
heating value was almost 20.56MJ/kg which is close to the LHV of lignite coal and
bituminous coal in China, and it increased by 60% over that of traditional SRF.
Removing chlorine of organochloride waste mixture before they are mixed with
other kinds of combustible waste to form a modified SRF which is expected to be
an alternative fuel for combustion in the future.
PMID- 27887773
TI - Estimation of fugitive landfill methane emissions using surface emission
monitoring and Genetic Algorithms optimization.
AB - As municipal solid waste (MSW) landfills can generate significant amounts of
methane, there is considerable interest in quantifying fugitive methane emissions
at such facilities. A variety of methods exist for the estimation of methane
emissions from landfills. These methods are either based on analytical emission
models or on measurements. This paper presents a method to estimate methane
emissions using ambient air methane measurements obtained on the surface of a
landfill. Genetic Algorithms based optimization combined with the standard
Gaussian dispersion model is employed to identify locations as well as emission
rates of potential emission sources throughout a municipal solid waste landfill.
Four case studies are employed in order to evaluate the performance of the
proposed methodology. It is shown that the proposed approach enables estimation
of landfill methane emissions and localization of major emission hotspots in the
studied landfills. The proposed source-locating-scheme could be seen as a cost
effective method assisting landfill operators to reasonably estimate and locate
major methane emissions.
PMID- 27887775
TI - Bactericidal dental nanocomposites containing 1,2,3-triazolium-functionalized
POSS additive prepared through thiol-ene click polymerization.
AB - OBJECTIVE: Deterioration of mechanical strength for the dental composite
containing ionic bactericidal compounds restricts the widespread utilization of
this class of useful materials. This problem is originated from the reduction of
the intermolecular interaction of polymeric network due to plasticization effect
of absorbed water molecules penetrated between the chain segments. The main goal
of this study is the synthesis of the highly efficient bactericidal additive with
low hydrophilicity and consequently the least adverse effect on the final
mechanical strength of the dental composite. METHODS: The bactericidal 1, 2, 3
triazolium functional groups were chemically anchored on the surface of
hydrophobic POSS nanoparticles (Triazolium-POSS) and incorporated into a dental
restorative system composed of a ternary thiol-allyl ether-methacrylate resin and
glass fillers. A similar system was also prepared, in which the POSS additive was
replaced with quaternized dimethyl aminoethyl methacrylate monomer (DMAEMA-BC).
The chemical structure of POSS derivatives was evaluated by 1HNMR and FTIR
spectra. The water uptake of dental composites was evaluated at days 1 and 14
after immersion into water. The bactericidal activity of composite specimens
against Streptococcus mutans (ATCC 35668) was determined based on ASTM E 2180 -
07. The flexural properties of samples were investigated through three-point
bending assay and the shrinkage-strain of photo-cured resins was measured using
the bonded-disk technique. The degree of conversion (DC %) of methacrylate
functions was followed by FTIR spectroscopy. MTT assay was performed to
investigate the cytocompatibility of samples. RESULTS: Regardless of the partial
increase in water uptake for Triazolium-POSS-containing sample, this parameter
was much favor than the composite made from DMAEMA-BC. Therefore, the lower
decline in flexural properties was recorded under the wet condition for the
former system. Incorporation of Triazolium-POSS had no significant effect on
shrinkage strain and cytocompatibility of composite specimen, meanwhile, a higher
degree of conversion of methacrylate functional groups was recorded. The
Triazolium-POSS-containing nano composite showed significantly higher
bactericidal activity against Streptococcus mutans than another studied model
system. SIGNIFICANCE: The new derivative of bactericidal POSS nanoparticles
decorated with 1, 2, 3-Triazolium moieties is a highly efficient bactericidal
compound. If Triazolium-POSS is incorporated into a proper dental resin
formulation, it can provide a strong bactericidal activity for dental materials;
in the meantime, it leads to minimum deterioration of their mechanical strength
due to its low water uptake.
PMID- 27887774
TI - Rationale and study design of RESPITE: An open-label, phase 3b study of riociguat
in patients with pulmonary arterial hypertension who demonstrate an insufficient
response to treatment with phosphodiesterase-5 inhibitors.
AB - Patients with pulmonary arterial hypertension (PAH) who do not have an adequate
response to therapy with phosphodiesterase-5 inhibitors (PDE-5i) may have
insufficient synthesis of cyclic guanosine monophosphate (cGMP). These patients
may respond to a direct soluble guanylate cyclase (sGC) stimulator such as
riociguat. RESPITE (NCT02007629) was an open-label, multicenter, uncontrolled,
single-arm phase 3b study of riociguat in patients with PAH who demonstrated an
insufficient response to treatment with PDE-5i. Insufficient response was defined
as World Health Organization functional class (WHO FC) III despite PDE-5i therapy
for at least 90 days; 6-min walk distance (6MWD) of 165-440 m, and right-heart
catheterization showing mean pulmonary artery pressure >30 mmHg, cardiac index
<3.0 L/min/m2, and pulmonary vascular resistance >400 dyn s cm-5. PAH patients
with an insufficient response to stable doses of sildenafil or tadalafil-either
as monotherapy or in combination with an endothelin receptor antagonist-for at
least 90 days were switched to riociguat for 24 weeks. Starting at 1.0 mg TID,
the dose of riociguat was increased during the 8-week titration phase in 0.5-mg
increments in 2-week intervals if the patient had no signs or symptoms of
hypotension. In the ensuing 16-week maintenance phase, riociguat was continued at
the optimal individual dose. All efficacy outcomes were exploratory and include
change from baseline to 24 weeks in 6MWD, cardiac index, N-terminal pro-brain
natriuretic peptide, WHO FC, and quality of life and the proportion of patients
with clinical worsening. The following biomarkers were to be measured: cGMP,
asymmetric dimethyl arginine, growth-differentiation factor-15, and ST2. Results
from RESPITE will help to determine if PAH patients who do not respond to PDE-5i
are likely to benefit from treatment with an sGC stimulator. The study may also
identify biomarkers that are able to suggest which patients are more likely to
respond to sGC stimulators.
PMID- 27887776
TI - Critical role of superoxide anions and hydroxyl radicals in HEMA-induced
apoptosis.
AB - OBJECTIVE: Resin monomers such as 2-hydroxyethyl methacrylate (HEMA) induce
apoptosis because of the excess formation of reactive oxygen species (ROS). The
portion of ROS including superoxide anions, hydrogen peroxide or hydroxyl
radicals in monomer-induced apoptosis is unknown. Here, the effectiveness of
superoxide anions or hydroxyl radicals was analyzed using tempol or sodium
formate as radical scavengers. METHODS: RAW264.7 mouse macrophages were exposed
to HEMA (0-6-8mM) in the presence of tempol (0-0.05-0.5-5.0mM) or sodium formate
(0-1-5-10mM). The formation of ROS using DCFH2-DA or dihydrorhodamine 123
(DHR123) as fluorescent dyes and the induction of apoptosis was determined by
flow cytometry after 1h or 24h exposure periods. Expression of enzymes related to
ROS metabolism was detected by Western blotting. RESULTS: DCF fluorescence
significantly increased after short exposure (1h) while DHR123 fluorescence was
enhanced after a long exposure period (24h) in cells treated with HEMA. Although
no influence was detected on the formation of ROS, tempol or sodium formate
protected cells from HEMA-induced apoptosis. The number of cells in late
apoptosis or necrosis induced with 6 or 8mM HEMA was reduced in the presence of
tempol or low concentrations of sodium formate. HEMA-induced expression of
catalase, indicating oxidative stress, decreased in the presence of tempol.
SIGNIFICANCE: Superoxide anions and hydroxyl radicals contribute to HEMA-induced
apoptosis. The current findings support the development of strategies based on
the pharmacological inhibition of enzymes producing superoxide anions finally
converted to hydroxyl radicals to compensate for potential adverse tissue
reactions associated with dental composites.
PMID- 27887777
TI - ADAMTS13-specific circulating immune complexes as potential predictors of relapse
in patients with acquired thrombotic thrombocytopenic purpura.
AB - BACKGROUND: Acquired thrombotic thrombocytopenic purpura (TTP) is a rare
thrombotic microangiopathy due to the development of autoantibodies against the
VWF-cleaving protease ADAMTS13. ADAMTS13-specific circulating immune complexes
(CICs) have been described in patients with acquired TTP, but their clinical
relevance remained to be established. The aim of this study was to assess the
association between ADAMTS13-specific CICs and ADAMTS13-related measurements,
clinical and laboratory markers of disease severity, and occurrence of TTP
relapse, in autoimmune TTP patients. MATERIAL AND METHODS: We measured ADAMTS13
specific CICs in 51 patients with severe ADAMTS13 deficiency and anti-ADAMTS13
autoantibodies, at the first episode of acquired TTP. The associations between
ADAMTS13-specific CICs and the variables of interest were assessed by linear,
logistic and Cox proportional hazard regression models, where appropriate.
RESULTS: The prevalence of ADAMTS13-specific CICs in patients experiencing the
first TTP episode was 39% (95% confidence intervals [CI]: 26-52%). ADAMTS13
specific CICs were not associated neither with laboratory markers of disease
severity, nor with patterns of clinical presentation. Conversely, among 45
survivors, a positive association was found between the presence of ADAMTS13
specific CICs and the risk of recurrence within 2years after the first TTP
episode (adjusted hazard ratio, 3.4 [95% CI: 0.9 to 13.5]). CONCLUSIONS: ADAMTS13
specific CICs seem to be able to predict the recurrence of acute TTP episodes in
the first 2years after disease onset. Therefore, their measurement might be used
as a tool to stratify the risk of disease relapse, with potential influence on
surveillance and therapeutic choices during remission phase.
PMID- 27887779
TI - Challenges facing the identification of distinctive cognitive and neurobiological
features of schizophrenia and bipolar disorder and the need for a data-driven
approach: Response to Dr. Martino.
PMID- 27887778
TI - Cultivation of four microalgae species in the effluent of anaerobic digester for
biodiesel production.
AB - This study investigated if an effluent from anaerobic digestion (AD) system can
be used as a nutrients source for the microalgae cultivation, and in so doing, if
the effluent can be properly treated. Nitrogen and phosphorus in the AD effluent
well supported microalgal growth, and their removal efficiency reached >97.9% and
99.2%, respectively. Among four different algal species tested, Micractinium
inermum particularly stood out, showing the highest biomass and FAME
productivity: 0.16gL-1d-1 with 3.23gL-1 of dry cell weight, and 0.04gL-1d-1 with
27.54% (w/w) of FAME contents, respectively. As the concentrations of the
nutrients decreased over time, the FAME contents were increased and its quality
as well, satisfying several biodiesel quality standards. This study supports that
the AD effluent can indeed serve as a cheap and nutrient-rich medium for
microalgae cultivation, and equally importantly, microalgae can be a workable
treatment option for it.
PMID- 27887780
TI - Insight and white matter fractional anisotropy in first-episode schizophrenia.
AB - Impaired insight is a hallmark feature of schizophrenia. Structural studies
implicate predominantly prefrontal, cingulate, cuneus/precuneus, and inferior
temporal brain regions. The cortical midline structures (CMS) are also implicated
in functional studies primarily through self-reflective processing tasks.
However, few studies have explored the relationship between white matter tracts
and insight in schizophrenia, and none in first-episode schizophrenia (FES).
Here, we examined for fractional anisotropy (FA) differences in 89 minimally
treated FES patients and 98 matched controls, and identified those FA differences
associated with impaired clinical insight in patients. We found widespread FA
reduction in FES patients compared to controls. Poorer insight in patients was
predicted by lower FA values in a number of white matter tracts with a
predilection for tracts associated with cortical midline structures (fronto
occipital, cingulate, cingulate hippocampus, uncinate, anterior corona radiata),
and more severe depressive symptoms. The association between FA abnormalities and
insight was most robust for the awareness of symptoms and illness awareness
domains. Our study implicates a network of tracts involved in impaired insight in
schizophrenia with a predilection for the CMS. This study is a first step in
delineating the white matter tracts involved in insight impairment in
schizophrenia prior to chronicity.
PMID- 27887781
TI - High psychosis liability is associated with altered autonomic balance during
exposure to Virtual Reality social stressors.
AB - BACKGROUND: Social stressors are associated with an increased risk of psychosis.
Stress sensitisation is thought to be an underlying mechanism and may be
reflected in an altered autonomic stress response. Using an experimental Virtual
Reality design, the autonomic stress response to social stressors was examined in
participants with different liability to psychosis. METHOD: Fifty-five patients
with recent onset psychotic disorder, 20 patients at ultra-high risk for
psychosis, 42 siblings of patients with psychosis and 53 controls were exposed to
social stressors (crowdedness, ethnic minority status and hostility) in a Virtual
Reality environment. Heart rate variability parameters and skin conductance
levels were measured at baseline and during Virtual Reality experiments. RESULTS:
High psychosis liability groups had significantly increased heart rate and
decreased heart rate variability compared to low liability groups both at
baseline and during Virtual Reality experiments. Both low frequency (LF) and high
frequency (HF) power were reduced, while the LF/HF ratio was similar between
groups. The number of virtual social stressors significantly affected heart rate,
HF, LF/HF and skin conductance level. There was no interaction between psychosis
liability and amount of virtual social stress. CONCLUSION: High liability to
psychosis is associated with decreased parasympathetic activity in virtual social
environments, which reflects generally high levels of arousal, rather than
increased autonomic reactivity to social stressors.
PMID- 27887782
TI - Ambient particulate matter, landscape fire smoke, and emergency ambulance
dispatches in Sydney, Australia.
AB - BACKGROUND: Emergency ambulance dispatches (EAD) are a novel outcome for
evaluating the public health impacts of air pollution. We assessed the
relationships between ambient particulate matter (PM) from all sources, PM from
landscape fire smoke (LFS), and EADs likely to be associated with
cardiorespiratory problems in the Sydney greater metropolitan region for an 11
year period from 2004 to 2015. METHODS: EAD codes are assigned at the time of the
call to emergency services using standard computer assisted algorithms. We
assessed EADs coded as: breathing problems, chest pain, stroke or cerebrovascular
accident (stroke), cardiac or respiratory arrest and death (arrest), and heart or
defibrillator problems (other heart problems). Using a daily times series study
design with a generalized linear Poisson regression model we quantified the
association between EAD and daily PM2.5 from all sources (PM2.5,all) and PM2.5
primarily due to LFS (PM2.5,LFS). RESULTS: Increases of 10MUg.m-3 in PM2.5,all
were positively associated with same day EAD for breathing problems (RR=1.03, 95%
CI 1.02 to 1.04), arrest (RR=1.03, 95% CI 1.00 to 1.06), and chest pain (RR=1.01
CI 1.00 to 1.02) but not with other outcomes. Increases of 10MUg.m-3 PM2.5,LFS
were also positively associated with breathing problems on the same day (RR=1.04,
95% CI 1.02 to 1.05) and other heart problems at lag of two days (RR=1.05, 95% CI
1.01 to 1.09). CONCLUSIONS: Emergency dispatches for breathing problems are
associated with PM2.5,all and PM2.5,LFS and provide a sensitive end point for
continued research and surveillance activities investigating the impacts of daily
fluctuations in ambient PM2.5.
PMID- 27887783
TI - A systematic review of Mancozeb as a reproductive and developmental hazard.
AB - BACKGROUND: The potential adverse reproductive and developmental effects of
Mancozeb, especially in sensitive subpopulations, have not been fully reviewed
for this widely used fungicide. OBJECTIVE: To review the experimental and
epidemiologic evidence for the association between exposure to Mancozeb and
reproductive and developmental health outcomes using an adaptation of the
National Toxicology Program's Office of Health Assessment and Translation (OHAT)
systematic review framework. DATA SOURCES: Four databases (PubMed, TOXNET, Web of
Science, Google Scholar) were searched for published studies on Mancozeb. Of 403
identified articles, 30 met our inclusion criteria for systematic review.
RESULTS: Results from in vitro studies provide evidence that Mancozeb may
indirectly disrupt or impair reproduction at the cellular level and should be
regarded as a reproductive toxicant. Animal studies confirm reproductive and
developmental toxicity in mammals and suggest that males chronically exposed to
Mancozeb experience significant changes in physiological, biochemical, and
pathological processes that may lead to infertility. Epidemiological studies were
limited to indirect methods of exposure assessment and examined the effect of
fungicides more broadly during pre-conception, pregnancy, and birth, yielding
mixed results. CONCLUSIONS: High confidence ratings from in vitro and animal
studies, in combination with moderate confidence ratings from epidemiologic
studies employing indirect methods of exposure assessment, provide evidence that
Mancozeb should be regarded as a suspected developmental hazard and a presumed
reproductive hazard in humans. More population-based studies linking direct
measures and/or biomarkers of exposure to adverse effects on male and female
fertility, as well as in utero and early life development, are needed to improve
the quality of the evidence base concerning the human reproductive and
developmental consequences of Mancozeb exposure.
PMID- 27887784
TI - Endoscopic debridement and fibrin glue injection of a chronic Morel-Lavallee
lesion of the knee in a professional soccer player: A case report and literature
review.
AB - A Morel-Lavallee lesion is a post-traumatic closed degloving injury of soft
tissue. The lesion is due to a shearing trauma with separation of subcutaneous
tissue from underlying fascia. When conservative treatment fails, surgical
treatment is imperative. Commonly, open drainage and debridement is performed.
This case report describes a Morel-Lavallee lesion of the knee in a professional
soccer player who was successfully treated with endoscopic debridement and fibrin
glue injection after failure of conservative management. This method achieves the
goal of an open surgical debridement without exposing patients to an increased
morbidity.
PMID- 27887785
TI - Thromboelastography (TEG(r)) demonstrates that tinzaparin 4500 international
units has no detectable anticoagulant activity after caesarean section.
AB - BACKGROUND: Low molecular weight heparin is routinely used for thromboprophylaxis
in pregnancy and the puerperium. Consensus guidelines recommend waiting 10-12h
after administration of a thromboprophylactic dose of low molecular weight
heparin before performing a neuraxial block or removing an epidural catheter.
Thromboelastography (TEG(r)) has been reported to be sensitive to the effects of
enoxaparin 4h after administration. The purpose of this study was to use TEG to
examine coagulation changes in the first 10h after a thromboprophylactic dose of
tinzaparin in an attempt to ratify the current consensus guidelines about timing
of neuraxial blockade and epidural catheter removal. METHODS: Twenty-four women
who had undergone caesarean delivery and were classified as low or intermediate
risk of thrombosis were recruited. Blood samples were taken before subcutaneous
administration of tinzaparin 4500IU, and at 4, 8 and 10h post-dose. Standard TEG
analyses were performed using plain and heparinase cuvettes and samples were also
sent for laboratory anti-Xa assay. Thromboelastograph profiles were analysed for
a low molecular weight heparin effect. RESULTS: Analysis revealed no significant
differences in R time, K time, alpha angle or maximum amplitude between plain and
heparinase samples at any time point. Apart from a small statistically
significant (P=0.033) decrease in maximum amplitude of 2.8% (95% CI 0.3 to 5.4%)
at 4h, there were no significant changes in coagulation for any TEG parameter.
Anti-Xa levels were virtually undetectable in all patients over the 10h period
(median 0.00U/mL; range 0.00-0.13U/mL). CONCLUSION: A thromboprophylactic dose of
tinzaparin 4500IU had little detectable effect on coagulation as assessed by TEG
and anti-Xa assay. These findings support consensus guidelines which state that
it is acceptable to perform neuraxial blockade or remove an epidural catheter 10
12h after a thromboprophylactic dose of tinzaparin. Rather than suggesting a lack
of anticoagulant activity, the findings indicate that TEG may not have the
sensitivity to detect a tinzaparin effect when this dose is used in this patient
group.
PMID- 27887786
TI - Validity and reliability of Fitbit activity monitors compared to ActiGraph GT3X+
with female adults in a free-living environment.
AB - OBJECTIVES: Inexpensive activity monitors have recently gained popularity with
the general public. Researchers have evaluated these consumer-based monitors in
laboratory-conditions. Given the current wide-spread consumer use of these
devices, it is important to ensure users are attaining accurate information
compared to previously validated measures. This study investigates the accuracy
of Fitbit One and Flex activity monitors in measuring steps, sedentary time, and
time spent in light, moderate, and vigorous intensity activities with ActiGraph
GT3X+ with female adults in free-living conditions. DESIGN: Cross-sectional
study. METHODS: Twenty-two women, 21.23+/-1.63 years, BMI: 22.35+/-2.34kg/m2 wore
two Fitbit Ones (bra and waist), one Fitbit Flex on the wrist, and one ActiGraph
GT3X+ on the waist for seven-consecutive days. Repeated measures ANOVA was used
to explore differences in steps, sedentary time, and time spent in light,
moderate and vigorous intensity activities among the four devices. RESULTS: No
differences were found in number of steps recorded across the four devices.
Fitbit One, waist and bra, overestimated time spent in light intensity
activities. Fitbit One (waist) and Fitbit Flex overestimated time spent in
moderate intensity activities. Fitbit One, waist and bra, and Fitbit Flex
overestimated time spent in vigorous intensity activities. All Fitbit activity
monitors overestimated MVPA and underestimated sedentary time compared to the
ActiGraph. CONCLUSIONS: Regardless of wear-location all Fitbit devices provide
similar activity monitoring and users can wear the devices wherever best
accommodates their lifestyle or needs. Users should not rely solely on these
monitors when tracking vigorous and MVPA activities.
PMID- 27887787
TI - Vascular endothelial growth factor (VEGF) and platelet-derived growth factor
(PDGF) levels in the cerebrospinal fluid of children with influenza-associated
encephalopathy.
AB - INTRODUCTION: To search for an index of neurologic prognosis of children with
influenza-associated encephalopathy (IAE), involvement of angiogenesis-related
growth factors in the pathology was investigated. PATIENTS AND METHODS: The
subjects were 11 IAE patients, 6 patients with bacterial meningitis (BM), and 24
patients with non-central nervous system infection as a control group admitted to
our hospital. The correlation between the vascular endothelial growth factor
(VEGF) and platelet-derived growth factor (PDGF) levels in cerebrospinal fluid
and the relationship with an index of inflammatory marker, interleukin (IL)-6,
were investigated. Using the Pediatric Cerebral Performance Categories (PCPC)
score as a prognostic indicator, we evaluated the association between the
biomarkers and neurologic prognosis. RESULT: PDGF significantly increased in the
IAE group compared with that in the BM group. Cerebrospinal fluid VEGF and PDGF
increased in all IAE and BM patients compared with that in the control group, and
VEGF and PDGF were positively correlated in the 2 groups. No correlation was
found between the cerebrospinal fluid VEGF and PDGF levels and IL-6 level in the
IAE group, whereas a correlation was found in the BM group. All these factors
increased in patients with poor neurologic prognosis. DISCUSSION: It is possible
that the disease state of IAE can be evaluated based on vascular endothelial
disorder-related markers.
PMID- 27887788
TI - Hospital admission volume does not impact the in-hospital mortality of acute
pancreatitis.
AB - BACKGROUND: Multiple factors influence mortality in Acute Pancreatitis (AP).
METHODS: To evaluate the association of demographic, clinical, and hospital
factors with the in-hospital mortality of AP using a population-based
administrative database. The Maryland HSCRC database was queried for adult (>=18
years) admissions with primary diagnosis of AP between 1/94-12/10. Organ failure
(OF), interventions, hospital characteristics and referral status were evaluated.
RESULTS: There were 72,601 AP admissions across 48 hospitals in Maryland with 885
(1.2%) deaths. A total of 1657 (2.3%) were transfer patients, of whom 101 (6.1%)
died. Multisystem OF was present in 1078 (1.5%), of whom 306 (28.4%) died. On
univariable analysis, age, male gender, transfer status, comorbidity, OF, all
interventions, and all hospital characteristics were significantly associated
with mortality; however, only age, transfer status, OF, interventions, and large
hospital size were significant in the adjusted analysis. Patients with commercial
health insurance had significantly less mortality than those with other forms of
insurance (OR 0.65, 95% CI: 0.52, 0.82, p = 0.0002). CONCLUSION: OF is the
strongest predictor of mortality in AP after adjusting for demographic, clinical,
and hospital characteristics. Admission to HV or teaching hospital has no
survival benefit in AP after adjusting for OF and transfer status.
PMID- 27887789
TI - Relationship between caries, body mass index and social class in Spanish
children.
AB - OBJECTIVE: To determine the association between caries, body mass index (BMI) and
social class in child population of the Valencia region (Spain) at 6, 12 and 15
years, and study. METHODS: In a cross sectional study of 1326 children aged 6
(n=488), 12 (n=409) and 15 years (n=433) who took part in the 2010 Oral Health
Survey of the Valencia region, the ICDAS II criteria were employed for diagnosing
and coding all the teeth examined. The quantitative BMI values on a continuous
scale were grouped into 3 categories (normal weight, overweight, obese) based on
a table adjusted for age and gender. The highest-ranking occupation of the
parents was taken to indicate the social class of the child. RESULTS: The mean
BMI was 17.21 at 6 years, 21.39 at 12 years and 22.38 at 15 years. No significant
differences in caries indexes (DMFT or dft) by degree of obesity stratified by
social class were found in any of the age groups studied. There was no
significant correlation between BMI and DMFT-dft in any of the age groups.
CONCLUSIONS: Obesity is not associated with dental caries in schoolchildren of
this population.
PMID- 27887790
TI - In Response.
PMID- 27887791
TI - Cryptogenic Stroke and Nonstenosing Intracranial Calcified Atherosclerosis.
AB - OBJECTIVE: Because some cryptogenic strokes may result from large-artery
atherosclerosis that goes unrecognized as it causes <50% luminal stenosis, we
compared the prevalence of nonstenosing intracranial atherosclerotic plaques
ipsilateral to cryptogenic cerebral infarcts versus the unaffected side using
imaging biomarkers of calcium burden. METHODS: In a prospective stroke registry,
we identified patients with cerebral infarction limited to the territory of one
internal carotid artery (ICA). We included patients with stroke of undetermined
etiology and, as controls, patients with cardioembolic stroke. We used
noncontrast computed tomography to measure calcification in both intracranial
ICAs, including qualitative calcium scoring and quantitative scoring utilizing
the Agatston-Janowitz (AJ) calcium scoring. Within subjects, the Wilcoxon signed
rank sum test for nonparametric paired data was used to compare the calcium
burden in the ICA upstream of the infarction versus the ICA on the unaffected
side. RESULTS: We obtained 440 calcium measures from 110 ICAs in 55 patients.
Among 34 patients with stroke of undetermined etiology, we found greater calcium
in the ICA ipsilateral to the infarction (mean Modified Woodcock Visual Scale
score, 6.7 +/- 4.6) compared with the contralateral side (5.4 +/- 4.1) (P =
.005). Among 21 patients with cardioembolic stroke, we found no difference in
calcium burden ipsilateral to the infarction (6.7 +/- 5.9) versus the
contralateral side (7.3 +/- 6.3) (P = .13). The results were similar using
quantitative calcium measurements, including the AJ calcium scores. CONCLUSION:
In patients with strokes of undetermined etiology, the burden of calcified
intracranial large-artery plaque was associated with downstream cerebral
infarction.
PMID- 27887792
TI - Edaravone with and without .6 Mg/Kg Alteplase within 4.5 Hours after Ischemic
Stroke: A Prospective Cohort Study (PROTECT4.5).
AB - BACKGROUND: Edaravone is widely used to treat acute ischemic stroke (AIS) within
24 hours of onset. We aimed to evaluate current edaravone treatment practices and
the efficacy and safety of edaravone used with recombinant tissue plasminogen
activator (tPA) in AIS patients within 4.5 hours of onset. The results were
compared with those of the Safe Implementation of Treatments in Stroke
International Stroke Thrombolysis Registry (SITS-ISTR) study. METHODS: PROTECT4.5
was a prospective observational study conducted from April 2010 to March 2013 in
Japan. The primary end points were favorable outcomes (modified Rankin Scale
score [mRS] 0-1) at 3 months after onset and incidence of symptomatic
intracranial hemorrhage (sICH) within 36 hours of treatment. For comparison with
SITS-ISTR, patients were categorized based on the time from onset to treatment
(within 3 hours of and 3-4.5 hours after onset) and baseline National Institutes
of Health Stroke Scale score (NIHSS). RESULTS: Among the 11,384 registered
patients, 11,126 and 8274 patients were included in the safety and efficacy
analysis populations, respectively. The proportions of patients with mRS 0-1
receiving edaravone alone and edaravone + tPA were 51.3% (95% confidence
interval, 49.7%-52.8%) and 39.0% (37.6%-40.5%), respectively. The incidence of
sICH within 36 hours after tPA treatment (edaravone + tPA group) was 1.6% (1.3%
2.0%). When compared with the SITS-ISTR results, those treated with edaravone +
tPA appeared to show better outcomes in patients with NIHSS score >=16.
CONCLUSIONS: The efficacy and safety of edaravone combined with tPA and
administered within 4.5 hours of AIS onset were demonstrated with numerically
lower incidence of sICH and better outcomes.
PMID- 27887793
TI - Antiproliferative and antimetastatic action of quercetin on A549 non-small cell
lung cancer cells through its effect on the cytoskeleton.
AB - To our knowledge, this study is the first to investigate the effect of the
dietary flavonoid quercetin on the main cytoskeletal elements, namely
microfilaments, microtubules and vimentin intermediate filaments, as well as
cytoskeleton-driven processes in A549 non-small cell lung cancer cells. The
methyl-thiazol-diphenyl-tetrazolium assay, annexin V/propidium iodide test,
electron microscopic examination, cell cycle analysis based on DNA content, real
time PCR assays, in vitro scratch wound-healing assay, fluorescence staining of F
actin, beta-tubulin and vimentin were performed to assess the effects of
quercetin on A549 cells. Our results showed that quercetin triggered BCL2/BAX
mediated apoptosis, as well as necrosis and mitotic catastrophe, and inhibited
the migratory potential of A549 cells. The disassembling effect of quercetin on
microfilaments, microtubules and vimentin filaments along with its inhibitory
impact on vimentin and N-cadherin expression might account for the decreased
migration of A549 cells in response to quercetin treatment. We also suggest that
the possible mechanism underlying quercetin-induced mitotic catastrophe involves
the perturbation of mitotic microtubules leading to monopolar spindle formation,
and, consequently, to the failure of cytokinesis. We further propose that
cytokinesis failure could also be a result of the depletion of actin filaments by
quercetin. These findings are important to our further understanding of the
detailed mechanism of the antitumor activity of quercetin and render this
flavonoid a potentially useful candidate for combination therapy with
conventional antimicrotubule drugs, nucleic acid-directed agents or novel
cytoskeletal-directed agents.
PMID- 27887794
TI - Retinoschisis and neurosensory detachment in advanced focal glaucoma.
AB - CLINICAL CASE: A 71-year-old woman with normotensive primary open-angle glaucoma
presented with an asymptomatic temporal peripapillary retinoschisis, associated
with serous retinal detachment in the eye with the more advanced glaucoma. It was
located at the inferior pole of the optic disc, in the proximity of a
glaucomatous focal disc defect. DISCUSSION: Although congenital optic pits are
strongly related with juxta-papillary retinoschisis, retinoschisis can also arise
from acquired defects in the proximity of glaucomatous optic discs. As symptoms
depend on the extent of the retinoschisis, the prevalence of this complication
could be greater than that reported in glaucomatous eyes.
PMID- 27887795
TI - Clinician knowledge, clinician barriers, and perceived parental barriers
regarding human papillomavirus vaccination: Association with initiation and
completion rates.
AB - PURPOSE: We tested the hypothesis that clinician knowledge, clinician barriers,
and perceived parental barriers relevant to the human papillomavirus (HPV)
vaccination account for the variation in vaccine delivery at the practice-site
level. METHODS: We conducted a survey from October 2015 through January 2016
among primary care clinicians (n=280) in a 27-county geographic region to assess
clinician knowledge, clinician barriers, and perceived parental barriers
regarding HPV vaccination. Primary care clinicians included family medicine
physicians, general pediatricians, and family and pediatric nurse-practitioners.
We also used the Rochester Epidemiology Project to measure HPV vaccination
delivery. Specifically we used administrative data to measure receipt of at least
one valid HPV vaccine dose (initiation) and receipt of three valid HPV vaccine
doses (completion) among 9-18year old patients residing in the same 27-county
geographic region. We assessed associations of clinician survey data with
variation in vaccine delivery at the clinical site using administrative data on
patients aged 9-18years (n=68,272). RESULTS: Consistent with our hypothesis, we
found that greater knowledge of HPV and the HPV vaccination was associated with
higher rates of HPV vaccination initiation (Incidence rate ratio [IRR]=1.05) and
completion of three doses (IRR=1.28). We also found support for the hypothesis
that greater perceived parental barriers to the HPV vaccination were associated
with lower rates of initiation (IRR=0.94) and completion (IRR=0.90). These IRRs
were statistically significant even after adjustment for site-level
characteristics including percent white, percent female, percent ages 9-13, and
percent with government insurance or self-pay at each site. CONCLUSIONS:
Clinician knowledge and their report of the frequency of experiencing parental
barriers are associated with HPV vaccine delivery rates-initiation and
completion. Higher measures of knowledge correlated with higher rates. Fewer
perceived occurrences of parental barriers correlated with lower rates. These
data can guide efforts to improve HPV vaccine delivery in clinical settings.
PMID- 27887797
TI - Mortality burden and prognosis of thin melanomas overall and by subcategory of
thickness, SEER registry data, 1992-2013.
AB - BACKGROUND: Thin melanomas cause a high death toll despite excellent prognosis.
OBJECTIVE: We examined melanoma mortality burden and prognosis by categories of
thickness within Surveillance, Epidemiology, and End Results (SEER) 13 Registry
1992-2013. METHODS: We divided 49,319 stage I and II melanoma cases diagnosed
between 1992 and 2003 into T1 through T4 and then subdivided T1 into 0.01-0.25
mm, 0.26-0.50 mm, 0.51-0.75 mm, and 0.76-1.00 mm categories. We determined the
number and proportion of deaths due to melanoma within 10 years of diagnosis for
each thickness category and proportions within T1 subcategories with ulceration.
RESULTS: We confirmed prognosis worsened as melanoma thickened from T1 to T4;
however, most deaths resulted from melanomas that were diagnosed at the T1 stage.
The smallest number of deaths within T1 resulted from 0.01-0.25 mm-thick
melanomas; however, the risk for death within 10 years was greater for those
diagnosed with melanoma when tumor depth was 0.01-0.25 mm than for those
diagnosed when tumor depth was 0.26-0.50 mm. Prognosis worsened with depths
starting at 0.51 mm. The pattern within T1 was not explained by ulceration.
LIMITATIONS: We did not evaluate melanoma subtype, mitotic rate, or other
associated features. CONCLUSION: Thin melanomas are a substantial public health
burden. Efforts should be made to diagnose melanoma at the in situ stage.
PMID- 27887796
TI - Vaccine strategies: Optimising outcomes.
AB - Successful immunisation programmes generally result from high vaccine
effectiveness and adequate uptake of vaccines. In the development of new
vaccination strategies, the structure and strength of the local healthcare system
is a key consideration. In high income countries, existing infrastructures are
usually used, while in less developed countries, the capacity for introducing new
vaccines may need to be strengthened, particularly for vaccines administered
beyond early childhood, such as the measles or human papillomavirus (HPV)
vaccine. Reliable immunisation service funding is another important factor and
low income countries often need external supplementary sources of finance. Many
regions also obtain support in generating an evidence base for vaccination via
initiatives created by organisations including World Health Organization (WHO),
the Pan American Health Organization (PAHO), the Agence de Medecine Preventive
and the Sabin Vaccine Institute. Strong monitoring and surveillance mechanisms
are also required. An example is the efficient and low-cost approaches for
measuring the impact of the hepatitis B control initiative and evaluating
achievement of goals that have been established in the WHO Western Pacific
region. A review of implementation strategies reveals differing degrees of
success. For example, in the Americas, PAHO advanced a measles-mumps-rubella
vaccine strategy, targeting different population groups in mass, catch-up and
follow-up vaccination campaigns. This has had much success but coverage data from
some parts of the region suggest that children are still not receiving all
appropriate vaccines, highlighting problems with local service infrastructures.
Stark differences in coverage levels are also observed among high income
countries, as is the case with HPV vaccine implementation in the USA versus the
UK and Australia, reflecting differences in delivery settings. Experience and
research have shown which vaccine strategies work well and the factors that
encourage success, which often include strong support from government and
healthcare organisations, as well as tailored, culturally-appropriate local
approaches to optimise outcomes.
PMID- 27887798
TI - Development and validation of a patient-reported outcome measure in vitiligo: The
Self Assessment Vitiligo Extent Score (SA-VES).
AB - BACKGROUND: The Vitiligo Extent Score (VES) has recently been introduced as a
physicians' score for the clinical assessment of the extent of vitiligo, but a
good patient self-assessment score is lacking. OBJECTIVE: The objective is to
develop and validate a simplified version of the VES as a patient-reported
outcome measure (PROM). METHODS: After extensive pilot testing, patients were
asked to score their vitiligo extent twice with an interval of 2 weeks using the
Self Assessment Vitiligo Extent Score (SA-VES). The scores were compared with the
physicians' evaluation (VES). RESULTS: The SA-VES demonstrated very good test
retest reliability (intraclass correlation = 0.948, 95% confidence interval [CI]:
0.911-0.970) that was not affected by age, skin type, or vitiligo distribution
pattern. According to patients, this evaluation method was easy to use (22% very
easy; 49% easy; 29% normal) and required <5 minutes in the majority of patients
(73%, <5 minutes; 24%, 5-10 minutes; 2%, 10-15 minutes). Comparison of the SA-VES
and the VES demonstrated excellent correlation (r = 0.986, P <.001). LIMITATIONS:
Few patients had a dark skin type. CONCLUSION: The results demonstrate excellent
reliability of the SA-VES and excellent correlation with its investigator
reported counterpart (VES). This patient-oriented evaluation method provides a
useful tool for the assessment of vitiligo extent.
PMID- 27887799
TI - Procedural and longer-term outcomes of wire- versus device-based antegrade
dissection and re-entry techniques for the percutaneous revascularization of
coronary chronic total occlusions.
AB - BACKGROUND: There are few data regarding the procedural and follow-up outcomes of
different antegrade dissection/re-entry (ADR) techniques for chronic total
occlusion (CTO) percutaneous coronary intervention (PCI). METHODS: We compiled a
multicenter registry of consecutive patients undergoing ADR-based CTO PCI at four
high-volume specialized institutions. Patients were divided according to the
specific ADR technique used: subintimal tracking and re-entry (STAR), limited
antegrade subintimal tracking (LAST), or device-based with the CrossBoss/Stingray
system (Boston Scientific, Marlborough, MA). Major adverse cardiac events (MACE:
cardiac death, target-vessel myocardial infarction and target-vessel
revascularization) on follow-up were the main outcome of this study. Independent
predictors of MACE were sought with Cox regression analysis. RESULTS: A total of
223 patients were included (STAR n=39, LAST n=68, CrossBoss/Stingray n=116).
Baseline characteristics were similar across groups. Technical and procedural
success was lower with STAR (59% and 59%), as compared with LAST (96% and 96%)
and CrossBoss/Stingray (89% and 87%; p<0.001 for both). At 24-month follow-up,
MACE rates were higher in STAR (15.4%) and LAST (17.5%), as compared with device
based ADR with CrossBoss/Stingray (4.3%, p=0.02), driven by TVR (7.7% vs. 15.5%
vs. 3.1%, respectively; p=0.02). Multivariable Cox regression analysis identified
wire-based ADR (STAR and LAST) and total stent length as independent predictors
of MACE. CONCLUSIONS: In this multicenter cohort of patients undergoing CTO PCI
with ADR techniques, STAR had lower success rates, as compared with the
CrossBoss/Stingray system and LAST. The CrossBoss/Stingray system was
independently associated with lower risk of MACE on follow-up, as compared with
wire-based ADR techniques.
PMID- 27887800
TI - Coronary artery disease and lunar catecholamine cardiomyopathy.
AB - OBJECTIVE: Show how lunar catecholamine cardiomyopathy alone, exemplified by Neil
Armstrong's single space walk, prior to exposure to inhalation of fine
particulate matter, can trigger " Neil Armstrong Syndrome" or by Irwin with
coronary, possibly hypertensive heart disease, and catecholamine cardiomyopathy.
With space flight, invariably magnesium ion deficits, catecholamine elevations,
vicious cycles. Design Use lunar heart rates while configuring rover to show
severe tachycardia component of the syndrome. Use Irwin's stress test-" cyanotic
fingernails" to support Apollo 15 Space Syndrome. Use Irwin's autobiography to
compensate for often incomplete data. Results Paper shows that both Irwin as well
as Armstrong meet criteria of my 2nd. Space Syndrome: severe thirst, severe
shortness of breath, severe tachycardia, the latter, corrected by replenishing
plasma volume. Conclusions Irwin, with a history of hypertension prior to the
Apollo 15 mission and classical angina during Earth reentry, may have had
coronary as well as hypertensive heart disease whereas there was no evidence that
Armstrong had these conditions prior or during his mission. However both, on
return to Earth, had abnormal stress tests.
PMID- 27887801
TI - Cardio-cerebrovascular disease and Helicobacter pylori-related metabolic
syndrome: We consider eradication therapy as a potential cardio-cerebrovascular
prevention strategy.
PMID- 27887803
TI - Reply to the letter to the editor "Survival after cardiac arrest in Greece".
PMID- 27887802
TI - Meta-analysis of clopidogrel pretreatment in acute coronary syndrome patients
undergoing invasive strategy.
AB - BACKGROUND: It is unknown whether pretreatment with clopidogrel in acute coronary
syndrome (ACS) managed invasively, is superior to a strategy of administering
clopidogrel in the cardiac catheterization laboratory at the time of percutaneous
coronary intervention (PCI). Current practice guidelines do not endorse one
strategy over the other. METHODS: A comprehensive literature search was done to
identify all relevant studies comparing pretreatment with clopidogrel to
administration in the cardiac catheterization laboratory at the time of PCI (no
pretreatment). A meta-analysis using a random effects model was used to calculate
outcomes of interest. RESULTS: Our search identified 16 studies including 61,517
ACS patients undergoing cardiac catheterization. At 30days, clopidogrel
pretreatment was associated with lower MACE 7.67% vs 9.46% (odds ratio (OR) 0.77,
95% confidence interval (CI) [0.68, 0.86]; P<0.0001) and all-cause mortality 2.8%
vs 4.1% (OR 0.70, 95% CI [0.58, 0.85]; P=0.0003). Mortality according to the
longest follow up available was also significantly lower with pretreatment. No
difference in major bleeding events was observed. These results were not
significantly different between randomized vs observational studies or STEMI vs
NSTEACS patients. Sensitivity analysis showed significantly lower MACE 7.98% vs
9.6% (OR 0.83, 95% CI [0.71, 0.96]; P=0.01) without increased major bleeding in
NSTEACS patients undergoing PCI within 48h from pretreatment. CONCLUSION: In ACS
patients undergoing PCI, clopidogrel pretreatment was associated with
significantly lower 30day all-cause mortality and major adverse cardiovascular
events without increased major bleeding events.
PMID- 27887804
TI - The effect of photobiomodulation on chemotherapy-induced peripheral neuropathy: A
randomized, sham-controlled clinical trial.
AB - BACKGROUND: Chemotherapy-induced peripheral neuropathy (CIPN) is a common side
effect of cancer therapy with few efficacious treatments. METHODS: We enrolled 70
patients with CIPN in a randomized, double-blinded, sham-controlled, cross-over
trial to determine if photobiomodulation (PBM)+/-physiotherapy reduced the
symptoms of neuropathy compared to sham treatment. At the conclusion of follow
up, sham-arm patients could cross-over into a third arm combining PBM and
physiotherapy to determine if multimodal treatment had additive effects.
Treatment included 30minute sessions 3-times weekly for 6weeks using either PBM
or sham therapy. Neuropathy was assessed using the modified total neuropathy
score (mTNS) at initiation and 4, 8, and 16weeks after initiating treatment.
RESULTS: Sham-treated patients experienced no significant change in mTNS scores
at any point during the primary analysis. PBM patients experienced significant
reduction in mTNS scores at all time points. Mean changes in mTNS score (and
corresponding percent drop from baseline) for sham and PBM-group patients
respectively were -0.1 (-0.7%) and -4.2 (-32.4%) at 4weeks (p<0.001), 0.2 (0.0%)
and -6.8 (-52.6%) at 8weeks (p<0.001), and 0.0 (0.1%) and -5.0 (-38.8%) at
16weeks (p<0.001). Patients who crossed over into the PBM/PT-group experienced
similar results to those treated primarily; changes in mTNS score from baseline
were -5.5 (-40.6%) 4weeks (p<0.001), -6.9 (-50.9%) at 8weeks (p<0.001), and -4.9
(-35.9%) at 16weeks (p<0.001). The addition of physiotherapy did not improve
outcomes over PBM alone. CONCLUSION AND RELEVANCE: Among patients with CIPN, PBM
produced significant reduction in neuropathy symptoms.
PMID- 27887805
TI - Patterns of care of IMRT usage in postoperative management of uterine cancer.
AB - OBJECTIVE: To analyze the patterns of care regarding intensity modulated
radiation therapy (IMRT) usage in the postoperative management of uterine cancer.
METHODS: The National Cancer Database was queried to identify women with
endometrial adenocarcinoma who underwent hysterectomy followed by external beam
radiation between 2004-2012. Descriptive statistics were used to analyze IMRT
usage with comparison via the Chi Square test. Overall survival was also compared
between IMRT and three dimensional conformal radiation therapy. Multivariable
logistic regression and multivariable Cox Regression were used to identify
covariables that impact IMRT usage and improved survival respectively. RESULTS:
7839 women were included in this study. IMRT utilization increased from 1.9% in
2004 to 32.4% in 2012 (p<0.001). The adjusted odds ratio (OR) for IMRT in 2012
compared with 2004 was 24.90, 95% CI 15.24-40.67 (p<0.001). Aside from year,
other predictors of IMRT usage on multivariate analysis were positive nodes,
higher dose, private insurance and higher income. Black race was associated with
lower IMRT usage compared to Whites with an OR of 0.60, 95% CI 0.44-0.81
(p=0.001). IMRT was not associated with significantly increased survival (HR
0.86, 95% CI 0.73-1.01, p=0.06). Black race and positive nodes were associated
with decreased survival within the group studied whereas private insurance and
higher income were associated with improved survival. CONCLUSIONS: In this
hospital-based registry, IMRT has significantly increased in utilization for
postoperative radiation in uterine cancer between 2004-2012 although not
resulting in significantly improved survival. Socioeconomic and racial
disparities exist in the allocation of IMRT usage.
PMID- 27887807
TI - The role of the pharmacy in the management of bronchial asthma: A literature
based evaluation.
AB - OBJECTIVE: Pharmacists play a relevant role in the real-life management of asthma
because they are a first-line referral for patients. In fact, the role of
pharmacies has been underlined and evidenced also in guidelines. Nonetheless, the
true effect of pharmacy-based management of asthma has been assessed in only a
few studies. We review the available literature on asthma management in a
territorial pharmacy setting. DATA SOURCES: The literature was searched for the
keywords pharmacy, bronchial asthma, control, and management. STUDY SELECTIONS:
The available studies were subdivided into observational and interventional and
described. RESULTS: Seven observational studies and 14 interventional trials were
found, involving approximately 20,000 individuals. Most of those studies were
performed in Europe and Australia. A high proportion of patients had poorly
controlled asthma in the observational studies. The active involvement of
pharmacists, in the interventional trials, consistently led to an improvement of
the quality of life, a better inhalation technique, and a reduction of
exacerbations. CONCLUSION: The literature analysis confirms the relevance of the
role of pharmacists in the real-life management of bronchial asthma and
underlines the need for a more specific training for those health care
professionals.
PMID- 27887806
TI - A comparison of two psychological interventions for newly-diagnosed gynecological
cancer patients.
AB - OBJECTIVE: This study compared the efficacy of two psychological interventions, a
coping and communication-enhancing intervention (CCI) and supportive counseling
(SC), in reducing depressive symptoms, cancer-specific distress, fear of
recurrence, and emotional well-being of women diagnosed with gynecological
cancer. Demographic, medical, and psychological moderators of intervention
effects were evaluated. METHODS: Three hundred fifty-two women with gynecological
cancer were randomly assigned to eight sessions of CCI, eight sessions of SC, or
usual care (UC). Participants completed measures of distress and wellbeing at six
time points over an 18month period of time. RESULTS: CCI had a beneficial impact
on depressive symptoms and cancer specific distress over the first six months as
compared with UC and SC and had a beneficial impact on emotional well-being. The
greater coping skill development in CCI has made it a more effective intervention
than traditional SC across a broader range of key psychological outcomes.
Declines among women in the SC condition were not significantly different from
UC. CONCLUSIONS: The CCI intervention had significant effect on patients'
depression, cancer-specific distress, and emotional well-being during a time when
the majority of newly diagnosed patients experience elevated levels of distress.
Ameliorating such distress post-diagnosis merits its incorporation into clinical
care. A brief 8-session structured intervention can be readily applied to this
distressed population in need. Brief supportive counseling did not evidence
treatment effects, suggesting that more structured approaches are crucial to
truly deliver benefits.
PMID- 27887809
TI - An estimation of burden of serious fungal infections in France.
AB - OBJECTIVE OF THE STUDY: An estimation of burden of serious fungal diseases in
France is essential data to inform public health priorities on the importance of
resources and research needed on these infections. In France, precise data are
available for invasive fungal diseases but estimates for several other diseases
such as chronic and immunoallergic diseases are by contrast less known. MATERIALS
AND METHODS: A systematic literature search was conducted using the Web of
Science Platform. Published epidemiology papers reporting fungal infection rates
from France were identified. Where no data existed, we used specific populations
at risk and fungal infection frequencies in those populations to estimate
national incidence or prevalence, depending on the condition. RESULTS: The model
predicts high prevalences of severe asthma with fungal sensitization episodes
(189 cases/100,000 adults per year), of allergic bronchopulmonary aspergillosis
(145/100,000) and of chronic pulmonary aspergillosis (5.24/100,000). Besides,
estimated incidence for invasive aspergillosis is 1.8/100,000 annually based on
classical high risk factors. Estimates for invasive mucormycosis, pneumocystosis
and cryptococcosis are 0.12/100,000, 1/100,000 and 0.2/100,000, respectively.
Regarding invasive candidiasis, more than 10,000 cases per year are estimated,
and a much higher number of recurrent vaginal candidiasis is probable but must be
confirmed. Finally, this survey was an opportunity to report a first picture of
the frequency of tinea capitis in France. CONCLUSION: Using local and literature
data of the incidence or prevalence of fungal infections, approximately 1,000,000
(1.47%) people in France are estimated to suffer from serious fungal infections
each year.
PMID- 27887811
TI - Using the Borg CR10 Physical Exertion Scale to Measure Patient-perceived Vocal
Effort Pre and Post Treatment.
AB - OBJECTIVES: Reduction of vocal effort is a therapeutic goal in resonant voice
therapy and in the treatment of a variety of voice disorders. The Borg CR10 is a
perceived effort scale that is widely accepted across a wide variety of
disciplines. The purpose of the present study was to examine (1) the utility of
an anchored, adapted Borg CR10 in observing treatment-related vocal effort
reduction and (2) the convergent validity of the Borg CR10 in its relation to
Voice Handicap Index (VHI) item 14. STUDY DESIGN: This is a pretest-posttest
experimental design. METHODS: A total of 36 individuals with phonotraumatic
hyperfunctional voice disorders completed item 14 of the VHI and the Borg CR10 at
the start and completion of four sessions of resonant voice therapy treatment.
RESULTS: Scores from the Borg CR10 significantly differentiated pre- from post
therapy perceived effort levels. Convergent validity was demonstrated through
significant associations with scores from item 14 of the VHI. CONCLUSION: The
anchored Borg CR10 is an easy to use clinical tool to capture treatment-related
vocal effort reduction. Whereas VHI item 14 indicates how frequently increased
perceived effort is experienced, the Borg CR10 captures the severity of perceived
effort used. Thus, the two measures complement each other.
PMID- 27887810
TI - Early surgery in infective endocarditis: Why should we wait?
PMID- 27887808
TI - Norovirus Regulation by Host and Microbe.
AB - Norovirus (NoV) infection is the leading cause of epidemic gastroenteritis
globally, and can lead to detrimental chronic infection in immunocompromised
hosts. Despite its prevalence as a cause of diarrheal illness, the study of human
NoVs (HNoVs) has historically been limited by a paucity of models. The use of
murine NoV (MNoV) to interrogate mechanisms of host control of viral infection
has facilitated the exploration of different genetic mouse models, revealing
roles for both innate and adaptive immunity in viral regulation. MNoV studies
have also recently identified important interactions between the commensal
microbiota and NoV with clear extensions to HNoVs. In this review, we discuss the
most current understanding of how the host, the microbiome, and their
interactions regulate NoV infections.
PMID- 27887812
TI - Chlorobenzene degeradation by non-thermal plasma combined with EG-TiO2/ZnO as a
photocatalyst: Effect of photocatalyst on CO2 selectivity and byproducts
reduction.
AB - The non-thermal plasma (NTP) technique, which suffers from low selectivity in
complete oxidation of volatile organic compounds to CO2 and H2O, creates unwanted
and harmful byproducts. NTP in concert with photocatalyst can resolve this
limitation due to additional oxidation. TiO2 and ZnO nanoparticles were coated on
the surface of the expanded graphite and placed downstream of the NTP reactor
under UV light. In this study, to compare the performance of NTP and the combined
system, chlorobenzene removal, selectivity of CO2 and byproducts formation were
investigated. The results showed that the combined system enhanced both the
removal efficiency and CO2 selectivity. The output gas of the NTP reactor
contained chlorobenzene, phosgene, O3, NO, NO2, CO, CO2, HCL and CL. The bulk of
these byproducts was oxidized on the surface of the nanocomposite; as a result,
the content of the byproducts in the output gas of the combined system decreased
dramatically. The removal efficiency and CO2 selectivity increased by rising the
applied voltage and residence time because the collision between active species
and pollutant molecules increases. Based on these results, the combined system is
preferred due to a higher performance and lower formation of harmful byproducts.
PMID- 27887813
TI - Migration of 75Se(IV) in crushed Beishan granite: Effects of the iron content.
AB - The diffusion of selenite (labeled with 75Se) in compacted Beishan granite (BsG)
was investigated using the in-diffusion capillary method at pH values from ~2.0
to ~11.0 under oxic and anoxic conditions. The results indicate that the apparent
diffusion coefficient (Da) values of selenite in BsG always reached the minimum
at approximately pH 5. Unexpectedly, the Da values under oxic conditions are
nearly one order of magnitude lower than those under the anoxic conditions.
Further characterization reveals the existence of redox-sensitive Fe(II)
containing components, which can be responsible for the great difference in Da
values. Fe(2p) X-ray photoelectron spectroscopy (XPS) results show that more
Fe(III)-oxyhydroxide coating is formed on the granite's surface under aerobic
conditions than is formed under anaerobic conditions. Correspondingly, Se(3d)
spectra indicate that more selenium is sorbed under oxic conditions, and the
sorbed amount always reached the maximum at pH values from ~4 to ~5. A linear
combination fit of X-ray absorption near edge structure (XANES) spectroscopy data
revealed that Se(0) was formed under anoxic condition and that selenite preferred
to form inner-sphere complexes with Fe(III)-oxyhydroxide. Overall, this study
indicates that natural Fe-bearing minerals can greatly attenuate selenite
diffusion and the retardation would be enhanced under aerobic conditions.
PMID- 27887814
TI - Effect of bromide on the transformation and genotoxicity of octyl-dimethyl-p
aminobenzoic acid during chlorination.
AB - Octyl-dimethyl-p-aminobenzoic acid (ODPABA), one of the most commonly used
organic UV filters, can undergo considerable transformation in water when
entering into the disinfection process. The impacts of bromide on degradation
kinetics, formation and speciation of transformation products, regulated
disinfection by-products (DBPs) as well as genotoxicity changes during ODPABA
chlorination were investigated in this study. Results indicated that the reaction
of ODPABA with chlorine followed pseudo-first-order and second-order kinetics.
Adding bromide noticeably enhanced the degradation rate of ODPABA, but reduced
the impact of chlorine dose. Four halogenated transformation products (Cl-ODPABA,
Br-ODPABA, Cl-Br-ODPABA and Br2-ODPABA) were detected by LC-MS/MS. Mono
halogenated products were stable during 24-h chlorination, while di-halogenated
products constantly increased. The total yields of trihalomethanes (THMs) and
haloacetic acids (HAAs) were both low, but predominated by bromine substitution
at high levels of bromide. In addition, SOS/umu tests showed that genotoxicity
was generated after ODPABA chlorination, which was increased at least 1.5 times
in the presence of bromine. Whereas, no significant genotoxicity variation was
observed following bromide concentration change.
PMID- 27887815
TI - Recycled hierarchical tripod-like CuCl from Cu-PCB waste etchant for lithium ion
battery anode.
AB - Hierarchical CuCl with high economic value added (EVA) was successfully recycled
with 85% recovery from the acid Cu printed circuit board (Cu-PCB) waste etchant
via facile liquid chemical reduction. The micro-structure and morphology of the
recycled hierarchical CuCl were systematically characterized in terms of scanning
electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), X-ray
diffraction (XRD), transmission electron microscopy (TEM) and Brunauer-Emmett
Teller (BET). Furthermore, the corresponding electrochemical performances as
lithium ion battery (LIB) anode were also investigated in terms of galvanostatic
charge/discharge, cyclic voltammetry (CV) and AC impedance. As expected, the
recycled CuCl displayed a hierarchical tripod-like structure and large specific
surface area of 21.2m2/g. As the anode in LIB, the reversible discharge capacity
was about 201.4 mAh/g even after 100 cycles, implying the satisfactory cycle
performance. Clearly, the satisfactory results may open a new avenue to develop
the sustainable industry, which is very important in terms of both the resource
recovery and the environmental protection.
PMID- 27887816
TI - Accelerating biodegradation of a monoazo dye Acid Orange 7 by using its
endogenous electron donors.
AB - Biodegradation of a monoazo dye - Acid Orange 7 (AO7) was investigated by using
an internal circulation baffled biofilm reactor. For accelerating AO7
biodegradation, endogenous electron donors produced from AO7 by UV photolysis
were added into the reactor. The result shows that AO7 removal rate can be
accelerated by using its endogenous electron donors, such as sulfanilic and
aniline. When initial AO7 concentration was 13.6mg/L, electron donors generated
by 8h UV photolysis were added into the same system. The biodegradation rate
0.4mg0.05h-1 was enhanced 60% than that without adding electron donor.
Furthermore, sulfanilic and aniline were found to be the main endogenous electron
carriers, which could accelerate the steps of the azo dye biodegradation.
PMID- 27887817
TI - Is there a role for regenerative medicine in chronic rhinosinusitis with nasal
polyps?
PMID- 27887818
TI - Three-Dimensional Morphology of the Left Ventricular Outflow Tract: Impact on
Grading Aortic Stenosis Severity.
AB - BACKGROUND: Left ventricular outflow tract (LVOT) measurement is a critical step
in the quantification of aortic valve area. The assumption of a circular
morphology of the LVOT may induce some errors. The aim of this study was to
assess the three-dimensional (3D) morphology of the LVOT and its impact on
grading aortic stenosis severity. METHODS: Fifty-eight patients with aortic
stenosis were studied retrospectively. LVOT dimensions were measured using 3D
transesophageal echocardiography at three levels: at the hinge points (HP) of the
aortic valve and at 4 and 8 mm proximal to the annular plane. Results were
compared with standard two-dimensional echocardiographic measurements. RESULTS:
Three-dimensional transesophageal echocardiography showed a funnel shape that was
more circular at the HP and more elliptical at 4 and 8 mm proximal to the annular
plane (circularity index = 0.92 vs 0.83 vs 0.76, P < .001). Cross-sectional area
was smaller at the HP and larger at 4 and 8 mm from the annular plane (3.6 vs 3.9
vs 4.1 cm2, P = .001). The best correlation between two-dimensional and 3D
transesophageal echocardiographic dimensions was at the HP (intraclass
correlation coefficient = 0.75; 95% CI, 0.59-0.86). When the HP approach was
selected, there was a reduction in the percentage of patients with low flow (from
41% to 29%). CONCLUSIONS: A large portion of patients with aortic stenosis have
funnel-shaped and elliptical LVOTs, a morphology that is more pronounced in the
region farther from the annular plane. Two-dimensional LVOT measurement closer to
the annular plane has the best correlation with 3D measurements. Measurement of
the LVOT closer to the annular plane should be encouraged to reduce measurement
errors.
PMID- 27887819
TI - Spontaneous splenic rupture from Plasmodium ovalae malaria.
PMID- 27887820
TI - Real-time tidal volume feedback guides optimal ventilation during simulated CPR.
AB - PURPOSE: We performed this study to investigate whether real-time tidal volume
feedback increases optimal ventilation and decreases hyperventilation during
manikin-simulated cardiopulmonary resuscitation (CPR). BASIC PROCEDURES: We
developed a new real-time tidal volume monitoring device (TVD) which estimated
tidal volume in real time using a magnetic flowmeter. The TVD was validated with
a volume-controlled mechanical ventilator with various tidal volumes. We
conducted a randomized, crossover, manikin-simulation study in which 14
participants were randomly divided into a control (without tidal volume feedback,
n = 7) and a TVD group (with real-time tidal volume feedback, n = 7) and
underwent manikin simulation. The optimal ventilation was defined as 420-490 mL
of tidal volumes for a 70-kg adult manikin. After 2 weeks of the washout period,
the simulation was repeated via the participants' crossover. MAIN FINDINGS: In
the validation study, 97.6% and 100% of the difference ratios in tidal volumes
between the mechanical ventilator and TVD were within +/-1.5% and +/-2.5%,
respectively. During manikin-simulated CPR, TVD use increased the proportion of
optimal ventilation per person. Its median values (range) of the control group
and the TVD group were 37.5% (0.0-65.0) and 87.5% (65.0-100.0), respectively, P <
.001). TVD use also decreased hyperventilation. The proportions of
hyperventilation in the control group and the TVD group were 25.0% vs 8.9%,
respectively (P < .001). PRINCIPAL CONCLUSIONS: Real-time tidal volume feedback
using the new TVD guided the rescuers to provide optimal ventilation and to avoid
hyperventilation during manikin-simulated CPR.
PMID- 27887821
TI - [Sleep disorders in Internet addiction].
AB - BACKGROUND: The relationship between sleep disorders and Internet addiction has
been little work. Given the importance of these disorders, we felt it appropriate
to make a synthesis of available data and to establish causality or
accountability between Internet addiction and the onset of sleep disorders.
METHODS: A literature review was then performed. We selected scientific articles
in English and French, published between 1987 and 2016 by consulting the
databases Medline, Embase, PsycINFO and Google Scholar. The words used alone or
in combination are as follows: addiction, dependence, Internet, behavioral
addiction, sleep. RESULTS: A computer screen light inhibits melatonin secretion
and acts as a real external desynchronizer circadian rhythm resulting in a
withdrawal syndrome or syndrome sleep phase delay when the stress of social
awakening is suppressed. CONCLUSION: We assume here that the specific treatment
of addictive disorders have an influence on sleep disorders.
PMID- 27887822
TI - Decline of trace metal pollution in the bottom sediments of the Barcelona City
continental shelf (NW Mediterranean).
AB - The evolution of trace metal pollution on the Barcelona city continental shelf
during the last few decades was studied by analyzing the historical records of
trace metals in sediment cores and surface sediment samples taken at the same
locations in 1987 and in 2008. Polluted surface samples taken in 1987 reached
enrichment factors of up to 490 for Hg, about 40 for Pb and Cd, and about 17 for
Zn, Cr and Cu. The data show a decline of up to one order of magnitude in the
trace metal content of surface sediments during the last few decades, with
maximum enrichment factors of between 20 and 30 for Hg and Cd and between 5 and
12 for Zn, Cr, Pb and Cu. Although present-day pollution is still significant, it
is evident that environmental regulations that are in place, including the
operation since 1979 of wastewater treatment plants built in the Besos River
watershed, have drastically reduced the pollution levels in this highly populated
and industrialized Mediterranean area. However, water discharge during heavy rain
events exceeds the treatment capacity of the existing facilities, leading to the
maintenance of still high levels of metals in sediments of the Barcelona city
shelf.
PMID- 27887823
TI - Transport and transformation of soil-derived CO2, CH4 and DOC sustain CO2
supersaturation in small boreal streams.
AB - Streams are typically supersaturated in carbon dioxide (CO2) and methane (CH4),
and are recognized as important components of regional carbon (C) emissions in
northern landscapes. Whereas there is consensus that in most of the systems the
CO2 emitted by streams represents C fixed in the terrestrial ecosystem, the
pathways delivering this C to streams are still not well understood. We assessed
the contribution of direct soil CO2 injection versus the oxidation of soil
derived dissolved organic C (DOC) and CH4 in supporting CO2 supersaturation in
boreal streams in Quebec. We measured the concentrations of CO2, CH4 and DOC in
43 streams and adjacent soil waters during summer base-flow period. A mass
balance approach revealed that all three pathways are significant, and that the
mineralization of soil-derived DOC and CH4 accounted for most of the estimated
stream CO2 emissions (average 75% and 10%, respectively), and that these
estimated contributions did not change significantly between the studied low
order (<=3) streams. Whereas some of these transformations take place in the
channel proper, our results suggest that they mainly occur in the hyporheic zones
of the streams. Our results further show that stream CH4 emissions can be fully
explained by soil CH4 inputs. This study confirms that these boreal streams, and
in particular their hyporheic zones, are extremely active processors of soil
derived DOC and CH4, not just vents for soil produced CO2.
PMID- 27887824
TI - Reconstructing a long-term record of microcystins from the analysis of lake
sediments.
AB - Based on an analysis of sediment cores from Baptiste Lake (Alberta, Canada), we
quantified century-scale trends in cyanobacteria and cyanotoxins, and identified
possible drivers of toxigenic cyanobacteria. We measured concentrations of
microcystins and pigments preserved in the sediment as proxies of toxigenic
cyanobacteria and phytoplankton communities, respectively, while fossil diatom
assemblages were used to infer past nutrient concentrations. Microcystins were
detected in older sediments (ca. 1800s), pre-dating any significant alteration to
the watershed. This demonstrates that toxigenic cyanobacteria may not be a recent
phenomenon in eutrophic ecosystems. The dominant variants of microcystin
throughout the sediment core were microcystin-LA and microcystin-LR. Other
congeners including -LY, -7dmLR, -WR, -LF, -YR, and -LW (-RR was not detected)
were mainly found in the upper layers of sediment (post 1980s). Starting in the
1990s, concentrations of microcystins both in the water column and in the
sediment record increased in parallel. Total sediment microcystins were strongly
correlated with historical nitrogen and phosphorus concentrations inferred from
diatom assemblages (r=0.80-0.81, p<0.001, n=22); both nutrients increased over
the past two decades coincident with the intensification of agriculture.
Microcystins also tracked the rise in cyanobacterial pigments present throughout
the core. In contrast, we found no relationship between climate-related variables
and sediment microcystin concentrations, although such relationships were
detected over the monitoring record with respect to water column concentrations.
Overall, the rise in sediment microcystins was much greater than the rise in
sediment cyanobacteria and diatom inferred nutrient concentrations. Furthermore,
we demonstrate that the reconstruction of the microcystin sediment record can
provide important insight for the development of realistic lake management goals.
Applying this analytical approach to different lakes and regions of the world,
where both natural and anthropogenic gradients vary, has the potential to
markedly improve our understanding of long-term drivers of cyanotoxin production.
PMID- 27887825
TI - National investigation of semi-volatile organic compounds (PAHs, OCPs, and PCBs)
in lake sediments of China: Occurrence, spatial variation and risk assessment.
AB - In this study, a large scale investigation of semi-volatile organic compounds
(SVOCs) in sediments from 52 lakes, located in five geographic regions across
China, was conducted to assess sediment quality in terms of organic contaminants.
Concentrations of polycyclic aromatic hydrocarbons (PAHs), organochlorine
pesticides (OCPs), and polychlorinated biphenyls (PCBs) in sediment were found to
range between 17.00-6,633, 0.12-45.24, and 0.03-13.99ngg-1, respectively. Lake
sediments from different regions exhibited variation in contamination levels, and
in the compositions of isomers. The descending order of contamination levels for
the three SVOCs groups (i.e., ?PAHs, ?OCPs, ?PCBs) were as follows: the eastern
plain region (EPR)>the Yunnan-Guizhou plateau (YGP)>the northeast China region
(NCR)>the Qinghai-Tibet plateau (QTP)>the Mongolia-Xinjiang plateau (MXP). High
molecular weight PAHs, OCPs and PCBs were found at high concentrations in parts
of the EPR and YGP, while lighter isomers were likely to be atmospherically
transported, resulting in their nationwide distribution. The sources and type
variation (local input or atmospheric deposition) are the primary controls of
spatial variation. Additionally, human related socio-economic factors, and
geographic conditions also played important roles in influencing the spatial
differentiation. According to simple sediment quality guidelines (SQG), the risks
posed by PCBs in sediments were not as serious as those posed by PAHs and DDT.
PMID- 27887826
TI - The impact of droughts and climate change on sinkhole occurrence. A case study
from the evaporite karst of the Fluvia Valley, NE Spain.
AB - This work introduces the concept that sinkhole frequency in some karst settings
increases during drought periods. This conception is tested in a sector of the
Fluvia River valley in NE Spain, where subsidence phenomena is related to the
karstification of folded Eocene evaporite formations. In the discharge areas, the
evaporites behave as confined aquifers affected by hypogene karstification caused
by aggressive artesian flows coming form an underlying carbonate aquifer. A
sinkhole inventory with chronological data has been constructed, revealing
temporal clusters. Those clusters show a good correlation with drought periods,
as revealed by precipitation, river discharge and piezometric data. This temporal
association is particularly obvious for the last and current drought starting in
1998, which is the most intense of the record period (1940-present). Climatic
projections based on recent studies foresee an intensification of the droughts in
this sector of NE Spain, which could be accompanied by the enhancement of the
sinkhole hazard and the associated risks.
PMID- 27887827
TI - Groundwater resource vulnerability and spatial variability of nitrate
contamination: Insights from high density tubewell monitoring in a hard rock
aquifer.
AB - Agriculture has been increasingly relying on groundwater irrigation for the last
decades, leading to severe groundwater depletion and/or nitrate contamination.
Understanding the links between nitrate concentration and groundwater resource is
a prerequisite for assessing the sustainability of irrigated systems. The
Berambadi catchment (ORE-BVET/Kabini Critical Zone Observatory) in Southern India
is a typical example of intensive irrigated agriculture and then an ideal site to
study the relative influences of land use, management practices and aquifer
properties on NO3 spatial distribution in groundwater. The monitoring of >200
tube wells revealed nitrate concentrations from 1 to 360mg/L. Three
configurations of groundwater level and elevation gradient were identified: i)
NO3 hot spots associated to deep groundwater levels (30-60m) and low groundwater
elevation gradient suggest small groundwater reserve with absence of lateral
flow, then degradation of groundwater quality due to recycling through pumping
and return flow; ii) high groundwater elevation gradient, moderate NO3
concentrations suggest that significant lateral flow prevented NO3 enrichment;
iii) low NO3 concentrations, low groundwater elevation gradient and shallow
groundwater indicate a large reserve. We propose that mapping groundwater level
and gradient could be used to delineate zones vulnerable to agriculture
intensification in catchments where groundwater from low-yielding aquifers is the
only source of irrigation. Then, wells located in low groundwater elevation
gradient zones are likely to be suitable for assessing the impacts of local
agricultural systems, while wells located in zones with high elevation gradient
would reflect the average groundwater quality of the catchment, and hence should
be used for regional mapping of groundwater quality. Irrigation with NO3
concentrated groundwater induces a "hidden" input of nitrogen to the crop which
can reach 200kgN/ha/yr in hotspot areas, enhancing groundwater contamination.
Such fluxes, once taken into account in fertilizer management, would allow
optimizing fertilizer consumption and mitigate high nitrate concentrations in
groundwater.
PMID- 27887828
TI - Occupational health risk assessment and exposure to floor dust PAHs inside an
educational building.
AB - Polycyclic aromatic hydrocarbons (PAHs) settled in floor dust play an important
role in human health. Although many studies investigated occupational exposure to
PAHs, no attempts have been made to report PAHs concentrations as well as their
health risk assessment inside an educational building in Jordan. Therefore, the
main objective of this study is to report the PAHs concentrations in floor dust
and evaluate their exposure and health risk inside the Department of Physics of
the University of Jordan. The total PAHs concentrations ranged from 714 to
5246ng/g. The high concentrations were observed inside some offices, where
tobacco smoking took place. One of those offices was previously renovated and
some petrochemical liquids were used to remove the remaining glue from a previous
carpet. Interestingly, the PAHs inside these offices were higher than those
reported inside lecture rooms and the workshop area, where extensive activates of
heavy machinery and use of petroleum products (such as lubricating oils). This
implies that the health effects of exposure to tobacco smoking inside small micro
environmental places that are poorly ventilated can be very harmful. We also made
a simple exposure and health risk assessment for the ingested dust (hand-to
mouth) by calculating the Estimated Daily Intake (EDI) and benzo(a)pyrene
equivalent carcinogenic power (BaPE). The total EDI was less than 3.75ng/kg
bw/day whereas the BaPE was less than 385ng/g. These values are lower than what
was reported in some previous studies in Europe and Asia.
PMID- 27887830
TI - Drugs of abuse and their metabolites in the urban rivers of Beijing, China:
Occurrence, distribution, and potential environmental risk.
AB - The occurrence and distribution of five drugs of abuse and their metabolites,
namely, methamphetamine (METH), amphetamine (AMP), ketamine (KET), ephedrine
(EPH), and hydroxylimine (HY), were investigated in the surface water in urban
rivers in Beijing, China. A total of 117 surface water samples were collected
from the seven rivers in the Beijing urban areas during four different seasons.
Laboratory analyses revealed that EPH and METH were the most predominant drugs,
with detection frequency ranging from 94% to 100% and from 65% to 100%,
respectively. High levels of METH and KET were observed in the center part of the
urban areas, which was likely associated with the drug abuse and the
entertainment activities. Seasonal variation of the drug occurrence showed that
the highest concentration of drugs of abuse was found during winter, which was
likely due to the high consumption, low temperature, and low water flows during
the cold weather. By contrast, the concentration and detection frequency of AMP
and HY were relatively low. Risk assessment by the risk quotient method indicated
that the five drugs of abuse and their metabolites were not likely to exert
biological effects on the aquatic ecosystems at current levels in the surface
water. However, the potential adverse effect of drugs of abuse and their
metabolites on ecosystem functioning and human health should not be neglected.
PMID- 27887829
TI - Use of simulated epithelial lung fluid in assessing the human health risk of Pb
in urban street dust.
AB - In many urban contexts, non-dietary Pb exposure from street dusts may add to the
overall exposure burden, and the presence of high total Pb content is well
documented in urban street dust from across the globe. Given the increasing
recognition of the potential adverse health effects from both the quantity and
the chemical and physical composition of the inhaled fraction, and the
recognition that it is the soluble fraction rather than the total element content
that has more direct links to health effects, attention has focused in this study
on the human health risks via this exposure pathway. In order to investigate the
environmental exposure to Pb from the inhalation of urban street dusts, a newly
developed in vitro simulated epithelium lung fluid (SELF) has been applied to the
<10MUm fraction of urban street dusts. In this context, 21 urban street dust
samples, across five UK cities, were selected based on their high pseudo-total Pb
content. The work revealed that inhalation bioaccessibility, and hence inhalation
dose, varied across the cities but was generally found to be low (<10%). Indeed,
the lung bioaccessibility was far lower (% lung bioaccessibility ranged from 1.2
to 8.8) than is currently applied in two of the most commonly employed risk
assessment models i.e. the Integrated Exposure Uptake Biokinetic model (IEUBK,
USA) and the Contaminated Land Exposure Assessment model (CLEA, UK). The
estimated inhalation dose (for adults) calculated from the PM10 bioaccessibility
ranged from 7ngkg-1BWday-1 (Edinburgh) to 1.3ngkg-1BWday-1 (Liverpool). The
results indicate a low potential inhalation bioaccessibility for Pb in these
urban street dust samples when modelled using the neutral pH conditions of the
SELF.
PMID- 27887831
TI - Long-term Cu stabilization and biomass yields of Giant reed and poplar after
adding a biochar, alone or with iron grit, into a contaminated soil from a wood
preservation site.
AB - A 2-year pot experiment was carried out to examine the aging effect of biochar
(B), alone or combined with iron grit (Z), on Cu stabilization and plant growth
in a contaminated soil (964mg Cu kg-1) from a wood preservation site. The
experiment consisted in 3 soil treatments, either planted with Arundo donax L.
(Ad) or Populus nigra L. (Pn): (1) untreated Cu-contaminated soil (Ad, Pn); (2)
Unt+1% (w/w) B (AdB, PnB), and (3) Unt+1% B+1% Z (AdBZ, PnBZ). After 22months,
the soil pore water (SPW) was sampled and roots and shoots were harvested. The
SPW compositions at 3 and 22months were compared, showing that the SPW Cu2+
concentration increased again in the PnB and PnBZ soils. Cultivation of A. donax
enhanced the dissolved organic matter concentration in the SPW, which decreased
its Cu2+ concentration but promoted its total Cu concentration in the Ad and AdB
soils. Adding Z with B reduced both SPW Cu2+ and Cu concentrations in the pots
cultivated by A. donax and P. nigra as compared to B alone. The B and BZ
treatments did not enhance root and shoot yields of both plant species as
compared to the Unt soil but their shoot Cu concentrations were in the range of
common values.
PMID- 27887832
TI - Perfluoroalkyl acids (PFAAs) in the Pra and Kakum River basins and associated tap
water in Ghana.
AB - Perfluoroalkyl acids (PFAAs) are persistent environmental pollutants that have
been detected in various media including human serum. Due to concerns regarding
their bioaccumulation and possible negative health effects, an understanding of
routes of human exposure is necessary. PFAAs are recalcitrant in many water
treatment processes, making drinking water a potential source of human exposure.
This study presents the first report on contamination from PFAAs in river and
drinking water in Ghana. The targeted PFAAs were perfluoroalkyl carboxylic acids
(PFCAs) with C4-14 carbon chain and perfluoroalkane sulphonic acids (PFSAs) with
C6, 8, 10. Five PFAA congeners - PFOA, PFOS, PFHxA, PFDA and PFPeA - were
commonly detected in river and tap water. The mean concentrations of ?PFAAs in
the Kakum and Pra Rivers were 281 and 398ng/L, while tap water (supplied from the
treatment of water from those rivers) contained concentrations of 197 and
200ng/L, respectively. PFOA and PFOS constituted about 99% of the ?PFAAs. The
risk quotient (RQ) attributed to drinking of tap water was estimated at 1.01 and
1.74 for PFOA and PFOS, respectively. For a country that has not produced these
compounds, the RQs were unexpectedly high, raising concerns particularly about
contamination from such emerging pollutants in local water sources. The study
revealed limitations of local tap water treatment in getting rid of these
emerging pollutants.
PMID- 27887833
TI - The impact of pollutants from a major northern highway on an adjacent hardwood
forest.
AB - Emissions of pollutants from highways can exert multiple stresses on adjacent
ecosystems. In this study air concentrations of NO2 and throughfall deposition of
inorganic N (NO3- and NH4+), SO42-, Cl-, base cations and several metals were
measured in all four seasons along a 1.5km hardwood forest gradient extending
away from a major highway (Highway 401) in southern Ontario, Canada. Soil and
lichen chemistry and herbaceous plant and epiphytic lichen species composition
were measured within the hardwood forest to evaluate impacts of these pollutants.
Air concentrations of NO2 and deposition of inorganic N, Cl-, base cations and Cu
and Zn in throughfall were significantly elevated within 100m of the road
compared with the more distant sites. Concentrations of several pollutants
including N (and delta15N), Na+, Al and Fe in epiphytic lichen tissue decreased
with distance from the highway, and epiphytic lichen richness was lower at sites
within 100m of the road. Despite high throughfall inputs of >15kgNha-1y-1 and
100kgNa+ha-1y-1 within 33m of the highway, for example, there was no significant
difference in soil chemistry amongst sites. Plant community composition at sites
within 80m of the highway differed from sites located further from the road, but
it is unclear whether differences were due to highway emissions or were a result
of natural forest edge effects.
PMID- 27887834
TI - Neonicotinoids and bees: The case of the European regulatory risk assessment.
AB - Neonicotinoid insecticides are systemic pesticides authorised in Europe since
1991. From their introduction on the market, they have received significant
attention from the scientific community, particularly regarding the assessment of
lethal and sublethal effects on bees. The availability of scientific evidence
alongside some concerns raised on the bee health led to the development of more
articulate risk assessment methodologies for pesticides. To support the European
Commission in its decision-making process, since 2012 EFSA has been requested to
evaluate the risk to bees posed by the exposure to neonicotinoids. The outcome of
the EFSA evaluations has been used by risk managers to revise the approval
conditions of the substances clothianidin, imidacloprid and thiamethoxam and to
impose severe restrictions on their use. Meanwhile, a number of new studies have
been carried out. EFSA is evaluating these data in order to further support the
decision-making process with updated scientific assessments.
PMID- 27887835
TI - Link practical-oriented research and education: New training tools for a
sustainable use of plant protection products.
AB - In the Horizon 2020 work programme 2016-17 it is stated that in 2010, 71% of
European farm managers were operating on the basis of practical experience only.
Education levels greatly vary depending on country, farm managers' age and
gender, or farm structures, and this can hamper innovation. Transition towards a
more sustainable agriculture requires a renewal and strengthening of the
technical skills of all the actors involved and - as a consequence - of the
educational system. The EU Directive on the sustainable use of pesticides (EU,
128/2009/EC) requires European Member States to develop training activities
targeting occupational exposure to pesticides. The objective of this study is to
develop new training tools for operators, addressing the new legal requirements
and taking into account what is already available. For this reason, the outcomes
of different European and national research projects developed by the Opera
Research Centre were used, involving stakeholders in the decision making process,
but also considering the real behaviours and perceptions of the final users. As a
result, an e-learning tool able to build personalized training programmes, by
collecting and integrating existing training material on Plant Protection
Products use was developed, together with an e-learning course, with the aim to
help operators, advisors and distributors to get prepared for their national
certificate test. This work highlights the opportunity to create long-term added
value through enhanced collaboration between educators and researchers, and
identifies a common set of priorities that has to be taken into account in order
to nudge the changes required to achieve a more sustainable use of pesticide and,
more in general, sustainable development.
PMID- 27887836
TI - Modelling white-water rafting suitability in a hydropower regulated Alpine River.
AB - Cultural and recreational river ecosystem services and their relations with the
flow regime are still poorly investigated. We develop a modelling-based approach
to assess recreational flow requirements and the spatially distributed river
suitability for white-water rafting, a typical service offered by mountain
streams, with potential conflicts of interest with hydropower regulation. The
approach is based on the principles of habitat suitability modelling using water
depth as the main attribute, with preference curves defined through interviews
with local rafting guides. The methodology allows to compute streamflow
thresholds for conditions of suitability and optimality of a river reach in
relation to rafting. Rafting suitability response to past, present and future
flow management scenarios can be predicted on the basis of a hydrological model,
which is incorporated in the methodology and is able to account for anthropic
effects. Rafting suitability is expressed through a novel metric, the "Rafting
hydro-suitability index" (RHSI) which quantifies the cumulative duration of
suitable and optimal conditions for rafting. The approach is applied on the Noce
River (NE Italy), an Alpine River regulated by hydropower production and affected
by hydropeaking, which influences suitability at a sub-daily scale. A dedicated
algorithm is developed within the hydrological model to resemble hydropeaking
conditions with daily flow data. In the Noce River, peak flows associated with
hydropeaking support rafting activities in late summer, highlighting the dual
nature of hydropeaking in regulated rivers. Rafting suitability is slightly
reduced under present, hydropower-regulated flow conditions compared to an
idealized flow regime characterised by no water abstractions. Localized water
abstractions for small, run-of-the-river hydropower plants are predicted to
negatively affect rafting suitability. The proposed methodology can be extended
to support decision making for flow management in hydropower regulated streams,
as it has the potential to quantify the response of different ecosystem services
to flow regulation.
PMID- 27887837
TI - Evaluating the influence of geo-environmental factors on gully erosion in a semi
arid region of Iran: An integrated framework.
AB - Despite the importance of soil erosion in sustainable development goals in arid
and semi-arid areas, the study of the geo-environmental conditions and factors
influencing gully erosion occurrence is rarely undertaken. As effort to this
challenge, the main objective of this study is to apply an integrated approach of
Geographic Object-Based Image Analysis (GEOBIA) together with high-spatial
resolution imagery (SPOT-5) for detecting gully erosion features at the Kashkan
Poldokhtar watershed, Iran. We also aimed to apply a Conditional Probability (CP)
model for establishing the spatial relationship between gullies and the Geo
Environmental Factors (GEFs). The gully erosion inventory map prepared using
GEOBIA and field surveying was randomly partitioned into two subsets: (1) part 1
that contains 70% was used in the training phase of the CP model; (2) part 2 is a
validation dataset (30%) for validation of the model and to confirm its accuracy.
Prediction performances of the GEOBIA and CP model were checked by overall
accuracy and Receiver Operating Characteristics (ROC) curve methods,
respectively. In addition, the influence of all GEFs on gully erosion was
evaluated by performing a sensitivity analysis model. The validation findings
illustrated that overall accuracy for GEOBIA approach and the area under the ROC
curve for the CP model were 92.4% and 89.9%, respectively. Also, based on
sensitivity analysis, soil texture, drainage density, and lithology represent
significantly effects on the gully erosion occurrence. This study has shown that
the integrated framework can be successfully used for modeling gully erosion
occurrence in a data-poor environment.
PMID- 27887838
TI - A mixture toxicity approach to predict the toxicity of Ag decorated ZnO
nanomaterials.
AB - Nanotechnology is a rising field and nanomaterials can now be found in a vast
variety of products with different chemical compositions, sizes and shapes. New
nanostructures combining different nanomaterials are being developed due to their
enhancing characteristics when compared to nanomaterials alone. In the present
study, the toxicity of a nanostructure composed by a ZnO nanomaterial with Ag
nanomaterials on its surface (designated as ZnO/Ag nanostructure) was assessed
using the model-organism Daphnia magna and its toxicity predicted based on the
toxicity of the single components (Zn and Ag). For that ZnO and Ag nanomaterials
as single components, along with its mixture prepared in the laboratory, were
compared in terms of toxicity to ZnO/Ag nanostructures. Toxicity was assessed by
immobilization and reproduction tests. A mixture toxicity approach was carried
out using as starting point the conceptual model of Concentration Addition. The
laboratory mixture of both nanomaterials showed that toxicity was dependent on
the doses of ZnO and Ag used (immobilization) or presented a synergistic pattern
(reproduction). The ZnO/Ag nanostructure toxicity prediction, based on the
percentage of individual components, showed an increase in toxicity when compared
to the expected (immobilization) and dependent on the concentration used
(reproduction). This study demonstrates that the toxicity of the prepared mixture
of ZnO and Ag and of the ZnO/Ag nanostructure cannot be predicted based on the
toxicity of their components, highlighting the importance of taking into account
the interaction between nanomaterials when assessing hazard and risk.
PMID- 27887839
TI - Lung bioaccessibility of As, Cu, Fe, Mn, Ni, Pb, and Zn in fine fraction (<20MUm)
from contaminated soils and mine tailings.
AB - The present study aims (1) to characterize contaminated soils (n=6) and mine
tailings samples (n=3) for As, Cu, Fe, Mn, Ni, Pb, and Zn content; and (2) to
assess elemental lung bioaccessibility in fine fraction (d<20MUm which might
contribute to airborne particulate matter (PM) and thus be inhaled) by means of
in vitro tests using Gamble's solution (GS) and an artificial lysosomal fluid
(ALF). Elemental concentrations were high in the majority of samples,
particularly for As (up to 2040mg.kg-1), Fe (up to 30.7%), Mn (up to 4360mg.kg
1), and Zn (up to 4060mg.kg-1); and elemental concentrations (As, Cu, and Ni) in
the sieved fraction (d<20MUm) obtained from contaminated soils were significantly
higher than in the bulk fraction (<160MUm). In vitro tests with ALF yielded much
higher bioaccessibility than tests with GS, and the use of ALF in addition to GS
is recommended to assess lung bioaccessibility. Bioaccessibility in ALF was high
for all elements after 2weeks of testing both in terms of concentration (e.g. up
to 1730mg.kg-1 for As) and percentages (e.g. up to 81% for Pb). The elemental
solubilization rate generally declined rapidly and continuously with time.
Similarly, bioaccessibility increased rapidly and tended to reach a plateau with
time for most samples and metals. However, it is not possible to recommend a
general testing duration as the solubilization behavior was highly element and
sample-specific.
PMID- 27887840
TI - New p-terphenyls from the endophytic fungus Aspergillus sp. YXf3.
AB - Five new p-terphenyls named prenylterphenyllin D (1), prenylterphenyllin E (2),
2'-O-methylprenylterphenyllin (3), 4-O-methylprenylterphenyllin (4) and 3'-O
methylterphenyllin (5) together with seven known compounds (6-12), were isolated
from cultures of Aspergillus sp. YXf3. The structures of the new compounds were
elucidated by extensive MS and NMR analyses. The NMR and MS data of 5 is reported
for the first time, as its structure was listed in SciFinder Scholar with no
associated reference. Compounds 6 and 7 were distinguished from each other on the
basis of 2D NMR experiments. Compounds 1, 2, 3 and 8 showed antibacterial
activities against X. oryzae pv. oryzicola Swings and E. amylovora with the same
MIC values of 20MUg/mL while 10 exhibited activities against E. amylovora with an
MIC value of 10MUg/mL.
PMID- 27887841
TI - Cytotoxic activity, apoptosis induction and structure-activity relationship of 8
OR-2-aryl-3,4-dihydroisoquinolin-2-ium salts as promising anticancer agents.
AB - As our continuing research, a series of 2-aryl-8-OR-3,4-dihydroisoquinolin-2-ium
bromides were evaluated for cytotoxic activity on cancer cells and apoptosis
induction in the present study. SAR was derived also. Among them, 23 compounds
showed the higher cytotoxicity on MKN-45 cells with IC50 values of 1.99-11.3MUM
than a standard anticancer drug cis-platinum (IC50=11.4MUM) or their natural
model compound chelerythrine (IC50=12.7MUM); 16 compounds possessed the medium to
high activity on NB4 cells with IC50 values of 1.67-4.62MUM. SAR analysis showed
that both substitution patterns of the N-aromatic ring and the type of 8-OR
significantly impact the activity. AO/EB staining and flow cytometry analysis
with Annexin V/PI double staining showed that the compounds were able to induce
apoptosis in a concentration-dependent manner. The results above suggested that
the title compounds are a class of promising compounds for the development of new
anti-cancer drugs.
PMID- 27887842
TI - Simple N,N-dimethyl phenylsulfonamides show potent anticonvulsant effect in two
standard epilepsy models.
AB - Optimization of the previously reported benzothiazine analogue A led to the
identification of compound 1, which showed anti-convulsant activity in two golden
standard animal models of seizure, the MES and scPTZ models. Structure-activity
relationship investigation of compound 1 revealed compounds 2, 6 and 19 as
attractive anti-epileptic drug (AED) candidates with potent anticonvulsant effect
in both the MES and scPTZ models. As these compounds are structurally different
from existing AEDs, determination of their mechanism of actions could provide
clues to understanding current therapy-resistant seizures. Moreover, these simple
phenylsulfoneamide compounds could be good starting points for searching broad
spectrum AEDs by such in vivo screening.
PMID- 27887843
TI - Synthesis and bioactivity evaluation of 2,3-diaryl acrylonitrile derivatives as
potential anticancer agents.
AB - Thirty novel derivatives of 2,3-diaryl acrylonitrile were synthesized and
evaluated for biological activity. Preliminary investigations of antitumor
activity in vitro showed that most of the synthesized compounds have significant
antiproliferative effects on human cancer cell lines, such as BEL-7402, HeLa, and
HCT116 with IC50 values in the range of 0.13-60.23MUM without significant toxic
effects on the non-cancerous human liver cell line L-02. In particular, compounds
4d and 4p were found to be the most potent against HeLa (4.20MUM) and HCT116
cells (0.13MUM), respectively, with superior or similar in vitro efficacy to that
of the broad-spectrum anticancer drug taxol.
PMID- 27887844
TI - Anthraquinones from Morinda longissima and their insulin mimetic activities via
AMP-activated protein kinase (AMPK) activation.
AB - AMP-activated protein kinase (AMPK) activators are known to increase energy
metabolism and to reduce body weight, as well as to improve glucose uptake.
During for searching AMPK activators, a new anthraquinone, modasima A (10), along
with eighteen known analogues (1-9 and 11-19) were isolated from an ethanol
extract of the roots of Morinda longissima Y. Z. Ruan (Rubiaceae). Using the
fluorescent tagged glucose analogues, 2-(N-(7-nitrobenz-2-oxa-1,3-diazol-4
yl)amino)-2-deoxy-D-glucose (2-NBDG), insulin mimetics were screened with
compounds 1-19 in 3T3-L1 adipocytes. Among them, compounds 2, 8 and 10 enhanced
significantly glucose uptake into adipocytes and up-regulated the phosphorylated
AMPK (Thr172) whereas the glucose uptake enhancing activities of compounds 2, 8
and 10 were abrogated by treatment of compound C, an AMPK inhibitor. Taken
together, these anthraquinones showed the potential action as insulin mimetic to
improve glucose uptake via activation of AMPK.
PMID- 27887845
TI - [Management of atherosclerotic renal-artery stenosis in 2016].
AB - Endovascular revascularization as treatment of atherosclerotic renal-artery
stenosis (aRAS) is controversial since 3 large and multicentric randomised trials
(CORAL, ASTRAL, STAR) failed to prove the superiority of percutaneous
transluminal renal-artery stenting (PTRAS) over medical treatment only (MT).
However, considering the multiple bias of these trials, among which questionable
inclusion criterias, these results must be extrapolated in clinical practice with
caution. New pathophysiological data have been helping to understand why
restoring blood flow does not necessarily lead to kidney function improvement.
Today, the diagnostic approach must in one hand confirm the artery stenosis and
on the other hand assess its severity and impact on the kidney. Therapeutic
options still lie on the American guidelines published in 2006, since no study
data can be reasonably used in everyday practice. However, particular sub-groups
of patients who could benefit from revascularisation have been identified through
recent cohort studies. Further prospective studies are needed in order to confirm
the superiority of PTRAS in these populations. Meanwhile, multidisciplinary
approach should be promoted, in order to provide the best treatment for each
patient.
PMID- 27887846
TI - Long non-coding RNA metastasis-associated lung adenocarcinoma transcript 1
regulates the expression of Gli2 by miR-202 to strengthen gastric cancer
progression.
AB - BACKGROUND: Gastric cancer (GC) is one of the most common malignancies and ranks
the second leading cause of cancer death worldwide. Some studies had reported the
tumor-promoting effects of long non-coding RNA metastasis-associated lung
adenocarcinoma transcript 1 (MALAT1) as a competing endogenous RNA (ceRNA) by
sponging to microRNAs. However, the molecular mechanism of ceRNA regulatory
pathway involving MALAT1 in GC remains unclear. METHODS: MALAT1 and miR -202
expression was detected by quantitative real time PCR (qRT-PCR) in 60 gastric
cancer tissues and adjacent normal tissues, CCK8 cell proliferation assays, cell
cycle analysis and cell apoptosis assays were performed to detect the GC cell
proliferation and apoptosis. The mRNA and protein levels of Gli2 were analyzed by
quantitative real-time PCR and Western blotting assays. Furthermore, using online
software, luciferase reporter assays, RNA immunoprecipitation (RIP) and RNA
pulldown assays demonstrated miR-202 was a target of MALAT1. RESULTS: We found
that MALAT1 was upregulated in GC tissues and higher MALAT1 expression was
correlated with larger tumor size, lymph node metastasis, and TNM stage.
Moreover, we revealed that MALAT1 was a direct target of miR-202 and knockdown of
MALAT1 significantly decreased the expression of Gli2 through negatively
regulating miR-202. In addition, knockdown of Malat1 inhibited GC cells
proliferation, S-phase cell number, and induced cell apoptosis via negatively
regulating miR-202 in vitro. CONCLUSIONS: Our results elucidated MALAT1/miR
202/Gli2 regulatory pathway, which maybe contribute to a novel therapeutic
strategy for GC patients.
PMID- 27887847
TI - Role of the HTLV-1 viral factors in the induction of apoptosis.
AB - Adult T-cell leukemia (ATL) and HTLV-1-associated Myelopathy/Tropical Spastic
Paraparesis (HAM/TSP) are the two main diseases that are caused by the HTLV-1
virus. One of the features of HTLV-1 infection is its resistance against
programmed cell death, which maintains the survival of cells to oncogenic
transformation and underlies the viruses' therapeutic resistance. Two main genes
by which the virus develops cancer are Tax and HBZ; playing an essential role in
angiogenesis in regulating viral transcription and modulating multiple host
factors as well as apoptosis pathways. Here we have reviewed by prior research
how the apoptosis pathways are suppressed by the Tax and HBZ and new drugs which
have been designed to deal with this suppression.
PMID- 27887848
TI - Simvastatin inhibits tumor angiogenesis in HER2-overexpressing human colorectal
cancer.
AB - Overexpression of the HER2 oncogene contributes to tumor angiogenesis, which is
an essential hallmark of cancer. Simvastatin has been reported to exhibit
antitumor activities in several cancers; however, its roles and molecular
mechanismsin the regulation of colorectal angiogenesis remain to be clarified.
Here, we show that colon cancer cells express high levels of VEGF, total HER2 and
phosphorylated HER2, and simvastatin apparently decreased their expression in
HER2-overexpressing colon cancer cells. Simvastatin pretreatment reduced
endothelial tube formation in vitro and microvessel density in vivo. Furthermore,
simvastatin markedly inhibited tumor angiogenesis even in the presence of
heregulin (HRG)-beta1 (a HER2 co-activator) pretreatment in HER2+ tumor cells.
Mechanistic investigation showed that simvastatin significantly abrogated HER2
induced tumor angiogenesis by inhibiting VEGF secretion. Together, these results
provide a novel mechanism underlying the simvastatin-induced inhibition of tumor
angiogenesis through regulating HER2/VEGF axis.
PMID- 27887850
TI - Laser flow cytometry as a tool for the advancement of clinical medicine.
AB - Flow cytometry is a classic laser technology. With the discovery of the
cytometer, flow cytometry has become a primary tool in biodiagnostic research.
This review focuses on current applications of flow cytometry to the diagnosis of
disease and treatment monitoring at the single-cell level. A description of the
principles of flow cytometry and a brief overview of the major applications are
presented. Our criteria for selecting research papers for this review are those
that show advances in biomedicine and pharmacotherapy achieved by using non
invasive flow cytometry. New concepts for diagnosis and classification based on
quantitative measurements of cellular parameters and the expression of specific
differentiation antigens on the surface of cells will be discussed herein.
PMID- 27887851
TI - Nonlinear dynamics of avian influenza epidemic models.
AB - Avian influenza is a zoonotic disease caused by the transmission of the avian
influenza A virus, such as H5N1 and H7N9, from birds to humans. The avian
influenza A H5N1 virus has caused more than 500 human infections worldwide with
nearly a 60% death rate since it was first reported in Hong Kong in 1997. The
four outbreaks of the avian influenza A H7N9 in China from March 2013 to June
2016 have resulted in 580 human cases including 202 deaths with a death rate of
nearly 35%. In this paper, we construct two avian influenza bird-to-human
transmission models with different growth laws of the avian population, one with
logistic growth and the other with Allee effect, and analyze their dynamical
behavior. We obtain a threshold value for the prevalence of avian influenza and
investigate the local or global asymptotical stability of each equilibrium of
these systems by using linear analysis technique or combining Liapunov function
method and LaSalle's invariance principle, respectively. Moreover, we give
necessary and sufficient conditions for the occurrence of periodic solutions in
the avian influenza system with Allee effect of the avian population. Numerical
simulations are also presented to illustrate the theoretical results.
PMID- 27887849
TI - Inhibition of heat shock protein (Hsp) 90 potentiates the antiproliferative and
pro-apoptotic effects of 2-(4'fluoro-phenylamino)-4H-1,3-thiazine[6,5-b]indole in
A2780cis cells.
AB - Ovarian carcinoma is initially sensitive to platinum-based therapy, but become
resistant over time. The study of cancer sensitizing substance is therefore the
major challenge for a number of scientific groups. Our experiments were carried
out on human ovarian adenocarcinoma A2780cis cells resistant to cisplatin and
their response to 2-(4'fluoro-phenylamino)-4H-1,3-thiazine[6,5-b]indole
(thiazine[6,5-b]indole) and/or heat shock protein (Hsp) 90 inhibitor 17
dimethylaminoethylamino-17-demethoxygeldanamycin (17-DMAG) using proliferation
assay, cell cycle analysis and monitoring of apoptosis were examined. A2780cis
cells revealed the same fold of resistance to Hsp90 inhibitor 17-DMAG as it is
declared for cisplatin (18 times), but only 3.2 times for thiazine[6,5-b]indole.
Our results showed that the combination of thiazine[6,5-b]indole and 17-DMAG
significantly reduced proliferation of A2780cis cells and led to their
accumulation in G2/M phase of the cell cycle. Moreover, both thiazine[6,5
b]indole as well as 17-DMAG increased the number of annexin V positive A2780cis
cells in time dependent manner. Interestingly, thiazine[6,5-b]indole treatment
significantly activated also caspase-3 compared to untreated or 17-DMAG-treated
cells and reduced mitochondrial membrane potential (MMP) of A2780cis cells with
more significant decline after combined treatment. In this regard, the incubation
of A2780cis cells with thiazine[6,5-b]indole induced PARP protein cleavage as
well as an increased level of Bad protein with more pronounced changes after
combined treatment. Importantly, Hsp70 protein was not upregulated in A2780cis
cells neither by individual treatment nor by mutual combination. Our results
signify antiproliferative and pro-apoptotic effects of novel thiazine[6,5
b]indole potentiated by Hsp90 inhibitor 17-DMAG in ovarian adenocarcinoma cells
resistant to cisplatin and therefore represents new strategy in cancer treatment.
PMID- 27887852
TI - Morphological and functional imaging of neck paragangliomas.
AB - OBJECTIVE: To review the optimal techniques for localization and characterization
of neck paragangliomas (PGL). MATERIAL AND METHODS: Systematic review of the
literature from the PubMed/Medline database. RESULTS: Neck PGL are hypervascular
tumours essentially arising from paraganglionic tissue situated at the carotid
bifurcation (carotid body) and along the vagus nerve. Morphological and
functional imaging are indicated to confirm the diagnosis, identify multifocal
disease and for local and regional staging. MR angiography is the noninvasive
technique of choice. CT scan and especially CT angiography are excellent
alternatives for diagnosis and staging. Conventional arteriography remains useful
preoperatively for embolization and occlusion tests. Functional imaging allows
localization and characterization of PGLs. Somatostatin receptor scintigraphy
(SRS) was the reference imaging technique for staging of sporadic PGLs. The
indications for PET imaging have been extended over recent years in parallel with
the development of new tracers such as [18F]-FDOPA PET or 68Gallium-labelled DOTA
peptides. 68Gallium-labelled DOTA peptides has become the first-line imaging
modality in the evaluation of cervical PGLs, regardless of the genetic
background. CONCLUSION: Morphological and functional imaging is essential for the
staging of neck PGL.
PMID- 27887853
TI - The value of endografts in the surgical management of arterial lesions secondary
to Behcet disease.
AB - BACKGROUND: This study summarizes our experience in the surgical management of
arterial lesions secondary to Behcet disease (BD) and assesses the value of
endografts. METHODS: Data from BD patients with arterial lesions managed
surgically in our center from January 1998 to December 2015 were studied
retrospectively. Surgical procedures, graft selection, graft-related
complications, and retreatments were analyzed. RESULTS: We recruited 33 patients
(29 men and 4 women; male-to-female ratio, 7.25:1) with an average age of 36.7
years (range, 25-51 years). The arterial lesions included 27 aneurysms in 24
patients and nine stenotic or occlusive lesions in nine patients.
Immunosuppressive therapy was administered routinely preoperatively and
postoperatively as recommended. Altogether, 15 great saphenous veins (GSVs), 8
synthetic grafts, and 13 endografts were used in 36 primary procedures. The mean
follow-up duration was 3.8 +/- 2.9 years. Graft-related pseudoaneurysm was seen
in three GSVs (20%) and in three synthetic grafts (38%) at the anastomosis, but
not in endograft implantations (log-rank, P = .171). Graft occlusions were
observed in 1 GSV (7%), 2 synthetic (25%), and 2 endografts (15%; log-rank, P =
.881). Graft infection occurred in one synthetic graft (13%) and in one endograft
(8%) but not in the GSVs (log-rank, P = .689). Graft-related artery rupture
occurred in only one endograft (8%). Two patients died, giving a mortality rate
of 6.1%. CONCLUSIONS: In the surgical management of arterial lesions secondary to
BD, endografts were superior to GSV and synthetic grafts in decreasing
anastomotic pseudoaneurysm. However, improvements are needed to enhance the long
term patency and reduce infections.
PMID- 27887855
TI - Regional anesthesia as the anesthetic of choice for high-risk surgical patients
undergoing repair of juxtarenal aortic aneurysms with fenestrated stent grafts.
AB - Juxtarenal aortic aneurysms (JAAs) have been conventionally treated using open
repair with excellent results. Recent approval of fenestrated stent grafts by the
United States Food and Drug Administration has given patients with JAAs an
alternative for repair. However, most of these procedures are still performed
under general anesthesia, making some surgeons reluctant to offer repair to a
subset of patients deemed too high risk for general anesthesia. We present three
patients with JAAs at high surgical risk, including one patient with a ruptured
aneurysm, who were successfully treated using a fenestrated stent graft under
regional anesthesia.
PMID- 27887854
TI - Significant regional variation exists in morbidity and mortality after repair of
abdominal aortic aneurysm.
AB - OBJECTIVE: Limited data exist comparing perioperative morbidity and mortality
after open and endovascular abdominal aortic aneurysm (AAA) repair (EVAR) among
regions of the United States. This study evaluated the regional variation in
mortality and perioperative outcomes after repair of AAAs. METHODS: The Vascular
Quality Initiative (VQI) was used to identify patients undergoing open AAA repair
and EVAR between 2009 and 2014. Ruptured and intact aneurysms were evaluated
separately, and the analysis of intact aneurysms was limited to infrarenal AAAs.
All 16 regions of the VQI were deidentified, and those with <100 open repairs
were combined to eliminate the effect of low-volume regions. Regional variation
was evaluated using chi2 and Fisher exact tests. Regional rates were compared
against current quality benchmarks. RESULTS: Perioperative outcomes from 14
regions were compared. After open repair of intact aneurysms, no significant
variation was seen in 30-day or in-hospital mortality; however, multiple regions
exceeded the Society for Vascular Surgery benchmark for in-hospital mortality
after open repair of intact aneurysms of <5% (range, 0%-7%; P = .55). After EVAR,
all regions met the Society for Vascular Surgery benchmark of <3% (range, 0%-1%;
P = .75). Significant variation in in-hospital mortality existed after open (14%
63%; P = .03) and endovascular (3%-32%; P = .03) repair of ruptured aneurysms
across the VQI regional groups. After repair of intact aneurysms, wide variation
was seen in prolonged length of stay (>7 days for open repair: 32%-53%, P = .54;
>2 days for EVAR: 16-43%, P < .01), transfusion (open: 10%-35%, P < .01; EVAR: 7%
18%, P < .01), use of vasopressors (open: 19%-37%, P < .01; EVAR: 3%-7%, P <
.01), and postoperative myocardial infarction (open: 0%-13%, P < .01; EVAR: 0%
3%, P < .01). After open repair, worsening renal function (6%-18%; P = .04) and
respiratory complications (6%-20%; P = .20) were variable across regions. The
frequency of endoleak at completion of EVAR also had considerable variation (15%
38%; P < .01). CONCLUSIONS: Despite limited variation, multiple regions do not
meet current benchmarks for in-hospital mortality after open AAA repair for
intact aneurysms. Significant regional variation exists in perioperative outcomes
and length of stay, and mortality is widely variable after repair for rupture.
These data identify important areas for quality improvement initiatives and
clinical practice guidelines.
PMID- 27887856
TI - Preoperative thoracic false lumen branches relate to aortic remodeling after
thoracic endovascular aortic repair for DeBakey IIIb aortic dissection.
AB - OBJECTIVE: This study evaluated the association between thoracic false lumen
branches (TFLBs; dissected thoracic branches fed by a false lumen) and distal
thoracic aortic enlargement (DTAE) after thoracic endovascular aortic repair
(TEVAR) for DeBakey IIIb aortic dissection. METHODS: We retrospectively analyzed
the records of 67 patients with DeBakey IIIb dissection initially treated with
TEVAR between January 2011 and December 2013. Preoperative and postoperative
computed tomography angiography images were reviewed to evaluate the number of
preoperative TFLBs and aortic diameter change after TEVAR. Patients were
stratified into two groups by the estimated optimal cutoff value of preoperative
TFLBs for the prediction of DTAE: group A (n = 28), preoperative TFLBs >=8; group
B (n = 39), preoperative TFLBs <8. The diameter changes over time were modeled by
mixed models of repeated data. Multivariable Cox regression analysis was used to
assess the independent association between preoperative TFLBs and DTAE after
TEVAR. RESULTS: Baseline demographics, clinical features, and devices implanted
were largely similar between the two groups. Group A had more preoperative
abdominal false lumen branches (7 [4-8] vs 4 [1-6]; P = .02) and a greater
proportion of preoperative patent thoracic false lumen (83.9% vs 66.7%; P = .04).
Twelve patients experienced DTAE during a median imaging follow-up period of 12.2
months (interquartile range, 4.3-26.6 months). Log-rank testing revealed a
significant increase in cumulative estimated proportion with DTAE in group A (P <
.01). The maximum thoracic aortic diameter decreased significantly in group B (P
< .01) but not in group A. The overall change in the maximum thoracic aortic
diameter was statistically different between the two groups (P < .01). Each group
exhibited a significant increase in true lumen diameter and a significant
decrease in false lumen diameter postoperatively. However, a lower degree of
false lumen regression in group A was detected compared with group B (P = .03).
Multivariable analysis identified that preoperative TFLBs were independently
associated with DTAE after TEVAR (hazard ratio [for one increase], 1.46; 95%
confidence interval, 1.20-1.77) after adjustment for gender, hyperlipidemia,
length of dissected aorta and height index, preoperative abdominal false lumen
branches, and preoperative false lumen status of thoracic aorta. CONCLUSIONS:
Preoperative TFLBs are independently associated with DTAE after TEVAR in DeBakey
IIIb aortic dissection. Long-term follow-up is necessary to further verify the
preliminary finding.
PMID- 27887857
TI - A potential contribution of dipeptidyl peptidase-4 by the mediation of monocyte
differentiation in the development and progression of abdominal aortic aneurysms.
AB - OBJECTIVE: Abdominal aortic aneurysms (AAAs) are characterized by the destruction
of elastin and collagen in the media and adventitia. Dipeptidyl peptidase-4 (DPP
4, an adipokine known as CD26) influences cell signaling, cell-matrix
interactions, and the regulation of the functional activity of incretins in
metabolic and inflammatory disorders. Although the role of DPP-4 in AAA evolution
has been demonstrated, the underlying mechanisms of DPP-4-regulated AAA
development remains unknown. METHODS: Patients with AAA (n = 93) and healthy
controls (CTL, n = 20) were recruited. Based on computed tomography image
analyses, 93 patients were divided into two groups: those with a small AAA (SAA,
aortic diameter <5 cm, n = 16) and those with a large AAA (LAA, aortic diameter
>=5 cm, n = 77). Plasma DPP-4, glucagon-like peptide-1 levels, and expression of
CD26 on mononuclear cells were analyzed. In addition, phorbol 12-myristate 13
acetate (PMA)-induced THP-1 cells and angiotensin II-infused apolipoprotein
EtmlUnc mice were used to explore the underlying mechanisms. RESULTS: The levels
of DPP-4 (MUU/MUg) increased while active glucagon-like peptide-1 (pM) decreased
in patients with AAA in a diameter-dependent manner [CTL: 2.3 +/- 1.5 and 3.7 +/-
2.4, respectively; SAA: 10.0 +/- 10.9 and 2.1 +/- 0.9, respectively; LAA: 32.2 +/
15.0 and 1.8 +/- 1.1, respectively]. A significant decline in monocyte CD26
expression in patients with AAAs was observed relative to the CTL group. In vitro
studies demonstrated that the inhibition of DPP-4 promoted PMA-induced monocytic
cells differentiation, with increased CD68 and p21 expression, regulated by
extracellular signal-regulated protein kinase 1/2 activation. Furthermore,
inhibition of DPP-4 significantly increased the phosphorylation of PYK2 and
paxillin in PMA-induced THP-1 cell differentiation. Finally, the animal study was
used to confirm the in vitro results that LAA mice showed marked macrophage
infiltration in the adventitia with a decreased expression of DPP-4 as compared
with SAA mice. CONCLUSIONS: Increased plasma DPP-4 activity may correlate with
aneurysmal development. CD26 on monocytes plays a critical role in cell
differentiation, possibly mediated by extracellular signal-regulated protein
kinase 1/2-p21 axis signaling pathways and cytoskeletal proteins reassembly.
Exploring the role of DPP-4 further may yield potential therapeutic insights.
PMID- 27887858
TI - Predictive value of neutrophil-to-lymphocyte ratio in diabetic wound healing.
AB - OBJECTIVE: The neutrophil-to-lymphocyte ratio (NLR) has been used as a surrogate
marker of systemic inflammation. We sought to investigate the association between
NLR and wound healing in diabetic wounds. METHODS: The outcomes of 120 diabetic
foot ulcers in 101 patients referred from August 2011 to December 2014 were
examined retrospectively. Demographic, patient-specific, and wound-specific
variables as well as NLR at baseline visit were assessed. Outcomes were
classified as ulcer healing, minor amputation, major amputation, and chronic
ulcer. RESULTS: The subjects' mean age was 59.4 +/- 13.0 years, and 67 (66%) were
male. Final outcome was complete healing in 24 ulcers (20%), minor amputation in
58 (48%) and major amputation in 16 (13%), and 22 chronic ulcers (18%) at the
last follow-up (median follow-up time, 6.8 months). In multivariate analysis,
higher NLR (odds ratio, 13.61; P = .01) was associated with higher odds of
nonhealing. CONCLUSIONS: NLR can predict odds of complete healing in diabetic
foot ulcers independent of wound infection and other factors.
PMID- 27887859
TI - Olanzapine modulation of long- and short-range functional connectivity in the
resting brain in a sample of patients with schizophrenia.
AB - Treatment effects of antipsychotic drugs on cerebral function are seldom
examined. Exploring functional connectivity (FC) in drug-free schizophrenia
patients before and after antipsychotic treatment can improve the understanding
of antipsychotic drug mechanisms. A total of 17 drug-free patients with recurrent
schizophrenia and 24 healthy controls underwent resting-state functional magnetic
resonance imaging scans. Long- and short-range FC strengths (FCS) were calculated
for each participant. Compared with the controls, the patients at baseline
exhibited increased long-range positive FCS (lpFCS) in the bilateral inferior
parietal lobule (IPL) and decreased lpFCS in the brain regions of the default
mode network (DMN) regions and sensorimotor circuits of the brain. By contrast,
increased short-range positive FCS was observed in the right IPL of the patients
at baseline compared with the controls. After treatment with olanzapine,
increased FC in the DMN and sensorimotor circuits of the brain was noted, whereas
decreased FC was observed in the left superior temporal gyrus (STG). Moreover,
the alterations of the FCS values and the reductions in symptom severity among
the patients after treatment were correlated. The present study provides evidence
that olanzapine normalizes the abnormalities of long- and short-range FCs in
schizophrenia. FC reductions in the right IPL may be associated with early
treatment response, whereas those in the left STG may be related to poor
treatment outcome.
PMID- 27887860
TI - Modeling the development of panic disorder with interoceptive conditioning.
AB - Panic disorder is characterized by the paroxysmal occurrence and fear of bodily
symptoms. In recent years it has been proposed that patients "learn" to fear
cardiorespiratory sensations through interoceptive conditioning. This study
sought to model the initial stage of this process in healthy volunteers (N=44)
using mild cardiac sensations. An additional aim was to explore whether anxiety
sensitivity - a known risk factor for panic disorder - modulates such
interoceptive learning. Infusions of pentagastrin and saline were used to
manipulate the presence versus absence of cardiac sensations, respectively, and
served as conditioned stimuli in a differential interoceptive conditioning
paradigm. Inhalation of 35% CO2-enriched air served as the panicogenic,
unconditioned stimulus (UCS). In half of the participants ("prepared" condition),
cardiac sensations caused by pentagastrin were followed by inhalation of CO2
enriched air (penta CS+), whereas the absence of such sensations (saline) was
followed by room air (saline CS-). The reversed combination ("unprepared"
condition) was used in the other half of the participants. Conditioning effects
showed up for self-reported UCS-expectancy, but not for skin conductance and
anxiety ratings. Only participants from the prepared group learned to expect the
UCS, and differential learning was impaired with higher scores on anxiety
sensitivity. Expectancy learning was more easily established towards the presence
compared to the absence of cardiac sensations, whereas the reverse effect was
observed for safety learning. Modeling impaired discriminatory learning and the
moderating effect of anxiety sensitivity provides new insight in the development
of panic disorder.
PMID- 27887861
TI - Bias in the reporting of harms in clinical trials of second-generation
antidepressants: The tip of the iceberg?
AB - Serious adverse events are poorly reported in clinical trials of second
generation antidepressants. Moreover some key opinion leaders (eg. in France)
deliberately failed to warn against them. The gross distortions of
antidepressants' benefit:harm ratio in adolescents showed evidence that open
science (ie access to de-identified individual patient data for re-analyses) is a
mandatory prerequisite for a trustworthy science.
PMID- 27887862
TI - Report on the microbiota of Melipona quadrifasciata affected by a recurrent
disease.
AB - Melipona quadrifasciata is an eusocial stingless bee traditionally used for honey
production in Brazil. In the last decades, the species disappeared from the wild
in Southern Brazil, being kept exclusively in managed colonies for commercial and
recreational purposes. Stingless beekeepers from this region report annual losses
of their colonies due to a syndrome of yet unknown causes. We investigate whether
it is associated to pathogenic microorganisms already known to cause disease in
bees. These results provide a starting point for future studies aimed at
clarifying the relationship between the microbial community of stingless bees and
their colony collapses.
PMID- 27887863
TI - Body mass index and mortality in patients with type 2 diabetes mellitus: A
prospective cohort study of 11,449 participants.
AB - AIMS: To investigate the association between body-mass index and mortality in
Chinese adults T2DM. METHODS: 11,449 participants of Kailuan Study with T2DM were
included in this prospective cohort study. All-cause mortality was calculated
using Kaplan-Meier analysis. Cox proportional hazards analysis was used to
estimate the association between BMI and mortality. RESULTS: During a mean follow
up period of 7.25+/-1.42years, 1254 deaths occurred. The number of deaths of the
underweight, normal weight, overweight, and obese group was 23, 389, 557, and
285; the corresponding mortality was 25.0%, 13.4%, 10.3%, and 9.4%, respectively.
The obese group had the lowest all-cause mortality rate (log-rank chi
square=48.430, P<0.001). After adjusting for age, sex, fasting blood glucose,
smoking status, systolic blood pressure, history of hypertension, stroke, cancer
and myocardial infarction, compared with the normal weight group, Multivariate
Cox proportional hazard regression analysis showed that HR (95% CI) of all-cause
mortality in the underweight, overweight, and obese group was 1.497 (0.962,
2.330), 0.833 (0.728, 0.952), and 0.809 (0.690, 0.949). After stratifying for age
tertiles, this trend remained. CONCLUSIONS: In T2DM patients in north China, the
risk for all-cause mortality was lower in the overweight and the obese groups
than those in the normal weight and the underweight groups.
PMID- 27887866
TI - Continuously expanding CAR NK-92 cells display selective cytotoxicity against B
cell leukemia and lymphoma.
AB - BACKGROUND AIMS: Natural killer (NK) cells can rapidly respond to transformed and
stressed cells and represent an important effector cell type for adoptive
immunotherapy. In addition to donor-derived primary NK cells, continuously
expanding cytotoxic cell lines such as NK-92 are being developed for clinical
applications. METHODS: To enhance their therapeutic utility for the treatment of
B-cell malignancies, we engineered NK-92 cells by lentiviral gene transfer to
express chimeric antigen receptors (CARs) that target CD19 and contain human
CD3zeta (CAR 63.z), composite CD28-CD3zeta or CD137-CD3zeta signaling domains
(CARs 63.28.z and 63.137.z). RESULTS: Exposure of CD19-positive targets to CAR NK
92 cells resulted in formation of conjugates between NK and cancer cells, NK-cell
degranulation and selective cytotoxicity toward established B-cell leukemia and
lymphoma cells. Likewise, the CAR NK cells displayed targeted cell killing of
primary pre-B-ALL blasts that were resistant to parental NK-92. Although all
three CAR NK-92 cell variants were functionally active, NK-92/63.137.z cells were
less effective than NK-92/63.z and NK-92/63.28.z in cell killing and cytokine
production, pointing to differential effects of the costimulatory CD28 and CD137
domains. In a Raji B-cell lymphoma model in NOD-SCID IL2R gammanull mice,
treatment with NK-92/63.z cells, but not parental NK-92 cells, inhibited disease
progression, indicating that selective cytotoxicity was retained in vivo.
CONCLUSIONS: Our data demonstrate that it is feasible to generate CAR-engineered
NK-92 cells with potent and selective antitumor activity. These cells may become
clinically useful as a continuously expandable off-the-shelf cell therapeutic
agent.
PMID- 27887865
TI - Overcoming the bottleneck of platelet lysate supply in large-scale clinical
expansion of adipose-derived stem cells: A comparison of fresh versus three types
of platelet lysates from outdated buffy coat-derived platelet concentrates.
AB - BACKGROUND: Platelet lysates (PL) represent a promising replacement for xenogenic
growth supplement for adipose-derived stem cell (ASC) expansions. However, fresh
platelets from human blood donors are not clinically feasible for large-scale
cell expansion based on their limited supply. Therefore, we tested PLs prepared
via three methods from outdated buffy coat-derived platelet concentrates (PCs) to
establish an efficient and feasible expansion of ASCs for clinical use. METHODS:
PLs were prepared by the freeze-thaw method from freshly drawn platelets or from
outdated buffy coat-derived PCs stored in the platelet additive solution,
InterSol. Three types of PLs were prepared from outdated PCs with platelets
suspended in either (1) InterSol (not manipulated), (2) InterSol + supplemented
with plasma or (3) plasma alone (InterSol removed). Using these PLs, we compared
ASC population doubling time, cell yield, differentiation potential and cell
surface markers. Gene expression profiles were analyzed using microarray assays,
and growth factor concentrations in the cell culture medium were measured using
enzyme-linked immunosorbent assay (ELISA). RESULTS: Of the three PL compositions
produced from outdated PCs, removal of Intersol and resuspension in plasma prior
to the first freezing process was overall the best. This specific outdated PL
induced ASC growth kinetics, surface markers, plastic adherence and
differentiation potentials comparable with PL from fresh platelets. ASCs expanded
in PL from fresh versus outdated PCs exhibited different expressions of 17
overlapping genes, of which 10 were involved in cellular proliferation, although
not significantly reflected by cell growth. Only minor differences in growth
factor turnover were observed. CONCLUSION: PLs from outdated platelets may be an
efficient and reliable source of human growth supplement allowing for large-scale
ASC expansion for clinical use.
PMID- 27887864
TI - Optimization of cGMP purification and expansion of umbilical cord blood-derived T
regulatory cells in support of first-in-human clinical trials.
AB - BACKGROUND AIMS: Thymic-derived regulatory T cells (tTreg) are critical
regulators of the immune system. Adoptive tTreg transfer is a curative therapy
for murine models of autoimmunity, graft rejection, and graft-versus-host disease
(GVHD). We previously completed a "first-in-human" clinical trial using in vitro
expanded umbilical cord blood (UCB)-derived tTreg to prevent GVHD in patients
undergoing UCB hematopoietic stem cell transplantation (HSCT). tTreg were safe
and demonstrated clinical efficacy, but low yield prevented further dose
escalation. METHODS: To optimize yield, we investigated the use of KT64/86
artificial antigen presenting cells (aAPCs) to expand tTreg and incorporated a
single re-stimulation after day 12 in expansion culture. RESULTS: aAPCs increased
UCB tTreg expansion greater than eightfold over CD3/28 stimulation. Re
stimulation with aAPCs increased UCB tTreg expansion an additional 20- to 30
fold. Re-stimulated human UCB tTreg ameliorated GVHD disease in a xenogeneic
model. Following current Good Manufacturing Practice (cGMP) validation, a trial
was conducted with tTreg. tTreg doses up to >30-fold higher compared with that
obtained with anti-CD3/28 mAb coated-bead expansion and Foxp3 expression was
stable during in vitro expansion and following transfer to patients. Increased
expansion did not result in a senescent phenotype and GVHD was significantly
reduced. DISCUSSION: Expansion culture with cGMP aAPCs and re-stimulation
reproducibly generates sufficient numbers of UCB tTreg that exceeds the numbers
of T effector cells in an UCB graft. The methodology supports future tTreg
banking and is adaptable to tTreg expansion from HSC sources. Furthermore,
because human leukocyte antigen matching is not required, allogeneic UCB tTreg
may be a useful strategy for prevention of organ rejection and autoimmune
disease.
PMID- 27887867
TI - Evaluation of function and recovery of adipose-derived stem cells after exposure
to paclitaxel.
AB - BACKGROUND AIMS: Adipose-derived stem cells (ASCs) are considered to play a
positive role in wound healing as evidenced by their increasing use in breast
reconstructive procedures. After chemotherapy for breast cancer, poor soft tissue
wound healing is a major problem. In the present study, the functional
capabilities and recovery of ASCs after exposure to chemotherapeutic agent
paclitaxel (PTX) using in vitro and ex vivo models were demonstrated. METHODS:
Human ASCs were isolated from periumbilical fat tissue and treated with PTX at
various concentrations. Adult Sprague-Dawley rats were given intravenous
injections with PTX. Two and four weeks after the initial PTX treatment, ASCs
were isolated from rat adipose tissue. Proliferation, cell viability, apoptosis
and cell migration rates were measured by growth curves, MTT assays, flow
cytometry and scratch assays. ASCs were cultured in derivative-specific
differentiation media with or without PTX for 3 weeks. Adipogenic, osteogenic and
endothelial differentiation levels were measured by quantitative reverse
transcriptase polymerase chain reaction and histological staining. RESULTS: PTX
induced apoptosis, decreased the proliferation and cell migration rates of ASCs
and inhibited ASCs multipotent differentiation in both in vitro human ASC
populations and ex vivo rat ASC populations with PTX treatment. Furthermore,
after cessation of PTX, ASCs exhibited recovery potential of differentiation
capacity in both in vitro and animal studies. CONCLUSIONS: Our results provide
insight into poor soft tissue wound healing and promote further understanding of
the potential capability of ASCs to serve as a cell source for fat grafting and
reconstruction in cancer patients undergoing chemotherapy treatment.
PMID- 27887868
TI - Reassessing APOBEC3G Inhibition by HIV-1 Vif-Derived Peptides.
AB - The human APOBEC3G (A3G) enzyme restricts HIV-1 in the absence of the viral
accessory protein viral infectivity factor (Vif) by deaminating viral cDNA
cytosines to uracils. These uracil lesions base-pair with adenines during the
completion of reverse transcription and result in A3G signature G-to-A mutations
in the viral genome. Vif protects HIV-1 from A3G-mediated restriction by forming
an E3-ubiquitin ligase complex to polyubiquitinate A3G and trigger its
degradation. Prior studies indicated that Vif may also directly block the
enzymatic activity of A3G and, provocatively, that Vif-derived peptides, Vif 25
39 and Vif 105-119, are similarly inhibitory. Here, we show that Vif 25-39 does
not inhibit A3G enzymatic activity and that the inhibitory effect of Vif 105-119
and that of a shorter derivative Vif 107-115, although recapitulated, are non
specific. We also elaborate a simple method for assaying DNA cytosine deaminase
activity that eliminates potential polymerase chain reaction-induced biases. Our
results show that these Vif-derived peptides are unlikely to be useful as tools
to study A3G function or as leads for the development of future therapeutics.
PMID- 27887869
TI - A Highly Diverse and Functional Naive Ubiquitin Variant Library for Generation of
Intracellular Affinity Reagents.
AB - We report the design, construction, and validation of a highly diverse phage
displayed naive ubiquitin variant (Ubv) library. We first conducted a mutation
tolerance scan of 27 residues and confirmed that 24 of these could be substituted
by chemically diverse amino acids without compromising the display of Ubvs on
phage. Subsequently, we constructed a library containing 6.8*1010 unique members,
in which these 24 positions were diversified with a degenerate codon that encodes
for 6 aa that are prevalent in protein interaction sites. To ensure the optimal
structural stability of the Ubvs, we constructed the library in a two-step
process, whereby 12 positions were randomized first, and following the selection
for displayed Ubvs, the resulting pool was further diversified at the other 12
positions. The resulting library was validated by conducting binding selections
against a panel of 40 diverse protein antigens and was found to be as functional
as a highly validated synthetic antibody library, yielding binders against 30 of
the antigens. Detailed characterization of an Ubv that bound to the cell-surface
receptor human epidermal growth factor receptor 3 revealed tight binding in the
single-digit nanomolar range. Moreover, Ubvs that bound to two distinct sites on
the intracellular adapter Grb2 could be combined to generate a potent inhibitor
that functioned in cells. These results validate ubiquitin as a robust scaffold
for the construction of naive libraries that can be used to generate Ubvs that
target signaling networks both outside and inside the cells.
PMID- 27887870
TI - Involvement of Indian hedgehog signaling in mesenchymal stem cell-augmented
rotator cuff tendon repair in an athymic rat model.
AB - BACKGROUND: Bone marrow aspirate has been used in recent years to augment tendon
to-bone healing, including in rotator cuff repair. However, the healing mechanism
in cell-based therapy has not been elucidated in detail. METHODS: Sixteen athymic
nude rats were randomly allocated to 2 groups: experimental (human mesenchymal
stem cells in fibrin glue carrier) and control (fibrin glue only). Animals were
sacrificed at 2 and 4 weeks. Immunohistochemical staining was performed to
evaluate Indian hedgehog (Ihh) signaling and SOX9 signaling in the healing
enthesis. Macrophages were identified using CD68 and CD163 staining, and
proliferating cells were identified using proliferating cell nuclear antigen
staining. RESULTS: More organized and stronger staining for collagen II and a
higher abundance of SOX9+ cells were observed at the enthesis in the experimental
group at 2 weeks. There was significantly higher Gli1 and Patched1 expression in
the experimental group at the enthesis at 2 weeks and higher numbers of Ihh+
cells in the enthesis of the experimental group vs control at both 2 weeks and 4
weeks postoperatively. There were more CD68+ cells localized to the tendon
midsubstance at 2 weeks compared with 4 weeks, and there was a higher level of
CD163 staining in the tendon midsubstance in the experimental group than in the
control group at 4 weeks. CONCLUSION: Stem cell application had a positive effect
on fibrocartilage formation at the healing rotator cuff repair site. Both SOX9
and Ihh signaling appear to play an important role in the healing process.
PMID- 27887871
TI - Radiographic results of augmented all-polyethylene glenoids in the presence of
posterior glenoid bone loss during total shoulder arthroplasty.
AB - BACKGROUND: Chronic osteoarthritis can result in glenohumeral subluxation and
loss of posterior glenoid bone. This can alter normal glenohumeral biomechanics
and affect the stress placed on the glenoid implant after total shoulder
arthroplasty. This study evaluated the clinical and radiographic results of an
augmented all-polyethylene glenoid for the treatment of glenoid osteoarthritis in
the presence of posterior glenoid bone loss and determined whether any failures
or complications occurred with short-term follow-up. METHODS: During a 2-year
period, 21 patients were treated with an augmented glenoid for an index diagnosis
of osteoarthritis with a biconcave glenoid and average posterior glenoid bone
loss of 4.7 mm. Clinical outcomes were recorded for the American Shoulder and
Elbow Surgeons Shoulder Assessment, Simple Shoulder Test, and active motion.
Radiographic analysis included glenoid version, humeral head subluxation,
component seating, ingrowth, and loosening. RESULTS: Significant improvements
were demonstrated for American Shoulder and Elbow Surgeons Shoulder Assessment
(52.3), Simple Shoulder Test (8.1), forward flexion (50 degrees ), external
rotation (32 degrees ), and pain. Radiographic improvements were found for
glenoid version (12 degrees ), humeral scapular alignment (23%), and humeral
glenoid alignment (8%). Central peg ingrowth was demonstrated in all patients,
and complete component seating was achieved in 19 patients. No complications were
encountered, and no clinical or radiographic failures were identified.
CONCLUSION: Augmented polyethylene glenoid components demonstrated improved
clinical outcome, without implant failure or complications, during short-term
follow-up.
PMID- 27887872
TI - Optimizing the rehabilitation of elbow lateral collateral ligament injuries: a
biomechanical study.
AB - BACKGROUND: Elbow lateral collateral ligament (LCL) injury may arise after trauma
or lateral surgical approaches. The optimal method of rehabilitating the LCL
insufficient elbow is unclear. Therapists often prescribe active motion exercises
with the forearm pronated. Recently, overhead exercises have become popular as
they may enable gravity to compress the elbow joint, improving stability,
although this has not been proved biomechanically. This investigation aimed to
quantify the effects of several variables used in LCL injury rehabilitation on
elbow stability. METHODS: Seven cadaveric specimens were tested in a custom elbow
motion simulator in 3 arm positions (overhead, dependent, and varus) and 2
forearm positions (pronation and supination) during passive and simulated active
elbow extension. Three injury patterns were studied (intact, LCL injury, and LCL
with common extensor origin injury). An electromagnetic tracking device measured
ulnohumeral kinematics. RESULTS: Following combined LCL and common extensor
origin injury, overhead positioning enhanced elbow stability relative to the
other arm positions (P < .01 in pronation; P = .04 in supination). Active motion
stabilized the LCL-deficient elbow in the dependent (P = .02) and varus (P < .01)
positions. Pronation improved stability in the overhead (P = .05), dependent (P =
.06), and varus (P < .01) positions. CONCLUSIONS: Rehabilitation with the arm
overhead improves elbow stability after LCL injury. Initiating earlier range of
motion in this "safe position" might decrease elbow stiffness and allow optimal
ligament healing. If exercises are done in the dependent position, active motion
with forearm pronation should be encouraged. Varus arm positioning should be
avoided.
PMID- 27887873
TI - Neer Award 2017: A rapid method for detecting Propionibacterium acnes in surgical
biopsy specimens from the shoulder.
AB - BACKGROUND: Propionibacterium (P) acnes infection of the shoulder after
arthroplasty is a common and serious complication. Current detection methods for
P acnes involve anaerobic cultures that require prolonged incubation periods
(typically 7-14 days). We have developed a polymerase chain reaction (PCR)
restriction fragment length polymorphism (RFLP) approach that sensitively and
specifically identifies P acnes in tissue specimens within a 24-hour period.
METHODS: Primers were designed to amplify a unique region of the 16S rRNA gene in
P acnes that contained a unique HaeIII restriction enzyme site. PCR and RFLP
analyses were optimized to detect P acnes DNA in in vitro cultures and in
arthroscopic surgical biopsy specimens from patients with P acnes infections.
RESULTS: A 564 base-pair PCR amplicon was derived from all of the known P acnes
strains. HaeIII digests of the amplicon yielded a restriction fragment pattern
that was unique to P acnes. P acnes-specific amplicons were detected in as few as
10 bacterial cells and in clinical biopsy specimens of infected shoulder tissues.
CONCLUSION: This PCR-RFLP assay combines the sensitivity of PCR with the
specificity of RFLP mapping to identify P acnes in surgical isolates. The assay
is robust and rapid, and a P acnes-positive tissue specimen can be confirmed
within 24 hours of sampling, facilitating treatment decision making, targeted
antibiotic therapy, and monitoring to minimize implant failure and revision
surgery.
PMID- 27887874
TI - Clinical and radiographic outcome of revision surgery of radial head prostheses:
midterm results in 16 patients.
AB - BACKGROUND: Little is known about revision surgery of radial head arthroplasty.
The aim of this study was to report on the clinical and radiographic outcome of
revision arthroplasty of the elbow with a bipolar metallic radial head
prosthesis. METHODS: Between 2006 and 2013, we used either a press-fit or
cemented RHS bipolar radial head prosthesis for revision surgery of radial head
arthroplasty in 16 patients. Patients were prospectively enrolled in the study.
Differences in outcome parameters before and after revision surgery were
compared. RESULTS: At a mean follow-up of 75 months (range, 36-116 months), none
of the revised radial head prostheses needed a second revision. None of the stems
showed radiographic signs of loosening. In 1 patient the head was dissociated
from the prosthesis. The average flexion-extension arc was 127 degrees (range,
105 degrees -140 degrees ), and the average pronation-supination arc was 138
degrees (range, 90 degrees -160 degrees ). Stability scores improved after
revision surgery, resulting in 13 stable elbows (P = .01). In 8 patients the
Oxford Elbow Score was between 37 and 48 points. The percentage of patients with
either good or excellent results according to the Mayo Elbow Performance Score
was 63%. The mean score on the EQ-5D (EuroQol Five Dimensions) was 80 (range, 63
100), and the visual analog scale scores both for pain at rest and for pain with
activity improved to 3 (range, 0-9) and 4 (range, 0-9), respectively (P < .001).
All but 1 patient was satisfied with the results of the revision procedure.
CONCLUSION: The clinical and radiographic outcomes of revision surgery of a
radial head prostheses are favorable.
PMID- 27887875
TI - Two-stage revision for infected shoulder arthroplasty.
AB - BACKGROUND: Periprosthetic shoulder infections (PSIs) are challenging to treat
and often result in significant patient morbidity. Without a standardized
treatment protocol, PSIs are often managed similarly to periprosthetic hip and
knee infections. Because 2-stage revision is the gold standard for treating
periprosthetic hip and knee infections, we performed a case series and literature
review to determine its effectiveness in PSIs. METHODS: We identified 19 patients
(14 men) from our institution who were treated with a 2-stage revision after
presenting with a PSI. Mean patient age was 63 +/- 9 years, and average body mass
index was 30.8 +/- 5.8. The average time from the index arthroplasty to treatment
was 40 months, 8 of 13 positive cultures were Propionibacterium acnes, and 9 of
19 patients had multiple shoulder operations before presenting with infection.
Minimum follow-up for all patients was 2 years. RESULTS: After a mean follow-up
of 63 months (range, 25-184 months), 15 of 19 patients in our study were
successfully treated for PSI. Average postoperative American Shoulder and Elbow
Surgeons (ASES) Shoulder Assessment score was 69 (range, 32-98) and average
postoperative forward elevation was significantly increased from 58 degrees to
119 degrees (P < .001). The incidence of recurrent infection was 26%. The rate
of noninfection complications was 16%, for a total complication rate of 42%.
CONCLUSION: In patients with PSIs, especially those with intractable, chronic
infections, a 2-stage revision represents a viable treatment option for
eradicating infection and restoring function. However, it is important to
recognize the risk of recurrent infection and postoperative complications in this
challenging patient population.
PMID- 27887877
TI - A discrete stage-structured model of California newt population dynamics during a
period of drought.
AB - We introduce a mathematical model for studying the population dynamics under
drought of the California newt (Taricha torosa), a species of special concern in
the state of California. Since 2012, California has experienced a record-setting
drought, and multiple studies predict drought conditions currently underway will
persist and even increase in severity. Recent declines and local extinctions of
California newt populations in Santa Monica Mountain streams motivate our study
of the impact of drought on newt population sizes. Although newts are terrestrial
salamanders, they migrate to streams each spring to breed and lay eggs. Since egg
and larval stages occur in water, a precipitation deficit due to drought
conditions reduces the space for newt egg-laying and the necessary habitat for
larval development. To mathematically forecast newt population dynamics, we
develop a nonlinear system of discrete equations that includes demographic
parameters such as survival rates for newt life stages and egg production, which
depend on habitat availability and rainfall. We estimate these demographic
parameters using 15 years of stream survey data collected from Cold Creek in Los
Angeles County, California, and our model captures the observed decline of the
parameterized Cold Creek newt population. Based upon data analysis, we predict
how the number of available newt egg-laying sites varies with annual
precipitation. Our model allows us to make predictions about how the length and
severity of drought can affect the likelihood of persistence and the time to
critical endangerment of a local newt population. We predict that sustained
severe drought will critically endanger the newt population but that the newt
population can rebound if a drought is sufficiently short.
PMID- 27887876
TI - An accuracy study of computer-planned implant placement in the augmented maxilla
using osteosynthesis screws.
AB - Previous research on the accuracy of flapless implant placement of virtually
planned implants in the augmented maxilla revealed unfavourable discrepancies
between implant planning and placement. By using the osteosynthesis screws placed
during the augmentation procedure, the surgical template could be optimally
stabilized. The purpose of this study was to validate this method by evaluating
its clinically relevant accuracy. Twelve consecutive fully edentulous patients
with extreme resorption of the maxilla were treated with a bone augmentation
procedure. Virtual implant planning was performed and a surgical template was
manufactured. Subsequently, six implants were installed using the surgical
template, which was only supported by the osteosynthesis screws. Implant
deviations between planning and placement were calculated. A total of 72 implants
were installed. Mean deviations found in the mesiodistal direction were 0.817mm
at the implant tip and 0.528mm at the implant shoulder. The angular deviation was
2.924 degrees . In the buccolingual direction, a deviation of 1.038mm was
registered at the implant tip and 0.633mm at the implant shoulder. The angular
deviation was 3.440 degrees . This study showed that implant placement in the
augmented maxilla using a surgical template supported by osteosynthesis screws is
accurate.
PMID- 27887879
TI - Solitary osteochondroma arising in lumbar spinous process: Case report.
AB - Solitary osteochondromas rarely occur in the axial skeleton. Those tumors mostly
arise on the posterior elements of the cervical column causing various symptoms
especially when developing within the spinal canal. Exophytic lumbar variety is
uncommon presenting with palpable mass or spinal deformity. We report a 20-year
old man presenting with a solid painless mass at the lower lumbar region.
Radiological examinations revealed an exophytic lesion arising in the third
lumbar spinous process appearing to be a solitary osteochondroma. The lesion was
treated by en-bloc resection; histopathological examination confirmed the
diagnosis of osteochondroma with no evidence of recurrence at the end of 2-year
follow up.
PMID- 27887878
TI - Poor pharmacological adherence to inhaled medicines compared with oral medicines
in Japanese patients with asthma and chronic obstructive pulmonary disease.
PMID- 27887880
TI - Anamnestic prediction of bucket handle compared to other tear patterns of the
medial meniscus in stable knees.
AB - OBJECTIVE: The aim of this study was to analyze and compare the preoperative
anamnestic details between patients with an arthroscopic diagnosis of bucket
handle and other tear patterns of the medial meniscus in stable knees. METHODS: A
total of 204 patients (mean age 49.3 +/- 13 years) were included in the study.
The mean age was 49.3 +/- 13 years. The study group included 65 patients (63
males, 2 females) with an arthroscopic diagnosis of bucket handle tear and the
control group included 139 patients (90 males, 49 females) with non-bucket handle
tear patterns. The preoperative clinical assessments of the two groups were
analyzed retrospectively. Anamnestic prediction for the diagnosis of a bucket
handle tear was based upon various medical history parameters. Multivariate
logistic regression was carried out to identify independent anamnestic factors
for predicting isolated bucket handle tears of the medial meniscus compared to
non-bucket handle tears. RESULTS: Analysis of the multivariate logistic
regression yielded 3 statistically significant independent anamnestic risk
factors for predicting isolated bucket handle tears of the medial meniscus: male
gender (OR, 9.7; 95% CI, 1.1-37.6), locking events (OR, 4.6; 95% CI, 1.8-11.3)
and pain in extension (OR, 6.9; 95% CI, 2.5-23.7). Other preoperative variables
such as age, BMI, activity level, comorbidities, duration of symptoms, pain
location, preceding injury and its mechanism had no significant effect on tear
pattern. CONCLUSIONS: Preoperative strong clues for bucket handle tears of the
medial meniscus in stable knees are male gender, locking events and limitation in
extension. LEVEL OF EVIDENCE: Level III, Diagnostic study.
PMID- 27887881
TI - Sedation versus no sedation: Are there differences in relatives' satisfaction
with the Intensive Care Unit? A survey study based on data from a randomised
controlled trial.
AB - BACKGROUND: Currently there is a trend towards less or no use of sedation of
mechanically ventilated patients. Still, little is known about how different
sedation strategies affect relatives' satisfaction with the Intensive Care Unit
(ICU). AIM: To explore if there was a difference in relatives' personal reactions
and the degree of satisfaction with information, communication, surroundings,
care and treatment in the ICU between relatives of patients who receive no
sedation compared with relatives of patients receiving sedation during mechanical
ventilation in the ICU. METHOD: A survey study using a questionnaire with 39
questions was distributed to relatives of mechanically ventilated patients, who
had been randomised to either sedation with daily wake up or no sedation.
RESULTS: Forty-nine questionnaires were sent out and 36 relatives answered. The
response rate was 73%. We found no differences in relatives' personal reactions
or in the degree of satisfaction with information, communication, care and
treatment in the ICU between relatives of patients in the two groups. Relatives
of patients treated with no sedation felt more bothered by disturbances in the
surroundings compared with relatives of patients who were sedated (p=0.03).
CONCLUSION: Treating the patient during mechanical ventilation with no sedation
does not affect relatives' satisfaction adversely.
PMID- 27887882
TI - C-Type Lectin Receptor DCAR Recognizes Mycobacterial Phosphatidyl-Inositol
Mannosides to Promote a Th1 Response during Infection.
AB - Phosphatidyl-inositol mannosides (PIM) are glycolipids unique to mycobacteria and
other related bacteria that stimulate host immune responses and are implicated in
mycobacteria pathogenicity. Here, we found that the FcRgamma-coupled C-type
lectin receptor DCAR (dendritic cell immunoactivating receptor; gene symbol
Clec4b1) is a direct receptor for PIM. Mycobacteria activated reporter cells
expressing DCAR, and delipidation of mycobacteria abolished this activity.
Acylated PIMs purified from mycobacteria were identified as ligands for DCAR.
DCAR was predominantly expressed in small peritoneal macrophages and monocyte
derived inflammatory cells in lungs and spleen. These cells produced monocyte
chemoattractant protein-1 (MCP-1) upon PIM treatment, and absence of DCAR or
FcRgamma abrogated MCP-1 production. Upon mycobacterial infection, Clec4b1
deficient mice showed reduced numbers of monocyte-derived inflammatory cells at
the infection site, impaired IFNgamma production by T cells, and an increased
bacterial load. Thus, DCAR is a critical receptor for PIM that functions to
promote T cell responses against mycobacteria.
PMID- 27887884
TI - Calcium phosphate nanoparticles functionalized with alendronate-conjugated
polyethylene glycol (PEG) for the treatment of bone metastasis.
AB - Because of the peculiarity of the bone microstructure, the uptake of
chemotherapeutics often happens at non-targeted sites, which induces side
effects. In order to solve this problem, we designed a bone-targeting drug
delivery system that can release drug exclusively in the nidus of the bone.
Alendronate (ALN), which has a high ability to target to hydroxyapatite, was used
to fabricate double ALN-conjugated poly (ethylene glycol) 2000 material (ALN
PEG2k-ALN). The ALN-PEG2k-ALN was characterized using 1H NMR and 31P NMR and
FTIR. ALN-PEG2k-ALN-modified calcium phosphate nanoparticles (APA-CPNPs) with an
ALN targeting moiety and hydrophilic poly (ethylene glycol) arms tiled on the
surface was prepared for bone-targeted drug delivery. The distribution of ALN
PEG2k-ALN was tested by X-ray photoelectron spectroscopy. Isothermal titration
calorimetry data indicated that similar to free ALN, both ALN-PEG2k-ALN and APA
CPNPs can bind to calcium ions. The bone-binding ability of APA-CPNPs was
verified via ex vivo imaging of bone fragments. An in vitro release experiment
demonstrated that APA-CPNPs can release drug faster in an acid environment than a
neutral environment. Cell viability experiments indicated that blank APA-CPNPs
possessed excellent biocompatibility with normal cells. Methotrexate (MTX) loaded
APA-CPNPs have the same ability to inhibit cancer cells as free drug at high
concentrations, while they are slightly weaker at low concentrations. All of
these experiments verified the prospective application of APA-CPNPs as a bone
targeting drug delivery system.
PMID- 27887883
TI - Nanoprecipitated catestatin released from pharmacologically active microcarriers
(PAMs) exerts pro-survival effects on MSC.
AB - Catestatin (CST), a fragment of Chromogranin-A, exerts angiogenic, arteriogenic,
vasculogenic and cardioprotective effects. CST is a very promising agent for
revascularization purposes, in "NOOPTION" patients. However, peptides have a very
short half-life after administration and must be conveniently protected.
Fibronectin-coated pharmacologically active microcarriers (FN-PAM), are
biodegradable and biocompatible polymeric microspheres that can convey
mesenchymal stem cell (MSCs) and therapeutic proteins delivered in a prolonged
manner. In this study, we first evaluated whether a small peptide such as CST
could be nanoprecipitated and incorporated within FN-PAMs. Subsequently, whether
CST may be released in a prolonged manner by functionalized FN-PAMs (FN-PAM-CST).
Finally, we assessed the effect of CST released by FN-PAM-CST on the survival of
MSCs under stress conditions of hypoxia-reoxygenation. An experimental design,
modifying three key parameters (ionic strength, mixing and centrifugation time)
of protein nanoprecipitation, was used to define the optimum condition for CST.
An optimal nanoprecipitation yield of 76% was obtained allowing encapsulation of
solid CST within FN-PAM-CST, which released CST in a prolonged manner. In vitro,
MSCs adhered to FN-PAMs, and the controlled release of CST from FN-PAM-CST
greatly limited hypoxic MSC-death and enhanced MSC-survival in post-hypoxic
environment. These results suggest that FN-PAM-CST are promising tools for cell
therapy.
PMID- 27887885
TI - The watching-eyes phenomenon and blood donation: Does exposure to pictures of
eyes increase blood donation by young adults?
AB - This study examined the effectiveness of exposure to a "watching-eyes image" in
increasing blood donation rates among young people, a segment of the population
that is particularly underrepresented among blood donors. Participants were 454
first-year university students, each of who was given a blood-donation flyer at
the beginning of a lecture. Participants were randomly assigned to one of two
conditions depending on whether the flyer they received bore a picture of eyes
(experimental condition) or a neutral picture (control condition). We recorded
the numbers of participants who promised to give blood and who actually gave
blood during the blood drive. Results show that the number of people who gave
blood was significantly higher in the experimental condition than in the control
condition. These findings provide the first evidence of the effectiveness of the
watching-eyes strategy in encouraging young people to give blood. We discuss the
processes underlying the "watching-eyes effect" with respect to blood donation.
PMID- 27887886
TI - Understanding the needs of older patients with narcolepsy.
PMID- 27887887
TI - Late use of electronic media and its association with sleep, depression, and
suicidality among Korean adolescents.
AB - OBJECTIVE: The aim of this study was to investigate the association of
adolescents' last electronic media use time with their sleep and mood
disturbances, including depression and suicidality. We also examined whether
sleep disturbances and duration mediated the relationship between last media use
time and mood disturbances. METHODS: This cross-sectional, school-based, online
survey was administered by the Sleep Center at Samsung Medical Center and the
Korea Centers for Disease Control and Prevention (KCDC) in 2011. A total of
26,395 participants (12,593 male and 13,802 female) were recruited from 150
middle and high schools representative of nationwide adolescents from 15
administrative districts in Korea. The sleep habits of participants on weekdays
and weekends were evaluated using a questionnaire. Sleep disturbances,
depression, and suicidality were assessed using the Korean versions of the Global
Sleep Assessment Questionnaire, Epworth Sleepiness Scale, and Beck 19-item Scale
for Suicide Ideation. We also collected last media use time, from which we
subtracted actual bedtime. RESULTS: Late electronic media use was significantly
associated with increased mood disturbances including depression and suicidality
directly, but not indirectly via sleep duration or disturbances. CONCLUSION: Our
results suggest that adolescents might benefit from the restricted use of
electronic media after bedtime in terms of their mood and sleep. Moreover,
education regarding media use at night might be helpful in preventing youth
suicide.
PMID- 27887888
TI - Evaluating outer segment length as a surrogate measure of peak foveal cone
density.
AB - Adaptive optics (AO) imaging tools enable direct visualization of the cone
photoreceptor mosaic, which facilitates quantitative measurements such as cone
density. However, in many individuals, low image quality or excessive eye
movements precludes making such measures. As foveal cone specialization is
associated with both increased density and outer segment (OS) elongation, we
sought to examine whether OS length could be used as a surrogate measure of
foveal cone density. The retinas of 43 subjects (23 normal and 20 albinism; aged
6-67years) were examined. Peak foveal cone density was measured using confocal
adaptive optics scanning light ophthalmoscopy (AOSLO), and OS length was measured
using optical coherence tomography (OCT) and longitudinal reflectivity profile
based approach. Peak cone density ranged from 29,200 to 214,000cones/mm2
(111,700+/-46,300cones/mm2); OS length ranged from 26.3 to 54.5MUm (40.5+/
7.7MUm). Density was significantly correlated with OS length in albinism
(p<0.0001), but not normals (p=0.99). A cubic model of density as a function of
OS length was created based on histology and optimized to fit the albinism data.
The model includes triangular cone packing, a cylindrical OS with a fixed volume
of 136.6MUm3, and a ratio of OS to inner segment width that increased linearly
with increasing OS length (R2=0.72). Normal subjects showed no apparent
relationship between cone density and OS length. In the absence of adequate AOSLO
imagery, OS length may be used to estimate cone density in patients with
albinism. Whether this relationship exists in other patient populations with
foveal hypoplasia (e.g., premature birth, aniridia, isolated foveal hypoplasia)
remains to be seen.
PMID- 27887889
TI - Development of Intramammary Drug Delivery Systems: Novel In Vitro Release Method.
AB - The aim of this study was to develop an in vitro release model for intramammary
drug delivery system (IMDS) evaluation. This study was the first to establish an
in vitro-in vivo correlation with investigation of an IMDS containing lasalocid.
Three different methods including the standard United States Pharmacopeia
dissolution method with apparatus 2, a modified United States Pharmacopeia method
using a dialysis bag, or a specifically designed enhancer cell system, were
assessed for the release study. Full cream milk and water were selected as the
release media. In vivo evaluation was carried out by administering lasalocid IMDS
into the udder of lactating Holstein dairy cows. Milk samples were collected and
analyzed at selected time points after treatment. Dissolution data were fitted to
various kinetic models. The results indicated that the release rate of lasalocid
from IMDS was controlled by factors other than diffusion, which could include the
sedimentation of lasalocid to the interface and the wetting of lasalocid
particles by water at the interface of oil in the formulation and release media.
The results obtained in vivo and in vitro were consistent. The in vitro
assessment supports formulation design for early stage development and
potentially for in vivo performance analysis.
PMID- 27887891
TI - High Intake of Nonmilk Extrinsic Sugars Is Associated With Protein and
Micronutrient Dilution in Home-Dwelling and Institutionalized Older People.
AB - BACKGROUND: High dietary sugar intake may compromise protein and micronutrient
intakes in people with low energy intakes. The results of micronutrient dilution
studies in older people have been few and conflicting. We examined the
nutritional status and nutrient intakes associated with nonmilk extrinsic sugars
(NMES) intakes in older people representing a broad spectrum of both healthy and
vulnerable older populations. DESIGN AND PARTICIPANTS: This cross-sectional study
combined five Finnish data sets covering home-dwelling (n = 526) and
institutionalized (n = 374) older people. Their nutritional status was assessed
using Mini Nutritional Assessment (MNA) and nutrient intakes retrieved from 1- to
3-day food records. The participants were divided into quartiles corresponding to
the proportions of energy received from NMES. Energy, nutrient, and fiber intakes
were classified according to the NMES quartiles, and the participants were
divided according to their places of residence (home, institution). RESULTS: High
NMES intakes were associated with older age, female sex, poor cognition, low MNA
scores, immobility, and institutionalization. In all, 90% of the participants in
the highest NMES quartile (Q4) were institutionalized. In the institutionalized
individuals, low protein and micronutrient intakes were observed in both those
with low energy intake (Q1) and in those with very high NMES intakes (Q4). In
home-dwelling individuals, the nutrient intakes tended to decline linearly with
increasing NMES intakes in protein and most micronutrients. CONCLUSIONS:
Institutionalized older people consumed diets high in NMES, compared with those
living at home, and their low energy and high NMES intakes were associated with
low protein and micronutrient intakes.
PMID- 27887892
TI - The Third Transition: The Clinical Evolution Oriented to the Contemporary Older
Patient.
PMID- 27887890
TI - Nonadditive Effects of Repetitive Administration of Lipoplexes in Immunocompetent
Mice.
AB - Repetitive administration is routinely used to maintain therapeutic drug levels,
but previous studies have documented an accelerated blood clearance of some lipid
based delivery systems under these conditions. To assess the effect of repetitive
administration, non-PEGylated lipoplexes (+/-0.5) were administered 4 times via
tail vein injection at 3-day intervals to immunocompetent BALB/c mice bearing 4T1
tumors. This study measured the effect of repeat administration of nontargeted
lipoplexes on clearance, cytokine/chemokine response, plasmid distribution,
reporter gene expression, and liver toxicity. We do not observe a refractory
period or a statistically significant difference in blood clearance between the
first administration and subsequent injections of this lipoplex formulation,
consistent with the absence of a cytokine/chemokine response. However, we do see
a significant effect on both plasmid accumulation and expression, an enhancement
of 26-fold and 10-fold in tumor plasmid levels and expression, respectively,
after 4 injections as compared to that after a single injection. In addition, in
vivo imaging suggests that expression in other organs had diminished rapidly 72 h
after each administration, in contrast to relatively constant expression in the
tumor. Taken together, the findings indicate that gene delivery to tumors can be
dramatically enhanced by employing repetitive administration.
PMID- 27887893
TI - Emotion modulation of the startle reflex in essential tremor: Blunted reactivity
to unpleasant and pleasant pictures.
AB - BACKGROUND: Essential tremor is a highly prevalent movement disorder
characterized by kinetic tremor and mild cognitive-executive changes. These
features are commonly attributed to abnormal cerebellar changes, resulting in
disruption of cerebellar-thalamo-cortical networks. Less attention has been paid
to alterations in basic emotion processing in essential tremor, despite known
cerebellar-limbic interconnectivity. OBJECTIVES: In the current study, we tested
the hypothesis that a psychophysiologic index of emotional reactivity, the
emotion modulated startle reflex, would be muted in individuals with essential
tremor relative to controls. METHODS: Participants included 19 essential tremor
patients and 18 controls, who viewed standard sets of unpleasant, pleasant, and
neutral pictures for six seconds each. During picture viewing, white noise bursts
were binaurally presented to elicit startle eyeblinks measured over the
orbicularis oculi. RESULTS: Consistent with past literature, controls' startle
eyeblink responses were modulated according to picture valence (unpleasant >
neutral > pleasant). In essential tremor participants, startle eyeblinks were not
modulated by emotion. This modulation failure was not due to medication effects,
nor was it due to abnormal appraisal of emotional picture content. CONCLUSIONS:
Neuroanatomically, it remains unclear whether diminished startle modulation in
essential tremor is secondary to aberrant cerebellar input to the amygdala, which
is involved in priming the startle response in emotional contexts, or due to more
direct disruption between the cerebellum and brainstem startle circuitry. If the
former is correct, these findings may be the first to reveal dysregulation of
emotional networks in essential tremor.
PMID- 27887894
TI - Adult-onset pure tic disorder after post-traumatic hypoxic lesions of the globus
pallidus.
PMID- 27887895
TI - Clavicle fracture mimicking dropped-head syndrome in a patient with multiple
system atrophy.
PMID- 27887896
TI - Comorbid Parkinson's disease, falls and fractures in the 2010 National Emergency
Department Sample.
AB - INTRODUCTION: Parkinson's disease (PD) is a progressive, neurodegenerative
disorder of multifactorial etiology affecting ~1% of older adults. Research
focused on linking PD to falls and bone fractures has been limited in Emergency
Department (ED) settings, where most injuries are identified. We assessed whether
injured U.S. ED admissions with PD diagnoses were more likely to exhibit comorbid
fall- or non-fall related bone fractures and whether a PD diagnosis with a
concomitant fall or bone fracture is linked to worse prognosis. METHODS: We
performed secondary analyses of 2010 Healthcare Utilization Project National ED
Sample from 4,253,987 admissions to U.S. EDs linked to injured elderly patients.
ED discharges with ICD-9-CM code (332.0) were identified as PD and those with ICD
9-CM code (800.0-829.0) were used to define bone fracture location. Linear and
logistic regression models were constructed to estimate slopes (B) and odds
ratios (OR) with 95% confidence intervals (CI). RESULTS: PD admissions had 28%
increased adjusted prevalence of bone fracture. Non-fall injuries showed stronger
relationship between PD and bone fracture (ORadj = 1.33, 95% CI: 1.22-1.45) than
fall injuries (ORadj = 1.06, 95% CI: 1.01-1.10). PD had the strongest impact on
hospitalization length when bone fracture and fall co-occurred, and total charges
were directly associated with PD only for fall injuries. Finally, PD status was
not related to in-hospital death in this population. CONCLUSION: Among injured
U.S. ED elderly patient visits, those with PD had higher bone fracture prevalence
and more resource utilization especially among fall-related injuries. No
association of PD with in-hospital death was noted.
PMID- 27887897
TI - Nomenclature and diagnosis of gluten-related disorders: A position statement by
the Italian Association of Hospital Gastroenterologists and Endoscopists (AIGO).
AB - BACKGROUND: "Gluten-related disorders" is a term that encompasses different
diseases induced by the ingestion of gluten-containing food. Because of their
incidence the scientific community has been intensively studying them. AIM: To
support gastroenterologists with a correct nomenclature and diagnostic approach
to gluten-related disorders in adulthood. METHODS: The Italian Association of
Hospital Gastroenterologists and Endoscopists (AIGO) commissioned a panel of
experts to prepare a position statement clarifying the nomenclature and diagnosis
of gluten-related disorders, focusing on those of gastroenterological interest.
Each member was assigned a task and levels of evidence/recommendation have been
proposed. RESULTS: The panel identified celiac disease, wheat allergy and non
celiac gluten sensitivity as the gluten-related disorders of gastroenterological
interest. Celiac disease has an autoimmune nature, wheat allergy is IgE-mediated
while the pathogenesis of non-celiac gluten sensitivity is still unknown as is
the case of non-IgE mediated allergy. Diagnosis should start with the serological
screening for celiac disease and wheat allergy. In case of normal values, the
response to a gluten-free diet should be evaluated and a confirmatory blind food
challenge carried out. CONCLUSIONS: Gluten-related disorders are clinically
heterogeneous. Patients should be carefully managed and specific protocols
applied for a correct differential diagnosis in gastroenterological setting.
PMID- 27887898
TI - In-Hospital Outcomes and Complications of Coronary Artery Bypass Grafting in the
United States Between 2008 and 2012.
AB - OBJECTIVE: To investigate the frequency and predictors of in-hospital
complications among patients undergoing coronary artery bypass grafting (CABG) in
the United States. DESIGN: Retrospective national database analysis SETTINGS:
United States hospitals. PARTICIPANTS: A weighted sample of 1,910,236 patients
undergoing CABG surgery identified from the National (Nationwide) Inpatient
Sample from 2008 to 2012. INTERVENTIONS: CABG surgery MEASUREMENTS AND MAIN
RESULTS: The number of CABG surgeries decreased from 436,275 in 2008 to 339,749
in 2012. The Deyo comorbidity index showed a steady increase from 2008 to 2012.
The rate of in-hospital mortality decreased from 2.7% in 2008 to 2.2% in 2012
(p<0.001). The most common in-hospital complication was postoperative hemorrhage
(30.4%), followed by cardiac (11.34%) and respiratory complications (2.3%).
During the 5-year period, the rates of in-hospital cardiac, respiratory and
infectious complications decreased (p<0.001), while the rate of postoperative
hemorrhage showed a 35.8% relative increase in 2012 compared to 2008. CONCLUSION:
The annual number of CABG surgeries is declining in the United States. While the
burden of comorbidities is increasing, the rates of mortality and most in
hospital complications are improving. The increasing rate of postoperative
bleeding necessitates the need to develop strategies to improve the risk of
bleeding in this patient population.
PMID- 27887899
TI - Association of Preoperative Right and Left Ventricular Diastolic Dysfunction With
Postoperative Atrial Fibrillation in Patients Undergoing Lung Surgery: A
Prospective Observational Study.
AB - OBJECTIVES: To clarify the relationship between right and left ventricular (RV
and LV) diastolic function and postoperative atrial fibrillation (POAF). The
early effects of major lung surgery on cardiac function in the intraoperative
period during lung surgery were evaluated, using transesophageal
echocardiography. DESIGN: Single-center prospective observational study. SETTING:
A public hospital. PARTICIPANTS: Patients undergoing elective lobectomy with
lymph node dissection for lung cancer (n = 116). INTERVENTIONS: Transesophageal
echocardiography examination was performed under general anesthesia before skin
incision (preoperative) and after chest closure (postoperative). According to
measured echocardiographic variables, ventricular systolic and diastolic
functions were classified at each time point. MEASUREMENTS AND MAIN RESULTS: Of
the 116 patients, 24 (20.7%) experienced POAF. Preoperative RV and LV diastolic
dysfunction were more common in patients with POAF than in those without POAF
(58.3 v 28.3%, p = 0.008; 54.2 v 19.6%, p = 0.001, respectively). Among patients
without preoperative diastolic dysfunction, a small number developed RV and LV
diastolic dysfunction immediately after surgery (9.2% and 16.5%, respectively)
and these distributions were comparable between patients with POAF and those
without POAF. RV systolic dysfunction was observed in 6.5% of patients
immediately after surgery and was not related to the occurrence of POAF.
Multivariate analysis revealed older age, chronic obstructive pulmonary disease
(COPD), and preoperative biventricular diastolic dysfunction as risk factors for
POAF. CONCLUSIONS: Preoperative biventricular diastolic dysfunction, as well as
older age and COPD, are associated with POAF in patients undergoing lobectomy.
Major lung surgery has minimal early effects on postoperative systolic and
diastolic functions.
PMID- 27887900
TI - Pro: Antegrade/Retrograde Cerebral Perfusion Should Be Used During Major Aortic
Surgery With Deep Hypothermic Circulatory Arrest.
PMID- 27887902
TI - Pro: Pulmonary Artery Catheter Placement After Anesthetic Induction in Patients
Undergoing Pulmonary Thromboendarterectomy.
PMID- 27887901
TI - Procedural Management of Patients With Advanced Heart Failure Undergoing
MitraClip Implantation (From the GRASP Registry).
PMID- 27887903
TI - A Novel Approach to Assess the Three-Dimensional Anatomy of a Mitral Valve
Regurgitant Jet Orifice.
PMID- 27887904
TI - A new integrated symmetrical table for genetic codes.
AB - Degeneracy is a salient feature of genetic codes, because there are more codons
than amino acids. The conventional table for genetic codes suffers from an
inability of illustrating a symmetrical nature among genetic base codes. In fact,
because the conventional wisdom avoids the question, there is little agreement as
to whether the symmetrical nature actually even exists. A better understanding of
symmetry and an appreciation for its essential role in the genetic code formation
can improve our understanding of nature's coding processes. Thus, it is worth
formulating a new integrated symmetrical table for genetic codes, which is
presented in this paper. It could be very useful to understand the Nobel laureate
Crick's wobble hypothesis - how one transfer ribonucleic acid can recognize two
or more synonymous codons, which is an unsolved fundamental question in
biological science.
PMID- 27887905
TI - A systematic review on the safety of Prostar XL versus ProGlide after TAVR and
EVAR.
AB - BACKGROUND: Endovascular aortic aneurysm repair (EVAR) and transfemoral
transcatheter aortic valve replacement (TAVR) are widely spreading minimally
invasive procedures performed mainly through the femoral artery. Prostar XL and
ProGlide vascular closure devices are used in clinical practice for the
hemostasis in these procedures and they have been shown to be safe and effective.
PURPOSE: The aim of our systematic review is to compare the safety of these two
devices for percutaneous closure of large arteriotomies in patients undergoing
TAVR and EVAR. METHODS: We searched PubMed, EMBASE, Google Scholar and the
Cochrane Central Register of Controlled Trials for all randomized and
observational published studies that compared Prostar XL vs. ProGlide. Relative
risk was calculated by random-effects model. Review Manager 5.1 was used for
statistical analysis. RESULTS: A total number of 2909 patients were included in
our analysis. The rate of overall vascular complications did not differ between
Prostar XL and ProGlide {RR 1.35 (0.80-2.29), p=0.27}. In contrary, the risk
ratio of all bleeding complications with Prostar XL compared to ProGlide was 1.82
(1.47-2.24, p<0.001) and for major and life-threatening bleeding complications
was 2.48 (1.65-3.73, p<0001, suggesting a lower bleeding risk with ProGlide). No
statistical difference was found between groups for end-stage acute kidney injury
(AKI), with a risk ratio of 2.14 (0.81-5.66), p=0.05. Finally, there were no
differences in in-hospital and 30-days mortality rate between the two groups
(1.41, 0.56-3.54, p=0.46 and 1.43, 0.55-3.73, p=0.47, respectively). CONCLUSIONS:
Prostar XL is associated with greater risk of any bleeding as well as life
threatening bleeding compared to the ProGlide device. However, no significant
differences were observed in the rate of overall vascular complications, end
stage AKI and in-hospital and 30-days mortality.
PMID- 27887907
TI - Bodily illusions and weight-related disorders: Clinical insights from
experimental research.
PMID- 27887906
TI - Complex regional pain syndrome associated with hyperattention rather than neglect
for the healthy side: A comprehensive case study.
AB - Complex regional pain syndrome (CRPS) is a dehabilitating chronic condition
occurring with peripheral lesions. There is growing consensus for a central
contribution to CRPS. Although the nature of this central body representation
disorder is increasingly debated, it has been repeatedly argued that CRPS results
in motor neglect of the affected side. The present article describes a
comprehensive and quantitative case report demonstrating that: (1) not all
patients with chronic CRPS exhibit decreased spatial attention for the affected
side and (2) patients may actually exhibit a substantial, broad and reliable
attentional bias toward the painful side, akin to spatial neglect for the healthy
side. This unexpected result agrees with the idea that patients can be hyper
attentive toward their pathological side as a manifestation of lowered pain
threshold, allodynia and kinesiophobia.
PMID- 27887908
TI - The Yin and Yang of nucleic acid-based therapy in the brain.
AB - The post-genomic era has unveiled the existence of a large repertory of non
coding RNAs and repetitive elements that play a fundamental role in cellular
homeostasis and dysfunction. These may represent unprecedented opportunities to
modify gene expression at the right time in the correct space in vivo, providing
an almost unlimited reservoir of new potential pharmacological agents. Hijacking
their mode of actions, the druggable genome can be extended to regulatory RNAs
and DNA elements in a scalable fashion. Here, we discuss the state-of-the-art of
nucleic acid-based drugs to treat neurodegenerative diseases. Beneficial effects
can be obtained by inhibiting (Yin) and increasing (Yang) gene expression,
depending on the disease and the drug target. Together with the description of
the current use of inhibitory RNAs (small inhibitory RNAs and antisense
oligonucleotides) in animal models and clinical trials, we discuss the molecular
basis and applications of new classes of activatory RNAs at transcriptional
(RNAa) and translational (SINEUP) levels.
PMID- 27887909
TI - Modified tubularized incised plate urethroplasty in distal hypospadias repair:
Stepwise technique with validated functional and cosmetic outcome.
AB - OBJECTIVE: To describe modification of the tubularized incised plate
urethroplasty (TIP) for distal hypospadias, and assess its efficacy, and
functional and cosmetic outcomes. METHODS: A prospective evaluation of a
consecutive series of patients operated for primary distal hypospadias was
conducted at a tertiary reference center. A standardized modification of the TIP
(mTIP) procedure was performed on a 10 French catheter. Clinical data were
collected in a dedicated database. Intraoperative variables, postoperative
complications and outcomes, by means of uroflowmetries and a validated (HOPE)
questionnaire, were assessed. Efficacy was evaluated with the reported
complications: functional outcome was evaluated with uroflowmetries and cosmetic
assessment by a validated questionnaire (HOPE). A descriptive statistical
analysis was performed. RESULTS: Of the 112 boys operated between 30/09/2011 and
1/04/2014, 50 completed long-term follow-up with functional and esthetic
evaluation, as required for inclusion. Median age at surgery was 25 months (range
14-156); median follow-up time was 21.5 months (range 6-48). Complications
requiring re-intervention occurred in 2/50 boys. Uroflowmetry presented a bell
shaped curve in 47/50 boys, and the median HOPE score was 9.5 (range 7.6-10.0).
CONCLUSION: The mTIP procedure provided satisfactory long-term functional and
cosmetic outcomes, as validated by uroflowmetries and standardized questionnaire.
PMID- 27887910
TI - Assessment of serum level of corticotropin-releasing factor in primary nocturnal
enuresis.
AB - INTRODUCTION: Primary nocturnal enuresis is one of the sleep related phenomena
characterized by disruption in the relationship between arousal and urination.
Corticotropin-releasing factor (CRF) is a neurohormone released from the
paraventricular nucleus of the hypothalamus into the median eminence to elicit
release of adrenocorticotrophin from the anterior pituitary. It may act to
modulate autonomic function and behavior in concert with the endocrine effects.
Conflicting animal studies about the role of CRF in micturition, either
facilitating or inhibiting, have been raised. It was suggested to be a novel
target for treatment of urinary disorders based on the finding that manipulation
of CRF in the pontine micturition circuit could affect urodynamic function. AIM:
The aim was to throw light on the possible role of CRF in primary monosymptomatic
nocturnal enuresis by assessing its serum level. SUBJECTS AND METHODS: Twenty
nine children aged 8-14 years complaining of primary monosymptomatic nocturnal
enuresis and 16 age- and sex-matched healthy children with good toilet control
day and night were recruited to the study. History taking, clinical examination,
and assessment of serum CRF levels in the morning and evening (9 a.m. and 9 p.m.)
were carried out for all patients and controls. RESULTS AND DISCUSSION: A
positive family history of enuresis was detected in 82.8% of enuretic patients.
Serum levels of CRF (both morning and evening) were significantly lower in
patients than in controls. Several animal studies suggested that CRF in
descending projections from Barrington's nucleus to the lumbosacral
parasympathetic neurons is inhibitory to micturition, which supports our results
and the assumption that reduction of the evening serum CRF level could have a
role in the occurrence of primary monosymptomatic nocturnal enuresis. No
significant difference was found between morning and evening CRF serum levels in
either cases or controls, which negates our assumption of having a rhythmic
pattern of release (figure). No correlations with age were found. According to
their history, all our enuretic patients were deep sleepers. Deep sleep and
difficult arousal were found to have a major role in primary monosymptomatic
nocturnal enuresis. It was proposed that CRF function may allow arousal to occur
before micturition to facilitate preparative behaviors. A lower CRF level may
explain deep-sleep pattern in children with enuresis. CONCLUSION: CRF was
deficient in our enuretic children, which may draw attention to the possible
pathophysiological implications in primary nocturnal enuresis (either at the
level of loss of inhibitory effect on micturition or lack of arousal in response
to bladder distension). Further proof studies are recommended.
PMID- 27887911
TI - Does perception of catheterization limit its use in pediatric UTI?
AB - INTRODUCTION: Urinary tract infections (UTIs) affect 3-8% of febrile children
annually, but correctly diagnosing UTI in young children can present a challenge.
Diagnosis requires a non-contaminated urine sample, which requires
catheterization or suprapubic aspiration in infants and young children that have
not completed toilet training. To improve adherence to these guidelines, it is
critical to understand the barriers to urine testing and catheterization.
OBJECTIVE: The purpose of this study was to investigate parental perception of
pediatric UTI evaluation to better understand factors that impede urine testing
prior to treatment of suspected UTI. STUDY DESIGN: We conducted an electronic,
cross-sectional survey via social media targeting parents of children with a
history of UTI. Participants were queried regarding demographics, urine specimen
collection method, factors influencing urine collection method, and perception of
the experience. Multivariable logistic regression was used to assess factors
associated with catheterization distress and urine testing. RESULTS: Of 2726
survey respondents, > 80% were female and White; 74% of the children with a
history of UTI were female. Fifty-six percent of parents perceived extreme
distress with catheterization. Among parents whose child was catheterized,
extreme distress was less likely perceived if the parent was White (OR 0.6, 95%
CI 0.4-0.9) or if the child was circumcised (OR 0.7, 95% CI 0.4-0.98). Among
those whose child was not catheterized, extreme distress was more likely if
parents had a college education (OR 3.2, 95% CI 2.2-4.5) and the child was more
than 1 year old (OR 1.7, 95% CI 1.2-2.5). Catheterization was less likely to be
withheld if parents had a college education (OR 0.1, 95% CI 0.1-0.2), and if the
child was circumcised (OR 0.5, 95% CI 0.3-0.8) or had only one UTI (OR 0.6, 95%
CI 0.4-0.8) (Table). DISCUSSION: Parental education level, child age, and
circumcision status play an important role in the subjective distress associated
with catheterization. This highlights the substantial impact of parental factors
on adherence to guidelines for children suspected of UTI. For example, college
educated parents were more likely to be offered catheterization. However, these
parents are also more likely to associate the catheterization experience with
extreme distress, possibly limiting their likelihood of consent to this
procedure. More studies are required to better understand the impact of these
factors on catheterization. But, it is clear that parental input has a
substantial impact on the evaluation of their child's suspected UTI.
PMID- 27887912
TI - Application of the STROBE statement to the hypospadias literature: Report of the
international pediatric urology task force on hypospadias.
AB - INTRODUCTION: Observational studies, particularly case series, represent the
majority of the current hypospadias research. As a result, this literature lacks
standardization of surgical techniques, uniform definitions of hypospadias
complications, and consistency of outcome reporting, which may make it difficult
to compare results across studies. A modified version of the STROBE statement,
containing 20 items, was presented at the International Pediatric Urology Task
Force on Hypospadias meeting to assist with clear and transparent reporting of
hypospadias studies. The adoption and implementation of this modified tool will
allow investigators and health care providers to critically evaluate quality and
identify bias within the literature. In addition this instrument will ensure
consistency of reporting, improving objective comparisons between studies,
unification of results, and development of evidence-based clinical guidelines.
METHODS: In this article, we have applied the modified STROBE statement to the
hypospadias literature, aiming to create a guide on study reporting for pediatric
urologists, and ultimately improve the quality of research in our field. We
present itemized recommendations for adequate reporting of hypospadias studies
and case series, ranging from drafting the abstract to addressing biases and
potential sources of confounding. Included with each item is a brief explanation
of its importance and potential effect on the study, as well as pertinent
examples of hypospadias articles. RESULTS: A modified STROBE summary table
containing 20 items is presented in (Supplementary Table 1). CONCLUSIONS: If
properly conducted and reported, hypospadias studies have the potential to
provide useful information to clinicians and surgeons. However, authors should
recognize the inherent limitations of these observational studies, especially in
the form of bias, which may introduce invalid data or limit generalizability.
Thus, we expect that the use of this guiding tool will not only improve
transparency of hypospadias reporting, but also improve its methodological
quality, allowing proper comparison and interpretation of data across different
institutions.
PMID- 27887914
TI - Practical and functional classification of the double urethra: A variable,
complex and fascinating malformation observed in 20 patients.
AB - INTRODUCTION: Urethral duplication is a rare and variable malformation of the
urinary tract, with non-univocal and complex management. In addition, different
classification schemes have been proposed, but none have considered all the
possible variants. OBJECTIVE: To report experience with the management of 20
urethral duplication patients and propose a classification of this anomaly.
MATERIALS AND METHODS: A retrospective analysis collecting information regarding
20 patients (Table) with urethral duplication treated at a single institution
over the past 40 years. Three females and 17 males were treated: two had vesico
urethral duplication, eight had urethral duplication with a single bladder, and
eight had 'lambda' duplication. RESULTS: Immediate postoperative complications
included urethral dehiescence (n = 1) and urethral stenosis (n = 2). The
progressive augmentation by dilating the urethra (PADUA) technique was
ineffective. During follow-up, the following were recorded: urinary incontinence
(n = 2), urinary tract infection (n = 3), hypertension (n = 3) and erectile
dysfunction (n = 1). All patients were satisfied with the aesthetic result.
DISCUSSION: Urethral duplication is a rare anomaly with male preponderance. Four
types of duplication were described, on the basis of anatomy and management:
vesical and urethral duplication (type 1), urethral duplication with single
bladder (type 2), 'lambda-type' duplication (type 3) and 'miscellanea' (multiple
urethral channels, spindle urethra, other female forms, type 4). A full
description of the malformation and surgical approach was given for each type.
The advantages of the classification were compared with the literature.
CONCLUSIONS: The proposed classification should be a useful tool, based on the
required surgical approach, even if surgery should be tailored to the patient. It
is important to restore the anatomy and achieve urinary continence. Surgery can
be challenging and a multi-step process, especially in cases of 'lambda'
duplications.
PMID- 27887913
TI - Prospective assessment of cosmesis before and after genital surgery.
AB - INTRODUCTION: Little data exist about the surgical interventions taking place for
children with disorders of sex development (DSD). Most studies that have
evaluated cosmetic outcomes after genitoplasty have included retrospective
ratings by a physician at a single center. OBJECTIVE: The present study aimed to:
1) describe frequency of sex assignment, and types of surgery performed in a
cohort of patients with moderate-to-severe genital ambiguity; and 2)
prospectively determine cosmesis ratings by parents and surgeons before and after
genital surgery. STUDY DESIGN: This prospective, observational study included
children aged <2 years of age, with no prior genitoplasty at the time of
enrollment, moderate-to-severe genital atypia, and being treated at one of 11
children's hospitals in the United States of America (USA). Clinical information
was collected, including type of surgery performed. Parents and the local
pediatric urologist rated the cosmetic appearance of the child's genitalia prior
to and 6 months after genitoplasty. RESULTS: Of the 37 children meeting
eligibility criteria, 20 (54%) had a 46,XX karyotype, 15 (40%) had a 46,XY
karyotype, and two (5%) had sex chromosome mosaicism. The most common diagnosis
overall was congenital adrenal hyperplasia (54%). Thirty-five children had
surgery; 21 received feminizing genitoplasty, and 14 had masculinizing
genitoplasty. Two families decided against surgery. At baseline, 22 mothers
(63%), 14 fathers (48%), and 35 surgeons (100%) stated that they were
dissatisfied or very dissatisfied with the appearance of the child's genitalia.
Surgeons rated the appearance of the genitalia significantly worse than mothers
(P < 0.001) and fathers (P <= 0.001) at baseline. At the 6-month postoperative
visit, cosmesis ratings improved significantly for all groups (P < 0.001 for all
groups). Thirty-two mothers (94%), 26 fathers (92%), and 31 surgeons (88%)
reported either a good outcome, or they were satisfied (see Summary Figure);
there were no significant between-group differences in ratings. DISCUSSION: This
multicenter, observational study showed surgical interventions being performed at
DSD centers in the USA. While parent and surgeon ratings were discordant pre
operatively, they were generally concordant postoperatively. Satisfaction with
postoperative cosmesis does not necessarily equate with satisfaction with the
functional outcome later in life. CONCLUSION: In this cohort of children with
genital atypia, the majority had surgery. Parents and surgeons all rated the
appearance of the genitalia unfavorably before surgery, with surgeons giving
worse ratings than parents. Cosmesis ratings improved significantly after
surgery, with no between-group differences.
PMID- 27887915
TI - Voiding patterns of adult patients who underwent hypospadias repair in childhood.
AB - OBJECTIVE: This study aimed at evaluating the voiding patterns of adult patients
who underwent hypospadias repair in childhood. METHOD: Following IRB approval 103
(22.7%) of 449 adult patients who underwent hypospadias repair between 1978 and
1993 responded to the following questionnaires: International Prostate Symptom
Score (I-PSS) and Short Form 12 questionnaire (SF-12). Uroflowmetry (UF) was
performed for all patients. The patients were divided into three groups according
to the primary meatus localization. Group I had 63 patients (61.5%) treated for
glanular hypospadias, group II had 19 patients (18.4%) treated for distal
hypospadias, and group III comprised the remaining 21 patients (20.4%) treated
for proximal hypospadias. RESULTS: The mean +/- SD I-PSS score for all patients
who responded to the questionnaire was 2.3 +/- 2.4, and UF was 21.1 +/- 4.3 mL/s.
The patients from groups I and III had fewer urinary symptoms compared with those
of the group II: 1.3 +/- 1.5, 5.5 +/- 2.4, and 1.6 +/- 1.4, respectively (p <
0.0001). With regards to UF, the patients from the groups I and III did better
compared with those from the group II: 22.1 +/- 4.1 mL/s, 18.91 +/- 4.2 mL/s, and
20.11 +/- 3.42 mL/s, respectively (p = 0.021) (Figure). The UF was better in
patients with normal vs. abnormal IPSS (p = 0.0064). The physical component
summary was 49.8 +/- 10.3, 51.1 +/- 3.6, and 46.4 +/- 0.3 in groups I, II, and
III, respectively. The mental summary component was 42.64 +/- 4.1, 42.2 +/- 2.4,
and 39.89 +/- 2.9 in groups I, II, and III, respectively. CONCLUSIONS: Most of
the adult patients who underwent hypospadias repair in childhood had normal or
mild voiding disturbance, with no effects on their physical or mental status.
PMID- 27887916
TI - Interfaces for noninvasive ventilation in the acute setting in children.
AB - The use of noninvasive ventilation (NIV) is very specific in the acute setting as
compared to its use in a chronic setting. In the Pediatric Intensive care Unit
(PICU), NIV may be required around the clock and initiation has to be fast and
easy. Despite the increasing use of non-invasive ventilation (NIV) and the larger
choice of interfaces, data comparing the use of different interfaces for
pediatric patients are scarce and recommendations for the most appropriate choice
of interface are lacking. However, this choice in acute settings is crucial and a
major contributor of the success of NIV. The aim of the present review was to
describe the different types of interfaces available for children in the acute
setting, their advantages and limitations, to highlight how to choose the optimal
interface, and how to monitor the tolerance of the interface.
PMID- 27887917
TI - MicroRNA-136 inhibits cancer stem cell activity and enhances the anti-tumor
effect of paclitaxel against chemoresistant ovarian cancer cells by targeting
Notch3.
AB - To identify microRNAs (miRNAs) regulating Notch3 expression in association with
paclitaxel resistance, candidate miRNAs targeting Notch3 were predicted using
TargetScan. We found that miR-136 directly targets Notch3, and miR-136 was
significantly downregulated in OSC tissues relative to normal control tissues,
and low expression of miR-136 correlated with poor overall in ovarian cancer
patients. Artificial miR-136 overexpression significantly reduced cell viability,
proliferation, Cancer stem cell (CSC) spheroid formation, and angiogenesis, and
increased apoptosis in paclitaxel-resistant SKpac cells compared with the effects
of paclitaxel alone. miR-136 overexpression downregulated cell survival-
(survivin, DNA-PK, pS6, S6) and cell cycle- (Cyclin D1, NF-kappaB) related
proteins, and anti-apoptotic proteins (BCL2, and BCL-XL), and upregulated pro
apoptotic proteins (Bim, Bid, and Bax). Taken together, miR-136 targets the
Notch3 oncogene and functions as a tumor suppressor. miR-136 overexpression
resensitized paclitaxel-resistant ovarian cancer cells and reduced CSC
activities, suggesting a promising new target for the treatment of chemoresistant
ovarian cancers.
PMID- 27887918
TI - Giant Ilio-iliac Arteriovenous Fistula and Abdominal Aortic Aneurysm 32 Years
after Penetrating Trauma.
PMID- 27887919
TI - Homeostasis and the fuelling of metabolism: Comment on "Physics of metabolic
organization" by Marko Jusup et al.
PMID- 27887920
TI - Evaluation of body injury in organic personality disorder.
PMID- 27887921
TI - Non-invasive prenatal diagnosis of thalassemias using maternal plasma cell free
DNA.
AB - Non-invasive prenatal testing (NIPT) using maternal plasma cell free DNA has
already reshaped the existing prenatal care system for pregnancies screened for
common chromosomal aneuploidies. On the other hand, much progress has been made
in developing NIPT for monogenic diseases. Thalassemia served as a disease model
to develop strategies for NIPT of monogenic traits. One approach focuses on the
detection or exclusion of paternally inherited fetal mutations that are absent
from the mother's genome. The assessment of maternally inherited mutations in
maternal plasma requires the use of highly sensitive DNA quantification
techniques. The relative mutation dosage (RMD) or the relative haplotype dosage
(RHDO) approach provides a powerful tool to elucidate the fetal mutational status
even in populations with lower genetic diversity, where the parents share the
same mutation. With expected decrease in sequencing costs, NIPT for monogenic
diseases is becoming an affordable option in prenatal care.
PMID- 27887923
TI - The association between obesity and mental rotation ability in an adolescent
sample.
AB - Obesity has been related to deficient mental rotation performance. We assessed
object-based and egocentric MR tasks in 19 obese and 19 normal-weight
participants. Results showed slower response times of obese adolescents only for
high angular disparities. This finding might emerge because of impairments in
working memory, motor skills or perspective taking processes in obese youth.
PMID- 27887922
TI - Obesity, foot pain and foot disorders in older men and women.
AB - OBJECTIVE: We investigated obesity, foot pain and selected foot disorders, and
determined if associations differed by foot posture or dynamic foot function.
METHODS: We included 2445 men and women (4888 feet) from the Framingham Foot
Study (2002-2008). A foot examination assessed presence of disorders and pain on
each foot. Body mass index (BMI, kg/m2) was categorized as normal (<25),
overweight (25-29.99), moderate-obesity (30-34.99) severe-obesity (35+). Foot
posture (normal, cavus, planus) and dynamic foot function (normal, supinated,
pronated) were defined using plantar pressure measurement system. We used sex
specific logistic regression with generalized estimating equations to account for
correlation between two feet of the same person, adjusted for age and stratified
by foot posture and dynamic foot function. RESULTS: Average age was 68+/-11
years, 56% female, average BMI 28+/-5kg/m2. 18% of feet had pain, 25% hallux
valgus, 2% claw toes, 18% hammer toes, 7% overlapping toes. In men, severe
obesity was associated with foot pain (OR=2.4, p=0.002) and claw toes (OR=3.4,
p=0.04). In women, overweight, moderate-obesity and severe-obesity were
associated with foot pain. Women with severe-obesity were less likely to have
hallux valgus. Similar patterns were evident after stratification by foot posture
and dynamic foot function. CONCLUSION: Both men and women were at increased odds
of foot pain as BMI increased. Data suggested foot posture and dynamic foot
function had no effect, thus are unlikely mechanisms.
PMID- 27887924
TI - [A safe technique of Le Fort I osteotomy in bilateral cleft patients].
AB - Le Fort I osteotomy is often needed in bilateral cleft patients. The usual
approach to the maxillae may compromise the premaxillary vascularisation. We
present a subperiosteal exposure preserving two paramedian vascular pedicles that
respect premaxillary blood-supply.
PMID- 27887925
TI - A large degenerated GI stromal tumor presenting as a peripancreatic cyst.
PMID- 27887926
TI - Geographic Clustering of Acute Complications and Sociodemographic Factors in
Adults with Type 1 Diabetes.
AB - OBJECTIVES: To assess the geographic distribution of acute complications in
patients with type 1 diabetes in a large urban centre; and to assess the
association between acute complications and community-level sociodemographic
factors. METHODS: Adults (aged >=18 years old) with type 1 diabetes and acute
complications were identified between 2004 and 2008 by using a diabetes centre
clinical database or discharge abstracts for acute complications (diabetic
ketoacidosis or hypoglycemia). Using a geographic information system, hot-spot
analysis was used to identify spatial clusters of acute complications in a large
urban centre. The association between acute complications and community-level
sociodemographic factors were assessed by Spearman rank correlation. RESULTS: We
identified 1779 patients with type 1 diabetes, of whom 456 had been hospitalized
for acute complications. The mean age of patients was 40.9+/-16.0 years, and men
were more likely to have acute complications (59.2% vs. 52.3%; p<0.01). Spatial
clusters of high values and low values were identified. Higher median family
income (r=-0.36; p<0.0001) and higher education levels (r=-0.30; p<0.0001) were
associated with lower rates of acute complications. CONCLUSIONS: This study
demonstrated geographic clusters of hospitalizations for acute complications and
important community sociodemographic factors. Prevention strategies and
interventions targeting these geographic and sociodemographic disparities need to
be explored as a means of minimizing hospitalizations for acute complications.
PMID- 27887927
TI - Effects of in vitro, acute and chronic treatment with fluoxetine on the
sympathetic neurotransmission of rat vas deferens.
AB - It is described that fluoxetine treatment is able to induce ejaculatory
disorders. However, the exact mechanism is still not fully understood. Therefore,
this study was carried out to further evaluate the anti-ejaculatory effects of
fluoxetine, using different approaches (in vitro or in vivo treatments), on the
sympathetic neurotransmission of the rat vas deferens. Vas deferens from male
Wistar rats were used to check the in vitro effects of fluoxetine 10-6M, 3.10-6M
or 10-5M. Animals were also acutely (20mg/kg, i.p. 4h or 24h) or chronically
(10mg/kg, i.p., 30days) treated with fluoxetine or drug-free vehicle. The vas
deferens from non-treated and treated animals were isolated and mounted in an
isolated organ bath for the study of the contractions induced by adrenergic
agonists, tyramine, 5-HT, Ca2+ or electrical field stimulation. In vitro or acute
treatment with fluoxetine decreased the contraction induced by agonists, Ca2+ or
electrical field stimulation. The chronic treatment with fluoxetine decreased the
contractions induced agonists, tyramine or Ca2+, but did not modify the
contractions induced by electrical field stimulation. We have shown that in vitro
or in vivo fluoxetine treatment is able to alter the sympathetic
neurotransmission of the rat vas deferens which could be related to alterations
in the calcium signalling.
PMID- 27887928
TI - Degradation of paraoxon (VX chemical agent simulant) and bacteria by magnesium
oxide depends on the crystalline structure of magnesium oxide.
AB - In this work, our goal was to study the capability of a single metallic oxide to
neutralize a chemical agent and to exhibit an antibacterial effect. We tested two
types of magnesium oxides, MgO. The first MgO sample tested, which commercial
data size characteristic was -325 mesh (MgO-1) destroyed in 3 h, 89.7% of
paraoxon and 93.2% of 4-nitrophenol, the first degradation product. The second
MgO sample, which commercial data size was <50 nm (MgO-2) neutralized in the same
time, 19.5% of paraoxon and 10.9% of 4-nitrophenol. For MgO-1 no degradation
products could be detected by GC-MS. MgO-1 had a bactericidal activity on
Escherichia coli (6 log in 1 h), and showed a decrease of almost 3 log on a
Staphylococcus aureus population in 3 h. MgO-2 caused a decrease of 2 log of a
E.coli culture but had no activity against S. aureus. Neither of these two
products had an activity on Bacillus subtilis spores. Analytical investigations
showed that the real sizes of MgO nanoparticles were 11 nm for MgO-1 and 25 nm
for MgO-2. Moreover, their crystalline structures were different. These results
highlighted the importance of the size of the nanoparticles and their microscopic
arrangements to detoxify chemical products and to inhibit or kill microbial
strains.
PMID- 27887930
TI - Paired editorial: Alcohol use risk in Adolescents 2 years after Bariatric
Surgery.
PMID- 27887929
TI - Anti-vascular inflammatory effects of pentacyclic triterpenoids from Astilbe
rivularis in vitro and in vivo.
AB - Sepsis is a systemic inflammatory condition resulting from bacterial infections.
It is associated with high mortality rates, and its therapeutic options are
limited. Transforming growth factor beta induced protein (TGFBIp) is an
extracellular matrix protein that functions as a mediator of experimental sepsis.
C-27-carboxylated pentacyclic triterpenoids are specifically found in species of
the genus Astilbe, and show several biological effects. Given the anti
inflammatory effects of pentacyclic triterpenoids, we investigated the effects of
3beta-trans-p-coumaroyloxy-olean-12-en-27-oic acid (1) and 6beta-hydroxy-3
oxoolean-12-en-27-oic acid (2) on TGFBIp-mediated vascular inflammatory
responses. The anti-inflammatory activities of compounds 1 and 2 were determined
by measuring the permeability, leukocyte adhesion and migration, and activation
of pro-inflammatory proteins in TGFBIp-activated human umbilical vein endothelial
cells (HUVECs) and mice. We found that compounds 1 and 2 inhibited
lipopolysaccharide (LPS)-induced TGFBIp secretion, TGFBIp-induced barrier
disruption, expression of cell adhesion molecules (CAMs), and the
adhesion/transendothelial migration of the neutrophils to the human endothelial
cells. Compounds 1 and 2 also suppressed TGFBIp-induced hyperpermeability and
leukocyte migration in vivo. These results suggested that C-27-carboxylated
pentacyclic triterpenoids 1 and 2 have anti-inflammatory functions by inhibiting
hyperpermeability, CAM expression, and leukocyte adhesion/migration. Therefore,
these compounds can be considered as a potential therapy for vascular
inflammatory diseases.
PMID- 27887931
TI - Revision of primary sleeve gastrectomy to Roux-en-Y gastric bypass: indications
and outcomes from a high-volume center.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (SG) has become an accepted primary
bariatric operation. Like other bariatric operations, inadequate weight loss and
complications have been reported. OBJECTIVES: The aim of this study was to assess
the indications and outcomes of revision of SG to laparoscopic Roux-en-Y gastric
bypass (RYGB) at a single community hospital. SETTING: Community hospital, United
States. METHODS: Retrospective review of a prospectively collected database
identifying SG operations done from February 2009 to June 2014. All patients who
underwent revision from SG to RYGB were studied. RESULTS: Forty-eight patients
underwent revision of SG to RYGB. Mean time to revision was 26 months (range, 2
60 mo) and mean follow up after RYGB was 20 months (range, 4-48 mo). Indications
for revision were reflux (n = 14), inadequate weight loss (n = 11), reflux and
inadequate weight loss (n = 16), stricture (n = 4), chronic leak (n = 1), and
recurrent diabetes and reflux (n = 2). Reflux symptoms resolved in 96% of
patients after revision, and hiatal hernias were repaired in 50% of patients.
Percentage total weight loss at 3, 6, 12, 24, and 36 months was 9.0%, 12.9%,
15.7%, 13.3%, and 6.5%, respectively. The overall rate of complication was 31%.
There were no mortalities. CONCLUSIONS: Revision of SG to RYGB is a potentially
effective means of treating SG complications, particularly reflux. Reflux was the
most common indication for revision and was often associated with a hiatal
hernia. Further studies will be necessary to evaluate the long-term maintenance
of additional weight loss after revision of SG to RYGB.
PMID- 27887932
TI - Assessing national provision of care: variability in bariatric clinical care
pathways.
AB - BACKGROUND: The American Society for Metabolic and Bariatric Surgery (ASMBS)
Quality Improvement and Patient Safety (QIPS) Committee hypothesized that
collecting and sharing clinical pathways could provide a valuable resource to new
and existing bariatric programs. OBJECTIVE: To shed light on the variability in
practice patterns across the country by analyzing pathways. SETTING: United
States Centers of Excellence METHODS: From June 2014 to April 2015, clinical
pathways pertaining to preoperative, intraoperative, and postoperative management
of bariatric patients were solicited from the ASMBS executive council (EC), QIPS
committee members, and state chapter presidents. Pathways were de-identified and
then analyzed based on predetermined metrics pertaining to preoperative,
intraoperative, and postoperative care. Concordance and discordance were then
analyzed. RESULTS: In total, 31 pathways were collected; response rate was 80%
from the EC, 77% from the QIPS committee, and 21% from state chapter presidents.
The number of pathways sent in ranged from 1 to 10 with a median of 3 pathways
per individual or institution. The majority of pathways centered on perioperative
care (80%). Binary assessment (presence or absence) of variables found a high
concordance (defined by greater than 65% of pathways accounting for that
parameter) in only 6 variables: nutritional evaluation, psychological evaluation,
intraoperative venous thromboembolism (VTE) prophylaxis, utilization of
antiemetics in the postoperative period, a dedicated pain pathway, and
postoperative laboratory evaluation. CONCLUSION: There is considerable national
variation in clinical pathways among practicing bariatric surgeons. Most pathways
center on Metabolic and Bariatric Surgery Accredited Quality Improvement Program
(MBSAQIP) accreditation parameters, patient satisfaction, or Surgical Care
Improvement Protocol (SCIP) measures. These pathways provide a path toward
standardization of improved care.
PMID- 27887933
TI - Prostate Artery Embolization for Benign Prostatic Hyperplasia: Current Status.
AB - Prostate artery embolization has garnered much attention as a promising treatment
for lower urinary tract symptoms secondary to benign prostatic hyperplasia. We
aim to provide an up-to-date review of this minimally invasive technique,
including discussion of potential benefits and technical challenges. Current
evidence suggests it is a safe and effective option for patients with medication
refractory urinary obstructive symptoms who are poor surgical candidates or
refuse surgical therapy. Larger, randomized studies with long-term follow-up data
are needed for this technique to be formally established in the treatment
paradigm for benign prostatic hyperplasia.
PMID- 27887934
TI - Currarino Syndrome in a Fetus, Infant, Child, and Adolescent: Spectrum of
Clinical Presentations and Imaging Findings.
AB - In 1981, Currarino et al described a triad of findings that consist of partial
sacral dysgenesis, presacral mass (anterior meningocele, enteric cyst, or
presacral teratoma) and anorectal malformation. Currarino syndrome exhibits
variable expressivity and the clinical presentation tends to vary with the age of
the subject such as spinal anomaly detected in the fetus, imperforate anus in the
newborn, and intractable constipation or neurologic symptoms in the infant and
older child. At any age, meningitis can be the presenting symptom and imaging is
required for proper investigation. Meningitis, sepsis, urinary tract infections,
and, rarely, malignant transformation of a teratoma are serious potential
complications. This pictorial review describes the imaging findings, clinical
history, surgical interventions, and genetic background in 5 children with this
syndrome who presented in our hospital in the interval of 1 year.
PMID- 27887935
TI - Prevalence of Extracranial Venous Narrowing on Magnetic Resonance Venography Is
Similar in People With Multiple Sclerosis, Their Siblings, and Unrelated Healthy
Controls: A Blinded, Case-Control Study.
AB - PURPOSE: The study sought to assess and compare the prevalence of narrowing of
the major extracranial veins in subjects with multiple sclerosis and controls,
and to assess the sensitivity and specificity of magnetic resonance venography
(MRV) for describing extracranial venous narrowing as it applies to the chronic
cerebrospinal venous insufficiency theory, using catheter venography (CV) as the
gold standard. METHODS: The jugular and azygos veins were assessed with time-of
flight MRV in this assessor-blinded, case-control study of subjects with multiple
sclerosis, their unaffected siblings, and unrelated controls. The veins were
evaluated by diameter and area, and compared with CV. Collateral vessels were
also analyzed for maximal diameter, as a potential indicator of compensatory
flow. RESULTS: A high prevalence of extracranial venous narrowing was
demonstrated in all study groups, collectively up to 84% by diameter criteria and
90% by area, with no significant difference between the groups when assessed
independently (P = .34 and .63, respectively). There was high interobserver
variability in the reporting of vessel narrowing (kappa = 0.32), and poor vessel
per vessel correlation between narrowing on MRV and CV (kappa = 0.064).
Collateral neck veins demonstrated no convincing difference in maximum size or
correlation with jugular narrowing. CONCLUSION: There is a high prevalence of
narrowing of the major extracranial veins on MRV in all 3 study groups, with no
significant difference between them. These findings do not support the chronic
cerebrospinal venous insufficiency theory. Although MRV has shown a high
sensitivity for identifying venous narrowing, time-of-flight imaging demonstrates
poor interobserver agreement and poor specificity when compared with the gold
standard CV.
PMID- 27887937
TI - Intestinal Microbiome and Atherosclerosis.
PMID- 27887936
TI - Prokineticin 2 Plays a Pivotal Role in Psoriasis.
AB - Psoriasis is histologically characterized by keratinocytes (KC)
hyperproliferation, inflammation, and increased angiogenesis, but the
pathological factor responsible for these symptoms is unknown. Here, a
neuroendocrine peptide (prokineticin 2, PK2), is highly expressed in human and
mouse psoriatic skins but no significant change in other autoimmune diseases,
suggesting that PK2 is a psoriasis-specific factor. Bacterial products
significantly up-regulated PK2, implying that infection induces PK2 over
expression. PK2 promoted KC and macrophage to produce interleukin-1 (IL-1), the
central player of inflammation and psoriasis, which acts on adjacent fibroblast
to induce inflammatory cascades and KC hyperproliferation. IL-1 feeds back on
macrophages to induce PK2 production to perpetuate PK2-IL-1 positive feedback
loop. PK2 also promoted angiogenesis, another psoriatic symptom. In mouse models,
PK2 over-expression aggravated psoriasis while its knock-down inhibited
pathological development. The results indicate that PK2 over-production
perpetuates psoriatic symptoms by creating PK-2-IL-1 vicious loop. PK2 is a
central player in psoriasis and a promising psoriasis-specific target.
PMID- 27887938
TI - Molecular profiles in foregut oncology.
AB - Oncology is and will continue to evolve resulting from a better understanding of
the biology and intrinsic genetic profile of each cancer. Tumor biomarkers and
targeted therapies are the new face of precision medicine, so it is essential for
all physicians caring for cancer patients to understand and assist patients in
understanding the role and importance of such markers and strategies to target
them. This review was initiated in an attempt to identify, characterize, and
discuss literature supporting clinically relevant molecular markers and
interventions. The efficacy of targeting specific markers will be examined with
data from clinical trials focusing on treatments for esophageal, gastric, liver,
gallbladder, biliary tract, and pancreatic cancers.
PMID- 27887939
TI - Re: Zhihua Lu, Guiting Lin, Amanda Reed-Maldonado, Chunxi Wang, Yung-Chin Lee,
Tom F. Lue. Low-intensity Extracorporeal Shock Wave Treatment Improves Erectile
Function: A Systematic Review and Meta-analysis. Eur Urol 2017;71:223-33: Low
intensity Extracorporeal Shock Wave Treatment of Erectile Dysfunction: Does the
Shadow Exceed the Light?
PMID- 27887940
TI - Re: A Narrative Review of Patient-reported Outcomes in Overactive Bladder: What
is the Way of the Future?
PMID- 27887942
TI - Progress in Prognosis and Prediction for Men with Prostate Cancer.
PMID- 27887941
TI - Functional Outcomes and Quality of Life After Radical Prostatectomy Only Versus a
Combination of Prostatectomy with Radiation and Hormonal Therapy.
AB - BACKGROUND: While the optimal use and timing of secondary therapy after radical
prostatectomy (RP) remain controversial, there are limited data on patient
reported outcomes following multimodal therapy. OBJECTIVE: To assess the impact
of additional radiation therapy (RT) and/or androgen deprivation therapy (ADT) on
urinary continence, potency, and quality of life (QoL) after RP. DESIGN, SETTING,
AND PARTICIPANTS: Among 13150 men who underwent RP from 1992 to 2013, 905
received RP + RT, 407 RP + ADT and 688 RP + RT + ADT. OUTCOME MEASUREMENTS AND
STATISTICAL ANALYSES: Urinary function, sexual function, and overall QoL were
evaluated annually using self-administered validated questionnaires. Propensity
score-matched and bootstrap analyses were performed, and the distributions for
all functional outcomes were analyzed as a function of time after RP. RESULTS AND
LIMITATIONS: Patients who received RP + RT had a 4% higher overall incontinence
rate 3 yr after surgery, and 1% higher rate for severe incontinence (>3 pads/24h)
compared to matched RP-only patients. ADT further increased the overall and
severe incontinence rates by 4% and 3%, respectively, compared to matched RP + RT
patients. RP + RT was associated with an 18% lower rate of potency compared to RP
alone, while RP + RT + ADT was associated with a further 17% reduction compared
to RP + RT. Additional RT reduced QoL by 10% and additional ADT by a further 12%
compared to RP only and RP + RT, respectively. The timing of RT after RP had no
influence on continence, but adjuvant compared to salvage RT was associated with
significantly lower potency (37% vs 45%), but higher QoL (60% vs 56%).
Limitations of our study include the observational study design and potential for
selection bias in the treatments received. CONCLUSIONS: Secondary RT and ADT
after RP have an additive negative influence on urinary function, potency, and
QoL. Patients with high-risk disease should be counseled before RP on the
potential net impairment of functional outcomes due to multimodal treatment.
PATIENT SUMMARY: Men with high-risk disease choosing surgery upfront should be
counseled on the potential need for additional radiation and or androgen
deprivation, and the potential net impairment of functional outcomes arising from
multimodal treatment.
PMID- 27887943
TI - Magnetic Resonance Imaging for Membranous Urethral Length Assessment Prior to
Radical Prostatectomy: Can it Really Improve Prostate Cancer Management?
PMID- 27887944
TI - Facing the Fate of the Remnant Urothelium After Radical Cystectomy: There Is Room
for Improvement.
PMID- 27887945
TI - Kisspeptin system in pejerrey fish (Odontesthes bonariensis). Characterization
and gene expression pattern during early developmental stages.
AB - In vertebrates, kisspeptins and their receptors are known to be related to
puberty onset and gonadal maturation, however, there are few studies concerning
their role in early development. Here, we characterize the kisspeptin system in
the pejerrey, Odontesthes bonariensis, a fish with strong temperature-dependent
sex determination. We reconstructed the phylogenetic history of the two ligands
(kiss1 and kiss 2) and two receptors (kissr2 and kissr3) in pejerrey in the
context of recent classifications of bony fishes, determined their tissue
distribution and documented the early expression pattern of these ligands and
receptors. Phylogenetic analysis of these gene families clearly resolved the
percomorph clade and grouped pejerrey with Beloniformes. Paralogous sets of genes
putatively arising from the teleost-specific genome duplication event (3R) were
not detected. Kisspeptins and their receptors showed a wide tissue distribution
in adult pejerrey, including tissues not related to reproduction. In larvae
reared at 24 degrees C, the four kisspeptin elements were expressed in the head
from week 1 to week 8 of life, with no differences in transcript levels. Larvae
kept at a female-producing temperature (17 degrees C) did not show statistically
significant differences in the transcript levels of all analyzed genes during the
sex determination/differentiation period; however, in those larvae raised at male
producing temperature (29 degrees C), kiss2 levels were increased at week 4 after
hatching. These results showed that all members of the kisspeptin system are
expressed at this early period, and the increase of kiss2 transcripts at week 4
could be interpreted as it would be related to the differentiation of the brain
pituitary axis in male development.
PMID- 27887946
TI - Inhibition of eicosanoid signaling leads to increased lipid peroxidation in a
host/parasitoid system.
AB - We posed the hypothesis that inhibition of eicosanoid biosynthesis leads to
increased lipid peroxidation in insects. Here we report that rearing the greater
wax moth, Galleria mellonella, on media supplemented with selected inhibitors of
eicosanoid biosynthesis throughout the larval, pupal and adult life led to major
alterations in selected oxidative and antioxidative parameters of wax moth and
its ectoparasitoid, Bracon hebetor. The highest dietary dexamethasone (Dex),
esculetin (Esc) and phenidone (Phe) led to increased malondialdehyde (MDA) levels
and to elevated catalase (CAT) and glutathione-S-transferase (GST) activities in
all developmental stages of host larvae. Dietary Phe resulted in increased MDA
levels, and CAT activity in G. mellonella adults by about 4-fold and about 2
fold, respectively. The Phe effect on GST activity in all stages of the wax moth
was expressed in a dose-dependent manner, increased to 140nmol/mg protein/min in
larvae. MDA levels were increased by over 30-fold in adult wasps reared on Dex-
and Esc-treated hosts. CAT and GST activities were increased in adult parasitoids
reared on Esc-and Phe-treated hosts. GST activity of Dex-treated parasitoid
larvae increased from about 4 to over 30nmol/mg protein/min. Dietary Phe led to
increased GST activity, by about 25-fold, in adult wasps. These data indicate
that chronic inhibition of eicosanoid biosynthesis leads to increased oxidative
stress, strongly supporting our hypothesis. The significance of this work lies in
understanding the roles of eicosanoids in insect biology. Aside from other well
known eicosanoids actions, we propose that eicosanoids mediate reductions in
oxidative stress.
PMID- 27887947
TI - Berberine enhances the AMPK activation and autophagy and mitigates high glucose
induced apoptosis of mouse podocytes.
AB - High glucose concentration can induce injury of podocytes and berberine has a
potent activity against diabetic nephropathy. However, whether and how berberine
can inhibit high glucose-mediated injury of podocytes have not been clarified.
This study tested the effect of berberine on high glucose-mediated apoptosis and
the AMP-activated protein kinase (AMPK), mammalian target of rapamycin (mTOR)
activation and autophagy in podocytes. The results indicated that berberine
significantly mitigated high glucose-decreased cell viability, and nephrin and
podocin expression as well as apoptosis in mouse podocytes. Berberine
significantly increased the AMPK activation and mitigated high glucose and/or the
AMPK inhibitor, compound C-mediated mTOR activation and apoptosis in podocytes.
Berberine significantly enhanced the AMPK activation and protected from high
glucose-induced apoptosis in the AMPK-silencing podocytes. Furthermore, berberine
significantly increased the high glucose-elevated Unc-51-like autophagy
activating kinase 1 (ULK1) S317/S555 phosphorylation, Beclin-1 expression, the
ratios of LC3II to LC3I expression and the numbers of autophagosomes, but reduced
ULK1 S757 phosphorylation in podocytes. In addition, berberine significantly
attenuated compound C-mediated inhibition of autophagy in podocytes. The
protective effect of berberine on high glucose-induced podocyte apoptosis was
significantly mitigated by pre-treatment with 3-methyladenine or bafilomycin A1.
Collectively, berberine enhanced autophagy and protected from high glucose
induced injury in podocytes by promoting the AMPK activation. Our findings may
provide new insights into the molecular mechanisms underlying the anti-diabetic
nephropathy effect of berberine and may aid in design of new therapies for
intervention of diabetic nephropathy.
PMID- 27887948
TI - S-allyl cysteine ameliorates cognitive deficits in streptozotocin-diabetic rats
via suppression of oxidative stress, inflammation, and acetylcholinesterase.
AB - Diabetes mellitus (DM) is associated with learning, memory, and cognitive
deficits. S-allyl cysteine (SAC) is the main organosulfur bioactive molecule in
aged garlic extract with anti-diabetic, antioxidant, anti-inflammatory and
nootropic property. This research was conducted to evaluate the efficacy of SAC
on alleviation of learning and memory deficits in streptozotocin (STZ)-diabetic
rats and to explore involvement of toll-like receptor 4 (TLR4), nuclear factor
(erythroid-derived 2)-like 2 (Nrf2), nuclear factor-kappa B (NF-kappaB), and heme
oxygenase 1 (HO-1) signaling cascade. Male Wistar rats were divided into control,
diabetic, SAC-treated diabetic, and glibenclamide-treated diabetic (positive
control) groups. SAC was administered at a dose of 150mg/kg for seven weeks.
Treatment of diabetic rats with SAC lowered serum glucose, improved spatial
recognition memory in Y maze, discrimination ratio in novel object recognition
task, and restored step-through latency (STL) in passive avoidance paradigm. In
addition, SAC reduced acetylcholinesterase activity, lipid peroxidation marker
malondialdehyde (MDA) and augmented antioxidant defensive system including
superoxide dismutase (SOD), catalase and reduced glutathione (GSH) in hippocampal
lysate. Meanwhile, SAC lowered hippocampal NF-kB, TLR4, and TNFalpha and
prevented reduction of Nrf2 and heme oxygenase-1 (HO-1) in diabetic rats. Taken
together, chronic SAC treatment could ameliorate cognitive deficits in STZ
diabetic rats through modulation of Nrf2/NF-kappaB/TLR4/HO-1, and
acetylcholinesterase and attenuation of associated oxidative stress and
neuroinflammation.
PMID- 27887949
TI - Pharmacological effects of a recombinant hPTH(1-34) derived peptide on
ovariectomized rats.
AB - A recombinant peptide Pro-Pro-[Arg11]hPTH(1-34)-Pro-Pro, was designed,
biosynthesized, and purified in our lab (generated by substituting Arg for Leu at
position 11 and adding -Pro-Pro to the carboxyl terminus of hPTH(1-34)-peptide),
and reported to be capable of enhancing serum calcium level in chicken. In this
investigation, we studied its impact on the structure, component, and
biomechanical properties of rat bones. The pharmacological effect of Pro-Pro
[Arg11]hPTH(1-34)-Pro-Pro was investigated to evaluate its therapeutic potential
for the treatment of osteoporosis, one of the most prevalent and rapidly
spreading diseases in the world. 3-month-old normal female rats were sham
ovariectomized or ovariectomized, then fed for 14 weeks. Small doses of Pro-Pro
[Arg11]hPTH(1-34)-Pro-Pro were given to the rats afterward (daily subcutaneous
injection of 0.4-0.9nmol/100g body weight for 16 weeks). Values of various
parameters, including the body weight, the bone mass, the bone geometry, the bone
biomechanics, the bone histology, the bone histomorphology, and the serum
biochemistry, were collected before or after animal sacrifice. Daily subcutaneous
injection of Pro-Pro-[Arg11]hPTH(1-34)-Pro-Pro induced 37.0-42.4% increase in
vertebral BMD, 28.5-47.9% increase in femoral BMD, 30.7-43.7% decrease in marrow
cavity or increase in trabecular bone area. The peptide also increased calcium,
phosphorus, and collagen in bone by 52.1-59.7%, 24.5-34.7%, and 26.3-28.0%,
respectively. In terms of mechanic properties, peptide administration elevated
bone rigidity by 55.7-84.5%, decreased the deflection by 14.8-26.7%, and improved
modulus of elasticity by 28.1-76.4%. These data suggest Pro-Pro-[Arg11]hPTH(1-34)
Pro-Pro has a positive effect on bone mass accumulation and microstructure
improvement, fortifies bone strength, and possesses anti-aging capability, which
may merit further investigation.
PMID- 27887950
TI - CysLT2 receptor activation is involved in LTC4-induced lung air-trapping in
guinea pigs.
AB - CysLT1 receptors are known to be involved in the pathogenesis of asthma. However,
the functional roles of CysLT2 receptors in this condition have not been
determined. The purpose of this study is to develop an experimental model of
CysLT2 receptor-mediated LTC4-induced lung air-trapping in guinea pigs and use
this model to clarify the mechanism underlying response to such trapping. Because
LTC4 is rapidly converted to LTD4 by gamma-glutamyltranspeptidase (gamma-GTP)
under physiological conditions, S-hexyl GSH was used as a gamma-GTP inhibitor. In
anesthetized artificially ventilated guinea pigs with no S-hexyl GSH treatment,
i.v. LTC4-induced bronchoconstriction was almost completely inhibited by
montelukast, a CysLT1 receptor antagonist, but not by BayCysLT2RA, a CysLT2
receptor antagonist. The inhibitory effect of montelukast was diminished by
treatment with S-hexyl GSH, whereas the effect of BayCysLT2RA was enhanced with
increasing dose of S-hexyl GSH. Macroscopic and histological examination of lung
tissue isolated from LTC4-/S-hexyl-GSH-treated guinea pigs revealed air-trapping
expansion, particularly at the alveolar site. Inhaled LTC4 in conscious guinea
pigs treated with S-hexyl GSH increased both airway resistance and airway
hyperinflation. On the other hand, LTC4-induced air-trapping was only partially
suppressed by treatment with the bronchodilator salmeterol. Although montelukast
inhibition of LTC4-induced air-trapping was weak, treatment with BayCysLT2RA
resulted in complete suppression of this air-trapping. Furthermore, BayCysLT2RA
completely suppressed LTC4-induced airway vascular hyperpermeability. In
conclusion, we found in this study that CysLT2 receptors mediate LTC4-induced
bronchoconstriction and air-trapping in S-hexyl GSH-treated guinea pigs. It is
therefore believed that CysLT2 receptors contribute to asthmatic response
involving air-trapping.
PMID- 27887951
TI - A Multi-Institutional Comparison of Clinicopathological Characteristics and
Oncologic Outcomes of Upper Tract Urothelial Carcinoma in China and the United
States.
AB - PURPOSE: We evaluated differences in clinicopathological characteristics and
oncologic outcomes between patients with upper tract urothelial carcinoma in
mainland China and the United States. MATERIALS AND METHODS: We retrospectively
compiled clinicopathological and oncologic outcomes data on patients with upper
tract urothelial carcinoma treated surgically at tertiary care medical facilities
in the United States or China from 1998 to 2015. Baseline demographics,
comorbidities and pathological features were evaluated. Oncologic end points,
including intravesical recurrence and cancer specific survival, were obtained
after excluding patients who received systemic chemotherapy. Multivariable Cox
regression was performed to determine predictors of adverse oncologic outcomes
for each country. RESULTS: A total of 775 patients with upper tract urothelial
carcinoma were identified, including 451 in China and 324 in the United States.
Median followup was 42 months. American patients were more frequently male (65%
vs 44%) and smokers (79% vs 18%), and had a worse mean ASA(r) (American Society
of Anesthesiologists(r)) score (2.7 vs 2.2) and prior bladder cancer (41% vs 4%,
all p <0.001). Chinese patients more often had preoperative hydronephrosis (56%
vs 40%), high grade pathology (98% vs 77%), muscle invasion (64% vs 38%) and
nodal metastases (26% vs 6%, all p <0.001). American patients had worse overall
survival on Kaplan-Meier analysis (p = 0.049). However, country of origin did not
predict local relapse or cancer specific survival. CONCLUSIONS: Patient and
disease characteristics of upper tract urothelial carcinoma differed between the
Chinese and American cohorts. Chinese patients appeared relatively healthier at
presentation but more often exhibited adverse pathological features. While
evaluation and management patterns may account for these variations, the
pathological findings may reflect a differential underlying pathogenesis of
disease. Additional study is warranted to further characterize these differences.
PMID- 27887952
TI - Mini review: Update on bioaugmentation in anaerobic processes for biogas
production.
AB - Anaerobic digestion (AD) is increasingly being used and exploited as a strategy
to generate biomethane, which can be used as a renewable and clean energy. AD
rests on the biodegradation of organic compounds in anaerobic condition, and
these organic compounds are generally agricultural-, industrial- and domestic
wastes. However, problems of AD decrease efficiency, as the result of bioreactor
stress, are generally encountered. The primarily cause of this stress is the
presence of high concentrations of inhibitory substances such as nitrate,
sulfate, heavy metals and oxygen among others. Another cause of AD decrease
efficiency is the use of organic compounds that are less amenable to
biodegradation such as lignocellulosic compounds. One of the strategies to
overcome these limitations is the addition in bioreactors of "stress resistant"-
or "efficient biomethane generating"- microorganisms to improve AD process. This
strategy, known as bioaugmentation, has been used for the last 15 years to
increase biomethane production. In this review, work carried out on this
bioaugmentation process has been summarised, and new strategies that could be
used or exploited to improve the success of this approach have also been
discussed.
PMID- 27887953
TI - Reply to Meisel et al.
PMID- 27887954
TI - The Short-Chain Fatty Acid Sodium Butyrate Functions as a Regulator of the Skin
Immune System.
AB - There is evidence that gut commensal microbes affect the mucosal immune system
via expansion of regulatory T cells (Tregs) in the colon. This is mediated via
short-chain fatty acids, bacterial metabolites generated during fiber
fermentation, which include butyrate, propionate, and acetate. We postulated that
short-chain fatty acids produced by commensal skin bacteria may also activate
resident skin Tregs, the activity of which is diminished in certain inflammatory
dermatoses. Sodium butyrate (SB) either injected subcutaneously or applied
topically onto the ears of hapten-sensitized mice significantly reduced the
contact hypersensitivity reaction. This effect was histone acetylation-dependent
because suppression was abrogated by anacardic acid, a histone acetyltransferase
inhibitor. The genes encoding for the Treg-specific transcription factor foxp3
and for IL-10 were up-regulated upon treatment with sodium butyrate, as
determined by quantitative real-time reverse transcription-PCR.
Immunofluorescence analysis showed enhanced numbers of Foxp3-positive cells in
sodium butyrate-treated skin. Additionally, CD4+CD25- nonregulatory human T cells
exerted suppressive features upon incubation with sodium butyrate. This indicates
that Tregs can be induced by short-chain fatty acids, suggesting (i) that
resident skin microbes may prevent exaggerated inflammatory responses by exerting
a down-regulatory function and thereby maintaining a stable state under
physiologic conditions and (ii) that short-chain fatty acids may be used
therapeutically to mitigate inflammatory skin reactions.
PMID- 27887955
TI - Treatment of Hypereosinophilic Syndrome with Cutaneous Involvement with the JAK
Inhibitors Tofacitinib and Ruxolitinib.
PMID- 27887956
TI - [Childhood's ophthalmologic involvement in Sturge-Weber-Krabbe syndrome].
AB - Ophthalmologic involvement in Sturge-Weber-Krabbe syndrome (SWKS) is present in
30-70% of cases and needs to be reviewed because of its impact on visual
development. We report a case of a newborn for whom SSWK with ophthalmologic
involvement was suspected. She had a right segmental plane angioma associated
with right congenital glaucoma and suspected right pial angioma. Ophthalmic
involvement in SWKS may be nonocular: iatrogenic by treatment-associated
complications and central by leptomeningeal damage. Ophthalmologic involvement
can occur throughout childhood and is mainly represented by glaucoma and diffuse
choroidal hemangioma and then conjunctival hemangioma, retinal detachment, and
iris heterochromia. SWKS requires multidisciplinary care with eye examination and
prolonged follow-up as soon as clinical suspicion arises.
PMID- 27887957
TI - Moving toward mechanical control of cardiac arrest.
PMID- 27887958
TI - On the article "Findings questioning the involvement of Sigma-1 receptor in the
uptake of anisamide-decorated particles" [J. Control. Release 224 (2016) 229
238]: Letter to the Editor 1 (September 14, 2016).
PMID- 27887959
TI - Decreased plasma concentrations of brain-derived neurotrophic factor in
preeclampsia.
AB - BACKGROUND: Preeclampsia (PE) is a disease characterized by excessive maternal
inflammatory response. Early studies suggested that brain-derived neurotrophic
factor (BDNF) modulates inflammation. The main objective of this study was to
investigate BDNF plasma concentrations in PE women and to compare with BDNF
concentrations from normotensive pregnant women. We also investigated the
association among the plasma concentrations of BDNF and inflammatory mediators,
and maternal clinical features. METHODS: BDNF plasma concentrations were measured
by ELISA in 38 PE women (17 early onset and 21 late onset) and in 20 normotensive
pregnant women (Norm) matched for gestational age (Norm<34weeks: n=8;
Norm>=34weeks: n=12). Correlation analyses between laboratory parameters and
clinical characteristics were evaluated through Spearman's coefficients. RESULTS:
BDNF concentration was lower in PE women than in normotensive pregnant women, but
no difference was detected between the subgroups of PE women and normotensive
pregnant women. BDNF correlated negatively with annexin A1, and positively with
body mass index and diastolic blood pressure. No correlation was significant in
normotensive pregnant women. CONCLUSIONS: Lower BDNF plasma concentrations and
cross-talk between BDNF and AnxA1 signaling pathways might be involved in PE
pathogenesis.
PMID- 27887960
TI - Corticosteroid-binding globulin cleavage may be pathogen-dependent in bloodstream
infection.
AB - OBJECTIVE: The process of enzymatic cleavage of high- to low-affinity
corticosteroid-binding globulin (haCBG to laCBG) by neutrophil elastase leads to
local tissue release of cortisol. Recently Pseudomonas aeruginosa was shown to
instigate CBG cleavage with release of free cortisol in vitro. Hence, CBG
cleavage with release of anti-inflammatory cortisol in infection may be pathogen
dependent. Our objective was to determine whether haCBG and laCBG levels are
altered in infected patients compared with controls, and whether these
alterations were particular to causative bacteria. DESIGN: An observational,
cross-sectional study at a public pathology institution and tertiary hospital in
Adelaide, South Australia. METHODS: 100 positive blood culture samples and 100
healthy control samples were analysed for serum total CBG, haCBG, laCBG, total
and free cortisol, leukocyte and neutrophil count, C-reactive protein and Pitt
severity score. RESULTS: Patients with infection had lower serum total CBG, haCBG
and laCBG, all P<0.0001, than healthy controls. This was true in patients with
and without a systemic inflammatory response and in those with culture-positive
and culture-negative infections. Pseudomonas aeruginosa infection was associated
with the lowest total and laCBG levels of the pathogen groups despite having the
lowest inflammatory markers. CONCLUSIONS: There was evidence of CBG cleavage in
early infection both in patients with and without systemic inflammation and
regardless of culture status. Pseudomonas infection appeared to enhance cleavage.
This observation, along with cleavage in severe neutropenia suggests mechanisms
other than neutrophil elastase may be involved in CBG cleavage and local tissue
cortisol release in infection.
PMID- 27887961
TI - Clinical perspective: creating an effective practice peer review process-a
primer.
AB - Peer review serves as an important adjunct to other hospital quality and safety
programs. Despite its importance, the available literature contains virtually no
guidance regarding the structure and function of effective peer review
committees. This Clinical Perspective provides a summary of the purposes,
structure, and functioning of effective peer review committees. We also discuss
important legal considerations that are a necessary component of such processes.
This discussion includes useful templates for case selection and review. Proper
committee structure, membership, work flow, and leadership as well as close
cooperation with the hospital medical executive committee and legal
representatives are essential to any effective peer review process. A thoughtful,
fair, systematic, and organized approach to creating a peer review process will
lead to confidence in the committee by providers, hospital leadership, and
patients. If properly constructed, such committees may also assist in monitoring
and enforcing compliance with departmental protocols, thus reducing harm and
promoting high-quality practice.
PMID- 27887962
TI - Synthesis of a biological active beta-hairpin peptide by addition of two
structural motifs.
AB - The idea of privileged scaffolds - that there seem to be more bioactive compounds
found around some structures than others - is well established for small drug
molecules, but has little significance for standalone peptide secondary
structures whose adaptable shapes escape the definition of a 3D motif in the
absence of a protein scaffold. Here, we joined two independent biological
functions in a single highly restricted peptide to support the hypothesis that
the beta-hairpin shape is the common basis of two otherwise unrelated biological
recognition processes. To achieve this, the hydrophobic cluster HWX4LV from the
decapeptide cyclic hairpin model peptide C1-C10cyclo-CHWEGNKLVC was included in
the bicyclic peptide 2. The designed beta-hairpin peptide C4-C17, C8-C13bicyclo
KHQCHWECTZGRCRLVCGRSGS (2, Z=citrulline), serves, on the one hand, as a specific
epitope for rheumatoid autoantibodies and, on the other hand, shows a not
negligible antibiotic effect against the bacterial strain E. coli AS19.
PMID- 27887963
TI - Animal models in the pharmacokinetic/pharmacodynamic evaluation of antimicrobial
agents.
AB - Animal infection models in the pharmacokinetic/pharmacodynamic (PK/PD) evaluation
of antimicrobial therapy serve an important role in preclinical assessments of
new antibiotics, dosing optimization for those that are clinically approved, and
setting or confirming susceptibility breakpoints. The goal of animal model
studies is to mimic the infectious diseases seen in humans to allow for robust
PK/PD studies to find the optimal drug exposures that lead to therapeutic
success. The PK/PD index and target drug exposures obtained in validated animal
infection models are critical components in optimizing dosing regimen design in
order to maximize efficacy while minimize the cost and duration of clinical
trials. This review outlines the key components in animal infection models which
have been used extensively in antibiotic discovery and development including
PK/PD analyses.
PMID- 27887964
TI - Anti-inflammatory tetraquinane diterpenoids from a Crinipellis species.
AB - The small pro-inflammatory 10kDa chemokine CXCL10 (Interferon-inducible protein
10, IP-10) plays an important role in mediating immune responses through the
activation and recruitment of leukocytes such as T cells, eosinophils, monocytes
and NK cells to the sites of inflammation. Elevated levels of CXCL10 have been
associated with chronic inflammatory and infectious diseases and therefore CXCL10
represents an attractive target for the development of new anti-inflammatory
drugs. In a search for anti-inflammatory compounds from fungi inhibiting the
inducible CXCL10 promoter activity, four new tetraquinane diterpenoids,
crinipellin E (1), crinipellin F (2), crinipellin G (3) and crinipellin H (4)
were isolated from fermentations of a Crinipellis species. The structures of the
compounds were elucidated by a combination of one- and two-dimensional NMR
spectroscopy and mass spectrometry. Compounds 1, 2, and 3 inhibited the LPS/IFN
gamma induced CXCL10 promoter activity in transiently transfected human MonoMac6
cells in a dose-dependent manner with IC50 values of 15MUM, 1.5MUM, and 3.15MUM
respectively, whereas compound 4 was devoid of any biological activity. Moreover,
compounds 1, 2 and 3 reduced mRNA levels and synthesis of pro-inflammatory
mediators such as cytokines and chemokines in LPS/IFN-gamma stimulated MonoMac6
cells.
PMID- 27887965
TI - Muropeptide signature of inhibitors of protein synthesis correlates with beta
lactam synergism against methicillin-resistant Staphylococcus aureus.
AB - Quinupristin/dalfopristin (Q/D) and beta-lactams interact positively against
methicillin-resistant Staphylococcus aureus (MRSA). The effect extends to other
inhibitors of protein synthesis, but not to inhibitors of polynucleotide
synthesis or assembly, or to Q/D plus non-beta-lactam cell wall inhibitors.
Moreover, electron microscopy studies have correlated this effect with a
thickened cell wall. In this study, we sought to determine whether inhibitors of
protein synthesis might produce a specific peptidoglycan muropeptide signature
that would correlate with their positive beta-lactam interaction. The
muropeptides of six S. aureus isolates (three methicillin-susceptible and three
MRSA) were analysed using high-performance liquid chromatography and mass
spectrometry. Exposure to 0.25* the minimum inhibitory concentration of
inhibitors of protein synthesis consistently produced three main alterations
irrespective of methicillin resistance: (i) an increase in peak 12 (a cyclic
dimer of glycine-containing disaccharide-tetrapeptide); (ii) an increase in
poorly resolved late-eluting materials; and (iii) a decrease in peak 1 (a
disaccharide-pentapeptide). Eventually, the rate of autolysis was also decreased,
supporting the structural alteration of the peptidoglycan. Other drug classes did
not produce these anomalies. An increase in peak 12 was also observed in
staphylococci treated with fosfomycin, which decreases expression of the native
penicillin-binding protein (PBP) 2 and 4. Parallel blockage of normal PBPs with
beta-lactams abolished the anomalies, indicating that they resulted from altered
function of native PBPs. This underlines the potential of inhibiting both protein
synthesis and transpeptidation simultaneously and suggests that such a drug
combination strategy might be efficaciously exploited.
PMID- 27887966
TI - Forgotten antibiotics: a follow-up inventory study in Europe, the USA, Canada and
Australia.
AB - The objective of this study was to update a 2011 survey, conducted on behalf of
the ESCMID Study Group for Antibiotic Policies (ESGAP), studying the availability
of old but clinically useful antibiotics in North America, Europe and Australia.
This follow-up survey was performed in 2015 in 40 countries among specialists
from the pharmaceutical, infectious diseases and microbiology sectors in North
America, Europe and Australia in order to assess the availability through usual
marketing processes of 36 systemic antibiotics (addition of 3 antibiotics
compared with the 2011 survey) selected for their ability to treat infections
caused by resistant bacteria and their unique value for specific criteria. The
questionnaire was sent by e-mail to national contacts belonging to ESGAP and
ReAct networks. In all, 39 of the 40 countries participated in this survey. The
number of available antibiotics differed considerably from one drug to another as
well as from one country to another (e.g. 7 antibiotics available in Estonia, 24
in France). Overall, 25/36 selected antibiotics were marketed in 20/39 countries
or less. From 2011 to 2015 (data available for both periods in 37 countries for
33 antibiotics), the number of available selected antibiotics increased in 13
countries and decreased in 17. In conclusion, despite the ongoing bacterial
resistance crisis, the situation regarding the availability of 'forgotten
antibiotics' has worsened since 2011. Urgent measures are needed to ensure better
availability of these antibiotics on a global scale as a conservation measure to
ensure sustainable and responsible use of antibiotics.
PMID- 27887968
TI - Enteral Access Procedures: An 18-Year Analysis of Changing Patterns of
Utilization in the Medicare Population.
AB - PURPOSE: To evaluate national trends in enteral access and maintenance procedures
for Medicare beneficiaries with regard to utilization rates, specialty group
roles, and sites of service. MATERIALS AND METHODS: Using Medicare Physician
Supplier Procedure Summary Master Files for the period 1994-2012, claims for
gastrostomy and gastrojejunostomy access and maintenance procedures were
identified. Longitudinal utilization rates were calculated using annual
enrollment data. Procedure volumes by site of service and medical specialty were
analyzed. RESULTS: Between 1994 and 2012, de novo enteral access procedure
utilization decreased from 61.6 to 42.3 per 10,000 Medicare Part B beneficiaries
(-31%). Gastroenterologists and surgeons performed > 80% of procedures (unchanged
over study period) with 97% in the hospital setting. Over time, relative use of
an endoscopic approach (62% in 1994; 82% in 2012) increased as percutaneous (21%
to 12%) and open surgical (17% to 5%) procedures declined. Existing enteral
access maintenance services increased 29% (from 20.1 to 25.9 per 10,000
beneficiaries). Radiologists (from 13% to 31%) surpassed gastroenterologists
(from 36% to 21%) as dominant providers of maintenance procedures. Emergency
physicians (from 8% to 23%) and nonphysician providers (from 0% to 6%) have seen
rapid growth as maintenance services providers as these services have
transitioned increasingly to the emergency department setting (from 18% to 32%).
CONCLUSIONS: Among Medicare beneficiaries, de novo enteral access procedures have
declined in the last 2 decades as existing access maintenance services have
increased. The latter are increasingly performed by radiologists, emergency
physicians, and nonphysician providers.
PMID- 27887967
TI - Percutaneous Image-Guided Cryoablation for the Treatment of Phantom Limb Pain in
Amputees: A Pilot Study.
AB - PURPOSE: To prospectively evaluate percutaneous image-guided nerve cryoablation
for treatment of refractory phantom limb pain (PLP) in a pilot cohort for
purposes of deriving parameters to design a larger, randomized, parallel-armed,
controlled trial. MATERIALS AND METHODS: From January 2015 to January 2016, 21
patients with refractory PLP underwent image-guided percutaneous cryoneurolysis
procedures. Visual analog scale scores were documented at baseline and 7, 45, and
180 days after the procedure. Responses to a modified Roland Morris Disability
Questionnaire were documented at baseline and 7 and 45 days after the procedure.
RESULTS: Technical success rate of the procedures was 100%. There were 6 (29%)
minor procedure-related complications. Disability scores decreased from a
baseline mean of 11.3 to 3.3 at 45-day follow-up (95% confidence interval 5.8,
10.3; P < .0001). Pain intensity scores decreased from a baseline mean of 6.2 to
2.0 at long-term follow-up (95% confidence interval 2.8, 5.6; P < .0001).
CONCLUSIONS: Image-guided percutaneous nerve cryoablation is feasible and safe
and may represent a new efficacious therapeutic option for patients with phantom
pains related to limb loss.
PMID- 27887971
TI - Biosorption of U(VI) by modified Hottentot Fern: Kinetics and equilibrium
studies.
AB - Batch experiments were conducted to investigate the biosorption of U(VI) onto
Hottentot Fern (Cyclosorus interruptus). The selective adsorption, the adsorption
of different sections of Cyclosorus interruptus (CI), and the adsorption of
polluted CI compared with that of unpolluted one were studied in detail. The raw
CI and the CI modified by CaCl2, MgCl2, MgCl2/H2O2 were investigated for
adsorption of U(VI) from aqueous solution. The results indicate that raw CI
showed good adsorption selectivity for U(VI), compared with the adsorption of
Cu(II), Co(II) and Ni(II). The stem of CI possesses a prominent adsorption
capacity compared to the leaf and root of CI, and the unpolluted CI showed its
superiority in adsorption capacity than the polluted CI. Adsorption rate was very
fast during the first 30 min in the whole adsorption process. The pseudo-second
order kinetics model was proposed for the adsorption of U(VI) and the equilibrium
data fitted well to Langmuir adsorption isotherms. The maximum adsorption
capacity of R-CI, Ca-CI, Mg-CI and Mg/H2O2-CI is 41.67, 52.63, 62.50 and 71.43 mg
g-1 at 20 degrees C, respectively.
PMID- 27887970
TI - Production and release rate of 37Ar from the UT TRIGA Mark-II research reactor.
AB - Air samples were taken at various locations around The University of Texas at
Austin's TRIGA Mark II research reactor and analyzed to determine the
concentrations of 37Ar, 41Ar, and 133Xe present. The measured ratio of 37Ar/41Ar
and historical records of 41Ar releases were then utilized to estimate an annual
average release rate of 37Ar from the reactor facility. Using the calculated
release rate, atmospheric transport modeling was performed in order to determine
the potential impact of research reactor operations on nearby treaty verification
activities. Results suggest that small research reactors (~1 MWt) do not release
37Ar in concentrations measurable by currently proposed OSI detection equipment.
PMID- 27887969
TI - Flexible head-casts for high spatial precision MEG.
AB - BACKGROUND: In combination with magnetoencephalographic (MEG) data, accurate
knowledge of the brain's structure and location provide a principled way of
reconstructing neural activity with high temporal resolution. However, measuring
the brain's location is compromised by head movement during scanning, and by
fiducial-based co-registration with magnetic resonance imaging (MRI) data. The
uncertainty from these two factors introduces errors into the forward model and
limit the spatial resolution of the data. NEW METHOD: We present a method for
stabilizing and reliably repositioning the head during scanning, and for co
registering MRI and MEG data with low error. RESULTS: Using this new flexible and
comfortable subject-specific head-cast prototype, we find within-session
movements of <0.25mm and between-session repositioning errors around 1mm.
COMPARISON WITH EXISTING METHOD(S): This method is an improvement over existing
methods for stabilizing the head or correcting for location shifts on- or off
line, which still introduce approximately 5mm of uncertainty at best (Adjamian et
al., 2004; Stolk et al., 2013; Whalen et al., 2008). Further, the head-cast
design presented here is more comfortable, safer, and easier to use than the
earlier 3D printed prototype, and give slightly lower co-registration errors
(Troebinger et al., 2014b). CONCLUSIONS: We provide an empirical example of how
these head-casts impact on source level reproducibility. Employment of the
individual flexible head-casts for MEG recordings provide a reliable method of
safely stabilizing the head during MEG recordings, and for co-registering MRI
anatomical images to MEG functional data.
PMID- 27887972
TI - Radon as a natural tracer for underwater cave exploration.
AB - The Molnar Janos cave is one of the largest hypogenic caves of the Buda Thermal
Karst (Budapest, Hungary) and mainly characterized by water-filled passages. The
major outflow point of the waters of the cave system is the Boltiv spring, which
feeds the artificial Malom Lake. Previous radon measurements in the cave system
and in the spring established the highest radon concentration (71 BqL-1) in the
springwater. According to previous studies, the origin of radon was identified as
iron-hydroxide containing biofilms, which form where there is mixing of cold and
thermal waters, and these biofilms efficiently adsorb radium from the thermal
water component. Since mixing of waters is responsible for the formation of the
cave as well, these iron-hydroxide containing biofilms and the consequent high
radon concentrations mark the active cave forming zones. Based on previous radon
measurements, it is supposed that the active mixing and cave forming zone has to
be close to the spring, since the highest radon concentration was measured there.
Therefore radon mapping was carried out with the help of divers in order to get a
spatial distribution of radon in the cave passages closest to the spring. Based
on our measurements, the highest radon activity concentration (84 BqL-1) was
found in the springwater. Based on the distribution of radon activity
concentrations, direct connection was established between the spring and the
Istvan-room of the cave, which was verified by an artificial tracer. However, the
distribution of radon in the cave passages shows lower concentrations (18-46 BqL
1) compared to the spring, therefore an additional deep inflow from hitherto
unknown cave passages is assumed, from which waters with high radon content
arrive to the spring. These passages are assumed to be in the active cave
formation zone. This study proved that radon activity concentration distribution
is a useful tool in underwater cave exploration.
PMID- 27887973
TI - Decommissioning strategy for liquid low-level radioactive waste surface storage
water reservoir.
AB - The Techa Cascade of water reservoirs (TCR) is one of the most environmentally
challenging facilities resulted from FSUE "PA "Mayak" operations. Its reservoirs
hold over 360 mln m3 of liquid radioactive waste with a total activity of some 5
* 1015 Bq. A set of actions implemented under a special State program involving
the development of a strategic plan aimed at complete elimination of TCR
challenges (Strategic Master-Plan for the Techa Cascade of water reservoirs)
resulted in considerable reduction of potential hazards associated with this
facility. The paper summarizes the key elements of this master-plan: defining TCR
final state, feasibility study of the main strategies aimed at its attainment,
evaluation of relevant long-term decommissioning strategy, development of
computational tools enabling the long-term forecast of TCR behavior depending on
various engineering solutions and different weather conditions.
PMID- 27887975
TI - Human exposure to brominated flame retardants through the consumption of fish and
shellfish in Tarragona County (Catalonia, Spain).
AB - The concentrations of 19 brominated flame retardants (BFRs) (8 polybrominated
diphenyl ethers (PBDEs), 8 methoxylated PBDEs (MeO-PBDEs) and 3 emerging flame
retardants) were determined in 10 species of fish and shellfish widely consumed
in Tarragona County (Catalonia, Spain), by pressurized liquid extraction followed
by gas chromatography coupled to tandem mass spectrometry. A higher occurrence of
PBDEs was found in all the analyzed samples, while MeO-PBDEs were only detected
in a few ones and the levels of emerging pollutants were relatively low. In
contrast, hexabromobenzene was found in almost all samples at concentrations
ranging between non detected and 0.2 ng g-1 wet weight (w.w.). Salmon, sole,
hake, cod and tuna showed the highest concentrations of SigmaPBDEs (>0.8 ng g-1
w.w.), while mussel was the species with the highest level of MeO-PBDEs (1.5 ng g
1 w.w.). The dietary exposure of BFRs through consumption of these 10 species of
fish and shellfish by the population of Tarragona County was estimated for
different subpopulations, classified according to age and gender. Furthermore,
calculations were performed in upper-, middle- and lower-bound risk scenarios.
According to our data, the current concentrations of BFRs in fish and shellfish
suggest no significant health risks for the consumers.
PMID- 27887976
TI - The establishment of a telestroke service using multimodal CT imaging decision
assistance: "Turning on the fog lights".
AB - Telestroke services have been shown to increase stroke therapy access in rural
areas. The implementation of advanced CT imaging for patient assessment may
improve patient selection and detection of stroke mimics in conjunction with
telestroke. We implemented a telestroke service supported by multimodal CT
imaging in a rural hospital in Australia. Over 21months we conducted an
evaluation of service activation, thrombolysis rates and use of multimodal
imaging to assess the feasibility of the service. Rates of symptomatic
intracranial haemorrhage and 90-day modified Rankin Score were used as safety
outcomes. Fifty-eight patients were assessed using telestroke, of which 41 were
regarded to be acute ischemic strokes and 17 to be stroke mimics on clinical
grounds. Of the 41 acute stroke patients, 22 patients were deemed eligible for
thrombolysis. Using multimodal CT imaging, 8 more patients were excluded from
treatment because of lack of treatment target. Multimodal imaging failed to be
obtained in one patient. For the 14 treated patients, median door-imaging time
was 38min. Median door-treatment time was 91min. A 90-day mRS ?2 was achieved in
40% of treated patients. We conclude that a telestroke service using advanced CT
imaging for therapy decision assistance can be successfully implemented in
regional Australia and can be used to guide acute stroke treatment decision
making and improve access to thrombolytic therapy. Efficiency and safety is
comparable to established telestroke services.
PMID- 27887974
TI - Perusal of parasitic nematode 'omics in the post-genomic era.
AB - The advent of high-throughput, next-generation sequencing methods combined with
advances in computational biology and bioinformatics have greatly accelerated
discovery within biomedical research. This "post-genomics" era has ushered in
powerful approaches allowing one to quantify RNA transcript and protein abundance
for every gene in the genome - often for multiple conditions. Herein, we
chronicle how the post-genomics era has advanced our overall understanding of
parasitic nematodes through transcriptomics and proteomics and highlight some of
the important advances made in each major nematode clade. We primarily focus on
organisms relevant to human health, given that nematode infections significantly
impact disability-adjusted life years (DALY) scores within the developing world,
but we also discuss organisms of veterinary importance as well as those used as
laboratory models. As such, we envision that this review will serve as a
comprehensive resource for those seeking a better understanding of basic
parasitic nematode biology as well as those interested in targets for vaccination
and pharmacological intervention.
PMID- 27887977
TI - Direct and indirect cost of myasthenia gravis: A prospective study from a
tertiary care teaching hospital in India.
AB - Myasthenia gravis (MG) requires lifelong treatment. The cost of management MG is
very high in developed countries but there is no information on the cost of
management of MG in the developing countries. This study reports the direct and
indirect cost and predictors of cost of MG in a tertiary care teaching hospital
in India. In a prospective hospital based study, from a tertiary hospital in
India 66 consecutive patient during 2014-2015 were included. The age of the
patients ranged between 6 and 75years. The severity of MG was assessed by
myasthenia gravis foundation association (MGFA) class (MGFA) I-V. The patient
data was collected s and their direct cost was calculated from the computerized
Hospital information system. The indirect cost was calculated from patient's
memory, checking the bills of transportation and wages loss by the patient or the
care giver. Total annual cost of MG ranged between INR (4560-532227) with median
INR 61390.5 (US$911.64). The median cost of outpatient department (OPD)
consultation of 16 patients was INR 20439.9 (US$303.53), of 50 admitted patients
was INR 44311.8 (US$658.03) and 21 intensive care unit (ICU) patients was INR
59574.3 (US$ 884.6) and the direct cost of thymectomy was INR 45000 (US$ 668.25).
Direct cost was related to indirect cost (r=0.55; p=0.0001). Predictors of
patient outcome were severity of MG, ICU admission, and thymectomy. The total
median cost for management of myasthenia gravis was INR 61390.5 (4560-532227,
US$911.64) per year, and the cost was mainly determined by the severity of MG.
PMID- 27887978
TI - Mild encephalitis/encephalopathy with reversible splenial lesion (MERS) due to
dengue virus.
AB - A 14-year-old girl presented with encephalopathy, delirium and ophthalmoplegia
following a 3day history of high-grade fever. Brain MRI on day 6 of illness
showed diffusion restricted ovoid lesion in the splenium of corpus callosum.
Dengue virus encephalitis was diagnosed with positive PCR for dengue virus type-2
in both serum and cerebrospinal fluid. She made a complete recovery from day 10
of illness. Repeat brain MRI on day 12 of illness showed resolution of the
splenial lesion. Serial diffusion tensor imaging (DTI) showed normal fractional
anisotropy values on resolution of splenial lesion indicating that MERS was
likely due to transient interstitial oedema with preservation of white matter
tracts. This is the first reported case of MERS following dengue virus infection.
It highlights the usefulness of performing serial DTI in understanding the
underlying pathogenesis of MERS. Our case report widens the neurological
manifestations associated with dengue infection and reiterates that patients with
MERS should be managed supportively as the splenial white matter tracts are
reversibly involved in MERS.
PMID- 27887979
TI - Protective effect of nicotinamide adenine dinucleotide (NAD+) against spinal cord
ischemia-reperfusion injury via reducing oxidative stress-induced neuronal
apoptosis.
AB - As previous studies demonstrate that oxidative stress and apoptosis play crucial
roles in ischemic pathogenesis and nicotinamide adenine dinucleotide (NAD+)
treatment attenuates oxidative stress-induced cell death among primary neurons
and astrocytes as well as significantly reduce cerebral ischemic injury in rats.
We used a spinal cord ischemia injury (SCII) model in rats to verify our
hypothesis that NAD+ could ameliorate oxidative stress-induced neuronal
apoptosis. Adult male rats were subjected to transient spinal cord ischemia for
60min, and different doses of NAD+ were administered intraperitoneally
immediately after the start of reperfusion. Neurological function was determined
by Basso, Beattie, Bresnahan (BBB) scores. The oxidative stress level was
assessed by superoxide dismutase (SOD) activity and malondialdehyde (MDA)
content. The degree of apoptosis was analyzed by deoxyuridinetriphosphate nick
end labeling (TUNEL) staining and protein levels of cleaved caspase-3 and AIF
(apoptosis inducing factor). The results showed that NAD+ at 50 or 100mg/kg
significantly decreased the oxidative stress level and neuronal apoptosis in the
spinal cord of ischemia-reperfusion rats compared with saline, as accompanied
with the decreased oxidative stress, NAD+ administration significantly restrained
the neuronal apoptosis after ischemia injury while improved the neurological and
motor function. These findings suggested that NAD+ might protect against spinal
cord ischemia-reperfusion via reducing oxidative stress-induced neuronal
apoptosis.
PMID- 27887980
TI - The interaction between state and dispositional emotions in decision making: An
ERP study.
AB - In this study, to investigate the influence of incidental emotions on decision
making in high-anxious individuals, participants were required to perform a
monetary gambling task. Behavioral and electroencephalography responses were
recorded to explore the stages of option assessment and outcome evaluation during
decision making, respectively. Incidental emotions were elicited by facial
expression pictures presented on the background, which included four conditions
(control, neutral, fearful, and happy). Results showed smaller feedback-related
negativity (FRN) amplitudes in high-anxious participants than low-anxious
participants in the control, neutral, and fearful conditions, but not in the
happy condition, for small outcomes. The P3 amplitudes were larger in high
anxious participants compared to their counterparts in the fearful and happy
conditions, but not in the other conditions. In short, the interaction effects
between trait anxiety and facial emotions manifested on the outcome evaluation
stage of decision making.
PMID- 27887981
TI - Predictors of Mortality in the Critically Ill Cirrhotic Patient: Is the Model for
End-Stage Liver Disease Enough?
AB - BACKGROUND: Critically ill cirrhotics require liver transplantation urgently, but
are at high risk for perioperative mortality. The Model for End-stage Liver
Disease (MELD) score, recently updated to incorporate serum sodium, estimates
survival probability in patients with cirrhosis, but needs additional evaluation
in the critically ill. The purpose of this study was to evaluate the predictive
power of ICU admission MELD scores and identify clinical risk factors associated
with increased mortality. STUDY DESIGN: This was a retrospective review of
cirrhotic patients admitted to the ICU between January 2011 and December 2014.
Patients who were discharged or underwent transplantation (survivors) were
compared with those who died (nonsurvivors). Demographic characteristics,
admission MELD scores, and clinical risk factors were recorded. Multivariate
regression was used to identify independent predictors of mortality, and measures
of model performance were assessed to determine predictive accuracy. RESULTS: Of
276 patients who met inclusion criteria, 153 were considered survivors and 123
were nonsurvivors. Survivor and nonsurvivor cohorts had similar demographic
characteristics. Nonsurvivors had increased MELD, gastrointestinal bleeding,
infection, mechanical ventilation, encephalopathy, vasopressors, dialysis, renal
replacement therapy, requirement of blood products, and ICU length of stay. The
MELD demonstrated low predictive power (c-statistic 0.73). Multivariate analysis
identified MELD score (adjusted odds ratio [AOR] = 1.05), mechanical ventilation
(AOR = 4.55), vasopressors (AOR = 3.87), and continuous renal replacement therapy
(AOR = 2.43) as independent predictors of mortality, with stronger predictive
accuracy (c-statistic 0.87). CONCLUSIONS: The MELD demonstrated relatively poor
predictive accuracy in critically ill patients with cirrhosis and might not be
the best indicator for prognosis in the ICU population. Prognostic accuracy is
significantly improved when variables indicating organ support (mechanical
ventilation, vasopressors, and continuous renal replacement therapy) are included
in the model.
PMID- 27887982
TI - Genotypic resistance of cytomegalovirus to antivirals in hematopoietic stem cell
transplant recipients from Portugal: A retrospective study.
AB - The aim of this study was to characterize Human Cytomegalovirus (HCMV) drug
resistance mutations in UL97 and UL54 genes in allogeneic hematopoietic stem cell
transplant (allo-HSCT) recipients in Portugal. We have performed a retrospective
study with 22 patients from a cohort of patients with different haematological
malignancies submitted to allo-HSCT between 2010 and 2014. Patients were selected
according to clinical and laboratory data of HCMV infection and management. HCMV
resistance mutations were characterized by sequencing of UL97 and UL54 genes.
Sequence data were compared with: 1) HCMV genome reference strain AD169; and also
2) UL97 from Merlin strain (GenBank: AY446894.2), and UL54 from TB40/E strain
(GenBank: ABV71585.1). Resistance mutations were identified in seven patients
(32%): five harboured resistance mutations in UL97: A594V (n = 2), C592G (n = 1),
L595W (n = 1), and C603W (n = 1); and two harboured resistance mutations in UL54:
P522S and L957F, one in each patient. Several natural polymorphisms and unknown
mutations were found in both UL97 and UL54, with the majority of the patients
harbouring more than one unknown mutation in UL97 but only one in UL54. No
simultaneous mutations were found. This is the first study in Portugal to
characterize HCMV UL97 and UL54 sequences and to identify HCMV drug-resistance
mutations in allo-HSCT patients. The UL97 resistance mutations found were amongst
the most frequent resistant mutations, while UL54 L957F mutation was here
reported for the first time in a clinical specimen. This information provides
important information regarding HCMV strains and antiviral resistance in our
population.
PMID- 27887983
TI - Method of evaluating respiratory induced organ motion by vector volume histogram.
AB - PURPOSE: Published organ motion data have been collected from measurements of a
limited number of points within the organ, the centroid, or the edge of the
organ. These are derived from the spatial characteristics of respiratory induced
motion; however, this approach does not consider non-rigid organ deformation. We
propose a novel quantitative method for evaluating respiratory induced organ
motion using Deformable Image Registration (DIR). METHOD: Two phases from a 4
dimensional computed tomography (4D CT) dataset at maximum inspiration and
expiration were each taken from five patients. The left and right lungs,
esophagus, stomach, spinal cord, and liver were manually contoured in the end
expiration phase. The hybrid deformable registration algorithm of the RayStation
treatment planning system (TPS) was used to deform the end-expiration phase to
the end-inspiration phase. From this, the deformation vector field (DVF) was
calculated. DVFs consist of DVFLR (left-right), DVFAP (anterior-posterior), and
DVFSI (superior-inferior) as separate files. We calculated the vector volume
histogram (VVH) and Lmax (maximum absolute vector of the organ) to evaluate every
vector for each individual organ. We also measured respiratory organ motion from
the position of the organ centroid in two phases. RESULTS: VVH enabled us to find
the absolute distance and volume of the organ contributing to motion points on
the curve. Organ motion using the centroid method was smaller than Lmax using
VVH. Using the centroid method, it is difficult to evaluate the deformable organ
motion. CONCLUSION: VVH may be a useful technique in evaluating organ volumetric
change during respiratory organ motion.
PMID- 27887984
TI - Impact of beta-hydroxy beta-methylbutyrate (HMB) on age-related functional
deficits in mice.
AB - beta-Hydroxy beta-methylbutyrate (HMB) is a metabolite of the essential amino
acid leucine. Recent studies demonstrate a decline in plasma HMB concentrations
in humans across the lifespan, and HMB supplementation may be able to preserve
muscle mass and strength in older adults. However, the impact of HMB
supplementation on hippocampal neurogenesis and cognition remains largely
unexplored. The purpose of this study was to simultaneously evaluate the impact
of HMB on muscle strength, neurogenesis and cognition in young and aged mice. In
addition, we evaluated the influence of HMB on muscle-resident mesenchymal
stem/stromal cell (Sca-1+CD45-; mMSC) function to address these cells potential
to regulate physiological outcomes. Three month-old (n=20) and 24 month-old
(n=18) female C57BL/6 mice were provided with either Ca-HMB or Ca-Lactate in a
sucrose solution twice per day for 5.5weeks at a dose of 450mg/kg body weight.
Significant decreases in relative peak and mean force, balance, and neurogenesis
were observed in aged mice compared to young (age main effects, p<=0.05). Short
term HMB supplementation did not alter activity, balance, neurogenesis, or
cognitive function in young or aged mice, yet HMB preserved relative peak force
in aged mice. mMSC gene expression was significantly reduced with age, but HMB
supplementation was able to recover expression of select growth factors known to
stimulate muscle repair (HGF, LIF). Overall, our findings demonstrate that while
short-term HMB supplementation does not appear to affect neurogenesis or
cognitive function in young or aged mice, HMB may maintain muscle strength in
aged mice in a manner dependent on mMSC function.
PMID- 27887985
TI - Insulin-like growth factor-1 protects SH-SY5Y cells against beta-amyloid-induced
apoptosis via the PI3K/Akt-Nrf2 pathway.
AB - Insulin-like growth factor-1 (IGF-1) shows protective effect against Abeta
induced cytotoxicity and apoptosis, but the underlying mechanisms are poorly
characterized. The present study was conducted to explore the mechanisms involved
in the beneficial effect of IGF-1 against Abeta-induced apoptosis in SH-SY5Y
cells. We found that pretreatment with IGF-1 attenuated Abeta25-35-induced loss
of cell viability and apoptosis in SH-SY5Y cells in a dose-dependent manner. In
addition, IGF-1 inhibited the generation of reactive oxygen species (ROS) and
increased the antioxidant activity in Abeta25-35-treated cells. Further, IGF-1
significantly promoted the nuclear translocation of Nrf2, and upregulated the
expression of its downstream gene heme oxygenase-1 (HO-1). Moreover, LY294002, a
specific PI3K inhibitor, was found to completely abolish the protective effect of
IGF-1 on Abeta25-35-induced apoptosis and ROS generation. Together, our findings
suggest that IGF-1 protects SH-SY5Y cells against Abeta25-35-induced cell injury
by scavenging ROS via the PI3K/Akt-Nrf2 signaling pathway.
PMID- 27887986
TI - Isolation of bacterial compartments to track movement of protein synthesis
factors.
AB - Aminoacyl-tRNA synthetases (AARSs) comprise an enzyme family that generates and
maintains pools of aminoacylated tRNAs, which serve as essential substrates for
protein synthesis. Many protein synthesis factors, including tRNA and AARSs also
have non-canonical functions. Particularly in mammalian cells, alternate
functions of AARSs have been associated with re-distribution in the cell to sites
that are removed from translation. Sub-fractionation methods for E. coli were
designed and optimized to carefully investigate re-localization of bacterial
AARSs and tRNA that might aid in conferring alternate activities. Cell
fractionation included isolation of the cytoplasm, periplasm, membrane, outer
membrane vesicles, and extracellular media. Specific endogenous proteins and RNAs
were probed respectively within each fraction via Western blots using antibodies
and by Northern blots with primers to unique regions of the nucleic acid.
PMID- 27887987
TI - Structural characterization of human aminoacyl-tRNA synthetases for translational
and nontranslational functions.
AB - Aminoacyl-tRNA synthetases (aaRSs) are enzymes that function at the first step of
translation, catalyzing the conjugation of amino acids to their cognate tRNAs for
protein synthesis. While preserving this essential role, higher eukaryotic aaRSs,
such as human cytoplasmic aaRSs, have developed other functions during evolution,
including angiogenesis, inflammation, development, tumorigenesis, etc. These
translational and nontranslational functions of aaRSs are attractive targets for
developing antibacterial, antifungal, anticancer agents and for treating other
human diseases. Structural characterization of aaRS functions in both categories
has deepened our understanding and provided insightful platform for further
structure-based drug design. The convergence of the mechanism of action, together
with their divergent functions, offers a possible protocol for studying these
features of aaRSs in general. To guide this objective in future, we provide here
a review on the methods used in structural analysis, which may be applied to
study this special group of housekeeping proteins.
PMID- 27887988
TI - In vitro and in vivo delivery of therapeutic proteins using cell penetrating
peptides.
AB - The failure of proteins to penetrate mammalian cells or target tumor cells
restricts their value as therapeutic tools in a variety of diseases such as
cancers. Recently, protein transduction domains (PTDs) or cell penetrating
peptides (CPPs) have been shown to promote the delivery of therapeutic proteins
or peptides into live cells. The successful delivery of proteins mainly depends
on their physicochemical properties. Although, linear cell penetrating peptides
are one of the most effective delivery vehicles; but currently, cyclic CPPs has
been developed to potently transport bioactive full-length proteins into cells.
Up to now, several small protein transduction domains from viral proteins
including Tat or VP22 could be fused to other peptides or proteins to entry them
in various cell types at a dose-dependent approach. A major disadvantage of PTD
fusion proteins is primary uptake into endosomal vesicles leading to inefficient
release of the fusion proteins into the cytosol. Recently, non-covalent complex
formation (Chariot) between proteins and CPPs has attracted a special interest to
overcome some delivery limitations (e.g., toxicity). Many preclinical and
clinical trials of CPP-based delivery are currently under evaluation. Generally,
development of more efficient protein transduction domains would significantly
increase the potency of protein therapeutics. Moreover, the synergistic or
combined effects of CPPs with other delivery systems for protein/peptide drug
delivery would promote their therapeutic effects in cancer and other diseases. In
this review, we will describe the functions and implications of CPPs for
delivering the therapeutic proteins or peptides in preclinical and clinical
studies.
PMID- 27887989
TI - Quantitative PET image reconstruction employing nested expectation-maximization
deconvolution for motion compensation.
AB - Bulk body motion may randomly occur during PET acquisitions introducing blurring,
attenuation-emission mismatches and, in dynamic PET, discontinuities in the
measured time activity curves between consecutive frames. Meanwhile, dynamic PET
scans are longer, thus increasing the probability of bulk motion. In this study,
we propose a streamlined 3D PET motion-compensated image reconstruction (3D-MCIR)
framework, capable of robustly deconvolving intra-frame motion from a static or
dynamic 3D sinogram. The presented 3D-MCIR methods need not partition the data
into multiple gates, such as 4D MCIR algorithms, or access list-mode (LM) data,
such as LM MCIR methods, both associated with increased computation or memory
resources. The proposed algorithms can support compensation for any periodic and
non-periodic motion, such as cardio-respiratory or bulk motion, the latter
including rolling, twisting or drifting. Inspired from the widely adopted point
spread function (PSF) deconvolution 3D PET reconstruction techniques, here we
introduce an image-based 3D generalized motion deconvolution method within the
standard 3D maximum-likelihood expectation-maximization (ML-EM) reconstruction
framework. In particular, we initially integrate a motion blurring kernel,
accounting for every tracked motion within a frame, as an additional MLEM
modeling component in the image space (integrated 3D-MCIR). Subsequently, we
replaced the integrated model component with a nested iterative Richardson-Lucy
(RL) image-based deconvolution method to accelerate the MLEM algorithm
convergence rate (RL-3D-MCIR). The final method was evaluated with realistic
simulations of whole-body dynamic PET data employing the XCAT phantom and real
human bulk motion profiles, the latter estimated from volunteer dynamic MRI
scans. In addition, metabolic uptake rate Ki parametric images were generated
with the standard Patlak method. Our results demonstrate significant improvement
in contrast-to-noise ratio (CNR) and noise-bias performance in both dynamic and
parametric images. The proposed nested RL-3D-MCIR method is implemented on the
Software for Tomographic Image Reconstruction (STIR) open-source platform and is
scheduled for public release.
PMID- 27887990
TI - WITHDRAWN: The new approach of multiple genome sequence parallel matching based
on GPU.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at
http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 27887991
TI - VCP/p97 regulates beta2AR quality control during receptor biosynthesis.
AB - GPCRs form signalling complexes with other receptors as part of dimers, G
proteins and effector partners. A proteomic screen to identify proteins that
associate with the beta2-adrenergic receptor (beta2AR) identified many of
components of the Endoplasmic-Reticulum-Associated Degradation (ERAD) quality
control system [1], including the valosin-containing protein (VCP/p97). Here, we
validated the interaction of VCP with co-expressed FLAG-beta2AR, demonstrating,
using an inducible expression system, that the interaction of FLAG-beta2AR and
VCP is not an artifact of overexpression of the beta2AR per se. We knocked down
VCP and noted that levels of FLAG-beta2AR were increased in cells with lower VCP
levels. This increase in the level of FLAG-beta2AR did not lead to an increase in
the level of functional receptor observed at the cell surface. Similarly,
inhibition of the proteasome lead to a dramatic increase in the abundance of TAP
beta2AR, while cellular responses again remained unchanged. Taken together, our
data suggests that a substantial proportion of the beta2AR produced is non
functional and VCP plays a key role in the maturation and trafficking of the
beta2AR as part of the ERAD quality control process.
PMID- 27887993
TI - ISG15: In Sickness and in Health.
AB - ISG15 is a type I interferon (IFN)-inducible gene encoding a protein with
pleiotropic functions, acting both as a soluble molecule and as a protein
modifier. Surprisingly, and despite the antiviral functions of ISG15 described in
mice, humans born with inactivating mutations of ISG15 do not present with any
overt viral phenotype, but are highly susceptible to environmental mycobacteria
and have autoinflammatory disease presentations. In vitro, ISG15 deficiency also
leads to persistently high levels of type I IFN-stimulated gene expression and to
increased resistance to all viruses tested to date. This suggests that ISG15
deficiency increases antiviral responses in humans, in stark contrast to
expectations based on mouse experiments. We discuss here the roles of each of the
forms of ISG15 in health and disease, as well as the differences between species.
PMID- 27887992
TI - Does elevated progesterone on day of oocyte maturation play a role in the racial
disparities in IVF outcomes?
AB - The aim of this study was to evaluate if premature progesterone elevation on the
last day of assisted reproduction technique stimulation contributes to racial
disparities in IVF outcome. A total of 3289 assisted reproduction technique
cycles were evaluated in Latino, Asian, African American, and white women. Live
birth was more likely in white women (42.6%) compared with Asian (34.8%) and
African American women (36.3%), but was similar to Latino women (40.7%). In all
racial groups, progesterone was negatively associated with live birth and the
negative effect of progesterone persisted when adjusting for confounders.
Although the effect of elevated progesterone was similar in all racial groups,
the prevalence of elevated progesterone differed. Progesterone > 1.5 ng/ml
occurred in only 10.6% of cycles in white women compared with 18.0% in Latino and
20.2% in Asian women. Progesterone > 2 ng/ml occurred in only 2.3% of cycles in
white women compared with 6.3% in Latino, 5.9% in Asian and 4.4% in African
American women. The increased prevalence of premature elevated progesterone
persisted when controlling for IVF stimulation parameters. In conclusion,
premature progesterone elevation had a negative effect on live birth in all
racial groups studied. The prevalence of elevated progesterone was higher in
racial minorities.
PMID- 27887994
TI - Tactile stimulation during sleep alters slow oscillation and spindle densities
but not motor skill.
AB - Studies using targeted memory reactivation have shown that presentation of
auditory or olfactory contextual cues during sleep can bias hippocampal
reactivations towards the preferential replay of the cue-associated material,
thereby resulting in enhanced consolidation of that information. If the same
cortical ensembles are indeed used for encoding and storage of a given piece of
information, forcing the sleeping brain to re-engage in task-intrinsic
information processing should disturb the natural ongoing consolidation processes
and therefore impair possible sleep benefits. Here we aimed at recreating an
integral part of the sensory experience of a motor skill in a daytime nap, by
means of a tactile stimulation. We hypothesized that tampering with the tactile
component of a motor skill during sleep would result in hindered performance at
retest, due to interference between the highly congruent incoming stimuli and the
core skill trace. Contrary to our predictions, the tactile stimulation did not
influence neither speed nor accuracy, when compared to natural sleep. However, an
exploratory sleep EEG analysis revealed stimulation-induced alterations in the
abundance and cortical topography of slow oscillations and spindles. These
findings suggest that despite the lack of a significant effect on motor behavior,
tactile stimulation induced changes in EEG features suggestive of a possible
uncoupling between the sleep oscillations thought to underlie consolidation
processes, i.e. slow oscillations and sleep spindles.
PMID- 27887995
TI - Chronic stress and hippocampal dendritic complexity: Methodological and
functional considerations.
AB - The current understanding of how chronic stress impacts hippocampal dendritic
arbor complexity and the subsequent relationship to hippocampal-dependent spatial
memory is reviewed. A surge in reports investigating hippocampal dendritic
morphology is occurring, but with wide variations in methodological detail being
reported. Consequently, this review systematically outlines the basic
neuroanatomy of relevant hippocampal features to help clarify how chronic stress
or glucocorticoids impact hippocampal dendritic complexity and how these changes
occur in parallel with spatial cognition. Chronic stress often leads to
hippocampal CA3 apical dendritic retraction first with other hippocampal regions
(CA3 basal dendrites, CA1, dentate gyrus, DG) showing dendritic retraction when
chronic stress is sufficiently robust or long lasting. The stress-induced
reduction in hippocampal CA3 apical dendritic arbor complexity often coincides
with impaired hippocampal function, such as spatial learning and memory. Yet,
when chronic stress ends and a post-stress recovery period ensues, the atrophied
dendritic arbors and poor spatial abilities often improve. However, this process
differs from a simple reversal of chronic stress-induced deficits. Recent reports
suggest that this return to baseline-like functioning is uniquely different from
non-stressed controls, emphasizing the need for further studies to enhance our
understanding of how a history of stress subsequently alters an organism's
spatial abilities. To provide a consistent framework for future studies, this
review concludes with an outline for a quick and easy reference on points to
consider when planning chronic stress studies with the goal of measuring
hippocampal dendritic complexity and spatial ability.
PMID- 27887996
TI - Effects of erythropoietin on neonatal hypoxia-ischemia brain injury in rat model.
AB - BACKGROUND: Hypoxic-ischemic (HI) injury to the developing brain remains a major
cause of morbidity. To date, few therapeutic strategies could provide complete
neuroprotection. Erythropoietin (EPO) has been shown to be beneficial in several
models of neonatal HI. This study examines the effect of treatment with
erythropoietin on postnatal day 2 (P2) rats introduced with HI injury. METHOD:
Rats at P2 were randomized into four groups: sham, bilateral carotid artery
occlusion (BCAO), BCAO + early EPO, and BCAO + late EPO groups. Pups in each
group were injected with either saline or EPO (5000U/kg) intraperitoneally once
at immediately (early) or 48h (late) after HI induction. Body weight was assessed
at P2 before and day 7 after HI. Mortality Rate was assessed at 24h, 48h and 72h
after HI and brain water content was assessed at 72h. Brain weight and expression
of myelin basic protein (MBP) were assessed at day 7 and day 14. At day 31 to 35
following HI insult, neurological behavior function was assessed via Morris water
maze (MWM) test. RESULT: HI cause significant higher mortality in male than in
female (P=0.0445). Among the surviving animal, HI affect significantly the body
growth, brain growth, MBP expression, and neurological behavior. EPO treatments
at both early and late time points significantly benefit the rats in injury
recovery, in which they promoted weight gains, reduced brain edema, as well as
improved spatial learning ability and memory. CONCLUSION: We demonstrated a
single dose of EPO at 5000U/kg immediately or 48h after HI injury had significant
benefit for the P2 rats in injury recovery, and there was no adverse effect
associated with either EPO treatment.
PMID- 27887997
TI - A role for leptin-regulated neurocircuitry in subordination stress.
AB - The visible burrow system produces a distinct combination of psychological and
metabolic stress on, primarily, subordinate individuals that results in
pronounced physiologic and behavioral dysfunction. However, the mechanisms
underlying the consequences of chronic subordination stress are largely unknown.
The simplest mechanistic explanation is that adaptations within brain systems
with overlapping functions of both psychological and metabolic control provide
immediate benefits that result in lasting susceptibility to diseases, disorders,
and increased mortality rates in subordinates. Circuits regulated by leptin adapt
to fluctuating levels of energy storage, such that the loss of leptin action
within leptin-regulated neurocircuitry results in dysfunction in physiologic and
behavioral systems implicated in the consequences of chronic social
subordination. Thus, leptin-regulated neurocircuitry may provide a window into
understanding the consequences of social subordination stress. This review
examines the neural systems of leptin physiology implicated in social
subordination stress: energy balance, motivation, HPA axis, and glycemic control.
PMID- 27887999
TI - Rates and Technique for Oophoropexy in Pediatric Ovarian Torsion: A Single
Institution Case Series.
AB - STUDY OBJECTIVE: Ovarian torsion (OT) is uncommon, but can result in loss of
reproductive function. Traditionally managed using adnexectomy, torsed adnexae
are now being conserved, increasing the potential for recurrent OT. As a result,
some experts suggest oophoropexy (OP) to prevent recurrence. We report on a
series of 11 patients who underwent OP. DESIGN, SETTING, PARTICIPANTS,
INTERVENTIONS, AND MAIN OUTCOME MEASURES: A retrospective case series was
conducted from 2004 to 2013 to identify patients younger than the age of 18 years
with OT. From this, data for patients with OP were extracted for detailed review.
RESULTS: We identified 97 patients with OT; 6 of 97 (6.2%) had recurrent OT. The
rate of recurrence was higher (14.8%, 4/27) in the group with torsion without an
adnexal mass. Eleven of 97 patients (11.3%) underwent OP. The mean age of
patients with OP was 8.8 years. Nine patients had normal adnexae at initial
torsion. Five of 11 had OP during their initial procedure. OP was most commonly
performed for long utero-ovarian ligaments (n = 6), recurrence (n = 4), or
bilateral OT (n = 2). Eight of 8 patients with follow-up ultrasound imaging after
torsion showed at least 1 marker of normal ovarian function. One of 11 patients
(9%) had a recurrence of OT of an oophoropexied ovary. There were no
complications due to the OP portion of the procedure. CONCLUSION: In our series
of OT, a small percentage of patients underwent prophylactic OP. Recurrence might
still occur after OP. It seems reasonable to offer OP to patients at higher risk
of recurrent OT although level 1 evidence is lacking. Future research should
focus on techniques and long-term outcomes of OP.
PMID- 27888000
TI - Enhanced laboratory capacity development: a boost for effective tuberculosis
control in resource-limited settings.
AB - Both routine and research tuberculosis (TB) laboratory capacity urgently need to
be expanded in large parts of Sub-Saharan Africa. In 2009, the Centre de
Recherches Medicales de Lambarene (CERMEL) took a strategic decision to expand
its activities by building TB laboratory capacity to address research questions
and to improve routine diagnostic and treatment capacity. Over the past 7 years,
a standard laboratory has been developed that is contributing significantly to TB
diagnosis, treatment, and control in Gabon; training has also been provided for
TB research staff in Central Africa. CERMEL has a cordial relationship with the
Gabon National TB Control Programme (PNLT), which has culminated in a successful
Global Fund joint application. This endeavour is considered a model for similar
developments needed in areas of high TB prevalence and where TB control remains
poor to date.
PMID- 27887998
TI - The intricacies of the renin-angiotensin-system in metabolic regulation.
AB - Over recent years, the renin-angiotensin-system (RAS), which is best-known as an
endocrine system with established roles in hydromineral balance and blood
pressure control, has emerged as a fundamental regulator of many additional
physiological and pathophysiological processes. In this manuscript, we celebrate
and honor Randall Sakai's commitment to his trainees, as well as his contribution
to science. Scientifically, Randall made many notable contributions to the
recognition of the RAS's roles in brain and behavior. His interests, in this
regard, ranged from its traditionally-accepted roles in hydromineral balance, to
its less-appreciated functions in stress responses and energy metabolism. Here we
review the current understanding of the role of the RAS in the regulation of
metabolism. In particular, the opposing actions of the RAS within adipose tissue
vs. its actions within the brain are discussed.
PMID- 27888001
TI - Antioxidants and HNE in redox homeostasis.
AB - Under physiological conditions, cells are in a stable state known as redox
homeostasis, which is maintained by the balance between continuous ROS/RNS
generation and several mechanisms involved in antioxidant activity. ROS
overproduction results in alterations in the redox homeostasis that promote
oxidative damage to major components of the cell, including the biomembrane
phospholipids. Lipid peroxidation subsequently generates a diverse set of
products, including alpha,beta-unsaturated aldehydes. Of these products, 4
hydroxy-2-nonenal (HNE) is the most studied aldehyde on the basis of its
involvement in cellular physiology and pathology. This review summarizes the
current knowledge in the field of HNE generation, metabolism, and detoxification,
as well as its interactions with various cellular macromolecules (protein,
phospholipid, and nucleic acid). The formation of HNE-protein adducts enables HNE
to participate in multi-step regulation of cellular metabolic pathways that
include signaling and transcription of antioxidant enzymes, pro-inflammatory
factors, and anti-apoptotic proteins. The most widely described roles for HNE in
the signaling pathways are associated with its activation of kinases, as well as
transcription factors that are responsible for redox homeostasis (Ref-1, Nrf2,
p53, NFkappaB, and Hsf1). Depending on its level, HNE exerts harmful or
protective effects associated with the induction of antioxidant defense
mechanisms. These effects make HNE a key player in maintaining redox homeostasis,
as well as producing imbalances in this system that participate in aging and the
development of pathological conditions.
PMID- 27888002
TI - Polymorphisms in MIR499A and MIR125A gene are associated with autoimmune thyroid
diseases.
AB - BACKGROUND: Single nucleotide polymorphisms (SNPs) of the miR-146a, miR-499a and
miR-125a have been shown to be associated with the susceptibility to several
autoimmune diseases. This study was conducted to identify the association of SNPs
rs2910164, rs57095329, rs3746444 and rs12976445 with autoimmune thyroid diseases
(AITDs) in a Chinese Han population. METHODS: We enrolled 1061 patients with
AITDs, including 701 patients with Graves' disease (GD) and 360 patients with
Hashimoto's thyroiditis (HT), and 938 healthy individuals for a case-control
genetic association study. Four SNPs were selected for genotyping by multiplex
polymerase chain reaction and ligase detection reaction. RESULTS: The frequencies
of rs3746444 genotypes in patients with AITD and GD differed significantly from
those in the controls. The frequencies of rs12976445 genotypes in patients with
HT differed significantly from those in the controls. The frequencies of allele C
in HT groups were significantly higher than those in control group. For the
rs3746444 polymorphism, genetic associations between the combinational genotype
and AITD/GD risk were observed in the dominant model, recessive model, and
overdominant model. For the rs12976445 polymorphism, genetic associations between
the combinational genotype and HT risk were also found in the dominant model and
overdominant model. Moreover, gene-sex interactions were identified by GMDR and 2
* 2 crossover analysis. CONCLUSIONS: Our results suggest rs3746444 (miR-499a) and
rs12976445 (miR-125a) associated with AITD susceptibility and potential gene-sex
interactions between the four polymorphisms and AITD.
PMID- 27888003
TI - Small non-coding RNAs-based bone regulation and targeting therapeutic strategies.
AB - Small non-coding RNAs, which are 20-25 nucleotide ribonucleic acids, have emerged
as an important transformation in the biological evolution over almost three
decades. microRNAs (miRNAs) and short interfering RNAs (siRNAs) are two
significant categories of the small RNAs that exert important effects on bone
endocrinology and skeletology. Therefore, clarifying the expression and function
of these important molecules in bone endocrine physiology and pathology is of
great significance for improving their potential therapeutic value for metabolism
associated bone diseases. In the present review, we highlight the recent advances
made in understanding the function and molecular mechanism of these small non
coding RNAs in bone metabolism, especially their potentially therapeutic values
in bone-related diseases.
PMID- 27888004
TI - Unliganded estrogen receptor alpha regulates vascular cell function and gene
expression.
AB - The unliganded form of the estrogen receptor is generally thought to be inactive.
Our prior studies, however, suggested that unliganded estrogen receptor alpha
(ERalpha) exacerbates adverse vascular injury responses in mice. Here, we show
that the presence of unliganded ERalpha decreases vascular endothelial cell (EC)
migration and proliferation, increases smooth muscle cell (SMC) proliferation,
and increases inflammatory responses in cultured ECs and SMCs. Unliganded ERalpha
also regulates many genes in vascular ECs and mouse aorta. Activation of ERalpha
by E2 reverses the cell physiological effects of unliganded ERalpha, and promotes
gene regulatory effects that are predicted to counter the effects of unliganded
ERalpha. These results reveal that the unliganded form of ERalpha is not inert,
but significantly impacts gene expression and physiology of vascular cells.
Furthermore, they indicate that the cardiovascular protective effects of estrogen
may be connected to its ability to counteract these effects of unliganded
ERalpha.
PMID- 27888005
TI - A simplified, semi-quantitative structural lung disease computed tomography
outcome during quiet breathing in infants with cystic fibrosis.
AB - Chest tomography (CT) using the controlled ventilation technique (CTCV) is a
sensitive method to detect features of lung cystic fibrosis (CF) disease in
infants with CF. However, this technique needs sedation and is not easily applied
for the clinician who may need, in the follow-up, to evaluate more precisely lung
disease in infants with CF. Thus, our study aims to evaluate if CT assessment of
lung disease, without the need of sedation, during quiet breathing, using a semi
quantitative scoring system, is reproducible and may discriminate infants with CF
from control infants at an early stage of the lung disease. 39 infants with CF
underwent a first CT at 10.3 [9.4, 11.4] weeks of age. Among them, 33 underwent a
second CT at 56.1 [53.1, 59.6] weeks of age. CF scoring images of the different
scanner variables, i.e. bronchial wall thickening, bronchiectasis, mucus plugging
and air trapping were compared to CT scoring obtained in 2 different groups of
control infants of similar age without lung disease. Among all the constituents
of the scoring, air trapping is the only parameter discriminating infants with CF
from control infants at both ages in our study (p<=0.01). Moreover, air trapping
explains 90% of the total score variability with r2=0.89 with a good concordance
after re-scoring in blind, 6months apart, by the same operator for both infant
populations: ICC=0.98 [0.97, 0.99]. In this study, we propose that CT during
quiet breathing could be a useful clinical tool to evaluate the early presence of
gas trapping in infants with CF.
PMID- 27888006
TI - Physicochemical characteristics and in vitro digestibility of potato and cassava
starches under organic acid and heat-moisture treatments.
AB - A combination of acid (citric acid or lactic acid) and heat-moisture treatment
was used to modify cassava and potato starches in this study. Changes in
physicochemical properties and in vitro digestibility of the treated starches
were investigated. The cassava starch contained 17.0% amylose and possessed A
type crystallinity, whereas the potato starch had 27.4% amylose and possessed B
type crystallinity. After acid and heat-moisture treatment, the crystalline
structure of the cassava starch remained unchanged (A type), while the
crystalline structure of the potato starch changed from B type to the C (B+A)
type. The acid and heat-moisture treatment increased gelatinization temperature,
peak and final viscosities of cassava starch but reduced peak and breakdown
viscosities of the potato starch. After acid and heat-moisture treatment, rapid
digestible starch contents of the treated cassava and potato starches were
significantly reduced. However, resistant starch (RS) contents of the treated
starches significantly increased as compared to the native starches. Citric acid
was found to have high impact on formation of RS in starches. The RS contents of
cassava and potato starches obtained under the citric acid and heat-moisture
treatment were 40.2% and 39.0%, respectively, two times higher than those of the
native starches.
PMID- 27888007
TI - HRI, a stress response eIF2alpha kinase, exhibits structural and functional
stability at high temperature and alkaline conditions.
AB - The Heme Regulated Inhibitor (HRI) is a key regulator of protein synthesis in
mammalian cells. Once activated under heme-deficiency and other stress
conditions, it phosphorylates the alpha subunit of eukaryotic initiation factor 2
(eIF2alpha) leading to inhibition of protein synthesis. In the present study, our
objective was to establish the structural and functional credentials of this
kinase so as to qualify it as a stress responsive eIF2alpha kinase. When the
catalytic kinase domain of the HRI (HRI.CKD) protein was subjected to high
temperature, 45 degrees C (above mammalian heat shock temperature), it could
still phosphorylate the substrate, indicating its potential as a stress response
kinase. At a temperature beyond 45 degrees C, loss in secondary structure of the
HRI.CKD is attributable to loss of its function. Furthermore, no significant
structural changes were observed at the broad pH range of 3.0--10.0. The HRI.CKD
incubated at any pH between 8.0-10.0, exhibited more than 60% of its kinase
activity, demonstrating structural and functional stability of the kinase at an
alkaline pH. These data taken together establish that the structural stability of
this kinase at high temperature and alkaline conditions is due to conservation of
its secondary structure and that the resulting functional activity qualifies this
kinase as a stress responsive kinase.
PMID- 27888009
TI - A novel approach for the chromatographic purification and peptide mass
fingerprinting of urinary free light chains.
AB - We describe a chromatographic approach for the purification of urinary free light
chains (FLCs) viz., lambda free light chains (lambda-FLCs) and kappa free light
chains (kappa-FLCs). Isolated urinary FLCs were analyzed by SDS-PAGE,
immunoblotting and mass spectrometry (MS). The relative molecular masses of
lambda-FLC and kappa-FLC are 22,933.397 and 23,544.336Da respectively. Moreover,
dimer forms of each FLC were also detected in mass spectrum which corresponds to
45,737.747 and 47,348.028Da respectively for lambda-FLCs and kappa-FLCs. Peptide
mass fingerprint analysis of the purified lambda-FLCs and kappa-FLCs has yielded
peptides that partially match with known light chain sequences viz., gi|218783338
and gi|48475432 respectively. The tryptic digestion profile of isolated FLCs
infers the exclusive nature of them and they may be additive molecules in the
dictionary of urinary proteins. This is the first report of characterization and
validation of FLCs from large volume samples by peptide sequencing. This simple
and cost-effective approach to purification of FLCs, together with the easy
availability of urine samples make the large-scale production of FLCs possible,
allowing exploration of various bioclinical as well as biodiagnostic
applications.
PMID- 27888008
TI - Extracellular expression of alkali tolerant xylanase from Bacillus subtilis
Lucky9 in E. coli and application for xylooligosaccharides production from agro
industrial waste.
AB - An alkali tolerant xylanase gene from Bacillus subtilis Lucky9 was cloned and
extracellular expressed in E. coli BL21. Xylanase amino acid sequence showed 99%
identity with xylanase sequence from Bacillus subtilis 168, and was belonged to
glycoside hydrolase family 11. The recombinant E. coli (pET-pelB-xynLC9)
containing pelB signal peptide produced extracellular xylanase of 436.5U/mL for
8h, which was used arabinose as extra carbon source and inducer for enhancing
extracellular production. The extracellular xylanase was determined by SDS-PAGE
with a relative molecular mass of 21kDa. The recombinant xylanase was optimally
activity at pH 6.5 and 60 degrees C. The xylanase exhibited 80% residual activity
over a broad pH range of 6.0-9.0 for 24h. Thermostability studies showed that
xylanase retained 60% residual activity after 2h at 60 degrees C. The main end
products of hydrolysis of beech-wood xylan and corncob by the extracellular
xylanase were xylobiose and xylotriose. This extracellular xylanase without
purification is a suitable candidate for application in the industrial production
of xylooligosaccharides from agro-industrial waste for use as prebiotics.
PMID- 27888010
TI - Indole-3-acetic acid/diol based pH-sensitive biological macromolecule for
antibacterial, antifungal and antioxidant applications.
AB - Indole-3-acetic acid (IAA)/diol based pH-sensitive biopolymeric hydrogels with
tunable biological properties (cytotoxicity, anti-oxidant and anti-fungal) have
been synthesized via condensation polymerization. The present study focused on
the synthesis of heterocyclic hydrogel using citric acid (CA), indole-3-acetic
acid (IAA) and diethylene glycol (DEG) by condensation polymerization. The
hydrogels revealed a pH-sensitive swelling behaviour, with increased swelling in
acidic media, then turns to decreased the swelling in the basic media. The
hydrogel samples were tested for antifungal activity against Aspergillus
fumigates, Rhizopusoryzae and Candida albicans at different concentrations using
ketoconazole as positive control and DMSO as negative control for antifungal
activity. Antioxidant activity increasing nature in DPPH than NO radical compared
with rutin and confirmed non toxic property using cytotoxicity analysis. The
biopolymeric hydrogels were characterized by Fourier transform infrared (FT-IR)
spectroscopy, 1H NMR,13C NMR, TGA, DSC followed by scanning electron microscopy
(SEM). Such hydrogels with antioxidant properties is recommended for medical
applications such as bandages, catheters, drains and tubes to prevent infection.
PMID- 27888012
TI - Percutaneous Repair of a Complex Periprosthetic Aortic Leak.
PMID- 27888011
TI - Antiproliferative and ultrastructural effects of phenethylamine derivatives on
promastigotes and amastigotes of Leishmania (Leishmania) infantum chagasi.
AB - Leishmania (Leishmania) infantum chagasi is one of the agents that cause visceral
leishmaniasis. This disease occurs more frequently in third world countries, such
as Brazil. The treatment is arduous, and is dependent on just a few drugs like
the antimonial derivatives and amphotericin B. Moreover, these drugs are not only
expensive, but they can also cause severe side effects and require long-term
treatment. Therefore, it is very important to find new compounds that are
effective against leishmaniasis. In the present work we evaluated a new group of
synthetic amides against the promastigote and amastigote forms of L. infantum
chagasi. The results showed that one of these amides in particular, presented
very effective activity against the promastigotes and amastigotes of L. infantum
chagasi at low concentrations and it also presented low toxicity for mammal
cells, which makes this synthetic amide a promising drug for combating
leishmaniasis.
PMID- 27888013
TI - Epicardial Adipose Tissue in the General Middle-aged Population and Its
Association With Metabolic Syndrome.
AB - INTRODUCTION AND OBJECTIVES: There is currently increasing interest in epicardial
adipose tissue (EAT) as a marker of cardiovascular disease. Our purpose was to
describe EAT, measured by transthoracic echocardiography, and to assess its
association with metabolic syndrome (MS) in the RIVANA population-based study.
METHODS: Physical examination was performed in 880 participants aged 45 to 74
years (492 of them with MS according to the harmonized definition). Fasting
glucose, high-density lipoprotein cholesterol, triglyceride, and C-reactive
protein concentrations were determined in a blood sample. In all participants,
EAT thickness was measured with transthoracic echocardiography at end-systole.
RESULTS: Among participants without MS, the prevalence of EAT >= 5mm
significantly increased with age (OR > 65 years vs 45-54 years=8.22; 95%CI, 3.90
17.35; P for trend<.001). Increasing EAT quintiles were significantly associated
with MS (OR fifth quintile vs first quintile=3.26; 95%CI, 1.59-6.71; P for
trend=.001). Considering the different MS criteria, increasing quintiles of EAT
were independently associated with low high-density lipoprotein cholesterol (OR
fifth quintile vs first quintile=2.65; 95%CI, 1.16-6.05; P for trend=.028), high
triglycerides (OR fifth quintile vs first quintile=2.22; 95%CI, 1.26-3.90; P for
trend=.003), and elevated waist circumference (OR fifth quintile vs first
quintile=6.85; 95%CI, 2.91-16.11; P for trend<.001). CONCLUSIONS: In a subsample
of the general population, EAT measured by echocardiography increased
significantly and independently with age. Increased EAT thickness was
independently associated with MS and with low high-density lipoprotein
cholesterol, high triglycerides, and elevated waist circumference as individual
criteria.
PMID- 27888015
TI - Early and Long-Term Impaired T Lymphocyte Immune Reconstitution after Cord Blood
Transplantation with Antithymocyte Globulin.
AB - Immune reconstitution is crucial to the success of allogeneic hematopoietic stem
cell transplantation. Umbilical cord blood transplantation (UCBT) has been
associated with delayed immune reconstitution. We characterized the kinetics and
investigated the risk variables affecting recovery of the main lymphocyte subsets
in 225 consecutive pediatric and adult patients (males, n = 126; median age, 15;
range, .3 to 60; interquartile range, 4 to 35) who underwent myeloablative single
UCBT between 2005 and 2015 for malignant and nonmalignant disorders. Low CD4+ and
CD8+ T cell counts were observed up to 12 months after UCBT. In contrast, B and
natural killer cells recovered rapidly early after transplantation. In a
multivariate regression model, factors favoring CD4+ T cell recovery >= 200
cells/uL were lower dose antithymocyte globulin (ATG) (hazard ratio [HR], 3.93;
95% confidence interval [CI], 2.3 to 5.83; P = .001), negative recipient
cytomegalovirus (CMV) serostatus (HR, 3.76; 95% CI, 1.9 to 5.74; P = .001), and
younger age (HR, 2.61; 95% CI, 1.01 to 3.47; P = .03). Factors favoring CD8+ T
cell recovery >= 200 cells/uL were lower dose ATG (HR, 3.03; 95% CI, 1.4 to 5.1;
P = .03) and negative recipient CMV serostatus (HR, 1.9; 95% CI, 1.63 to 2.15; P
= .01). Our results demonstrate the significant negative impact of ATG on
lymphocyte recovery. A reduction of the dose or omission of ATG could improve
immune reconstitution and perhaps reduce opportunistic infections after UCBT.
PMID- 27888014
TI - Nonmyeloablative Haploidentical Bone Marrow Transplantation with Post
Transplantation Cyclophosphamide for Pediatric and Young Adult Patients with High
Risk Hematologic Malignancies.
AB - Lower-intensity conditioning regimens for haploidentical blood or marrow
transplantation (BMT) are safe and efficacious for adult patients with
hematologic malignancies. We report data for pediatric/young adult patients with
high-risk hematologic malignancies (n = 40) treated with nonmyeloablative
haploidentical BMT with post-transplantation cyclophosphamide from 2003 to 2015.
Patients received a preparative regimen of fludarabine, cyclophosphamide, and
total body irradiation. Post-transplantation immunosuppression consisted of
cyclophosphamide, mycophenolate mofetil, and tacrolimus. Donor engraftment
occurred in 29 of 32 (91%), with median time to engraftment of neutrophils
>500/uL of 16 days (range, 13 to 22) and for platelets >20,000/uL without
transfusion of 18 days (range, 12 to 62). Cumulative incidences of acute graft
versus-host disease (GVHD) grades II to IV and grades III and IV at day 100 were
33% and 5%, respectively. The cumulative incidence of chronic GVHD was 23%, with
7% moderate-to-severe chronic GVHD, according to National Institutes of Health
consensus criteria. Transplantation-related mortality (TRM) at 1 year was 13%.
The cumulative incidence of relapse at 2 years was 52%. With a median follow-up
of 20 months (range, 3 to 148), 1-year actuarial overall and event-free survival
were 56% and 43%, respectively. Thus, we demonstrate excellent rates of
engraftment, GVHD, and TRM in pediatric/young adult patients treated with this
regimen. This approach is a widely available, safe, and feasible option for
pediatric and young adult patients with high-risk hematologic malignancies,
including those with a prior history of myeloablative BMT and/or those with
comorbidities or organ dysfunction that preclude eligibility for myeloablative
BMT.
PMID- 27888017
TI - Circulating CXCR5+CD4+ T cells assist in the survival and growth of primary
diffuse large B cell lymphoma cells through interleukin 10 pathway.
AB - Diffuse large B cell lymphoma (DLBCL) is a common and aggressive cancer caused by
the malignant transformation of B cells. Although it has been established that
the follicular helper T (Tfh) cells play a central role in B cell development,
little information is available on their involvement in DLBCL pathogenesis. We
studied the role of the peripheral Tfh equivalent, the CXCR5+ CD4+ T cells, in
DLBCL. Data showed that compared to CXCR5- CD4+ T cells, CXCR5+ CD4+ T cells were
significantly more effective at promoting the proliferation as well as inhibiting
the apoptosis of primary autologous DLBCL tumor cells. Surprisingly, we found
that at equal cell numbers, CXCR5+ CD4+ T cells in DLBCL patients secreted
significantly less interleukin (IL)-21 than CXCR5- CD4+ T cells, while the level
of IL-10 secretion was significant elevated in the CXCR5+ compartment compared to
the CXCR5- compartment. Neutralization of IL-10 in the primary DLBCL-CXCR5+ CD4+
T cell coculture compromised the CXCR5+ CD4+ T cell-mediated pro-tumor effects,
in a manner that was dependent on the concentration of anti-IL-10 antibodies. The
CXCR5+ compartment also contained significantly lower frequencies of cytotoxic
CD4+ T cells than the CXCR5- compartment. In conclusion, our investigations
discovered a previously unknown pro-tumor role of CXCR5-expressing circulating
CD4+ T cells, which assisted the survival and proliferation of primary DLBCL
cells through IL-10.
PMID- 27888016
TI - Post-Transplantation Cyclophosphamide and Ixazomib Combination Rescues Mice
Subjected to Experimental Graft-versus-Host Disease and Is Superior to Either
Agent Alone.
AB - Lapses in the prevention of graft-versus-host disease (GVHD) after allogeneic
hematopoietic stem cell transplantation (HSCT) warrant novel approaches. Such
approaches include, among others, the use of post-transplantation
cyclophosphamide (PTC) and proteasome inhibitors. Although PTC alone consistently
produces low rates of chronic GVHD, the incidence of acute GVHD remains
significant. Inversely, prolonged post-transplantation administration of
proteasome inhibitors carries a risk of paradoxical aggravation of GVHD. We
examined whether the combination of cyclophosphamide and ixazomib addresses the
limitations of each of these agents when used alone to prevent GVHD in mice
subjected to allogeneic HSCT across MHC barriers. We chose ixazomib, an orally
bioavailable proteasome inhibitor, because of its favorable physiochemical
characteristics. The combination of cyclophosphamide and ixazomib improved
overall survival of mice in comparison to an untreated control group and to
groups receiving either cyclophosphamide alone or ixazomib alone. Furthermore,
cyclophosphamide prevented the surge of IL-1beta, GVHD aggravation, and sudden
death associated with prolonged administration of ixazomib after HSCT. Finally,
we demonstrated that although ixazomib was administered before cyclophosphamide,
it did not impair the preferential depletion of proliferating as opposed to
resting donor T cells. Our data suggest that the combination of cyclophosphamide
and ixazomib for the prevention of GVHD after allogeneic HSCT is promising and
merits further investigation in clinical trials.
PMID- 27888018
TI - 1400W ameliorates acute hypobaric hypoxia/reoxygenation-induced cognitive
deficits by suppressing the induction of inducible nitric oxide synthase in rat
cerebral cortex microglia.
AB - Nitric oxide (NO) is involved in neuronal modifications, and overproduction of NO
contributes to memory deficits after acute hypobaric hypoxia-reoxygenation. This
study investigated the ability of the iNOS inhibitor 1400W to counteract spatial
memory deficits following acute hypobaric hypoxia-reoxygenation, and to affect
expression of NOS, NO, 3-NT and MDA production, and apoptosis in rat cerebral
cortex. We also used primary rat microglia to investigate the effect of 1400W on
expression of NOS, NO, 3-NT and MDA production, and apoptosis. Acute hypobaric
hypoxia-reoxygenation impaired spatial memory, and was accompanied by activated
microglia, increased iNOS expression, NO, 3-NT and MDA production, and neuronal
cell apoptosis in rat cerebral cortex one day post-reoxygenation. 1400W treatment
inhibited iNOS expression without affecting nNOS or eNOS. 1400W also reduced NO,
3-NT and MDA production, and prevented neuronal cell apoptosis in cerebral
cortex, in addition to reversing spatial memory impairment after acute hypobaric
hypoxia-reoxygenation. Hypoxia-reoxygenation activated primary microglia, and
increased iNOS and nNOS expression, NO, 3-NT, and MDA production, and apoptosis.
Treatment with 1400W inhibited iNOS expression without affecting nNOS, reduced
NO, 3-NT and MDA production, and prevented apoptosis in primary microglia. Based
on the above findings, we concluded that the highly selective iNOS inhibitor
1400W inhibited iNOS induction in microglial cells, and reduced generation of NO,
thereby mitigating oxidative stress and neuronal cell apoptosis in the rat
cerebral cortex, and improving the spatial memory dysfunction caused by acute
hypobaric hypoxia-reoxygenation.
PMID- 27888020
TI - Neural correlates of affective empathy and reinforcement learning in boys with
conduct problems: fMRI evidence from a gambling task.
AB - BACKGROUND: Conduct problems (CP) comprise abnormal behaviors associated with
aberrant aspects of affective empathy as well as learning. However, behavioral
measures for affective empathy are challenging, and previous results concerning
learning in patients with CP are inconsistent. METHODS: Nineteen boys with CP and
24 typically developing (TD) boys aged 11-17 years (M=14.34, SD=1.93)
participated in the study. An ultimatum-game was applied in order to elicit the
feeling of like or dislike towards the opponent for a subsequent gambling task,
which was played by the opponents (OTHER-condition) and by the participants
themselves (SELF-condition). Functional MRI data were recorded throughout the
experiment. RESULTS: In accordance with the model of insensitivity to punishment,
hypo-activation of the left amygdala, left anterior insula, ventral medial
prefrontal cortex (MPFC), and bilateral temporo-parietal junction (TPJ) was
observed as a response to losing in participants with CP during the SELF
condition. Callous-unemotional (CU)-traits correlated negatively with activation
of amygdala and right TPJ. During the OTHER-condition, TD participants showed
activation in brain areas associated with theory of mind (right TPJ, left IFG),
and affect regulation (right DLPFC) rather than areas associated with affective
empathy. This pattern was not found in adolescents with CP. Moreover, and
independently of individual characteristics of their opponents, adolescents with
CP demonstrated reward-associated activation (ventral striatum) observing others
win, which was positively correlated with CU-traits. This may be interpreted in
line with the theory of reward dominance. CONCLUSIONS: The current study provides
support for the theory of abnormal learning processing in adolescents with CP
which yields implications for further research as well as clinical practice. The
gambling task did not activate affective empathy networks, but was specific for
cognitive empathy, inhibition, and affect regulation.
PMID- 27888022
TI - Intentions and barriers toward breastfeeding among Jordanian mothers-A cross
sectional descriptive study using quantitative method.
AB - PROBLEM/BACKGROUND: Breastfeeding is very important for both mother and infant.
Within Jordanian hospitals, intentions and barriers to breastfeeding have not
been addressed effectively during preparing policies in routine antenatal care or
in maternal and child health care. AIM: To identify the intentions and barriers
toward breastfeeding among Jordanian mothers with a specific focus on women from
low income circumstances. METHODS: A cross-sectional descriptive study using
quantitative methods was adopted in this study. Data was collected via the
Modified Infant Feeding Intention Scale (MIFIS). FINDINGS: Women reported that
for the most part their intention to feed their babies was using formula milk.
However, they reported a desire to breastfeed but this intention could be
hindered due to limited social support and challenges related to work
arrangements. Further, participants also reported concerns regarding perceived
pain that breastfeeding may cause and concerns regarding changes in their body
shape and weight as a result of breastfeeding. CONCLUSION: Views toward
breastfeeding among Jordanian women are not homogeneous. Policymakers and nurse
educators should be cognizant of findings from this study and use them as
guidelines for decision making. Efforts to improve intention toward breastfeeding
can be achieved through structured and targeted educational programs for women
from low income circumstances, and by modifying policies concerning work
conditions for mothers to enable them to breastfeed their babies.
PMID- 27888021
TI - Heightened aggressive behavior in mice deficient in aldo-keto reductase 1a
(Akr1a).
AB - Aldehyde reductase (Akr1a) is involved in the synthesis of ascorbic acid (AsA)
which may play a role in social behavior. In the current study, we performed
analyses on Akr1a-deficient (Akr1a-/-) mice that synthesize about 10% as much AsA
as wild-type mice from the viewpoint of intermale aggression. The use of the
resident-intruder test revealed that the Akr1a-/- mice exhibited more aggressive
phenotypes than wild-type control mice. Unexpectedly, however, the oral
administration of additional AsA failed to reduce the aggressive behavior of
Akr1a-/- mice, suggesting that the heightened aggression was independent of AsA
biosynthesis. The findings also show that the plasma levels of corticosterone,
but not serotonin and testosterone, were increased in the absence of Akr1a in
mice, suggesting that the mice were highly stressed. These results suggest that
Akr1a might be involved in the metabolism of steroids and other carbonyl
containing compounds and, hence, the absence of Akr1a results in heightened
aggression via a malfunction in a metabolic pathway.
PMID- 27888019
TI - Ifenprodil infusion in agranular insular cortex alters social behavior and
vocalizations in rats exposed to moderate levels of ethanol during prenatal
development.
AB - Moderate exposure to alcohol during development leads to subtle neurobiological
and behavioral effects classified under the umbrella term fetal alcohol spectrum
disorders (FASDs). Alterations in social behaviors are a frequently observed
consequence of maternal drinking, as children with FASDs display inappropriate
aggressive behaviors and altered responses to social cues. Rodent models of FASDs
mimic the behavioral alterations seen in humans, with rats exposed to ethanol
during development displaying increased aggressive behaviors, decreased social
investigation, and altered play behavior. Work from our laboratory has observed
increased wrestling behavior in adult male rats following prenatal alcohol
exposure (PAE), and increased expression of GluN2B-containing NMDA receptors in
the agranular insular cortex (AIC). This study was undertaken to determine if
ifenprodil, a GluN2B preferring negative allosteric modulator, has a significant
effect on social behaviors in PAE rats. Using a voluntary ethanol exposure
paradigm, rat dams were allowed to drink a saccharin-sweetened solution of either
0% or 5% ethanol throughout gestation. Offspring at 6-8 months of age were
implanted with cannulae into AIC. Animals were isolated for 24h before ifenprodil
or vehicle was infused into AIC, and after 15min they were recorded in a social
interaction chamber. Ifenprodil treatment altered aspects of wrestling, social
investigatory behaviors, and ultrasonic vocalizations in rats exposed to ethanol
during development that were not observed in control animals. These data indicate
that GluN2B-containing NMDA receptors in AIC play a role in social behaviors and
may underlie alterations in behavior and vocalizations observed in PAE animals.
PMID- 27888023
TI - Integrated process for the purification and immobilization of the envelope
protein domain III of dengue virus type 2 expressed in Rachiplusia nu larvae and
its potential application in a diagnostic assay.
AB - Dengue incidence has grown dramatically in the last years, with about 40% of the
world population at risk of infection. Recently, a vaccine developed by Sanofi
Pasteur has been registered, but only in a few countries. Moreover, specific
antiviral drugs are not available. Thus, an efficient and accurate diagnosis is
important for disease management. To develop a low-cost immunoassay for dengue
diagnosis, in the present study we expressed the envelope protein domain III of
dengue virus type 2 in Rachiplusia nu larvae by infection with a recombinant
baculovirus. The antigen was expressed as a fusion to hydrophobin I (DomIIIHFBI)
to easily purify it by an aqueous two-phase system (ATPS) and to efficiently
immobilize it in immunoassay plates. A high level of recombinant DomIIIHFBI was
obtained in R. nu, where yields reached 4.5 mg per g of larva. Also, we were able
to purify DomIIIHFBI by an ATPS with 2% of Triton X-114, reaching a yield of 73%
and purity higher than 80% in a single purification step. The recombinant
DomIIIHFBI was efficiently immobilized in hydrophobic surface plates. The
immunoassay we developed with the immobilized antigen was able to detect IgG
specific for dengue virus type 2 in serum samples and not for other serotypes.
PMID- 27888025
TI - The Importance of Role Models in Increasing Women in Radiology.
PMID- 27888024
TI - Performance of Photon-Counting Breast Computed Tomography, Digital Mammography,
and Digital Breast Tomosynthesis in Evaluating Breast Specimens.
AB - RATIONALE AND OBJECTIVES: This study compared a novel photon-counting breast
computed tomography (pcBCT) system with digital mammography (DM) and digital
breast tomosynthesis (DBT) systems. For this reason, surgical specimens were
examined with all three techniques and rated by three observers. MATERIALS AND
METHODS: A total of 30 surgical specimens were investigated with DM, DBT, and
pcBCT; the associated images were shown to three experienced radiologists.
Findings (22 microcalcifications and 23 mass lesions) were recorded and compared
to the results of the pathological examination. Sensitivity and specificity for
detection of microcalcifications and lesions were calculated and displayed using
receiver operating characteristic curves. RESULTS: Sensitivity for
microcalcifications was 82% for DM, 70% for DBT, and 85% for pcBCT. Specificity
for microcalcifications was 71% for DM, 75% for DBT, and 83% for pcBCT.
Sensitivity for lesions was 45% for DM, 62% for DBT, and 65% for pcBCT.
Specificity for lesions was 76% for DM, 62% for DBT, and 76% for pcBCT.
CONCLUSIONS: pcBCT showed a comparable or superior performance compared to the
clinically approved DM and DBT systems. Mass lesion detectability can be
increased further by the use of contrast media.
PMID- 27888026
TI - TEMPORARY REMOVAL: Priming theta burst stimulation enhances motor cortex
plasticity in young but not old adults.
PMID- 27888027
TI - Can exhaled nitric oxide fraction predict adherence to inhaled corticosteroids in
atopic and nonatopic children with asthma?
PMID- 27888028
TI - Identifying Allergic Drug Reactions Through Placebo-Controlled Graded Challenges.
AB - BACKGROUND: Graded challenges are performed to exclude hypersensitivity reactions
in patients with a low likelihood of drug allergy. Literature regarding optimal
protocols with a defined number of steps and use of placebo is lacking.
OBJECTIVE: To identify allergic drug reactions (ADRs) through a 3-step protocol
composed of placebo followed by a 2-step graded drug challenge. METHODS: We
performed a 5-year retrospective chart review of all patients with historical
ADRs who underwent single-blind, placebo-controlled graded drug challenges
between October 2010 and November 2015 at an outpatient drug allergy clinic.
Patients' demographic characteristics and description of historical reaction were
obtained. Outcomes of challenges to drug versus placebo were compared by drug
class. RESULTS: Two hundred twenty-nine patients underwent at least 1 single
blind placebo-controlled graded challenge. The most commonly challenged drug
class was beta-lactams (70.8%) followed by nonsteroidal anti-inflammatory drugs
(17.5%). The reaction rate to drug and placebo was similar during beta-lactam
challenges (9.4% vs 8.2%; P = .9) and during nonsteroidal anti-inflammatory drug
challenges (14% vs 7%, P = .5), respectively. Only 10 patients (4.4%) had
objective findings during drug challenges. Patients who reacted to placebo before
beta-lactam challenges had an increased number of drug allergies (4.3 +/- 1.0)
compared with nonreactors (2.4 +/- 0.1) and to beta-lactam reactors (3.3 +/- 0.7)
(P = .002). All placebo reactors were female (20 of 183 vs 0 of 46 males; P =
.02). CONCLUSIONS: Two-step graded challenges are safe in appropriately selected
patients with a low risk of reaction. Placebo should be considered to reduce
false-positive results, especially in females and in patients with multiple drug
allergies.
PMID- 27888029
TI - Drug-specific upregulation of CD137 on CD8+ T cells aids in the diagnosis of
multiple antibiotic toxic epidermal necrolysis.
PMID- 27888030
TI - Antrochoanal Polyp.
PMID- 27888031
TI - Comparison of treatment modalities for inpatient asthma exacerbations among US
pediatric hospitals.
PMID- 27888032
TI - Allergy to benznidazole: cross-reactivity with other nitroimidazoles.
PMID- 27888033
TI - Prenatal Intake of Vitamins and Allergic Outcomes in the Offspring: A Systematic
Review and Meta-Analysis.
AB - BACKGROUND: Allergic diseases have seen a rise worldwide, with children suffering
the highest burden. Thus, early prevention of allergic diseases is a public
health priority. OBJECTIVE: To synthesize the evidence from randomized controlled
trials (RCTs) assessing the effect of vitamin interventions during pregnancy on
developing allergic diseases in offspring. METHODS: We searched CENTRAL, MEDLINE,
SCOPUS, World Health Organization's International Clinical Trials Registration, E
theses, and Web of Science. Study quality was evaluated using Cochrane's risk of
bias tool. Included RCTs had a minimum of 1-month follow-up postgestation.
RESULTS: A total of 5 RCTs met the inclusion criteria, including 2456 children
who used vitamins C + E (1 study), vitamin C (1 study), and vitamin D (3 studies)
compared with placebo/control. Two studies were judged to have a high risk of
bias for performance bias or a high rate of loss to follow-up. All were rated as
low risk of bias for blinding of outcome assessment. We did not perform meta
analysis with vitamin C or vitamin C + E studies due to high heterogeneity
between the 2 included studies. However, we did conduct a meta-analysis with
trials on vitamin D (including 1493 children) and the results showed an
association between the prenatal intake of vitamin D and the risk of developing
recurrent wheeze in offspring (relative risk (RR), 0.812; 95% CI, 0.67-0.98).
CONCLUSIONS: The current evidence suggests that prenatal supplementation of
vitamin D might have a beneficial effect on recurrent wheezing in children.
Longer-term follow-up of these studies is needed to ascertain whether this
observed effect is sustained. There is lack of evidence on the effect of other
vitamins for the prevention of respiratory and/or allergic outcomes.
PMID- 27888034
TI - A Proactive Approach to Penicillin Allergy Testing in Hospitalized Patients.
AB - BACKGROUND: Penicillin allergy testing is underutilized in inpatients despite its
potential to immediately impact antibiotic treatment. Although most tested
patients are able to tolerate penicillin, limited availability and awareness of
this tool leads to the use of costly and harmful substitutes. OBJECTIVE: We
established an inpatient service at a large academic hospital to identify and
test patients with a history of penicillin allergy with the goals of removing
inaccurate diagnoses, reducing the use of beta-lactam alternatives, and educating
patients and clinicians about the procedure. METHODS: Eligible inpatients were
flagged daily through the electronic medical record and prioritized via a
specialized algorithm. A trained clinical pharmacist performed penicillin skin
tests and challenges preemptively or by provider request. Clinical
characteristics and antibiotic use were analyzed in tested patients. RESULTS: A
total of 1203 applicable charts were detected by our system leading to 252 direct
evaluations over 18 months. Overall, 228 subjects (90.5%) had their penicillin
allergy removed. Of these, 223 were cleared via testing and 5 by discovery of
prior penicillin tolerance. Among patients testing negative, 85 (38%)
subsequently received beta-lactams, preventing 504 inpatient days and 648
outpatient days on alternative agents. CONCLUSIONS: Penicillin allergy testing
using a physician-pharmacist team model effectively removes reported allergies in
hospitalized patients. The electronic medical record is a valuable asset for
locating and stratifying individuals who benefit most from intervention.
Proactive testing substantially reduces unnecessary inpatient and outpatient use
of beta-lactam alternatives that may otherwise go unaddressed.
PMID- 27888036
TI - Desensitization for immediate hypersensitivity to oral dimethyl fumarate
(Tecfidera).
PMID- 27888035
TI - Racial Differences in Food Allergy Phenotype and Health Care Utilization among US
Children.
AB - BACKGROUND: Food allergy (FA) is a prevalent condition in the United States, but
little is known about its phenotypes in racial minority groups. OBJECTIVE: The
objective of this study was to characterize disease phenotypes and disparities in
health care utilization among African American (AA), Hispanic, and white children
with FA. METHODS: We conducted a large, 2-center, retrospective cohort study of
children aged 0-17 years with FA seen in allergy/immunology clinics at 2 urban
tertiary care centers in the United States. We used multiple logistic regression
analyses adjusted for age, gender, and insurance. RESULTS: The cohort of 817
children was composed of 35% AA, 12% Hispanic, and 53% non-Hispanic white.
Compared with non-Hispanic white children, AA children had significantly higher
odds of having asthma and eczema (P < .01), and significantly higher odds of
allergy to wheat, soy, corn, fish, and shellfish (P < .01). Compared with non
Hispanic white children, Hispanic children had significantly higher odds of
allergy to corn, fish, and shellfish (P < .01), and higher odds of eczema (P <
.01), but a similar rate of asthma (P = .44). In this cohort, 55%, 18%, and 11%
of AA, Hispanic, and white children were covered by Medicaid, respectively (P <
.00001). Compared with whites, AA and Hispanic children had a shorter duration of
follow-up for FA with an allergy specialist and higher rates of FA-related
anaphylaxis and emergency department visits (P < .01). CONCLUSIONS: FA phenotypes
and health care utilization differ among children of different racial and/or
ethnic backgrounds in the United States that put AA and Hispanic children at
higher risks of adverse outcome than white children. These differences include
coexistent atopic conditions, less well recognized food allergens, and higher
rates of anaphylaxis.
PMID- 27888037
TI - Insights from parents can guide asthma care for urban, minority children.
PMID- 27888038
TI - Evidence of bottleneck effect on hepatitis C virus transmission between a couple
under interferon based therapy.
AB - Issues on the correlation of viral genetic diversity and treatment response to
the hepatitis C infection remain uncertain. The bottleneck effect dictates the
characteristics of the viral population that will establish the infection in a
new host and is related to how the immune system and treatment will be effective
against the virus. Here we evaluated the phylogenetic characteristics of
quasispecies population and the treatment response pattern of a HCV infected
couple. We also analyzed whether the viral population of these patients indicated
that they were exposed to the same source for primer infection. This study
included two patients (P10 and P11) HCV genotype 1b infected. The couple
presented horizontal transmission. Viral RNA was isolated from serum samples
collected before, during and after treatment, at specific time points. The HCV
NS5A gene sequence was amplified, cloned and sequenced. Genetic and evolutionary
analyses were performed to compare the quasispecies population of these two
patients and local control patients. Genetic distance and diversity were
calculated. Phylogenetic analyses were performed by using maximum likelihood and
Bayesian methodologies. The analysis of the baseline samples showed that the
genetic distance of the viral populations of patients P10 and P11 was
significantly lower than when these patients and the control group based on
sequences from local patients were analyzed, supporting the horizontal
transmission hypothesis. Phylogenetic analysis with sequences from all the time
point samples also demonstrated two patterns of evolution depending on the
treatment response. The Bayesian analysis showed that one isolate corresponding
to the baseline sample of P10 was grouped into the P11 clade, suggesting a way of
infection and a bottleneck effect. Our data suggests that the patient P11 viral
population may be originated from variants from P10 patient and consequently
showing that clinical differences between treatment responses can emerge from the
bottleneck effect on viral populations.
PMID- 27888039
TI - Total midgut volvulus in adults with intestinal malrotation. Report of eleven
patients.
AB - OBJECTIVE: Total small-intestinal volvulus with malrotation (TSIVM) classically
presents in the neonatal period; it occurs much less frequently in the adult and
is often misdiagnosed. Prognosis is directly related to the degree and duration
of intestinal ischemia. Our goal is to describe our experience with TSIVM in the
adult, to identify any specific findings and to discuss its management. METHOD:
Eleven patients who had undergone surgery for TSIVM at three centers between 1992
and 2012 were included. Surgery was performed as an emergency for five patients
and surgery was elective for six. RESULTS: Mean follow-up was 63 months (range:
12-270). Six patients had had previous abdominal surgery. In nine cases, the
diagnosis of TSIVM was made preoperatively, mainly by CT scan in eight cases.
Seven patients had associated congenital failure of retroperitoneal fixation of
the right colon and all of these underwent a Ladd procedure. The mortality rate
was zero. Of the five patients who underwent emergency surgery, three required
intestinal resections, one of whom developed a short bowel syndrome. The six
patients who underwent surgery electively had no surgical complications.
CONCLUSION: TSIVM is a very unusual finding in adult patients. The diagnosis can
be made by CT scan with IV and oral contrast, but it often comes to light only at
the time of surgery, even though the patients have often had recurrent episodes
of abdominal symptomatology that dated back to childhood. The Ladd procedure,
consisting of division of Ladd's bands, widening of the mesentery, and incidental
appendectomy, remains the standard surgical repair. Digestive surgeons who care
for adults should be familiar with this procedure, and it should be performed, as
often as possible, with the assistance of a pediatric surgeon.
PMID- 27888040
TI - Evidence that hidden hearing loss underlies amplitude modulation encoding
deficits in individuals with and without tinnitus.
AB - Damage to auditory nerve fibers that expresses with suprathreshold sounds but is
hidden from the audiogram has been proposed to underlie deficits in temporal
coding ability observed among individuals with otherwise normal hearing, and to
be present in individuals experiencing chronic tinnitus with clinically normal
audiograms. We tested whether these individuals may have hidden synaptic losses
on auditory nerve fibers with low spontaneous rates of firing (low-SR fibers)
that are important for coding suprathreshold sounds in noise while high-SR fibers
determining threshold responses in quiet remain relatively unaffected. Tinnitus
and control subjects were required to detect the presence of amplitude modulation
(AM) in a 5 kHz, suprathreshold tone (a frequency in the tinnitus frequency
region of the tinnitus subjects, whose audiometric thresholds were normal to 12
kHz). The AM tone was embedded within background noise intended to degrade the
contribution of high-SR fibers, such that AM coding was preferentially reliant on
low-SR fibers. We also recorded by electroencephalography the "envelope following
response" (EFR, generated in the auditory midbrain) to a 5 kHz, 85 Hz AM tone
presented in the same background noise, and also in quiet (both low-SR and high
SR fibers contributing to AM coding in the latter condition). Control subjects
with EFRs that were comparatively resistant to the addition of background noise
had better AM detection thresholds than controls whose EFRs were more affected by
noise. Simulated auditory nerve responses to our stimulus conditions using a well
established peripheral model suggested that low-SR fibers were better preserved
in the former cases. Tinnitus subjects had worse AM detection thresholds and
reduced EFRs overall compared to controls. Simulated auditory nerve responses
found that in addition to severe low-SR fiber loss, a degree of high-SR fiber
loss that would not be expected to affect audiometric thresholds was needed to
explain the results in tinnitus subjects. The results indicate that hidden
hearing loss could be sufficient to account for impaired temporal coding in
individuals with normal audiograms as well as for cases of tinnitus without
audiometric hearing loss.
PMID- 27888041
TI - Understanding the contributions of visual stimuli to contextual fear
conditioning: A proof-of-concept study using LCD screens.
AB - The precise contribution of visual information to contextual fear learning and
discrimination has remained elusive. To better understand this contribution, we
coupled the context pre-exposure facilitation effect (CPFE) fear conditioning
paradigm with presentations of distinct visual scenes displayed on 4 LCD screens
surrounding a conditioning chamber. Adult male Long-Evans rats received non
reinforced context pre-exposure on Day 1, an immediate 1.5mA foot shock on Day 2,
and a non-reinforced context test on Day 3. Rats were pre-exposed to either
digital Context (dCtx) A, dCtx B, a distinct Ctx C, or no context on Day 1.
Digital context A and B were identical except for the visual image displayed on
the LCD screens. Immediate shock and retention testing occurred in dCtx A. Rats
pre-exposed dCtx A showed the CPFE with significantly higher levels of freezing
compared to controls. Rats pre-exposed to Context B failed to show the CPFE, with
freezing that did not highly differ from controls. These results suggest that
visual information contributes to contextual fear learning and that visual
components of the context can be manipulated via LCD screens. Our approach offers
a simple modification to contextual fear conditioning paradigms whereby the
visual features of a context can be manipulated to better understand the factors
that contribute to contextual fear discrimination and generalization.
PMID- 27888042
TI - Changes in neurotrophic and inflammatory factors in the cerebrospinal fluid of
patients with postherpetic neuralgia.
AB - Inflammatory and neurotrophic factors are involved in postherpetic neuralgia
(PHN), but the association of these factors in the cerebrospinal fluid (CSF) with
the level of pain is poorly known. The present study aimed to examine the changes
in neurotrophic and inflammatory factors in the CSF of patients with PHN and to
study the correlation between these factors and the degree of pain. Fifty
patients with PHN and 28 patients with hemifacial spasm (as controls) were
recruited between May 2015 and March 2016. CSF levels of inflammatory and
neurotrophic factors were measured by ELISA. Compared with controls, patients
with PHN had lower CSF levels of brain-derived neurotrophic factor (BDNF), nerve
growth factor (NGF), neurotrophin (NT)-3, NT-5, and P substance (all P<0.05), and
higher CSF levels of interleukin (IL)-1beta (P=0.050). Among patients with PHN,
CSF BDNF levels were positively correlated to IL-8 (rs=0.229, P=0.04); glial cell
line-derived neurotrophic factor (GDNF) levels to IL-8 (rs=0.326, P=0.004)
levels; NGF levels to tumor necrosis factor (TNF)-alpha levels (rs=0.229,
P=0.044); NT-3 levels to IL-1beta (rs=0.228, P=0.045); and NT-5 levels to IL-8
(rs=0.388, P<0.001), and TNF-alpha (rs=0.445, P<0.001) levels. Inflammatory and
neurotrophic factors were not correlated with the visual analog scale score and
von Frey. Multivariable linear regression showed PHN was associated with NGF
(P=0.038) and BDNF (P=0.029), independently from age and major medical history.
In conclusion, patients with PHN showed low levels of BDNF, NGF, NT-3, and NT-5.
Among patients with PHN, CSF levels of neurotrophic factors positively correlated
with inflammatory factors.
PMID- 27888043
TI - Infusion of fluoxetine, a serotonin reuptake inhibitor, in the shell region of
the nucleus accumbens increases blood glucose concentrations in rats.
AB - The brain is well known to regulate blood glucose, and the hypothalamus and
hindbrain, in particular, have been studied extensively to understand the
underlying mechanisms. Nuclei in these regions respond to alterations in blood
glucose concentrations and can alter glucose liver output or glucose tissue
uptake to maintain blood glucose concentrations within strict boundaries.
Interestingly, several cortico-limbic regions also respond to alterations in
glucose concentrations and have been shown to project to hypothalamic nuclei and
glucoregulatory organs. For instance, electrical stimulation of the shell of the
nucleus accumbens (sNAc) results in increased circulating concentrations of
glucose and glucagon and activation of the lateral hypothalamus (LH). Whether
this is caused by the simultaneous increase in serotonin release in the sNAc
remains to be determined. To study the effect of sNAc serotonin on systemic
glucose metabolism, we implanted bilateral microdialysis probes in the sNAc of
male Wistar rats and infused fluoxetine, a serotonin reuptake inhibitor, or
vehicle after which blood glucose, endogenous glucose production (EGP) and
glucoregulatory hormones were measured. Fluoxetine in the sNAc for 1h
significantly increased blood glucose concentrations without an effect on
glucoregulatory hormones. This increase was accompanied by a higher EGP in the
fluoxetine infused rats compared to the controls. These data provide further
evidence for a role of sNAc-serotonin in the regulation of glucose metabolism.
PMID- 27888044
TI - Motor memory consolidation processes in young female adults with ADHD may be less
susceptible to interference.
AB - Young adults with Attention Deficit Hyperactivity Disorder (ADHD) may have an
atypical procedural ("how to") memory consolidation phase, after practicing a
movement sequence, with smaller gains in speed and some costs in accuracy,
compared to typical peers, at 24h post-training. Here we tested the
susceptibility of performance gains retained after motor practice by young female
adults with (N=16) and without (N=16) ADHD to post-training interference.
Participants were trained on the finger-to-thumb opposition sequence learning
task with performance speed and accuracy recorded before training, immediately
after, and at 24h post-training. Two hour after the initial training,
participants practiced a second, similarly constructed but differently ordered
sequence of movements. Typical young adults showed a significant interference
effect, with only the performance of the second sequence showing robust gains in
speed, with no costs in accuracy, in the 24h post-training consolidation phase.
Participants with ADHD showed only small additional speed gains in the post
training consolidation phase but for both sequences. Altogether these results
suggest that motor memory consolidation processes in young adults with ADHD may
be less susceptible to interference compared to typical peers.
PMID- 27888045
TI - Effects of tiotropium on lung function in current smokers and never smokers with
bronchial asthma.
AB - The effects of tiotropium, an inhaled long-acting muscarinic antagonist, on lung
function were investigated in current smokers and nonsmokers with asthma treated
with inhaled corticosteroids (ICSs) and other asthma controllers: inhaled long
acting beta2 agonists, leukotriene receptor antagonists, and/or theophylline. We
conducted a double-blind, placebo-controlled study of an inhaled single dose of
tiotropium in 9 asthmatics currently smoking and 9 asthmatics who have never
smoked in a crossover manner. Lung function was measured before and 1, 3, and 24
h after inhalation of 18 MUg of tiotropium or a placebo. The primary outcome was
a change in forced expiratory volume in 1 s (FEV1) from the baseline, and the
secondary outcomes were changes in peak expiratory flow rate (PEFR), V50, and
V25. At baseline, asthmatics with and without a smoking history had a mean FEV1
of 2590 ml and 2220 ml and were taking a mean dose of ICSs of 1208 and 1000
MUg/day, respectively. The increase from the baseline FEV1 was 169 ml and 105 ml
higher at 3 h after tiotropium than after the placebo in current smokers and
nonsmokers, respectively. PEFR, V50, and V25 were also significantly increased
after tiotropium as compared with the placebo in both study groups. Changes in
FEV1 and PEFR tended to be greater in asthmatics currently smoking than in
subjects who have never smoked, although there were no statistical differences at
any time points. Tiotropium resulted in improved lung function and symptoms both
in current smoker and nonsmoker asthmatics. These findings suggest that
tiotropium will provide a new strategy for the treatment of bronchial asthma.
PMID- 27888046
TI - Proposed clinical guidance for excluding pregnancy prior to contraceptive
initiation.
PMID- 27888047
TI - Looking for a lost subdermal contraceptive implant? Think about the pulmonary
artery.
AB - This case highlights a rare but potentially life-threatening complication of a
contraceptive implant insertion that was corrected by a noninvasive endovascular
procedure. This procedure requires a quick intervention to be successful.
PMID- 27888048
TI - Breaking the resistance of Escherichia coli: Antimicrobial activity of Berberis
lycium Royle.
AB - The antimicrobial activity of root bark of Berberis lycium and its principal
component berberine was tested against a panel of microbial strains using agar
well diffusion test and further analyzed using micro-broth dilution method.
Preliminary analysis, on the basis of zone of Inhibition (ZOI) showed that the
methanolic extract of B. lycium was highly effective against Escherichia coli
(ZOI 41 +/- 1 mm). Among the bacterial strains E. coli was found to be most
susceptible and among fungi Candida albicans was the most susceptible for
berberine as well as the crude methanolic extract of the plant. Methanolic
extract of the plant was more effective for E. coli (MIC 1.7 +/- 1.18; MBC 2.4 +/
1.18) than berberine (MIC 3.5 +/- 0.57) (p < 0.05), whereas berberine was more
effective than crude extracts for C. albicans. In addition, E. coli showed the
development of resistant colonies after 72 h when tested with berberine but the
development of such colonies was not observed with the methanolic extract of the
plant. This could be due to the presence of resistance breaking molecules in the
crude methanolic extract of B. lycium. Also the MIC index of crude methanolic
extract was 1.39 for E. coli, which showed the mode of action to be bactericidal.
HPLC analysis revealed the presence of berberine at highest concentration in
methanolic extract of the plant, followed by aqueous extract. Potentiation of
this berberine by resistance breaking molecules in the crude extract could be a
possible explanation for its strong effectiveness.
PMID- 27888049
TI - Complete overdentures retained by mini implants: A systematic review.
AB - OBJECTIVE: The purpose of this systematic review was to evaluate the use of mini
implants to retain complete overdentures in terms of survival rates of mini
implants, marginal bone loss, satisfaction, and quality of life. DATA: This
report followed the PRISMA Statement and PICO question. This review has been
registered at PROSPERO under the number CRD42016036141. SOURCE: Two independent
reviewers performed a comprehensive search of studies published until September
2016 and listed in the PubMed/MEDLINE, Embase, and The Cochrane Library
databases. The focused question was: is the use of mini implants feasible for
prosthodontic rehabilitation with complete overdentures? RESULTS: The 24 studies
selected for review evaluated 1273 patients whose mean age was 65.93 years; these
patients had received 2494 mini implants and 386 standard implants for retaining
overdenture prosthesis. The mean follow-up time was 2.48 years (range: 1-7
years). There was a higher survival rate of mini implants (92.32%). More frequent
failures for maxillary (31.71%) compared with mandibular arches (4.89%). The
majority of studies revealed marginal bone loss values similar to those of
standard implants (<1.5mm). All studies verified an increase in satisfaction and
quality of life after rehabilitation treatment with mini dental implants.
CONCLUSION: The present systematic review indicates that the use of mini implants
for retaining overdenture prosthesis is considered an alternative treatment when
standard treatment is not possible, since it presents high survival rates,
acceptable marginal bone loss, and improvements in variables related to
satisfaction and quality of life. CLINICAL SIGNIFICANCE: Based on the results of
this study, the use of a minimum 4 and 6 mini implants can be considered a
satisfactory treatment option for rehabilitation of the mandibular and maxillary
arches respectively with a complete overdenture.
PMID- 27888050
TI - Wake-Up Call: Pulmonary Arteriovenous Malformation.
PMID- 27888051
TI - Absence of Oral Anticoagulation and Subsequent Outcomes Among Outpatients with
Atrial Fibrillation.
AB - BACKGROUND: Prior studies have shown a treatment gap in oral anticoagulation
(OAC) use among patients with atrial fibrillation yet have incompletely
characterized factors associated with failure to treat and subsequent outcomes in
contemporary practice. METHODS: Using data collected between June 2010 and August
2011 from 174 ambulatory care sites in the Outcomes Registry for Better Informed
Treatment of Atrial Fibrillation, we identified factors associated with absence
of OAC via stratified logistic regression. Using weighted Cox regression, we
assessed the association between OAC non-use and subsequent outcomes over 2.5
years. RESULTS: Among 9553 patients, 2202 (23.0%) were not on OAC. Among OAC
nonrecipients, 1846 (83.8%) had a CHA2DS2-VASc score >=2. Factors independently
associated with OAC non-use included atrial fibrillation type (paroxysmal odds
ratio [OR] 0.73, 95% confidence interval [CI] 0.54-0.99; persistent OR 0.14, 95%
CI 0.10-0.21; permanent OR 0.35, 95% CI 0.25-0.49; reference = new-onset), left
atrial diameter enlargement (mild OR 0.80, 95% CI 0.66-0.97; moderate 0.58, 95%
CI 0.47-0.73; severe 0.53, 95% CI 0.42-0.68; reference = normal diameter), and
age >80 years (OR 1.04, 95% CI 1.02-1.08). Untreated patients had a higher risk
of death (adjusted hazard ratio [HR] 1.22, 95% CI 1.05-1.41), a lower bleeding
risk (adjusted HR 0.35, 95% CI 0.15-0.81), and a nonsignificant trend toward
higher risk of stroke/non-central nervous system embolism/transient ischemic
attack than those treated (adjusted HR 1.18, 95% CI 0.91-1.54). CONCLUSIONS: A
majority of atrial fibrillation patients not treated with an OAC in current
community practice meet guideline indications for treatment. Atrial fibrillation
burden, chronicity, and comorbidity are associated with nontreatment. Untreated
patients are at increased risk for adverse outcomes.
PMID- 27888052
TI - Nonsteroidal Anti-Inflammatory Drugs and Clinical Outcomes in Patients Undergoing
Coronary Artery Bypass Surgery.
AB - BACKGROUND: Nonsteroidal anti-inflammatory drugs (NSAIDs) are commonly used in
perioperative pain management of patients undergoing coronary artery bypass graft
surgery. However, the association of periprocedural use of NSAIDs and clinical
outcomes after coronary artery bypass graft is understudied. METHODS: We
conducted a retrospective analysis using pooled data from 2 multicenter
randomized controlled trials (PREVENT IV [n = 3014] and MEND-CABG II [n = 3023]).
Rates of death, death or myocardial infarction, and death, myocardial infarction,
or stroke in the 30 days following coronary artery bypass graft surgery were
compared in patients using or not using perioperative NSAIDs. Inverse probability
of treatment weighting and Cox proportional hazards regression models were used
to adjust for confounding. RESULTS: A total of 5887 patients were studied. Median
age was 65 years, 78% were male, and 91% were White. NSAIDs were used in 2368
(40.2%) patients. The majority of patients (1822 [30.9%]) received NSAIDs after
coronary artery bypass graft surgery; 289 (4.9%) used them prior to and after the
surgery; and 257 (4.4) received NSAIDs prior to the surgery only. Adjusted 30-day
outcomes were similar in patients receiving and not receiving NSAIDs (death:
hazard ratio [HR] 1.18; 95% confidence interval [CI], 0.48-2.92; death or
myocardial infarction: HR 0.87; 95% CI, 0.42-1.79; death, myocardial infarction,
or stroke: HR 0.87; 95% CI, 0.46-1.65). CONCLUSION: In this pooled data analysis,
perioperative NSAID use was common among patients undergoing coronary artery
bypass graft surgery and was not associated with an increased short-term risk for
major adverse clinical outcomes.
PMID- 27888053
TI - Current Understanding of Atherogenesis.
AB - Scientific understanding of atherogenesis is constantly developing. From
Virchow's observations 160 years ago we now recognize the endothelial response to
injury as inflammatory, involved in all stages of atherosclerosis. Endothelial
activation may cause reversible injury or dysfunction, or lead to irreparable
damage. Indeed, early atherosclerosis is reversible. The introduction of genome
wide association testing has furthered the identification of potentially
important genetic variants that help explain the heritability of coronary artery
disease as well as spontaneous cases of severe coronary artery disease in
patients with otherwise minimal risk factors. However, the mechanisms by which
many of the newer variants exert their influence remain unknown.
PMID- 27888054
TI - A Red Herring in the Green Grass: Syphilitic Membranous Glomerulonephritis.
PMID- 27888055
TI - Dietary choline levels modify the effects of prenatal alcohol exposure in rats.
AB - Prenatal alcohol exposure can cause a range of physical and behavioral
alterations; however, the outcome among children exposed to alcohol during
pregnancy varies widely. Some of this variation may be due to nutritional
factors. Indeed, higher rates of fetal alcohol spectrum disorders (FASD) are
observed in countries where malnutrition is prevalent. Epidemiological studies
have shown that many pregnant women throughout the world may not be consuming
adequate levels of choline, an essential nutrient critical for brain development,
and a methyl donor. In this study, we examined the influence of dietary choline
deficiency on the severity of fetal alcohol effects. Pregnant Sprague-Dawley rats
were randomly assigned to receive diets containing 40, 70, or 100% recommended
choline levels. A group from each diet condition was exposed to ethanol
(6.0g/kg/day) from gestational day 5 to 20 via intubation. Pair-fed and ad lib
lab chow control groups were also included. Physical and behavioral development
was measured in the offspring. Prenatal alcohol exposure delayed motor
development, and 40% choline altered performance on the cliff avoidance task,
independent of one another. However, the combination of low choline and prenatal
alcohol produced the most severe impairments in development. Subjects exposed to
ethanol and fed the 40% choline diet exhibited delayed eye openings,
significantly fewer successes in hindlimb coordination, and were significantly
overactive compared to all other groups. These data suggest that suboptimal
intake of a single nutrient can exacerbate some of ethanol's teratogenic effects,
a finding with important implications for the prevention of FASD.
PMID- 27888056
TI - Sclerostin expression and functions beyond the osteocyte.
AB - Sclerostin, the product of the SOST gene, is a secreted inhibitor of Wnt
signaling that is produced by osteocytes to regulate bone formation. While it is
often considered an osteocyte-specific protein, SOST expression has been reported
in numerous other cell types, including hypertrophic chondrocytes and
cementocytes. Of interest, SOST/sclerostin expression is altered in certain
pathogenic conditions, including osteoarthritis and rheumatic joint disease, and
it is unclear whether sclerostin plays a protective role or whether sclerostin
may mediate disease pathogenesis. Therefore, as anti-sclerostin antibodies are
being developed for the treatment of osteoporosis, it is important to understand
the functions of sclerostin beyond the regulation of bone formation.
PMID- 27888057
TI - Association between rs2294020 in X-linked CCDC22 and susceptibility to autoimmune
diseases with focus on systemic lupus erythematosus.
AB - Autoimmune diseases often share common susceptibility genes. Most genetic
variants associated with susceptibility to systemic lupus erythematosus are also
associated with other autoimmune diseases. The X-linked variant rs2294020 is
positioned in exon 7 of the CCDC22 gene. The encoded protein functions in the
regulation of NF-kappaB, a master regulator in immune response. The aim of this
study is to investigate whether the rs2294020 polymorphism may be a general
susceptibility factor for autoimmunity. We evaluated case-control association
between the occurrence of rs2294020 and different autoimmune diseases, including
new data for systemic lupus erythematosus and previous genome-wide association
studies (GWAS) (though most did not analyse the X chromosome) of psoriasis,
celiac disease, Crohn's disease, ulcerative colitis, multiple sclerosis,
vitiligo, type-1 diabetes, rheumatoid arthritis, and ankylosing spondylitis.
Cases from patients affected by amyotrophic lateral sclerosis and type-2 diabetes
were also included in the study. We detected nominal significant associations of
rs2294020 with systemic lupus erythematosus (additive model test: p=0.01),
vitiligo (p=0.016), psoriasis (p=0.038), and in only one of two studies of
multiple sclerosis (p=0.03). Our results suggest that rs2294020 is associated
with the risk of several autoimmune diseases in European populations,
specifically with diseases that present themselves, among else, in the skin.
PMID- 27888058
TI - Cerebrovascular reactivity mapping without gas challenges.
AB - Cerebrovascular reactivity (CVR), the ability of cerebral vessels to dilate or
constrict, has been shown to provide valuable information in the diagnosis and
treatment evaluation of patients with various cerebrovascular conditions. CVR
mapping is typically performed using hypercapnic gas inhalation as a vasoactive
challenge while collecting BOLD images, but the inherent need of gas inhalation
and the associated apparatus setup present a practical obstacle in applying it in
routine clinical use. Therefore, we aimed to develop a new method to map CVR
using resting-state BOLD data without the need of gas inhalation. This approach
exploits the natural variation in respiration and measures its influence on BOLD
MRI signal. In this work, we first identified a surrogate of the arterial CO2
fluctuation during spontaneous breathing from the global BOLD signal. Second, we
tested the feasibility and reproducibility of the proposed approach to use the
above-mentioned surrogate as a regressor to estimate voxel-wise CVR. Third, we
validated the "resting-state CVR map" with a conventional CVR map obtained with
hypercapnic gas inhalation in healthy volunteers. Finally, we tested the utility
of this new approach in detecting abnormal CVR in a group of patients with
Moyamoya disease, and again validated the results using the conventional gas
inhalation method. Our results showed that global BOLD signal fluctuation in the
frequency range of 0.02-0.04Hz contains the most prominent contribution from
natural variation in arterial CO2. The CVR map calculated using this signal as a
regressor is reproducible across runs (ICC=0.91+/-0.06), and manifests a strong
spatial correlation with results measured with a conventional hypercapnia-based
method in healthy subjects (r=0.88, p<0.001). We also found that resting-state
CVR was able to identify vasodilatory deficit in patients with steno-occlusive
disease, the spatial pattern of which matches that obtained using the
conventional gas method (r=0.71+/-0.18). These results suggest that CVR obtained
with resting-state BOLD may be a useful alternative in detecting vascular
deficits in clinical applications when gas challenge is not feasible.
PMID- 27888060
TI - Faith and oscillations recovered: On analyzing EEG/MEG signals during tACS.
AB - Despite recent success in analyzing brain oscillations recorded during
transcranial alternating current stimulation (tACS), the field still requires
further research to establish standards in artifact removal methods. This
includes taking a step back from the removal of the tACS artifact and thoroughly
characterizing the to-be-removed artifact. A recent study by Noury et al. (2016)
contributed importantly to this endeavour by showing the existence of nonlinear
artefacts in the tACS signal as seen by MEG and EEG. Unfortunately however this
paper conveys the message that current artifact removal attempts have failed
altogether and that-based on these available tools-brain oscillations recorded
during tACS cannot be analyzed using MEG and EEG. Here we want to balance this
overly pessimistic conclusion: In-depth reanalyses of our own data and phantom
head measurements indicate that nonlinearities can occur, but only when technical
limits of the stimulator are reached. As such they are part of the "real"
stimulation and not a specific MEG analysis problem. Future tACS studies should
consider these technical limits to avoid any nonlinear modulations of the tACS
artifact. We conclude that even with current approaches, brain oscillations
recorded during tACS can be meaningfully studied in many practical cases.
PMID- 27888059
TI - Towards a consensus regarding global signal regression for resting state
functional connectivity MRI.
AB - The number of resting state functional connectivity MRI studies continues to
expand at a rapid rate along with the options for data processing. Of the
processing options, few have generated as much controversy as global signal
regression and the subsequent observation of negative correlations (anti
correlations). This debate has motivated new processing strategies and
advancement in the field, but has also generated significant confusion and
contradictory guidelines. In this article, we work towards a consensus regarding
global signal regression. We highlight several points of agreement including the
fact that there is not a single "right" way to process resting state data that
reveals the "true" nature of the brain. Although further work is needed,
different processing approaches likely reveal complementary insights about the
brain's functional organisation.
PMID- 27888061
TI - Secretory function of ovarian cells and myometrial contractions in cow are
affected by chlorinated insecticides (chlordane, heptachlor, mirex) in vitro.
AB - The aim of the study was to investigate the effect of chlordane, heptachlor and
mirex, on hormonal regulation of the force of myometrial contractions.
Myometrial, endometrial, granulosa and luteal cells as well as strips of
myometrium from non-pregnant cows were incubated with three insecticides at
environmentally relevant doses (0.1, 1 or 10ng/ml). None of the insecticides
affected the viability of studied cells. Chlordane stimulated, while heptachlor
and mirex inhibited, secretion of testosterone and estradiol from granulosa cells
as well as secretion of progesterone from luteal cells, respectively. Secretion
of oxytocin (OT) from granulosa cells was increased after incubation with all
studied insecticides. Only mirex stimulated OT secretion from luteal cells, while
heptachlor inhibited this effect. None of them affected synthesis of OT in luteal
cells and prostaglandins (PGF2 and PGE2) secretion from uterine cells, except
PGE2 secretion from endometrial cells was decreased when the cells were incubated
with 0.1ng/ml of chlordane. Basal and OT-stimulated myometrial contractions were
increased by mirex and decreased by heptachlor. The data show that the
insecticides altered secretory function of ovarian cells. Heptachlor and mirex
affected also myometrial contractions in vitro, but uterine secretion of
prostaglandins were not involved in the mechanism of that adverse effect of
insecticides. The data indicate on potential of these insecticides to disturb
fertilisation, blastocyst implantation or even the length of gestation.
PMID- 27888062
TI - Neurotrophin biology at NGF 2016: From fundamental science to clinical
applications.
PMID- 27888063
TI - Notch1 signalling inhibits apoptosis of human dental follicle stem cells via both
the cytoplasmic mitochondrial pathway and nuclear transcription regulation.
AB - Dental follicle stem cells (DFSCs) have been considered as promising candidate
cells for periodontal tissue regeneration. Understanding the signalling pathways
underlying the apoptosis of DFSCs will facilitate its biomedical application.
Here we showed that Notch1 signalling could inhibit DFSCs apoptosis because the
constitutive overexpression of the intracellular domain of Notch1 (ICN1) promoted
proliferation and suppressed apoptosis by inhibiting cytoplasmic mitochondrial
membrane depolarization, cytochrome c release and activation of caspase-9 and
caspase-3. The survival-promoting effect of Notch1 was also accomplished by up
regulation of the anti-apoptotic proteins Bcl-2 and Mcl-1, down-regulation of the
pro-apoptotic proteins Bax and Bad, and blockade of Bax multimerization.
Moreover, p-Akt (S473) was significantly increased after ectopic Notch 1
activation. The expression of p53 was also inhibited in Notch1-overexpressing
DFSCs, while the ectopic expression of p53 promoted apoptosis even when Notch1
was overexpressed. Meanwhile, all of the opposite phenomena were observed in
Notch1 shRNA-silenced DFSCs. Our data strongly suggested that Notch1 signalling
inhibited the apoptosis of DFSCs via the cytoplasmic mitochondrial pathway and
ICN-Akt signalling pathway, together with nuclear gene expression regulation.
These findings would provide molecular cues for the further medical application
of DFSCs.
PMID- 27888064
TI - Molecular cloning and nutrient regulation analysis of long chain acyl-CoA
synthetase 1 gene in grass carp, Ctenopharyngodon idella L.
AB - Long chain acyl-CoA synthetase 1 (ACSL1), a key regulatory enzyme of fatty acid
metabolism, catalyzes the conversion of long-chain fatty acids to acyl-coenzyme
A. The full-length cDNAs of ACSL1a and ACSL1b were cloned from the liver of a
grass carp. Both cDNAs contained a 2094bp open reading frame encoding 697 amino
acids. Amino acid sequence alignment showed that ACSL1a shared 73.5% sequence
identity with ACSL1b. Each of the two ACSL1s proteins had a transmembrane domain,
a P-loop domain, and L-, A-, and G-motifs, which were relatively conserved in
comparison to other vertebrates. Relative expression profile of ACSL1 mRNAs in
different tissues indicated that ACSL1a is highly expressed in heart, mesenteric
adipose, and brain tissues, whereas ACSL1b is highly expressed in heart, white
muscle, foregut, and liver tissues. Nutrient regulation research showed that the
expression levels of ACSL1a and ACSL1b were significantly down-regulated when 3,
6, and 9% fish oil were added in diet of grass carp as compared to the control
group. However, no significant difference in the levels of ACSL1 mRNA was
observed between the experimental groups. This study demonstrated the
relationship between ACSL1a and ACSL1b genes in grass carp and laid a foundation
for further research on ACSL family members in other species.
PMID- 27888065
TI - Comparing the effects of sustained and transient spatial attention on the
orienting towards and the processing of electrical nociceptive stimuli.
AB - We examined whether sustained vs. transient spatial attention differentially
affect the processing of electrical nociceptive stimuli. Cued nociceptive stimuli
of a relevant intensity (low or high) on the left or right forearm required a
foot pedal press. The cued side varied trial wise in the transient attention
condition, while it remained constant during a series of trials in the sustained
attention condition. The orienting phase preceding the nociceptive stimuli was
examined by focusing on lateralized EEG activity. ERPs were computed to examine
the influence of spatial attention on the processing of the nociceptive stimuli.
Results for the orienting phase showed increased ipsilateral alpha and beta power
above somatosensory areas in both the transient and the sustained attention
conditions, which may reflect inhibition of ipsilateral and/or disinhibition of
contralateral somatosensory areas. Cued nociceptive stimuli evoked a larger N130
than uncued stimuli, both in the transient and the sustained attention
conditions. Support for increased efficiency of spatial attention in the
sustained attention condition was obtained for the N180 and the P540 component.
We concluded that spatial attention is more efficient in the case of sustained
than in the case of transient spatial attention.
PMID- 27888066
TI - Looking Back at Baltimore 1997.
PMID- 27888067
TI - Allele and genotype frequencies of polymorphisms in cytokine genes in ethnic
Russian individuals from Moscow, Russia.
AB - Two hundred and twenty eight ethnic Russian individuals from Moscow, Russia, were
genotyped at 14 single nucleotide polymorphisms CCL2 A-2578G; VEGFA C-2578A, G
634C, and C+936T; TNF G+419A and G-308A; IL1A G-889A; IL1RN T+1018C; IL6G-174C
and G-572C; IFNG T+874A; IL1B C-511T; IL10 A+1082G; TGFB1 C-509T. Genotypes were
determined using real-time polymerase chain reaction with TaqMan probes and
polymerase chain reaction followed by melting analysis of dual-labeled probe.
Genotype distribution was in accordance with Hardy-Weinberg equilibrium for all
studied polymorphisms. Genotype data are available in the Allele Frequencies Net
Database under identifier AFND 3367 and the population name "Russia Moscow
Cytokine".
PMID- 27888068
TI - Associations of single nucleotide polymorphisms of PTPN22 and Ctla4 genes with
the risk of allergic rhinitis in a Chinese Han population.
AB - BACKGROUND: Allergic rhinitis (AR) is an inflammatory disorder of the upper
airway. Protein tyrosine phosphatase non-receptor 22 encoded by PTPN22 gene and
cytotoxic T-lymphocyte associated 4 encoded by Ctla4 gene are associated with
autoimmune diseases. PURPOSE: This study was performed to evaluate the potential
association of PTPN22 and Ctla4 single nucleotide polymorphisms (SNPs) with AR in
a Chinese Han population. METHODS: A case-control study was performed in 783
Chinese AR patients and 811 healthy controls. Three SNPs in PTPN22 gene
(rs2488457, rs1310182, and rs3789604) and 6 SNPs in Ctla4 gene (rs3087243,
rs231779, rs11571302, rs11571315, rs231725, and rs35219727) were detected using a
polymerase chain reaction-restriction fragment length polymorphism assay (PCR
RFLP). RESULTS: For PTPN22 gene, a significantly decreased prevalence of the
rs2488457 CC genotype and C allele was found in AR patients. The frequencies of
the rs1310182 CC genotype, CT genotype, and C allele were significantly
associated with the risk of AR. For Ctla4 gene, a significantly increased
prevalence of the rs11571302 AA genotype, CA genotype and A allele was noted in
AR patients. CONCLUSION: SNPs of PTPN22 and Ctla4 genes are significantly
associated with the risk of AR in the Chinese Han population.
PMID- 27888069
TI - Vertebrate embryos as tools for anti-angiogenic drug screening and function.
AB - The development of new angiogenic inhibitors highlights a need for robust
screening assays that adequately capture the complexity of vessel formation, and
allow for the quantitative evaluation of the teratogenicity of new anti
angiogenic agents. This review discusses the use of screening assays in
vertebrate embryos, specifically focusing upon chicken and zebrafish embryos, for
the detection of anti-angiogenic agents.
PMID- 27888070
TI - Phosphoramide mustard induces autophagy markers and mTOR inhibition prevents
follicle loss due to phosphoramide mustard exposure.
AB - Phosphoramide mustard (PM) is an ovotoxic metabolite of cyclophosphamide.
Postnatal day 4 Fisher 344 rat ovaries were exposed to vehicle control (1% DMSO)
or PM (60MUM)+/-LY294002 or rapamycin for 2 or 4 d. Transmission election
microscopy revealed abnormally large golgi apparatus and electron dense
mitochondria in PM-exposed ovaries prior to and at the time of follicle
depletion. PM exposure increased (P<0.05) mRNA abundance of Bbc3, Cdkn1a, Ctfr,
Edn1, Gstp1, Nqo1, Tlr4, Tnfrsfla, Txnrd1 and decreased (P<0.05) Casp1 and Il1b
after 4d. PM exposure increased (P<0.1) BECN1 and LAMP, decreased (P<0.1) ABCB1
and did not alter ABCC1 protein. LY294002 did not impact PM-induced ovotoxicity,
but decreased ABCC1 and ABCB1 protein. Rapamycin prevented PM-induced follicle
loss. These data suggest that the mammalian target of rapamycin, mTOR, may be a
gatekeeper of PM-induced follicle loss.
PMID- 27888071
TI - Cell type-specific expression of FoxP2 in the ferret and mouse retina.
AB - Although the anatomical and physiological properties of subtypes of retinal
ganglion cells (RGCs) have been extensively investigated, their molecular
properties are still unclear. Here, we examined the expression patterns of FoxP2
in the retina of ferrets and mice. We found that FoxP2 was expressed in small
subsets of neurons in the adult ferret retina. FoxP2-positive neurons in the
ganglion cell layer were divided into two groups. Large FoxP2-positive neurons
expressed Brn3a and were retrogradely labeled with cholera toxin subunit B
injected into the optic nerve, indicating that they are RGCs. The soma size and
the projection pattern of FoxP2-positive RGCs were consistent with those of X
cells. Because we previously reported that FoxP2 was selectively expressed in X
cells in the ferret lateral geniculate nucleus (LGN), our findings indicate that
FoxP2 is specifically expressed in the parvocellular pathway from the retina to
the LGN. Small FoxP2-positive neurons were positive for GAD65/67, suggesting that
they are GABAergic amacrine cells. Most Foxp2-positive cells were RGCs in the
adult mouse retina. Dendritic morphological analyses suggested that Foxp2
positive RGCs included direction-selective RGCs in mice. Thus, our findings
suggest that FoxP2 is expressed in specific subtypes of RGCs in the retina of
ferrets and mice.
PMID- 27888072
TI - Movement-related cortical magnetic fields associated with self-paced tongue
protrusion in humans.
AB - Sophisticated tongue movements are coordinated finely via cortical control. We
elucidated the cortical processes associated with voluntary tongue movement.
Movement-related cortical fields were investigated during self-paced repetitive
tongue protrusion. Surface tongue electromyograms were recorded to determine
movement onset. To identify the location of the primary somatosensory cortex
(S1), tongue somatosensory evoked fields were measured. The readiness fields
(RFs) over both hemispheres began prior to movement onset and culminated in the
motor fields (MFs) around movement onset. These signals were followed by
transient movement evoked fields (MEFs) after movement onset. The MF and MEF peak
latencies and magnitudes were not different between the hemispheres. The MF
current sources were located in the precentral gyrus, suggesting they were
located in the primary motor cortex (M1); this was contrary to the MEF sources,
which were located in S1. We conclude that the RFs and MFs mainly reflect the
cortical processes for the preparation and execution of tongue movement in the
bilateral M1, without hemispheric dominance. Moreover, the MEFs may represent
proprioceptive feedback from the tongue to bilateral S1. Such cortical processing
related to the efferent and afferent information may aid in the coordination of
sophisticated tongue movements.
PMID- 27888073
TI - Early-life inflammation with LPS delays fear extinction in adult rodents.
AB - A large body of evidence has been brought forward connecting developmental immune
activation to abnormal fear and anxiety levels. Anxiety disorders have extremely
high lifetime prevalence, yet susceptibility factors that contribute to their
emergence are poorly understood. In this research we investigated whether an
inflammatory insult early in life can alter the response to fear conditioning in
adulthood. Fear learning and extinction are important and adaptive behaviors,
mediated largely by the amygdala and its interconnectivity with cortico-limbic
circuits. Male and female rat pups were given LPS (100MUg/kg i.p.) or saline at
postnatal day 14; LPS activated cFos expression in the central amygdala 2.5h
after exposure, but not the basal or lateral nuclei. When tested in adulthood,
acquisition of an auditory cued or contextual learned fear memory was largely
unaffected as was the extinction of fear to a conditioned context. However, we
detected a deficit in auditory fear extinction in male and female rats that
experienced early-life inflammation, such that there is a significant delay in
fear extinction processes resulting in more sustained fear behaviors in response
to a conditioned cue. This response was specific to extinction training and did
not persist into extinction recall. The effect could not be explained by
differences in pain threshold (unaltered) or in baseline anxiety, which was
elevated in adolescent females only and unaltered in adolescent males and adult
males and females. This research provides further evidence for the involvement of
the immune system during development in the shaping of fear and anxiety related
behaviors.
PMID- 27888074
TI - Toxoplasma gondii immune mapped protein 1 is anchored to the inner leaflet of the
plasma membrane and adopts a novel protein fold.
AB - The immune mapped protein 1 (IMP1) was first identified as a protective antigen
in Eimeria maxima and described as vaccine candidate and invasion factor in
Toxoplasma gondii. We show here that TgIMP1 localizes to the inner leaflet of
plasma membrane (PM) via dual acylation. Mutations either in the N-terminal
myristoylation or palmitoylation sites (G2 and C5) cause relocalization of TgIMP1
to the cytosol. The first 11 amino acids are sufficient for PM targeting and the
presence of lysine (K7) is critical. Disruption of TgIMP1 gene by double
homologous recombination revealed no invasion defect or any measurable alteration
in the lytic cycle of tachyzoites. Following immunization with TgIMP1 DNA
vaccine, mice challenged with either wild type or IMP1-ko parasites showed no
significant difference in protection. The sequence analysis identified a
structured C-terminal domain that is present in a broader family of IMP1-like
proteins conserved across the members of Apicomplexa. We present the solution
structure of this domain determined from NMR data and describe a new protein fold
not seen before.
PMID- 27888075
TI - The domain II loops of Bacillus thuringiensis Cry1Aa form an overlapping
interaction site for two Bombyx mori larvae functional receptors, ABC transporter
C2 and cadherin-like receptor.
AB - Information about the receptor-interaction region of Cry toxins, insecticidal
proteins produced by Bacillus thuringiensis, is needed to elucidate the mode of
action of Cry toxins and improve their toxicity through protein engineering. We
analyzed the interaction sites on Cry1Aa with ABC transporter C2 (ABCC2), one of
the most important Cry1A toxin receptors. A competitive binding assay revealed
that the Bombyx mori ABCC2 (BmABCC2) Cry1A binding site was the same as the
BtR175 binding site, suggesting that the loop region of Cry1Aa domain II is a
binding site. Next, we constructed several domain II loop mutant toxins and
tested their binding affinity in an SPR analysis, and also performed a cell
swelling assay to evaluate receptor-mediated cytotoxicity. Our results indicate
that the loop regions required for BtR175 and BmABCC2 binding and the regions
important for cytotoxicity partially overlap. Our results also suggest that
receptor binding is necessary but not sufficient for cytotoxicity. This is the
first report showing the region of interaction between ABCC2 and Cry1Aa and the
cytotoxicity-relevant properties of the Cry1Aa domain II loop region.
PMID- 27888076
TI - Proteome-wide identification of lysine succinylation in thermophilic and
mesophilic bacteria.
AB - Lysine succinylation, one of post-translational acylations conserved from
eukaryotes to bacteria, plays regulatory roles in various cellular processes.
However, much remains unknown about the general and specific characteristics of
lysine succinylation among bacteria, and about its functions different from those
of other acylations. In this study, we characterized lysine succinylation, a
newly discovered widespread type of lysine acylation in five bacterial species
with different characteristics such as optimal growth temperature and cell wall
structure. This study is the first to demonstrate that succinylation is general
phenomenon occurring not only in mesophiles but also in thermophiles. Mapping of
succinylation sites on protein structures revealed that succinylation occurs at
many lysine residues important for protein function. Comparison of the
succinylation sites in the five bacterial species provides insights regarding
common protein regulation mechanisms utilizing lysine succinylation. Many
succinylation sites were conserved among five bacteria, especially between
Geobacillus kaustophilus and Bacillus subtilis, some of which are functionally
important sites. Furthermore, systematic comparison of the succinyl-proteome
results and our previous propionyl-proteome results showed that the abundance of
these two types of acylations is considerably different among the five bacteria
investigated. Many succinylation and propionylation events were detected in G.
kaustophilus, whereas Escherichia coli and B. subtilis exhibited high
succinylation and low propionylation; low succinylation and high propionylation
were identified in Thermus thermophilus, and low succinylation and propionylation
were observed in Rhodothermus marinus. Comparison of the characteristics of
lysine succinylation and lysine propionylation suggested these two types of
acylation play different roles in cellular processes.
PMID- 27888077
TI - Subcortical Low-Intensity Lesions on Fluid-Attenuated Inversion Recovery Images
After Revascularization Surgery for Moyamoya Disease.
AB - OBJECTIVE: Although uncommon, subcortical low-intensity (SCLI) changes on fluid
attenuated inversion recovery images are observed in various diseases, including
cerebral ischemia. Here, we aimed to clarify the incidence and clinical
implications of SCLI changes after revascularization surgery for moyamoya
disease, focusing on the correlation with postoperative transient neurologic
events (TNEs). METHODS: In this retrospective case series analysis, we included
10 hemispheres from 9 adults with moyamoya disease who underwent
revascularization surgery. Subcortical signal intensity at the 5 gyri around the
anastomosis point was quantitatively measured at 1 week and 3 months
postoperatively. Changes in cerebral blood flow (CBF) were assessed using single
photon emission computed tomography. RESULTS: Images taken 1 week after surgery
showed widespread SCLI changes below the operative fields in all 10 cases, but
these changes normalized by 3 months. In addition, the changes in signal
intensity at anastomoses negatively correlated with the changes in CBF (R2 =
0.36; P = 0.039). Postoperative TNEs occurred in 6 cases (60%) but were resolved
within 17 days after surgery. Postoperative CBF increased in 9 of the 10 cases,
with a median of 23%; however, these increases were not associated with the
development of TNEs. The SCLI changes at the anastomosis points did not differ by
the experience of TNEs. CONCLUSIONS: Early after surgery, SCLI changes are common
findings below the operative fields but negatively correlate with increases in
CBF. Although no significant association was found between TNEs and the SCLI
changes, the synchronized development of these phenomena may suggest a common
underlying pathogenesis.
PMID- 27888078
TI - Basilar Artery Herniation into the Sphenoid Sinus Secondary to Traumatic Skull
Base Fractures: Case Report and Review of the Literature.
AB - BACKGROUND: Traumatic clival fractures occur with less than 0.6% frequency and
can be associated with significant neurovascular injuries. The most serious of
these injuries is to the basilar artery in which the artery is dissected or is
fully occluded, resulting in infarction of the brainstem and cerebellum. Among
early reports of these injuries, postmortem autopsy showed entrapment, or
incarceration, of the basilar artery at the clival fracture site. A literature
search revealed 11 cases of entrapment of the basilar artery within a clival
fracture. CASE DESCRIPTION: This report describes a 59-year-old man after a motor
vehicle crash with computed tomography showing a basilar artery herniation
through a sphenoid sinus fracture. The patient subsequently developed brainstem
and cerebellar infarcts. CONCLUSIONS: Basilar artery incarceration and herniation
through the sphenoid sinus is rare. Such an injury portends a poor prognosis. We
discuss the relevant clinical imaging and review the literature.
PMID- 27888079
TI - Neglected Recurrent Scalp Sinus: Calvarial Tuberculosis with Intracranial and
Extracranial Extension.
AB - BACKGROUND: Calvarial tuberculosis (TB) with intracranial tuberculoma and skin
involvement is rare condition even in endemic regions. CASE PRESENTATION: A 43
year-old man presented with a generalized seizure, altered mental state, scalp
swelling, and pus-discharging sinus over the scalp. Magnetic resonance imaging of
the brain indicated a conflicting diagnosis of anaplastic meningiomas and chronic
osteomyelitis with intracranial extension. Debridement and drainage of
intracranial pus was performed. Histopathologic examination revealed TB. After
surgery, the patient's general condition improved, and he was started on
antitubercular drugs. CONCLUSIONS: Calvarial TB manifests with various clinical
features, and strong clinical suspicion is needed to diagnose and treat it. Only
a few cases of calvarial TB with either skin involvement or intracranial
extension have been reported in the literature. The present case was challenging
to diagnose with a rare presentation involving both intracranial and extracranial
extension.
PMID- 27888080
TI - Comparison of Consecutive, Interval, and Skipped Pedicle Screw Techniques in
Moderate Lenke Type 1 Adolescent Idiopathic Scoliosis.
AB - OBJECTIVE: To compare perioperative, radiographic, and Scoliosis Research Society
22 (SRS-22) outcomes of consecutive, interval, and skipped pedicle screw
techniques in patients with moderate Lenke type 1 adolescent idiopathic scoliosis
(AIS). METHODS: We retrospectively reviewed 65 consecutive moderate Lenke type 1
AIS patients at a single institution using all-pedicle screw constructs, with a
minimum of 2 years of follow-up. In the consecutive group (C group, n = 22),
pedicle screws were instrumented at consecutive levels bilaterally. In the
interval group (I group, n = 18), pedicle screws were placed at every level on
the concave side while skipping levels on the convex side. In the skipped group
(S group, n = 25), pedicle screws were instrumented by skipping levels
bilaterally. Perioperative, radiographic, and SRS-22 measurements were analyzed
with a 1-way analysis of variance. RESULTS: No significant differences were found
in length of hospital stay, fused levels, coronal correction, and SRS-22 scores
among the 3 groups. Increased surgery time was found in the C group compared with
the I and S groups (P = 0.001 and P = 0.005, respectively). Decreased blood loss
and blood transfusions were found in the S group compared with the C group (P =
0.04 and P = 0.047, respectively). Decreased implant costs were found in the S
group compared with the C and I groups (P < 0.001 and P = 0.03, respectively).
CONCLUSIONS: Consecutive, interval, and skipped pedicle screw techniques all
provide satisfactory deformity correction and SRS-22 outcomes with few
complications. With better perioperative outcomes, interval and skipped pedicle
screw techniques are the more cost-effective options for patients with moderate
Lenke type 1 AIS.
PMID- 27888081
TI - Bow Hunter's Syndrome from a Tortuous V1 Segment Vertebral Artery Treated with
Stent Placement.
AB - BACKGROUND: Bow hunter's syndrome is a dynamic and reversible occlusion of the
vertebral artery occurring after rotation or extension of the neck. The V3
segment is the most common site of compression, especially at the atlantoaxial
joint. Surgical decompression with or without cervical fusion has been the
mainstay of therapy. Endovascular intervention, such as placement of stents, is
rarely performed. METHODS: We report a patient with bow hunter's syndrome from
tortuosity of the V1 segment of the VA treated with a self-expanding biliary
stent placement. RESULTS: The symptoms where completely resolved by this
treatment. CONCLUSIONS: Stent placement is a safe and effective option for V1
segment causes of Bow Hunter's syndrome, especially in absence of bony
compression.
PMID- 27888082
TI - Bifurcation Location Is Significantly Associated with Rupture of Small
Intracranial Aneurysms (<5 mm).
AB - BACKGROUND AND OBJECTIVE: Patients with small (<5 mm) unruptured intracranial
aneurysms (UIAs) are at risk of subarachnoid hemorrhage, but risk assessment of
these patients remains controversial in daily clinical practice. We aimed to
identify the risk factors of aneurysmal rupture in these patients. METHODS: We
retrospectively analyzed consecutive patients with small UIAs who were admitted
to our center between February 2009 and December 2014. The enrolled patients were
divided into ruptured and unruptured groups. The risk factors for aneurysmal
rupture were determined using multivariate logistic regression analysis. RESULTS:
A total of 548 patients with 618 small intracranial aneurysms (267 ruptured and
351 unruptured) were included. Univariate analysis showed that rupture of small
aneurysms was related to sex, age, smoking, hypertension, aspect ratio, size
ratio, irregular shape, aneurysm width, height, and neck diameter, and location
at bifurcation or posterior circulation. Multivariate logistic regression showed
that rupture was associated with bifurcation location (odds ratio [OR], 5.409;
95% confidence interval [CI], 3.656-8.001; P < 0.001), size ratio (OR, 3.092; 95%
CI, 2.002-4.774; P < 0.001), location (OR, 2.624; 95% CI, 1.428-4.824; P =
0.002), hypertension (OR, 1.698; 95% CI, 1.1140-2.527; P = 0.009), and age at
diagnosis of UIA (OR, 1.826; 95% CI, 1.225-2.723; P = 0.003). CONCLUSIONS: This
study showed that 70.4% of small ruptured intracranial aneurysms (<5 mm) were
located at parent artery bifurcations and that bifurcation location was a
significant independent factor for the risk of rupture of small UIAs (<5 mm).
Prophylactic treatment should be recommended for small UIAs in this location.
PMID- 27888083
TI - Clinical and Imaging Evaluation of Transuncus Selective Amygdalohippocampectomy.
AB - BACKGROUND: Various reports have described the transuncus (TU) approach as a
selective route to the amygdala and hippocampus, but this approach has not yet
been submitted to solid postoperative imaging analysis. The objective of this
study was to evaluate the anatomy, surgical technique, postoperative imaging
analysis, and outcome in a series of patients with temporal lobe epilepsy who
underwent selective amigdalohippocampectomy via a TU approach. METHODS: This was
a prospective study of 25 consecutive patients who underwent selective
amigdalohippocampectomy through a TU approach. The temporal stem and temporal
pole were evaluated through different modalities of 3-Tesla magnetic resonance
imaging, including tractography of optic radiation (OR), uncinate fascicle, and
inferior fronto-occipital fascicle. Visual field analysis was performed with
automated perimetry. RESULTS: The mean age was 40 +/- 8.21 years, and mean follow
up was 26.44 + 12.58 months. Postoperatively, 21 patients (84%) were classified
as Engel I (good seizure control). Diffusion tensor imaging (DTI) data showed
that 78.2% of patients had some structural damage to the temporal stem and fibers
of the uncinate fascicle were identified postoperatively in only 3 patients
(13.04%). The inferior fronto-occipital fascicle was identified in 18 patients
(78.3%); however, subsequent DTI analysis of the remaining fibers showed them to
be damaged. Integrity of the OR did not differ between these 2 groups.
CONCLUSIONS: A TU approach is a feasible and efficient approach to selective
amigdalohippocampectomy for surgical treatment of temporal lobe epilepsy.
Postoperative DTI analysis suggests that a TU approach results in more injury to
the temporal stem and its associated white matter fiber tracts than expected by
previous anatomic studies; however, it was efficient in preserving OR.
PMID- 27888084
TI - Are Locked Facets a Contraindication for Extreme Lateral Interbody Fusion?
AB - BACKGROUND: Extreme lateral interbody fusion (ELIF) has gained popularity as a
minimally invasive treatment allowing for indirect decompression of neural
elements. However, evidence regarding the influence of facet degeneration (FD)
and facet tropism (FT) toward indirect decompression is lacking. The aim of the
study was to evaluate whether indirect decompression is impaired by FD and FT in
patients undergoing ELIF. METHODS: Thirty-seven patients undergoing ELIF were
included in a retrospective study. Radiographic parameters including disk height,
segmental disk angle, foraminal area, FD, FT, and clinical outcome parameters
(Oswestry Disability Index and Visual Analog Scale) were measured preoperatively
and postoperatively. FD and FT were correlated with radiographic and clinical
outcome parameters in order to determine predictors restricting indirect
decompression. RESULTS: Thirty-seven patients with a total of 74 levels were
analyzed. Clinical and radiographic outcome measures including central canal area
(Delta = +17.2 mm2), mean disk height (Delta = +3 mm), and foraminal area (Delta
= +9.9 mm2) revealed significant improvement compared with before surgery (P <=
0.05). Patients with severe FD (grade 4) were more likely to have FT >= 12
degrees (32.3%) than patients without/mild (grades 0 and 1; 10%) or moderate FD
(grades 2 and 3; 13%), P <= 0.05. FD and FT did not affect disk height
restoration, foraminal area, canal surface area, or clinical outcome measures (P
>= 0.05). CONCLUSIONS: Indirect decompression of neural elements in ELIF is not
impaired by FD and FT are not relative contraindications in patients undergoing
ELIF.
PMID- 27888085
TI - High-Definition Fiber Tractography in Evaluation and Surgical Planning of
Thalamopeduncular Pilocytic Astrocytomas in Pediatric Population: Case Series and
Review of Literature.
AB - OBJECTIVE: Thalamopeduncular tumors (TPTs) of childhood present a challenge for
neurosurgeons due to their eloquent location. Preoperative fiber tracking
provides total or near-total resection, without additional neurologic deficit.
High-definition fiber tractography (HDFT) is an advanced white matter imaging
technique derived from magnetic resonance imaging diffusion data, shown to
overcome the limitations of diffusion tensor imaging. We aimed to investigate
alterations of corticospinal tract (CST) and medial lemniscus (ML) caused by TPTs
and to demonstrate the application of HDFT in preoperative planning. METHODS:
Three pediatric patients with TPTs were enrolled. CSTs and MLs were evaluated for
displacement, infiltration, and disruption. The relationship of these tracts to
tumors was identified and guided surgical planning. Literature was reviewed for
publications on pediatric thalamic and TPTs that used diffusion imaging. RESULTS:
Two patients had histologic diagnosis of pilocytic astrocytoma. One patient whose
imaging suggested a low-grade glioma was managed conservatively. All tracts were
displaced (1 CST anteriorly, 2 CSTs, 1 ML anteromedially, 1 ML medially, and 1 ML
posteromedially). Literature review revealed 2 publications with 15 pilocytic
astrocytoma cases, which investigated CST only. The condition of sensory pathway
or anteromedial displacement of the CST in these tumors was not reported
previously. CONCLUSIONS: Displacement patterns of the perilesional fiber bundles
by TPTs are not predictable. Fiber tracking, preferably HDFT, should be part of
preoperative planning to achieve maximal extent of resection for longer survival
rates in this young group of patients, while preserving white matter tracts and
thus quality of life.
PMID- 27888086
TI - Effects of Smoking on Subjective and Objective Measures of Pain Intensity,
Functional Impairment, and Health-Related Quality of Life in Lumbar Degenerative
Disk Disease.
AB - BACKGROUND: Numerous studies assessed the effects of smoking on lumbar
degenerative disk disease (DDD); they focused on patient-reported outcome
measures (PROMs) and yielded conflicting results. METHODS: In this 2-center study
on consecutive patients receiving surgical treatment for lumbar DDD, subjective
functional impairment (SFI) in terms of PROMs including visual analog scale back
and leg pain, Roland-Morris, Oswestry Disability Index, Euro-Qol-5D, and a Short
Form 12 physical component summary was determined at baseline, 3 days, 6 weeks, 6
months, and 1 year postoperatively. Age- and sex-adjusted T-scores of objective
functional impairment (OFI) were determined using the Timed Up and Go test up to
6 weeks postoperatively. The responder status was defined by the minimal
clinically important difference. RESULTS: We analyzed 375 patients (n = 96
[25.6%] smokers and n = 279 [74.4%] nonsmokers). SFI on any of the PROMs before
treatment was similar in smokers and nonsmokers. Smokers were more likely to have
OFI in univariate logistic regression analysis (95% confidence interval 1.31
3.37, P = 0.002). In multivariate analysis, however, this relationship became
insignificant (95% confidence interval 0.85-2.38, P = 0.184). The smoking status
had no predictive capacity on the 6-week SFI or OFI responder status, and there
were no differences in any of the PROMs until the 1-year follow-up. CONCLUSIONS:
PROMs measuring SFI for pain intensity, functional impairment, and health-related
quality of life were similar in smokers and nonsmokers before surgery for lumbar
DDD, as well as postoperatively. The smoking status has negligible impact on the
Timed Up and Go test, which appears to be a robust assessment tool for OFI.
PMID- 27888087
TI - Coiling of a Ruptured Large Internal Carotid Artery Aneurysm via Extracranial
Intracranial Saphenous Vein Bypass Graft Just After Proximal Ligation of the
Internal Carotid Artery.
AB - BACKGROUND: Combined direct and endovascular surgery has been performed to treat
large to giant internal carotid artery (ICA) aneurysms. This report describes
successful treatment of a large ICA aneurysm by coiling of the aneurysm via an
extracranial-intracranial saphenous vein (SV) graft just after bypass and ICA
proximal ligation. CASE DESCRIPTION: A 66-year-old woman presented with a left
ICA supraclinoid aneurysm with progressive visual field defect and impaired
visual acuity in the left eye. While waiting for scheduled surgery, she
experienced a subarachnoid hemorrhage. An extracranial-intracranial high-flow
bypass using an SV graft and proximal ligation of the ICA were performed. Coiling
of the aneurysm was immediately performed successfully via the SV bypass graft.
The patient experienced no new neurologic deficit after this treatment. Follow-up
radiologic evaluations using magnetic resonance imaging and magnetic resonance
angiography revealed complete aneurysm occlusion. CONCLUSIONS: Aneurysm coiling
via an extracranial-intracranial SV bypass graft could offer an alternative when
an antegrade access route to the ICA is not used because of prior parent artery
ligation.
PMID- 27888088
TI - Endoscopic Endonasal Management of Rare Sellar Lesions: Clinical and Surgical
Experience of 78 Cases and Review of the Literature.
AB - OBJECTIVE: In the present study we aim to provide further definition of a group
of rare sellar diseases treated by the endoscopic endonasal approach. METHODS:
The study was a retrospective analysis of data obtained from a series of 1729
patients who underwent endoscopic endonasal surgery at 2 academic institutions
(Universita degli Studi di Napoli Federico II, Naples, Italy between January 1997
and December 2013 and the Wexner Medical Center at The Ohio State University
between July 2010 and September 2015). Clinical charts, operative notes, and
pathology reports were examined. RESULTS: A total of 346 cases were identified to
have nonadenomatous diseases. Applying the Rosner test for outliers assisted in
excluding relatively frequent lesions. The final cohort of rare sellar diseases
comprised 78 patients. Arachnoid cysts were the most frequently encountered
sellar lesion (12 patients, 15%), followed by metastasis (11 cases, 14%),
followed by hypophysitis (8 cases, 10%), oncocytoma, and glioma (6 cases, 8%
each). The most frequent clinical findings were headache (28%) and visual
disorders (80%). A standard endoscopic endonasal approach was performed in 44
patients (56%), and an extended approach was carried out in 34 patients (44%).
Tumor removal was gross total in 53% of patients, subtotal in 19%, and partial in
21%. Postoperative endocrinologic and visual deficit evaluation showed
improvements in endocrine function in 8 patients (10%) and in visual disorders in
13 (16%). Postoperative complications arose in 28% of cases, mostly represented
by diabetes insipidus (10%). CONCLUSIONS: Endoscopic endonasal approaches offer
some specific benefits in the treatment of these patients.
PMID- 27888089
TI - Quantitative Measurements of the Skull Base and Craniovertebral Junction in
Congenital Occipitalization of the Atlas: A Computed Tomography-Based Anatomic
Study.
AB - OBJECTIVE: To study the craniovertebral junction and determine the anatomic
characteristics of occipitalization of the atlas (OC) by computed tomography (CT)
imaging. METHODS: We retrospectively reviewed 80 cases of patients with OC who
underwent cervical CT scanning between March 2012 and March 2014. Forty healthy
subjects were recruited as a control cohort. Fusion pattern and associated
osseous anomalies were recorded. Fifteen linear quantitative parameters were
measured to study the outlet of the foramen magnum, angular dimension of the
skull base, posterior cranial fossa, and height of the odontoid process. RESULTS:
The most common fusion pattern was the complete osseous fusion (83.75%). Fifty
four patients (67.5%) presented with other osseous anomalies. Measurements of the
OC group, such as the length of the clivus, cranial canal angle, and height of
the odontoid process, were significantly different than those of the control
group. The correlation analysis showed that the C1 lateral facet inclination was
significantly correlated with the age of onset. CONCLUSIONS: The outlet of the
foramen magnum is severely impaired in patients with OC, and the presence of
other osseous anomalies is common. Deformity is not confined to the region of the
assimilated atlas; the clivus and odontoid process are also shorter than normal.
The lateral facet inclination likely influences disease progression.
PMID- 27888090
TI - Rationale and design of Mi-CARE: The mile square colorectal cancer screening,
awareness and referral and education project.
AB - Although colorectal cancer (CRC) is largely preventable through identification of
pre-cancerous polyps through various screening modalities, morbidity and
mortality rates remain a challenge, especially in African-American, Latino, low
income and uninsured/underinsured patients. Barriers to screening include cost,
access to health care facilities, lack of recommendation to screen, and
psychosocial factors such as embarrassment, fear of the test, anxiety about
testing preparation and fear of a cancer diagnosis. Various intervention
approaches to improve CRC screening rates have been developed. However,
comparative effectiveness research (CER) to investigate the relative performance
of different approaches has been understudied, especially across different real
life practice settings. Assessment of differential efficacy across diverse
vulnerable populations is also lacking. The current paper describes the rationale
and design for the Mile Square Colorectal Cancer Screening, Awareness and
Referral and Education Project (Mi-CARE), which aims to increase CRC screening
rates in 3 clinics of a large Federally Qualified Health Center (FQHC) by
reducing prominent barriers to screening for low-income, minority and underserved
patients. Patients attending these clinics will receive one of three
interventions to increase screening uptake: lay patient navigator (LPN)-based
navigation, provider level navigation, or mailed birthday CRC screening
reminders. The design of our program allows for comparison of the effectiveness
of the tailored interventions across sites and patient populations. Data from Mi
CARE may help to inform the dissemination of tailored interventions across FQHCs
to reduce health disparities in CRC.
PMID- 27888091
TI - Patient and clinical characteristics that heighten risk for heart failure
readmission.
AB - BACKGROUND: Within 30 days of hospital discharge, heart failure (HF) readmission
rates nationally accumulate to more than 20%. Due to this high rate of unplanned
re-hospitalization, predictive models are needed to identify patients who pose
the highest readmission risk. OBJECTIVE: To evaluate the diagnosis and timing and
to identify patient and clinical characteristics associated with 30 day
readmissions among HF patients. METHODS: A retrospective analysis of electronic
health records was conducted to study HF admissions during the period October
2008 to November 2014. Patients with a primary discharge diagnosis consistent
with HF were included. Descriptive statistics were used to compare the readmitted
and non-readmitted cohorts. Logistic regression was used to develop a predictive
model to determine patient and clinical variables associated with 30 day
readmission. RESULTS: Characteristics of the study cohort (n = 2420) are: a mean
age of 72, predominantly male (55%), white (55%), currently not employed (91%),
and utilizing Medicare as a payer (68%). Overall, 42% were married. Over the
study time period there were 394 (16.3%) 30 day readmissions after 2420
hospitalizations. The 3 most common reasons for readmission were HF (36.0%),
renal disorders (8.4%), and other cardiac diseases (6.9%). Analysis showed that
11.9% of patients readmitted during days 0-3, 15.2% during days 4-7, 31.5% during
days 8-15, and 41.4% during days 16-30. The final multivariate predictive model
included 5 variables that were associated with an increased risk for 30-day
readmission: employment status as retired or disabled, > 1 emergency department
visit in the past 90 days, length of stay >5 days during index visit, and a BUN
value > 45 mg/dL. CONCLUSION: This study provides a deeper understanding of
patient and clinical characteristics that are associated with readmission in HF.
Evaluation of these characteristics will provide additional information to guide
strategies meant to reduce HF readmission rates.
PMID- 27888092
TI - Classifying pharmacists' interventions recorded in observational databases: Are
they all necessary and appropriate?
AB - Over the past 25 years in various countries, researchers have developed tools for
recording pharmacist's interventions (PIs) and observational databases aimed at
the exhaustive collection of these interventions. The large amount of published
data contrasts strikingly with the fact that little attention has been paid to
defining the different types of PIs from a theoretical point of view. Whatever
the paper we read on this topic, each PI is presented as necessary and
appropriate. We suggest this customary approach is biased and that the reality is
somewhat more subtle. In order to better reflect the real world, we propose a new
approach to the classification of PIs that is based on whether they are present
or absent in observational databases, and we explain how to identify the absent
ones. Present and absent PIs can be subdivided in two additional categories:
appropriate and inappropriate ones. This additional classification should
encourage pharmacists to critically examine and evaluate their practice and
subsequently improve their ability to identify drug related problems in clinical
practice.
PMID- 27888093
TI - Randomized trial of rATg/Daclizumab vs. rATg/Alemtuzumab as dual induction
therapy in renal transplantation: Results at 8years of follow-up.
AB - Our goal in using dual induction therapy is to bring the kidney transplant
recipient closer (through more effectively timed lymphodepletion) to an optimally
immunosuppressed state. Here, we report long-term results of a prospective
randomized trial comparing (Group I,N=100) rATG/Dac (3 rATG, 2 Dac doses) vs.
(Group II,N=100) rATG/Alemtuzumab(C1H) (1 dose each), using reduced tacrolimus
dosing, EC-MPS, and early corticosteroid withdrawal. Lower EC-MPS dosing was
targeted in Group II to avoid severe leukopenia. Median follow-up was 96mo post
transplant. There were no differences in 1st BPAR (including borderline) rates:
10/100 vs. 9/100 in Groups I and II during the first 12mo(P=0.54), and 20/100 vs.
20/100 throughout the study(P=0.90). Equally favorable renal function was
maintained in both treatment arms(N.S.). While not significant, more patients in
Group II experienced graft loss, 25/100 vs. 18/100 in Group I(P=0.23). Actuarial
patient/graft survival at 96mo was 92%/83% vs. 85%/73% in Groups I and II(N.S.).
DWFG-due-to-infection(N.S.), EC-MPS withholding-due-to-leukopenia during the
first 2mo(P=0.03), and incidence of viral infections(P=0.09) were higher in Group
II, whereas EC-MPS withholding-due-to-GI symptoms was higher in Group I(P=0.009).
No other adverse event differences were observed. While long-term anti-rejection
and renal function efficacy were demonstrated in both treatment arms, slight over
immunosuppression of Group II patients occurred.
PMID- 27888094
TI - Nano-analyses of wear particles from metal-on-metal and non-metal-on-metal dual
modular neck hip arthroplasty.
AB - Increased failure rates due to metallic wear particle-associated adverse local
tissue reactions (ALTR) is a significant clinical problem in resurfacing and
total hip arthroplasty. Retrieved periprosthetic tissue of 53 cases with
corrosion/conventional metallic wear particles from 285 revision operations for
ALTR was selected for nano-analyses. Three major classes of hip implants
associated with ALTR, metal-on-metal hip resurfacing arthroplasty (MoM HRA) and
large head total hip replacement (MoM LHTHA) and non-metal-on-metal dual modular
neck total hip replacement (Non-MoM DMNTHA) were included. The size, shape,
distribution, element composition, and crystal structure of the metal particles
were analyzed by conventional histological examination and electron microscopy
with analytic tools of 2D X-ray energy dispersive spectrometry and X-ray
diffraction. Distinct differences in size, shape, and element composition of the
metallic particles were detected in each implant class which correlate with the
histological features of severity of ALTR and variability in implant performance.
PMID- 27888095
TI - Modulation of voltage-gated conductances of retinal horizontal cells by UV
excited TiO2 nanoparticles.
AB - This study examines the ability of optically-excited titanium dioxide
nanoparticles to influence voltage-gated ion channels in retinal horizontal
cells. Voltage clamp recordings were obtained in the presence and absence of TiO2
and ultraviolet laser excitation. Significant current changes were observed in
response to UV light, particularly in the -40 mV to +40 mV region where voltage
gated Na+ and K+ channels have the highest conductance. Cells in proximity to UV
excited TiO2 exhibited a left-shift in the current-voltage relation of around 10
mV in the activation of Na+ currents. These trends were not observed in control
experiments where cells were excited with UV light without being exposed to TiO2.
Electrostatic force microscopy confirmed that electric fields can be induced in
TiO2 with UV light. Simulations using the Hodgkin-Huxley model yielded results
which agreed with the experimental data and showed the I-V characteristics of
individual ion channels in the presence of UV-excited TiO2.
PMID- 27888096
TI - Development, validation and psychometric properties of the Arabic version of the
Orofacial Esthetic Scale: OES-Ar.
AB - PURPOSE: This study aimed at developing the Arabic version of the Orofacial
Esthetic Scale (OES-Ar) and to investigate its psychometric properties among
Arabic-speaking population with and without esthetic impairments. METHODS:
Translation and cross-cultural adaptation was done according to the standard
guidelines. Internal consistency was assessed on 230 participants. For test
retest reliability, 50 subjects with natural teeth were recalled within a period
of 2 weeks. Validity of the OES-Ar was tested by construct, convergent, and
discriminant validity tests. Responsiveness to esthetic changes was assessed in
60 patients. RESULTS: The results showed excellent internal consistency with
Cronbach's alpha value of 0.92 and inter-item correlation average value of 0.60.
The ICC values ranged from 0.87 to 0.96 which indicated excellent agreement.
Construct validity of the OES-Ar was confirmed to be one-factor structure (one
dimensional). For convergent validity, a significant correlation was found
between OES summary score and overall impression of the orofacial esthetic as
well as between OES summary score and the summary score of the three questions of
the OHIP-49Ar related to esthetic. The discriminant validity test revealed
significant differences between different study groups (P<0.001). Responsiveness
to treatment was confirmed by significant differences between pre- and post
treatment OES total summary score (P<0.001). CONCLUSION: The OES-Ar has excellent
psychometric properties making it valuable instrument to assess orofacial
esthetics in Arabic-speaking patients.
PMID- 27888097
TI - Rab7a regulates cell migration through Rac1 and vimentin.
AB - Rab7a, a small GTPase of the Rab family, is localized to late endosomes and
controls late endocytic trafficking. The discovery of several Rab7a interacting
proteins revealed that Rab7a function is closely connected to cytoskeletal
elements. Indeed, Rab7a recruits on vesicles RILP and FYCO that are responsible
for the movement of Rab7a-positive vesicles and/or organelles on microtubule
tracks, but also directly interacts with Rac1, a fundamental regulator of actin
cytoskeleton, and with peripherin and vimentin, two intermediate filament
proteins. Considering all these interactions and, in particular, the fact that
Rac1 and vimentin are key factors for cellular motility, we investigated a
possible role of Rab7a in cell migration. We show here that Rab7a is needed for
cell migration as Rab7a depletion causes slower migration of NCI H1299 cells
affecting cell velocity and directness. Rab7a depletion negatively affects
adhesion and spreading onto fibronectin substrates, altering beta1-integrin
activation, localization and intracellular trafficking, and myosin X
localization. In fact, Rab7a-depleted cells show 40% less filopodia and active
integrin accumulates at the leading edge of migrating cells. Furthermore, Rab7a
depletion decreases the amount of active Rac1 but not its abundance and reduces
the number of cells with vimentin filaments facing the wound, indicating that
Rab7a has a role in the orientation of vimentin filaments during migration. In
conclusion, our results demonstrate a key role of Rab7a in the regulation of
different aspects of cell migration.
PMID- 27888098
TI - Identification of novel peptide motifs in the serpin maspin that affect vascular
smooth muscle cell function.
AB - Maspin is a non-inhibitory member of the serpin family that affects cell
behaviours related to migration and survival. We have previously shown that
peptides of the isolated G alpha-helix (G-helix) domain of maspin show
bioactivity. Migration, invasion, adhesion and proliferation of vascular smooth
muscle cells (VSMC) are important processes that contribute to the build-up of
atherosclerotic plaques. Here we report the use of functional assays of these
behaviours to investigate whether other maspin-derived peptides impact directly
on VSMC; focusing on potential anti-atherogenic properties. We designed 18 new
peptides from the structural moieties of maspin above ten amino acid residues in
length and considered them beside the existing G-helix peptides. Of the novel
peptides screened those with the sequences of maspin strand 4 and 5 of beta sheet
B (S4B and S5B) reduced VSMC migration, invasion and proliferation, as well as
increasing cell adhesion. A longer peptide combining these consecutive sequences
showed a potentiation of responses, and a 7-mer contained all essential elements
for functionality. This is the first time that these parts of maspin have been
highlighted as having key roles affecting cell function. We present evidence for
a mechanism whereby S4B and S5B act through ERK1/2 and AMP-activated protein
kinase (AMPK) to influence VSMC responses.
PMID- 27888099
TI - Bivalent kinetic binding model to surface plasmon resonance studies of antigen
antibody displacement reactions.
AB - Molecular and functional analysis of small molecule binding to protein can
provoke insights into cellular signaling and regulatory systems as well as
facilitate pharmaceutical drug discovery. In label free small molecule detection
the displacement assay format can be applied. This is beneficial because
displacement of high molecular weight receptors is detected instead of low
molecular weight ligand as in classical binding analysis. Thus, detection limit
is potentially lowered. Using the influenza haemagglutinin (HA) peptide binding
to mono or bivalent anti-haemagglutinin peptide antibody displacement assay
formats could be established. The exact time resolved analysis of binding and
dissolution of ligand HA and anti-Haemagglutinin peptide antibody was achieved
with surface plasmon resonance (SPR) spectroscopy. Mathematical models could be
developed from kinetic equations of ligand binding to mono or bivalent
antibodies. With this, an accurate simulation of the SPR results was reached. The
simulation plot had to be exactly adjusted to the SPR results to determine all
kinetic rate constants defining ligand and receptor binding kinetics. Large
variations in receptor concentration gave almost identical rate constants in
binding. It became obvious that rebinding is in any case not necessary to
understand the binding kinetics of our model system HA/anti-HA. Maximum decline
of SPR response could be used to determine ligand concentrations in analyte.
PMID- 27888100
TI - Harnessing Wharton's jelly stem cell differentiation into bone-like nodule on
calcium phosphate substrate without osteoinductive factors.
AB - : An important aim of bone regenerative medicine is to design biomaterials with
controlled chemical and topographical features to guide stem cell fate towards
osteoblasts without addition of specific osteogenic factors. Herein, we find that
sprayed bioactive and biocompatible calcium phosphate substrates (CaP) with
controlled topography induce, in a well-orchestrated manner, Wharton's jelly stem
cells (WJ-SCs) differentiation into osteoblastic lineage without any osteogenic
supplements. The resulting WJ-SCs commitment exhibits features of native bone,
through the formation of three-dimensional bone-like nodule with osteocyte-like
cells embedded into a mineralized type I collagen. To our knowledge, these
results present the first observation of a whole differentiation process from
stem cell to osteocytes-like on a synthetic material. This suggests a great
potential of sprayed CaP and WJ-SCs in bone tissue engineering. These unique
features may facilitate the transition from bench to bedside and the development
of successful engineered bone. STATEMENT OF SIGNIFICANCE: Designing materials to
direct stem cell fate has a relevant impact on stem cell biology and provides
insights facilitating their clinical application in regenerative medicine.
Inspired by natural bone compositions, a friendly automated spray-assisted system
was used to build calcium phosphate substrate (CaP). Sprayed biomimetic solutions
using mild conditions led to the formation of CaP with controlled physical
properties, good bioactivity and biocompatibility. Herein, we show that via
optimization of physical properties, CaP substrate induce osteogenic
differentiation of Wharton's jelly stem cells (WJ-SCs) without adding osteogenic
supplement factors. These results suggest a great potential of sprayed CaP and WJ
SCs in bone tissue engineering and may facilitate the transition from bench to
beside and the development of clinically successful engineered bone.
PMID- 27888101
TI - Post-hatching brain morphogenesis and cell proliferation in the pulse-type
mormyrid Mormyrus rume proboscirostris.
AB - The anatomical organization of African Mormyrids' brain is a clear example of
departure from the average brain morphotype in teleosts, probably related to
functional specialization associated to electrosensory processing and sensory
motor coordination. The brain of Mormyrids is characterized by a well-developed
rhombencephalic electrosensory lobe interconnected with relatively large
mesencephalic torus semicircularis and optic tectum, and a huge and complex
cerebellum. This unique morphology might imply cell addition from
extraventricular proliferation zones up to late developmental stages. Here we
studied the ontogeny of these brain regions in Mormyrus rume proboscirostris from
embryonic to adult stages by classical histological techniques and 3D
reconstruction, and analyzed the spatial-temporal distribution of proliferating
cells, using pulse type BrdU labeling. Brain morphogenesis and maturation
progressed in rostral-caudal direction, from 4day old free embryos, through
larvae, to juveniles whose brain almost attained adult morphological complexity.
The change in the relative size of the telencephalon, and mesencephalic and
rhombencephalic brain regions suggest a developmental shift in the relative
importance of visual and electrosensory modalities. In free embryos,
proliferating cells densely populated the lining of the ventricular system.
During development, ventricular proliferating cells decreased in density and
extension of distribution, constituting ventricular proliferation zones. The
first recognizable one was found at the optic tectum of free embryos. Several
extraventricular proliferation zones were found in the cerebellar divisions of
larvae, persisting along life. Adult M. rume proboscirostris showed scarce
ventricular but profuse cerebellar proliferation zones, particularly at the
subpial layer of the valvula cerebelli, similar to lagomorphs. This might
indicate that adult cerebellar proliferation is a conserved vertebrate feature.
PMID- 27888102
TI - The phosphatidyl inositol 3 kinase pathway does not suppress activation of the
ARF and BIM genes by deregulated E2F1 activity.
AB - The transcription factor E2F plays crucial roles in tumor suppression by
activating pro-apoptotic genes such as the tumor suppressor ARF. The regulation
of the ARF gene is distinct from that of growth-related E2F targets, in that it
is specifically activated by deregulated E2F activity, induced by over-expression
of E2F or forced inactivation of pRB, but not by physiological E2F activity
induced by growth stimulation. The phosphatidyl inositol 3 kinase (PI3K) pathway
was reported to suppress expression of some atypical pro-apoptotic genes by over
expressed E2F1. However, the effects of the PI3K pathway on the distinct
regulation of typical pro-apoptotic E2F targets have not been elucidated. We
examined whether the PI3K pathway suppressed activation of the typical pro
apoptotic E2F targets ARF and BIM. Activation of the PI3K pathway by growth
stimulation or introduction of a constitutively active Akt/PKB did not reduce
induction of ARF or BIM gene expression or activation of their promoters by over
expressed E2F1. These results suggest that the PI3K pathway does not suppress
induction of typical pro-apoptotic genes that are selectively activated by
deregulated E2F1.
PMID- 27888103
TI - Luteolin improves non-alcoholic fatty liver disease in db/db mice by inhibition
of liver X receptor activation to down-regulate expression of sterol regulatory
element binding protein 1c.
AB - In this study, we report that daily administration of luteolin for 8 weeks
improved hepatic steatosis by repressing hepatic TG accumulation and increasing
glycogen storage. Luteolin inhibited hepatic de novo lipid synthesis by
regulating the LXR-SREBP-1c signaling pathway, which is over-activated in the
livers of db/db mice. Further in vitro studies revealed that luteolin can
competitively bind to the ligand binding domain to suppress the LXR activation
induced by an LXR agonist and high glucose, thereby decreasing TG accumulation in
HepG2 cells and primary hepatocytes. Taken together, our results indicate that
luteolin can abolish lipid accumulation induced by LXR-SREBP-1c activation both
in vivo and in vitro, and may have potential as a therapeutic agent for treating
NAFLD.
PMID- 27888104
TI - Inhibition of ERK activity enhances the cytotoxic effect of peroxisome
proliferator-activated receptor gamma (PPARgamma) agonists in HeLa cells.
AB - In this study, we examined whether the peroxisome proliferator-activated receptor
gamma (PPARgamma) agonists, ciglitazone (CGZ) and troglitazone (TGZ), induce cell
death in human cervical cancer HeLa cells. The cells were treated with a range of
CGZ or TGZ doses for 24 or 48 h. Low concentrations of CGZ (<=10 MUM) or TGZ
(<=20 MUM) had no effect on cell viability whereas higher doses induced cell
death in a time- and dose-dependent manner as evidenced by the detection of
activated caspase-3 and PARP cleavage. Treatment with the PPARgamma antagonist
GW9662 followed by PPARgamma agonists did not increase CGZ- or TGZ-induced cell
death, indicating that PPARgamma agonists induced HeLa cell death independently
of PPARgamma. Moreover, ERK1/2 activation was observed at a CGZ concentration of
25 MUM and a TGZ concentration of 35 MUM, both of which induced cell death. To
elucidate the role of ERK1/2 activated by the two PPARgamma agonists, the effect
of U0126, an inhibitor of ERK1/2, on PPARgamma-agonist-induced cell death was
examined. Treatment with 10 or 20 MUM U0126 followed by CGZ or TGZ induced the
down-regulation of ERK1/2 activity and a decrease in Bcl-2 expression accompanied
by the collapse of mitochondrial membrane potential, which in turn significantly
enhanced CGZ- or TGZ-induced apoptotic cell death. Our results suggest that
PPARgamma agonists are capable of inducing apoptotic cell death in HeLa cells
independently of PPARgamma and that inhibition of ERK1/2 activity offers a
strategy to enhance the cytotoxicity of PPARgamma agonists in the treatment of
cervical cancer.
PMID- 27888105
TI - Efficient ex vivo delivery of chemically modified messenger RNA using lipofection
and magnetofection.
AB - Recently, chemically modified mRNA (cmRNA) therapeutics have been the subject of
extensive application-oriented research in both academia and industry as a safer
alternative for gene and recombinant protein therapies. However, the lack of an
efficient delivery system hinders widespread application. Here we used ~100-nm
lipoplexes and magnetic lipoplexes that can protect cmRNA from RNases and
efficiently deliver it into muscle and fat tissues as well as to the endothelium
of the carotid artery. Establishing magnetofection for ex vivo cmRNA delivery for
the first time, we suggest this method for potential enhanced and targeted
delivery of cmRNA. This study introduces optimal cmRNA complexes with high ex
vivo efficiency as good candidates for further in vivo cmRNA delivery.
PMID- 27888106
TI - Long non-coding RNA NEAT1 facilitates pancreatic cancer progression through
negative modulation of miR-506-3p.
AB - Recently, long non-coding RNAs (lncRNAs) have been shown to have critical
regulatory roles in tumourigenesis. Increasing evidence has suggested that lncRNA
NEAT1 has been implicated in various types of human cancer. However, the
potential biological roles and regulatory mechanisms of NEAT1 in pancreatic
cancer (PC) remains unclear. Here, we found that the expression level of NEAT1
was higher in PC tissues compared to the corresponding non-tumor tissues.
Besides, our findings indicate that high NEAT1 expression level is closely
correlated with tumor progression and poor survival in PC patients. Furthermore,
we also found that knockdown of NEAT1 remarkably suppressed cell proliferation by
inducing cell cycle arrest and apoptosis promotion in PC cells. Moreover,
bioinformatics analysis and luciferase reporter assay revealed that NEAT1
directly bound to the miR-506-3p, which has been reported to act as a tumor
suppressor in diverse cancers. Additionally, our results confirmed that the tumor
promoting effects of NEAT1 in PC cells is at least partly through negative
modulation of miR-506-3p. Overall, our results suggested that NEAT1 functions as
an oncogenic lncRNA in PC, which could be a novel diagnostic and therapeutic
target for PC.
PMID- 27888108
TI - Hypoxanthine causes endothelial dysfunction through oxidative stress-induced
apoptosis.
AB - Endothelial cell injury and dysfunction caused by reactive oxygen species (ROS)
are implicated in the pathogenesis of vascular diseases. ROS are generated and
hypoxanthine is degraded by xanthine oxidase. Smoking and alcohol consumption are
associated with an increased level of hypoxanthine. We aimed to study the direct
role of hypoxanthine in endothelial dysfunction in human umbilical vascular
endothelial cells (HUVECs). Hypoxanthine induced cell death and production of
ROS. Furthermore, hypoxanthine induced apoptosis through regulation of protein
expression related to apoptosis. When cells were pretreated with N-acetylcysteine
or a pancaspase inhibitor (Z-VAD-fmk) and stimulated with hypoxanthine, Z-VAD-fmk
and N-acetylcysteine prevented hypoxanthine-induced apoptosis by inhibiting the
ROS production and caspase pathway. Thus, an increased extracellular
concentration of hypoxanthine induces endothelial dysfunction through ROS
production and regulates expression of apoptosis-related proteins in HUVECs.
These effects are expected to be associated with some vascular diseases.
PMID- 27888107
TI - Sodium butyrate attenuates soybean oil-based lipid emulsion-induced increase in
intestinal permeability of lipopolysaccharide by modulation of P-glycoprotein in
Caco-2 cells.
AB - Down-regulation of intestinal P-glycoprotein (P-gp) by soybean oil-based lipid
emulsion (SOLE) may cause elevated intestinal permeability of lipopolysaccharide
(LPS) in patients with total parenteral nutrition, but the appropriate
preventative treatment is currently limited. Recently, sodium butyrate (NaBut)
has been demonstrated to regulate the expression of P-gp. Therefore, this study
aimed to address whether treatment with NaBut could attenuate SOLE-induced
increase in intestinal permeability of LPS by modulation of P-gp in vitro. Caco-2
cells were exposed to SOLE with or without NaBut. SOLE-induced down-regulation of
P-gp was significantly attenuated by co-incubation with NaBut. Nuclear
recruitment of FOXO 3a in response to NaBut was involved in P-gp regulation.
Transport studies revealed that SOLE-induced increase in permeability of LPS was
significantly attenuated by co-incubation with NaBut. Collectively, our results
suggested that NaBut may be a potentially useful medication to prevent SOLE
induced increase in intestinal permeability of LPS.
PMID- 27888109
TI - Ephestia kuehniella tolerance to Bacillus thuringiensis Cry1Aa is associated with
reduced oligomer formation.
AB - The basis of the different susceptibility of Ephestia kuehniella to the Cry1Aa
and Cry1Ac delta-endotoxins from Bacillus thuringiensis kurstaki BNS3 was
studied. Both toxins bound specifically to the BBMV of E. kuehniella. The result
of the ligand blot showed that Cry1Ac bound to three putative receptors of about
100, 65 and 80 kDa and Cry1Aa interacted only with a 100 kDa protein. Pronase
digestion of the BBMV-bound toxins was used to analyze the toxin insertion. Both
toxins inserted into the BBMV as monomers however, a 14 kDa peptide of alpha4
alpha5 which correspond to the oligomeric form of this peptide was detected in
case of Cry1Ac only. Analysis of the in vitro oligomerisation of these toxins in
the presence of the BBMV of E. kuehniella showed reduced oligomer formation in
case of Cry1Aa in comparison with Cry1Ac. Taken together, these results strongly
suggest that the difference of toxicity between Cry1Aa and Cry1Ac to E.
kuehniella is due to a deficient oligomerisation of Cry1Aa.
PMID- 27888110
TI - Tubby domain superfamily protein is required for the formation of the 7S SNARE
complex in Drosophila.
AB - Tubby domain superfamily protein (TUSP) is a distant member of the Tubby-like
protein (TULP) family. Although other TULPs play important roles in sensation,
metabolism, and development, the molecular functions of TUSP are completely
unknown. Here, we explore the function of TUSP in the Drosophila nervous system
where it is expressed in all neurons. Tusp mutant flies exhibit a temperature
sensitive paralysis. This paralysis can be rescued by tissue-specific expression
of Tusp in the giant fibers and peripherally synapsing interneurons of the giant
fiber system, a well-characterized neuronal circuit that mediates rapid escape
behavior in flies. Consistent with this paralytic phenotype, we observed a
profound reduction in the assembly of the ternary 7S SNARE complex that is
required for neurotransmitter release despite seeing no changes in the expression
of each individual SNARE complex component. Together, these data suggest TUSP is
a novel regulator of SNARE assembly and, therefore, of neurotransmitter release.
PMID- 27888112
TI - Satellite cell activation induced by aerobic muscle adaptation in response to
endurance exercise in humans and rodents.
AB - Although the requirement of satellite cells activation and expansion following
injury, mechanical load or growth stimulus provoked by resistance exercise has
been well established, their function in response to aerobic exercise adaptation
remains unclear. A clear relationship between satellite cell expansion in fiber
type specific myosin heavy chain and aerobic performance has been related,
independent of myonuclear accretion or muscle growth. However, the trigger for
this activation process is not fully understood yet and it seems to be a multi
faceted and well-orchestrated process. Emerging in vitro studies suggest a role
for metabolic pathways and oxygen availability for satellite cell activation,
modulating the self-renewal potential and cell fate control. The goal of this
review is to describe and discuss the current knowledge about the satellite cell
activation and expansion in response to aerobic exercise adaptation in human and
rodent models. Additionally, findings about the in vitro metabolic control, which
seems be involved in the satellite cell activation and cell fate control, are
presented and discussed.
PMID- 27888111
TI - Neutralizing human recombinant antibodies against herpes simplex virus type 1
glycoproteins B from a phage-displayed scFv antibody library.
AB - The HSV-1 envelope glycoprotein B (gB) plays a critical role in virus entry into
host cells. Neutralizing antibodies can therefore potentially prevent virus entry
into target cells and cell-to-cell spread of infection. Our present study focused
on the selection of neutralizing single-chain Fv (scFv) antibodies of a phage
displayed nonimmune human scFv antibody library against gB of HSV-1. To enrich
specific scFvs, two phage antibodies were isolated against amino acid residues 31
43 derived from the N-terminal part of gB using panning technique. Two scFvs,
scFv-gB1 and scFv-gB2, with frequencies of 45% and 20% were obtained from scFv
clones after performing PCR and MvaI fingerprinting. In phage ELISA analysis,
both gB1 and gB2 scFvs demonstrated high reactivity with the gB peptide. In the
neutralization assay, scFv-gB1 and scFv-gB2 represented neutralizing effects of
55% and 59%, respectively. Upon further enhancement of the neutralizing effects
of these antibodies, they can be considered as new potential alternatives in the
treatment and prophylaxis of HSV-1 infections.
PMID- 27888113
TI - Circadian urinary citrate excretion in a rat model of exercise.
AB - AIMS: Circadian rhythms are the approximate 24h biological cycles that function
to prepare an organism for daily environmental changes. Circadian rhythms
unquestionably play critical roles in metabolic homeostasis and the exercise has
emerged as a strong non-photic time cue or zeitgeber in animal models and humans.
Numerous studies about the effects of exercise on the citrate synthase activity
have been published. Citrate is used to assess energy production or expenditure
because it is a substrate of the Krebs Cycle, a cycle for oxidative energy
production. MAIN METHODS: We tested the existence of a rhythmic urinary citrate
excretion in a rat model that is made to exercise at six different points during
the day. KEY FINDINGS: The data obtained by the enzyme assays were fitted to a
mathematical model (Fourier series), showing for the first time, the existence of
a distinct ultradian rhythm in the urinary citrate excretion. The aerobic
exercise led to the increase in the period length of the ultradian rhythm and
raised the acrophase value of the urinary citrate excretion. Therefore, the
urinary citrate excretion pattern changed after exercise, showing a clear
circadian rhythm fitted to the mathematical model. SIGNIFICANCE: The citrate
urine samples could provide accurate data for ranking an individual's metabolic
status. Using exercise to maintain the circadian clock at an appropriate phase
and amplitude might be effective to prevent cardiometabolic disease development.
PMID- 27888114
TI - Limonene reduces hyperalgesia induced by gp120 and cytokines by modulation of IL
1 beta and protein expression in spinal cord of mice.
AB - AIMS: We have investigated the antihyperalgesic effects of limonene in mice that
received intrathecal injection of gp120. MAIN METHODS: Male Swiss mice received
gp120, IL-1beta or TNF-alpha intrathecally or sterile saline as a control. A
mechanical sensitivity test was performed at 2 and 3h after the injection. Spinal
cord and blood samples were isolated for protein quantification. KEY FINDINGS:
Intrathecal administration of gp120 increased mechanical sensitivity measured
with an electronic Von Frey apparatus, at 2 and 3h after the injections. Limonene
administered orally prior to gp120 administration significantly decreased this
mechanical sensitivity at 3h after the gp120 injection. In addition, intrathecal
injection of gp120 increased IL-1beta and IL-10 in serum, and limonene prevented
the ability of gp120 to increase these cytokines. Limonene also inhibited TNF
alpha and IL-1beta-induced mechanical hyperalgesia. Western blot assay
demonstrated limonene was capable of increasing SOD expression in the cytoplasm
of cells from spinal cord at 4h after intrathecal IL-1beta injection.
SIGNIFICANCE: These results demonstrate that gp120 causes mechanical hyperalgesia
and a peripheral increase in IL-1beta and IL-10, and that prior administration of
limonene inhibits these changes. Also limonene modulates the activation of SOD
expression in the spinal cord after spinal IL-1beta application. The ability of
limonene to inhibit the mechanical hyperalgesia induced by gp120, TNF-alpha and
IL-1beta emphasizes the anti-inflammatory action of limonene, specifically its
ability to inhibit cytokine production and its consequences.
PMID- 27888115
TI - Application of carbon nanotubes as the carriers of the cannabinoid, 2
arachidonoylglycerol: Towards a novel treatment strategy in colitis.
AB - AIMS: Treatment of colitis has remained a major clinical challenge. The
cannabinoid, 2-arachidonoyglycerol (2-AG), has shown beneficial effects in
colitis, however, poor solubility or rapid hydrolysis may limit its efficiency.
According to the high biocompatibility of carbon nanotubes (CNTs) and their
ability for controlled drug delivery, we aimed to prepare multi-walled CNTs-2-AG
(MWCNTs-2-AG) complex in order to improve the pharmacological profile of 2-AG and
evaluate the therapeutic potential of this nanocomplex in a rat model of colitis.
MATERIALS AND METHODS: Aminated MWCNTs-2-AG complex was prepared using acidified
MWCNTs and then characterized by Fourier transform infrared spectroscopy and
transmission electron microscopy. In vitro cytotoxicity of MWCNTs was evaluated.
Colitis was induced by colonic instillation of trinitrobenzene sulfonic acid
(TNBS) and the effects of 2-AG solution and various types of MWCNTs on the
colonic tissue damage, inflammation, and oxidative stress were evaluated. KEY
FINDINGS: Aminated MWCNTs and MWCNTs-2-AG complex exhibited significantly lower
cytotoxicity than acidified MWCNTs. Once daily intrarectal application of MWCNTs
2-AG complex (containing 2mg/kg of 2-AG) 2days before and 8days after the
induction of colitis effectively reduced the macroscopic and microscopic
injuries, malondialdehyde, tumour necrosis factor-alpha, and interlukin-1beta
concentrations, and myeloperoxidase activity. While, free 2-AG (2mg/kg), and
acidified or aminated MWCNTs showed no beneficial effects. SIGNIFICANCE: Amino
functionalized MWCNTs appear as the suitable carriers for 2-AG which provide a
sustained concentration for this cannabinoid leading to the promising therapeutic
effects in the experimental colitis.
PMID- 27888116
TI - HPV16 induces a wasting syndrome in transgenic mice: Amelioration by dietary
polyphenols via NF-kappaB inhibition.
AB - Cancer patients often show a wasting syndrome for which there are little
therapeutic options. Dietary polyphenols have been proposed for treating this
syndrome, but their usefulness in cases associated with human papillomavirus
(HPV)-induced cancers is unknown. We characterized HPV16-transgenic mice as a
model of cancer cachexia and tested the efficacy of long-term oral
supplementation with polyphenols curcumin and rutin. Both compounds were orally
administered to six weeks-old HPV16-transgenic mice showing characteristic multi
step skin carcinogenesis, for 24weeks. Skin lesions and blood, liver and spleen
inflammatory changes were characterized histologically and hematologically.
Hepatic oxidative stress, skeletal muscle mass and the levels of muscle pro
inflammatory transcription factor NF-kappaB were also assessed. Skin
carcinogenesis was associated with progressive, severe, systemic inflammation
(leukocytosis, hepatitis, splenitis), significant mortality and cachexia.
Curcumin and rutin totally suppressed mortality while reducing white blood cells
and the incidence of splenitis and hepatitis. Rutin prevented muscle wasting more
effectively than curcumin. Preservation of muscle mass and reduced hepatic
inflammation were associated with down-regulation of the NF-kappaB canonical
pathway and with reduced oxidative stress, respectively. These results point out
HPV16-transgenic mice as a useful model for studying the wasting syndrome
associated with HPV-induced cancers. Dietary NF-kappaB inhibitors may be useful
resources for treating this syndrome.
PMID- 27888117
TI - Testing Assumptions in Human Pain Models: Psychophysical Differences Between
First and Second Pain.
AB - : Acute pain arises from activation of myelinated (A delta) and unmyelinated (C)
nociceptive afferents, leading to first (A-fiber) or second (C-fiber) pain
sensations. The current study sought to investigate first and second pain within
glabrous and hairy skin sites in human upper limbs. Fifty healthy adults (25
male/25 female, 18-30 years old, mean = 20.5 +/- 1.4 years) participated in a
psychophysical study investigating electronically rated, thermal first and second
pain sensations within the glabrous skin at the palm and hairy skin of the
forearm. Repeated measures analysis of variance indicated that the threshold for
first pain was lower (more sensitive) than for second pain (P = .004), for
glabrous as well as hairy skin, and thresholds at glabrous skin were higher than
for hairy skin (P = .001). Hairy skin presented a steeper slope for testing,
whereas there were no differences in slope between first and second pain. The
study findings support assumptions associated with mechanistic differences
between first and second pain sensations, while offering a novel method for
producing first and second pain with the same thermal stimulus. Efforts to
understand abnormalities among people with clinical pain and development of new
therapeutic agents will benefit from specific psychophysical methods.
PERSPECTIVE: This article presents a novel method for directly comparing first
and second pain within the same thermal stimulus. The ability to directly compare
first and second pain sensations can aid in understanding pain abnormalities in
clinical pain and development of therapeutic aids.
PMID- 27888118
TI - Increased Risk of New-Onset Fibromyalgia Among Chronic Osteomyelitis Patients:
Evidence From a Taiwan Cohort Study.
AB - : Chronic inflammation, which changes the neurotransmitter metabolism and kindles
neuroendocrine system dysfunction in the central nervous system, might cause
fibromyalgia (FM) formation. In FM patients without traditional FM risk factors,
such as hypertension, hyperlipidemia, diabetes, sleep disorder, depression, and
anxiety, the chronic inflammatory process is a possible risk factor for FM. Thus,
we investigated whether chronic osteomyelitis (COM), a disease characterized by
chronic inflammation, increases FM risk. Including data for 1 million enrollees,
the Longitudinal Health Insurance Database was used, and 1,244 COM patients
without FM history and 4,976 randomly selected sex- and age-matched control
subjects without COM or FM history were extracted. The development of FM over a
13-year follow-up period from 1999 to 2011 was evaluated, and FM risk was
estimated using the Cox proportional regression model. The aforementioned FM risk
factors were more common in COM patients, who had a significantly greater FM risk
than did the control subjects. Compared with those who had no associated risk
factors, patients with COM had a greater FM risk than did the control subjects
(adjusted hazard ratio [aHR] = 1.32, 95% confidence interval [CI], .99-1.75).
Younger people had an even greater risk (age younger than 35 years: aHR = 1.58,
95% CI, 1.03-2.44; age 60 years or older: aHR = 1.03, 95% CI, .78-1.36). To our
knowledge, this is the first study to link COM to an enhanced risk of FM
development. The results imply that COM is a predictor of FM, suggesting that
close follow-up for patients with COM is required to prevent FM, especially in
younger populations. PERSPECTIVE: COM is associated with the augmented risk of
developing FM, and rigorous treatments for COM patients might decrease the future
risk of FM formation, especially in those with relatively younger ages.
PMID- 27888119
TI - Prenatal phthalate, triclosan, and bisphenol A exposures and child visual-spatial
abilities.
AB - INTRODUCTION: During fetal development, sex steroids influence sexually dimorphic
behaviors, such as visual-spatial abilities. Thus, endocrine disrupting chemicals
that impact sex steroids during gestation may affect these behaviors. OBJECTIVE:
We investigated the relationship between prenatal urinary phthalate metabolite,
triclosan, and BPA concentrations and visual-spatial abilities in a prospective
cohort of 198 mother-child dyads. METHODS: Data are from a prospective cohort in
Cincinnati, OH (HOME Study). We measured nine phthalate metabolites, triclosan,
and BPA in maternal urine samples collected at 16 and 26 weeks of gestation. We
assessed children's visual-spatial abilities at 8 years of age using the Virtual
Morris Water Maze (VMWM), a computerized version of the rodent Morris Water Maze.
We quantified the covariate-adjusted change in the time or distance to complete
the VMWM and time spent in the correct quadrant during a probe trial with an
interquartile range increase in chemical concentrations using linear mixed models
and linear regression, respectively. RESULTS: Boys completed the VMWM faster
(4.1s; 95% CI:-7.1, -1.2) and in less distance (1.4 units; 95% CI:-2.8, 0) than
girls. Overall, children with higher mono-n-butyl (MnBP), mono-benzyl (MBzP), and
mono-carboxypropyl phthalate concentrations completed the VMWM in less time and
distance than children with lower concentrations. For example, children with
higher MnBP concentrations completed the VMWM in 0.9 less distance units (95% CI:
1.8, -0.0). Child sex modified the association between MnBP and VMWM performance.
In girls, higher MnBP concentrations were associated with longer time (1.7s; 95%
CI: -0.7, 4.1) and shorter distance (-1.7 units; 95% CI: -2.8, -0.5), whereas in
boys, it was associated with shorter time (-3.0s; 95% CI:-5.6, -0.4), but not
distance (-0.1 units; 95% CI:1.4, 1.0). Other phthalate metabolites, triclosan,
and BPA were not associated with VMWM performance, and sex did not consistently
modify these associations. CONCLUSIONS: In this cohort, greater prenatal urinary
concentrations of some phthalate metabolites were associated with improved VMWM
performance, particularly among boys. Future studies should confirm these
findings and determine if phthalates affect other hormonally sensitive aspects of
child neurobehavior.
PMID- 27888121
TI - In situ affinity purification of his-tagged protein A from Bacillus megaterium
cultivation using recyclable superparamagnetic iron oxide nanoparticles.
AB - This paper discusses the use of recyclable functionalized nanoparticles for an
improved downstream processing of recombinant products. The Gram-positive
bacterium Bacillus megaterium was used to secrete recombinant protein A fused to
a histidine tag into the culture supernatant in shaker flasks. Superparamagnetic
iron oxide nanoparticles functionalized with 3-glycidoxypropyl-trimethoxysilane
coupled-nitrilotriacetic-acid groups (GNTA-SPION) were synthesized and added
directly to the growing culture. After 10min incubation time, >85% of the product
was adsorbed onto the particles. The particles were magnetically separated using
handheld neodymium magnets and the product was eluted. The GNTA-SPION were
successfully regenerated and reused in five consecutive cycles. In the one-step
purification, the purity of the product reached >99.9% regarding protein A. A
very low particle concentration of 0.5g/L was sufficient for effective product
separation. Bacterial growth was not influenced negatively by this concentration.
Particle analysis showed similar properties between freshly synthesized and
regenerated GNTA-SPION. The overall process efficiency was however influenced by
partial disintegration of particle agglomerates and thus loss of particles. The
demonstration of very fast in situ product removal from growing bacterial culture
combined with a very high product purity within one step shows possibilities for
automated large scale purification combined with recycling of biomass.
PMID- 27888122
TI - The sunflower transcription factor HaHB11 confers tolerance to water deficit and
salinity to transgenic Arabidopsis and alfalfa plants.
AB - Homeodomain-leucine zipper (HD-Zip) transcription factors are unique to the plant
kingdom; members of subfamily I are known to be involved in abiotic stress
responses. HaHB11 belongs to this subfamily and it was previously shown that it
is able to confer improved yield and tolerance to flooding via a quiescent
strategy. Here we show that HaHB11 expression is induced by ABA, NaCl and water
deficit in sunflower seedlings and leaves. Arabidopsis transgenic plants
expressing HaHB11, controlled either by its own promoter or by the constitutive
35S CaMV, presented rolled leaves and longer roots than WT when grown under
standard conditions. In addition, these plants showed wider stems and more
vascular bundles. To deal with drought, HaHB11 transgenic plants closed their
stomata faster and lost less water than controls, triggering an enhanced
tolerance to such stress condition and also to salinity stress. Concomitantly,
ABA-synthesis and sensing related genes were differentially regulated in HaHB11
transgenic plants. Either under long-term salinity stress or mild drought stress,
HaHB11 transgenic plants did not exhibit yield penalties. Moreover, alfalfa
transgenic plants were generated which also showed enhanced drought tolerance.
Altogether, the results indicated that HaHB11 was able to confer drought and
salinity tolerance via a complex mechanism which involves morphological,
physiological and molecular changes.
PMID- 27888120
TI - Gestational exposure to perfluorooctanoic acid (PFOA): Alterations in motor
related behaviors.
AB - Perfluoroalkyl and polyfluoroalkyl substances are used in commercial applications
and developmental exposure has been implicated in alterations in neurobehavioral
functioning. While associations between developmental perfluorooctanoic acid
(PFOA) exposure and human outcomes have been inconsistent, studies in
experimental animals suggest alterations in motor related behaviors. To examine a
dose-response pattern of neurobehavioral effects following gestational exposure
to PFOA, pregnant CD-1 mice received PFOA (0, 0.1, 0.3, 1.0mg/kg/day) via oral
gavage from gestational day 1-17 and the male offspring examined. Motor activity
assessments on postnatal day (PND)18, 19, and 20 indicated a shift in the
developmental pattern with an elevated activity level observed in the
1.0mg/kg/day dose group on PND18. In the adult, no alterations were observed in
body weights, activity levels, diurnal pattern of running wheel activity, startle
response, or pre-pulse startle inhibition. In response to a subcutaneous
injection of saline or nicotine (80MUg/kg), all animals displayed a transient
increase in activity likely associated with handling with no differences observed
across dose groups. Inhibition of motor activity over 18days of 400MUg/kg
nicotine injection was not significantly different across dose groups.
Hyperactivity induced by 2mg/kg (+)-methamphetamine hydrochloride intraperitoneal
injection was significantly lower in the 1.0mg/kg/day PFOA dose group as compared
to controls. Taken together, these data suggest that the effects on motor-related
behaviors with gestational PFOA exposure do not mimic those reported for acute
postnatal exposure. Changes were not observed at dose levels under 1.0mg/kg/day
PFOA. Further examination of pathways associated with methamphetamine-induced
activity is warranted.
PMID- 27888123
TI - Acute rhinosinusitis during Hajj season 2014: Prevalence of bacterial infection
and patterns of antimicrobial susceptibility.
AB - BACKGROUND: The presence of large number of pilgrims during Hajj in Makkah region
increases the risk of respiratory diseases. In this study, we aimed to assess the
bacteriology of acute rhinosinusitis (ARS) during Hajj season and to demonstrate
the antimicrobial susceptibility patterns that should guide the clinicians
towards more appropriate antibiotic use. METHODS: Patients with ARS presenting
during Hajj season of 2014 were prospectively enrolled. According to EPOS2012
criteria. Sampling of sinus secretions was performed from the middle meatus
adjacent to the maxillary sinus ostium via endoscopic guidance. Over all, the
study has covered all ENT, emergency and outpatient departments in Hajj. RESULTS:
Two hundred and twenty six patients with ARS were enrolled in the study.
Pathogenic bacteria were identified in 93 (41.2%) patients. Of the 93 patients
with bacterial ARS, Staphylococcus aureus was isolated in 46 (49.5%) patients,
out of which 13 (28.3%) were methicillin-resistant Staphylococcus aureus
(MRSA).The second most common group of bacterial isolates was Enterobacteriaceae
such as Escherichia coli, and various Klebsiella species. Antibiotic sensitivity
showed that methicillin-sensitive Staphylococcus aureus (MSSA) was also sensitive
to cephalosporins, quinolones and clindamycin, while exhibiting relatively less
sensitivity rates to amoxicillin-clavulinic acid and macrolides. CONCLUSION: Our
study demonstrates the importance of assessing the bacteriology of ARS to help
implement guidelines for proper treatment and prevention protocols during Hajj
season.
PMID- 27888124
TI - Is Adam of Cremona's 13th century paper "De regimine et via itineris" the first
travel medicine guide?
PMID- 27888125
TI - Initiating NTD programs targeting schistosomiasis and soil-transmitted
helminthiasis in two provinces of the Democratic Republic of the Congo:
Establishment of baseline prevalence for mass drug administration.
AB - BACKGROUND: Schistosomiasis (SCH) and soil-transmitted helminthiasis (STH) are
widely distributed in the Democratic Republic of the Congo (DRC) and constitute a
serious public health problem. As recommended by the World Health Organization
(WHO), before launching mass chemotherapy to control these diseases,
parasitological surveys were conducted in sentinel sites in six health zones
(HZs) in Bandundu and Maniema provinces. Baseline prevalence and intensity of
infection for SCH and STH were determined to establish the appropriate treatment
plan using Praziquantel (PZQ) and Albendazole (ALB). METHODS: Parasitological
surveys were conducted from April to May 2015 in twenty-six selected sampling
units (schools) for baseline mapping in six HZs: Fifty school children (25
females and 25 males) aged 9-15 years were randomly selected per sampling unit. A
total of 1300 samples (urine and stool) were examined using haematuria dipsticks,
parasite-egg filtration and the point-of-care Circulating Cathodic Antigen (POC
CCA) assay for urine samples and the Kato-Katz technique for stool specimens.
RESULTS: Three species of schistosomes (S. mansoni, S. haematobium and S.
intercalatum) and three groups of STH (hookworm, Ascaris and Trichuris) were
detected at variable prevalence and intensity among the schools, the HZs and the
provinces. In Bandundu, no SCH was detected by either Kato-Katz or the POC-CCA
technique, despite a high prevalence of STH with 68% and 80% at Kiri and Pendjua
HZs, respectively. In Maniema, intestinal schistosomiasis was detected by both
Kato-Katz and POC-CCA with an average prevalence by Kato-Katz of 32.8% and by POC
CCA of 42.1%. Comparative studies confirmed the greater sensitivity (and
operational feasibility) of the POC-CCA test on urine compared to Kato-Katz
examination of stool for diagnosing intestinal schistosomiasis even in areas of
comparatively light infections. STH was widely distributed and present in all HZs
with a mean prevalence (95% CI) of 59.62% (46.00-65.00%). The prevalence of
hookworm, roundworm and whipworm were 51.62% (32.40%-71.50%), 15.77% (0.50%
39.60%) and 13.46 (0.50%-33.20%), respectively. CONCLUSION: This study provided
the evidence base for implementing programs targeting SCH and STH in these Health
Zones. Observations also reinforce the operational value and feasibility of the
POC-CCA test to detect S. mansoni and, for the first time, S. intercalatum
infections in a routine NTD program setting.
PMID- 27888126
TI - Understanding the complex evolution of rapidly mutating viruses with deep
sequencing: Beyond the analysis of viral diversity.
AB - With the advent of affordable deep sequencing technologies, detection of low
frequency variants within genetically diverse viral populations can now be
achieved with unprecedented depth and efficiency. The high-resolution data
provided by next generation sequencing technologies is currently recognised as
the gold standard in estimation of viral diversity. In the analysis of rapidly
mutating viruses, longitudinal deep sequencing datasets from viral genomes during
individual infection episodes, as well as at the epidemiological level during
outbreaks, now allow for more sophisticated analyses such as statistical
estimates of the impact of complex mutation patterns on the evolution of the
viral populations both within and between hosts. These analyses are revealing
more accurate descriptions of the evolutionary dynamics that underpin the rapid
adaptation of these viruses to the host response, and to drug therapies. This
review assesses recent developments in methods and provide informative research
examples using deep sequencing data generated from rapidly mutating viruses
infecting humans, particularly hepatitis C virus (HCV), human immunodeficiency
virus (HIV), Ebola virus and influenza virus, to understand the evolution of
viral genomes and to explore the relationship between viral mutations and the
host adaptive immune response. Finally, we discuss limitations in current
technologies, and future directions that take advantage of publically available
large deep sequencing datasets.
PMID- 27888127
TI - Characterization of small interfering RNAs derived from Rice black streaked dwarf
virus in infected maize plants by deep sequencing.
AB - Rice black streaked dwarf virus (RBSDV) is the casual agent of maize rough dwarf
disease, which frequently causes severe yield loss in China. However, the
interaction between RBSDV and maize plants is largely unknown. RNA silencing is a
conserved mechanism against viruses in plants. To understand the antiviral RNA
interfering response in RBSDV-infected plants, the profile of virus-derived small
interfering RNAs (vsiRNAs) from RBSDV in infected maize plants was obtained by
deep sequencing in this study. Our data showed that vsiRNAs, accumulated
preferentially as 21- and 22-nucleotide (nt) species, were mapped against all 10
genomic RNA segments of RBSDV and derived almost equally overall from both
positive and negative strands, while there were significant differences in the
accumulation level of vsiRNAs from segments 2, 4, 6, 7 and 10. The vsiRNAs (21
and 22 nt) generated from each segment of RBSDV genome had a 5'-terminal
nucleotide bias toward adenine and uracil. The single-nucleotide resolution maps
showed that RBSDV-derived siRNAs preferentially distributed in the 5'- or 3'
terminal regions of several genomic segments. In addition, our results showed
that the mRNA levels of some components involved in antiviral RNA silencing
pathway were differentially modified during RBSDV infection. Among them, the
accumulation levels of ZmDCL1, ZmDCL2, ZmDCL3a, ZmAGO1a, ZmAGO1b, ZmAGO2a,
ZmAGO18a and ZmRDR6 mRNAs were significantly up-regulated, while those of
ZmDCL3b, ZmDCL4 and ZmAGO1c mRNAs showed no obvious changes in RBSDV-infected
maize plants.
PMID- 27888128
TI - Neutrophil gelatinase-associated lipocalin production negatively correlates with
HK-2 cell impairment: Evaluation of NGAL as a marker of toxicity in HK-2 cells.
AB - Neutrophil gelatinase-associated lipocalin is an extracellular protein produced
mostly in kidney. Recently, it has become a promising biomarker of renal damage
in vivo. On the other hand, the validation of NGAL as a biomarker for
nephrotoxicity estimation in vitro has not been characterized in detail yet.
Since the HK-2 cells are frequently used human kidney cell line, we aimed to
characterize the production of NGAL in these cells and to evaluate NGAL as a
possible marker of cell impairment. We used heavy metals (mercury, cadmium),
peroxide, drugs (acetaminophen, gentamicin) and cisplatin to mimic
nephrotoxicity. HK-2 cells were incubated with selected compounds for 1-24h and
cell viability was measured together with extracellular NGAL production. We
proved that HK-2 cells possess a capacity to produce NGAL in amount of 2pg/ml/h.
We found a change in cell viability after 24h incubation with all tested toxic
compounds. The largest decrease of the viability was detected in mercury,
acetaminophen, cisplatin and gentamicin. Unexpectedly, we found also a
significant decrease in NGAL production in HK-2 cells treated with these toxins
for 24h: to 11+/-5%, 54+/-5%, 57+/-6% and 76+/-9% respectively, compared with
controls (=100%). Our results were followed with qPCR analysis when we found no
significant increase in LCN2 gene expression after 24h incubation. We conclude
that extracellular NGAL production negatively correlates with HK-2 cell
impairment.
PMID- 27888129
TI - Iron metabolism modulation in Atlantic salmon infested with the sea lice
Lepeophtheirus salmonis and Caligus rogercresseyi: A matter of nutritional
immunity?
AB - Sea lice are copepodid ectoparasites that produce high economic losses and
environmental issues, thus impacting the salmon aquaculture worldwide. Atlantic
salmon (Salmo salar) from Northern and Southern Hemispheres are primarily
parasitized by Lepeophtheirus salmonis and Caligus rogercresseyi, respectively.
To cope L. salmonis infestation, studies suggest that Atlantic salmon can
restrict iron availability as a mechanism of nutritional immunity. However, no
molecular studies of iron regulation from salmonids infected with C.
rogercresseyi have been reported. The aim of this study was to determine if there
are differences in the regulation of iron metabolism in Atlantic salmon infested
with L. salmonis or C. rogercresseyi. For comparisons, skin and head kidney were
profiled using qPCR of 15 genes related to iron regulation in Atlantic salmons
infected with each sea louse species in Norway and Chile, respectively. Prior to
infestation, no significant differences were observed between fish group.
However, genes involved in iron transport and Heme biosynthesis were highly
upregulated in Atlantic salmon infested with L. salmonis. Interestingly, hepcidin
and Heme oxygenase, a component of the Heme degradation pathway, were upregulated
during C. rogercresseyi infestation. Oxidative stress related genes were also
evaluated, showing higher transcription activity in the head kidney than in the
skin of Atlantic salmon infested with L. salmonis. These comparative results
suggest pathogen-specific responses in infected Atlantic salmon, where iron
metabolism is primarily regulated during the infestation with L. salmonis than C.
rogercresseyi. Feeding behavior, for instance haematophagy, of the infesting sea
lice species in relation to iron modulation is discussed.
PMID- 27888130
TI - Protective role of phenylalanine on the ROS-induced oxidative damage, apoptosis
and tight junction damage via Nrf2, TOR and NF-kappaB signalling molecules in the
gill of fish.
AB - This study explored the possible preventive effects of dietary phenylalanine
(Phe) on antioxidant responses, apoptosis and tight junction protein
transcription in the gills of young grass carp (Ctenopharyngodon idella). Fish
were fed six different experimental diets containing graded levels of Phe (3.4
16.8 g kg-1) for 8 weeks. The results showed that Phe deficiency induced protein
oxidation and lipid peroxidation by decreasing the glutathione content and the
activities and mRNA levels of Cu/Zn superoxide dismutase (SOD1), catalase (CAT),
glutathione peroxidase (GPx), glutathione reductase (GR) and glutathione-S
transferase (GST) in fish gill (P < 0.05). These results may be ascribed to the
downregulation of NF-E2-related factor 2 (Nrf2), target of rapamycin (TOR) and
ribosomal protein S6 kinase 1 (S6K1), and the upregulation of Kelch-like-ECH
associated protein 1 a (Keap1a) expression in grass carp gills (P < 0.05).
Additionally, Phe deficiency induced DNA fragmentation via the up-regulation of
Caspase 3, Caspase 8 and Caspase 9 mRNA expression (P < 0.05). These results may
be ascribed to the improvement in reactive oxygen species (ROS) levels in the
fish gills (P < 0.05). Furthermore, the results indicated that Phe deficiency
decreased Claudin b, Claudin 3, Occludin and ZO-1 transcription and increased
Claudin 15 expression in the fish gills (P < 0.05). These effects were partly due
to the downregulation of interleukin 10 (IL-10), transforming growth factor beta
(TGF-beta) and inhibitor factor kappaBalpha (ikappaBalpha) and the upregulation
of relative mRNA expression of interleukin 1beta (IL-1beta), interleukin 8 (IL
8), tumour necrosis factor-alpha (TNF-alpha) and nuclear transcription factor
kappaB p65 (NF-kappaB p65) (P < 0.05). Taken together, the results showed that
Phe deficiency impaired the structural integrity of fish gills by regulating the
expression of tight junction proteins, cytokines, antioxidant enzymes, NF-kappaB
p65, ikappaBalpha, TOR, Nrf2, Keap1 and apoptosis-related genes in the fish
gills.
PMID- 27888131
TI - HSP70 and HSP90 are involved in shrimp Penaeus vannamei tolerance to AHPND
causing strain of Vibrio parahaemolyticus after non-lethal heat shock.
AB - Acute hepatopancreatic necrosis disease (AHPND) caused by Vibrio parahaemolyticus
carrying toxin-producing plasmid, has led to severe mortalities in farmed penaeid
shrimp throughout Asia. Previous studies reported that a non-lethal heat shock
(NLHS) could enhance disease tolerance in aquatic animals. Here, we investigate
whether the NLHS could enhance the survival of shrimp Penaeusvannamei upon
challenge with an AHPND-causing strain of V. Parahaemolyticus (VPAHPND). Two NLHS
conditions, acute and chronic NLHSs, were used. The former abruptly exposed the
juveniles shrimp from 28 degrees C to 38 degrees C for 30 min only once whereas
the latter exposed the shrimp to 38 degrees C for 5 min every day for 7 days.
The treated shrimp were, then, challenged with VPAHPND at day 3, day 7, and day
30 during the recovery time after the treatment. The results showed that the
shrimp exposed to either acute or chronic NLHS had higher survival rate (>50%)
than that of the non-heated shrimp control (20%) when they were challenged with
VPAHPND at day 3 recovery time. However, only those exposed to chronic NLHS
showed the VPAHPND protection at day 7 and day 30 recovery times. Furthermore,
the qRT-PCR analysis revealed that the expression of heat shock proteins,
LvHSP70, LvHSP90 as well as other immune-related genes, LvproPO and LvCrustin,
were induced upon exposure of shrimp to chronic NLHS. Interestingly, gene
silencing of LvHSP70 and LvHSP90 eliminated the VPAHPND tolerance in the chronic
NLHS shrimp and had decreasing PO activity suggesting that these LvHSPs played
crucial roles in bacterial defense in shrimp. All together, we show for the first
time that the NLHS enhance the shrimp tolerance to VPAHPND infection and this is
likely mediated by the induction of LvHSP70, LvHSP90 and subsequent activation of
the proPO system.
PMID- 27888132
TI - Vitamin E deficiency depressed fish growth, disease resistance, and the immunity
and structural integrity of immune organs in grass carp (Ctenopharyngodon
idella): Referring to NF-kappaB, TOR and Nrf2 signaling.
AB - This study investigated the effects of dietary vitamin E on growth, disease
resistance and the immunity and structural integrity of head kidney, spleen and
skin in grass carp (Ctenopharyngodon idella). The fish were fed six diets
containing graded levels of vitamin E (0, 45, 90, 135, 180 and 225 mg/kg diet)
for 10 weeks. Subsequently, a challenge test was conducted by injection of
Aeromonas hydrophila. The results showed that compared with optimal vitamin E
supplementation, vitamin E deficiency caused depressed growth, poor survival
rates and increased skin lesion morbidity in grass carp. Meanwhile, vitamin E
deficiency decreased lysozyme and acid phosphatase activities, complement
component 3 and complement component 4 contents in the head kidney, spleen and
skin of grass carp (P < 0.05). Moreover, vitamin E deficiency down-regulated
antimicrobial peptides (Hepcidin, liver-expressed antimicrobial peptide-2A, -2B,
beta-defensin), IL-10, TGFbeta1, IkappaBalpha, TOR and S6K1 mRNA levels (P <
0.05) and up-regulated IL-1beta, IL-6, IL-8, IFN-gamma2 and TNFalpha, NF-kappaB
p65, IKKalpha, IKKbeta and 4EBP1 (not in the head kidney) mRNA levels (P < 0.05).
In addition, vitamin E deficiency caused oxidative damage, decreased superoxide
dismutase (SOD), glutathione peroxidase (GPx), catalase (CAT) and glutathione
reductase (GR) activities, and down-regulated the mRNA levels of antioxidant
enzymes and signaling molecules Nrf2 (P < 0.05). Vitamin E deficiency also
induced apoptosis by up-regulating capase-2, -3, -7, and -8 mRNA levels in the
head kidney, spleen and skin of grass carp. In conclusion, this study indicated
that dietary vitamin E deficiency depressed fish growth, impaired the immune
function and disturbed the structural integrity of the head kidney, spleen and
skin in grass carp, but optimal vitamin E supplementation can reverse those
negative effects in fish. The optimal vitamin E requirements for young grass carp
(266.39-1026.63 g) to achieve optimal growth performance and disease resistance
based on the percent weight gain (PWG) and skin lesion morbidity were estimated
to be 116.2 and 130.9 mg/kg diet, respectively. Meanwhile, based on immune
indicator (LA activity in the head kidney) and antioxidant indicator (protection
of spleen against MDA), the optimal vitamin E requirements for young grass carp
were estimated to be 123.8 and 136.4 mg/kg diet, respectively.
PMID- 27888133
TI - Network pharmacology-based study on the mechanism of action for herbal medicines
in Alzheimer treatment.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Alzheimer's disease (AD), as the most common type
of dementia, has brought a heavy economic burden to healthcare system around the
world. However, currently there is still lack of effective treatment for AD
patients. Herbal medicines, featured as multiple herbs, ingredients and targets,
have accumulated a great deal of valuable experience in treating AD although the
exact molecular mechanisms are still unclear. MATERIALS AND METHODS: In this
investigation, we proposed a network pharmacology-based method, which combined
large-scale text-mining, drug-likeness filtering, target prediction and network
analysis to decipher the mechanisms of action for the most widely studied
medicinal herbs in AD treatment. RESULTS: The text mining of PubMed resulted in
10 herbs exhibiting significant correlations with AD. Subsequently, after drug
likeness filtering, 1016 compounds were remaining for 10 herbs, followed by
structure clustering to sum up chemical scaffolds of herb ingredients. Based on
target prediction results performed by our in-house protocol named AlzhCPI,
compound-target (C-T) and target-pathway (T-P) networks were constructed to
decipher the mechanism of action for anti-AD herbs. CONCLUSIONS: Overall, this
approach provided a novel strategy to explore the mechanisms of herbal medicine
from a holistic perspective.
PMID- 27888134
TI - Perilla frutescens leaves extract ameliorates ultraviolet radiation-induced
extracellular matrix damage in human dermal fibroblasts and hairless mice skin.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Perilla frutescens (L.) Britt. (Lamiaceae) is a
traditional herb that is consumed in East Asian countries as a traditional
medicine. This traditional herb has been documented for centuries to treat
various diseases such as depression, allergies, inflammation and asthma. However,
the effect of Perilla frutescens on skin has not been characterized well. AIM OF
THE STUDY: The present study aimed to investigate the effect of Perilla
frutescens leaves extract (PLE) on ultraviolet radiation-induced extracellular
matrix damage in human dermal fibroblasts and hairless mice skin. MATERIALS AND
METHODS: Human dermal fibroblasts and Skh-1 hairless mice were irradiated with UV
and treated with PLE. Protein and mRNA levels of various target molecules were
analyzed by western blotting and quantitative RT-PCR, respectively. Histological
changes of mouse skin were analyzed by H&E staining. To elucidate underlying
mechanism of PLE, activator protein-1 (AP-1) DNA binding assay and the
measurement of reactive oxygen species (ROS) were performed. RESULTS: PLE
significantly inhibited basal and UV-induced MMP-1 and MMP-3 expression dose
dependently, and also decreased UV-induced phosphorylation of extracellular
signal-regulated kinases and c-Jun N-terminal kinases. This inhibitory effects of
PLE on MMP-1 and MMP-3 were mediated by reduction of ROS generation and AP-1 DNA
binding activity induced by UV. Furthermore, PLE promoted type I procollagen
production irrespective of UV irradiation. In the UV-irradiated animal model, PLE
significantly reduced epidermal skin thickness and MMP-13 expression induced by
UV. CONCLUSION: Our results demonstrate that PLE has the protective effect
against UV-induced dermal matrix damage. Therefore, we suggest that PLE can be a
potential agent for prevention of skin aging.
PMID- 27888135
TI - Antihypertensive effects of androgens in conscious, spontaneously hypertensive
rats.
AB - Androgens are vasoactive steroids that induce acute vasodilation in a number of
isolated vascular beds from different species, but the effects of these hormones
on systemic blood pressure (BP) have been studied little. Although it has been
reported that androgens exert systemic hypotensive effects through peripheral
vasodilation in normotensive rats, there have not been any reports of systemic
hypotensive effects of androgens in animals with hypertension. This study was
designed to evaluate the acute effects of testosterone (TES) and its 5-reduced
metabolites on systemic BP in hypertensive rats and to test the hypothesis that
hypotestosteronemia may be involved in the pathogenesis of hypertension. Chronic,
indwelling catheters were implanted in carotid artery and jugular vein of 18-21
week-old male spontaneously hypertensive rats (SHR) and normotensive-control
Wistar-Kyoto (WKY) rats, for BP recording and drug administration, respectively.
Bolus injections of TES, 5alpha- or 5beta-dihydrotestosterone (5alpha- and 5beta
DHT), were administrated cumulatively to conscious rats at doses of 0.1
100MUmolkg-1min-1. 5beta-DHT was also administrated during the pressor effect of
Bay K 8644, an L-type voltage-operated Ca2+ channel (L-VOCC) agonist. In separate
experiments, BP of orchidectomized normotensive male WKY and Wistar rats, with or
without androgen-replacement therapy, was evaluated weekly for 10 weeks by tail
cuff plethysmography. TES and its metabolites reduced BP in a dose-dependent
manner, while heart rate was reduced with some androgens at the highest doses.
The hypotensive effects of androgens were markedly greater in SHR, with a rank
order potency of: 5beta-DHT>TES>5alpha-DHT. 5beta-DHT, the most potent
antihypertensive androgen, abolished the pressor response to Bay K 8644 in SHR.
TES deprivation by orchidectomy increased BP in normotensive WKY and Wistar rats,
but this hypertension was prevented by TES replacement therapy. BP responses to
androgens are androgen structure-dependent. These data indicate that: 1)
androgens play a significant role in the control of BP and may contribute to the
pathogenesis of hypertension; 2) blockade of L-VOCC is involved in the
antihypertensive effects of androgens, which are non-genomically mediated; and 3)
hypotestosteronemia may be a risk factor for hypertension.
PMID- 27888136
TI - Different epigenetic mechanisms of ERalpha implicated in the fate of fulvestrant
resistant breast cancer.
AB - Approximately 70% of breast cancers express estrogen receptor alpha (ERalpha),
which plays critical roles in breast cancer development. Fulvestrant has been
effectively used to treat ERalpha-positive breast cancer, although resistance
remains a critical problem. To elucidate the mechanism of resistance to
fulvestrant, we established fulvestrant-resistant cell-lines named MFR (MCF-7
derived fulvestrant resistance) and TFR (T-47D derived fulvestrant resistance)
from the ERalpha-positive luminal breast cancer cell lines MCF-7 and T-47D,
respectively. Both fulvestrant-resistant cell lines lost sensitivity to estrogen
and anti-estrogens. We observed diminished ERalpha expression at both the protein
and mRNA levels. To address the mechanism of gene expression regulation, we
examined epigenetic alteration, especially the DNA methylation level of ERalpha
gene promoters. MFR cells displayed high methylation levels upstream of the
ERalpha gene, whereas no change in DNA methylation was observed in TFR cells.
Hence, we examined the gene expression plasticity of ERalpha, as there are
differences in its reversibility following fulvestrant withdrawal. ERalpha gene
expression was not restored in MFR cells, and alternative intracellular
phosphorylation signals were activated. By contrast, TFR cells exhibited
plasticity of ERalpha gene expression and ERalpha-dependent growth; moreover,
these cells were resensitized to estrogen and anti-estrogens. The difference in
epigenetic regulation among individual cells might explain the difference in the
plasticity of ERalpha expression. We also identified an MFR cell-activating
HER/Src-Akt/MAPK pathway; thus, the specific inhibitors effectively blocked MFR
cell growth. This finding implies the presence of multiple fulvestrant resistance
mechanisms and suggests that the optimal therapies differ among individual tumors
as a result of differing epigenetic mechanisms regulating ERalpha gene
expression.
PMID- 27888139
TI - An improved agar medium for growth of Geobacillus thermoglucosidarius strains.
AB - Geobacillus species have potential applications in many biotechnological
processes. They are fastidious in their vitamin and amino acid requirements. A
new semi-defined agar medium (SDM) was developed which gave consistently high
viable cell counts of various G. thermoglucosidasius strains (5*108-6*108cfu/ml)
under aerobic conditions at 70 degrees C.
PMID- 27888138
TI - The quality of placebos used in randomized, controlled trials of lumbar and
pelvic joint thrust manipulation-a systematic review.
AB - BACKGROUND CONTEXT: Spinal manipulative therapy (SMT) has been attributed with
substantial non-specific effects. Accurate assessment of the non-specific effects
of SMT relies on high-quality studies with low risk of bias that compare with
appropriate placebos. PURPOSE: This review aims to characterize the types and
qualities of placebo control procedures used in controlled trials of manually
applied, lumbar and pelvic (LP)-SMT, and to evaluate the assessment of subject
blinding and expectations. STUDY DESIGN: This is a systematic review of
randomized, placebo-controlled trials. METHODS: We searched MEDLINE, EMBASE,
Cochrane Central Register of Controlled Trials, Index to Chiropractic Literature,
and relevant bibliographies. We included randomized, placebo or sham-controlled
trials where the index treatment was manually applied LP-SMT. There were no
restrictions on the type of condition being investigated. Two independent
reviewers selected the studies, assessed study quality, and extracted the data.
Relevant data were the type and quality of placebo control(s) used, the
assessment of blinding and expectations, and the results of those assessments.
RESULTS: Twenty-five randomized, placebo-controlled trials were included in this
review. There were 18 trials that used a sham manual SMT procedure for their
placebo control intervention; the most common approach was with an SMT setup but
without the application of any thrust. One small pilot study used an
unequivocally indistinguishable placebo, two trials used placebos that had been
validated as inert a priori, and eight trials reported on the success of subject
blinding. Risk of bias was high or unclear, for all included studies.
CONCLUSIONS: Imperfect placebos are ubiquitous in clinical trials of LP-SMT, and
few trials have assessed for successful subject blinding or balanced expectations
of treatment success between active and control group subjects. There is thus a
strong potential for unmasking of control subjects, unequal non-specific effects
between active and control groups, and non-inert placebos in existing trials.
Future trials should consider assessing the success of subject blinding and
ensuring inertness of their placebo a priori, as a minimum standard for quality.
PMID- 27888137
TI - The role of RhoA in retrograde neuronal death and axon regeneration after spinal
cord injury.
AB - Paralysis following spinal cord injury (SCI) is due to interruption of axons and
their failure to regenerate. It has been suggested that the small GTPase RhoA may
be an intracellular signaling convergence point for several types of growth
inhibiting extracellular molecules. Even if this is true in vitro, it is not
clear from studies in mammalian SCI, whether the effects of RhoA manipulations on
axon growth in vivo are due to a RhoA-mediated inhibition of true regeneration or
only of collateral sprouting from spared axons, since work on SCI generally is
performed with partial injury models. RhoA also has been implicated in local
neuronal apoptosis after SCI, but whether this reflects an effect on axotomy
induced cell death or an effect on other pathological mechanisms is not known. In
order to resolve these ambiguities, we studied the effects of RhoA knockdown in
the sea lamprey central nervous system (CNS), where after complete spinal cord
transection (TX), robust but incomplete regeneration of large axons belonging to
individually identified reticulospinal (RS) neurons occurs, and where some RS
neurons show unambiguous delayed retrograde apoptosis after axotomy. RhoA protein
was detected in neurons and axons of the lamprey brain and spinal cord, and its
expression was increased post-TX. Knockdown of RhoA in vivo by retrogradely
delivered morpholino antisense oligonucleotides (MOs) to the RS neurons
significantly reduced retrograde apoptosis signaling in identified RS neurons
post-SCI, as indicated by Fluorochrome Labeled Inhibitor of Caspases (FLICA) in
brain wholemounts. In individual RS neurons, the reduction of caspase activation
by RhoA knockdown began at 2weeks post-TX and was still seen at 8weeks. RhoA
knockdown slowed axon retraction and possibly increased early axon regeneration
in the proximal stump. The number of axons regenerating beyond the lesion more
than 5mm at 10weeks post-TX also was increased. Thus RhoA knockdown both enhanced
true axon regeneration and inhibited retrograde apoptosis signaling after SCI.
PMID- 27888140
TI - Designed covalent allosteric modulators: an emerging paradigm in drug discovery.
AB - Covalent allosteric modulators possess the pharmacological advantages (high
potency, extended duration of action and low drug resistance) of covalent ligands
and the additional benefit of the higher specificity and lower toxicity of
allosteric modulators. This approach is gaining increasing recognition as a
valuable tool in drug discovery. Here, we review the recent advances in the
design of covalent allosteric modulators with an emphasis on successful examples.
A broad spectrum of protein targets capable of being modulated by them reflects
the prevalence of this strategy. We also discuss the challenges and future
directions in the development of covalent allosteric modulators.
PMID- 27888141
TI - A glimpse into the modulation of post-translational modifications of human
colonizing bacteria.
AB - Protein post-translational modifications (PTMs) are a key bacterial feature that
holds the capability to modulate protein function and responses to environmental
cues. Until recently, their role in the regulation of prokaryotic systems has
been largely neglected. However, the latest developments in mass spectrometry
based proteomics have allowed an unparalleled identification and quantification
of proteins and peptides that undergo PTMs in bacteria, including in species
which directly or indirectly affect human health. Herein, we address this issue
by carrying out the largest and most comprehensive global pooling and comparison
of PTM peptides and proteins from bacterial species performed to date. Data was
collected from 91 studies relating to PTM bacterial peptides or proteins
identified by mass spectrometry-based methods. The present analysis revealed that
there was a considerable overlap between PTMs across species, especially between
acetylation and other PTMs, particularly succinylation. Phylogenetically closer
species may present more overlapping phosphoproteomes, but environmental triggers
also contribute to this proximity. PTMs among bacteria were found to be extremely
versatile and diverse, meaning that the same protein may undergo a wide variety
of different modifications across several species, but it could also suffer
different modifications within the same species.
PMID- 27888142
TI - Profiling and identification of new proteins involved in brain ischemia using
MALDI-imaging-mass-spectrometry.
AB - : The identification of proteins involved in brain ischemia might allow the
discovery of putative biomarkers or therapeutic targets for ischemic stroke. Our
aim is to study the distribution of proteins within mouse brain after an ischemic
insult using MALDI imaging-mass-spectrometry and to identify relevant proteins
involved in brain damage. We occluded the middle cerebral artery of C57BL/6J
mice. Brain slices were analyzed by MALDI-TOF and infarct (IC) and contralateral
(CL) regions were compared using ClinProTools. The ion distribution maps of
relevant m/z values were obtained by FlexImagin3.0. Protein identification was
conducted through a bottom-up approach consisting on complementary sample
fractionation methods. Some identifications were confirmed by
immunohistochemistry and western blot. We identified 102 m/z values with
different abundances between IC and CL (p<0.05), from which 21 m/z peaks were
selected as more relevant. Thirteen of them were found increased in the infarct
region and 4 m/z values showed AUC>90% between IC and CL. Identification analyses
confirmed altered expressions of ATP5i, COX6C and UMP-CMP kinase in IC compared
to CL. BIOLOGICAL SIGNIFICANCE: Using MALDI-IMS we identified for the first time
new proteins that might be involved in brain ischemia representing potential
diagnostic biomarkers or target molecules for neurological recovery.
PMID- 27888143
TI - Dissolution behavior of co-amorphous amino acid-indomethacin mixtures: The
ability of amino acids to stabilize the supersaturated state of indomethacin.
AB - Arginine, phenylalanine, and tryptophan have been previously shown to improve the
solid-state stability of amorphous indomethacin. The present study investigates
the ability of these amino acids to prolong the supersaturation of indomethacin
in both aqueous and biorelevant conditions either when freely in solution or when
formulated as co-amorphous mixtures. The co-amorphous amino acid-indomethacin
mixtures (molar ratio 1:1) and amorphous indomethacin were prepared by
cryomilling. Dissolution and precipitation tests were performed in buffer
solutions (pH 5 and 6.5) and in Fed and Fasted State Simulated Intestinal Fluids
(FeSSIF and FaSSIF, respectively). Precipitation tests were conducted with the
solvent shift method. The supersaturation stability of indomethacin and the
precipitation inhibitory effect of amino acids were evaluated by calculating the
supersaturation factor and the excipient gain factor, respectively. Biorelevant
media exerted a significant effect on indomethacin solubility but had little
effect on the supersaturation stability. Arginine had the most significant impact
on the dissolution properties of indomethacin, but also phenylalanine and
tryptophan stabilized supersaturation in some media when formulated as co
amorphous mixtures with indomethacin. Only arginine stabilized supersaturation
without co-amorphization, an effect only observed in media of pH 6.5. The unique
behavior of the arginine-indomethacin mixture was further demonstrated by the
abrupt formation of a precipitate, when an excess physical mixture of arginine
and indomethacin was added to FeSSIF (pH 6.5). The solid-state investigation of
this precipitate indicated that it probably consisted of crystalline arginine
indomethacin salt with possibly some residual crystalline starting materials.
PMID- 27888144
TI - Calcifediol-loaded liposomes for local treatment of pulmonary bacterial
infections.
AB - The influence of vitamin D3 and its metabolites calcifediol (25(OH)D) and
calcitriol on immune regulation and inflammation is well described, and raises
the question of potential benefit against bacterial infections. In the current
study, 25(OH)D was encapsulated in liposomes to enable aerosolisation, and tested
for the ability to prevent pulmonary infection by Pseudomonas aeruginosa.
Prepared 25(OH)D-loaded liposomes were nanosized and monodisperse, with a
negative surface charge and a 25(OH)D entrapment efficiency of approximately 23%.
Jet nebulisation of liposomes was seen to yield an aerosol suitable for tracheo
bronchial deposition. Interestingly, 25(OH)D in either liposomes or ethanolic
solution had no effect on the release of the proinflammatory cytokine KC from
Pseudomonas-infected murine epithelial cells (LA-4); treatment of infected, human
bronchial 16-HBE cells with 25(OH)D liposomes however resulted in a significant
reduction in bacterial survival. Together with the importance of selecting an
application-appropriate in vitro model, the current study illustrates the
feasibility and practicality of employing liposomes as a means to achieve 25(OH)D
lung deposition. 25(OH)D-loaded liposomes further demonstrated promising effects
regarding prevention of Pseudomonas infection in human bronchial epithelial
cells.
PMID- 27888145
TI - Critical role of hnRNP A1 in activating KRAS transcription in pancreatic cancer
cells: A molecular mechanism involving G4 DNA.
AB - KRAS is one of the most mutated genes in human cancer. Its crucial role in the
tumourigenesis of pancreatic ductal adenocarcinoma (PDAC) has been widely
demonstrated. As this deadly cancer does not sufficiently respond to conventional
chemotherapies, it is important to increase our knowledge of pancreatic cancer
biology, in particular how oncogenic KRAS is regulated. The promoter of KRAS
contains a GA-element composed of runs of guanines that fold into a G4 structure.
This unusual DNA conformation is recognized by several nuclear proteins,
including MAZ and hnRNP A1. Recent data have revealed that KRAS is interconnected
to ILK and hnRNP A1 in a circuitry that enables pancreatic cancer cells to
maintain an aggressive phenotype. The present review illustrates recent advances
on how KRAS is regulated in pancreatic cancer cells, focusing on the formation of
G4 structures in the KRAS promoter and their interaction with hnRNP A1. The newly
discovered KRAS-ILK-hnRNP A1 regulatory loop is discussed, emphasizing its
potential as a therapeutic target for PDAC-specific molecules. This article is
part of a Special Issue entitled "G-quadruplex" Guest Editor: Dr. Concetta
Giancola and Dr. Daniela Montesarchio.
PMID- 27888146
TI - A rhodium(III)-based inhibitor of autotaxin with antiproliferative activity.
AB - BACKGROUND: Cancer of the skin is by far the most common of all cancers. Melanoma
accounts for only about 1% of skin cancers but causes a large majority of skin
cancer deaths. Autotaxin (ATX), also known as ectonucleotide
pyrophosphatase/phosphodiesterase 2 (ENPP2), regulates physiological and
pathological functions of lysophosphatidic acid (LPA), and is thus an important
therapeutic target. METHODS: We synthesized ten metal-based complexes and a novel
cyclometalated rhodium(III) complex 1 was identified as an ATX enzymatic
inhibitor using multiple methods, including ATX enzymatic assay, thermal shift
assay, western immunoblotting and so on. RESULTS: Protein thermal shift assays
showed that 1 increased the melting temperature (Tm) of ATX by 3.5 degrees C. 1
also reduced ATX-LPA mediated downstream survival signal pathway proteins such as
ERK and AKT, and inhibited the activation of the transcription factor nuclear
factor kappaB (NF-kappaB) and signal transducer and activator of transcription 3
(STAT3). 1 also exhibited strong anti-proliferative activity against A2058
melanoma cells (IC50=0.58MUM). Structure-activity relationship indicated that
both the rhodium(III) center and the auxiliary ligands of complex 1 are important
for bioactivity. CONCLUSIONS: 1 represents a promising scaffold for the
development of small-molecule ATX inhibitors for anti-tumor applications. To our
knowledge, complex 1 is the first metal-based ATX inhibitor reported to date.
GENERAL SIGNIFICANCE: Rhodium complexes will have the increased attention in
therapeutic and bioanalytical applications.
PMID- 27888147
TI - Pathway analysis of complex diseases for GWAS, extending to consider rare
variants, multi-omics and interactions.
AB - BACKGROUND: Genome-wide association studies (GWAS) is a major method for studying
the genetics of complex diseases. Finding all sequence variants to explain fully
the aetiology of a disease is difficult because of their small effect sizes. To
better explain disease mechanisms, pathway analysis is used to consolidate the
effects of multiple variants, and hence increase the power of the study. While
pathway analysis has previously been performed within GWAS only, it can now be
extended to examining rare variants, other "-omics" and interaction data. SCOPE
OF REVIEW: 1. Factors to consider in the choice of software for GWAS pathway
analysis. 2. Examples of how pathway analysis is used to analyse rare variants,
other "-omics" and interaction data. MAJOR CONCLUSIONS: To choose appropriate
software tools, factors for consideration include covariate compatibility, null
hypothesis, one- or two-step analysis required, curation method of gene sets,
size of pathways, and size of flanking regions to define gene boundaries. For
rare variants, analysis performance depends on consistency between assumed and
actual effect distribution of variants. Integration of other "-omics" data and
interaction can better explain gene functions. GENERAL SIGNIFICANCE: Pathway
analysis methods will be more readily used for integration of multiple sources of
data, and enable more accurate prediction of phenotypes.
PMID- 27888149
TI - Testicular Spermatozoa Are of Better Quality Than Epididymal Spermatozoa in
Patients With Obstructive Azoospermia.
AB - OBJECTIVE: To assess sperm quality as a function of the sampling site (testis or
epididymis) in obstructive azoospermia (OA). MATERIALS AND METHODS: DNA
fragmentation rates in spermatozoa sampled from the testis and epididymis (from
patients with different etiologies of OA) were assessed in a dUTP nick-end
labeling assay. RESULTS: Twenty-one OA patients were included: 5 had congenital
bilateral absence of the vas deferens, 8 had genital tract infections, and 8 had
idiopathic OA. A total of 8506 spermatozoa sampled from the testis, 18,358
sampled from the caput epididymis, and 18,881 sampled from the corpus/cauda
epididymis were assessed. For each patient, spermatozoa from the testis had a
lower overall DNA fragmentation rate (6.71% +/- 0.75 in average) than epididymal
spermatozoa from the caput (14.86% +/- 1.89 in average; P = .0007) or the
corpus/cauda (32.61% +/- 3.11 in average; P < .0001). The DNA fragmentation rates
did not differ significantly as a function of the etiology of OA. In this small
series, all deliveries were obtained with sperm samples with a low DNA
fragmentation rate and delivery rates tended to be higher when testicular sperm
(rather than epididymal sperm) was used (35.7% vs 12.1%, respectively; P = .06).
CONCLUSION: Our data argue in favor of using testicular sperm (rather than
epididymal sperm) for patients with obstructive azoospermia.
PMID- 27888148
TI - A Pilot Study of a Multimodal Treatment Paradigm to Accelerate Drug Evaluations
in Early-stage Metastatic Prostate Cancer.
AB - OBJECTIVE: To evaluate a multimodal strategy aimed at treating all sites of
disease that provides a rapid readout of success or failure in men presenting
with non-castrate metastatic prostate cancers that are incurable with single
modality therapy. MATERIALS AND METHODS: Twenty selected men with oligometastatic
M1a (extrapelvic nodal disease) or M1b (bone disease) at diagnosis were treated
using a multimodal approach that included androgen deprivation, radical
prostatectomy plus pelvic lymphadenectomy (retroperitoneal lymphadenectomy in the
presence of clinically positive retroperitoneal nodes), and stereotactic body
radiotherapy to osseous disease or the primary site. Outcomes of each treatment
were assessed sequentially. Androgen deprivation was discontinued in responding
patients. The primary end point was an undetectable prostate-specific antigen
(PSA) after testosterone recovery. The goal was to eliminate all detectable
disease. RESULTS: Each treatment modality contributed to the outcome: 95% of the
cohort achieved an undetectable PSA with multimodal treatment, including 25% of
patients after androgen deprivation alone and an additional 50% and 20% after
surgery and radiotherapy, respectively. Overall, 20% of patients (95% confidence
interval: 3%-38%) achieved the primary end point, which persisted for 5, 6, 27+ ,
and 46+ months. All patients meeting the primary end point had been classified
with M1b disease at presentation. CONCLUSION: A sequentially applied multimodal
treatment strategy can eliminate detectable disease in selected patients with
metastatic spread at diagnosis. The end point of undetectable PSA after
testosterone recovery should be considered when evaluating new approaches to
rapidly set priorities for large-scale testing in early metastatic disease states
and to shift the paradigm from palliation to cure.
PMID- 27888150
TI - Coenzyme Q10 Intake From Food and Semen Parameters in a Subfertile Population.
AB - OBJECTIVE: To assess the association between coenzyme Q10 (CoQ10) intake from
food sources and semen quality. We assessed this association in a prospective
cohort of men attending a fertility clinic. CoQ10 supplementation has been
associated with improvements in semen parameters. However, the impact of CoQ10
intake from food sources on semen quality has not been investigated. MATERIALS
AND METHODS: Subfertile couples seeking fertility evaluation at the Massachusetts
General Hospital Fertility Center were invited to participate in an ongoing study
of environmental factors and fertility. In total, 211 male participants completed
a validated food frequency questionnaire and provided 476 semen samples.
Multivariable linear mixed models were used to examine the relation between CoQ10
intake from food and semen parameters while adjusting for potential confounders
and accounting for within-person correlations. RESULTS: Mean dietary CoQ10 intake
was 19.2 mg/day (2.4-247.2 mg/day). No subjects were taking CoQ10 supplements.
There were no associations between dietary CoQ10 intake from food and
conventional semen parameters. The adjusted mean difference (95% confidence
interval) comparing men in the top and bottom quartiles of CoQ10 intake from food
were -3.1 mil/mL (95% confidence interval -29.5, 38.8 mil/mL) for sperm
concentration, -4.5% (-15.1%, 6.0%) for total motility, -1.3% for progressive
motility (-8.4%, 5.7%), and 0.3% (-1.4%, 2.0%) for sperm morphology. CONCLUSION:
CoQ10 intake from food was not related to semen parameters among subfertile men.
Mean dietary intake of CoQ10 in this study was 10-fold lower than the
supplemental dose used in clinical trials showing improved sperm motility. CoQ10
intake from food alone may be insufficient to optimize semen parameters.
PMID- 27888151
TI - Examining Pediatric Cases From the Clinical Research Office of the Endourological
Society Ureteroscopy Global Study.
AB - OBJECTIVE: To evaluate the characteristics and outcomes of ureteroscopy (URS) in
children treated in several hospitals participating in the Clinical Research
Office of the Endourological Society (CROES) Study, and to present the overall
results of pediatric URS compared with adults. PATIENTS AND METHODS: The CROES
Study collected data on consecutive patients treated with URS for urolithiasis at
each participating center over a 1-year period. The collected prospective global
database includes data for 11,885 patients who received URS at 114 centers in 32
countries. Of these URS-treated patients, 192 were <=18 years old. RESULTS: Of
the 114 centers participating in the study, 42% had conducted pediatric URS.
Among the pediatric cases, 7 were infants, 53 were small children, 59 were school
aged children, and 73 were adolescents. A considerable number (37%) of the
pediatric cases had previously undergone URS treatment. No differences in the
surgical outcomes of the adults and children were reported. The URS-treated
children had a greater number of positive preoperative urine cultures when
compared with adult cases treated. A semirigid scope was used in the vast
majority of pediatric cases (85%). According to the present data, within the
group of URS-treated children, the younger the child, the more readmissions
occurred. CONCLUSION: URS is as efficient and safe in children as it is in
adults. The data suggest that readmissions among URS-treated children are
associated with age, with the likelihood of readmissions greater among younger
age groups.
PMID- 27888152
TI - An Improved Methodology to Overcome Key Issues in Human Fecal Metagenomic DNA
Extraction.
AB - Microbes are ubiquitously distributed in nature, and recent culture-independent
studies have highlighted the significance of gut microbiota in human health and
disease. Fecal DNA is the primary source for the majority of human gut microbiome
studies. However, further improvement is needed to obtain fecal metagenomic DNA
with sufficient amount and good quality but low host genomic DNA contamination.
In the current study, we demonstrate a quick, robust, unbiased, and cost
effective method for the isolation of high molecular weight (>23kb) metagenomic
DNA (260/280 ratio >1.8) with a good yield (55.8+/-3.8ng/mg of feces). We also
confirm that there is very low human genomic DNA contamination (eubacterial:
human genomic DNA marker genes=227.9:1) in the human feces. The newly-developed
method robustly performs for fresh as well as stored fecal samples as
demonstrated by 16S rRNA gene sequencing using 454 FLX+. Moreover, 16S rRNA gene
analysis indicated that compared to other DNA extraction methods tested, the
fecal metagenomic DNA isolated with current methodology retains species richness
and does not show microbial diversity biases, which is further confirmed by qPCR
with a known quantity of spike-in genomes. Overall, our data highlight a protocol
with a balance between quality, amount, user-friendliness, and cost effectiveness
for its suitability toward usage for culture-independent analysis of the human
gut microbiome, which provides a robust solution to overcome key issues
associated with fecal metagenomic DNA isolation in human gut microbiome studies.
PMID- 27888153
TI - Three-dimensional black-blood T2 mapping with compressed sensing and data-driven
parallel imaging in the carotid artery.
AB - PURPOSE: To develop a 3D black-blood T2 mapping sequence with a combination of
compressed sensing (CS) and parallel imaging (PI) for carotid wall imaging.
MATERIALS AND METHODS: A 3D black-blood fast-spin-echo (FSE) sequence for T2
mapping with CS and PI was developed and validated. Phantom experiments were
performed to assess T2 accuracy using a Eurospin Test Object, with different
combination of CS and PI acceleration factors. A 2D multi-echo FSE sequence was
used as a reference to evaluate the accuracy. The concordance correlation
coefficient and Bland-Altman statistics were calculated. Twelve volunteers were
scanned twice to determine the repeatability of the sequence and the intraclass
correlation coefficient (ICC) was reported. Wall-lumen sharpness was calculated
for different CS and PI combinations. Six patients with carotid stenosis >50%
were scanned with optimised sequence. The T2 maps were compared with multi
contrast images. RESULTS: Phantom scans showed good correlation in T2 measurement
between current and reference sequence (r=0.991). No significant difference was
found between different combination of CS and PI accelerations (p=0.999).
Volunteer scans showed good repeatability of T2 measurement (ICC: 0.93, 95% CI
0.84-0.97). The mean T2 of the healthy wall was 48.0+/-9.5ms. Overall plaque T2
values from patients were 54.9+/-12.2ms. Recent intraplaque haemorrhage and
fibrous tissue have higher T2 values than the mean plaque T2 values (88.1+/-6.8ms
and 62.7+/-9.3ms, respectively). CONCLUSION: This study demonstrates the
feasibility of combining CS and PI for accelerating 3D T2 mapping in the carotid
artery, with accurate T2 measurements and good repeatability.
PMID- 27888155
TI - Significant HLA class I type associations with aromatic antiepileptic drug (AED)
induced SJS/TEN are different from those found for the same AED-induced DRESS in
the Spanish population.
AB - Aromatic antiepileptic drugs (AEDs) are among the drugs most frequently involved
in severe cutaneous adverse reactions (SCARs), such as Stevens-Johnson syndrome
(SJS), toxic epidermal necrolysis (TEN), and drug reactions with eosinophilia and
systemic symptoms (DRESS). This study investigated the associations between the
genetic polymorphisms of HLA class-I and AED-induced SCARs in the Spanish
population. HLA class-I genotypes were determined in AED
(phenytoin[PHT],lamotrigine[LTG],carbamazepine[CBZ],phenobarbital[PB])-induced
SJS/TEN (n=15) or DRESS (n=12) cases included in the Spanish SCAR registry,
PIELenRed. There were 3 control groups: (A)tolerant to a single AED, (B)tolerant
to any AED, and (C)Spanish population controls. For SJS/TEN, concomitant HLA
A*02:01/Cw15:02 alleles were significantly associated with PHT-cases compared to
control groups B and C [(B)odds ratio(OR):14.75, p=0.009;(C)OR:27.50, p<0.001],
and were close to significance with respect to control group A (p=0.060). The
genotype frequency of the HLA-B*38:01 was significantly associated with PHT-LTG
cases compared with the 3 groups of controls [(A)OR:12.86, p=0.012;(B)OR:13.81;
p=0.002;(C)OR:14.35, p<0.001], and with LTG-cases [(A)OR:147.00,
p=0.001;(B)OR:115.00, p<0.001;(C)OR:124.70, p<0.001]. We found the HLA-B*15:02
allele in a Spanish Romani patient with a CBZ-case. The HLA-A*11:01 was
significantly associated with CBZ-cases [(A)OR:63.89, p=0.002;(B)OR:36.33,
p=0.005;(C)OR:28.29, p=0.007]. For DRESS, the HLA-A*24:02 genotype frequency was
statistically significant in the PHT-LTG-cases [(A)OR:22.56, p=0.003;(B)OR:23.50.
p=0.001; (C)OR:33.25, p<0.001], and in the LTG-cases [(A),OR:49.00,
p=0.015;(B)OR:27.77, p=0.005; (C)OR:34.53, p=0.002]. HLA-A*31:01 was
significantly associated with the CBZ-cases [(A)OR:22.00, p=0.047;(B)OR:29.50,
p=0.033;(C)OR:35.14, p=0.006]. In conclusion, we identified several significant
genetic risk factors for the first time in the Spanish Caucasian population: HLA
A*02:01/Cw*15:02 combination as a risk factor for PHT-induced SJS/TEN, HLA
B*38:01 for LTG- and PHT- induced SJS/TEN, HLA-A*11:01 for CBZ-induced SJS/TEN,
and HLA-A*24:02 for LTG- and PHT- induced DRESS. The strong association between
HLA*31:01 and CBZ-DRESS in Europeans was confirmed in this study.
PMID- 27888156
TI - Effects of pomegranate juice on blood pressure: A systematic review and meta
analysis of randomized controlled trials.
AB - Punica granatum L. (Pomegranate) has been claimed to provide several health
benefits. Pomegranate juice is a polyphenol-rich fruit juice with high
antioxidant capacity. Several studies suggested that pomegranate juice can exert
antiatherogenic, antioxidant, antihypertensive, and anti-inflammatory effects.
Nevertheless, the potential cardioprotective benefits of pomegranate juice
deserve further clinical investigation. To systematically review and meta-analyze
available evidence from randomized placebo-controlled trials (RCTs) investigating
the effects of pomegranate juice consumption and blood pressure (BP). A
comprehensive literature search in Medline and Scopus was carried out to identify
eligible RCTs. A meta-analysis of eligible studies was performed using a random
effects model. Quality assessment, sensitivity analysisand publication bias
evaluations were conducted using standard methods. Quantitative data synthesis
from 8 RCTs showed significant reductions in both systolic [weighed mean
difference (WMD): -4.96mmHg, 95% CI: -7.67 to -2.25, p<0.001) and diastolic BP
(WMD: -2.01mmHg, 95% CI: -3.71 to -0.31, p=0.021) after pomegranate juice
consumption. Effects on SBP remained stable to sensitivity analyses. Pomegranate
juice reduced SBP regardless of the duration (>12 wks: WMD=-4.36mmHg, 95% CI:
7.89 to -0.82, p=0.016) and <12 wks: WMD=-5.83 mmHg, 95% CI: -10.05 to -1.61,
p=0.007) and dose consumed (>240cc: WMD=-3.62mmHg, 95% CI: -6.62 to -0.63,
p=0.018) and <240cc: WMD=-11.01mmHg, 95% CI: -17.38 to -4.65, p=0.001,
pomegranate juice per day) whereas doses >240cc provided a borderline significant
effect in reducing DBP. The present meta-analysis suggests consistent benefits of
pomegranate juice consumption on BP. This evidence suggests it may be prudent to
include this fruit juice in a heart-healthy diet.
PMID- 27888157
TI - Curcumin use in pulmonary diseases: State of the art and future perspectives.
AB - Curcumin (diferuloylmethane) is a yellow pigment present in the spice turmeric
(Curcuma longa). It has been used for centuries in Ayurveda (Indian traditional
medicine) for the treatment of several diseases. Over the last several decades,
the therapeutic properties of curcumin have slowly been elucidated. It has been
shown that curcumin has pleiotropic effects, regulating transcription factors
(e.g., NF-kB), cytokines (e.g., IL6, TNF-alpha), adhesion molecules (e.g., ICAM
1), and enzymes (e.g., MMPs) that play a major role in inflammation and
cancerogenesis. These effects may be relevant for several pulmonary diseases that
are characterized by abnormal inflammatory responses, such as asthma or chronic
obstructive pulmonary disease, acute respiratory distress syndrome, pulmonary
fibrosis, and acute lung injury. Furthermore, some preliminary evidence suggests
that curcumin may have a role in the treatment of lung cancer. The evidence for
the use of curcumin in pulmonary disease is still sparse and has mostly been
obtained using either in vitro or animal models. The most important issue with
the use of curcumin in humans is its poor bioavailability, which makes it
necessary to use adjuvants or curcumin nanoparticles or liposomes. The aim of
this review is to summarize the available evidence on curcumin's effectiveness in
pulmonary diseases, including lung cancer, and to provide our perspective on
future research with curcumin so as to improve its pharmacological effects, as
well as provide additional evidence of curcumin's efficacy in the treatment of
pulmonary diseases.
PMID- 27888158
TI - Metabolomic profile of children with recurrent respiratory infections.
AB - Recurrent respiratory infections (RRI) represent a widespread condition which has
a severe social and economic impact. Immunostimulants are used for their
prevention. It is crucial to better characterize children with RRI to refine
their diagnosis and identify effective personalized prevention strategies.
Metabolomics is a high-dimensional biological method that can be used for
hypothesis-free biomarker profiling, examining a large number of metabolites in a
given sample using spectroscopic techniques. Multivariate statistical data
analysis then enables us to infer which metabolic information is relevant to the
biological characterization of a given physiological or pathological condition.
This can lead to the emergence of new, sometimes unexpected metabolites, and
hitherto unknown metabolic pathways, enabling the formulation of new pathogenetic
hypotheses, and the identification of new therapeutic targets. The aim of our
pilot study was to apply mass-spectrometry-based metabolomics to the analysis of
urine samples from children with RRI, comparing these children's biochemical
metabolic profiles with those of healthy peers. We also compared the RRI
children's and healthy controls' metabolomic urinary profiles after the former
had received pidotimod treatment for 3 months to see whether this immunostimulant
was associated with biochemical changes in the RRI children's metabolic profile.
13 children (age range 3-6 yeas) with RRI and 15 matched per age healthy peers
with no history of respiratory diseases or allergies were enrolled. Their
metabolomic urine samples were compared before and after the RRI children had
been treated with pidotimod for a period of 3 months. Metabolomic analyses on the
urine samples were done using mass spectrometry combined with ultra-performance
liquid chromatography (UPLC-MS). The resulting spectroscopic data then underwent
multivariate statistical analysis and the most relevant variables characterizing
the two groups were identified. Data modeling with post-transformation of PLS2
Discriminant Analysis (ptPLS2-DA) generated a robust model capable of
discriminating the urine samples from children with RRI from those of healthy
controls (R2=0.92,Q2CV7-fold=0.75, p-value<0.001). The dataset included 1502 time
per mass variables, and 138 of them characterized the difference between the two
groups. Thirty-five of these distinctive 138 variables persisted in the profiles
of the children with RRI after pidotimod treatment. Metabolomics can discriminate
children with RRI from healthy controls, suggesting that the former have a
dysregulated metabolic profile. Among the variables characterizing children with
RRI there are metabolites that may reflect the presence of a different
microbiome. After pidotimod treatment, the metabolic profile of the children with
RRI was no longer very different from that of the healthy controls, except for
the persistence of some microbiome-related variables. We surmise that pidotimod
partially "restores" the altered metabolic profile of children with RRI, without
modifying the metabolites related to the composition of the gut microbiota. In
the light of these results, we hypothesize a potential synergic effect of the
combined use of immunostimulants and probiotics for the purpose of prevention in
children with RRI.
PMID- 27888154
TI - Modulation of VEGF receptor 2 signaling by protein phosphatases.
AB - Phosphorylation of serines, threonines, and tyrosines is a central event in
signal transduction cascades in eukaryotic cells. The phosphorylation state of
any particular protein reflects a balance of activity between kinases and
phosphatases. Kinase biology has been exhaustively studied and is reasonably well
understood, however, much less is known about phosphatases. A large body of
evidence now shows that protein phosphatases do not behave as indiscriminate
signal terminators, but can function both as negative or positive regulators of
specific signaling pathways. Genetic models have also shown that different
protein phosphatases play precise biological roles in health and disease.
Finally, genome sequencing has unveiled the existence of many protein
phosphatases and associated regulatory subunits comparable in number to kinases.
A wide variety of roles for protein phosphatase roles have been recently
described in the context of cancer, diabetes, hereditary disorders and other
diseases. In particular, there have been several recent advances in our
understanding of phosphatases involved in regulation of vascular endothelial
growth factor receptor 2 (VEGFR2) signaling. The receptor is the principal
signaling molecule mediating a wide spectrum of VEGF signal and, thus, is of
paramount significance in a wide variety of diseases ranging from cancer to
cardiovascular to ophthalmic. This review focuses on the current knowledge about
protein phosphatases' regulation of VEGFR2 signaling and how these enzymes can
modulate its biological effects.
PMID- 27888159
TI - Transmembrane TNF-alpha reverse signaling leading to TGF-beta production is
selectively activated by TNF targeting molecules: Therapeutic implications.
AB - Tumor necrosis factor (TNF)-alpha is a potent pro-inflammatory cytokine exerting
pleiotropic effects on various cell types. It is synthesized in a precursor form
called transmembrane TNF-alpha (mTNF-alpha) which, after being processed by
metalloproteinases, is released in a soluble form to mediate its biological
activities through Type 1 and 2 TNF receptors in TNF receptor expressing cells.
In addition to acting in soluble form, TNF-alpha also acts in the transmembrane
form both as a ligand by activating TNF receptors, as well as a receptor that
transmits outside-to-inside (reverse) signals back into mTNF-alpha bearing cells.
Since the discovery that TNF-alpha plays a determining role in the pathogenesis
of several chronic inflammatory diseases, anti-TNF agents are increasingly being
used in the treatment of a rapidly expanding number of rheumatic and systemic
autoimmune diseases, such as rheumatoid arthritis, Crohn's disease, psoriasis,
psoriatic arthritis, ankyloting spondylitis, Wegener granulomatosis and
sarcoidosis. There are 5 TNF antagonists currently available: etanercept, a
soluble TNF receptor construct; infliximab, a chimeric monoclonal antibody;
adalimumab and golimumab, fully human antibodies; and certolizumab pegol, an Fab'
fragment of a humanized anti-TNF-alpha antibody. Though each compound can
efficiently neutralize TNF-alpha, increasing evidence suggests that they show
different efficacy in the treatment of these diseases. These observations
indicate that in addition to neutralizing TNF-alpha, other biological effects
induced by TNF-alpha targeting molecules dictate the success of the therapy.
Recently, we found that mTNF-alpha reverse signaling leads to transforming growth
factor (TGF)-beta production in macrophages and anti-TNF agents selectively
trigger this pathway. In this review we will focus on the potential contribution
of the activation of the mTNF-alpha signaling pathway to the success of the anti
TNF therapy.
PMID- 27888160
TI - Preliminary results of comparative study for subsequent photodynamic therapy
versus secondary excision after primary excision for treating basal cell
carcinoma.
AB - Some basal cell carcinomas (BCCs) are indistinguishable from nevi based on
clinical manifestations. Therefore, it is often difficult for the excision
margins of the initial surgical treatment to achieve radical removal of the
malignancy. This study was a comparative analysis of the clinical results of
aminolevulinic acid (ALA)-photodynamic therapy (PDT) or secondary surgery after
the primary excision. In total, 20 patients with preoperative clinical diagnoses
of nevi underwent in situ resection. The postoperative pathological diagnoses
confirmed all cases were BCC. Ten patients received PDT twice after the primary
excision, and 10 cases received extended resection after the primary excision.
Patients were followed up for 8 months at least, and the 2 groups did not show
statistically significant differences in the recurrence rate, while the PDT group
had better results in terms of economic burden, healing period, and cosmetic
satisfaction than the group with secondary surgery. Our study demonstrates that
ALA-PDT can sever as a considerable remedial treatment for the BCC patients who
have not accepted radical resection due to primary clinical misdiagnosis.
PMID- 27888161
TI - Sensitization of immune cells following hexylaminolevulinate photodynamic therapy
of cervical intraepithelial neoplasia.
AB - BACKGROUND: Effects of photodynamic therapy (PDT) were tested with respect to
immune cell stimulation in cervical intraepithelial neoplasia (CIN). METHODS: A
patient with CIN received hexaminolevulinate (HAL) and subsequent PDT. These data
were compared to a placebo PDT patient and a healthy HPV16-vaccinated donor.
Isolation of peripheral blood mononuclear cells (PBMC) was performed before PDT
and at 4 different time points after PDT. The proliferation of these PBMC was
tested by [3H]thymidine incorporation following stimulation with control or HPV16
L1 peptides. Potential effects on the CD4+ and CD8+ cell subsets were analysed.
RESULTS: The data revealed an unchanged or decreased proliferation of HPV16-L1
peptide-stimulated PBMC as compared to placebo patient. HPV16-L1 peptide
incubation of PBMC from the HAL patient demonstrated significant proliferative
capacity after PDT. The CD4+ and CD8+ T cell populations in placebo patient were
slightly increased after HPV16-L1 peptide administration at each time point.
Mixed results were obtained for CD4+ cells as compared to controls and nearly
unchanged amounts of CD8+ cells were detectable following HPV16-L1 peptide
exposure of PBMC from the HAL/PDT-treated patient. CONCLUSIONS: These findings
suggest a T cell reaction from CIN patients during repeated HAL/PDT treatment.
However, further immune cell populations might be involved during PDT.
PMID- 27888162
TI - Photodynamic therapy as an alternative treatment for relapsed or refractory
mycosis fungoides: A systemic review.
AB - Mycosis fungoides is the most common cutaneous T-cell lymphoma. It is
characterized by slow progress over years to decades, developing from patches to
infiltrated plaques, and sometimes to tumors. Therapies such as localized
chemotherapy, photochemotherapy and radiotherapy are often employed when lesions
of refractory or relapsing mycosis fungoides are resistant to conventional
therapies. However, these methods have acute or chronic side effects and
toxicity, which may accumulate with repeated and protracted treatment cycles.
Photodynamic therapy is a promising, well-tolerated option for the treatment of
localized lesions with excellent cosmetic outcomes. In this article, we
systematically reviewed and discussed clinical application of photodynamic
therapy in relapsed or refractory mycosis fungoides. There are 20 papers included
in this review article.
PMID- 27888163
TI - Antimicrobial photodynamic therapy adjuvant to non-surgical periodontal therapy
in patients with diabetes mellitus: A meta-analysis.
AB - BACKGROUND: To determine whether treatment with antimicrobial photodynamic
therapy (aPDT) as an adjunct to scaling and root planing (SRP) improves
periodontal clinical and glycemic outcomes in chronic periodontitis patients (CP)
with type 2 diabetes mellitus (DM). METHODS: Databases (MEDLINE via PubMed;
EMBASE; Cochrane Central Register of Controlled Trials and Cochrane Oral Health
Group Trials Register databases) were searched up to and including October 2016.
The addressed PICO question was: "What are the effects of aPDT as an adjunct to
SRP in terms of periodontal and glycemic outcomes as compared to SRP alone in
individuals with DM?" RESULTS: Four randomized clinical trials were included in
the present review. All studies reporting clinical periodontal and metabolic
parameters, showed that aPDT was effective in the treatment of CP in DM subjects
at follow up. Considering the effects of aPDT as an adjunct as compared to SRP
alone on clinical signs of CP in DM subjects, no difference could be observed for
all evaluated parameters (PD: Z=-0.61, P=0.54; CAL: Z=0.27, P=0.78; HbA1c:
Z=0.138, P=0.89) CONCLUSION: It remains debatable whether aPDT is effective as an
adjunct to SRP than SRP alone in patients having CP with DM, given that the
scientific evidence is weak. In terms of periodontal parameters and glycemic
levels, aPDT does not provide additional benefit in the treatment of CP in DM
patients. However, further randomized clinical trials with standard laser
parameters and long follow up periods are warranted to study periodontal and
glycemic outcomes in this regard.
PMID- 27888164
TI - Optimizing Zn porphyrin-based photosensitizers for efficient antibacterial
photodynamic therapy.
AB - INTRODUCTION: Efficient photodynamic inactivation of microbes requires highly
efficient photosensitizers which kill microbial cells, but spare host tissues.
One way to achieve such selectivity is to use photosensitizers that are rapidly
taken up by microbes and, when applied at low concentrations, efficiently kill
them after a short illumination. Design of such photosensitizers requires insight
into molecular properties which are critical for antimicrobial photo-efficiency.
This study explores the contribution of molecular shape and exposure of charges,
to the antimicrobial activity of tetra-cationic Zn porphyrin-based
photosensitizers. MATERIALS AND METHODS: Two isomers, ortho (2) and meta (3)
hexyl and octyl Zn(II) meso-tetrakis(N-alkylpyridinium-2(3)-yl)porphyrins
[ZnTnHex-2(3)-PyP and ZnTnOct-2(3)-PyP] were compared for uptake and photo
efficiency against a Gram-negative bacterium, Escherichia coli. RESULTS: The
highest photo-efficiency was displayed by the meta hexyl derivative. At
concentration as low as 1.0MUM and during only 5min of preincubation with the
cells, ZnTnHex-3-PyP decreased viable cell number by about 6log10 after only 5min
of illumination. Since bacterial suspensions were thoroughly washed after
preincubation with photosensitizers, this effect can be attributed only to
photosensitizer taken up or bound to E. coli. Irrespective of its highest uptake
by the cells, the octyl meta isomer, ZnTnOct-3-PyP, did not show higher
antibacterial activity than the shorter-chain hexyl derivative, ZnTnHex-3-PyP.
CONCLUSION: Efficiency and eventually selectivity of antimicrobial
photosensitizers can be improved by optimizing the shape of the molecule and the
position of electric charges. Increasing lipophilicity and cellular uptake per
se, does not necessarily materialize in high antimicrobial efficiency of the
photosensitizer.
PMID- 27888165
TI - Clinical effectiveness of adjunctive antimicrobial photodynamic therapy for
residual pockets during supportive periodontal therapy: A systematic review and
meta-analysis.
AB - PURPOSE: To evaluate the clinical efficacy of antimicrobial photodynamic therapy
(aPDT) adjunctive to scaling and root planing (SRP) in the treatment of residual
pockets for chronic periodontitis patients on supportive periodontal therapy
(SPT). METHOD: Bibliographic databases of MEDLINE and Cochrane Library were
thoroughly searched up to July 2016 for eligible randomized controlled trials
(RCTs). Mean differences (MD) and the corresponding 95% confidence intervals (CI)
were synthesized for probing depth (PD) reduction and clinical attachment level
(CAL) gain. The I2 test and Q statistics were employed to assess inter-study
heterogeneity. Subgroup analysis was performed based on the enrollment of
smokers. RESULT: Four RCTs fulfilling the eligibility criteria were included.
Pooled estimates demonstrated statistically significant improvements in both PD
reduction (MD=0.69, CI: 0.11-1.28, p=0.02) and CAL gain (MD=0.60, CI: 0.11-1.10,
p=0.02) for SRP+aPDT versus SRP alone. Meta-analysis of studies with smokers
failed to produce a significant additional effect in PD (MD=0.32, CI: -0.30 to
0.94, p=0.31) and CAL (MD=0.42, CI: -0.26 to 1.09, p=0.23) when SRP was
associated with aPDT. However, significant enhancements in PD reduction (MD=1.23,
CI: 0.74-1.72, p<0.001) and CAL gain (MD=0.96, CI: 0.31-1.62, p=0.004) were
observed for studies excluding smokers. CONCLUSION: Pooled evidence indicates an
additional clinical improvement in the maintenance of residual pockets in favor
of SRP+aPDT compared with SRP alone. Subgroup analysis demonstrates an adverse
impact of smoking on clinical effect of the combined therapy. Substantial
heterogeneity and the paucity of included studies undermine the statistical power
of this meta-analysis. Future well-designed and large-scale clinical trials
evaluating the adjunctive efficacy of aPDT in periodontal maintenance phase are
critically needed.
PMID- 27888166
TI - Positive Peer-Pressured Productivity (P-QUAD): Novel Use of Increased
Transparency and a Weighted Lottery to Increase a Division's Academic Output.
AB - OBJECTIVE: Evaluate a dual incentive model combining positive peer pressure
through increased transparency of peers' academic work with a weighted lottery
where entries are earned based on degree of productivity. METHODS: We developed a
dual-incentive peer mentoring model, Positive Peer-Pressured Productivity (P
QUAD), for faculty in the Pediatric Hospital Medicine Division at the University
of Minnesota Masonic Children's Hospital. This model provided relative value
based incentives, with points assigned to different scholarly activities (eg. 1
point for abstract submission, 2 points for poster presentation, 3 points for
oral presentation, etc.). These points translated into to lottery tickets for a
semi-annual drawing for monetary prizes. Productivity was compared among faculty
for P-QUAD year to the preintervention year. RESULTS: Fifteen (83%) of 18
eligible faculty members participated. Overall annual productivity per faculty
member as measured by total P-QUAD score increased from a median of 3
(interquartile range [IQR] 0-14) in the preintervention year to 4 (IQR 0-27) in
the P-QUAD year (P = .051). Submissions and acceptances increased in all
categories except posters which were unchanged. Annual abstract submissions per
faculty member significantly increased from a median of 1 (IQR 0-2) to 2 (IQR 0
2; P = .047). Seventy-three percent (8 of 11) of post-survey respondents
indicated that the financial incentive motivated them to submit academic work;
100% indicated that increased awareness of their peers' work was a motivator.
CONCLUSIONS: The combination of increased awareness of peers' academic
productivity and a weighted lottery financial incentive appears to be a useful
model for stimulating academic productivity in early-career faculty.
PMID- 27888168
TI - Estimating the Duration of Public Concern After the Fukushima Dai-ichi Nuclear
Power Station Accident From the Occurrence of Radiation Exposure-Related Terms on
Twitter: A Retrospective Data Analysis.
AB - BACKGROUND: After the Fukushima Dai-ichi Nuclear Power Station accident in Japan
on March 11, 2011, a large number of comments, both positive and negative, were
posted on social media. OBJECTIVE: The objective of this study was to clarify the
characteristics of the trend in the number of tweets posted on Twitter, and to
estimate how long public concern regarding the accident continued. We surveyed
the attenuation period of the first term occurrence related to radiation exposure
as a surrogate endpoint for the duration of concern. METHODS: We retrieved
18,891,284 tweets from Twitter data between March 11, 2011 and March 10, 2012,
containing 143 variables in Japanese. We selected radiation, radioactive, Sievert
(Sv), Becquerel (Bq), and gray (Gy) as keywords to estimate the attenuation
period of public concern regarding radiation exposure. These data, formatted as
comma-separated values, were transferred into a Statistical Analysis System (SAS)
dataset for analysis, and survival analysis methodology was followed using the
SAS LIFETEST procedure. This study was approved by the institutional review board
of Hokkaido University and informed consent was waived. RESULTS: A Kaplan-Meier
curve was used to show the rate of Twitter users posting a message after the
accident that included one or more of the keywords. The term Sv occurred in
tweets up to one year after the first tweet. Among the Twitter users studied,
75.32% (880,108/1,168,542) tweeted the word radioactive and 9.20%
(107,522/1,168,542) tweeted the term Sv. The first reduction was observed within
the first 7 days after March 11, 2011. The means and standard errors (SEs) of the
duration from the first tweet on March 11, 2011 were 31.9 days (SE 0.096) for
radioactive and 300.6 days (SE 0.181) for Sv. These keywords were still being
used at the end of the study period. The mean attenuation period for radioactive
was one month, and approximately one year for radiation and radiation units. The
difference in mean duration between the keywords was attributed to the effect of
mass media. Regularly posted messages, such as daily radiation dose reports, were
relatively easy to detect from their time and formatted contents. The survival
estimation indicated that public concern about the nuclear power plant accident
remained after one year. CONCLUSIONS: Although the simple plot of the number of
tweets did not show clear results, we estimated the mean attenuation period as
approximately one month for the keyword radioactive, and found that the keywords
were still being used in posts at the end of the study period. Further research
is required to quantify the effect of other phrases in social media data. The
results of this exploratory study should advance progress in influencing and
quantifying the communication of risk.
PMID- 27888169
TI - Impact of Information Technology-Based Interventions for Type 2 Diabetes Mellitus
on Glycemic Control: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Information technology-based interventions are increasingly being
used to manage health care. However, there is conflicting evidence regarding
whether these interventions improve outcomes in people with type 2 diabetes.
OBJECTIVE: The objective of this study was to conduct a systematic review and
meta-analysis of clinical trials, assessing the impact of information technology
on changes in the levels of hemoglobin A1c (HbA1c) and mapping the interventions
with chronic care model (CCM) elements. METHODS: Electronic databases PubMed and
EMBASE were searched to identify relevant studies that were published up until
July 2016, a method that was supplemented by identifying articles from the
references of the articles already selected using the electronic search tools.
The study search and selection were performed by independent reviewers. Of the
1082 articles retrieved, 32 trials (focusing on a total of 40,454 patients) were
included. A random-effects model was applied to estimate the pooled results.
RESULTS: Information technology-based interventions were associated with a
statistically significant reduction in HbA1c levels (mean difference -0.33%, 95%
CI -0.40 to -0.26, P<.001). Studies focusing on electronic self-management
systems demonstrated the largest reduction in HbA1c (0.50%), followed by those
with electronic medical records (0.17%), an electronic decision support system
(0.15%), and a diabetes registry (0.05%). In addition, the more CCM-incorporated
the information technology-based interventions were, the more improvements there
were in HbA1c levels. CONCLUSIONS: Information technology strategies combined
with the other elements of chronic care models are associated with improved
glycemic control in people with diabetes. No clinically relevant impact was
observed on low-density lipoprotein levels and blood pressure, but there was
evidence that the cost of care was lower.
PMID- 27888170
TI - A Predictive Model for Medical Events Based on Contextual Embedding of Temporal
Sequences.
AB - BACKGROUND: Medical concepts are inherently ambiguous and error-prone due to
human fallibility, which makes it hard for them to be fully used by classical
machine learning methods (eg, for tasks like early stage disease prediction).
OBJECTIVE: Our work was to create a new machine-friendly representation that
resembles the semantics of medical concepts. We then developed a sequential
predictive model for medical events based on this new representation. METHODS: We
developed novel contextual embedding techniques to combine different medical
events (eg, diagnoses, prescriptions, and labs tests). Each medical event is
converted into a numerical vector that resembles its "semantics," via which the
similarity between medical events can be easily measured. We developed simple and
effective predictive models based on these vectors to predict novel diagnoses.
RESULTS: We evaluated our sequential prediction model (and standard learning
methods) in estimating the risk of potential diseases based on our contextual
embedding representation. Our model achieved an area under the receiver operating
characteristic (ROC) curve (AUC) of 0.79 on chronic systolic heart failure and an
average AUC of 0.67 (over the 80 most common diagnoses) using the Medical
Information Mart for Intensive Care III (MIMIC-III) dataset. CONCLUSIONS: We
propose a general early prognosis predictor for 80 different diagnoses. Our
method computes numeric representation for each medical event to uncover the
potential meaning of those events. Our results demonstrate the efficiency of the
proposed method, which will benefit patients and physicians by offering more
accurate diagnosis.
PMID- 27888167
TI - Delta-Notch signalling in segmentation.
AB - Modular body organization is found widely across multicellular organisms, and
some of them form repetitive modular structures via the process of segmentation.
It's vastly interesting to understand how these regularly repeated structures are
robustly generated from the underlying noise in biomolecular interactions. Recent
studies from arthropods reveal similarities in segmentation mechanisms with
vertebrates, and raise the possibility that the three phylogenetic clades,
annelids, arthropods and chordates, might share homology in this process from a
bilaterian ancestor. Here, we discuss vertebrate segmentation with particular
emphasis on the role of the Notch intercellular signalling pathway. We introduce
vertebrate segmentation and Notch signalling, pointing out historical milestones,
then describe existing models for the Notch pathway in the synchronization of
noisy neighbouring oscillators, and a new role in the modulation of gene
expression wave patterns. We ask what functions Notch signalling may have in
arthropod segmentation and explore the relationship between Notch-mediated
lateral inhibition and synchronization. Finally, we propose open questions and
technical challenges to guide future investigations into Notch signalling in
segmentation.
PMID- 27888172
TI - Disease activity trajectories in early axial spondyloarthritis: results from the
DESIR cohort.
AB - BACKGROUND: Disease activity may change over time in axial spondyloarthritis
(axSpA). The objectives were to identify patterns of disease activity evolution
in patients with early axSpA. METHODS: Patients from the prospective early axSpA
cohort (DEvenir des Spondyloarthrites Indifferenciees Recentes (DESIR)) who
fulfilled the Assessment in SpondyloArthritis Society (ASAS) criteria for axSpA
at baseline and with at least three Ankylosing Spondylitis Disease Activity Score
(ASDAS) values available over the 3 years of follow-up were analysed. Statistical
analyses: trajectories were estimated by group-based trajectory modelling;
predisposing baseline factors for such trajectories were identified by univariate
and multivariable multinomial (logit) regression; work disability over time was
compared between the trajectories by Cox hazard model. RESULTS: In all, 370
patients were analysed: mean disease duration was 1.6 (+/-0.9) years. The five
distinct trajectories of disease activity over the 3 years were (t1) 'persistent
moderate disease activity' (n=134 (36.2%)); (t2) 'persistent inactive disease'
(n=66 (17.8%); (t3) 'changing from very high disease activity to inactive
disease' ((n=29 (7.8%)); (t4) 'persistent high disease activity' (n=126 (34.1%))
and (t5) 'persistent very high disease activity' (n=15 (4.1%)). After adjustment
for other characteristics, t2 was associated with a white-collar job (OR=2.6 (95%
CI 1.0 to 6.7)) and t3 with male gender (OR=7.1 (1.6 to 32.2)), higher education
level (OR=9.4 (1.4 to 63.4)) and peripheral joint involvement (OR=6.2 (1.23 to
31.32)). Patients from (t4) and (t5) were more often declared work disabled over
follow-up (HR=5.2 (1.5 to 18.0) and HR=8.0 (1.3 to 47.9), respectively).
CONCLUSIONS: Trajectory modelling of disease activity was feasible in early
axSpA: more than 30% patients (141/370) were in a trajectory with a persistent
high disease activity. Persistent high disease activity trajectories were
significantly associated with consequences on work. TRIAL REGISTRATION NUMBER:
NCT01648907.
PMID- 27888173
TI - Association between job stress and occupational injuries among Korean
firefighters: a nationwide cross-sectional study.
AB - OBJECTIVE: We aimed to assess the nature of association between job stress and
occupational injuries among firefighters in Korea. DESIGN: Cross-sectional study.
SETTING: We conducted a nationwide survey using self-reported questionnaires in
South Korea. PARTICIPANTS: A survey was conducted among 30 630 firefighters; 25
616 (83.6%) responded. Our study included firefighters who were 20-59 years old.
Individuals with <12 months of current job experience and those with missing data
were excluded; ultimately, 14 991 firefighters were analysed. RESULTS: Among fire
suppression personnel, high job demands (OR=1.49, 95% CI 1.25 to 1.77), high
interpersonal conflicts (OR=1.18, 95% CI 1.02 to 1.37), a poor organisational
system (OR=1.33, 95% CI 1.14 to 1.55), and a negative workplace environment
(OR=1.41, 95% CI 1.21 to 1.64) were associated with the occurrence of
occupational injury; high job demands (OR=1.22, 95% CI 1.01 to 1.47) were also
associated with the frequency of injuries. Among emergency medical services
personnel, high job demands (OR=1.26, 95% CI 1.03 to 1.54), high interpersonal
conflicts (OR=1.40, 95% CI 1.19 to 1.66), a poor organisational system (OR=1.55,
95% CI 1.30 to 1.85), lack of reward (OR=1.43, 95% CI 1.21 to 1.69) and a
negative workplace environment (OR=1.30, 95% CI 1.10 to 1.54) were associated
with the occurrence of occupational injury; low job control (OR=1.20, 95% CI 1.04
to 1.38), high interpersonal conflicts (OR=1.18, 95% CI 1.03 to 1.36), lack of
reward (OR=1.17, 95% CI 1.02 to 1.35) and a negative workplace climate (OR=1.16,
95% CI 1.01 to 1.34) were also associated with a greater number of injuries.
Among officers, high job demands (OR=1.96, 95% CI 1.35 to 2.85) and a negative
workplace environment (OR=1.54, 95% CI 1.13 to 2.10) were associated with the
occurrence of occupational injuries; however, there was no significant
correlation between job stress and the number of injuries. CONCLUSIONS: High job
stress among firefighters was associated with both the occurrence of occupational
injury, and also with an increased frequency of injuries. Therefore, job stress
should be addressed to prevent occupational injuries among firefighters.
PMID- 27888171
TI - Clinical Relevance of the First Domomedicine Platform Securing Multidrug
Chronotherapy Delivery in Metastatic Cancer Patients at Home: The inCASA European
Project.
AB - BACKGROUND: Telehealth solutions can improve the safety of ambulatory
chemotherapy, contributing to the maintenance of patients at their home, hence
improving their well-being, all the while reducing health care costs. There is,
however, need for a practicable multilevel monitoring solution, encompassing
relevant outputs involved in the pathophysiology of chemotherapy-induced
toxicity. Domomedicine embraces the delivery of complex care and medical
procedures at the patient's home based on modern technologies, and thus it offers
an integrated approach for increasing the safety of cancer patients on
chemotherapy. OBJECTIVE: The objective was to evaluate patient compliance and
clinical relevance of a novel integrated multiparametric telemonitoring
domomedicine platform in cancer patients receiving multidrug chemotherapy at
home. METHODS: Self-measured body weight, self-rated symptoms using the 19-item
MD Anderson Symptom Inventory (MDASI), and circadian rest-activity rhythm
recording with a wrist accelerometer (actigraph) were transmitted daily by
patients to a server via the Internet, using a dedicated platform installed at
home. Daily body weight changes, individual MDASI scores, and relative percentage
of activity in-bed versus out-of-bed (I20/50 in
both eyes. A unilateral loss of BCVA of worse than 20/200 was documented in two
patients in the isolated sarcoid uveitis group. No patient suffered from
bilateral severe visual impairment or blindness. Factors linked to a poor visual
prognosis, defined by BCVA <=20/50 in at least one eye, were chronic macular
oedema (p=0.009) and persistent ocular inflammation (p=0.0005). CONCLUSIONS: In
this large European series of biopsy-proven sarcoidosis to date, with a prolonged
follow-up, sarcoid uveitis was suggestive of a favourable systemic and visual
outcome. Clinically isolated uveitis that revealed sarcoidosis remained a
strictly ocular condition in most cases.
PMID- 27888185
TI - Registries, codifications and cardiovascular outcomes in familial
hypercholesterolaemia.
PMID- 27888184
TI - Amnion-assisted conjunctival epithelial redirection in limbal stem cell grafting.
AB - AIMS: To develop a technique using amniotic membrane (AM) to prevent admixture of
conjunctival epithelial cells and limbal explant-derived corneal epithelial cells
in patients undergoing limbal stem cell transplantation. To compare this
technique with the current method of 'sequential sector conjunctival
epitheliectomy' (SSCE). METHODS: 26 patients with total limbal stem cell
deficiency who underwent ocular surface reconstruction with limbal stem cells
transplantation were retrospectively studied. Patients were categorised into
group A (11) in which AM was used to direct the conjunctival epithelial cells
away from the corneal surface so that the latter could be covered by the limbal
explant-derived epithelial cells-the procedure was termed 'amnion-assisted
conjunctival epithelial redirection' (ACER)-and group B (15) in which the
conjunctival epithelium was prevented from migrating on to the corneal surface by
SSCE. RESULTS: In nine eyes of group A, the conjunctival epithelium was
successfully directed on to the AM, preventing admixture with limbal explant
derived corneal epithelial cells. The AM was removed or it came off spontaneously
within 1-4 weeks. Patients treated with SSCE (group B) underwent two to four
interventions until complete re-epithelialisation. 12 patients had pain or
discomfort. 11 patients had conjunctival haemorrhage during SSCE. The cornea was
epithelised from the limbal explant-derived epithelium in all patients.
CONCLUSIONS: ACER is a viable option in limbal transplantation that reduces
multiple patient visits, bleeding and pain that can be associated with SSCE.
PMID- 27888187
TI - What does the ALSFRS-R really measure? A longitudinal and survival analysis of
functional dimension subscores in amyotrophic lateral sclerosis.
AB - INTRODUCTION: ALS functional rating scale (revised) (ALSFRS-R) is the most widely
used functional rating system in patients with amyotrophic lateral sclerosis
(ALS). However, heterogeneity in ALSFRS-R progression renders analysis
challenging. We have explored the characteristics of total ALSFRS-R, and ALSFRS-R
subscores in longitudinal and survival models, to determine whether subscore
analysis enhances the precision of the instrument. METHODS: All cases with ALSFRS
R scores on the Irish ALS register were included. ALSFRS-R subscores were defined
for bulbar, motor and respiratory domains. Longitudinal models were used to
visualise fitted total ALSFRS-R and ALSFRS-R subscore progression. In addition,
the prognostic value of convenience and computed ALSFRS-R slope and subscore
slopes were compared. RESULTS: 407 incident cases were identified with a complete
ALSFRS-R measure. 233 (57%) patients were male, and 125 (31%) had bulbar-onset
disease. ALSFRS-R bulbar and motor subscore slopes provided a better fit in
prognostic models when combined over the total ALSFRS-R slope. Longitudinal
analysis revealed that the ALSFRS-R motor subscore deteriorated earlier in spinal
onset disease over bulbar-onset disease, while in bulbar-onset disease the ALSFRS
R bulbar subscore deteriorated earlier and faster than in spinal-onset disease.
DISCUSSION: Our analysis builds on previous knowledge of ALSFRS-R subscores.
Decline in ALSFRS-R motor subscores in patients with spinal-onset disease, and
decline in ALSFRS-R bulbar subscores in patients with bulbar-onset disease, may
predate reported disease onset dates. Respiratory subscores were not
prognostically informative after adjustment for bulbar and motor subscores. These
results provide robust evidence that the ALSFRS-R should not be reported as a
single combined score, but rather as domain specific subscores.
PMID- 27888186
TI - Velocity of intraneural blood flow is increased in inflammatory neuropathies:
sonographic observation.
PMID- 27888188
TI - Corrigendum.
PMID- 27888189
TI - Patients who self-monitor or self-manage can improve the quality of their oral
anticoagulation therapy.
PMID- 27888190
TI - Tfap2 and Sox1/2/3 cooperatively specify ectodermal fates in ascidian embryos.
AB - Epidermis and neural tissues differentiate from the ectoderm in animal embryos.
Although epidermal fate is thought to be induced in vertebrate embryos,
embryological evidence has indicated that no intercellular interactions during
early stages are required for epidermal fate in ascidian embryos. To test this
hypothesis, we determined the gene regulatory circuits for epidermal and neural
specification in the ascidian embryo. These circuits started with Tfap2-r.b and
Sox1/2/3, which are expressed in the ectodermal lineage immediately after zygotic
genome activation. Tfap2-r.b expression was diminished in the neural lineages
upon activation of fibroblast growth factor signaling, which is known to induce
neural fate, and sustained only in the epidermal lineage. Tfap2-r.b specified the
epidermal fate cooperatively with Dlx.b, which was activated by Sox1/2/3 This
Sox1/2/3-Dlx.b circuit was also required for specification of the anterior neural
fate. In the posterior neural lineage, Sox1/2/3 activated Nodal, which is
required for specification of the posterior neural fate. Our findings support the
hypothesis that the epidermal fate is specified autonomously in ascidian embryos.
PMID- 27888192
TI - Atypical chemokine receptor ACKR2 controls branching morphogenesis in the
developing mammary gland.
AB - Macrophages are important regulators of branching morphogenesis during
development and postnatally in the mammary gland. Regulation of macrophage
dynamics during these processes can therefore have a profound impact on
development. We demonstrate here that the developing mammary gland expresses high
levels of inflammatory CC-chemokines, which are essential in vivo regulators of
macrophage migration. We further demonstrate that the atypical chemokine receptor
ACKR2, which scavenges inflammatory CC-chemokines, is differentially expressed
during mammary gland development. We have previously shown that ACKR2 regulates
macrophage dynamics during lymphatic vessel development. Here, we extend these
observations to reveal a novel role for ACKR2 in regulating the postnatal
development of the mammary gland. Specifically, we show that Ackr2-/- mice
display precocious mammary gland development. This is associated with increased
macrophage recruitment to the developing gland and increased density of the
ductal epithelial network. These data demonstrate that ACKR2 is an important
regulator of branching morphogenesis in diverse biological contexts and provide
the first evidence of a role for chemokines and their receptors in postnatal
development processes.
PMID- 27888191
TI - Wt1 directs the lineage specification of sertoli and granulosa cells by
repressing Sf1 expression.
AB - Supporting cells (Sertoli and granulosa) and steroidogenic cells (Leydig and
theca-interstitium) are two major somatic cell types in mammalian gonads, but the
mechanisms that control their differentiation during gonad development remain
elusive. In this study, we found that deletion of Wt1 in the ovary after sex
determination caused ectopic development of steroidogenic cells at the embryonic
stage. Furthermore, differentiation of both Sertoli and granulosa cells was
blocked when Wt1 was deleted before sex determination and most genital ridge
somatic cells differentiated into steroidogenic cells in both male and female
gonads. Further studies revealed that WT1 repressed Sf1 expression by directly
binding to the Sf1 promoter region, and the repressive function was completely
abolished when WT1 binding sites were mutated. This study demonstrates that Wt1
is required for the lineage specification of both Sertoli and granulosa cells by
repressing Sf1 expression. Without Wt1, the expression of Sf1 was upregulated and
the somatic cells differentiated into steroidogenic cells instead of supporting
cells. Our study uncovers a novel mechanism of somatic cell differentiation
during gonad development.
PMID- 27888193
TI - Conserved and novel functions of programmed cellular senescence during vertebrate
development.
AB - Cellular senescence, a form of stable cell cycle arrest that is traditionally
associated with tumour suppression, has been recently found to occur during
mammalian development. Here, we show that cell senescence is an intrinsic part of
the developmental programme in amphibians. Programmed senescence occurs in
specific structures during defined time windows during amphibian development. It
contributes to the physiological degeneration of the amphibian pronephros and to
the development of the cement gland and oral cavity. In both contexts, senescence
depends on TGFbeta but is independent of ERK/MAPK activation. Furthermore,
elimination of senescent cells through temporary TGFbeta inhibition leads to
developmental defects. Our findings uncover conserved and new roles of senescence
in vertebrate organogenesis and support the view that cellular senescence may
have arisen in evolution as a developmental mechanism.
PMID- 27888194
TI - A set of simple cell processes is sufficient to model spiral cleavage.
AB - During cleavage, different cellular processes cause the zygote to become
partitioned into a set of cells with a specific spatial arrangement. These
processes include the orientation of cell division according to: an animal
vegetal gradient; the main axis (Hertwig's rule) of the cell; and the contact
areas between cells or the perpendicularity between consecutive cell divisions
(Sachs' rule). Cell adhesion and cortical rotation have also been proposed to be
involved in spiral cleavage. We use a computational model of cell and tissue
biomechanics to account for the different existing hypotheses about how the
specific spatial arrangement of cells in spiral cleavage arises during
development. Cell polarization by an animal-vegetal gradient, a bias to
perpendicularity between consecutive cell divisions (Sachs' rule), cortical
rotation and cell adhesion, when combined, reproduce the spiral cleavage, whereas
other combinations of processes cannot. Specifically, cortical rotation is
necessary at the 8-cell stage to direct all micromeres in the same direction. By
varying the relative strength of these processes, we reproduce the spatial
arrangement of cells in the blastulae of seven different invertebrate species.
PMID- 27888195
TI - Remodeling of adhesion and modulation of mechanical tensile forces during
apoptosis in Drosophila epithelium.
AB - Apoptosis is a mechanism of eliminating damaged or unnecessary cells during
development and tissue homeostasis. During apoptosis within a tissue, the
adhesions between dying and neighboring non-dying cells need to be remodeled so
that the apoptotic cell is expelled. In parallel, contraction of actomyosin
cables formed in apoptotic and neighboring cells drives cell extrusion. To date,
the coordination between the dynamics of cell adhesion and the progressive
changes in tissue tension around an apoptotic cell is not fully understood. Live
imaging of histoblast expansion, which is a coordinated tissue replacement
process during Drosophila metamorphosis, shows remodeling of adherens junctions
(AJs) between apoptotic and non-dying cells, with a reduction in the levels of AJ
components, including E-cadherin. Concurrently, surrounding tissue tension is
transiently released. Contraction of a supra-cellular actomyosin cable, which
forms in neighboring cells, brings neighboring cells together and further
reshapes tissue tension toward the completion of extrusion. We propose a model in
which modulation of tissue tension represents a mechanism of apoptotic cell
extrusion.
PMID- 27888196
TI - Differential temporal control of Foxa.a and Zic-r.b specifies brain versus
notochord fate in the ascidian embryo.
AB - In embryos of an invertebrate chordate, Ciona intestinalis, two transcription
factors, Foxa.a and Zic-r.b, are required for specification of the brain and the
notochord, which are derived from distinct cell lineages. In the brain lineage,
Foxa.a and Zic-r.b are expressed with no temporal overlap. In the notochord
lineage, Foxa.a and Zic-r.b are expressed simultaneously. In the present study,
we found that the temporally non-overlapping expression of Foxa.a and Zic-r.b in
the brain lineage was regulated by three repressors: Prdm1-r.a (formerly called
BZ1), Prdm1-r.b (BZ2) and Hes.a. In morphant embryos of these three repressor
genes, Foxa.a expression was not terminated at the normal time, and Zic-r.b was
precociously expressed. Consequently, Foxa.a and Zic-r.b were expressed
simultaneously, which led to ectopic activation of Brachyury and its downstream
pathways for notochord differentiation. Thus, temporal controls by
transcriptional repressors are essential for specification of the two distinct
fates of brain and notochord by Foxa.a and Zic-r.b Such a mechanism might enable
the repeated use of a limited repertoire of transcription factors in
developmental gene regulatory networks.
PMID- 27888199
TI - Risk factors for decreased cardiac output after coronary artery bypass grafting:
a prospective cohort study.
AB - BACKGROUND: No previous study has investigated the predictive risk factors of the
nursing diagnosis of risk for decreased cardiac output after coronary artery
bypass grafting (CABG). AIMS: This study aimed to identify the predictive risk
factors of the nursing diagnosis of risk for decreased cardiac output after CABG.
METHODS: This was a prospective cohort study performed at a cardiac university
hospital in Sao Paulo, Brazil and 257 adult patients undergoing CABG were
included. Potential risk factors for low cardiac output in the immediate post
operative period were investigated using the patients' medical records.
Univariate analysis and logistic regression were used to identify the predictive
risk factors of decreased cardiac output. The area under the receiver operating
characteristic curve was calculated as a measure of accuracy. The variables that
could not be analysed through logistic regression were analysed through Fisher's
exact test. RESULTS: One hundred and ninety-five patients had low cardiac output
in the immediate post-operative period. The predictive risk factors included age
?60 years, decreased left ventricle ejection fraction, not using the radial
artery graft, positive fluid balance and post-operative arrhythmia that differed
from the pre-operative arrhythmia. This model predicted the outcome with a
sensitivity of 62.9%, a specificity of 87.2% and an accuracy of 81.5%. The
variables analysed through Fisher's exact test included heart failure, re
exploration and bleeding-related re-exploration. CONCLUSIONS: The predictive risk
factors for the nursing diagnosis of risk for decreased cardiac output after CABG
were found. These results can be used to direct nurses in patient monitoring,
staff training and nursing team staffing.
PMID- 27888197
TI - Islet adaptations in fetal sheep persist following chronic exposure to high
norepinephrine.
AB - Complications in pregnancy elevate fetal norepinephrine (NE) concentrations.
Previous studies in NE-infused sheep fetuses revealed that sustained exposure to
high NE resulted in lower expression of alpha2-adrenergic receptors in islets and
increased insulin secretion responsiveness after acutely terminating the NE
infusion. In this study, we determined if the compensatory increase in insulin
secretion after chronic elevation of NE is independent of hyperglycemia in sheep
fetuses and whether it is persistent in conjunction with islet desensitization to
NE. After an initial assessment of glucose-stimulated insulin secretion (GSIS) at
129 +/- 1 days of gestation, fetuses were continuously infused for seven days
with NE and maintained at euglycemia with a maternal insulin infusion. Fetal GSIS
studies were performed again on days 8 and 12. Adrenergic sensitivity was
determined in pancreatic islets collected at day 12. NE infusion increased (P <
0.01) fetal plasma NE concentrations and lowered (P < 0.01) basal insulin
concentrations compared to vehicle-infused controls. GSIS was 1.8-fold greater (P
< 0.05) in NE-infused fetuses compared to controls at both one and five days
after discontinuing the infusion. Glucose-potentiated arginine-induced insulin
secretion was also enhanced (P < 0.01) in NE-infused fetuses. Maximum GSIS in
islets isolated from NE-infused fetuses was 1.6-fold greater (P < 0.05) than
controls, but islet insulin content and intracellular calcium signaling were not
different between treatments. The half-maximal inhibitory concentration for NE
was 2.6-fold greater (P < 0.05) in NE-infused islets compared to controls. These
findings show that chronic NE exposure and not hyperglycemia produce persistent
adaptations in pancreatic islets that augment beta-cell responsiveness in part
through decreased adrenergic sensitivity.
PMID- 27888200
TI - Effect of Principal Component Analysis Centering and Scaling on Classification of
Mycobacteria from Raman Spectra.
AB - Raman spectroscopy has been used for decades to detect and identify biological
substances as it provides specific molecular information. Spectra collected from
biological samples are often complex, requiring the aid of data truncation
techniques such as principal component analysis (PCA) and multivariate
classification methods. Classification results depend on the proper selection of
principal components (PCs) and how PCA is performed (scaling and/or centering).
There are also guidelines for choosing the optimal number of PCs such as a scree
plot, Kaiser criterion, or cumulative percent variance. The goal of this research
is to evaluate these methods for best implementation of PCA and PC selection to
classify Raman spectra of bacteria. Raman spectra of three different isolates of
mycobacteria ( Mycobacterium sp. JLS, Mycobacterium sp. KMS, Mycobacterium sp.
MCS) were collected and then passed through PCA and linear discriminant analysis
for classification. Principal component analysis implementation as well as PC
selection was evaluated by comparing the highest possible classification
accuracies against accuracies determined by PC selection methods for each
centering and scaling option. Centered and unscaled data provided the best
results when selecting PCs based on cumulative percent variance.
PMID- 27888198
TI - Ovarian characteristics in sheep with multiple fecundity genes.
AB - Ewes heterozygous for combinations of the Inverdale (FecXI; I+), Booroola (FecB;
B+) and Woodlands (FecX2W; W+) mutations have ovulation rates higher than each
mutation separately. The aims of the experiments described herein were to examine
the ovarian phenotypes in I+B+ and I+B+W+ ewes and to compare these with the
appropriate ++ (controls), I+ and BB animals available for this study. The mean
+/- s.e.m. ovulation rates in the ++ (n = 23), I+ (10), I+B+ (7), I+B+W+ (10) and
BB (3) animals were 1.8 +/- 0.1, 2.5 +/- 0.2, 6.6 +/- 1.0, 9.6 +/- 0.9 and 9.7 +/
0.9 respectively. The maximum number of granulosa cells per follicle in the ++
and I+ genotypes was accumulated after exceeding 5 mm diameter, whereas in I+B+,
I+B+W+ and BB animals, this was achieved when follicles reached >2-3 mm. The
number of putative preovulatory follicles, as assessed from those with LH
responsive granulosa cells, 24 h after the induction of luteolysis, was higher (P
< 0.01) in the I+B+ and I+B+W+ compared to the ++ and I+ genotypes. The median
follicular diameters of these follicles in the ++, I+, I+B+, I+B+W+ and BB
genotypes were 6, 5, 3, 3 and 3 mm respectively. The total number of granulosa
cells in the putative preovulatory follicles when added together, and total mass
of luteal tissue, did not differ between the genotypes. Thus, despite large
ovulation rate differences between animals with one or more fecundity genes, the
total cell compositions over all preovulatory follicles and corpora lutea, when
added together, are similar to that from the one or two such follicles in the
wild types.
PMID- 27888201
TI - Increasing trunk flexion transforms human leg function into that of birds despite
different leg morphology.
AB - Pronograde trunk orientation in small birds causes prominent intra-limb
asymmetries in the leg function. As yet, it is not clear whether these
asymmetries induced by the trunk reflect general constraints on the leg function
regardless of the specific leg architecture or size of the species. To address
this, we instructed 12 human volunteers to walk at a self-selected velocity with
four postures: regular erect, or with 30 deg, 50 deg and maximal trunk flexion.
In addition, we simulated the axial leg force (along the line connecting hip and
centre of pressure) using two simple models: spring and damper in series, and
parallel spring and damper. As trunk flexion increases, lower limb joints become
more flexed during stance. Similar to birds, the associated posterior shift of
the hip relative to the centre of mass leads to a shorter leg at toe-off than at
touchdown, and to a flatter angle of attack and a steeper leg angle at toe-off.
Furthermore, walking with maximal trunk flexion induces right-skewed vertical and
horizontal ground reaction force profiles comparable to those in birds.
Interestingly, the spring and damper in series model provides a superior
prediction of the axial leg force across trunk-flexed gaits compared with the
parallel spring and damper model; in regular erect gait, the damper does not
substantially improve the reproduction of the human axial leg force. In
conclusion, mimicking the pronograde locomotion of birds by bending the trunk
forward in humans causes a leg function similar to that of birds despite the
different morphology of the segmented legs.
PMID- 27888202
TI - Jim Bear: Delineating the mechanics of cell migration.
PMID- 27888203
TI - GTSE1 leads cancer cells into CIN.
PMID- 27888204
TI - No ATG8s, no problem? How LC3/GABARAP proteins contribute to autophagy.
AB - The ATG8 family LC3/GABARAP proteins are attached to the membrane of nascent
autophagosomes, but their functions during autophagy are unclear. In this issue,
Nguyen et al. (2016. J. Cell Biol. https://doi.org/10.1083/jcb.201607039) show
that LC3/GABARAP proteins are not essential for autophagosome formation but are
critical for autophagosome-lysosome fusion.
PMID- 27888205
TI - Engagement in the HIV care cascade and barriers to antiretroviral therapy uptake
among female sex workers in Port Elizabeth, South Africa: findings from a
respondent-driven sampling study.
AB - BACKGROUND: Female sex workers (FSWs) are disproportionately affected by HIV,
even in the context of broadly generalised HIV epidemics such as South Africa.
This has been observed in spite of the individual and population-level benefits
of HIV treatment. We characterise the HIV care cascade among FSWs and
relationships with antiretroviral therapy (ART) use. METHODS: FSWs >=18 years
were recruited through respondent-driven sampling into a cross-sectional study in
Port Elizabeth, South Africa. Participants completed questionnaires and received
HIV and syphilis testing; CD4 counts were assessed among women living with HIV.
Engagement in the HIV care cascade is described, and correlates of self-reported
ART use among treatment-eligible previously diagnosed FSWs were estimated using
robust Poisson regression. RESULTS: Between October 2014 and April 2015, 410 FSWs
participated in study activities. Overall, 261/410 were living with HIV
(respondent-driven sampling-weighted prevalence 61.5% (95% bootstrapped CI 54.1%
to 68.0%)). Prior diagnosis of HIV was relatively high (214/261, 82%); however,
ART coverage among FSWs living with HIV was 39% (102/261). In multivariate
analyses, FSWs were less likely to be on ART if they had not disclosed their HIV
status to non-paying partners (adjusted prevalence ratio (aPR) 0.43, 95% CI 0.22
to 0.86, where the reference is FSWs without non-paying partners), and also if
they engaged in mobile healthcare services (aPR 0.71, 95% CI 0.57 to 0.89).
CONCLUSIONS: HIV testing and awareness of HIV status were high, but substantial
losses in the cascade occur at treatment initiation. Given that FSWs engaged in
mobile HIV testing and peer education programmes have unmet HIV treatment needs,
models of decentralised treatment provision such as mobile-based ART care should
be evaluated.
PMID- 27888206
TI - Access of non-specialist sexual health services by men who have sex with men: do
they differ from those attending specialist services?
AB - OBJECTIVES: Men who have sex with men (MSM) bear a disproportionate burden of
STIs. While routine STI surveillance data suggest MSM regularly access specialist
genitourinary medicine (GUM) clinics for their sexual healthcare, the extent to
which MSM attend non-specialist sexual health services (SHSs) is unclear.
METHODS: We used data from the GUM Clinic Activity Data Set (GUMCADv2), the
national STI surveillance system, to compare the characteristics, service usage
and STI outcomes of MSM accessing specialist and non-specialist (non-GUM) SHSs in
England in 2014. Pearson's chi2, Student's t-test and logistic regression
analysis were used. RESULTS: Where sexual orientation was recorded (92%), 11%
(4552/41 597) of non-GUM attendances were among MSM compared with 28% (280
466/999 331) of GUM attendances (p<0.001). Compared with those attending GUM
services, MSM attending non-GUM services were younger (mean age: 30.2 years vs
37.7 years; p<0.001) and were more likely to be of mixed ethnicity (4.9% vs 3.5%;
p<0.001), to have had a full sexual health screen (chlamydia, gonorrhoea,
syphilis and HIV tests) (48.0% vs 37.0%; p<0.001) and to be diagnosed with
chlamydia (7.4% vs 4.1%; p<0.001) and gonorrhoea (8.5% vs 6.5%: p<0.001). MSM
attending non-GUM services had slightly lower HIV test uptake (87.0% vs 95.0%;
p=0.157) and were less likely to be diagnosed with HIV (0.5% vs 0.8%; p=0.019),
compared with those attending GUM clinics. CONCLUSIONS: Non-specialist SHSs play
an important role in the care of MSM and should ensure services meet their needs.
PMID- 27888207
TI - New media challenges and opportunities.
PMID- 27888208
TI - Double trouble: tPA-induced angioedema.
PMID- 27888210
TI - Management of young competitive athletes with cardiovascular conditions.
PMID- 27888209
TI - Optimal duration of dual antiplatelet therapy after acute coronary syndromes and
coronary stenting.
PMID- 27888211
TI - Biceps femoris architecture: the association with injury and response to
training.
PMID- 27888212
TI - Back to basics with some new tools: first ensure the safety of sporting
environments.
PMID- 27888213
TI - Coach-directed education is associated with injury-prevention behaviour in
players: an ecological cross-sectional study.
AB - BACKGROUND/AIM: Rugby union ('rugby') presents an above-average risk of injury to
participants. BokSmart is a South African nationwide intervention that aims to
reduce rugby-related injuries in players. This is achieved by educating coaches
and referees to improve injury behaviour of players. Thus, the aim of this study
was to assess if the receipt of injury-prevention education was associated with
player behaviour. METHODS: Junior (n=2279) and senior (n=1642) players, who
attended merit-based South African Rugby tournaments (2008-2012), completed an
anonymous questionnaire. Logistic regressions investigated if player injury
prevention behaviours were associated with the receipt of education on the same
topic. Additionally, players' preferred sources of education were explored
through frequency and chi2 analyses. RESULTS: Of the 16 injury-prevention
behaviours, 12 (75%) were associated with receiving education on that topic. The
four behaviours not associated with education were: warming-up (before training
and matches), and avoiding heat and massage post injury. Of the seven possible
sources of this education, the majority of players chose either coaches or
physiotherapists as their preferred media. In comparison with junior players,
more senior players chose physiotherapists instead of coaches for warming-up and
cooling-down education. CONCLUSIONS: The results of this study support, to a
large degree, the strategy of BokSmart-influence of player behaviour through
coach education. However, these findings also suggest that BokSmart could target
team physiotherapists in addition to coaches and referees with their safety
education. Also, players might have different preferences for this education
depending on their age.
PMID- 27888214
TI - Cardiorespiratory fitness and death from cancer: a 42-year follow-up from the
Copenhagen Male Study.
AB - OBJECTIVES: Poor cardiorespiratory fitness (CRF) is associated with death from
cancer. If follow-up time is short, this association may be confounded by
subclinical disease already present at the time of CRF assessment. This study
investigates the association between CRF and death from cancer and any cause with
42 years and 44 years of follow-up, respectively. SETTING, PARTICIPANTS AND MAIN
OUTCOME MEASURES: Middle-aged, employed and cancer-free Danish men from the
prospective Copenhagen Male Study, enrolled in 1970-1971, were included. CRF
(maximal oxygen consumption (VO2max)) was estimated using a bicycle ergometer
test and analysed in multivariable Cox models including conventional risk
factors, social class and self-reported physical activity. Death from cancer and
all-cause mortality was assessed using Danish national registers. Follow-up was
100% complete. RESULTS: In total, 5131 men were included, mean (SD) age 48.8
(5.4) years. During 44 years of follow-up, 4486 subjects died (87.4%), 1527
(29.8%) from cancer. In multivariable models, CRF was highly significantly
inversely associated with death from cancer and all-cause mortality ((HR (95%
CI)) 0.83 (0.77 to 0.90) and 0.89 (0.85 to 0.93) per 10 mL/kg/min increase in
estimated VO2max, respectively). A similar association was seen across specific
cancer groups, except death from prostate cancer (1.00 (0.82 to 1.2); p=0.97;
n=231). The associations between CRF and outcomes remained essentially unchanged
after excluding subjects dying within 10 years (n=377) and 20 years (n=1276) of
inclusion. CONCLUSIONS: CRF is highly significantly inversely associated with
death from cancer and all-cause mortality. The associations are robust for
exclusion of subjects dying within 20 years of study inclusion, thereby
suggesting a minimal influence of reverse causation.
PMID- 27888215
TI - Super-resolution microscopy reveals the insulin-resistance-regulated
reorganization of GLUT4 on plasma membranes.
AB - GLUT4 (also known as SLC2A4) is essential for glucose uptake in skeletal muscles
and adipocytes, which play central roles in whole-body glucose metabolism. Here,
using direct stochastic optical reconstruction microscopy (dSTORM) to investigate
the characteristics of plasma-membrane-fused GLUT4 at the single-molecule level,
we have demonstrated that insulin and insulin resistance regulate the spatial
organization of GLUT4 in adipocytes. Stimulation with insulin shifted the balance
of GLUT4 on the plasma membrane toward a more dispersed configuration. In
contrast, insulin resistance induced a more clustered distribution of GLUT4 and
increased the mean number of molecules per cluster. Furthermore, our data
demonstrate that the F5QQI motif and lipid rafts mediate the maintenance of GLUT4
clusters on the plasma membrane. Mutation of F5QQI (F5QQA-GLUT4) induced a more
clustered distribution of GLUT4; moreover, destruction of lipid rafts in
adipocytes expressing F5QQA-GLUT4 dramatically decreased the percentage of large
clusters and the mean number of molecules per cluster. In conclusion, our data
clarify the effects of insulin stimulation or insulin resistance on GLUT4
reorganization on the plasma membrane and reveal new pathogenic mechanisms of
insulin resistance.
PMID- 27888216
TI - Drosophila Sulf1 is required for the termination of intestinal stem cell division
during regeneration.
AB - Stem cell division is activated to trigger regeneration in response to tissue
damage. The molecular mechanisms by which this stem cell mitotic activity is
properly repressed at the end of regeneration are poorly understood. Here, we
show that a specific modification of heparan sulfate is crucial for regulating
Drosophila intestinal stem cell (ISC) division during normal midgut homeostasis
and regeneration. Loss of the extracellular heparan sulfate endosulfatase Sulf1
resulted in increased ISC division during normal homeostasis, which was caused by
upregulation of mitogenic signaling including the JAK-STAT, EGFR and Hedgehog
pathways. Using a regeneration model, we found that ISCs failed to properly halt
division at the termination stage in Sulf1 mutants, showing that Sulf1 is
required for terminating ISC division at the end of regeneration. We propose that
post-transcriptional regulation of mitogen signaling by heparan sulfate
structural modifications provides a new regulatory step for precise temporal
control of stem cell activity during regeneration.
PMID- 27888217
TI - A quantitative FastFUCCI assay defines cell cycle dynamics at a single-cell
level.
AB - The fluorescence ubiquitination-based cell cycle indicator (FUCCI) is a powerful
tool for use in live cells but current FUCCI-based assays have limited throughput
in terms of image processing and quantification. Here, we developed a lentiviral
system that rapidly introduced FUCCI transgenes into cells by using an all-in-one
expression cassette, FastFUCCI. The approach alleviated the need for sequential
transduction and characterisation, improving labelling efficiency. We coupled the
system to an automated imaging workflow capable of handling large datasets. The
integrated assay enabled analyses of single-cell readouts at high spatiotemporal
resolution. With the assay, we captured in detail the cell cycle alterations
induced by antimitotic agents. We found that treated cells accumulated at G2 or M
phase but eventually advanced through mitosis into the next interphase, where the
majority of cell death occurred, irrespective of the preceding mitotic phenotype.
Some cells appeared viable after mitotic slippage, and a fraction of them
subsequently re-entered S phase. Accordingly, we found evidence that targeting
the DNA replication origin activity sensitised cells to paclitaxel. In summary,
we demonstrate the utility of the FastFUCCI assay for quantifying spatiotemporal
dynamics and identify its potential in preclinical drug development.
PMID- 27888218
TI - Diverting CERT-mediated ceramide transport to mitochondria triggers Bax-dependent
apoptosis.
AB - A deregulation of ceramide biosynthesis in the endoplasmic reticulum (ER) is
frequently linked to induction of mitochondrial apoptosis. Although in vitro
studies suggest that ceramides might initiate cell death by acting directly on
mitochondria, their actual contribution to the apoptotic response in living cells
is unclear. Here, we have analyzed the consequences of targeting the biosynthetic
flow of ceramides to mitochondria using a ceramide transfer protein (encoded by
COL4A3BP) equipped with an OMM anchor, mitoCERT. Cells expressing mitoCERT import
ceramides into mitochondria and undergo Bax-dependent apoptosis. Apoptosis
induction by mitoCERT was abolished through (i) removal of its ceramide transfer
domain, (ii) disruption of its interaction with VAMP-associated proteins (VAPs)
in the ER, (iii) addition of antagonistic CERT inhibitor HPA12, (iv) blocking de
novo ceramide synthesis and (v) targeting of a bacterial ceramidase to
mitochondria. Our data provide the first demonstration that translocation of ER
ceramides to mitochondria specifically commits cells to death and establish
mitoCERT as a valuable new tool to unravel the molecular principles underlying
ceramide-mediated apoptosis.
PMID- 27888219
TI - Long-term intestinal bleeding in a child: a rare case of heterotopic gastric
mucosa in the jejunum.
AB - We report a rare case of heterotopic gastric mucosa presenting in a jejunal polyp
of a 9-year-old girl, diagnosed with capsule endoscopy and laparoscopic
exploration, after a 15-month history of lower gastrointestinal bleeding and
anaemia. During surgery, the jejunal segment containing the polyp was resected
and pathological examination revealed a polyp with gastric heterotopia.
Heterotopic gastric mucosa is a rare pathology characterised by the presence of
gastric mucosa outside of the stomach. Heterotopic gastric mucosa usually occurs
in the oesophagus, duodenum, Meckel's diverticulum and enteric duplication cysts.
It can be either congenital (heterotopic) or acquired (metaplastic). Symptoms
vary from mild dyspepsia to massive gastrointestinal bleeding.
PMID- 27888220
TI - Castleman's disease in carcinoma gall bladder.
AB - Castleman's disease (CD) also known as angiofollicular lymph node hyperplasia
represents a group of uncommon non-clonal lymphoproliferation. We herein report a
case of CD associated with carcinoma of the gall bladder. To the best of our
knowledge, it is the first of its kind and has not been reported in the past. The
hypothesis regarding the aetiopathogenesis of CD is that it is associated with
interleukin-6 surges. This may be explained by the overproduction of IL-6 by
tumour cells or IL-6 production due to a long-standing/smouldering localised
inflammatory response. An important question raised by this observation is
whether the finding of CD has any effect on the outcome of this patient of
carcinoma of the gall bladder. Further research is required in this matter.
PMID- 27888221
TI - Severe endocarditis and open-heart surgery during pregnancy.
AB - A woman aged 36 years G2P1 presented at our outpatient clinic with symptoms of
discomfort, dyspnoea and fever at a gestational age of 17 weeks. She was
subsequently diagnosed with severe endocarditis with a large vegetation on the
mitral valve. She underwent open chest mitral valve surgery while on
cardiopulmonary bypass (CPB) within a few days. Such surgical intervention is not
only associated with increased maternal risks, but also with severe fetal
morbidity and mortality. In such patients, certain perioperative measures can
diminish these risks. In this case, mitral valve plasty was successfully
performed and no maternal complications occurred. 22 weeks later, she had an at
term vaginal delivery of a healthy son.
PMID- 27888222
TI - Asymptomatic colitis induced by low-dose methotrexate.
AB - A woman aged 77 years with a history of rheumatoid arthritis (RA) presented with
inflammatory colitis confined to her rectum, which was incidentally found by a
screening colonoscopy. Histopathological examination of colonic biopsies showed
non-specific inflammatory infiltrates of lymphocytes, the cause of which was
unknown. She had been diagnosed with RA 5 years before, and she was receiving
methotrexate 6 mg weekly, to which tocilizumab had been added 4 years earlier,
which achieved stable control of her disease. She had no gastrointestinal
symptoms or other health problems. Tocilizumab-induced colitis was considered
likely, and the drug was discontinued. Metronidazole was also prescribed because
of possible Clostridium difficile-associated colitis. 3 months later, a repeat
colonoscopy showed no improvement of the colitis. The methotrexate was also
discontinued, and folinic acid was prescribed daily for 2 weeks, leading to
complete resolution of the colitis observed at repeat colonoscopy.
PMID- 27888223
TI - Adams-Oliver syndrome associated with gastrointestinal malformations.
PMID- 27888224
TI - Massive haemolysis, gas-forming liver abscess and sepsis due to Clostridium
perfringens bacteraemia.
AB - Clostridial soft tissue infections are infrequent, but can be life-threatening
when associated with certain underlying conditions, such as immunosuppression or
malignancy. When bacteraemia occurs, it can be accompanied by haemolysis. Only
surgical removal of the focus of infection and early onset of antibiotic therapy
can prevent a very poor outcome. We describe the case of a man aged 65 years who
presented with sepsis, haemolysis and rapid worsening. The identification of a
gas-forming liver abscess, the early percutaneous drainage and the prompt
initiation of antibiotic treatment were the key factors in the outcome of this
patient.
PMID- 27888225
TI - Use of the Pipeline embolization device for recurrent and residual cerebral
aneurysms: a safety and efficacy analysis with short-term follow-up.
AB - OBJECTIVE: Evaluation of the safety and efficacy of the Pipeline embolization
device (PED) when used as second-line treatment for recurrent or residual,
pretreated ruptured and unruptured intracranial aneurysms (IAs). METHODS:
Retrospective review of our database to include all patients who were treated
with a PED for recurrent or residual IAs following surgical clipping or coiling.
We evaluated neurological outcome and angiograms at discharge, 6- and 12-months'
follow-up and assessed intimal hyperplasia at follow-up. RESULTS: Twenty-four
patients met our inclusion criteria. Most IAs were located in the anterior
circulation (n=21). No change of preprocedure modified Rankin Scale score was
seen at discharge or at any scheduled follow-up. Complete or near-complete
aneurysm occlusion on 6- and 12-month angiograms was seen in 94.4% (17/18 cases)
and 93.3% (14/15 cases), respectively. Complete or near-complete occlusion was
seen in 100% of previously ruptured and 85.7% (6/7 cases) and 83.3% (5/6 cases)
of previously unruptured cases at the 6- and 12-months' follow-up, respectively.
One case of moderate intimal hyperplasia was observed at 6 months and decreased
to mild at the 12-months' follow-up. No difference in device performance was
observed among pretreated unruptured or ruptured IAs. CONCLUSIONS: Treatment of
recurrent or residual IAs with a PED after previous coiling or clipping is
feasible and safe. There is no difference in device performance between ruptured
or unruptured IAs.
PMID- 27888227
TI - Heartbeat: Chronic inflammatory disorders and cardiovascular disease.
PMID- 27888228
TI - Cardiovascular highlights from non-cardiology journals.
PMID- 27888229
TI - Loneliness and social isolation and increased risk of coronary heart disease and
stroke: clinical implications.
PMID- 27888226
TI - Single-cell sequencing maps gene expression to mutational phylogenies in PDGF-
and EGF-driven gliomas.
AB - Glioblastoma multiforme (GBM) is the most common and aggressive type of primary
brain tumor. Epidermal growth factor (EGF) and platelet-derived growth factor
(PDGF) receptors are frequently amplified and/or possess gain-of-function
mutations in GBM However, clinical trials of tyrosine-kinase inhibitors have
shown disappointing efficacy, in part due to intra-tumor heterogeneity. To assess
the effect of clonal heterogeneity on gene expression, we derived an approach to
map single-cell expression profiles to sequentially acquired mutations identified
from exome sequencing. Using 288 single cells, we constructed high-resolution
phylogenies of EGF-driven and PDGF-driven GBMs, modeling transcriptional kinetics
during tumor evolution. Descending the phylogenetic tree of a PDGF-driven tumor
corresponded to a progressive induction of an oligodendrocyte progenitor-like
cell type, expressing pro-angiogenic factors. In contrast, phylogenetic analysis
of an EGFR-amplified tumor showed an up-regulation of pro-invasive genes. An in
frame deletion in a specific dimerization domain of PDGF receptor correlates with
an up-regulation of growth pathways in a proneural GBM and enhances proliferation
when ectopically expressed in glioma cell lines. In-frame deletions in this
domain are frequent in public GBM data.
PMID- 27888230
TI - ToxReporter: viewing the genome through the eyes of a toxicologist.
AB - One of the many roles of a toxicologist is to determine if an observed adverse
event (AE) is related to a previously unrecognized function of a given
gene/protein. Towards that end, he or she will search a variety of public and
propriety databases for information linking that protein to the observed AE.
However, these databases tend to present all available information about a
protein, which can be overwhelming, limiting the ability to find information
about the specific toxicity being investigated. ToxReporter compiles information
from a broad selection of resources and limits display of the information to user
selected areas of interest. ToxReporter is a PERL-based web-application which
utilizes a MySQL database to streamline this process by categorizing public and
proprietary domain-derived information into predefined safety categories
according to a customizable lexicon. Users can view gene information that is 'red
flagged' according to the safety issue under investigation. ToxReporter also uses
a scoring system based on relative counts of the red-flags to rank all genes for
the amount of information pertaining to each safety issue and to display their
scored ranking as an easily interpretable 'Tox-At-A-Glance' chart. Although
ToxReporter was originally developed to display safety information, its flexible
design could easily be adapted to display disease information as well.Database
URL: ToxReporter is freely available at https://github.com/mgosink/ToxReporter.
PMID- 27888233
TI - Neurological letter from Cape Town.
PMID- 27888231
TI - Text mining resources for the life sciences.
AB - Text mining is a powerful technology for quickly distilling key information from
vast quantities of biomedical literature. However, to harness this power the
researcher must be well versed in the availability, suitability, adaptability,
interoperability and comparative accuracy of current text mining resources. In
this survey, we give an overview of the text mining resources that exist in the
life sciences to help researchers, especially those employed in biocuration, to
engage with text mining in their own work. We categorize the various resources
under three sections: Content Discovery looks at where and how to find biomedical
publications for text mining; Knowledge Encoding describes the formats used to
represent the different levels of information associated with content that enable
text mining, including those formats used to carry such information between
processes; Tools and Services gives an overview of workflow management systems
that can be used to rapidly configure and compare domain- and task-specific
processes, via access to a wide range of pre-built tools. We also provide links
to relevant repositories in each section to enable the reader to find resources
relevant to their own area of interest. Throughout this work we give a special
focus to resources that are interoperable-those that have the crucial ability to
share information, enabling smooth integration and reusability.
PMID- 27888232
TI - Parents perspectives on whole genome sequencing for their children: qualified
enthusiasm?
AB - OBJECTIVE: To better understand the consequences of returning whole genome
sequencing (WGS) results in paediatrics and facilitate its evidence-based
clinical implementation, we studied parents' experiences with WGS and their
preferences for the return of adult-onset secondary variants (SVs)-medically
actionable genomic variants unrelated to their child's current medical condition
that predict adult-onset disease. METHODS: We conducted qualitative interviews
with parents whose children were undergoing WGS as part of the SickKids Genome
Clinic, a research project that studies the impact of clinical WGS on patients,
families, and the healthcare system. Interviews probed parents' experience with
and motivation for WGS as well as their preferences related to SVs. Interviews
were analysed thematically. RESULTS: Of 83 invited, 23 parents from 18 families
participated. These parents supported WGS as a diagnostic test, perceiving clear
intrinsic and instrumental value. However, many parents were ambivalent about
receiving SVs, conveying a sense of self-imposed obligation to take on the
'weight' of knowing their child's SVs, however unpleasant. Some parents chose to
learn about adult-onset SVs for their child but not for themselves. CONCLUSIONS:
Despite general enthusiasm for WGS as a diagnostic test, many parents felt a duty
to learn adult-onset SVs. Analogous to 'inflicted insight', we call this
phenomenon 'inflicted ought'. Importantly, not all parents of children undergoing
WGS view the best interests of their child in relational terms, thereby
challenging an underlying justification for current ACMG guidelines for reporting
incidental secondary findings from whole exome and WGS.
PMID- 27888234
TI - Neuromyelitis optica presenting as acute bilateral ptosis.
AB - Acute bilateral ptosis can be a hallmark of several serious neurological
conditions. We present the first case of acute bilateral near-complete ptosis
secondary to neuromyelitis optica spectrum disorder. We suggest that clinicians
should consider this disorder among the differential diagnosis of acute bilateral
ptosis, especially if there are other brainstem signs.
PMID- 27888235
TI - Rare cause of abdominal pain and fever in a pregnant woman.
AB - CLINICAL PRESENTATION: A pregnant woman (third trimester) presented with intense
abdominal pain, nausea and myalgia. The patient was obese (body mass index 38)
and was being treated for high blood pressure, hyperuricemia and hypothyroidism.
She had chronic renal deficiency related to focal segmental glomerular sclerosis
requiring dialysis. The physical examination at admission revealed a fever of 39
degrees C and an acute abdomen with abdominal guarding in the right upper
quadrant without hepatomegaly or splenomegaly. There were no clinical signs of
pre-eclampsia. Fetal ultrasound and a Doppler of the umbilical vessels were
normal. Laboratory tests showed normal liver and liver function (total protein
95%, alanine transaminase (ALT), aspartate transaminase (AST), total bilirubin
normal). The white cell count was 10*109/l (90% neutrophils), with a normal
platelet count. Blood, urine and vaginal cultures were negative. Abdominal
ultrasound revealed isolated thickening of the gallbladder wall without
cholelithiasis. Empirical antibiotic treatment was begun with intravenous
amoxicillin. Six days later, the patient's condition had worsened with increased
abdominal pain, persistent fever and liver test abnormalities (AST-ALT 6N).
However, bilirubin, hepatic synthesis tests, as well as leucocyte and platelet
counts were still normal. A caesarean section followed by coelioscopy was
decided. Coelioscopy revealed a liver with numerous necrotic spots (see figure
1).gutjnl;66/11/1911/GUTJNL2016313097F1F1GUTJNL2016313097F1Figure 1Diagnostic
laparoscopy revealed a liver surface covered with numerous white necrotic spots.
QUESTION: What is the diagnosis?
PMID- 27888236
TI - Schlafen-1 causes a cell cycle arrest by inhibiting induction of cyclin D1.
PMID- 27888237
TI - Mice lacking alpha-tubulin acetyltransferase 1 are viable but display alpha
tubulin acetylation deficiency and dentate gyrus distortion.
PMID- 27888238
TI - Rational design of a parthenolide-based drug regimen that selectively eradicates
acute myelogenous leukemia stem cells.
PMID- 27888239
TI - The increasing diversity of functions attributed to the SAFB family of RNA-/DNA
binding proteins.
AB - RNA-binding proteins play a central role in cellular metabolism by orchestrating
the complex interactions of coding, structural and regulatory RNA species. The
SAFB (scaffold attachment factor B) proteins (SAFB1, SAFB2 and SAFB-like
transcriptional modulator, SLTM), which are highly conserved evolutionarily, were
first identified on the basis of their ability to bind scaffold attachment region
DNA elements, but attention has subsequently shifted to their RNA-binding and
protein-protein interactions. Initial studies identified the involvement of these
proteins in the cellular stress response and other aspects of gene regulation.
More recently, the multifunctional capabilities of SAFB proteins have shown that
they play crucial roles in DNA repair, processing of mRNA and regulatory RNA, as
well as in interaction with chromatin-modifying complexes. With the advent of new
techniques for identifying RNA-binding sites, enumeration of individual RNA
targets has now begun. This review aims to summarise what is currently known
about the functions of SAFB proteins.
PMID- 27888240
TI - Topological organisation of the phosphatidylinositol 4,5-bisphosphate
phospholipase C resynthesis cycle: PITPs bridge the ER-PM gap.
AB - Phospholipase C (PLC) is a receptor-regulated enzyme that hydrolyses
phosphatidylinositol 4,5-bisphosphate (PI(4,5)P2) at the plasma membrane (PM)
triggering three biochemical consequences, the generation of soluble inositol
1,4,5-trisphosphate (IP3), membrane-associated diacylglycerol (DG) and the
consumption of PM PI(4,5)P2 Each of these three signals triggers multiple
molecular processes impacting key cellular properties. The activation of PLC also
triggers a sequence of biochemical reactions, collectively referred to as the
PI(4,5)P2 cycle that culminates in the resynthesis of this lipid. The biochemical
intermediates of this cycle and the enzymes that mediate these reactions are
topologically distributed across two membrane compartments, the PM and the
endoplasmic reticulum (ER). At the PM, the DG formed during PLC activation is
rapidly converted into phosphatidic acid (PA) that needs to be transported to the
ER where the machinery for its conversion into PI is localised. Conversely, PI
from the ER needs to be rapidly transferred to the PM where it can be
phosphorylated by lipid kinases to regenerate PI(4,5)P2 Thus, two lipid transport
steps between membrane compartments through the cytosol are required for the
replenishment of PI(4,5)P2 at the PM. Here, we review the topological constraints
in the PI(4,5)P2 cycle and current understanding how these constraints are
overcome during PLC signalling. In particular, we discuss the role of lipid
transfer proteins in this process. Recent findings on the biochemical properties
of a membrane-associated lipid transfer protein of the PITP family, PITPNM
proteins (alternative name RdgBalpha/Nir proteins) that localise to membrane
contact sites are discussed. Studies in both Drosophila and mammalian cells
converge to provide a resolution to the conundrum of reciprocal transfer of PA
and PI during PLC signalling.
PMID- 27888241
TI - Neuropsychiatry: a synthesis of clinical and scientific fields for disorders of
mind and body.
PMID- 27888243
TI - Critical appraisal.
PMID- 27888242
TI - Revalidation and continuing professional development.
PMID- 27888245
TI - Yes, marriage equality is a mental health issue.
PMID- 27888247
TI - From the President.
PMID- 27888246
TI - The language of psychiatry.
PMID- 27888250
TI - Feedback on the 2012 Fellowship program.
PMID- 27888249
TI - Talking with Emeritus Professor Sidney Bloch.
PMID- 27888253
TI - Thermo-sensitive hydrogel PLGA-PEG-PLGA as a vaccine delivery system for
intramuscular immunization.
AB - In this work, we explored the potential of thermo-sensitive PLGA-PEG-PLGA with
sol-gel transition temperature around 32C as an intramuscular vaccine delivery
system by using ovalbumin as a model antigen. First, in vitro release test showed
that the PLGA-PEG-PLGA-deriving hydrogels could release ovalbumin in vitro in a
more sustainable way. From fluorescence living imaging, 50-200 mg/mL of PLGA-PEG
PLGA formulations could release antigen in a sustainable manner in vivo,
suggesting that the PLGA-PEG-PLGA hydrogel worked as an antigen-depot. Further,
the sustainable antigen release from the PLGA-PEG-PLGA hydrogels increased
antigen availability in the spleens of the immunized mice. The intramuscular
immunization results showed that 50-200 mg/mL of PLGA-PEG-PLGA formulations
promoted significantly more potent antigen-specific IgG immune response. In
addition, 200 mg/mL of PLGA-PEG-PLGA formulation significantly enhanced the
secretion of both Th1 and Th2 cytokines. From in vitro splenocyte proliferation
assay, 50-200 mg/mL of PLGA-PEG-PLGA formulations all initiated significantly
higher splenocyte activation. These results indicate that the thermo-sensitive
and injectable PLGA-PEG-PLGA hydrogels (particularly, 200 mg/mL of PLGA-PEG-PLGA
based hydrogel) own promising potential as an intramuscular vaccine delivery
system.
PMID- 27888254
TI - An evaluation of the Aerie Real campaign: Potential for promoting positive body
image?
AB - This study evaluated the impact on young women's body satisfaction of an
advertising campaign: Aerie Real, which included images of models who were not
digitally modified. In total, 200 female students were randomly allocated to view
either Aerie Real images or digitally modified images from previous campaigns. In
the total sample, no condition differences appeared. However, participants with
high appearance comparison reported a smaller decrease in body satisfaction after
viewing the Aerie Real images as compared to those viewing previous images ( p =
.003). Findings provide preliminary support for the Aerie Real campaign as less
deleterious form of media for body image.
PMID- 27888255
TI - Longitudinal analysis of intervention effects on temptations and stages of change
for dietary fat using parallel process latent growth modeling.
AB - The Dietary Guidelines for Americans recommend a 20-35 percent daily intake of
fat. Resisting the temptation to eat high-fat foods, in conjunction with stage of
readiness to avoid these foods, has been shown to influence healthy behavior
change. Data ( N = 6516) from three randomized controlled trials were pooled to
examine the relationships among direct intervention effects on temptations and
stage of change for limiting high-fat foods. Findings demonstrate separate
simultaneous growth processes in which baseline level of temptations, but not the
rate of change in temptations, was significantly related to the change in
readiness to avoid high-fat foods.
PMID- 27888256
TI - Corrigendum.
AB - Lubrini G, Rios Lago M, Perianez JA, et al. The contribution of depressive
symptoms to slowness of information processing in relapsing remitting multiple
sclerosis. Mult Scler 2016; 22: 1607-1615. DOI: 10.1177/1352458516661047 .
PMID- 27888257
TI - A Flexible Question-and-Answer Task for Measuring Speech Understanding.
AB - This report introduces a new speech task based on simple questions and answers.
The task differs from a traditional sentence recall task in that it involves an
element of comprehension and can be implemented in an ongoing fashion. It also
contains two target items (the question and the answer) that may be associated
with different voices and locations to create dynamic listening scenarios. A set
of 227 questions was created, covering six broad categories (days of the week,
months of the year, numbers, colors, opposites, and sizes). All questions and
their one-word answers were spoken by 11 female and 11 male talkers. In this
study, listeners were presented with question-answer pairs and asked to indicate
whether the answer was true or false. Responses were given as simple button or
key presses, which are quick to make and easy to score. Two preliminary
experiments are presented that illustrate different ways of implementing the
basic task. In the first experiment, question-answer pairs were presented in
speech-shaped noise, and performance was compared across subjects, question
categories, and time, to examine the different sources of variability. In the
second experiment, sequences of question-answer pairs were presented amidst
competing conversations in an ongoing, spatially dynamic listening scenario.
Overall, the question-and-answer task appears to be feasible and could be
implemented flexibly in a number of different ways.
PMID- 27888258
TI - Forecasting the byproducts generated by hydrothermal carbonisation of municipal
solid wastes.
AB - The influences of temperature and residence times on the conversion and product
distribution during hydrothermal carbonisation of municipal solid wastes were
investigated. Analysis of variance and reaction severity were used to
comprehensively analyse the experimental results. Analysis results showed both
reaction temperature and residence time had varying degrees of impact on
production distribution and hydrochars characteristic, while the effect of
combine temperature and time was negligible. It is novel to find that the
products yield was a linear function of the logarithm of the reaction ordinate.
Base on comprehensive consideration, 240 degrees C to 260 degrees C and 50 min
to 60 min would be the optimised reaction region to achieve relatively better
economic benefits for hydrothermal carbonisation of municipal solid waste. By
employing the analysis results and estimated models of high heating value and
solid yield established in this article, predicting the product characteristics
that have not been explored experimentally become possible.
PMID- 27888259
TI - The disengaged in science communication: How not to count audiences and publics.
AB - In this article, we suggest that three concepts from cultural and media studies
might be useful for analysing the ways audiences are constructed in science
communication: that media are immanent to society, media are multiple and
various, and audiences are active. This article uses those concepts, along with
insights from Science and Technology Studies (STS), to examine the category of
'the disengaged' within science communication. This article deals with the
contrast between 'common sense' and scholarly ideas of media and audiences in the
field of cultural and media studies. It compares the 'common sense' with
scholarly ideas of science publics from STS. We conclude that it may be time to
reconsider the ontology of publics and the disengaged for science communication.
PMID- 27888260
TI - Threshold concepts in prosthetics.
AB - BACKGROUND: Curriculum documents identify key concepts within learning
prosthetics. Threshold concepts provide an alternative way of viewing the
curriculum, focussing on the ways of thinking and practicing within prosthetics.
Threshold concepts can be described as an opening to a different way of viewing a
concept. This article forms part of a larger study exploring what students and
staff experience as difficult in learning about prosthetics. OBJECTIVES: To
explore possible threshold concepts within prosthetics. STUDY DESIGN:
Qualitative, interpretative phenomenological analysis. METHODS: Data from 18
students and 8 staff at two universities with undergraduate prosthetics and
orthotics programmes were generated through interviews and questionnaires. The
data were analysed using an interpretative phenomenological analysis approach.
RESULTS: Three possible threshold concepts arose from the data: 'how we walk',
'learning to talk' and 'considering the person'. CONCLUSION: Three potential
threshold concepts in prosthetics are suggested with possible implications for
prosthetics education. These possible threshold concepts involve changes in both
conceptual and ontological knowledge, integrating into the persona of the
individual. This integration occurs through the development of memories
associated with procedural concepts that combine with disciplinary concepts.
Considering the prosthetics curriculum through the lens of threshold concepts
enables a focus on how students learn to become prosthetists. Clinical relevance
This study provides new insights into how prosthetists learn. This has
implications for curriculum design in prosthetics education.
PMID- 27888261
TI - Fluctuating residual limb volume accommodated with an adjustable, modular socket
design: A novel case report.
AB - BACKGROUND: This novel case report describes the problems of prescribing a
prosthetic socket in a left transfemoral amputee secondary to chronic
patellofemoral instability compounded by complex regional pain syndrome. Case
Description and Methods: Following the amputation, complex regional pain syndrome
symptoms recurred in the residual limb, presenting mainly with oedema. Due to
extreme daily volume fluctuations of the residual limb, a conventional, laminated
thermoplastic socket fitting was not feasible. Findings and Outcomes: An
adjustable, modular socket design was trialled. The residual limb volume
fluctuations were accommodated within the socket. Amputee rehabilitation could be
continued, and the rehabilitation goals were achieved. The patient was able to
wear the prosthesis for 8 h daily and to walk unaided indoors and outdoors.
CONCLUSION: An adjustable, modular socket design accommodated the daily residual
limb volume fluctuations and provided a successful outcome in this case. It
demonstrates the complexities of socket fitting and design with volume
fluctuations. Clinical relevance Ongoing complex regional pain syndrome symptoms
within the residual limb can lead to fitting difficulties in a conventional,
laminated thermoplastic socket due to volume fluctuations. An adjustable, modular
socket design can accommodate this and provide a successful outcome.
PMID- 27888263
TI - A CBPR Approach to Finding Community Strengths and Challenges to Prevent Youth
Suicide and Substance Abuse.
AB - PURPOSE: To improve health and reduce health disparities, research partnerships
with American Indian and Alaska Native communities should build on existing
traditions and strengths. The overall goal of this pilot project was to clarify
the needs of tribal community members and determine strengths and resources
available to the community. DESIGN: Community-based participatory research was
the approach used to obtain community input. Data collection methods included,
Photovoice ( n = 16), digital storytelling ( n = 4), and community capacity
surveys ( n = 128). RESULTS: Quantitative and qualitative findings supported the
need to (1) address youth suicide and substance abuse on the reservation and (2)
use preexisting resources available in the community. The results led to the
development of a strengths-based intervention incorporating the Gathering of
Native American's curriculum. CONCLUSION: Integral to the development,
implementation, and sustainability of the intervention was the truly reciprocal
relationship developed between community and university partners.
PMID- 27888262
TI - Search for an animal model to investigate selective pulmonary vasodilation.
AB - Pulmonary arterial hypertension is a life-threatening disease with a poor
prognosis. Oral treatment with vasodilators is often limited by systemic
hypotension. Inhalation of vasodilators offers the opportunity for selective
pulmonary vasodilation. Testing selective pulmonary vasodilation by inhaled
nitric oxide or alternative substances in animal models requires an increased
pulmonary vascular tone. The aim of this study was to identify animal models that
are suitable for investigating selective pulmonary vasodilation. To do so, a
haemodynamic stable pulmonary hypertension was initiated, with a 30 min duration
deemed to be a sufficient time interval before and after a possible intervention.
In anaesthetized and mechanically-ventilated Sprague-Dawley rats pulmonary
hypertension was induced either by acute hypoxia due to reduction of the inspired
oxygen fraction from 0.21 to 0.1 ( n = 6), a fixed infusion rate of the
thromboxane analogue U46619 (240 ng/min; n = 6) or a monocrotaline injection
(MCT; 60 mg/kg applied 23 days before the investigation; n = 7). The animals were
instrumented to measure right ventricular and systemic arterial pressures. Acute
hypoxia caused a short, and only transient, increase of pulmonary artery pressure
as well as profound systemic hypotension which suggested haemodynamic
instability. U46619 infusion induced variable changes in the pulmonary and
systemic vascular tone without sufficient stabilization within 30 min. MCT
provoked sustained pulmonary hypertension with normal systemic pressure values
and inhalation of nitric oxide caused selective pulmonary vasodilation. In
conclusion, out of the three examined rat animal models only MCT-induced
pulmonary hypertension is a solid and reliable model for investigating selective
pulmonary vasodilation.
PMID- 27888264
TI - Sharing for Health: A Study of Chinese Adolescents' Experiences and Perspectives
on Using Social Network Sites to Share Health Information.
AB - PURPOSE: This exploratory qualitative study examines Chinese adolescents' health
information sharing habits on social network sites. METHOD: Ten focus group
meetings with 76 adolescents, ages 12 to 17 years, were conducted at community
based organizations in Chicago's Chinatown. The research team transcribed the
recording and analyzed the transcripts using ATLAS.ti. RESULTS: Chinese
adolescents are using different social network sites for various topics of health
information including food, physical activity, and so on. Adolescents would share
useful and/or interesting health information. Many adolescents raised credibility
concerns regarding health information and suggested evaluating the information
based on self-experience or intuition, word-of-mouth, or information online.
CONCLUSION: The findings shed lights on future intervention using social network
sites to promote health among Chinese adolescents in the United States.
IMPLICATIONS FOR PRACTICE: Future interventions should provide adolescents with
interesting and culturally sensitive health information and educate them to
critically evaluate health information on social network sites.
PMID- 27888265
TI - Zika: exposing anew the need for health promotion in Latin America.
PMID- 27888269
TI - Internal Carotid Artery Pseudoaneurysm and Ischemic Stroke Secondary to
Retropharyngeal and Parapharyngeal Abscess.
AB - Internal carotid artery pseudoaneurysm is an uncommon complication of
retropharyngeal and parapharyngeal abscess in children. Treatment of the
pseudoaneurysm has evolved in recent years from surgical ligation to endovascular
techniques. Neurologic sequelae most commonly consist of Horner's syndrome with
cerebral ischemia being uncommon. The clinical course of a 2-year-old boy with
retropharyngeal abscess complicated by internal carotid artery pseudoaneurysm, is
described and the literature is reviewed. A conventional angiogram confirmed the
presence of a large pseudoaneurysm with no anterograde flow distal to the
pseudoaneurysm and substantial collateral flow across the circle of Willis, with
filling of the left anterior and middle cerebral arteries via the anterior and
posterior communicating arteries. Endovascular occlusion resulted in nonfilling
of the left internal carotid artery, pseudoaneurysm, and left internal jugular
vein at the base of the skull. Following the procedure, the patient developed
transient mild right hemiparesis associated with frontal lobe ischemia.
PMID- 27888270
TI - Cerebellar Volume in Children With Attention-Deficit Hyperactivity Disorder
(ADHD).
AB - Attention Deficit Hyperactivity Disorder (ADHD) is associated with altered
cerebellar volume and cerebellum is associated with cognitive performance.
However there are mixed results regarding the cerebellar volume in young patients
with ADHD. To clarify the size and direction of this effect, we conducted the
analysis on the large public database of brain images. The aim of this study was
to confirm that cerebellar volume in ADHD is smaller than in control subjects in
currently the largest publicly available cohort of ADHD subjects.We applied cross
sectional case control study design by comparing 286 ADHD patients (61 female)
with age and gender matched control subjects. Volumetric measurements of
cerebellum were obtained using automated segmentation with FreeSurfer 5.1.
Statistical analysis was performed in R-CRAN statistical environment. Patients
with ADHD had significantly smaller total cerebellar volumes (134.5+/-17.11cm3
vs.138.90+/-15.32 cm3). The effect was present in both females and males (males
136.9+/-14.37 cm3 vs. 141.20+/-14.75 cm3; females 125.7+/-12.34 cm3 vs. 131.20+/
15.03 cm3). Age was positively and significantly associated with the cerebellar
volumes. These results indicate either delayed or disrupted cerebellar
development possibly contributing to ADHD pathophysiology.
PMID- 27888271
TI - Investigation of the Association Between Motor Stereotypy Behavior With
Fundamental Movement Skills, Adaptive Functioning, and Autistic Spectrum Disorder
Symptomology in Children With Intellectual Disabilities.
AB - Motor stereotypy behaviors are patterned, coordinated, repetitive behaviors that
are particularly evident in those with an autistic spectrum disorder and
intellectual disabilities. The extent to which motor stereotypy behavior severity
is associated with motor skills and maladaptive behavior, measures of adaptive
functioning, along with fundamental movement skills and degree of autistic
spectrum disorder symptomology is assessed in this preliminary report. Twelve
participants, aged 7 to 16 years, with a reported motor stereotypy behavior and
either mild or severe intellectual disability comprising developmental or global
delay took part in the study. Spearman rho correlational analysis showed that
severity of motor stereotypy behavior was significantly positively correlated
with autistic spectrum disorder symptomology ( P = .008) and maladaptive behavior
( P = .008) but not fundamental movement skills ( P > .05). An increase in
fundamental movement skills score was associated with a decrease in autistic
spectrum disorder symptomology ( P = .01) and an increase in motor skills ( P =
.002). This study provides evidence showing a significant relationship between
motor stereotypy behavior severity with degree of autistic spectrum disorder
symptomology and maladaptive behavior.
PMID- 27888272
TI - Introductory paragraph.
PMID- 27888273
TI - Upward ballooning of the third ventricle floor in a patient with slit ventricle
syndrome: A unique magnetic resonance imaging finding.
AB - Slit ventricle syndrome (SVS) is a well-known chronic complication of
ventriculoperitoneal shunt (VPS) placement. In this report, we describe a unique
anatomical change that we observed on the magnetic resonance (MR) images of the
brain acquired from a patient with SVS. The patient was a 40-year-old woman who
had undergone VPS placement at 3 months of age. A computed tomography scan of her
brain revealed a slit-like ventricle. In addition, an MR image of her brain
revealed upward ballooning of the third ventricle floor, which returned to normal
after a lumbar puncture. This anatomical change resulted from the pressure
gradient between the intra- and extraventricular spaces. We believe our findings
will further our understanding of the pathogenesis of SVS. Moreover, we hope our
findings will help clinicians to select endoscopic third ventriculostomy as the
primary surgical approach in patients with this particular SVS pathogenesis in
order to avoid complications.
PMID- 27888274
TI - Percutaneous sacroplasty for the management of painful pathologic fracture in a
multiple myeloma patient: Case report and review of the literature.
AB - Percutaneous kyphoplasty has a well-established role in the treatment of
pathologic fractures in patients with multiple myeloma. Despite this, there is a
scarcity of literature surrounding its use and efficacy in the sacrum. We present
a case of successful symptom resolution in a patient with painful sacral fracture
following sacroplasty, and review the existing literature. An 81-year-man with
multiple myeloma presented to the hematology/oncology clinic with a history of
excruciating pain while seated. The impact of this pain on his quality of life
subjectively was rated to be particularly high. Computed tomography of the sacrum
confirmed the presence of pathologic fracture within the S1 and S2 vertebrae.
Under fluoroscopic guidance, polymethyl methacrylate (PMMA) bone cement was
injected via 11-gauge needles using an anterior-oblique approach. No immediate
post-procedural complications occurred, such as foraminal extravasation or venous
injection. The patient reported himself to be pain-free 1 day following the
procedure, and this remains the case to date at 2 years of follow-up. Sacroplasty
is technically feasible and can provide durable relief of symptoms in patients
with painful pathologic fractures of the sacrum. It is likely underused and can
offer tremendous benefit to myeloma patients.
PMID- 27888275
TI - Acute disseminated encephalomyelitis following Campylobacter jejuni
gastroenteritis: Case report and review of the literature.
AB - We describe a case of a 25-year-old male with a diagnosis of acute disseminated
encephalomyelitis (ADEM) following infection with Campylobacter jejuni, which is
implicated in various human pathologies regarding the central nervous system
(CNS) with acute course like Guillain-Barre syndrome (GBS), Miller-Fisher
syndrome (MFS), Bickerstaff's brainstem encephalitis (BEE), acute transverse
myelitis (ATM) as well as ADEM. These conditions are caused by cross-reactivity
between Campylobacter's epitopes and cells of the CNS that causes an
immunomediated inflammatory demyelination of the CNS. In the acute phase,
magnetic resonance (MR) can detect pathologic signal intensity at the CNS with
areas of pathologic contrast enhancement at cortical and spinal white matter that
normalize over time or can be stable. These findings can be associated with edema
in parts of the CNS. The lesions typically appear at different times during the
disease course and also can have a different evolution. Our purpose therefore was
to describe the clinical course and MR findings of this case and perform a
critical review of the literature.
PMID- 27888276
TI - Communicating About Choices in Transplantation (COACH).
AB - INTRODUCTION: Previous research highlights the difficulties patients with end
stage renal disease awaiting kidney transplant experience while attempting to
manage both the logistical and the content-related aspects of discussions about
transplantation. This article presents pilot results of the behavioral
communication intervention program, Communicating about Choices in
Transplantation (COACH), designed to improve transplant candidates' communication
about transplantation. RESEARCH QUESTIONS: As compared to matched controls,
increases in knowledge of deceased and living donor transplantation,
communication self-efficacy, intentions to hold conversations about
transplantation, and self-reported discussion were expected for pilot
participants from pre- and postassessment; decreases in conversational
difficulties were also posited. DESIGN: Using a nonrandomized quasi-experimental
design, we compared transplant knowledge and communication between patients
completing a 2-hour COACH session (pilot sample) to a sample of matched controls
(n = 10). Data were collected via semi-structured telephone interviews upon
enrollment and 1 month after enrollment or attendance at a COACH program session.
RESULTS: The results revealed significant differences in knowledge from pre- to
postassessment between the pilot and control samples ( P = .02). Although no
other statistically significant between-group differences were found, paired
sample t tests revealed significant pre-post increases in transplant knowledge
(7.6 [standard deviation, SD = 2.0] to 9.5 [SD =1.8]; P = .05) and communication
self-efficacy (1255.8 [SD = 239.7] to 1513.8 [SD = 114.3]; P = .009) for pilot
participants. Decreases in perceived conversational difficulties were also
observed ( P = .53). DISCUSSION: Results provide preliminary support for the
program's impact. Moreover, participant evaluations of the COACH were
overwhelmingly positive. A more definitive program evaluation with a larger, more
diverse sample is currently underway.
PMID- 27888277
TI - Looking the World in the Face: The Benefits and Challenges of Facial
Transplantation for Blind Patients
AB - Total bilateral blindness in the setting of facial transplantation is a
controversial matter. Some transplant teams exclude these candidates, while
others accept them onto their facial transplant waiting list. Using 3 cases, the
clinical and ethical complexity of total bilateral blindness is explored.
Guidance (medical, psychological, and social) for total bilateral blindness as
both an inclusion and exclusion criterion is provided, with the stipulation that
total bilateral blindness should not be an automatic exclusion criterion for
facial transplantation. Additionally, guidance for corneal transplant in facial
transplant candidates is discussed. Suggestions for posttransplant disability
assistance for patients with total bilateral blindness are also provided.
PMID- 27888278
TI - Missing Domains of Lung Transplant Patient Selection.
AB - : The goal of consistent, predictable, and improved outcomes has continued to
elude the scientific community in the 30 years since lung transplantation became
the procedure of choice for patients with terminal, nonmalignant lung disease.
BACKGROUND: Although there is consensus regarding disease-specific indications
for lung transplant, ambiguity remains regarding how patient-specific attributes
should influence lung transplant candidacy. This study had 3 aims (1) to
establish the missing domains of the interdisciplinary assessment of the lung
transplant candidate, (2) to have these domains validated by an international
panel of lung transplant experts, and (3) to recommend the next step for
inclusion of these domains into the lung transplant candidate selection process.
METHODS: Three levels of evidence were reviewed. A search for standards and
guidelines, a comprehensive literature review, and a validation of domains by
experts were conducted. RESULTS: Seven domains of patient attributes were
identified as relevant to lung transplant patient selection: cognitive
performance, frailty, psychological factors, self-efficacy, social support,
quality of life, and sociodemographic factors. Within each domain, several
elements were identified to be incorporated in the process of patient assessment.
CONCLUSION: Assessment of the missing domains and elements should be incorporated
into the interdisciplinary lung transplant evaluation process. Standardized
recognition and reporting of the patient-specific attributes will inform the work
of individual transplant programs and the international transplant community.
Further study is needed to associate these patient attributes with transplant
outcomes. Improved understanding is needed regarding how nurses assess lung
transplant candidates and how they communicate their findings within
interdisciplinary settings.
PMID- 27888279
TI - Predictors of Liver Transplant Patient Survival.
AB - OBJECTIVE: Liver transplantation is a costly and risky procedure, representing 25
050 procedures worldwide in 2013, with 6729 procedures performed in the United
States in 2014. Considering the scarcity of organs and uncertainty regarding
prognosis, limited studies address the variety of risk factors before
transplantation that might contribute to predicting patient's survival and
therefore developing better models that address a holistic view of transplant
patients. This critical review aimed to identify predictors of liver transplant
patient survival included in large-scale studies and assess the gap in risk
factors from a holistic approach using the Wellbeing Model and the Strengthening
the Reporting of Observational Studies in Epidemiology (STROBE) statement. DATA
SOURCE: Search of the Cumulative Index to Nursing and Allied Health Literature
(CINAHL), Medline, and PubMed from the 1980s to July 2014. STUDY SELECTION:
Original longitudinal large-scale studies, of 500 or more subjects, published in
English, Spanish, or Portuguese, which described predictors of patient survival
after deceased donor liver transplantation. DATA EXTRACTION: Predictors were
extracted from 26 studies that met the inclusion criteria. DATA SYNTHESIS: Each
article was reviewed and predictors were categorized using a holistic framework,
the Wellbeing Model (health, community, environment, relationship, purpose, and
security dimensions). CONCLUSIONS: The majority (69.7%) of the predictors
represented the Wellbeing Model Health dimension. There were no predictors
representing the Wellbeing Dimensions for purpose and relationship nor emotional,
mental, and spiritual health. This review showed that there is rigorously
conducted research of predictors of liver transplant survival; however, the
reported significant results were inconsistent across studies, and further
research is needed to examine liver transplantation from a whole-person
perspective.
PMID- 27888280
TI - An Effective New Intestinal Anastomosis Method.
AB - BACKGROUND This study aimed to establish an easy, safe, and cost-saving
intestinal anastomotic method. MATERIAL AND METHODS Between January 2014 and
February 2016, a total of 150 patients with gastric cancer who underwent surgery
in the Department of General Surgery of Xuzhou Medical University Affiliated
Hospital were divided into 2 groups: the treatment group (80) using new hand-sewn
anastomoses, and the control group (70) using stapled anastomoses. Briefly, a new
hand-sewn anastomosis of continuous suture without inversion was performed, with
the first layer encompassing the entire layer of the intestinal wall. The edge
was about 5 mm, and the stitch spacing was about 6 mm. Continuous suturing was
performed only in the seromuscular layer of intestinal wall for the second layer,
with the same edge and stitch spacing as the first layer. All 70 patients in the
control group underwent intestinal stapled anastomoses. Surgical anastomotic time
and cost, postoperative anastomotic bleeding, leakage, and stricture were
recorded and analyzed. RESULTS The surgical anastomotic time using the new method
was relatively short compared with the control group (8+/-1.6 min vs. 9+/-2.8
min), and the cost of anastomosis using the new method was significantly lower
compared to the control group ($30+/-6.8 vs. $1000+/-106.2). The new method
exhibited lower anastomotic bleeding (0/80 vs. 2/70) and anastomotic leakage
(0/80 vs. 1/70), but similar anastomotic stricture (0/80 vs. 0/70). CONCLUSIONS
Our results suggest the new hand-sewn intestinal anastomosis is a safe, easy-to
learn, cost-saving, and time-saving method that also avoids some of the drawbacks
of the stapled anastomoses.
PMID- 27888281
TI - The actions of relaxin family peptides on signal transduction pathways activated
by the relaxin family peptide receptor RXFP4.
AB - The relaxin family peptide receptor 4 (RXFP4) is a G protein-coupled receptor
(GPCR) expressed in the colorectum with emerging roles in metabolism and appetite
regulation. It is activated by its cognate ligand insulin-like peptide 5 (INSL5)
that is expressed in enteroendocrine L cells in the gut. Whether other
evolutionarily related peptides such as relaxin-2, relaxin-3, or INSL3 activate
RXFP4 signal transduction mechanisms with a pattern similar to or distinct from
INSL5 is still unclear. In this study, we compare the signaling pathways
activated by various relaxin family peptides to INSL5. We found that, like INSL5,
relaxin-3 activated ERK1/2, p38MAPK, Akt, and S6RP phosphorylations leading to
increased cell proliferation and also caused GRK and beta-arrestin-mediated
receptor internalization. Interestingly, relaxin-3 was slightly more potent than
INSL5 in ERK1/2 and Akt phosphorylations, but both peptides were almost
equipotent in adenylyl cyclase inhibition, S6RP phosphorylation, and cell
proliferation. In addition, relaxin-3 showed greater efficacy only in Akt
phosphorylation but not in the other pathways investigated. In contrast, no
signaling activity or receptor internalization mechanisms were observed following
relaxin-2 and INSL3. In conclusion, relaxin-3 is a high-efficacy agonist at RXFP4
with a comparable signal transduction profile to INSL5.
PMID- 27888282
TI - Monte Carlo systems used for treatment planning and dose verification.
AB - General-purpose radiation transport Monte Carlo codes have been used for
estimation of the absorbed dose distribution in external photon and electron beam
radiotherapy patients since several decades. Results obtained with these codes
are usually more accurate than those provided by treatment planning systems based
on non-stochastic methods. Traditionally, absorbed dose computations based on
general-purpose Monte Carlo codes have been used only for research, owing to the
difficulties associated with setting up a simulation and the long computation
time required. To take advantage of radiation transport Monte Carlo codes applied
to routine clinical practice, researchers and private companies have developed
treatment planning and dose verification systems that are partly or fully based
on fast Monte Carlo algorithms. This review presents a comprehensive list of the
currently existing Monte Carlo systems that can be used to calculate or verify an
external photon and electron beam radiotherapy treatment plan. Particular
attention is given to those systems that are distributed, either freely or
commercially, and that do not require programming tasks from the end user. These
systems are compared in terms of features and the simulation time required to
compute a set of benchmark calculations.
PMID- 27888283
TI - Retrograde conditioning of place preference and motor activity with cocaine in
mice.
AB - RATIONALE: In order to improve understanding of the nature of drug-associated
memory, the current studies addressed whether conditioned place preference (CPP)
could develop under conditions in which there was a delay between presentation of
context and drug exposure (i.e., retrograde or trace conditioning). OBJECTIVES:
The objective was to assess development of CPP when cocaine or methamphetamine
was injected simultaneously with exposure to a salient context (S+), or after
delays differing in length. METHODS: Dose response curves for conventional CPP
were established using separate groups of Swiss-Webster mice injected with
cocaine or methamphetamine just prior to S+ exposure. To assess the development
of retrograde CPP, other groups received trace conditioning, where cocaine (15
mg/kg) or methamphetamine (0.5 mg/kg) was injected after a delay of 15, 60, 120,
180, 240, or 480 min following the end of the S+ session. RESULTS: Mice receiving
conventional CPP with cocaine or methamphetamine during S+ showed significant
place preference. None of the groups receiving delayed methamphetamine showed
significant CPP; however, CPP was evident in mice receiving cocaine after delays
of up to 4 h following S+. In a separate study, delayed methamphetamine also did
not result in significant place preference when presented in doses of 0.25 or 1
mg/kg. CONCLUSIONS: These results suggest that psychostimulant drug taking may be
broadly generalized to context through retrograde association with events in
recent memory, a factor that may contribute to drug-seeking and relapse following
abstinence.
PMID- 27888284
TI - Attenuation of the anxiogenic effects of cocaine by 5-HT1B autoreceptor
stimulation in the bed nucleus of the stria terminalis of rats.
AB - RATIONALE: Cocaine produces significant aversive/anxiogenic actions whose
underlying neurobiology remains unclear. A possible substrate contributing to
these actions is the serotonergic (5-HT) pathway projecting from the dorsal raphe
(DRN) to regions of the extended amygdala, including the bed nucleus of the stria
terminalis (BNST) which have been implicated in the production of anxiogenic
states. OBJECTIVES: The present study examined the contribution of 5-HT signaling
within the BNST to the anxiogenic effects of cocaine as measured in a runway
model of drug self-administration. METHODS: Male Sprague-Dawley rats were fitted
with bilateral infusion cannula aimed at the BNST and then trained to traverse a
straight alley once a day for a single 1 mg/kg i.v. cocaine infusion delivered
upon goal-box entry on each of 16 consecutive days/trials. Intracranial infusions
of CP 94,253 (0, 0.25, 0.5, or 1.0 MUg/side) were administered to inhibit local 5
HT release via activation of 5-HT1B autoreceptors. To confirm receptor
specificity, the effects of this treatment were then challenged by co
administration of the selective 5-HT1B antagonist NAS-181. RESULTS: Intra-BNST
infusions of the 5-HT1B autoreceptor agonist attenuated the anxiogenic effects of
cocaine as reflected by a decrease in runway approach-avoidance conflict
behavior. This effect was reversed by the 5-HT1B antagonist. Neither start
latencies (a measure of the subject's motivation to seek cocaine) nor spontaneous
locomotor activity (an index of motoric capacity) were altered by either
treatment. CONCLUSIONS: Inhibition of 5-HT1B signaling within the BNST
selectively attenuated the anxiogenic effects of cocaine, while leaving
unaffected the positive incentive properties of the drug.
PMID- 27888285
TI - Efficacy of statins for osteoporosis: a systematic review and meta-analysis.
AB - Our meta-analysis assessed the efficacy of statins on the risk of fracture, bone
mineral density (BMD), and the markers of bone metabolism by collecting data from
33 clinical trials. We found that statin treatment was associated with bone
metabolism. And statins seemed to be more effective on male patients with
osteoporosis. The efficacy of statins for the treatment of osteoporosis has been
controversial in previous studies and meta-analyses. Our meta-analysis was
conducted to examine in detail the efficacy of statins on osteoporosis. We
searched PubMed, Embase, and the Cochrane Library databases for clinical trials
from inception to May 2016. We included studies that described the effect of
statins on the risk of fracture, BMD, or bone turnover markers. Moreover, we also
conducted subgroup analyses according to the skeleton site, patient gender, and
length of follow-up. A total of 33 studies which included 23 observational
studies (16 cohort studies and 7 case-control studies) and 10 randomized
controlled trials (RCTs) were evaluated. These 33 studies included 314,473
patients in statin group and 1,349,192 patients in control group. Statins
decreased the risk of overall fractures (OR = 0.81, 95% CI 0.73-0.89) and hip
fractures (OR = 0.75, 95% CI 0.60-0.92). Furthermore, the use of statins was
associated with increased BMD at the total hip (standardized mean difference
(SMD) = 0.18, 95% CI 0.00-0.36) and lumbar spine (SMD = 0.20, 95% CI 0.07-0.32)
and improved the bone formation marker, osteocalcin (OC) (SMD = 0.21, 95% CI 0.00
0.42). However, there was no positive effect on vertebral fractures, upper
extremity fractures, BMD at the femoral neck, bone-specific alkaline phosphatase
(BALP), and serum C-terminal peptide of type I collagen (S-CTX). Also, compared
with male subgroups, the effect on female subgroups was only slightly positive or
of no statistical significance. Our meta-analysis indicates that statin treatment
may be associated with a decreased risk of overall fractures and hip fractures,
an increased BMD at the total hip, BMD at the lumbar spine, and OC. Moreover, our
results also show that statin treatment may have a greater effect on male
patients than on female patients.
PMID- 27888286
TI - The effectiveness of a multidisciplinary hip fracture care model in improving the
clinical outcome and the average cost of manpower.
AB - : After the implementation of the multidisciplinary geriatric hip fracture
clinical pathway in 2007, the hospital length of stay and the clinical outcomes
improves. Moreover, the cost of manpower for each hip fracture decreases. It
proves that this care model is cost-effective. INTRODUCTION: The objective of
this study is to compare the clinical outcomes and the cost of manpower before
and after the implementation of the multidisciplinary geriatric hip fracture
clinical pathway (GHFCP). METHODS: The hip fracture data from 2006 was compared
with the data of four consecutive years since 2008. The efficiency of the program
is assessed using the hospital length of stay. The clinical outcomes include
mortality rates and complication rates are compared. Cost of manpower was also
analysed. RESULTS: After the implementation of the GHFCP, the preoperative length
of stay shortened significantly from 5.8 days in 2006 to 1.3 days in 2011. The
total length of stay in both acute and rehabilitation hospitals were also
shortened by 6.1 days and 14.2 days, respectively. The postoperative pneumonia
rate also decreased from 1.25 to 0.25%. The short- and long-term mortalities also
showed a general improvement. Despite allied health manpower was increased to
meet the increased workload, the shortened length of stay accounted for a mark
decrease in cost of manpower per hip fracture case. CONCLUSION: This study proves
that the GHFCP shortened the geriatric hip fracture patients' length of stay and
improves the clinical outcomes. It is also cost-effective which proves better
care is less costly.
PMID- 27888289
TI - Epigenetic synergism between interleukin-4 and aryl-hydrocarbon receptor in human
macrophages.
AB - : The aryl hydrocarbon receptor (AhR)-ligand axis is involved in immune
regulation, but its molecular basis remains to be fully elucidated. Chemokine (C
C motif) ligand 1 (CCL1) is an important chemoattractant, but how CCL1 is
regulated remains to be defined. The role of AhR in regulating CCL1 expression in
two major subsets of macrophage was investigated. We used a human THP-1 cell
line, monocytes, and mouse peritoneal macrophages to generate M(IFN-gamma/LPS)
and M(IL-4) subsets, and the AhR's ligand effect was determined by the use of a
combination of chromatin immunoprecipitation, PCR, and ELISA. Upon exposure to a
classical AhR ligand, 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD), selective
induction of CCL1 was noted only in M(IL-4), not M(IFN-gamma/LPS) cells in human
but not murine macrophages. This selectivity was mediated by AhR's binding to the
distal dioxin-responsive element (DRE) in the CCL1 promoter of the M(IL-4)
subset, and a deletion mutant lacking the distal DRE sequence lost its activity.
In contrast to the M(IFN-gamma/LPS) cells, the distal DRE was devoid of tri
methylated histone 3 lysine 27 (H3K27) in M(IL-4) cells, and the addition of a
H3K27 demethylase inhibitor blocked AhR-mediated CCL1 expression. Similar
selectivity of CCL1 expression was also noted in monocyte-derived M(IL-4)
subsets, and the level of AhR binding to distal DRE in monocytes was correlated
with the levels of plasma interleukin-4 (IL-4) in 23 human subjects. These
findings suggested the existence of a new regulatory epigenetic-based mechanism,
wherein AhR in concert with IL-4 differentially regulated human, not murine,
macrophage CCL1 response. KEY MESSAGE: Human CCL1 gene is selectively targeted by
AhR in M(IL-4) macrophage. IL-4-induced epigenetic modification potentiates AhR
mediated CCL1 expression. This epigenetic control of CCL1 expression is not
operative in murine macrophages.
PMID- 27888290
TI - [Influenza : How to vaccinate?]
PMID- 27888288
TI - Nonlinear association of BMI with all-cause and cardiovascular mortality in type
2 diabetes mellitus: a systematic review and meta-analysis of 414,587
participants in prospective studies.
AB - AIMS/HYPOTHESIS: The relationship between BMI and mortality has been extensively
investigated in the general population; however, it is less clear in people with
type 2 diabetes. We aimed to assess the association of BMI with all-cause and
cardiovascular mortality in individuals with type 2 diabetes mellitus. METHODS:
We searched electronic databases up to 1 March 2016 for prospective studies
reporting associations for three or more BMI groups with all-cause and
cardiovascular mortality in individuals with type 2 diabetes mellitus. Study
specific associations between BMI and the most-adjusted RR were estimated using
restricted cubic splines and a generalised least squares method before pooling
study estimates with a multivariate random-effects meta-analysis. RESULTS: We
included 21 studies including 24 cohorts, 414,587 participants, 61,889 all-cause
and 4470 cardiovascular incident deaths; follow-up ranged from 2.7 to 15.9 years.
There was a strong nonlinear relationship between BMI and all-cause mortality in
both men and women, with the lowest estimated risk from 31-35 kg/m2 and 28-31
kg/m2 (p value for nonlinearity <0.001) respectively. The risk of mortality at
higher BMI values increased significantly only in women, whilst lower values were
associated with higher mortality in both sexes. Limited data for cardiovascular
mortality were available, with a possible inverse linear association with BMI
(higher risk for BMI <27 kg/m2). CONCLUSIONS/INTERPRETATION: In type 2 diabetes,
BMI is nonlinearly associated with all-cause mortality with lowest risk in the
overweight group in both men and women. Further research is needed to clarify the
relationship with cardiovascular mortality and assess causality and sex
differences.
PMID- 27888287
TI - Wnt signaling and cellular metabolism in osteoblasts.
AB - The adult human skeleton is a multifunctional organ undergoing continuous
remodeling. Homeostasis of bone mass in a healthy adult requires an exquisite
balance between bone resorption by osteoclasts and bone formation by osteoblasts;
disturbance of such balance is the root cause for various bone disorders
including osteoporosis. To develop effective and safe therapeutics to modulate
bone formation, it is essential to elucidate the molecular mechanisms governing
osteoblast differentiation and activity. Due to their specialized function in
collagen synthesis and secretion, osteoblasts are expected to consume large
amounts of nutrients. However, studies of bioenergetics and building blocks in
osteoblasts have been lagging behind those of growth factors and transcription
factors. Genetic studies in both humans and mice over the past 15 years have
established Wnt signaling as a critical mechanism for stimulating osteoblast
differentiation and activity. Importantly, recent studies have uncovered that Wnt
signaling directly reprograms cellular metabolism by stimulating aerobic
glycolysis, glutamine catabolism as well as fatty acid oxidation in osteoblast
lineage cells. Such findings therefore reveal an important regulatory axis
between bone anabolic signals and cellular bioenergetics. A comprehensive
understanding of osteoblast metabolism and its regulation is likely to reveal
molecular targets for novel bone therapies.
PMID- 27888292
TI - Seasonal and Spatial Variations of Saltmarsh Benthic Foraminiferal Communities
from North Norfolk, England.
AB - Time series foraminiferal data were obtained from samples collected from three
sites at Brancaster Overy Staithe, Burnham Overy Staithe and Thornham on the
North Norfolk coast over a 1-year period. At each collection point, six
environmental variables-temperature, chlorophyll, sand, mud, pH and salinity-were
also measured. The principle aim of this study was to examine the benthic
foraminiferal fauna in regard to the temporal variability of foraminiferal
abundance, seasonal trend, dominant species, species diversity and the impact of
environmental variables on the foraminiferal communities in the top 1 cm of
sediment over a 1-year time series. The foraminiferal assemblages at the three
sites were dominated by three species: Haynesina germanica, Ammonia sp. and
Elphidium williamsoni. Foraminiferal species showed considerable seasonal and
temporal fluctuation throughout the year at the three investigated sites. The
foraminiferal assemblage at the three low marsh zones showed a maximum abundance
in autumn between September and November and a minimum abundance observed between
July and August. There were two separate peaks in the abundance of Ammonia sp.
and E. williamsoni, one in spring and another in autumn. In contrast, H.
germanica showed a single peak in its abundance in autumn. A generalized additive
modelling approach was used to explain the variation in the observed
foraminiferal abundance and to estimate the significant impact of each of the
environmental variables on living foraminiferal assemblages, with taxa abundance
as the dependent variable. When included in the model as predictors, most of the
environmental variables contributed little in explaining the observed variation
in foraminiferal species abundance. However, the hypotheses for differences
amongst sites, salinity and pH were significant and explained most of the
variability in species relative abundance.
PMID- 27888291
TI - Whole-Genome Enrichment Provides Deep Insights into Vibrio cholerae Metagenome
from an African River.
AB - The detection and typing of Vibrio cholerae in natural aquatic environments
encounter major methodological challenges related to the fact that the bacterium
is often present in environmental matrices at very low abundance in nonculturable
state. This study applied, for the first time to our knowledge, a whole-genome
enrichment (WGE) and next-generation sequencing (NGS) approach for direct
genotyping and metagenomic analysis of low abundant V. cholerae DNA (<50 genome
unit/L) from natural water collected in the Morogoro river (Tanzania). The
protocol is based on the use of biotinylated RNA baits for target enrichment of
V. cholerae metagenomic DNA via hybridization. An enriched V. cholerae metagenome
library was generated and sequenced on an Illumina MiSeq platform. Up to 1.8 *
107 bp (4.5* mean read depth) were found to map against V. cholerae reference
genome sequences representing an increase of about 2500 times in target DNA
coverage compared to theoretical calculations of performance for shotgun
metagenomics. Analysis of metagenomic data revealed the presence of several V.
cholerae virulence and virulence associated genes in river water including major
virulence regions (e.g. CTX prophage and Vibrio pathogenicity island-1) and
genetic markers of epidemic strains (e.g. O1-antigen biosynthesis gene cluster)
that were not detectable by standard culture and molecular techniques. Overall,
besides providing a powerful tool for direct genotyping of V. cholerae in complex
environmental matrices, this study provides a 'proof of concept' on the
methodological gap that might currently preclude a more comprehensive
understanding of toxigenic V. cholerae emergence from natural aquatic
environments.
PMID- 27888293
TI - Cytokine-induced killer cells hunt individual cancer cells in droves in a mouse
model.
AB - Cytotoxicity of cytokine-induced killer (CIK) cells depends mainly on their
encounters with target cells, but how many CIK cells are required to kill an
individual cancer cell is unknown. Here we used time-lapse imaging to quantify
the critical effector cell number required to kill an individual target cell. CIK
cells killed MHC-I-negative and MHC-I-positive cancer cells, but natural killer
(NK) cells destroyed MHC-I-negative cells only. The average threshold number of
CIK cells required to kill an individual cancer cell was 6.7 for MHC-I-negative
cells and 6.9 for MHC-I-positive cells. That of NK cells was 2.4 for MHC-I
negative cells. Likely due to the higher threshold numbers, killing by CIK cells
was delayed in comparison with NK cells: 40% of MHC-negative target cells were
killed after 5 h when co-cultured with CIK cells and after 2 h with NK cells. Our
data have implications for the rational design of CIK cell-based immunotherapy of
cancer patients.
PMID- 27888294
TI - Total hip arthroplasty with dual mobility cup in osteonecrosis of the femoral
head in young patients: over ten years of follow-up.
AB - Osteonecrosis of the femoral head affects mainly young patients with high
functional needs this increases the risk of dislocation. Dual mobility cups known
for low rate of dislocation and high mobility range seems indicated. We evaluate
functional efficiency, survival and dislocation rate of dual mobility cup for
total hip arthroplasty for osteonecrosis in young patients. Monocentric
retrospective clinical study, from 2000 to 2008. With a clinical analysis in pre
operative and over ten years of follow-up of one cohort of patients under 55
years old with an indication of THA for ONFH. The judgement criteria was:
clinical scores at the maximal follow-up, the dislocation rate, and the cumulate
survival rate over ten years follow-up. Forty THA in 31 patients, nine bilateral
cases, 23 males and eight females with average age of 44 (+/-4) years old. In pre
operative: PMA 11 (+/-3.3), HHS 50,8 (+/-15.5). At the final follow-up of 129.8
(+/-33.8) months: PMA (17.4 +/- 1.12), HHS (95.7 +/- 6.9), no dislocation. We had
11 deaths on average at 95.2 +/- 47.3 months. The cumulate survival rate over ten
years follow-up is 100% without revisions or long-term dislocation. Analysis
concludes to very significant functional improvement without any dislocation
despite the young population with high level of activity. Thus, dual mobility
cups is a reliable choice preventing dislocation with a very good survival rate
without premature wear, preserving mobility and activity.
PMID- 27888297
TI - Elevated RON protein expression in endometriosis and disease-associated ovarian
cancers.
AB - BACKGROUND: Recepteur d'origine nantais (RON) protein expression has been
demonstrated to correlate with tumor progression, metastasis, and prognosis, and
its mRNA expression increases in deeply infiltrating endometriotic lesions.
However, it remains unclear whether RON protein expression also increases in
endometriotic lesions, and may be a risk factor of malignant transformation in
endometriotic lesions. METHODS: The protein expression of RON in control (n =
19), eutopic (n = 16), and ectopic (n = 51) endometria, as well as in
endometriosis-associated ovarian cancers (EAOC, n = 16) was determined by
immunohistochemical (IHC) staining. RESULTS: Endometriotic lesions expressed low
levels of RON protein, but no RON protein expression appeared in matched eutopic
or control endometrium. EAOC exhibited high levels of RON protein. The frequency
and IHC score of RON protein expression were both significantly higher in EAOC
[100.0% (14/14), 5.37 +/- 0.74] than those in endometriotic lesions [51.0%
(26/51), 2.15 +/- 1.12; P = 0.002, 0.001]. Multivariate analysis of covariance
only revealed a correlation of RON protein expression and EAOC (P = 0.006), but
no correlations of RON protein expression and clinical parameters (P > 0.05).
CONCLUSIONS: These obtained results suggest that increased RON expression might
be involved in the pathogenesis of endometriosis and disease-associated ovarian
cancers.
PMID- 27888296
TI - Toll-like receptor 2 is increased in neurons in Parkinson's disease brain and may
contribute to alpha-synuclein pathology.
AB - Inflammation is likely a key contributor to the pathogenesis of Parkinson's
disease (PD), a progressively debilitating neurodegenerative disease that is
accompanied by a pathological accumulation of the alpha-synuclein protein in a
staged manner through the brain. What leads to the accumulation of alpha
synuclein in PD and how this relates to inflammatory pathways, however, is not
entirely clear. Toll-like receptor (TLR) signaling is a major pathway mediating
inflammation and, in particular, TLR2 is increasingly being implicated in PD. We
have, therefore, examined the expression of TLR2 in postmortem brain tissue from
PD patients and matched controls. We confirm that TLR2 is increased in PD brain,
and find that levels of TLR2 correlate with the accumulation of pathological
alpha-synuclein. TLR2 was expressed on neurons as well as microglia; however, the
neuronal rather than glial expression of TLR2 was significantly increased in PD
brain in accordance with disease staging, and TLR2 was strongly localized to
alpha-synuclein positive Lewy bodies. In cell culture, activation of neuronal
TLR2 induced an inflammatory response, including the secretion of inflammatory
cytokines and microglial-activating chemokines, as well as the production of
reactive oxygen species. Moreover, activation of neuronal TLR2 increased levels
of endogenous alpha-synuclein protein, which was in turn associated with
increased levels of the autophagy/lysosomal pathway marker p62. Finally,
promoting autophagy with rapamycin or pharmacological inhibition of the TLR2
signaling pathway prevented the TLR2-mediated increase in alpha-synuclein in
neuronal cell cultures. These results implicate neuronal TLR2 expression in human
PD pathogenesis. In particular, the increased expression of TLR2 on neurons may
provide new insight into disease pathogenesis and/or options for therapeutic
intervention.
PMID- 27888295
TI - Platelet-rich plasma for the treatment of bone defects: from pre-clinical
rational to evidence in the clinical practice. A systematic review.
AB - PURPOSE: The treatment of large bone defects represents a significant challenge
for orthopaedic surgeons. In recent years, biologic agents have also been used to
further improve bone healing. Among these, platelet-rich plasma (PRP) is the most
exploited strategy. The aim of the present study was to systematically review the
available literature to identify: 1) preclinical in-vivo results supporting the
rational of PRP use for bone healing; 2) evidence from the clinical practice on
the actual clinical benefit of PRP for the treatment of fractures and
complications such as delayed unions and non-unions. METHODS: A systematic review
of the literature was performed on the application of PRP in bone healing, using
the following inclusion criteria: pre-clinical and clinical reports of any level
of evidence, written in English language, published in the last 20 years (1996
2016), on the use of PRP to stimulate long-bone defect treatment, with focus on
fracture and delayed/non-unions healing. RESULTS: The search in the Pubmed
database identified 64 articles eligible for inclusion: 45 were preclinical in
vivo studies and 19 were clinical studies. Despite the fact that the overall pre
clinical results seem to support the benefit of PRP in 91.1 % of the studies, a
more in depth analysis underlined a lower success rate, with a positive outcome
of 84.4 % in terms of histological analysis, and even lower values considering
radiological and biomechanical results (75.0 % and 72.7 % positive outcome
respectively). This was also mirrored in the clinical literature, where the real
benefit of PRP use to treat fractures and non-unions is still under debate.
CONCLUSION: Overall, the available literature presents major limitations in terms
of low quality and extreme heterogeneity, which hamper the possibility to
optimize PRP treatment and translate it into a real clinical benefit despite
positive preclinical findings on its biological potential to favour bone healing.
PMID- 27888298
TI - ?
PMID- 27888299
TI - ?
PMID- 27888300
TI - Analysis of local recurrences after transanal endoscopic microsurgery for low
risk rectal carcinoma.
AB - AIM: Rates of local recurrence (LR) after transanal endoscopic microsurgery (TEM)
for rectal carcinoma vary; the reasons remain unclear. We analyzed LR after TEM
for low-risk pT1 (G1/2/X, L0/X) rectal carcinoma to investigate the influence of
completeness of resection and occult lymph node metastasis on risk of LR. METHOD:
LR location and stage, completeness of resection of primary carcinoma (minimal
distance between tumor and resection line <=1 mm vs >1 mm), and incidence of
involved lymph nodes in resected LR specimens were collected, and tumor
characteristics of LR were compared with primary carcinoma. Distant metastasis
and overall and cancer-specific survival were determined. RESULTS: LR developed
in 14 patients; in 2/4 with R1/X resection, in 3/8 (38%) with clear margins (R0)
but a minimal distance of <=1 mm, and in 9/88 (10%) with formally complete
resection. Six of nine patients with formally complete resection underwent
radical surgery for LR; in five out of these six, lymph nodes were not involved.
In 5/14 patients, LR was poorly differentiated compared to primary carcinoma.
Main LR causes were incomplete tumor resection or tumor persistence after
formally complete resection. Overall (p = 0.008) and cancer-specific (p < 0.001)
survival was lower in LR patients compared to non-LR patients, even if lymph
nodes were uninvolved. CONCLUSIONS: The results suggest that most LRs after TEM
for low-risk rectal cancer were caused by residual tumor at the previous excision
site and not by undetected lymph node metastases. By improved standardization of
surgical techniques to ensure complete resection of carcinomas and thorough
pathological assessments, most LRs seem to be avoidable.
PMID- 27888302
TI - [Macrophages : Causing ocular metastasis or immune reaction on tumor cells in the
eye?]
PMID- 27888303
TI - Dual-energy CT workflow: multi-institutional consensus on standardization of
abdominopelvic MDCT protocols.
AB - PURPOSE: To standardize workflow for dual-energy computed tomography (DECT)
involving common abdominopelvic exam protocols. MATERIALS AND METHODS: 9
institutions (4 rsDECT, 1 dsDECT, 4 both) with 32 participants [average # years
(range) in practice and DECT experience, 12.3 (1-35) and 4.6 (1-14),
respectively] filled out a single survey (n = 9). A five-point agreement scale
(0, 1, 2, 3, 4-contra-, not, mildly, moderately, strongly indicated,
respectively) and utilization scale (0-not performing and shouldn't; 1-performing
but not clinically useful; 2-performing but not sure if clinically useful; 3-not
performing it but would like to; 4-performing and clinically useful) were used.
Consensus was considered with a score of >=2.5. Survey results were discussed
over three separate live webinar sessions. RESULTS: 5/9 (56%) institutions
exclude large patients from DECT. 2 (40%) use weight, 2 (40%) use transverse
dimension, and 1 (20%) uses both. 7/9 (78%) use 50 keV for low and 70 keV for
medium monochromatic reconstructed images. DECT is indicated for dual liver
[agreement score (AS) 3.78; utilization score (US) 3.22] and dual pancreas in the
arterial phase (AS 3.78; US 3.11), mesenteric ischemia/gastrointestinal bleeding
in both the arterial and venous phases (AS 2.89; US 2.79), RCC exams in the
arterial phase (AS 3.33; US 2.78), and CT urography in the nephrographic phase
(AS 3.11; US 2.89). DECT for renal stone and certain single-phase exams is
indicated (AS 3.00). CONCLUSIONS: DECT is indicated during the arterial phase for
multiphasic abdominal exams, nephrographic phase for CTU, and for certain single
phase and renal stone exams.
PMID- 27888301
TI - The genome sequence and insights into the immunogenetics of the bananaquit
(Passeriformes: Coereba flaveola).
AB - Avian genomics, especially of non-model species, is in its infancy relative to
mammalian genomics. Here, we describe the sequencing, assembly, and annotation of
a new avian genome, that of the bananaquit Coereba flaveola (Passeriformes:
Thraupidae). We produced ~30-fold coverage of the genome with an assembly size of
ca. 1.2 Gb, including approximately 16,500 annotated genes. Passerine birds, such
as the bananaquit, are commonly infected by avian malarial parasites
(Haemosporida), which presumably drive adaptive evolution of immunogenetic loci
within the host genome. In the context of our research on the distribution of
avian Haemosporida, we specifically characterized immune loci, including toll
like receptor (TLR) and major histocompatibility complex (MHC) genes.
Additionally, we identified novel molecular markers in the form of single
nucleotide polymorphisms (SNPs), both genome-wide and within identified immune
loci. We discovered nine TLR genes and four MHC genes and identified five other
TLR- or MHC- associated genes. Genome-wide, over 6 million high-quality SNPs were
annotated, including 568 within TLR genes and 102 in MHC genes. This newly
described genome and immune characterization expands the knowledge base for avian
genomics and phylogenetics and allows for immune genotyping in the bananaquit,
providing tools for the investigation of host-parasite coevolution.
PMID- 27888304
TI - The wearable cardioverter-defibrillator in a real-world clinical setting:
experience in 102 consecutive patients.
AB - BACKGROUND: The wearable cardioverter-defibrillator (WCD) is used for temporary
protection of patients deemed to be at high risk for sudden death (SCD) not yet
meeting indications for the implantable defibrillator (ICD). OBJECTIVES: To
evaluate the efficacy, safety, and compliance of/to WCD use and subsequent medium
term outcome of patients in a single-center observational study. METHODS: A total
of 102 consecutive patients were fitted with the WCD from 2012 to 2015 and
followed for a mean of 11 months (+/-8 months). RESULTS: The most common clinical
indication for WCD-prescription (63%) was a new diagnosis of severely impaired LV
function (LVEF <=35%). The median wear time of the WCD was 54 days with a daily
use of 23 h. Appropriate WCD therapy occurred in four patients (seven shocks for
VF, one shock for VT). An ICD was finally implanted in 56 patients (55%).
Improvement in LV function was the most common reason not to implant an ICD (HR
0.37; 95% CI 0.19-0.73; p = 0.004). Two patients had inappropriate shocks from
their WCD due to atrial fibrillation/flutter. Five patients fitted with an ICD
after the end of WCD therapy suffered VT/VF episodes. After wearing the WCD, six
patients died (five ICD recipients and one non-ICD recipient). CONCLUSION: WCD
therapy was well accepted by patients and provided temporary protection against
ventricular tachyarrhythmias in patients at risk for SCD. The WCD may help to
avoid unnecessary ICD implantations in a significant proportion of patients.
PMID- 27888305
TI - Reversed-phase separation methods for glycan analysis.
AB - Reversed-phase chromatography is a method that is often used for glycan
separation. For this, glycans are often derivatized with a hydrophobic tag to
achieve retention on hydrophobic stationary phases. The separation and elution
order of glycans in reversed-phase chromatography is highly dependent on the
hydrophobicity of the tag and the contribution of the glycan itself to the
retention. The contribution of the different monosaccharides to the retention
strongly depends on the position and linkage, and isomer separation may be
achieved. The influence of sialic acids and fucoses on the retention of glycans
is still incompletely understood and deserves further study. Analysis of complex
samples may come with incomplete separation of glycan species, thereby
complicating reversed-phase chromatography with fluorescence or UV detection,
whereas coupling with mass spectrometry detection allows the resolution of
complex mixtures. Depending on the column properties, eluents, and run time,
separation of isomeric and isobaric structures can be accomplished with reversed
phase chromatography. Alternatively, porous graphitized carbon chromatography and
hydrophilic interaction liquid chromatography are also able to separate isomeric
and isobaric structures, generally without the necessity of glycan labeling.
Hydrophilic interaction liquid chromatography, porous graphitized carbon
chromatography, and reversed-phase chromatography all serve different research
purposes and thus can be used for different research questions. A great advantage
of reversed-phase chromatography is its broad distribution as it is used in
virtually every bioanalytical research laboratory, making it an attracting
platform for glycan analysis. Graphical Abstract Glycan isomer separation by
reversed phase liquid chromatography.
PMID- 27888307
TI - Monitoring doxorubicin cellular uptake and trafficking using in vitro Raman
microspectroscopy: short and long time exposure effects on lung cancer cell
lines.
AB - Raman microspectroscopy is a non-invasive, in vitro analytical tool which is
being increasingly explored for its potential in clinical applications and
monitoring the uptake, mechanism of action and cellular interaction at a
molecular level of chemotherapeutic drugs, ultimately as a potential label-free
preclinical screening and companion diagnostic tool. In this study, doxorubicin
(DOX), a "gold standard" chemotherapeutic drug, is employed as a model in the in
vitro lung cancer cell line A549 in order to demonstrate the potential of Raman
microspectroscopy to screen and identify spectroscopic markers of its trafficking
and mechanism of action. Confocal laser scanning microscopy (CLSM) was used in
parallel to illustrate the uptake and subcellular localisation, and cytotoxicity
assays were employed to establish the toxicity profiles for early and late
exposure times of A549 to DOX. Multivariate statistical analysis, consisting of
principal components analysis (PCA), partial least squares regression (PLSR) and
independent component analysis (ICA), was used to elucidate the spectroscopic
signatures associated with DOX uptake and subcellular interaction. Raman
spectroscopic profiling illustrates both drug kinetics and its pharmacodynamics
in the cell and associated biochemical changes, demonstrating that DOX is mainly
localised in the nuclear area, saturating the nucleolus first, within ~6 h of
exposure, before the surrounding nuclear areas after ~12 h, and only accumulates
in the cytoplasm after 48 h. PLSR over varying time intervals enables
identification of DOX-DNA binding at early stages of exposure (0-12 h), while
regression over longer time periods (24-72 h) reveals spectroscopic signatures
associated with the metabolic cellular response. Graphical Abstract Subcellular
uptake of doxorubicin, and changes in biomolecular signatures in the nucleolus,
as monitored by Raman spectroscopy.
PMID- 27888306
TI - A simple analytical method of determining 1-hydroxypyrene glucuronide in human
urine by isotope dilution with ultra performance liquid chromatography-tandem
mass spectrometry.
AB - The glucuronide conjugate of 1-hydroxypyrene (1-OHP-G) is a sensitive and
reliable index biomarker for assessing low exposure to polycyclic aromatic
hydrocarbons (PAHs). A simple method for determining 1-OHP-G in human urine with
ultra performance liquid chromatography-tandem mass spectrometry (UPLC-MS/MS) was
established and applied to evaluate the exposure level of PAHs of pregnant women
in a large sample size. After the urine sample was extracted with ethyl acetate,
0.2 mL of the aqueous phase was diluted to 1.0 mL with 5 mmol/L ammonium acetate
before injection. The chromatographic separation was performed on a C18 column
with a gradient elution and identification was conducted on a tandem mass
spectrometry with electrospray ionization in negative mode. 1-OHP-d9-G was used
as an internal standard to improve precision. The method was validated and good
linearity was obtained in the range of 0.1~2.0 ng/mL. The limit of detection
(LOD) and the limit of quantification (LOQ) of 1-OHP-G were 0.015 and 0.051
ng/mL. Intra-day and inter-day precision were 4.3 and 6.7 %, respectively. The
spiked recoveries were 79.4~106 % for urine samples. This method was rapid,
sensitive, and very suitable for batch analysis of urine. Six hundred seventy
five urine samples of pregnant women from the cities of Fuzhou, Shenzhen, and
Nanning of P.R. China were analyzed with the proposed method. The medians of 1
OHP-G concentration were 0.27 MUg/g.cr (n = 201), 0.30 MUg/g.cr (n = 212), and
0.51 MUg/g.cr (n = 262) for the cities of Fuzhou, Shenzhen, and Nanning,
respectively. 1-OHP-G concentrations in urine samples of pregnant women from the
cities of Fuzhou and Shenzhen in coastal areas were both significantly lower than
that of Nanning City in inland region (p < 0.001). Graphical Abstract The
internal standard 1-OHP-d9-G and 2.0 mL of ethyl acetate were added to 1.0 mL of
urine sample, after vortex vibration and centrifugation the aqueous phase was
removed and diluted, and 5 MUl of aliquot was analyzed by UPLC-MS/MS.
PMID- 27888308
TI - Comparative analysis of INLIGHTTM-labeled enzymatically depolymerized heparin by
reverse-phase chromatography and high-performance mass spectrometry.
AB - Structural characterization of the microheterogeneity of heparin, heparan
sulfate, and other glycosaminoglycans is a major analytical challenge. We present
the use of a stable isotope-labeled hydrazide tag (INLIGHTTM) with high
resolution/accurate mass (HRAM) reverse-phase LC-MS/MS, which was recently
introduced for detailed study of N-glycan heterogeneity, to characterize
heparinase-digested heparin (digHep) products without the use of semi-volatile
ion pairing reagents. Using both full scan LC-MS and data-dependent LC-MS/MS, we
identified 116 unique digHep species, a feat possible because of INLIGHTTM
labeling. Of these, 83 digHep products were structurally identified, including
the 12 standard disaccharides as well as 34 tetra- (DP4), 26 hexa- (DP6), 21 octa
(DP8), and 2 decasaccharides (DP10). Each of the 116 digHep species co-eluted
with both light and heavy INLIGHTTM tags (L/Havg = 1.039 +/- 0.163); thus
enhancing confidence in their identification via MS and MS/MS. This work sets the
foundation for INLIGHTTM-based comparative analyses of different forms of
heparin, heparan sulfate, and other GAGs with high quantitative precision using
mainstay reverse-phase HRAM LC-MS/MS. Graphical Abstract Reducing end labeling
strategy for mapping depolymerized heparin/heparan sulfate products by reverse
phase LC-MS/MS.
PMID- 27888309
TI - Selective quantification of DOSS in marine sediment and sediment-trap solids by
LC-QTOF-MS.
AB - At the onset of the 2010 Gulf oil spill, analytical methods for the
quantification of the surfactants in Corexit did not exist in the peer-reviewed
literature. To date, only a single study reports the presence of bis-(2
ethylhexyl) sodium sulfosuccinate (DOSS) in deep-sea Gulf sediment collected in
2010 from a single location. There are no data on the occurrence of DOSS in
association with settling solids (i.e., sediment-trap solids). To address this
data gap, DOSS was initially quantified by liquid chromatography tandem
quadrupole mass spectrometry (LC-MS/MS) in sediment and sediment-trap solids
collected from multiple sites in the Gulf between 2010 and 2013. However,
interferences confounded analyses using only a quadrupole (MS/MS) system;
therefore, a LC-high mass accuracy quadruple time of flight mass spectrometry (LC
QTOF-MS) method was developed. The LC-QTOF method was validated and applied to
eight representative samples of sediment and of sediment-trap solids. The
presented method quantifies DOSS in solids of marine origin at concentrations
above the limit of quantification of 0.23 MUg kg-1 with recoveries of 97 +/- 20 %
(mean +/- 95 CI). Gulf sediment and sediment-trap solids gave DOSS concentrations
of 3
degrees ) and group 2 (<3 degrees ). The femorotibial articular contact
kinematics of knees during weight-bearing flexion were compared between the two
groups by two-dimensional/three-dimensional registration. RESULTS: Group 1 showed
a continuous posterior translation of the medial femoral condyle during the
process of knee flexion, whereas in group 2 the medial femoral condyle
experienced paradoxical anterior motion from 20 degrees to 90 degrees of knee
flexion. The lateral femoral condyle continuously moved posteriorly in both
groups. CONCLUSION: A greater reduction in TS after TKA compared with
preoperative TS reduces paradoxical medial femoral condylar movement. This may
contribute to improved patient satisfaction after CR TKA. LEVEL OF EVIDENCE: III.
PMID- 27888319
TI - Subacute cutaneous lupus erythematosus inducing unilateral sensorimotor
neuropathy.
AB - We describe the case of a 32-year-old male with previous history of subacute
cutaneous lupus erythematosus (SCLE) who presented with arthritis followed by a
unilateral lower-limb sensorimotor impairment, without biochemical or
immunological marker abnormalities. Our patient currently satisfies only three of
the systemic lupus international collaborating clinics criteria. Management of
such patients is challenging due to lack of examples in the literature, with this
case being the first described where a seronegative patient with SCLE
demonstrated neurological involvement. A brief review of the available literature
is included.
PMID- 27888318
TI - Toward Improving the Fetal Diagnosis of Coarctation of the Aorta.
AB - Coarctation of the aorta (CoA) is the most common ductal-dependent lesion missed
on neonatal exam screening. Prenatal diagnosis of CoA improves outcomes through
early initiation of prostaglandin. Fetal echocardiographic parameters including
2D and Doppler findings have been studied as predictive measures for fetal
diagnosis of CoA, but diagnosis rates remain variable. A comprehensive set of
predictor variables was applied to fetuses suspected of CoA to analyze which
parameters were associated with postnatal CoA. UCSF Fetal Cardiovascular Program
databases were queried for fetuses suspected of CoA (2008-2014). Retrospective
measurements of aorta/pulmonary artery ratio (AoPA), LV/RV ratio, ascending aorta
Z-score (AscAo), isthmus Z-score, isthmus/duct ratio (I/D), posterior "shelf" of
descending aorta, and diastolic flow persistence at the isthmus were recorded.
ROC analysis identified the parameters most predictive of postnatal CoA. Among 97
fetuses with probable CoA, 62 had complete follow-up. Of these fetuses, 45
(72.5%) had postnatal confirmation of CoA and 17 did not have CoA. The parameters
most predictive of postnatal CoA included AscAo, isthmus Z-score, and I/D, with
respective AUC of 0.80, 0.89, and 0.90. Diastolic flow persistence was seen more
often in fetuses with postnatal CoA, but did not reach statistical significance.
Combining 2D and Doppler criteria (AoPA < 0.65 or diastolic flow persistence)
improved sensitivity to 87%, but introduced several false positives. Isthmus
imaging and AoPA ratio are useful predictors of CoA. Doppler information was most
helpful when 2D imaging was equivocal; its addition resulted in high sensitivity
in an enriched cohort referred for fetal echocardiography.
PMID- 27888321
TI - Genetic characterization of canine parvovirus type 2 subtypes in Maputo,
Mozambique.
AB - Canine parvovirus type 2 (CPV-2) comprises three antigenic subtypes (2a, 2b and
2c) that have been reported in many countries. These subtypes cause serious
disease in dogs with characteristic gastroenteritis signs. Little information has
been documented in Africa about the genetic characterization of CPV-2. The aim of
this study was to detect and to characterize the CPV-2 subtypes circulating in
dogs admitted to Veterinary Clinics from two cities of Mozambique, Maputo and
Matola, in 2010. A total of 40 field fecal samples were collected and tested for
CPV-2 by polymerase chain reaction assay. The partial length VP2 gene of the
positive samples were sequenced and genetically analyzed. Twenty-six (65%) fecal
samples were positive for CPV-2. The restriction fragment length polymorphism
analysis was also performed from positive samples and did not reveal the presence
of CPV-2c subtype. The results of the sequencing revealed the presence of CPV-2a
(n = 9) and CPV-2b (n = 17). No CPV-2 and CPV-2c were detected. Sequence analysis
comparison showed nucleotide identities of 99.6-100% among our CPV-2 isolates.
Amino acid analysis showed predicted amino acid changes. Phylogenetically, all of
the CPV-2a strains isolated formed a cluster together with South African and
Nigerian isolates. Most of Mozambican CPV-2b isolates also tended to cluster
together with South African isolates; however, four were more closely related to
French strain and one isolates to the American strain. The present study was the
first to characterize the CPV-2 circulating in the Mozambican dog population.
PMID- 27888322
TI - Allee effect: the story behind the stabilization or extinction of microbial
ecosystem.
AB - A population exhibiting Allee effect shows a positive correlation between
population fitness and population size or density. Allee effect decides the
extinction or conservation of a microbial population and thus appears to be an
important criterion in population ecology. The underlying factor of Allee effect
that decides the stabilization and extinction of a particular population density
is the threshold or the critical density of their abundance. According to Allee,
microbial populations exhibit a definite, critical or threshold density, beyond
which the population fitness of a particular population increases with the rise
in population density and below it, the population fitness goes down with the
decrease in population density. In particular, microbial population displays
advantageous traits such as biofilm formation, expression of virulence genes,
spore formation and many more only at a high population density. It has also been
observed that microorganisms exhibiting a lower population density undergo
complete extinction from the residual microbial ecosystem. In reference to Allee
effect, decrease in population density or size introduces deleterious mutations
among the population density through genetic drift. Mutations are carried forward
to successive generations resulting in its accumulation among the population
density thus reducing its microbial fitness and thereby increasing the risk of
extinction of a particular microbial population. However, when the microbial load
is high, the chance of genetic drift is less, and through the process of biofilm
formation, the cooperation existing among the microbial population increases that
increases the microbial fitness. Thus, the high microbial population through the
formation of microbial biofilm stabilizes the ecosystem by increasing fitness.
Taken together, microbial fitness shows positive correlation with the ecosystem
conservation and negative correlation with ecosystem extinction.
PMID- 27888320
TI - Cardiac mechanics and heart rate variability in patients with systemic sclerosis:
the association that we should not miss.
AB - We aimed to determine left ventricular (LV) and right ventricular (RV) structure,
function and mechanics, as well as heart rate variability (HRV), and their
relationship, in patients with systemic sclerosis (SSc). The study included 41
SSc patients and 30 age-matched healthy volunteers. All the patients underwent
clinical examination, serological tests, pulmonary function testing, 24-h Holter
monitoring and complete two-dimensional echocardiography including strain
analysis. The parameters of LV structure (interventricular septum thickness and
LV mass index) and RV structure (RV wall thickness) were significantly higher in
SSc patients. LV and RV diastolic function (estimated by mitral and tricuspid
E/e' ratio) was significantly impaired in SSc group comparing with the healthy
controls. LV and RV longitudinal function was significantly deteriorated in SSc
patients. LV circumferential strain was also significantly lower in SSc group,
whereas LV radial strain was similar between the observed groups. All parameters
of time and frequency domain of HRV were decreased in SSc patients. LV and RV
cardiac remodeling parameters, particularly diastolic function and longitudinal
strain, were associated with HRV indices without regard to the main demographic
or the clinical and echocardiographic characteristics. Rodnan Skin Score was also
independently associated with biventricular cardiac remodeling in SSc patients.
LV and RV structure, function and mechanics, as well as autonomic nervous
function, were significantly impaired in SSc patients. There is the significant
association between biventricular cardiac remodeling and autonomic function in
these patients, which could be useful for their everyday clinical assessment.
PMID- 27888323
TI - The calmodulin gene in Neurospora crassa is required for normal vegetative
growth, ultraviolet survival, and sexual development.
AB - We isolated a Neurospora crassa mutant of the calmodulin (cmd) gene using repeat
induced point mutation and studied its phenotypes. The cmd RIP mutant showed a
defect in growth, reduced aerial hyphae, decreased carotenoid accumulation, a
severe reduction in viability upon ultraviolet (UV) irradiation, and a fertility
defect. Moreover, meiotic silencing of the cmd gene resulted in a barren
phenotype. In addition, we also performed site-directed mutational analysis of
the calcium/calmodulin-dependent kinase-2 (Ca2+/CaMK-2), a target of the CaM
protein encoded by the cmd gene. The camk-2 S247A and the camk-2 T267A mutants in
a homozygous cross, or in a cross with a Deltacamk-2 mutant, displayed an
intermediate phenotype, suggesting that serine 247 and threonine 267
phosphorylation sites of the Ca2+/CaMK-2 are essential for full fertility in N.
crassa. Therefore, CaM in N. crassa is required for normal vegetative growth, UV
survival, and sexual development. Additionally, serine 247 and threonine 267
phosphorylation sites are important for the Ca2+/CaMK-2 function.
PMID- 27888324
TI - Learning to echolocate in sighted people: a correlational study on attention,
working memory and spatial abilities.
AB - Echolocation can be beneficial for the orientation and mobility of visually
impaired people. Research has shown considerable individual differences for
acquiring this skill. However, individual characteristics that affect the
learning of echolocation are largely unknown. In the present study, we examined
individual factors that are likely to affect learning to echolocate: sustained
and divided attention, working memory, and spatial abilities. To that aim,
sighted participants with normal hearing performed an echolocation task that was
adapted from a previously reported size-discrimination task. In line with
existing studies, we found large individual differences in echolocation ability.
We also found indications that participants were able to improve their
echolocation ability. Furthermore, we found a significant positive correlation
between improvement in echolocation and sustained and divided attention, as
measured in the PASAT. No significant correlations were found with our tests
regarding working memory and spatial abilities. These findings may have
implications for the development of guidelines for training echolocation that are
tailored to the individual with a visual impairment.
PMID- 27888326
TI - Secondary Sulfur and Nitrogen Species in PM10 from the Rijeka Bay Area (Croatia).
AB - Samples of PM10 were collected over a 12 month period at two sites approximately
5 km apart. Site 1 was an urban site in the center of the city of Rijeka,
Croatia, and Site 2 was an industrial site in the proximity of a shipyard,
located in the southeastwards direction from the city. No significant differences
in airborne concentrations of PM10 or secondary inorganic ions were found between
the two sites. Therefore, the sampling continued only at Site 1. The dominant
airborne compound was ammonium sulfate, being approximately six times more
abundant in the summer (S) months than ammonium nitrate. This ratio was lower in
the winter (W) months, being approximately 1.5 at both sites. Seasonal
distribution of secondary inorganic aerosols (SIA), particularly SO42- is
emphasized in 2008-2009 with W/S <1, most likely caused by local emissions,
meteorology and long-range transport. In spite of closing down the petroleum
refinery facilities in mid-2008, no significant decline in airborne
concentrations of sulfates, nitrates or ammonium were observed during the period
from 2007 to 2009 at the site nearest to the refinery (Site 1).
PMID- 27888327
TI - Pollution Assessment of Toxic and Potentially Toxic Elements in Agricultural
Soils of the City Addis Ababa, Ethiopia.
AB - Due to the significantly fast urban expansion and increased industrial
activities, the soils in the farms in Addis Ababa are contaminated by some toxic
and potentially toxic elements (As, V, Cr, Fe, Co, Ni, Cu, B, Ba, Sr, Zn, Mn, Pb
and Cd) in varying degrees. The mean concentrations of Cr, Ni, As and B in most
of the soil farms were found to be higher than the maximum recommended limits.
The mean concentrations of Cd, Cu, Pb, Co, Ni and Mn were found to be higher than
the background soil concentrations given for uncontaminated soils. Multivariate
analyses coupled with correlation analysis were used to identify possible
sources. The geo-accumulation index values for Cr, Mn and Pb indicated that the
farm soils were unpolluted to moderately polluted as a result of anthropogenic
activities. A comprehensive environmental management strategy should be
formulated by the government to measure further pollution of the farmland soil.
PMID- 27888325
TI - Total lesion glycolysis (TLG) as an imaging biomarker in metastatic colorectal
cancer patients treated with regorafenib.
AB - PURPOSE: This study was performed to evaluate whether fluorine-18
fluorodeoxyglucose positron-emission tomography/computed tomography (FDG PET/CT)
could predict treatment outcome of regorafenib in metastatic colorectal cancer
(mCRC). METHODS: Previously treated refractory mCRC patients were enrolled into a
prospective biomarker study of regorafenib. For this sub-study, the results of
FDG PET/CT scans at baseline and after two cycles of treatment were analyzed.
Various metabolic parameters obtained from PET images were analyzed in relation
to treatment outcome. RESULTS: A total of 40 patients were evaluable for PET
image analysis. Among various PET parameters, total lesion glycolysis (TLG)
measured in the same target lesions for RECIST 1.1 analysis were the most
significant in predicting prognosis, with the lowest p-value observed in TLG
calculated using the margin threshold of 40 % (TLG40 %). Further analysis using
TLG40 % showed significantly longer overall survival (OS) in patients with lower
baseline TLG40 % (<151.8) (p = 0.003, median 14.2 vs. 9.1 months in <151.8 and
>=151.8, respectively). Patients showing higher decrease in TLG40 % after
treatment showed significantly longer progression-free survival (PFS) (p = 0.001,
median 8.0 vs. 2.4 months in %DeltaTLG40 % < -9.6 % and >= -9.6 %, respectively)
and OS (p = 0.002, median 16.4 vs. 9.1 months in %DeltaTLG40 % < -9.6 % and >=
9.6 %, respectively). The same cutoff could discriminate patients with longer
survival among the patients who were under the stable disease category according
to RECIST 1.1 (median PFS 8.4 vs. 6.8 months, p = 0.020; median OS 18.3 vs. 11.5
months, p = 0.049). CONCLUSION: Measurement of TLG can predict treatment outcome
of regorafenib in mCRC.
PMID- 27888328
TI - Potential of the Biotic Ligand Model (BLM) to Predict Copper Toxicity in the
White-Water of the Solimoes-Amazon River.
AB - In this study, we evaluated the capacity of the Biotic Ligand Model (BLM) to
predict copper toxicity in white-waters of the Solimoes-Amazon River. LC50 tests
using the species Otocinclus vittatus (Regan, 1904) were performed with Solimoes
Amazon river water (100%) at 20%, 40%, 60%, and 80% dilutions. A sevenfold
decrease in both dissolved and total Cu toxicity was observed in the experiment
conducted with 100% when compared to 20% white-water, indicating that
physicochemical characteristics of white-water attenuate Cu toxicity. There was
agreement between the observed LC50 and the LC50 predicted by the BLM after the
adjustment of critical accumulation concentration (LA50) for O. vittatus. BLM
modeling indicated that dissolved organic carbon (DOC) and pH were the most
important water parameters influencing Cu toxicity, followed by Ca2+. Our results
highlight the first evidence that the BLM presents potential to predict Cu
toxicity to aquatic organisms in the white-water of the Solimoes-Amazon River.
PMID- 27888329
TI - The Origin of Hexavalent Chromium as a Critical Parameter for Remediation of
Contaminated Aquifers.
AB - Two different cases of Cr(VI) contaminated ophiolitic aquifers are presented
herein. The first is located at Vergina (Northern Greece), where the maximum
Cr(VI) concentration measured was 64 MUg/L, being one of the highest geogenic
concentrations recorded globally in areas with similar geological background. The
second case is located at Inofyta (Central Greece), a makeshift industrial area,
where the maximum detected Cr(VI) concentration exceeds 10,000 MUg/L, indicating
clearly anthropogenic activities as the main source. Although for the Vergina
aquifer, area-wide institutional measures and in some cases pump and treat
systems might be sufficient to ensure a safe water supply for domestic and
agricultural use, this is not the case for the contaminated aquifer of Inofyta.
There a comprehensive remediation scheme should be properly implemented adopting,
however, realistic remediation targets, that should also take into account the
geogenic contribution to the high Cr(VI) groundwater concentrations measured.
PMID- 27888333
TI - Fusion of agarase and neoagarobiose hydrolase for mono-sugar production from
agar.
AB - In enzymatic saccharification of agar, endo- and exo-agarases together with
neoagarobiose hydrolase (NABH) are important key enzymes for the sequential
hydrolysis reactions. In this study, a bifunctional endo/exo-agarase was fused
with NABH for production of mono-sugars (D-galactose and 3,6-anhydro-L-galactose)
from agar using only one fusion enzyme. Two fusion enzymes with either
bifunctional agarase (Sco3476) or NABH (Zg4663) at the N-terminus, Sco3476-Zg4663
(SZ) and Zg4663-Sco3476 (ZS), were constructed. Both fusion enzymes exhibited
their optimal agarase and NABH activities at 40 and 35 degrees C, respectively.
Fusions SZ and ZS enhanced the thermostability of the NABH activity, while only
fusion SZ showed a slight enhancement in the NABH catalytic efficiency (K cat/K
M) from 14.8 (mg/mL)-1 s-1 to 15.8 (mg/mL)-1 s-1. Saccharification of agar using
fusion SZ resulted in 2-fold higher mono-sugar production and 3-fold lower
neoagarobiose accumulation when compared to the physical mixture of Sco3476 and
Zg4663. Therefore, this fusion has the potential to reduce enzyme production
cost, decrease intermediate accumulation, and increase mono-sugar yield in agar
saccharification.
PMID- 27888330
TI - Dendritic cells in central nervous system autoimmunity.
AB - Dendritic cells (DCs) operate at the intersection of the innate and adaptive
immune systems. DCs can promote or inhibit adaptive immune responses against
neuroantigens. While DC intrinsic properties, i.e., their maturation state or the
subset they belong to, are important determinants of the outcome of an autoimmune
reaction, tissue-specific cues might also be relevant for the function of DCs.
Thus, a better understanding of the performance of distinct DC subsets in
specific anatomical niches, not only in lymphoid tissue but also in non-lymphoid
tissues such as the meninges, the choroid plexus, and the inflamed CNS
parenchyma, will be instrumental for the design of immune intervention strategies
to chronic inflammatory diseases that do not put at risk basic surveillance
functions of the immune system in the CNS. Here, we will review modern concepts
of DC biology in steady state and during autoimmune neuroinflammation.
PMID- 27888334
TI - Capacity of lactic acid bacteria in immunity enhancement and cancer prevention.
AB - Lactic acid bacteria are associated with the human gastrointestinal tract. They
are important for maintaining the balance of microflora in the human gut. An
increasing number of published research reports in recent years have denoted the
importance of producing interferon-gamma and IgA for treatment of disease. These
agents can enhance the specific and nonspecific immune systems that are dependent
on specific bacterial strains. The mechanisms of these effects were revealed in
this investigation, where the cell walls of these bacteria were modulated by the
cytokine pathways, while the whole bacterial cell mediated the host cell immune
system and regulated the production of tumor necrosis factors and interleukins. A
supplement of highly active lactic acid bacteria strains provided significant
potential to enhance host's immunity, offering prevention from many diseases
including some cancers. This review summarizes the current understanding of the
function of lactic acid bacteria immunity enhancement and cancer prevention.
PMID- 27888335
TI - Bayesian inference supports the host selection hypothesis in explaining adaptive
host specificity by European bitterling.
AB - Generalist parasites have the capacity to infect multiple hosts. The temporal
pattern of host specificity by generalist parasites is rarely studied, but is
critical to understanding what variables underpin infection and thereby the
impact of parasites on host species and the way they impose selection on hosts.
Here, the temporal dynamics of infection of four species of freshwater mussel by
European bitterling fish (Rhodeus amarus) was investigated over three spawning
seasons. Bitterling lay their eggs in the gills of freshwater mussels, which
suffer reduced growth, oxygen stress, gill damage and elevated mortality as a
result of parasitism. The temporal pattern of infection of mussels by European
bitterling in multiple populations was examined. Using a Bernoulli Generalized
Additive Mixed Model with Bayesian inference it was demonstrated that one mussel
species, Unio pictorum, was exploited over the entire bitterling spawning season.
As the season progressed, bitterling showed a preference for other mussel
species, which were inferior hosts. Temporal changes in host use reflected
elevated density-dependent mortality in preferred hosts that were already
infected. Plasticity in host specificity by bitterling conformed with the
predictions of the host selection hypothesis. The relationship between bitterling
and their host mussels differs qualitatively from that of avian brood parasites.
PMID- 27888332
TI - Interaction of gut microbiota with bile acid metabolism and its influence on
disease states.
AB - Primary bile acids serve important roles in cholesterol metabolism, lipid
digestion, host-microbe interactions, and regulatory pathways in the human host.
While most bile acids are reabsorbed and recycled via enterohepatic cycling, ~5%
serve as substrates for bacterial biotransformation in the colon. Enzymes
involved in various transformations have been characterized from cultured gut
bacteria and reveal taxa-specific distribution. More recently, bioinformatic
approaches have revealed greater diversity in isoforms of these enzymes, and the
microbial species in which they are found. Thus, the functional roles played by
the bile acid-transforming gut microbiota and the distribution of resulting
secondary bile acids, in the bile acid pool, may be profoundly affected by
microbial community structure and function. Bile acids and the composition of the
bile acid pool have historically been hypothesized to be associated with several
disease states, including recurrent Clostridium difficile infection, inflammatory
bowel diseases, metabolic syndrome, and several cancers. Recently, however,
emphasis has been placed on how microbial communities in the dysbiotic gut may
alter the bile acid pool to potentially cause or mitigate disease onset. This
review highlights the current understanding of the interactions between the gut
microbial community, bile acid biotransformation, and disease states, and
addresses future directions to better understand these complex associations.
PMID- 27888331
TI - The origin of DCs and capacity for immunologic tolerance in central and
peripheral tissues.
AB - Dendritic cells (DCs) are specialized immune sentinels that play key role in
maintaining immune homeostasis by efficiently regulating the delicate balance
between protective immunity and tolerance to self. Although DCs respond to
maturation signals present in the surrounding milieu, multiple layers of
suppression also co-exist that reduce the infringement of tolerance against self
antigens. These tolerance inducing properties of DCs are governed by their origin
and a range of other factors including distribution, cytokines, growth factors,
and transcriptional programing, that collectively impart suppressive functions to
these cells. DCs directing tolerance secrete anti-inflammatory cytokines and
induce naive T cells or B cells to differentiate into regulatory T cells (Tregs)
or B cells. In this review, we provide a detailed outlook on the molecular
mechanisms that induce functional specialization to govern central or peripheral
tolerance. The tolerance-inducing nature of DCs can be exploited to overcome
autoimmunity and rejection in graft transplantation.
PMID- 27888336
TI - Ocean acidification alters temperature and salinity preferences in larval fish.
AB - Ocean acidification alters the way in which animals perceive and respond to their
world by affecting a variety of senses such as audition, olfaction, vision and pH
sensing. Marine species rely on other senses as well, but we know little of how
these might be affected by ocean acidification. We tested whether ocean
acidification can alter the preference for physicochemical cues used for
dispersal between ocean and estuarine environments. We experimentally assessed
the behavioural response of a larval fish (Lates calcarifer) to elevated
temperature and reduced salinity, including estuarine water of multiple cues for
detecting settlement habitat. Larval fish raised under elevated CO2
concentrations were attracted by warmer water, but temperature had no effect on
fish raised in contemporary CO2 concentrations. In contrast, contemporary larvae
were deterred by lower salinity water, where CO2-treated fish showed no such
response. Natural estuarine water-of higher temperature, lower salinity, and
containing estuarine olfactory cues-was only preferred by fish treated under
forecasted high CO2 conditions. We show for the first time that attraction by
larval fish towards physicochemical cues can be altered by ocean acidification.
Such alterations to perception and evaluation of environmental cues during the
critical process of dispersal can potentially have implications for ensuing
recruitment and population replenishment. Our study not only shows that
freshwater species that spend part of their life cycle in the ocean might also be
affected by ocean acidification, but that behavioural responses towards key
physicochemical cues can also be negated through elevated CO2 from human
emissions.
PMID- 27888338
TI - A comprehensively quantitative method of evaluating the impact of drought on crop
yield using daily multi-scale SPEI and crop growth process model.
AB - The quantitative evaluation of the impact of drought on crop yield is one of the
most important aspects in agricultural water resource management. To assess the
impact of drought on wheat yield, the Environmental Policy Integrated Climate
(EPIC) crop growth model and daily Standardized Precipitation Evapotranspiration
Index (SPEI), which is based on daily meteorological data, are adopted in the
Huang Huai Hai Plain. The winter wheat crop yields are estimated at 28 stations,
after calibrating the cultivar coefficients based on the experimental site data,
and SPEI data was taken 11 times across the growth season from 1981 to 2010. The
relationship between estimated yield and multi-scale SPEI were analyzed. The
optimum time scale SPEI to monitor drought during the crop growth period was
determined. The reference yield was determined by averaging the yields from
numerous non-drought years. From this data, we propose a comprehensive
quantitative method which can be used to predict the impact of drought on wheat
yields by combining the daily multi-scale SPEI and crop growth process model.
This method was tested in the Huang Huai Hai Plain. The results suggested that
estimation of calibrated EPIC was a good predictor of crop yield in the Huang
Huai Hai Plain, with lower RMSE (15.4 %) between estimated yield and observed
yield at six agrometeorological stations. The soil moisture at planting time was
affected by the precipitation and evapotranspiration during the previous 90 days
(about 3 months) in the Huang Huai Hai Plain. SPEIG90 was adopted as the optimum
time scale SPEI to identify the drought and non-drought years, and identified a
drought year in 2000. The water deficit in the year 2000 was significant, and the
rate of crop yield reduction did not completely correspond with the volume of
water deficit. Our proposed comprehensive method which quantitatively evaluates
the impact of drought on crop yield is reliable. The results of this study
further our understanding why the adoption of counter measures against drought is
important and direct farmers to choose drought-resistant crops.
PMID- 27888339
TI - Nonlinear variations of forest leaf area index over China during 1982-2010 based
on EEMD method.
AB - Variations in leaf area index (LAI) are critical to research on forest ecosystem
structure and function, especially carbon and water cycle, and their responses to
climate change. Using the ensemble empirical mode decomposition (EEMD) method and
global inventory modeling and mapping studies (GIMMS) LAI3g dataset from 1982 to
2010, we analyzed the nonlinear feature and spatial difference of forest LAI
variability over China for the past 29 years in this paper. Results indicated
that the national-averaged forest LAI was characterized by quasi-3- and quasi-7
year oscillations, which generally exhibited a rising trend with an increasing
rate. When compared with 1982, forest LAI change by 2010 was more evident than
that by 1990 and 2000. The largest increment of forest LAI occurred in Central
and South China, while along the southeastern coastal areas LAI increased at the
fastest pace. During the study period, forest LAI experienced from decrease to
increase or vice versa across much of China and varied monotonically for only a
few areas. Focusing on regional-averaged trend processes, almost all eco
geographical regions showed continuously increasing trends in forest LAI with
different magnitudes and speeds, other than tropical humid region and temperate
humid/subhumid region, where LAI decreased initially and increased afterwards.
PMID- 27888337
TI - Claudins: vital partners in transcellular and paracellular transport coupling.
AB - Tight junction (TJ) strands between epithelial or endothelial cells are formed by
claudins, a protein family comprising up to 27 members in mammals. Although many
more proteins are involved in the formation of TJ complexes, claudins are the
only TJ proteins that are able to form TJ-like strands when overexpressed in
cells that are normally devoid of TJs (e.g., fibroblasts). Within the
paracellular cleft, the extracellular domains of claudins provide the matrix that
seals the paracellular pathway. However, within this matrix, some claudins act as
channels that specifically allow certain ions to cross this barrier. Barrier
forming claudins predominate in epithelia that enclose compartments containing
harmful ion concentrations (e.g., H+ in the stomach, K+ in the inner ear
endolymph) or high pressures (e.g., in blastocoel or brain ventricle formation
during development). Here, even seemingly minor alterations in TJ composition may
be detrimental to the organism. In contrast, in many transporting epithelia,
channel-forming claudins are essential for transcellular and paracellular
transport coupling. Mutation or knockout of channel-forming claudins in these
tissues brings both transcellular and paracellular transports to a standstill.
The present review will present examples to illustrate the importance of single
members of the claudin family in general epithelial transport physiology.
PMID- 27888340
TI - Prognostic value of comorbidities in patients with carcinoma of the major
salivary glands.
AB - This study aimed at evaluating the influence of comorbidities on outcome and
survival in patients with carcinoma of the major salivary glands. Medical records
of 109 patients with carcinoma of the major salivary glands treated at a single
quaternary medical care and cancer center between 2003 and 2015 were reviewed.
Comorbidities were classified according to the Age-Adjusted Charlson Comorbidity
Index (ACCI) scoring system. 59 patients were males, 50 were females. Median age
was 69 years and the median interval between tumor diagnosis and date of study
inclusion was 71 months. Most carcinoma arose in the parotid gland (90 patients),
and most patients presented with T 1 (25) or T 2 (37) cancer. Mean ACCI score was
2.9, and the most frequent ACCI score was 4. Mean overall survival was 119 months
(ACCI 0-3) and 55 months (ACCI score >4), respectively (p = 0.005). Mean disease
free survival (ACCI 0-3) was 110 and 58 months (ACCI > 4), respectively (p =
0.02). Survival was significantly improved in low grade tumors, lower T and UICC
stage and lymph node-negative patients. Sex, age, history of smoking and
resection margins had no influence on overall survival. High comorbidity is an
independent risk factor on overall and disease-free survival in patients with
major salivary gland carcinoma. This is the first study evaluating comorbidity
using the ACCI scoring system in this group of patients.
PMID- 27888341
TI - Efficacy of the transtemporal approach with awake brain mapping to reach the
dominant posteromedial temporal lesions.
AB - BACKGROUND: Surgeries for lesions in the dominant hippocampal and parahippocampal
gyrus involving the posteromedial temporal regions are challenging to perform
because they are located close to Wernicke's area; white matter fibers related
with language; the optic radiations; and critical neurovascular structures. We
performed a transtemporal approach with awake functional mapping for lesions
affecting the dominant posteromedial temporal regions. The aim of this study was
to assess the feasibility, safety, and efficacy of awake craniotomy for these
lesions. METHODS: We retrospectively reviewed four consecutive patients with
tumors or cavernous angiomas located in the left hippocampal and parahippocampal
gyrus, which further extended to the posteromedial temporal regions, who
underwent awake surgery between December 2014 and January 2016. RESULTS: Four
patients with lesions associated with the left hippocampal and parahippocampal
gyrus, including the posteromedial temporal area, who underwent awake surgery
were registered in the study. In all four patients, cortical and subcortical
eloquent areas were identified via direct electrical stimulation. This allowed
determination of the optimal surgical route to the angioma or tumor, even in the
language-dominant hippocampal and parahippocampal gyrus. In particular, this
approach enabled access to the upper part of posteromedial temporal lesions,
while protecting the subcortical language-related fibers, such as the superior
longitudinal fasciculus. CONCLUSIONS: This study revealed that awake brain
mapping can enable the safe resection of dominant posteromedial temporal lesions,
while protecting cortical and subcortical eloquent areas. Furthermore, our
experience with four patients demonstrates the feasibility, safety, and efficacy
of awake surgery for these lesions.
PMID- 27888342
TI - Putting recommendations into practice: Australian rheumatologists' opinions on
leflunomide use in rheumatoid arthritis.
AB - Leflunomide is the most recently introduced conventional disease-modifying anti
rheumatic drugs in Australia. It has several unique methods for initiation,
unique monitoring recommendations and a distinctive cessation protocol in the
event of serious toxicity. The aim of this study was to evaluate initiation and
monitoring practices of Australian rheumatologists using leflunomide. A survey
was emailed twice, approximately 3 months apart to 332 rheumatologist members of
the Australian Rheumatology Association. Wave analysis was used to assess
evidence of non-response bias. The response rate to the survey was 20% and there
was no difference between the responses of waves 1 and 2. Fifty percent of the
respondents indicated that 20 mg once daily was the initial dose of leflunomide
that they most commonly prescribed, 45% indicated 10 mg once daily, whilst only
3% preferred to initiate leflunomide at 100 mg daily for 2-3 days followed by 10
mg once a day as recommended when first marketed. Of the responders, 12% had used
doses above 20 mg daily and 70% had used alternate daily dosing with leflunomide.
In a patient taking leflunomide with an ALT or AST >3 times the ULN on two or
more blood tests, 75% of responders indicated they would stop leflunomide
immediately and 20% would follow cessation by administering a cholestyramine
washout. The choice of initial leflunomide dose among responding Australian
rheumatologists varied considerably, although most preferred not to use the
loading dose. Despite the recommendation of clinical guidelines, the use of a
cholestyramine washout procedure for hepatic toxicity is not universal.
PMID- 27888343
TI - Laparoscopic anterior versus endoscopic posterior approach for adrenalectomy: a
shift to a new golden standard?
AB - PURPOSE: There has been an increased utilization of the posterior retroperitoneal
approach (PRA) for adrenalectomy alongside the "classic" laparoscopic
transabdominal technique (LTA). The aim of this study was to compare both
procedures based on outcome variables at various ranges of tumor size. METHODS: A
retrospective analysis was performed on 204 laparoscopic transabdominal (UMC
Groningen) and 57 retroperitoneal (UMC Utrecht) adrenalectomies between 1998 and
2013. We applied a univariate and multivariate regression analysis. Mann-Whitney
and chi-squared tests were used to compare outcome variables between both
approaches. RESULTS: Both mean operation time and median blood loss were
significantly lower in the PRA group with 102.1 (SD 33.5) vs. 173.3 (SD 59.1)
minutes (p < 0.001) and 0 (0-200) vs. 50 (0-1000) milliliters (p < 0.001),
respectively. The shorter operation time in PRA was independent of tumor size.
Complication rates were higher in the LTA (19.1%) compared to PRA (8.8%). There
was no significant difference in recovery time between both approaches.
CONCLUSIONS: Application of the PRA decreases operation time, blood loss, and
complication rates compared to LTA. This might encourage institutions that use
the LTA to start using PRA in patients with adrenal tumors, independent of tumor
size.
PMID- 27888344
TI - Impact of urgent resuscitative surgery for life-threatening torso trauma.
AB - PURPOSE: This study investigated the advantages of performing urgent
resuscitative surgery (URS) in the emergency department (ED); namely, our URS
policy, to avoid a delay in hemorrhage control for patients with severe torso
trauma and unstable vital signs. METHODS: We divided 264 eligible cases into a
URS group (n = 97) and a non-URS group (n = 167) to compare, retrospectively, the
observed survival rate with the predicted survival using the Trauma and Injury
Severity Score (TRISS). RESULTS: While the revised trauma score and the injury
severity score were significantly lower in the URS group than in the non-URS
group, the observed survival rate was significantly higher than the predicted
rate in the URS (48.5 vs. 40.2%; p = 0.038). URS group patients with a systolic
blood pressure (SBP) <90 mmHg and a Glasgow coma scale (GCS) score of >=9 had
significantly higher observed survival rates than predicted survival rates (0.433
vs. 0.309, p = 0.008), (0.795 vs. 0.681, p = 0.004). The implementation of damage
control surgery (DCS) was found to be a significant predictor of survival (OR
5.23, 95% CI 0.113-0.526, p < 0.010). CONCLUSION: The best indications for the
URS policy are an SBP <90 mmHg, a GCS >=9 on ED arrival, and/or the need for DCS.
By implementing our URS policy, satisfactory survival of patients requiring
immediate hemostatic surgery was achieved.
PMID- 27888346
TI - Methionine and cysteine deficiencies altered proliferation rate and time-course
differentiation of porcine preadipose cells.
AB - Methionine (Met) is an essential sulfur amino acid (AA) limiting growth and is
the precursor of cysteine (Cys), the rate-limiting factor in the synthesis of
glutathione, and the main intracellular non-enzymatic antioxidant. This study
aimed at determining the effects of limited supplies in Met and(or) Cys in early
aspects of adipose tissue development and oxidative stress in differentiated
adipocytes. Incremental reductions in Met (70, 40, and 0 uM) were compared with
Met 100 uM (control dose) in porcine preadipocytes cultured in media without or
with Cys (250 uM). In Cys-deprived media, both the absence (0 uM) and the lowest
dose of Met (40 uM) reduced preadipocyte proliferation. Adding Cys in media only
partly compensated for this decrease. On the opposite, mild Met deficiency (70
uM) did not alter preadipocyte proliferation in media without or with Cys. Strong
Met deficiency (40 uM) also reduced differentiation and lipid accumulation into
preadipose cells. Mild Met deficiency also reduced preadipocyte differentiation
when Cys was present in the culture media, whereas in Cys-deprived media, percent
of differentiated cell was similar and intracellular lipid content was slightly
higher at Met 70 uM than at Met 100 uM. Finally, incremental reductions in Met in
media with or without Cys lowered reactive oxygen species (ROS) production by
differentiated cells. These results demonstrate the strong dependency of porcine
adipogenesis to sulfur AA supplies. Strong Met deficiency decreases both
proliferation and differentiation, whereas mild deficiency only alters
differentiation.
PMID- 27888345
TI - Robust thalamic nuclei segmentation method based on local diffusion magnetic
resonance properties.
AB - The thalamus is an essential relay station in the cortical-subcortical
connections. It is characterized by a complex anatomical architecture composed of
numerous small nuclei, which mediate the involvement of the thalamus in a wide
range of neurological functions. We present a novel framework for segmenting the
thalamic nuclei, which explores the orientation distribution functions (ODFs)
from diffusion magnetic resonance images at 3 T. The differentiation of the
complex intra-thalamic microstructure is improved by using the spherical harmonic
(SH) representation of the ODFs, which provides full angular characterization of
the diffusion process in each voxel. The clustering was performed using the k
means algorithm initialized in a data-driven manner. The method was tested on 35
healthy volunteers and our results show a robust, reproducible and accurate
segmentation of the thalamus in seven nuclei groups. Six of them closely matched
the anatomy and were labeled as anterior, ventral anterior, medio-dorsal, ventral
latero-ventral, ventral latero-dorsal and pulvinar, while the seventh cluster
included the centro-lateral and the latero-posterior nuclei. Results were
evaluated both qualitatively, by comparing the segmented nuclei to the
histological atlas of Morel, and quantitatively, by measuring the clusters'
extent and the clusters' spatial distribution across subjects and hemispheres. We
also showed the robustness of our approach across different sequences and
scanners, as well as intra-subject reproducibility of the segmented clusters
using additional two scan-rescan datasets. We also observed an overlap between
the path of the main long-connection tracts passing through the thalamus and the
spatial distribution of the nuclei identified with our clustering algorithm. Our
approach, based on SH representations of the ODFs, outperforms the one based on
angular differences between the principle diffusion directions, which is
considered so far as state-of-the-art method. Our findings show an anatomically
reliable segmentation of the main groups of thalamic nuclei that could be of
potential use in many clinical applications.
PMID- 27888347
TI - Different phenotypes in identical twins with cerebrotendinous xanthomatosis: case
series.
AB - Cerebrotendinous xanthomatosis (CTX) is a rare, genetically determined error of
metabolism. The characteristic clinical symptoms are diarrhea, juvenile
cataracts, tendon xanthomas and neuropsychiatric alterations. The aim of this
study is to present a pair of identical adult twins with considerable differences
in the severity of phenotype. With regards to neuropsychiatric symptoms, the
predominant features were severe Parkinsonism and moderate cognitive dysfunctions
in the more-affected individual, whereas these alterations in the less-affected
patient were only very mild and mild, respectively. The characteristic increase
in the concentrations of serum cholestanol and the lesion volumes in dentate
nuclei in the brain assessed with magnetic resonance imaging were quite similar
in both cases. The lifestyle conditions, including eating habits of the twin
pair, were quite similar as well; therefore, currently unknown genetic modifiers
or certain epigenetic factors may be responsible for the differences in severity
of phenotype. This case series serves as the first description of an identical
twin pair with CTX presenting heterogeneous clinical features.
PMID- 27888348
TI - Pathogenesis of COPD and Asthma.
AB - Asthma and COPD remain two diseases of the respiratory tract with unmet medical
needs. This review considers the current state of play with respect to what is
known about the underlying pathogenesis of these two chronic inflammatory
diseases of the lung. The review highlights why they are different conditions
requiring different approaches to treatment and provides a backdrop for the
subsequent chapters in this volume discussing recent advances in the pharmacology
and treatment of asthma and COPD.
PMID- 27888350
TI - Totally implantable vascular access device (TIVAD) placement: a modified
technique that takes post-procedure aesthetic aspects into account.
AB - Major progress has been made in breast cancer reconstruction surgery. The
standard technique for totally implanted vascular access device (TIVAD)
implantation generally requires an incision for port insertion on the anterior
part of the thorax that leaves a scar in the middle of the neckline in patients
who have undergone mastectomy with complex breast reconstruction. The aim of this
technical note is to report our revised surgical technique for TIVAD placement.
In patients with breast cancer, we take a lateralized approach, performing an
oblique incision on the lowest part of the deltopectoral groove. This allows us
to introduce the port and to place it on the anterolateral part of the thorax,
thus avoiding an unaesthetic scar on the anterior part of the thorax. Our
modified technique for TIVAD implantation is described.
PMID- 27888349
TI - Final irrigation protocols may affect intraradicular dentin ultrastructure.
AB - OBJECTIVES: The aim of this study was to evaluate the effect of different
irrigation protocols on the root dentin structure using scanning electron
microscopy (SEM) and transmission electron microscopy (TEM). MATERIALS AND
METHODS: Thirty-nine lower bovine incisors were hemisected longitudinally and
randomly divided into 13 groups (n = 3). After the root halves were reassembled,
it was applied a specific irrigation protocol for each group, as following: G1,
distilled water (control); G2, 0.9% saline; G3, saline + 17% EDTA; G4, saline +
PUI; G5, saline + PUI + EDTA; G6 to G9 received the same protocol as above
replacing 0.9% saline by 2.5% NaOCl; and G10 to G13 by 2% CHX. One-half of each
sample was prepared and evaluated using SEM and the other one by TEM
observations. RESULTS: TEM descriptive analysis showed modifications in dentin
organic ultrastructure, characterized by the thinning of dentin collagen fibrils,
caused by NaOCl, enhanced by EDTA and/or PUI. SEM analysis showed that NaOCl with
PUI caused significantly larger erosion of the peritubular dentin than in all the
other groups (P < 0.05), followed by NaOCl + EDTA and NaOCl + EDTA + PUI.
CONCLUSIONS: NaOCl caused ultrastructural alterations in the dentin collagen, and
enhanced by EDTA and/or PUI, promoted peritubular and intertubular erosion.
CLINICAL RELEVANCE: The effect of irrigating solutions on dentin ultrastructure
was still unclear. The acknowledgment about the kind of solution, concentrations,
application time, and sequence of use was important to achieve the right
sanitization without jeopardizing the dentin ultrastructure quality.
PMID- 27888352
TI - Acknowledgment to referees.
PMID- 27888351
TI - Identity, ecology and ecophysiology of planktic green algae dominating in ice
covered lakes on James Ross Island (northeastern Antarctic Peninsula).
AB - The aim of this study was to assess the phylogenetic relationships, ecology and
ecophysiological characteristics of the dominant planktic algae in ice-covered
lakes on James Ross Island (northeastern Antarctic Peninsula). Phylogenetic
analyses of 18S rDNA together with analysis of ITS2 rDNA secondary structure and
cell morphology revealed that the two strains belong to one species of the genus
Monoraphidium (Chlorophyta, Sphaeropleales, Selenastraceae) that should be
described as new in future. Immotile green algae are thus apparently capable to
become the dominant primary producer in the extreme environment of Antarctic
lakes with extensive ice-cover. The strains grew in a wide temperature range, but
the growth was inhibited at temperatures above 20 degrees C, indicating their
adaptation to low temperature. Preferences for low irradiances reflected the
light conditions in their original habitat. Together with relatively high growth
rates (0.4-0.5 day-1) and unprecedently high content of polyunsaturated fatty
acids (PUFA, more than 70% of total fatty acids), it makes these isolates
interesting candidates for biotechnological applications.
PMID- 27888353
TI - Degenerative spondylolisthesis: contemporary review of the role of interbody
fusion.
AB - Degenerative spondylolisthesis is a common presentation, yet the best surgical
treatment continues to be a matter of debate. Interbody fusion is one of a number
of options, but its exact role remains ill defined. The aim of this study was to
provide a contemporary review of the literature to help determine the role, if
any, of interbody fusion in the surgical treatment of degenerative
spondylolisthesis. A systematic review of the literature since 2005 was
performed. Details on study size, patient age, surgical treatments, levels of
slip, patient reported outcome measures, radiographic outcomes, complications and
selected utility measures were recorded. Studies that compared a cohort treated
with interbody fusion and at least one other surgical intervention for comparison
were included for review. Only studies examining the effect in degenerative
spondylolisthesis were included. Two authors independently reviewed the
manuscripts and extracted key data. Thirteen studies were included in the final
analysis. A total of 565 underwent interbody fusion and 761 underwent other
procedures including decompression alone, interspinous stabilisation and
posterolateral fusion with or without instrumentation. Most studies were graded
Level III evidence. Heterogeneous reporting of outcomes prevented formal
statistical analysis. However, in general, studies reviewed concluded no
significant clinical or radiographic difference in outcome between interbody
fusion and other treatments. Two small studies suggested interbody fusion is a
better option in cases of definite instability. Interbody fusion only provided
outcomes as good as instrumented posterolateral fusion. However, most studies
were Level III, and hence, we remain limited in defining the exact role of
interbody fusion-cases with clear instability appear to be most appropriate.
Future work should use agreed-upon common outcome measures and definitions.
PMID- 27888354
TI - Effects of reward and punishment on the interaction between going and stopping in
a selective stop-change task.
AB - Inhibition of no-longer relevant go responses supports flexible and goal-directed
behavior. The present study explored if the interaction between going and
stopping is influenced by monetary incentives. Subjects (N = 108) performed a
selective stop-change task, which required them to stop and change a go response
if a valid signal occurred, but to execute the planned go response if invalid
signals or no signals occurred. There were two incentive groups: the punishment
group lost points for unsuccessful valid-signal trials, whereas the reward group
gained points for successful valid-signal trials. There was also a control group
that could not win or lose points on any trials. We found that, compared with the
control group, incentives encouraged subjects to slow down on no-signal trials,
suggesting proactive control adjustments. Furthermore, latencies of valid change
responses were shorter in the incentive groups than in the control group,
suggesting improvements in executing an alternative response. However, incentives
did not modulate stop latency or the interaction between going and stopping on
valid-signal trials much. Finally, Bayesian analyses indicated that there was no
difference between the reward and punishment groups. These findings are
inconsistent with the idea that reward and punishment have distinct effects on
stop performance.
PMID- 27888355
TI - Psychometric properties of the Swedish version of the Treatment Outcome
Satisfaction Questionnaire.
AB - PURPOSE: Patient satisfaction is an outcome measure for low-back pain (LBP)
interventions which allows clinicians to design patient-oriented treatments. The
Treatment Outcome Satisfaction Questionnaire (TOSQ) is an English instrument
constructed for such evaluations, and no equivalent instruments exist for the
Swedish population. This study, therefore, translated TOSQ into Swedish and
assessed the translated version's psychometric properties for patients with LBP.
METHODS: A cross-cultural adaptation was used to translate TOSQ into Swedish.
Subsequently, data from 131 patients with LBP whom undergone physiotherapy were
consecutively aggregated and analyzed in a Rasch rating scale model with person
measures standardized at 0-100 logits to evaluate the translated scale's
validity. Finally, test-retest reliability of the Swedish version of TOSQ (TOSQ
S) was quantified via an intraclass correlation coefficient (ICC) and the
standard error of measurement (SEM) in 41 patients. RESULTS: TOSQ was
successfully translated into Swedish; however, while some Rasch model indices
supported the translated scale's unidimensionality, one out of eight items and 12
out of 131 subjects misfitted the model. Scale optimization resulted in a 6-item
subconfiguration, for which all items fitted the model, person misfits were
reduced to ten subjects, and the person separation index increased from 1.86 to
2.04. ICC and SEM estimates suggested acceptable reliability for the six-item
TOSQ-S at 0.66 and 6.6 logits, respectively. CONCLUSIONS: A six-item TOSQ-S
configuration showed acceptable psychometric properties and is suitable for
measuring treatment outcome satisfaction of physiotherapy in patients with LBP.
PMID- 27888358
TI - Veranstaltungen.
PMID- 27888356
TI - Intestinal stem cell transplantation.
AB - Organoid technologies to expand intestinal epithelial cells are gaining
increasing attention as a useful tool to investigate many aspects of intestinal
epithelial biology and pathology. One important application of organoid systems
would be to use intestinal epithelial cells expanded in culture for following
transplantation experiments. In this article, we present a brief overview of the
studies that have succeeded in generating new epithelial tissues in the surface
of native intestines in mice by organoid transplantation. We also discuss
possible applications of this experimental approach in basic research on the
intestinal epithelium as well as in regenerative medicine for various types of
intestinal diseases in humans.
PMID- 27888357
TI - Aberrant cytoplasmic expression of MHCII confers worse progression free survival
in diffuse large B-cell lymphoma.
PMID- 27888360
TI - Identification of symplasmic domains in the embryo and seed of Sedum acre L.
(Crassulaceae).
AB - MAIN CONCLUSION: Our study demonstrated that symplasmic communication between
Sedum acre seed compartments and the embryo proper is not uniform. The presence
of plasmodesmata (PD) constitutes the structural basis for information exchange
between cells, and symplasmic communication is involved in the regulation of cell
differentiation and plant development. Most recent studies concerning an analysis
of symplasmic communication between seed compartments and the embryo have been
predominantly performed on Arabidopsis thaliana. The results presented in this
paper describe the analysis of symplasmic communication on the example of Sedum
acre seeds, because the ultrastructure of the seed compartments and the embryo
proper, including the PD, have already been described, and this species
represents an embryonic type of development different to Arabidopsis. Moreover,
in this species, an unusual electron-dense dome associated with plasmodesmata on
the border between the basal cell/chalazal suspensor cells and the basal cell/the
endosperm has been described. This prompted the question as to whether these
plasmodesmata are functional. Thus, the aim of this study was to describe the
movement of symplasmic transport fluorochromes between different Sedum seed
compartments, with particular emphasis on the movement between the basal cell and
the embryo proper and endosperm, to answer the following questions: (1) are seeds
divided into symplasmic domains; (2) if so, are they stable or do they change
with the development? The results have shown that symplasmic tracers movement:
(a) from the external integument to internal integument is restricted; (b) from
the basal cell to the other part of the embryo proper and from the basal cell to
the endosperm is also restricted;
PMID- 27888362
TI - Reply to the "Letter to the Editor" commenting on the article "An Update of
Peripheral Ossifying Fibroma: Case Report and Literature Review".
PMID- 27888361
TI - Morphological and structural characterization of the attachment system in aerial
roots of Syngonium podophyllum.
AB - MAIN CONCLUSION: The attachment of aerial roots of Syngonium podophyllum involves
a multi-step process adjusted by multi-scale structures. Helical-crack root hairs
are first found in the attachment system, representing specialized structures for
surface anchorage. The morphological variability of attachment organs reflects
diverse climbing strategies. One such anchoring mode in clinging-climbers
involves the time-dependent interaction between roots and the support: By
naturally occurring adhesive roots with root hairs, the plant can ascend on
supports of any shape and size. As a typical root-climber, Syngonium podophyllum
develops elongate aerial roots at nodes. Here, we studied its attachment behavior
from the external morphology to the internal structure in detail. Through SEM and
LM observation on several root-substrate interfaces, we suggested that the
attachment of aerial roots was mediated by a multi-step process, in which root
hairs played significant roles in releasing mucilaginous substance and securing
the durable anchorage. We summarized all the types of shape changes of root hairs
with particular focus on the abnormal transition from a tube to a helical-crack
ribbon. We demonstrated our understanding with respect to the formation of the
helical-crack root hairs, based on the structural evidence of cellulose
microfibrils orientation on the cell wall lamellae. The helical-crack root hairs
serving as energy-dissipating units retard the failure of adhesion under high
winds and loads.
PMID- 27888359
TI - Low 25-OH-vitamin D levels reflect hepatic dysfunction and are associated with
mortality in patients with liver cirrhosis.
AB - BACKGROUND AND AIMS: Vitamin D deficiency is frequent in patients with cirrhosis.
The aims of this study were to evaluate the relation of vitamin D status to
portal hypertension, degree of liver dysfunction and survival. METHODS: Patients
with cirrhosis who have been tested for 25-OH-vitamin D levels were
retrospectively included. Vitamin D deficiency was defined as 25-OH-vitamin D
levels <10 ng/ml. Child-Pugh score, model for end-stage liver disease (MELD) and
available hepatic venous pressure gradient (HVPG) were recorded. Mortality was
documented during follow-up. RESULTS: A total of 199 patients were included.
Prevalence of vitamin D deficiency (<10 ng/ml) was 40% (79/199), with 14% in
Child-Pugh stage A, 39% in Child-Pugh stage B and 47% in Child-Pugh stage C (p =
0.001). Vitamin D deficiency was more common in patients with clinically
significant portal hypertension (CSPH, HVPG >= 10 mm Hg) than in patients without
(43.5% vs. 24.4%, p = 0.025). Significantly more deaths were observed in patients
with vitamin D deficiency (32.9%, 26/79 vs. 13.3%, 16/120; p = 0.001). COX
regression found presence of hepatocellular carcinoma (p < 0.001; HR: 5.763
95%CI:2.183-15.213), presence of CSPH (p = 0.026; HR: 5.487 95%CI: 1.226-24.55)
and Child-Pugh stage C (p = 0.003; HR:5.429 95%CI: 1.771-16.638) as independent
risk factors for mortality. Furthermore we could show a tendency towards group
vitamin D deficiency being an independent risk factor (p = 0.060; HR: 1.86
95%CI:0.974-3.552). CONCLUSIONS: Vitamin D levels progressively decrease in more
advanced Child stages and in patients with increasing HVPG. Vitamin D deficiency
might be a valuable predictor of mortality in cirrhosis.
PMID- 27888363
TI - Evaluation of the oropharynx in class I and II skeletal patterns by CBCT.
AB - PURPOSE: This study aimed to evaluate the dimensions of the oropharynx and its
shape in the minimum cross-sectional area, in individuals with Class I and Class
II skeletal patterns, using three-dimensional CBCT images. METHODS: Forty-two
cone-beam computed tomography images of grown individuals were evaluated. The
images were divided according to the patient's skeletal patterns. The dimensions
of the oropharyngeal airway space were determined using the Dolphin Imaging
software. RESULTS: The volume and the minimum cross-sectional area were greater
in patients with a Class I skeletal pattern, with a median difference of 5379 mm3
and 86.8 mm2, respectively. The anteroposterior and lateral diameters in the
minimum cross-sectional area were also higher in Class I individuals (2.3 and 6.0
mm, respectively), but the ratio between them was not different. CONCLUSIONS: The
volume and the minimum cross-sectional area of the oropharynx, as well as the
anteroposterior and lateral diameters, are lower in individuals with a class II
skeletal pattern than in individuals with a class I skeletal pattern. There was
no difference in the shape of the oropharynx in healthy individuals with
different skeletal patterns.
PMID- 27888364
TI - In vitro reconstitution of the cyclosporine specific P450 hydroxylases using
heterologous redox partner proteins.
AB - The cytochrome P450 enzymes (CYPs) CYP-sb21 from Sebekia benihana and CYP-pa1
from Pseudonocardia autotrophica are able to hydroxylate the immunosuppressant
cyclosporin A (CsA) in a regioselective manner, giving rise to the production of
two hair-stimulating agents (with dramatically attenuated immunosuppressant
activity), gamma-hydroxy-N-methyl-L-Leu4-CsA (CsA-4-OH) and gamma-hydroxy-N
methyl-L-Leu9-CsA (CsA-9-OH). Recently, the in vitro activity of CYP-sb21 was
identified using several surrogate redox partner proteins. Herein, we
reconstituted the in vitro activity of CYP-pa1 for the first time via a similar
strategy. Moreover, the supporting activities of a set of ferredoxin
(Fdx)/ferredoxin reductase (FdR) pairs from the cyanobacterium Synechococcus
elongatus PCC 7942 were comparatively analyzed to identify the optimal redox
systems for these two CsA hydroxylases. The results suggest the great value of
cyanobacterial redox partner proteins for both academic research and industrial
application of P450 biocatalysts.
PMID- 27888365
TI - Development of a novel engineered antibody targeting Neisseria species.
AB - OBJECTIVES: A Neissaria bacterial pilus sugar, bacillosamine, was synthesized
and, for the first time, used as a probe to screen a single-chain variable
fragment (scFv). RESULTS: Four Neisseria, Neisseria gonorrhoeae, Neisseria
meningitidis, Neisseria sicca and Neisseria subflava, and two negative controls,
Streptococcus pneumoniae and Escherichia coli, were tested through ELISA,
immunostaining and gold nanoparticle immunological assay. All results indicated
that the selected scFv is feasible for the specific detection of Neisseria
species via the recognition of bacillosamine. CONCLUSIONS: The recombinant scFv
could detect Neisseria strains at 106 CFU/ml.
PMID- 27888366
TI - Heritability and Longitudinal Stability of Planning and Behavioral Disinhibition
Based on the Porteus Maze Test.
AB - The Porteus Maze Test (PMT) provides measures of planning and behavioral
disinhibition. The PMT was administered to 941 twins during Wave 1 (9-10 years)
and 320 twins during Wave 2 (11-13 years). Participants were drawn from the
University of Southern California Risk Factors for Antisocial Behavior Study
(RFAB). Heritability of behavioral disinhibition, determined by PMT Q-Score, were
33% at Wave 1 and 52% at Wave 2. For planning, determined by Test Age,
heritability was 53% at Wave 1; at Wave 2, the non-shared environment was
important in boys, whereas genetic influences were important in girls. Both
indices were modestly stable (r = 0.52; r = 0.37). A common genetic factor
influenced both indices, respectively, at the two time points, with no 'new'
genetic variance at Wave 2; the non-shared environment was time-specific. Thus,
both genetic and non-shared environmental influences are important for behavioral
disinhibition (Q-Score) and planning (Test Age).
PMID- 27888368
TI - Primary Immunodeficiency Classification on Smartphone.
PMID- 27888367
TI - Increasing Opportunities for Question-Asking in School-Aged Children with Autism
Spectrum Disorder: Effectiveness of Staff Training in Pivotal Response Treatment.
AB - Deficits in question-asking are common in children with autism spectrum disorder
(ASD). Furthermore, their opportunities to self-initiate questions are often
hindered by directive behavior of their conversation partners. This study
assessed the effectiveness of staff training in pivotal response treatment (PRT)
on staff member-created opportunities and self-initiated questions of school-aged
children with ASD. Generalization and maintenance were also assessed.
Participants were 14 staff members and children with ASD attending an inpatient
treatment facility. Data showed that PRT resulted in significant increases in
both staff member-created opportunities and child-initiated questions.
Generalization to group situations and collateral changes in children's language,
pragmatic, and adaptive skills, and maladaptive behaviors did not occur.
Implications for clinical practice and directions for future research are
discussed.
PMID- 27888369
TI - Prevalence of periodontal pathogens as predictor of the evolution of periodontal
status.
AB - The aim of this study was to determine the relationship between the prevalence of
Porphyromonas gingivalis, its fimA genotypes, Aggregatibacter
actinomycetemcomitans, Tannerella forsythia, and Treponema denticola and the
evolution of periodontal health. In a longitudinal prospective study, samples of
subgingival plaque were taken from 114 patients (37 with chronic periodontitis,
17 with gingivitis, and 60 periodontally healthy) in the course of a full
periodontal examination. PCR was employed to determine the presence of the
periodontopathogenic bacteria. Four years later, a second examination and sample
collection were performed in 90 of these patients (20 with chronic periodontitis,
12 with gingivitis, and 58 periodontally healthy). T. forsythia, P. gingivalis,
and T. denticola are the most prevalent bacteria in patients with chronic
periodontitis (78.4%, 62.2 y 56.8%, respectively). The P. gingivalis bacterium
and its fimA genotypes I, II, and IV showed the highest correlation between the
baseline and follow-up assessments. P. gingivalis fimA genotype II and T.
forsythia were associated to a significant degree with unfavourable periodontal
evolution. Of the variables studied, P. gingivalis fimA genotype II and T.
forsythia increase the risk of an unfavourable evolution of periodontal status.
PMID- 27888370
TI - Understanding the Impact of Migration on HIV Risk: An Analysis of Mexican
Migrants' Sexual Practices, Partners, and Contexts by Migration Phase.
AB - HIV risk among Mexican migrants varies across migration phases (pre-departure,
transit, destination, interception, and return), but there is limited knowledge
about specific sexual behaviors, characteristics of sexual partners, and sexual
contexts at different migration stages. To fill the gap, we used data from a
cross-sectional population-based survey conducted in Tijuana, Mexico. Information
on migration phase and last sexual encounter was collected from 1219 male
migrants. Our findings suggest that compared to pre-departure migrants, repeat
migrants returning from communities of origin were more likely to have sex with
male partners, use substances before sex, and not use condoms; migrants in the
transit phase in the Mexican border were more likely to have sex with casual
partners and sex workers; and migrants in the interception phase were more likely
to engage in anal sex and use substances before sex. Sexual behaviors, partners,
and contexts vary significantly among migrants at different migration phases.
Tailored HIV prevention programs targeting Mexican migrants need to be developed
and implemented at all migration phases.
PMID- 27888372
TI - Effect of oil pollution on function of sandy soils in protected deserts and
investigation of their improvement guidelines (case study: Kalmand area, Iran).
AB - Soil pollution is one of the most dangerous sorts of environmental pollutions
because of waste materials, fossil fuels, etc. Unfortunately in developing
countries, there are very few arrangements to prevent soil pollution due to the
fossil fuels and to improve polluted soil. In this research, influences of gas
oil on properties of Kalmand protected area's sandy soil near Yazd, Iran, were
studied. It was found that gas oil constituted 5.25% of soil weight in the
refueling station in the region. Therefore, cleaning and strengthening of the
soil by adding cement rather than expensive and complicated methods were the most
important goals of this research. First, the influence of gas oil on soil
properties was studied, and to improve the soil, different percentages of
ordinary portland cement were added to the polluted sand to study the improved
soil properties using laboratory tests. It was found that unconfined compressive
strength, cohesion, and angle of internal friction of sample with 16% cement and
8% gas oil after 28 days of curing were higher than those of the specimen of 6%
cement and 14% gas oil, at 4.6, 5.4, and 1.3 times, respectively. Moreover, based
on falling head tests it was observed that permeability of the stabilized
specimens decreased substantially. From SEM tests, fewer voids were observed in
the stabilized samples, which led to less pollutant penetration into the soil.
According to EDX, although dangerous elements in the contaminated specimen made
up 3.99% of the specimen total weight, addition of cement introduced considerable
amounts of elements that are vital for pozzolanic reactions. Therefore, it can be
concluded that addition of cement to the gas oil-polluted soil not only can
improve geotechnical properties of the soil and reduce its permeability, but also
is very efficient for environmental issues.
PMID- 27888374
TI - Prophylactic mechanical hemodynamic support for catheter ablation of VT: locking
the barn door before the horse has bolted.
PMID- 27888371
TI - Protective Effect of the Sulfated Agaran Isolated from the Red Seaweed Laurencia
aldingensis Against Toxic Effects of the Venom of the Snake, Lachesis muta.
AB - Snakebite is a serious occupational hazard affecting mainly rural populations of
tropical and subtropical developing countries. Lachesis muta (Bushmaster) bites
are extremely serious but are rarely reported in the literature. Bushmaster
envenomings are characterized by intense local pain, edema, neurotoxicity,
hypotension, local hemorrhage, and dramatic systemic alterations. Antivenom
treatment has regularly been used for more than a century; however, it fails to
neutralize local tissue damage and hemorrhage, leading to morbidity or
disabilities in victims. Thus, the production and clinical use of antivenom must
be improved. The present work characterizes, for the first time, a sulfated
polysaccharide from the red seaweed, Laurencia aldingensis, including its
neutralizing effect on some toxic activities of L. muta venom. Chemical and
spectroscopic analyses showed that L. aldingensis produces sulfated agarans with
the A-units partially C-2 sulfated or 6-O-methoxylated presetting the B-units in
the cyclized (3,6-anhydro-alpha-L-galactose) or in the non-cyclized form (alpha-L
galactose). The latter is significantly substituted by sulfate groups on C-6. In
vitro and in vivo assays showed that this sulfated agaran inhibited hemolysis,
coagulation, proteolysis, edema, and hemorrhage of L. muta venom. Neutralization
of hemorrhagic activity was also observed when the agaran was administered by
different routes and after or before the venom injection. Furthermore, the agaran
blocked the edema caused by a phospholipase A2 isolated from the L. muta venom.
Experimental evidence therefore indicates that the sulfated agaran of L.
aldingensis has potential to aid antivenom therapy of accidents caused by L. muta
venom and may help to develop more effective antivenom treatments of snake bites
in general.
PMID- 27888373
TI - Combined toxic effect of airborne heavy metals on human lung cell line A549.
AB - Many studies have demonstrated that heavy metals existing as a mixture in the
atmospheric environment cause adverse effects on human health and are important
key factors of cytotoxicity; however, little investigation has been conducted on
a toxicological study of a metal mixture from atmospheric fine particulate
matter. The objective of this study was to predict the combined effects of heavy
metals in aerosol by using in vitro human cells and obtain a suitable mixture
toxicity model. Arsenic, nickel, and lead were selected for mixtures exposed to
A549 human lung cancer cells. Cell proliferation (WST-1), glutathione (GSH), and
interleukin (IL)-8 inhibition were observed and applied to the prediction models
of mixture toxicity, concentration addition (CA) and independent action (IA). The
total mixture concentrations were set by an IC10-fixed ratio of individual
toxicity to be more realistic for mortality and enzyme inhibition tests. The
results showed that the IA model was statistically closer to the observed results
than the CA model in mortality, indicating dissimilar modes of action. For the
GSH inhibition, the results predicted by the IA and CA models were highly
overestimated relative to mortality. Meanwhile, the IL-8 results were stable with
no significant change in immune reaction related to inflammation. In conclusion,
the IA model is a rapid prediction model in heavy metals mixtures; mortality, as
a total outcome of cell response, is a good tool for demonstrating the combined
toxicity rather than other biochemical responses.
PMID- 27888375
TI - HBK-14 and HBK-15 with antidepressant-like and/or memory-enhancing properties
increase serotonin levels in the hippocampus after chronic treatment in mice.
AB - 5-HT1A and 5-HT7 receptor ligands might have antidepressant-like properties and
improve cognitive function. We previously reported significant antidepressant-
and anxiolytic-like effects of two dual 5-HT1A and 5-HT7 receptor antagonists in
various behavioral tests in rodents. As a continuation of our previous
experiments, in this study we aimed to investigate whether chronic administration
of 1-[(2,6-dimethylphenoxy)ethoxyethyl]-4-(2-methoxyphenyl)piperazine
hydrochloride (HBK-14) and 1-[(2-chloro-6-methylphenoxy)ethoxyethyl]-4-(2
methoxyphenyl)piperazine hydrochloride (HBK-15) caused antidepressant-like
effects and elevated serotonin levels in the murine hippocampus. We also
evaluated cholinolytic properties and the influence of acute administration of
both compounds on cognitive function in mice. To assess antidepressant-like
properties and the influence on learning and memory we used forced swim test and
step-through passive avoidance task in mice, respectively. Both compounds showed
antidepressant-like properties and significantly elevated serotonin levels in the
hippocampus after chronic treatment (HBK-14 - 2.5 mg/kg; HBK-15 - 0.625 and 1.25
mg/kg). HBK-15 administered chronically antidepressant-like activity at lower
dose (0.625 mg/kg) than the dose active after acute treatment (1.25 mg/kg). None
of the compounds affected locomotor activity of mice. HBK-15 possessed very weak
cholinolytic properties, whereas HBK-14 did not show any effect on muscarinic
receptors. Only HBK-15 (0.625 mg/kg) presented memory-enhancing properties and
ameliorated cognitive impairments caused by scopolamine (1 mg/kg). Our results
indicate that 5-HT1A and 5-HT7 antagonists might have potential in the treatment
of depression and possess positive influence on cognitive function.
PMID- 27888377
TI - Correlation between status of epidermal growth factor receptor mutation and
distant metastases of lung adenocarcinoma upon initial diagnosis based on 1063
patients in China.
AB - The study aimed to explore the correlations between status of epidermal growth
factor receptor (EGFR) mutations and distant metastases. A total of 1063 patients
with lung adenocarcinoma indentified with status of EGFR mutations from August
2010 to May 2015 at Shanxi Cancer Hospital were enrolled. 456 patients were
confirmed with EGFR mutations. The associations among EGFR mutations, clinical
factors, and distant metastases at initial diagnosis were evaluated. Patients
harboring EGFR mutation were more likely to be female (P < 0.001), with no
smoking history (P < 0.001), brain metastases (P = 0.029), and higher ECOG
performance scores (P = 0.025). The correlation between EGFR mutation status and
distant metastases showed statistical significance both in univariate (P = 0.022)
and in multivariate analysis (OR 1.573, 95 % CI 1.202-2.059, P = 0.001)
especially in brain metastases (OR 1.675, 95 % CI 1.132-2.479, P = 0.010) and
lung metastases (OR 1.571, 59 % CI 1.101-2.243 P = 0.013). Furthermore, the 19del
mutations showed associations with brain metastases (OR 1.586, 95 % CI 1.028
2.447, P = 0.037), and lung metastases (OR 1.587, 95 % CI 1.065-2.346, P =
0.023). The exon 21 point mutations showed statistically significant differences
in liver metastases (OR 1.987, 95 % CI 1.094-3.067, P = 0.024). In conclusion,
the EGFR mutations in lung adenocarcinoma patients were independently correlated
with distant metastases. Subgroup analyses showed that patients harboring 19del
mutations presented different distant metastases compared with those harboring 21
point mutaions.
PMID- 27888380
TI - Kinetin improves motility, viability and antioxidative parameters of ram semen
during storage at refrigerator temperature.
AB - The present experiment was conducted to evaluate the effect of kinetin on ram
semen quality during cold storage. Ejaculates were collected using an artificial
vagina from five Qezel rams. Ejaculates which met the criteria (volume of 0.75-2
ml; minimum spermatozoa concentration of 2.5 * 109 spermatozoa/ml and forward
progressive motility of 80%), were pooled, diluted with extender without kinetin
(control) or enriched with 25 (K 25), 50 (K 50), 100 (K 100) and 200 (K 200) MUM
kinetin at a final concentration of 500 * 106 spermatozoa per mL. Spermatozoa
motion characteristics were evaluated by computer-assisted sperm analysis. In
addition, percent of viability (spermatozoa showing no color was considered to be
alive) and spermatozoa with intact plasma membrane (spermatozoa with
curled/swollen tail was considered healthy) were determined. Moreover, amounts of
malondialdehyde (MDA), total antioxidant activity (AOA), nitric oxide (NO) and
superoxide dismutase (SOD) activity were determined in the seminal plasma and
spermatozoa at 0, 24, 48 and 72 h of storage. Higher percent of total and forward
progressive motility was observed in K 25, K 50 and K 100 groups compared to
control group at 72 h of storage (P < 0.001). Moreover, K 25 (78.61 +/- 1.11%), K
50 (82.46 +/- 1.08%) and K 100 (82.96 +/- 1.49%) groups showed higher percentage
of viability compared to control (72.38 +/- 1.49%) at 72 h of storage (P < 0.05).
Semen enrichment with kinetin resulted in the higher percent of intact plasma
membrane of spermatozoa at 48 and 72 h (P < 0.001). Amounts of MDA were lower and
amounts of AOA were higher in K 50 and K 100 groups compared to control at 48 and
72 h (P < 0.05). There were no significant differences in NO levels and SOD
activities of seminal plasma and spermatozoa among groups during the experiment.
The present experiment revealed that kinetin at proper concentration could
enhances spermatozoa kinematics, viability, spermatozoa plasma membrane
functionality and amounts of AOA and reduces the level of lipid peroxidation
during chilled storage of ram semen.
PMID- 27888381
TI - Listening to Quackery: Reading John Wesley's Primitive Physic in an Age of Health
Care Reform.
AB - This article uses a reading of John Wesley's Primitive Physic, or An Easy and
Natural Method of Curing Most Diseases (1747) to resist the common rejection
often as "quackery"-of Wesley's treatments for common maladies. We engage Wesley
not because he was right but because his approach offers useful moments of pause
in light of contemporary medical epistemology. Wesley's recommendations were
primarily oriented towards the categories of personal responsibility and
capability, but he also sought to empower individuals-especially the poor-with
the knowledge to safely and affordably treat maladies of their own. We leverage
Primitive Physic to rethink contemporary medical knowledge production, especially
as sanctioned by randomized clinical trials and legitimate views of experience
and contemporary institutions such as the AMA. Ultimately, we suggest that the
medical humanities has a key role to play in mining the discarded and dismissed
for what they can tell scholars about medical knowledge.
PMID- 27888376
TI - Laser flare-cell photometer: principle and significance in clinical and basic
ophthalmology.
AB - A slit-lamp examination is an indispensable and essential clinical evaluation
method in ophthalmology, but, it is qualitative subjective. To complement its
weaknesses in making a quantitative evaluation of flare intensity and number of
cells in the aqueous humor in the eye, we invented the laser flare-cell
photometer in 1988. The instrument enables a non-invasive quantitative evaluation
of flare intensity and number of cells in the aqueous with good accuracy and
repeatability as well as maneuverability equal to slit-lamp microscopy. The
instrument can elucidate the pathophysiology in the blood-aqueous barrier (BAB)
function in a variety of ocular disorders. The accuracy of the instrument makes
it possible to investigate not only the pathophysiology of intraocular disorders
but also the effects of various drugs and surgical procedures in BAB. The
instrument does not only lighten the burden on patients in clinical examinations
and study but it also helps minimize the sacrifice of experimental animals and
improves the reliability of the results by minimizing inter-individual variations
through its good repeatability. Here I shall relate how the instrument has been
applied to clinical and basic studies in ophthalmology and what novel knowledge
its application contributed to pathophysiology in ophthalmology.
PMID- 27888379
TI - Medical Co-morbidities Among Patients with Severe Mental Illnesses in a Community
Health Facility in Nigeria.
AB - To examine prevalence of medical comorbidity (MCM) in schizophrenia (n = 1310)
and in bipolar disorder (n = 1307) and the association of high burden of MCM (>=3
MCM) with duration of untreated illness, number of episodes, functioning, poly
medication and lifetime hospitalization for the mental disorder. Participants
were recruited from a private psychiatric facility in Ibadan, Nigeria between
2004 and 2013 and enquiry made about the lifetime occurrence of 20 common chronic
diseases including common tropical diseases. Psychiatric diagnosis was made using
the Structured Clinical Interview for DSM IV Axis I disorder (SCID). Except for
nutritional anemia, dermatitis and intestinal Helminthiasis, patients with
schizophrenia were not at higher odds of reporting MCM than those with bipolar
disorder. DUI >=2 years, episodes of illness >=3, being on multiple neuroleptics
and history of previous hospitalization were significantly associated with high
burden of MCM in schizophrenia and episodes of illness >=3, reduced functioning
and history of previous hospitalization with bipolar disorder. Schizophrenia and
bipolar disorder are associated with high rates of medical comorbidity. Treatment
of this medical comorbidity is essential in order to improve the outcomes for
patients with bipolar disorder and schizophrenia.
PMID- 27888378
TI - Interactive Effect of Immigration-Related Factors with Legal and Discrimination
Acculturative Stress in Predicting Depression Among Asian American Immigrants.
AB - This study examined the impact of discrimination and legal acculturative stress
on Major Depression Episode lifetime among Asian American immigrants. It further
examined the role of immigration related-factors (age at immigration, reason for
immigration, and years spent in the U.S.) on the relationship of acculturative
stress and Major Depression Episode lifetime. The National Latino and Asian
American Study 2002-2003 dataset was used. The study findings were: (1) high
discrimination and legal acculturative stress were associated with Major
Depression Episode lifetime; (2) age at immigration buffered the relationship of
discrimination acculturative stress and Major Depression Episode lifetime as well
as the relationship of legal acculturative stress and Major Depression Episode
lifetime; and (3) years spent in the U.S. buffered the relationship of
discrimination acculturative stress and Major Depression Episode lifetime only.
These findings highlight the complex relationship of factors that impact the
mental health of the Asian American immigrants.
PMID- 27888382
TI - "Say Your Favorite Poet in the World is Lying There": Eileen Myles, James
Schuyler, and the Queer Intimacies of Care.
AB - This article closely reads "Chelsea Girls," an autobiographical short story by
Eileen Myles that depicts her experience caring for the diabetic, bipolar poet
James Schuyler when she was a young writer getting started in East Village in the
late 1970s. Their dependency relationship is a form of queer kinship, an early
version of the caring relations between lesbians and gay men that HIV/AIDS would
demand over the next two decades as chosen families emerged to nurture gay men
and lesbians rejected by their families of origin. The representation of queer
kinship offers an alternative to more traditional portrayals of care in
literature that focus on the heteronormative family, a site of care that feminist
dependency theory also paradoxically privileges. This article synthesizes
insights from queer theory and critical disability studies in order to expand our
understanding of the roles participants in care can play, the ways they can feel,
and the outcomes they can achieve. Myles and Schuyler's dependency relationship
was sustaining for both of them and also critical for her development as a
pioneering lesbian poet in an art world still dominated by men.
PMID- 27888383
TI - Relationships between blood mercury levels, reproduction, and return rate in a
small seabird.
AB - Mercury (Hg) is a ubiquitous heavy metal that occurs naturally in the
environment, but its levels have been supplemented for decades by a variety of
human activities. Mercury can have serious deleterious effects on a variety of
organisms, with top predators being particularly susceptible because
methylmercury bioaccumulates and biomagnifies in food webs. Among birds, seabirds
can have especially high levels of Hg contamination and Leach's storm-petrels
(Oceanodroma leucorhoa), in particular, have amongst the highest known levels.
Several populations of Leach's storm-petrels have declined recently in the
Northwest Atlantic. The causes of these declines remain uncertain, but the toxic
effects of Hg could be a potential factor in this decline. Here, we tested for
relationships between adult blood total Hg (THg) concentration and several
offspring development parameters, and adult return rate of Leach's storm-petrels
breeding on Bon Portage Island (43 degrees 28' N, 65 degrees 44' W), Nova
Scotia, Canada, between 2011 and 2015 (blood samples n = 20, 36, 6, 15, and 13
for each year, respectively). Overall, THg levels were elevated (0.78 +/- 0.43
MUg/g wet wt.) compared to other species of seabirds in this region, and varied
significantly among years. However, we found no associations between THg levels
and reproductive parameters or adult return rate. Our results indicate that
levels of mercury observed in Leach's storm-petrel blood, although elevated,
appear not to adversely affect their offspring development or adult return rate
on Bon Portage Island.
PMID- 27888384
TI - Exploiting histone deacetylases for cancer therapy: from hematological
malignancies to solid tumors.
PMID- 27888385
TI - Targeting SPOP with small molecules provides a novel strategy for kidney cancer
therapy.
PMID- 27888387
TI - Incubation experiment demonstrates effects of carbon and nitrogen on microbial
phosphate-solubilizing function.
PMID- 27888386
TI - Innate recognition of microbial-derived signals in immunity and inflammation.
AB - Microbes generate a vast array of different types of conserved structural
components called pathogen-associated molecular patterns (PAMPs), which can be
recognized by cells of the innate immune system. This recognition of "nonself"
signatures occurs through host pattern recognition receptors (PRRs), suggesting
that microbial-derived signals are good targets for innate immunity to
discriminate between self- and nonself. Such PAMP-PRR interactions trigger
multiple but distinct downstream signaling cascades, subsequently leading to
production of proinflammatory cytokines and interferons that tailor immune
responses to particular microbes. Aberrant PRR signals have been associated with
various inflammatory diseases and fine regulation of PRR signaling is essential
for avoiding excessive inflammatory immune responses and maintaining immune
homeostasis. In this review we summarize the ligands and signal transduction
pathways of PRRs and highlight recent progress of the mechanisms involved in
microbe-specific innate immune recognition during immune responses and
inflammation, which may provide new targets for therapeutic intervention to the
inflammatory disorders.
PMID- 27888388
TI - Cardiac BIN1 (cBIN1) is a regulator of cardiac contractile function and an
emerging biomarker of heart muscle health.
AB - In recent decades, a cardiomyocyte membrane scaffolding protein bridging
integrator 1 (BIN1) has emerged as a critical multifunctional regulator of
transverse-tubule (t-tubule) function and calcium signaling in cardiomyocytes.
Encoded by a single gene with 20 exons that are alternatively spliced, more than
ten BIN1 protein isoforms are expressed with tissue and disease specificity. The
recently discovered cardiac alternatively spliced isoform BIN1 (cBIN1 or
BIN1+13+17)plays a crucial role in organizing membrane microfolds within cardiac
t-tubules. These cBIN1-induced microfolds form functional dyad microdomains by
trafficking L-type calcium channels (LTCC) to t-tubule membrane and recruiting
ryanodine receptors (RyR) to junctional sarcoplasmic reticulum membrane. When
cBIN1 is transcriptionally reduced as occurs in heart failure, cBIN1-microfolds
are disrupted and fail to form LTCC and RyR couplons. As a result, impaired dyad
formation limits excitation-contraction coupling thus cardiac contractility, and
accumulation of orphaned leaky RyRs outside of dyads increases ventricular
arrhythmias. Reduced myocardial BIN1 in heart failure is also detectable at the
blood level, and plasma BIN1 level predicts heart failure progression and future
arrhythmias in cardiomyopathy patients. Here we will review the recent progress
in BIN1-related cardiomyocyte biology studies and discuss the diagnostic and
predictive values of cBIN1 in future clinical use.
PMID- 27888389
TI - Analysis of Carcinogenic Heavy Metals in Gallstones and its Role in Gallbladder
Carcinogenesis.
AB - PURPOSE: Gallstone is a high-risk factor for gallbladder pre-malignancy or
malignancy (GB PM-M) but which substances of gallstones definitely assist to turn
out in to GB PM-M, remains unclear. This study aimed to find out the presence of
carcinogenic heavy metals in gallstones and to explore the aetiopathogenesis of
gallbladder pre-malignancy and malignancy. METHODS: Presence of elements in
gallstones was detected by energy dispersive X-ray spectroscopy (EDS) with
scanning electron microscopy (SEM) and then level of carcinogenic heavy metals
was estimated in gallstones using atomic absorption spectroscopy (AAS). The
experiment was carried out in gallstone samples of 46 patients with gallbladder
pre-malignant and malignant condition (PM-M group) and 65 sex and age-matched
patients with chronic cholecystitis (C-C group). Gallstones were also classified
in to three types such as cholesterol stone, mixed stone, and black pigment
stone. RESULTS: EDS analysis detected presence of mercury, lead, and cobalt
elements in all types of gallstones of both PM-M and C-C groups. AAS analysis
revealed significantly higher amount of mercury (p < 0.001), lead (p < 0.0001),
cobalt (p < 0.01), and cadmium (p < 0.01) in the gallstones of PM-M than C-C
groups. The presence of these heavy metals also varied among stone types of both
groups. EDS phase analysis showed 'dense deposits' of these metals in gallstones.
CONCLUSIONS: Presence of significantly higher amount of mercury, lead, cobalt,
and cadmium in gallstones may play a pivotal role as risk factors in the
development of gallbladder malignancy or pre-malignancy. 'Dense deposits' of
these metals in the gallstones which is the first observation, may act as crucial
doses of carcinogens.
PMID- 27888390
TI - What Do We Need to Know About Colonic Polypoid Ganglioneuroma? A Case Report and
A Comprehensive Review.
PMID- 27888391
TI - Small Bowel Adenocarcinoma in Celiac Disease: a Case Report.
PMID- 27888393
TI - Erratum to: Associations between adult attachment and: oral health-related
quality of life, oral health behaviour, and self-rated oral health.
PMID- 27888392
TI - Factors associated with the quality of life of mothers of preterm infants with
very low birth weight: a 3-year follow-up study.
AB - AIM: To analyze possible factors associated with the quality of life (QoL) of
mothers of preterm infants with very low birth weight (VLBW) during the first 3
years after delivery. METHODS: The World Health Organization Quality of Life
(WHOQOL)-bref scores were compared and correlated with maternal and infant
related sociodemographic and clinical variables at maternal discharge (T0) and at
6 (T1), 12 (T2), 24 (T3), and 36 (T4) months after delivery. Multiple linear
regression models were fitted to investigate the effect of these variables on the
mothers' QoL. RESULTS: The WHOQOL-BREF physical domain scores were higher at T1
and T2 compared to T0 (p = 0.013). Maternal variables that contributed to
maternal QoL scores (p < 0.05) were stable marital union (b = 13.60; T0), family
income (b = -12.75; T3), Evangelical religion (b = 8.11; T4), and beck depression
inventory (BDI) score (-1.42 <= b <= -0.36; T0, T1, T2, T3, and T4). Infants'
variables that most affected maternal QoL (p < 0.05) were posthemorrhagic
hydrocephalus (PHH) (-18.84 <= b <= -10.05; T1, T2, and T4), bronchopulmonary
dysplasia (BPD) (b = -7.41; T2), female gender (b = 8.09; T2), and SNAPPE
severity score (b = -0.23; T3). CONCLUSION: Mothers of preterm infants with VLBW
exhibited transient improvements in physical well-being during the first year
after delivery. The presence of depressive symptoms in mothers and the diagnosis
of PHH or BPD were negatively associated with QoL. Social, religious, and
economic aspects were also important factors for the QoL of mothers of preterm
infants with VLBW.
PMID- 27888394
TI - Comparative evaluation of hormonal protocol on the performance of crossbred
cattle.
AB - A total of 60 animals (38 cows, 22 heifers) were selected and were divided into
three groups of 20 animals each (containing both anoestrus and repeat breeder) in
which treatment was performed for 60 days. Group I: control (farmer practice), T1
group: group I + hormone (double synch), and T2 group: group I + hormone (Estra
double synch). The growth performances were measured in terms of body weight and
average daily gain (ADG). Blood collection was done at the start and end of the
experiment for assessment of blood biochemical, hematological, and reproductive
status of the animals. Results revealed significant improvement in growth and
reproductive performances in treatment group as compared to control group. Higher
percentage of conception was achieved in group III (60%) followed by group II
(55%). The least percentage was in group I (15%), i.e., in control group. So it
was found that the effect of treating the reproductive-disordered animals with
Estra double synch gave comparatively better result than double synch hormonal
application.
PMID- 27888395
TI - Utility of FVC/DLCO ratio to stratify the risk of mortality in unselected
subjects with pulmonary hypertension.
AB - In patients with systemic sclerosis, a ratio between forced vital capacity (FVC)
and diffusing capacity of carbon monoxide (DLCO, FCV%/DLCO%) >1.5 might be a
predictor of pulmonary hypertension (PH). The aim of this study is, therefore, to
evaluate whether this index can be used in patients with PH, regardless of
etiology. 83 consecutive outpatients with suspected PH at non-invasive work-up
underwent spirometry and DLCO test before right heart catheterization (RHC);
FVC%/DLCO% ratio was then calculated and compared with mean pulmonary-artery
pressure (mPAP) and mortality at 5-year follow-up. Significant correlations
between FVC%/DLCO% and PAsP and mPAP levels were found (p < 0.05). After ROC
curve analysis and definition of best cut-off values for PAsP and FVC%/DLCO%,
increased mPAP values at RHC were observed comparing subjects with both PAsP and
FVC%/DLCO% values below cut off values (-/-), either PAsP or FVC%/DLCO% above cut
off values (+/-), or both above (+/+) (p < 0.05). Poorer survival rates are
observed at follow-up with higher FVC%/DLCO% values (0% for <1, 17.4% for 1-3,
33.3% for >3, p < 0.05), when comparing subjects with either increased PAsP and
FVC%/DLCO% values or both with those with lower (log-rank p < 0.05). Even in
subjects with mPAP at RHC >25 mmHg, increased FVC%/DLCO% values predicted a worse
outcome (p < 0.05). FVC%/DLCO% values are related to mPAP in subjects with
suspected PH, and may further stratify the risk of mortality in addition to PAP.
PMID- 27888396
TI - Effects of Administered Ethanol and Methamphetamine on Glial Glutamate
Transporters in Rat Striatum and Hippocampus.
AB - Exposure to ethanol (EtOH) or methamphetamine (MA) can lead to increase in
extracellular glutamate concentration in the brain. Although studies from ours
showed the effects of EtOH exposure on key glial glutamate transporters, little
is known about the effects of sequential exposure to EtOH and MA or MA alone on
certain glial glutamate transporters. In this study, we investigated the effects
of sequential exposure to EtOH and MA on the expression of the major glutamate
transporters, glutamate transporter 1 (GLT-1), as well as cystine/glutamate
antiporter (xCT) and glutamate aspartate transporter (GLAST) in striatum and
hippocampus. We also tested the effects of ceftriaxone (CEF), known to upregulate
GLT-1, in animals administered EtOH and MA. Wistar rats were orally gavaged with
EtOH (6 g/kg) or water for 7 days. On the following day (day 8), the rats
received four intraperitoneal (i.p.) injections of MA (10 mg/kg) or saline
(vehicle) occurring every 2 h. The rats were then treated with CEF (200
mg/kg/day, i.p.) or saline on days 8, 9, and 10. EtOH or MA exposure caused a
significant downregulation of GLT-1 expression as compared to control groups in
striatum and hippocampus. Furthermore, sequential exposure of EtOH and MA caused
a significant downregulation of GLT-1 expression as compared to either drug
administered alone in both brain regions. Importantly, GLT-1 expression was
restored following CEF treatment. There were no significant differences on xCT
and GLAST expression in striatum and hippocampus between all groups. These
findings demonstrated that sequential exposure to EtOH and MA has additive effect
in downregulation of GLT-1 and this effect can be attenuated by CEF treatment.
PMID- 27888397
TI - Synaptosome-Associated Protein 25 (SNAP25) Gene Association Analysis Revealed
Risk Variants for ASD, in Iranian Population.
AB - Autism spectrum disorder (ASD) is a common, complex neurological condition,
affecting approximately 1% of people worldwide. Monogenic neurodevelopmental
disorders which showed autistic behavior patterns have suggested synaptic
dysfunction, as a key mechanism in the pathophysiology of ASD. Subsequently,
genes involved in synaptic signaling have been investigated with a priority for
candidate gene studies. A synaptosomal-associated protein 25 (SNAP25) gene plays
a crucial role in the central nervous system, contributing to exocytosis by
targeting and fusion of vesicles to the cell membrane. Studies have shown a
correlation between aberrant expression of the SNAP25 and a variety of brain
diseases. Single nucleotide polymorphisms (SNPs) in this gene are associated with
several psychiatric diseases, such as bipolar, schizophrenia, and attention
deficit/hyperactivity disorder. The aim of the present study was to investigate
whether polymorphisms (rs3746544 and rs1051312) in the regulatory 3'-untranslated
region (3'UTR) of the SNAP25 gene have an association with ASD in unrelated
Iranian case (N = 524)-control (N = 472) samples. We observed robust association
of the rs3746544 SNP and ASD patients, in both allele and haplotype-based
analyses. Our results supported the previous observations and indicated a
possible role for SNAP25 polymorphisms as susceptibility genetic factors involved
in developing ASD.
PMID- 27888398
TI - The Value of the Electrocardiogram for Evaluating Prognosis in Patients with
Idiopathic Pulmonary Arterial Hypertension.
AB - BACKGROUND: Association between electrocardiography (ECG) features and right
ventricular anatomy and physiology has been established. This study is aimed to
identify the value of 12-lead ECG in evaluating prognosis of patients with
idiopathic pulmonary arterial hypertension (IPAH). METHOD: 194 patients with
newly diagnosed IPAH were included in this study. Correlations between
electrocardiography variables and hemodynamics were assessed. Univariate and
multivariable cox regression analysis were performed to identify ECG variables
for predicting all-cause mortality in IPAH. RESULTS: Partial correlation analysis
showed that P wave amplitude in lead II correlated with the mean pulmonary
arterial pressure (mPAP, r = 0.349, p <= 0.001) and cardiac index (CI, r =
0.224, p = 0.002); R wave amplitude in V1 correlated with mPAP (r = 0.359, p <=
0.001); S wave amplitude in V6 correlated with mPAP (r = 0.259, p = 0.030) and CI
(r = -0.220, p = 0.003). P wave amplitude in lead II (HR 1.555, p = 0.033) and R
wave amplitude in lead aVR (HR 5.058, p < 0.001) were the independent predictors
of all-cause mortality. Kaplan-Meier survival curves showed patients with a p >=
0.25 mv in lead II, and R >= 0.4 mv in lead aVR had lower 3-year survival (55 vs.
91%, p < 0.001). CONCLUSION: Specific lead-12 ECG features could reflect right
ventricular overload hemodynamics, and are useful to evaluate prognosis of
patients with IPAH.
PMID- 27888399
TI - Effectiveness and Safety of Bariatric Surgery in the Public Healthcare System in
Brazil: Real-World Evidence from a High-Volume Obesity Surgery Center.
AB - BACKGROUND: This study aimed to evaluate the waiting time, safety, and
effectiveness of bariatric surgery based on real-world data. METHODS: This is a
noninterventional, noncomparative, and retrospective study with 300 morbidly
obese patients who had undergone open Roux-en-Y surgery. RESULTS: The procedure
was found to be very safe, with low rates of overall complications (10.7%).
Approximately 48.4% of the patients had reached a BMI <30 mg/kg2 at 12 months
after surgery, while 6% were still classified as morbidly obese (BMI >40 mg/kg2).
Comorbidity resolution was over 90% for all conditions, except for cardiovascular
disease, which showed a 40% resolution. The mean number of drugs taken also
decreased at 12 months after surgery. CONCLUSIONS: Bariatric surgery was found to
be effective in weight reduction and in the resolution of comorbidities.
PMID- 27888400
TI - Repression of CDKN2C caused by PML/RARalpha binding promotes the proliferation
and differentiation block in acute promyelocytic leukemia.
AB - Inappropriate cell proliferation during oncogenesis is often accompanied by
inactivation of components involved in the cell cycle machinery. Here, we report
that cyclin-dependent kinase inhibitor 2C (CDKN2C) as a member of the cyclin
dependent kinase inhibitors is a target of the PML/RARalpha oncofusion protein in
leukemogenesis of acute promyelocytic leukemia (APL).We found that CDKN2C was
markedly downregulated in APL blasts compared with normal promyelocytes.
Chromatin immunoprecipitation combined with quantitative polymerase chain
reaction demonstrated that PML/RARalpha directly bound to the CDKN2C promoter in
the APL patient-derived cell line NB4. Luciferase assays indicated that
PML/RARalpha inhibited the CDKN2C promoter activity in a dose-dependent manner.
Furthermore, all-trans retinoic acid treatment induced CDKN2C expression by
releasing the PML/RARalpha binding on chromatin in NB4 cells. Functional studies
showed that ectopic expression of CDKN2C induced a cell cycle arrest at the G0/G1
phase and a partial differentiation in NB4 cells. Finally, the transcriptional
regulation of CDKN2C was validated in primary APL patient samples. Collectively,
this study highlights the importance of CDKN2C inactivation in the abnormal cell
cycle progression and differentiation block of APL cells and may provide new
insights into the study of pathogenesis and targeted therapy of APL.
PMID- 27888401
TI - The impact of chronic hepatitis C infection on cholesterol metabolism in PBMCs is
associated with microRNA-146a expression.
AB - Chronic hepatitis C (CHC) infection is known to induce important changes in host
cholesterol metabolism. MicroRNAs (miRNAs) regulate the expression of many genes
and, in consequence, control various processes, including human metabolism and
response to viral infection. Recently, the alteration of the immune-associated
miR-146a, which is abundantly present in peripheral blood mononuclear cells
(PBMCs), was found in some viral infections. The study aimed to analyse the
influence of hepatitis C virus (HCV) infection on miR-146a expression in PBMCs in
vivo and in vitro, as well as to assess the possible impact of miR-146a
alteration on the intracellular cholesterol level in PBMCs. Blood samples
collected from 42 healthy donors and 72 CHC patients were the source of
materials. HCV RNA, intracellular cholesterol level and miR-146a expression were
determined in PBMCs, as well as HCV genotype and interferon (IFN)alpha
concentration in sera. The influence of miR-146a inhibition on cholesterol
expression in PBMCs was analysed in vitro after transient cell transfections with
mirVanaTM anti-miR-146a Inhibitor. Our data demonstrated an alteration of miR
146a and intracellular cholesterol expression in PBMCs and of IFNalpha
concentration in sera of genotype 1, HCV-infected patients compared to the
healthy donors. Also, in cultured PBMCs, miR-146a expression and intracellular
cholesterol level were significantly decreased in CHC patients compared to the
healthy donors. In vitro blockage of miR-146a expression in PBMCs of CHC patients
greatly impaired intracellular cholesterol expression. In these conditions, miR
146a expression was positively correlated with the intracellular cholesterol
level. These results suggest that genotype 1 HCV infection may alter miR-146a
expression in PBMCs and, consequently, contribute to the observed dysregulation
of cholesterol synthesis.
PMID- 27888402
TI - Infectious encephalitis: utility of a rational approach to aetiological diagnosis
in daily clinical practice.
AB - In this study we attempt to assess the utility of a simplified step-wise
diagnostic algorithm to determinate the aetiology of encephalitis in daily
clinical practice and to describe the main causes in our setting. This was a
prospective cohort study of all consecutive cases of encephalitis in adult
patients diagnosed between January 2010 and March 2015 at the University Hospital
Vall d'Hebron in Barcelona, Spain. The aetiological study was carried out
following the proposed step-wise algorithm. The proportion of aetiological
diagnoses achieved in each step was analysed. Data from 97 patients with
encephalitis were assessed. Following a simplified step-wise algorithm, a
definite diagnosis was made in the first step in 53 patients (55 %) and in 12
additional cases (12 %) in the second step. Overall, a definite or probable
aetiological diagnosis was achieved in 78 % of the cases. Herpes virus, L.
monocytogenes and M. tuberculosis were the leading causative agents demonstrated,
whereas less frequent aetiologies were observed, mainly in immunosuppressed
patients. The overall related mortality was 13.4 %. According to our experience,
the leading and treatable causes of encephalitis can be identified in a first
diagnostic step with limited microbiological studies. L. monocytogenes treatment
should be considered on arrival in some patients. Additional diagnostic effort
should be made in immunosuppressed patients.
PMID- 27888403
TI - The predictor of mortality outcome in adult patients with Ebola virus disease
during the 2014-2015 outbreak in Guinea.
AB - The purpose of this study was to examine the association of any demographic and
clinical factors with mortality outcome among adult patients with Ebola virus
disease (EVD) in Guinea. This retrospective observational study analyzed medical
records of laboratory confirmed EVD adult patients during the 2014-2015 EVD
outbreak in Guinea. The associations between any demographic or clinical
variables and mortality outcome of EVD were assessed using univariate and
multivariate logistic regression analyses. Of 2,310 EVD adult patients included
for analysis, the overall case fatality rate was 68.1%. Univariate analyses
identified factors possibly associated with mortality outcome, including patient
age (p < 0.001), history of visiting or close contact with a suspected or
confirmed EVD patient (p = 0.035), and seven clinical symptoms on admission,
i.e., fever (p = 0.003), hiccups (p < 0.001), vomiting (p = 0.003), diarrhea (p <
0.001), cough (p = 0.001), sore throat (p = 0.016), and unexplained bleeding (p =
0.021). The multivariate analysis showed that patient age was independently
associated with mortality outcome of EVD (OR = 1.06; 95%CI = 1.03-1.09; p <
0.001), while none the of clinical symptoms on admission were significantly
associated with the mortality outcome. Our analysis indicates that older age was
the only independent factor associated with death among EVD adult patients in
Guinea. This suggests that older EVD patients should receive intensive medical
care and be carefully monitored.
PMID- 27888404
TI - Ab initio and density functional theory (DFT) studies on triflic acid with water
and protonated water clusters.
AB - The structure, stability and infrared spectral signatures of triflic acid (TA)
with water clusters (Wn) and protonated water clusters (TAH+Wn, n = 1 - 6) were
computed using DFT and MP2 methods. Our calculations show that a minimum of three
water molecules are necessary to stabilize the dissociated zwitterionic form of
TA. It can be seen from the results that there is no significant movement of
protons in smaller (n = 1 and 2) and linear (n = 1 - 6) types of water clusters.
Further, the geometries of TAWn clusters first form a neutral pair (NP) to
contact ion pair (CIP), then form a solvent separated ion pair (SSIP) in a water
hexamer. These findings reveal that proton transfer may take place through NP to
CIP and then CIP to SSIP. The calculated binding energies (BEs) of ion pair
clusters is always higher than that of NP clusters (i.e., more stable than the
NP). Existing excess proton linear chain clusters transfer a proton to adjacent
water molecules via a Grotthuss mechanism, whereas the same isomers in the
branched motifs do not conduct protons. Examination of geometrical parameters and
infrared frequencies reveals hydronium ion (H3O+ also called Eigen cation)
formation in both TAWn and protonated TAWn clusters. The stability of Eigen water
clusters is three times higher than that of other non-Eigen water clusters. Our
study shows clearly that formation of ion pairs in TAWn and TAH+Wn clusters
greatly favors proton transfer to neighboring water molecules and also enhances
the stability of these complexes.
PMID- 27888406
TI - Rotovibrational states of the water molecule on the sun.
AB - The infrared spectrum of water observed in sunspots is complex and dense, with
bands separated by approximately 0.01 cm-1. For top asymmetrical molecules, there
is no theoretical approach that allows for the calculation of rotovibrational
energy with such precision. Experimentally derived rotovibracional energy levels
of water at high temperatures combined with variational calculations have been
used for the band assignments. These energy levels are employed to refine the
analysis of a small portion of the infrared absorption spectrum. Such procedure
has allowed for the identification of additional 55 bands to the 70 already
identified as rotovibrational transitions of the water molecule. Our new
assignments, which include pure and cross transitions, offer additional evidence
of the existence of water on the sun, but above all they illustrate the
complexity of the solar spectrum that involves states with higher levels of
rotational excitation. Given the conditions on the sun, more molecules of water
would occur in excited electronic states, which include apolar and paramagnetic
states, generating intense bands in the spectrum. Since there is an analytical
solution for the rotovibrational transitions of linear molecules, we were able to
identify 16 bands relative to the excited electronic states 1B2 and 3A1 in the
sunspot spectrum. Density functional B3LYP/AUG-cc-pVTZ calculations of the
electric and magnetic dipole are employed to discuss some consequences of the
presence of excited states of water in the dynamics of sunspots and solar
magnetic field.
PMID- 27888405
TI - Characterization of B-H agostic compounds involved in the dehydrogenation of
amine-boranes by group 4 metallocenes.
AB - For over a decade, amine-borane has been considered as a potential chemical
hydrogen vector in the context of a search for cleaner energy sources. When
catalyzed by organometallic complexes, the reaction mechanisms currently
considered involve the formation of beta-BH agostic intermediates. A thorough
understanding of these intermediates may constitute a crucial step toward the
identification of ideal catalysts. Topological approaches such as QTAIM and ELF
revealed to be particularly suitable for the description of beta-agostic
interactions. When studying model catalysts, accurate theoretical calculations
may be carried out. However, for a comparison with experimental data,
calculations should also be carried out on large organo-metallic species, often
including transition metals belonging to the second or the third row. In such a
case, DFT methods are particularly attractive. Unfortunately, triple-zeta all
electrons basis sets are not easily available for heavy transition metal
elements. Thus, a subtle balance should be reached between the affordable level
of calculations and the required accuracy of the electronic description of the
systems. Herein we propose the use of B3LYP functional in combination with the
LanL2DZ pseudopotential for the metal atom and 6-311++G(2d,2p) basis set for the
other atoms, followed by a single point using the DKH2 relativistic Hamiltonian
in combination with the B3LYP/DZP-DKH level, as a "minimum level of theory"
leading to a consistent topological description of the interaction within the ELF
and QTAIM framework, in the context of isolated (gas-phase) group 4 metallocene
catalysts.
PMID- 27888407
TI - Targeting the rhesus macaque TRIM5alpha gene to enhance the susceptibility of
CD4+ T cells to HIV-1 infection.
AB - The host range of human immunodeficiency virus type 1 (HIV-1) is extremely
narrow, which has hampered the establishment of non-human primate models for HIV
1 infection. The species-specific innate immune factor tripartite motif 5 alpha
(TRIM5alpha) is a key molecule that confers potent resistance against HIV-1
infection. In this study, we targeted the TRIM5alpha gene of rhesus macaques
(rhTRIM5alpha) using the transcription activator-like effector nuclease (TALEN)
to study the effect on HIV-1 infection. CD4+ T cells were separated from the
peripheral blood of rhesus macaques by magnetic cell sorting, and the positive
rate was greater than 99%. TALEN plasmids targeting rhTRIM5alpha were constructed
and introduced into CD4+ T cells by electroporation, with a transfection
efficiency of approximately 25%. The genome of the targeted cells was extracted,
and the target efficiency was analyzed by T7E1 enzyme digestion. After sorting
the positive transductants, the TALENs induced rhTRIM5alpha mutations at a rate
of more than 40%. The ability of the HIV-1 virus to infect the targeted cells was
demonstrated by ELISA. The results showed that targeting rhTRIM5alpha enhanced
the susceptibility to HIV-1 infection. This finding will pave the way for further
establishment of a new rhesus macaque model for HIV-1 studies.
PMID- 27888408
TI - Extra-intestinal detection of canine kobuvirus in a puppy from Southern Brazil.
AB - This study presents the pathological, immunohistochemical, and molecular findings
associated with the extra-intestinal detection of canine kobuvirus (CaKV) in a 5
month-old Chihuahua puppy, that had a clinical history of bloody-tinged feces.
Principal pathological findings were interstitial pneumonia, necrotizing
bronchitis, and parvovirus-induced enteritis. Molecular diagnostic methods
identified CaKV within the cerebellum, cerebrum, lung, tonsil, and liver. CaKV
and rotavirus were not identified within the feces and intestine.
Immunohistochemistry (IHC) assays detected antigens of CDV and CAdV-1 in the
lungs. These results confirmed the extra-intestinal detection of CaKV in this
puppy and represent the first extra-intestinal detection of CaKV in a dog.
PMID- 27888409
TI - Duplications in the 3' termini of three segments of Fusarium graminearum virus
China 9.
AB - The hypovirulence-inducing Fusarium graminearum virus China 9 (FgV-ch9) was
described recently and is closely related to the Fusarium graminearum mycovirus-2
(FgV2). Both viruses share common properties of viruses belonging to the family
Chrysoviridae. Re-sequencing of FgV-ch9 revealed duplications of the 3' non
coding regions of segments 2 and 3. Both duplications are arranged in a head-to
tail array, are attached to the complete terminus, and do not affect the encoded
gene. An internal duplication was found in segment 5. This duplication resulted
in an increase in the size of the encoded protein. In silico analysis showed
similar duplications in segments 2 and 3 of FgV2.
PMID- 27888410
TI - Genome sequence, prevalence and quantification of the first iflavirus identified
in a phytoplasma insect vector.
AB - The leafhopper Euscelidius variegatus is a natural vector of chrysanthemum
yellows phytoplasma (CY) and an efficient vector of flavescence doree phytoplasma
(FD) under laboratory conditions. During a transcriptome sequencing (RNA-seq)
project aimed at investigating the interactions between the insect and the two
phytoplasmas, a 10,616-nucleotide-long contig with high sequence similarity to
known picorna-like viruses was identified among the assembled insect transcripts.
The discovery came totally unexpected, because insects from the laboratory colony
did not show any evident symptom that could be related to the presence of a
virus. The amino acid sequence, the shape and size of viral particles, and the
results of phylogenetic analysis suggest that this virus, named Euscelidius
variegatus virus 1 (EVV-1), can be considered a new member of a new species in
the genus Iflavirus. EVV-1 was detected in all of the tested insects from the
laboratory colony used for RNA-seq, both in phytoplasma-exposed and in non
exposed insects, but the viral load measured in FD-exposed samples was
significantly lower than that in non-exposed insects. This result suggests the
possible existence of an intriguing cross-talk among insects, endogenous
bacteria, and viruses. The identification of two other E. variegatus laboratory
colonies that were free of EVV-1 could represent the key to addressing some basic
virological issues, e.g., viral replication and transmission mechanisms, and
offer the opportunity to use infectious clones to express heterologous genes in
the leafhopper and manipulate the expression of endogenous genes by promoting
virus-induced gene silencing.
PMID- 27888411
TI - To screen or not to screen for vesicoureteral reflux in children with
ureteropelvic junction obstruction: a systematic review.
AB - : Ureteropelvic junction obstruction (UPJO) and vesicoureteral reflux (VUR) are
the most common uropathies. The co-occurrence of both anomalies has led to the
practice of screening for VUR in children with UPJO to prevent deterioration of
kidney function due to renal scarring following urinary tract infections (UTIs).
We determined the prevalence of VUR in children with UPJO for a critical
assessment of VUR screening by voiding cystourethrography (VCUG). A systematic
search strategy in MEDLINE, EMBASE, and CENTRAL was carried out for all articles
that included VCUG, and renal scintigraphy or any other appropriate imaging
technique for the diagnosis of UPJO. Twenty studies were eligible for inclusion.
We found a pooled prevalence for VUR of 8.2 % (95 % CI = 3.6-12.7), about a
threefold increase compared to the general pediatric population. VUR occurred
bilateral or contralateral to the kidney with UPJO in 5.7 % (95 % CI = 3.0-8.5),
equivalent to 75 % of all children with VUR. Considering the effect size of VUR
treatment with antibiotics, about 207 and 278 children would need to undergo VCUG
to prevent one febrile UTI and one case of renal scarring by 1-2 years,
respectively. CONCLUSION: Against this background, screening for VUR needs to be
scrutinized and restricted to selected risk groups. What is known: * Screening of
patients with ureteropelvic junction obstruction (UPJO) for vesicoureteral reflux
(VUR) is recommended based on a small number of repeatedly cited studies. * The
lack of conclusive evidence results in different treatment strategies and leads
to difficulties when communicating diagnoses and treatment options to parents.
What is new: * A robust prevalence for VUR in children with UPJO based on all
published evidence and the resulting number needed to screen are given for
decision-making in daily clinical practice. * The results may be a precursor for
implementation into guidelines.
PMID- 27888412
TI - Diabetes screening in overweight and obese children and adolescents: choosing the
right test.
AB - : Type 2 diabetes can occur without any symptoms, and health problems associated
with the disease are serious. Screening tests allowing an early diagnosis are
desirable. However, optimal screening tests for diabetes in obese youth are
discussed controversially. We performed an observational multicenter analysis
including 4848 (2668 female) overweight and obese children aged 7 to 17 years
without previously known diabetes. Using HbA1c and OGTT as diagnostic criteria,
2.4% (n = 115, 55 female) could be classified as having diabetes. Within this
group, 68.7% had HbA1c levels >=48 mmol/mol (>=6.5%). FPG >=126 mg/dl (>=7.0
mmol/l) and/or 2-h glucose levels >=200 mg/dl (>=11.1 mmol/l) were found in
46.1%. Out of the 115 cases fulfilling the OGTT and/or HbA1c criteria for
diabetes, diabetes was confirmed in 43.5%. For FPG, the ROC analysis revealed an
optimal threshold of 98 mg/dl (5.4 mmol/l) (sensitivity 70%, specificity 88%).
For HbA1c, the best cut-off value was 42 mmol/mol (6.0%) (sensitivity 94%,
specificity 93%). CONCLUSIONS: HbA1c seems to be more reliable than OGTT for
diabetes screening in overweight and obese children and adolescents. The optimal
HbA1c threshold for identifying patients with diabetes was found to be 42
mmol/mol (6.0%). What is Known: * The prevalence of obesity is increasing and
health problems related to type 2 DM can be serious. However, an optimal
screening test for diabetes in obese youth seems to be controversial in the
literature. What is New: * In our study, the ROC analysis revealed for FPG an
optimal threshold of 98 mg/dl (5.4 mmol/l, sensitivity 70%, specificity 88%) and
for HbA1c a best cut-off value of 42 mmol/mol (6.0%, sensitivity 94%, specificity
93%) to detect diabetes. Thus, in overweight and obese children and adolescents,
HbA1c seems to be a more reliable screening tool than OGTT.
PMID- 27888414
TI - Structural brain abnormalities in patients with vestibular migraine.
AB - New advances in understanding the pathophysiology of vestibular migraine (VM)
have suggested a large overlap between migraine and vestibular pathways. We
explored the regional distribution of gray (GM) and white matter (WM)
abnormalities in VM patients in comparison to migraine patients with (MWA) and
without aura (MWoA) and their correlations with patients' clinical
manifestations. Using a 3.0 Tesla scanner, brain T2-weighted and 3D T1-weighted
MRI scans were acquired from 19 VM, 19 MWA, 19 MWoA and 20 age-matched controls.
GM and WM volumetric abnormalities were estimated using voxel-based morphometry
(SPM12). Compared to controls, migraine patients had decreased GM volume of the
left cerebellum and an increased GM volume of the left temporal lobe. VM patients
had a selective GM volume increase of frontal and occipital regions compared to
controls and the other two groups of migraineurs and no regions with decreased GM
volume. Compared to MWoA and MWA, VM had increased GM volume of the left
thalamus. Regional GM abnormalities did not correlate with disease duration and
attack frequency. No WM volumetric differences were detected between migraine
patients and controls. These results show that GM volume abnormalities of
nociceptive and multisensory vestibular brain areas occur in VM patients.
Overall, our findings suggest that an abnormal brain sensitization might lead to
a dismodulation of multimodal sensory integration and processing cortical areas
in VM patients.
PMID- 27888413
TI - Improving manual oxygen titration in preterm infants by training and guideline
implementation.
AB - : To study oxygen saturation (SpO2) targeting before and after training and
guideline implementation of manual oxygen titration, two cohorts of preterm
infants <30 weeks of gestation needing respiratory support and oxygen therapy
were compared. The percentage of the time spent with SpO2 within the target range
(85-95%) was calculated (%SpO2-wtr). SpO2 was collected every minute when oxygen
is >21%. ABCs where oxygen therapy was given were identified and analyzed. After
training and guideline implementation the %SpO2-wtr increased (median
interquartile range (IQR)) 48.0 (19.6-63.9) % vs 61.9 (48.5-72.3) %; p < 0.005,
with a decrease in the %SpO2 > 95% (44.0 (27.8-66.2) % vs 30.8 (22.6-44.5) %; p <
0.05). There was no effect on the %SpO2 < 85% (5.9 (2.8-7.9) % vs 6.2 (2.5-8) %;
ns) and %SpO2 < 80% (1.9 (1.0-3.0) % vs 1.7 (0.8-2.6) %; ns). In total, 186 ABCs
with oxygen therapy before and 168 ABCs after training and guideline
implementation occurred. The duration of SpO2 < 80% reduced (2 (1-2) vs 1 (1-2)
minutes; p < 0.05), the occurrence of SpO2 > 95% did not decrease (73% vs 64%;
ns) but lasted shorter (2 (0-7) vs 1 (1-3) minute; p < 0.004). CONCLUSION:
Training and guideline implementation in manual oxygen titration improved SpO2
targeting in preterm infants with more time spent within the target range and
less frequent hyperoxaemia. The durations of hypoxaemia and hyperoxaemia during
ABCs were shorter. What is Known: * Oxygen saturation targeting in preterm
infants can be challenging and the compliance is low when oxygen is titrated
manually. * Hyperoxaemia often occurs after oxygen therapy for oxygen
desaturation during apnoeas. What is New: * Training and implementing guidelines
improved oxygen saturation targeting and reduced hyperoxaemia. * Training and
implementing guidelines improved manual oxygen titration during ABC.
PMID- 27888415
TI - Magnetic resonance imaging patterns of muscle involvement in genetic muscle
diseases: a systematic review.
AB - A growing body of the literature supports the use of magnetic resonance imaging
as a potential biomarker for disease severity in the hereditary myopathies. We
performed a systematic review of the medical literature to evaluate patterns of
fat infiltration observed in magnetic resonance imaging studies of muscular
dystrophy and congenital myopathy. Searches were performed using MEDLINE, EMBASE,
and grey literature databases. Studies that described fat infiltration of muscles
in patients with muscular dystrophy or congenital myopathy were selected for full
length review. Data on preferentially involved or spared muscles were extracted
for analysis. A total of 2172 titles and abstracts were screened, and 70
publications met our criteria for inclusion in the systematic review. There were
23 distinct genetic disorders represented in this analysis. In most studies,
preferential involvement and sparing of specific muscles were reported. We
conclude that magnetic resonance imaging studies can be used to identify distinct
patterns of muscle involvement in the hereditary myopathies. However, larger
studies and standardized methods of reporting are needed to develop imaging as a
diagnostic tool in these diseases.
PMID- 27888417
TI - Acute pneumonitis and pericarditis related to alemtuzumab therapy in relapsing
remitting multiple sclerosis.
PMID- 27888416
TI - Lesion remyelinating activity of GSK239512 versus placebo in patients with
relapsing-remitting multiple sclerosis: a randomised, single-blind, phase II
study.
AB - Histamine H3 receptor blockade may enhance lesion remyelination in multiple
sclerosis (MS). The efficacy (using a magnetic resonance imaging marker of
myelination, magnetisation transfer ratio [MTR]), safety and pharmacokinetics of
GSK239512, a potent and brain penetrant H3 receptor antagonist/inverse agonist on
lesion remyelination in relapsing-remitting MS (RRMS) were assessed. This was a
phase II, randomised, parallel-group, placebo-controlled, double-blind (sponsor
unblinded), international, multicentre study (NCT01772199). Patients aged 18-50
with RRMS, receiving intramuscular interferon-beta1a or glatiramer acetate, were
randomised 1:1 to once-daily oral GSK239512 or placebo, up-titrated over 4-5
weeks to a maximum tolerable dose up to 80 ug and maintained until Week 48. The
co-primary endpoints were mean changes in post-lesion MTR in gadolinium-enhanced
(GdE) or Delta-MTR defined lesions from pre-lesion values. Adverse events (AE)
and withdrawals were monitored. Of the 131 patients randomised, 114 patients
completed the study (GSK239512, n = 51; placebo, n = 63) and 27 (GSK239512) and
28 (placebo) patients contributed lesions to the primary analysis. GSK239512 was
associated with positive effect sizes of 0.344 [90% confidence interval (CI)
0.018, 0.671] and 0.243 (90% CI -0.112, 0.598) for adjusted mean changes in the
normalised MTR for GdE and Delta-MTR lesions, respectively. The overall incidence
of AEs was similar between GSK239512 and placebo during the treatment phase
although some AEs including insomnia were more common with GSK239512,
particularly during the titration period. A small but positive effect of
GSK239512 on remyelination was observed. MTR assessment represents a promising
method for detecting lesion remyelination in RRMS.
PMID- 27888418
TI - Suppression of mTOR signaling pathway promotes bone marrow mesenchymal stem cells
differentiation into osteoblast in degenerative scoliosis: in vivo and in vitro.
AB - To investigate the role of mTOR signaling pathway in bone marrow mesenchymal stem
cells (BMSCs) differentiation into osteoblast in degenerative scoliosis (DS). The
rat model of DS was established. Thirty-two Sprague-Dawley (SD) rats were
selected and divided into the normal control group, the positive control group
(normal rats injected with rapamycin), the negative control group (DS rats
injected with PBS) and the experiment group (DS rats injected with rapamycin).
H&E staining was performed to observe the osteogenesis of scoliosis. The BMSCs
were obtained and assigned into seven groups: the normal control group, the
positive control group, the negative control group and 1.0/10.0/100.0/1000.0
nmol/L experiment groups. Flow cytometry was conducted to testify cell cycle. The
mRNA and protein expressions of mTOR and osteoblastic differentiation markers
were measured by qRT-PCR and western blotting. In vivo, compared with the
negative control group, bone trabecular area and the number of differentiated
bone cells were significantly increased in the experiment groups. In vitro, at 24
and 48 h after rapamycin treatment, compared with the negative control group,
BMSCs at G0/G1 stage increased, but BMSCs at S stage decreased in the
1.0/10.0/100.0/1000.0 nmol/L experiment groups; the expressions of mTOR and p70
S6K1 proteins were reduced in the 1.0/10.0/100.0/1000.0 nmol/L experiment groups,
while ALP activity, OC levels, calcium deposition, Co1-I protein expression and
the mRNA expressions of OC and Co1-I were significantly increased. Suppression of
mTOR signaling pathway by rapamycin could promote BMSCs differentiation into
osteoblast in DS.
PMID- 27888420
TI - Endocan as a prognostic biomarker of triple-negative breast cancer.
AB - PURPOSE: Triple-negative breast cancer (TNBC) has aggressive characteristics and
fewer treatment options than other subtypes. The purpose of this study was to
explore prognostic biomarkers for TNBC that can be easily detected from the blood
samples. METHODS: MDA-MB-231 and MDA-MB-231BR, a brain metastatic variant of the
human TNBC cell line MDA-MB-231, were used as less and more aggressive models of
TNBC, respectively. The extent to which the candidate gene/protein identified by
RNA sequencing correlated well with aggressiveness of TNBC and how much protein
was detected from the blood of tumor-bearing mice were evaluated. RESULTS: Both
the in vitro proliferation and in vivo tumor growth of MDA-MB-231BR were more
rapid than those of MDA-MB-231. RNA sequencing identified ESM1 as a gene that was
expressed significantly more in MDA-MB-231BR than in MDA-MB-231, and qRT-PCR
confirmed a significantly higher expression of ESM1 in MDA-MB-231BR xenograft in
vivo. Furthermore, Kaplan-Meier analysis of relapse-free survival demonstrated
that TNBC patients with high ESM1 expression had clearly worse relapse-free
survival than those with low ESM1 expression, which was consistent with our
preclinical findings. Endocan, a protein of ESM1 gene product, was successfully
detected in both conditioned medium from MDA-MB-231BR and plasma samples from
mice bearing MDA-MB-231BR xenograft, which showed a significantly distinct
pattern from less aggressive MDA-MB-231. Moreover, bisulfite sequence analysis
revealed that overexpression of ESM1 in MDA-MB-231BR might be attributed to DNA
demethylation in an upstream region of the ESM1 gene. CONCLUSION: This study
indicates that endocan could be used as a blood-based prognostic biomarker in
TNBC patients.
PMID- 27888421
TI - Triple-negative breast cancer has worse overall survival and cause-specific
survival than non-triple-negative breast cancer.
AB - PURPOSE: The current American Joint Committee on Cancer (AJCC) staging manual
uses tumor size, lymph node, and metastatic status to stage breast cancer across
different subtypes. We examined the prognosis of triple-negative breast cancer
(TNBC) versus non-TNBC within the same stages and sub-stages to evaluate whether
TNBC had worse prognosis than non-TNBC. METHODS: We reviewed the National Cancer
Institute Surveillance, Epidemiology, and End Results (SEER) data and identified
158,358 patients diagnosed with breast cancer from 2010 to 2012. The overall
survival (OS) time and breast cancer cause-specific survival time were compared
between patients with TNBC and non-TNBC in each stage and sub-stages. The results
were validated using a dataset of 2049 patients with longer follow-up from our
institution. RESULTS: Compared with patients with non-TNBC, patients with TNBC
had worse OS and breast cancer cause-specific survival time in every stage and
sub-stage in univariate and multivariate analyses adjusting for age, race, tumor
grade, and surgery and radiation treatments in the SEER data. The worse OS time
in patients with TNBC was validated in our institutional dataset. CONCLUSIONS:
Patients with TNBC have worse survival than patients with non-TNBC. The new AJCC
staging manual should consider breast cancer biomarker information.
PMID- 27888419
TI - Unravelling cardiovascular disease using four dimensional flow cardiovascular
magnetic resonance.
AB - Knowledge of normal and abnormal flow patterns in the human cardiovascular system
increases our understanding of normal physiology and may help unravel the complex
pathophysiological mechanisms leading to cardiovascular disease. Four-dimensional
(4D) flow cardiovascular magnetic resonance (CMR) has emerged as a suitable
technique that enables visualization of in vivo blood flow patterns and
quantification of parameters that could potentially be of prognostic value in the
disease process. In this review, current image processing tools that are used for
comprehensive visualization and quantification of blood flow and energy
distribution in the heart and great vessels will be discussed. Also, imaging
biomarkers extracted from 4D flow CMR will be reviewed that have been shown to
distinguish between normal and abnormal flow patterns. Furthermore, current
applications of 4D flow CMR in the heart and great vessels will be discussed,
showing its potential as an additional diagnostic modality which could aid in
disease management and timing of surgical intervention.
PMID- 27888422
TI - Enzymatic activities for lignin monomer intermediates highlight the biosynthetic
pathway of syringyl monomers in Robinia pseudoacacia.
AB - Most of the known 4-coumarate:coenzyme A ligase (4CL) isoforms lack CoA-ligation
activity for sinapic acid. Therefore, there is some doubt as to whether sinapic
acid contributes to sinapyl alcohol biosynthesis. In this study, we characterized
the enzyme activity of a protein mixture extracted from the developing xylem of
Robinia pseudoacacia. The crude protein mixture contained at least two 4CLs with
sinapic acid 4-CoA ligation activity. The crude enzyme preparation displayed
negligible sinapaldehyde dehydrogenase activity, but showed ferulic acid 5
hydroxylation activity and 5-hydroxyferulic acid O-methyltransferase activity;
these activities were retained in the presence of competitive substrates
(coniferaldehyde and 5-hydroxyconiferaldehyde, respectively). 5-Hydroxyferulic
acid and sinapic acid accumulated in the developing xylem of R. pseudoacacia,
suggesting, in part at least, sinapic acid is a sinapyl alcohol precursor in this
species.
PMID- 27888423
TI - Assessment of land use and land cover change using spatiotemporal analysis of
landscape: case study in south of Tehran.
AB - In the recent years, dust storms originating from local abandoned agricultural
lands have increasingly impacted Tehran and Karaj air quality. Designing and
implementing mitigation plans are necessary to study land use/land cover change
(LUCC). Land use/cover classification is particularly relevant in arid areas.
This study aimed to map land use/cover by pixel- and object-based image
classification methods, analyse landscape fragmentation and determine the effects
of two different classification methods on landscape metrics. The same sets of
ground data were used for both classification methods. Because accuracy of
classification plays a key role in better understanding LUCC, both methods were
employed. Land use/cover maps of the southwest area of Tehran city for the years
1985, 2000 and 2014 were obtained from Landsat digital images and classified into
three categories: built-up, agricultural and barren lands. The results of our
LUCC analysis showed that the most important changes in built-up agricultural
land categories were observed in zone B (Shahriar, Robat Karim and Eslamshahr)
between 1985 and 2014. The landscape metrics obtained for all categories pictured
high landscape fragmentation in the study area. Despite no significant difference
was evidenced between the two classification methods, the object-based
classification led to an overall higher accuracy than using the pixel-based
classification. In particular, the accuracy of the built-up category showed a
marked increase. In addition, both methods showed similar trends in fragmentation
metrics. One of the reasons is that the object-based classification is able to
identify buildings, impervious surface and roads in dense urban areas, which
produced more accurate maps.
PMID- 27888424
TI - Export of non-point source suspended sediment, nitrogen, and phosphorus from
sloping highland agricultural fields in the East Asian monsoon region.
AB - Excess sediment and nutrient export from agricultural fields with steep slopes is
a major concern linked to surface water quality in Korea. In this study, the
export of suspended sediment (SS), total nitrogen (TN), and total phosphorus (TP)
and their event mean concentrations (EMCs) in surface runoff from a highland
mixed land use (61% forested, 30% cropped, 9% other) watershed were quantified.
In 2007, the Korean Ministry of Environment (MoE) declared the study area as a
priority region for non-point source (NPS) pollution management and initiated
various best management practices (BMPs) in the study watershed. SS, TN, and TP
concentrations in Mandae Stream were monitored for 5 years (2009-2013) to
evaluate the effectiveness of BMPs. Average EMCs for SS, TN, and TP were as high
as 986, 3.4 and 0.8 mg/L, respectively. The agricultural export coefficients of
agricultural land in the study watershed for SS, TN, and TP were 5611, 171, and
6.83 kg/ha/year, respectively. A comparison with results from other studies shows
that both EMCs and agricultural export coefficients in the study watershed were
much higher than most of the results reported for watersheds in other regions.
The results show that sediment and nutrient export from intensive agriculture
areas with steep slopes continue to be a major concern for the downstream
reservoir, Lake Soyang. Remedial strategies should be directed towards
controlling sources of SS, TN, and TP to improve downstream water quality in
sloping highland agricultural areas in Korea.
PMID- 27888425
TI - An overview on common aspects influencing the dissipation pattern of pesticides:
a review.
AB - The common aspects and processes influencing dissipation kinetics of pesticides
are determinants of their fate in the environment. Nowadays, with increasing
population, the demand for food and fodder crops has also increased. With the
development in science and technology, the methods of controlling pests may
improve, but the major role played by the environment cannot be altered, i.e. the
environmental factors, climatic conditions, and geology of areas under
cultivation. Plants play a crucial role in the dissipation kinetics, as they may
vary in species and characteristics. Differences in physico-chemical properties,
such as formulation, bioavailability, and efficacy of the pesticide, may result
in variable dissipation patterns even under the same environmental conditions.
While modelling the dissipation kinetics for any specific pesticide applied to
any specific crop, each factor must be considered. This review focusses on the
variability observed across common factors, i.e. environmental aspects, plant
associated facts, and observed characteristics of chemical substances,
influencing pesticide dissipation.
PMID- 27888427
TI - Taiwanese medical students' narratives of intercultural professionalism dilemmas:
exploring tensions between Western medicine and Taiwanese culture.
AB - In an era of globalization, cultural competence is necessary for the provision of
quality healthcare. Although this topic has been well explored in non-Western
cultures within Western contexts, the authors explore how Taiwanese medical
students trained in Western medicine address intercultural professionalism
dilemmas related to tensions between Western medicine and Taiwanese culture. A
narrative interview method was employed with 64 Taiwanese medical students to
collect narratives of professionalism dilemmas. Noting the prominence of culture
in students' narratives, we explored this theme further using secondary analysis,
identifying tensions between Western medicine and Taiwanese culture and
categorizing students' intercultural professionalism dilemmas according to
Friedman and Berthoin Antal's 'intercultural competence' framework: involving
combinations of advocacy (i.e., championing one's own culture) and inquiry (i.e.,
exploring one's own and others' cultures). One or more intercultural dilemmas
were identified in nearly half of students' professionalism dilemma narratives.
Qualitative themes included: family relations, local policy, end-of-life care,
traditional medicine, gender relations and Taiwanese language. Of the 62
narratives with sufficient detail for further analysis, the majority demonstrated
the 'suboptimal' low advocacy/low inquiry approach (i.e., withdrawal or
inaction), while very few demonstrated the 'ideal' high advocacy/high inquiry
approach (i.e., generating mutual understanding, so 'intercultural competence').
Though nearly half of students' professionalism narratives concerned
intercultural dilemmas, most narratives represented disengagement from
intercultural dilemmas, highlighting a possible need for more attention on
intercultural competence training in Taiwan. The advocacy/inquiry framework may
help educators to address similar disconnects between Western medicine and non
Western cultures in other contexts.
PMID- 27888426
TI - Evaluation of herbicides action on plant bioindicators by genetic biomarkers: a
review.
AB - The use of pesticides has increased worldwide, owing to the demand for products
of good quality and to satisfy a growing population. Herbicides represent almost
half of the total amount of pesticides used. Although important to the reduction
of costs and an increase of productivity, their indiscriminate use, as well as
that of the other pesticides, is a global environmental problem, since they
affect the living organisms. To evaluate the damage caused by herbicides to the
environment, different organisms have been used as bioindicators, especially
higher plants, due to several advantages. This is a literature review on
herbicidal actions in plant bioindicators, as assessed by genetic biomarkers.
Also, the present manuscript aimed to characterize the main organisms (Allium
cepa, Vicia faba and Tradescantia spp.) and the most used biomarkers (mitotic
index, chromosome aberrations, micronuclei, sister chromatid exchange and
mutations). We concluded that herbicides induce cytotoxicity and genotoxicity in
the assessed bioindicators. The data corroborate the existing warnings of the
risks that the indiscriminate and increasing use of pesticides poses to the
environment and its biodiversity.
PMID- 27888428
TI - Investigating the role of implicit prototypes in the prototype willingness model.
AB - One useful theory to predict health behavior is the prototype-willingness model
(PWM), which posits that people are more willing to engage in behavior to the
extent that they have a positive view of the prototypical person who performs
that behavior. The goal of the present research is to test whether adding an
implicit measure of prototype favorability might improve explanatory power in the
PWM. Two studies examined whether implicit prototype favorability uniquely
predicted White women's intentions to engage in healthy sun behavior over the
next 3-6 months, and their willingness to engage in risky sun behavior, should
the opportunity arise. The results suggested that implicit prototype
favorability, particularly implicit prototypes of those who engage in risky UV
related behaviors, uniquely predicted intentions to engage in healthy sun
behavior and willingness to engage in risky sun behavior in the PWM.
PMID- 27888429
TI - Inappropriateness of diagnostic imaging examinations in the inpatient setting: a
case study research.
AB - OBJECTIVE: The purpose of our study was to audit the clinical appropriateness of
the prescriptions of whole body CT (WB-CT), PET-CT and chest X-rays (CXRs)
performed at Tor Vergata University Hospital in the inpatient setting. MATERIALS
AND METHODS: WB-CT, PET-CT and CXRs examinations were retrospectively analysed in
the period between January and December 2014. CXR examinations were divided into
bedside CXRs and traditional CXRs. The appropriateness of the examinations was
defined according the American College of Radiology Appropriateness Criteria.
Inappropriate examinations were divided into six inappropriateness categories in
accordance with the European Union Medical Imaging Guidelines. RESULTS:
Appropriateness was suboptimal for all analysed techniques CXRs (A = 38%, I =
62%); bedside CXRs (A = 45%, I = 53%); WB-CT (A = 45%, I = 55%); PET-CT (A = 48%,
I = 52%). With respect to WB-CT the highest rate of inappropriate imaging
prescriptions came from the haematology clinical operative unit (OU) (44%) and
emergency medicine (33%); with respect to PET-CT, the thoracic surgery OU (53%)
and haematology OU (48%) showed the most inappropriate prescriptions. For CXRs,
the percentage of inappropriateness was consistently distributed among all
surgical OUs. For bedside CXRs, the largest inappropriate prescribers were the
emergency medicine OU (48%), the cardiac surgery OU (58%), the intensive care OU
(67%) and anaesthesia resuscitation OU (78%). The most represented classes of
inappropriateness were 2, 3, 4 and 6. CONCLUSIONS: The elimination of
inappropriate prescriptions would result in an annual savings of approximately
390,000 Euro. An implementation plan to increase prescription appropriateness is
under development by our group.
PMID- 27888430
TI - Radiation exposure in diagnostic imaging: wisdom and prudence, but still a lot to
understand.
AB - Since 2000, a series of scientific articles on CT have been raising increasing
concern about the risk of radiation induced cancer in children. The alarming
conclusions of some of these articles had international echo through global
media, provoking widespread public concern. Actually, many of these alarming
scientific publications appeared to be flawed by poor study design, but their
conclusions were not openly contradicted. In US and Europe pediatric radiologists
had to face a huge challenge, which brought to the Image Gently campaign and the
Eurosafe initiative with the aim to rebut misinformation and to support medical
radiation protection. The Linear No Threshold model-which is the base of
contemporary radioprotection-is increasingly questioned by new recent studies
suggesting that low dose radiation would decrease cancer risk thanks to the
enhancement of immune system response. Actually, pediatric radiologists have to
cope with many important issues and contradictory messages. Good medical practice
includes good communication about the benefits and risks of health procedures,
thus the communication of radiation risk is a key component for radiologists.
When considering benefits and risks, an important risk is too often ignored: the
risk that skipping a diagnostic exam may cause a misdiagnosis, and therefore, a
poor outcome. We should emphasize that a risk from a radiological investigation
is very small, if a risk at all, and we are not sure that there is a risk at very
low doses, like those doses in the majority of X-ray procedures including CT.
PMID- 27888432
TI - Candidate predisposing germline copy number variants in early onset colorectal
cancer patients.
AB - PURPOSE: A great proportion of the heritability of colorectal cancer (CRC) still
remains unexplained, and rare variants, as well as copy number changes, have been
proposed as potential candidates to explain the so-called 'missing heritability'.
We aimed to identify rare high-to-moderately penetrant copy number variants
(CNVs) in patients suspected of having hereditary CRC due to an early onset.
METHODS/PATIENTS: We have selected for genome-wide copy number analysis, 27 MMR
proficient early onset CRC patients (<50 years) without identifiable germline
mutations in Mendelian genes related to this phenotype. Rare CNVs were selected
by removing all CNVs detected at MAF >1% in the in-house control CNV database (n
= 629 healthy controls). Copy number assignment was checked by duplex real-time
quantitative PCR or multiplex ligation probe amplification. Somatic mutation
analysis in candidate genes included: loss of heterozygosity studies, point
mutation screening, and methylation status of the promoter. RESULTS: We have
identified two rare germline deletions involving the AK3 and SLIT2 genes in two
patients. The search for a second somatic mutational event in the corresponding
CRC tumors showed loss of heterozygosity in AK3, and promoter hypermethylation in
SLIT2. Both genes have been previously related to colorectal carcinogenesis.
CONCLUSIONS: These findings suggest that AK3 and SLIT2 may be potential
candidates involved in genetic susceptibility to CRC.
PMID- 27888433
TI - Clinical significance of incidentally detected bladder wall thickening on
computed tomography.
AB - PURPOSE: To evaluate the clinical significance of incidentally detected bladder
wall thickening (BWT) on computed tomography (CT). METHODS: A retrospective
analysis was performed on 34,793 cystoscopy cases between January 2004 and
December 2013. Among these, patients who underwent cystoscopy for the sole
indication of incidentally detected BWT on CT were selected. Patients were
categorized into the diffuse or focal group depending on the extent of BWT.
Suspicious lesions on cystoscopy were biopsied to confirm histologic subtype. The
incidence and predictive factors of bladder malignancy were examined. RESULTS: A
total of 167 (0.5%) patients received cystoscopy for incidentally detected BWT on
CT, of which 11 (6.6%) patients were diagnosed with bladder malignancy. When a
suspicious lesion was identified on cystoscopy, 11 of 25 (44%) patients were
found to have a bladder malignancy. Of the 15 patients with diffuse BWT, 5
(33.3%) were diagnosed with bladder malignancy, consisting of carcinoma in situ
in 2 patients, high-grade carcinoma in 2 patients and muscle invasive disease in
1 patient. Of the 10 patients with focal BWT, 6 (60.0%) were diagnosed with
bladder malignancy, of which 3 patients had high-grade disease. On multivariate
logistic regression analysis, focal BWT [95% confidence interval (CI) 1.400
25.357, P = 0.016] and atypical cells in urine cytology (95% CI 2.631-63.446, P =
0.002) were positively associated with bladder malignancy. CONCLUSIONS:
Incidentally detected BWT on CT can be suggestive of bladder malignancy.
Therefore, further work-up including cystoscopy and urine cytology should be
performed to assess bladder malignancy.
PMID- 27888436
TI - Erratum to: Clinical and Biological Principles of Cold Atmospheric Plasma
Application in Skin Cancer.
PMID- 27888434
TI - A novel heavy metal ATPase peptide from Prosopis juliflora is involved in metal
uptake in yeast and tobacco.
AB - Heavy metal pollution of agricultural soils is one of the most severe ecological
problems in the world. Prosopis juliflora, a phreatophytic tree species, grows
well in heavy metal laden industrial sites and is known to accumulate heavy
metals. Heavy Metal ATPases (HMAs) are ATP driven heavy metal pumps that
translocate heavy metals across biological membranes thus helping the plant in
heavy metal tolerance and phytoremediation. In the present study we have isolated
and characterized a novel 28.9 kDa heavy metal ATPase peptide (PjHMT) from P.
juliflora which shows high similarity to the C-terminal region of P1B ATPase
HMA1. It also shows the absence of the invariant signature sequence DKTGT, and
the metal binding CPX motif but the presence of conserved regions like
MVGEGINDAPAL (ATP binding consensus sequence), HEGGTLLVCLNS (metal binding
domain) and MLTGD, GEGIND and HEGG motifs which play important roles in metal
transport or ATP binding. PjHMT, was found to be upregulated under cadmium and
zinc stress. Heterologous expression of PjHMT in yeast showed a higher
accumulation and tolerance of heavy metals in yeast. Further, transgenic tobacco
plants constitutively expressing PjHMT also showed increased accumulation and
tolerance to cadmium. Thus, this study suggests that the transport peptide from
P. juliflora may have an important role in Cd uptake and thus in
phytoremediation.
PMID- 27888435
TI - Increased sclerostin and bone turnover after diet-induced weight loss in type 2
diabetes: a post hoc analysis of the MADIAB trial.
AB - BACKGROUND: Sclerostin has been directly related to bone turnover increase in
dietary-induced weight loss in non-diabetics. This has not been studied in type 2
diabetes, a condition characterized by increased circulating sclerostin and
impaired bone turnover. PURPOSE: To study the effect of dietary weight loss and
quality of the dietary intervention on changes of sclerostin and bone turnover
markers in type 2 diabetes. METHODS: This was a post-hoc analysis of the MADIAB
trial, a 21-day randomized controlled trial on overweight/obese type 2 diabetes
patients. Patients were randomly assigned 1:1 to the Ma-Pi2 macrobiotic diet or a
control diet based on dietary guidelines for type 2 diabetes. Serum sclerostin
and circulating markers of bone resorption and formation (P1NP) were measured by
enzyme linked immunosorbent assay in 40 subjects (1:1) at baseline and after 21
days treatment. RESULTS: Both Ma-Pi2 and the control diet groups had significant
decreases in body weight (6.0 +/- 0.2 vs. 3.2 +/- 0.1 %, p < 0.001). Sclerostin
increased significantly in the two groups (all p < 0.001) but Ma-Pi2 diet group
experienced a greater increase in sclerostin (34.5 vs. 15 %; p = 0.024). Serum
circulating markers of bone resorption increased in the two groups (all p <
0.001); circulating markers of bone resorption at the end of the treatment tended
to be higher in Ma-Pi2 diet than the control diet group (p = 0.06). P1NP did not
change significantly in the two group compared to baseline. Sclerostin changes
were related to body mass index reduction (r = -0.37; p = 0.02). CONCLUSIONS:
Diet-induced weight loss may induce significant and rapid changes in bone
turnover and sclerostin levels. These changes may further impair bone health in
subjects with type 2 diabetes.
PMID- 27888437
TI - Estimated Budget Impact of Adopting the Affordable Care Act's Required Smoking
Cessation Coverage on United States Healthcare Payers.
AB - INTRODUCTION: Despite abundant information on the negative impacts of smoking,
more than 40 million adult Americans continue to smoke. The Affordable Care Act
(ACA) requires tobacco cessation as a preventive service with no patient cost
share for all FDA-approved cessation medications. Health plans have a vital role
in supporting smoking cessation by managing medication access, but uncertainty
remains on the gaps between smoking cessation requirements and what is actually
occurring in practice. This study presents current cessation patterns, real-world
drug costs and plan benefit design data, and estimates the 1- to 5-year pharmacy
budget impact of providing ACA-required coverage for smoking cessation products
to understand the fiscal impact to a US healthcare plan. METHODS: A closed cohort
budget impact model was developed in Microsoft Excel(r) to estimate current and
projected costs for US payers (commercial, Medicare, Medicaid) covering smoking
cessation medicines, with assumptions for coverage and smoking cessation product
utilization based on current, real-world national and state-level trends for
hypothetical commercial, Medicare, and Medicaid plans with 1 million covered
lives. A Markov methodology with five health states captures quit attempt and
relapse patterns. Results include the number of smokers attempting to quit,
number of successful quitters, annual costs, and cost per-member per-month
(PMPM). RESULTS: The projected PMPM cost of providing coverage for smoking
cessation medications is $0.10 for commercial, $0.06 for Medicare, and $0.07 for
Medicaid plans, reflecting a low incremental PMPM impact of covering two attempts
ranging from $0.01 for Medicaid to $0.02 for commercial and Medicare payers.
CONCLUSION: The projected PMPM impact of covering two quit attempts with access
to all seven cessation medications at no patient cost share remains low. Results
of this study reinforce that the impact of adopting the ACA requirements for
smoking cessation coverage will have a limited near-term impact on health plan's
budgets. FUNDING: Pfizer Inc.
PMID- 27888439
TI - Laparoscopic use of the Contour(r) Curved Cutter Stapler device via a glove port:
a modified technique.
PMID- 27888438
TI - Transanal hemorrhoidal dearterialization with mucopexy versus open
hemorrhoidectomy in the treatment of hemorrhoids: a meta-analysis of randomized
control trials.
AB - BACKGROUND: The aim of this study was to analyse the outcomes of transanal
hemorrhoidal dearterialization with mucopexy (THDm) versus open hemorrhoidectomy
(OH) in the management of hemorrhoids. METHODS: Randomized controlled trials in
English were found by searching PubMed, Web of science, EMBASE, and the Cochrane
Library database. Trials that compared THDm with OH were identified. Data were
extracted independently for each study, and a meta-analysis was performed using
fixed and random effects models. RESULTS: Four trials, including 316 patients,
met the inclusion criteria. No statistically significant differences were noted
in either total complications or postoperative bleeding, incontinence, recurrent
prolapse, and urinary retention rate. Operative time was significantly longer for
THDm with Doppler guidance than for THDm without Doppler guidance. Patients
returned to normal activities faster after THDm than after OH. No statistically
significant differences between THDm and OH were noted with regard to recurrence
and reoperation rates. CONCLUSIONS: Our meta-analysis shows that THDm and OH are
equally effective and can be attempted for the management of hemorrhoids.
However, for THDm with Doppler guidance, more instruments and a longer operative
time are required. Future large-scale, high-quality, multicenter trials with long
term outcomes are needed to prove these results and determine whether Doppler
guidance in THD is truly necessary or not.
PMID- 27888440
TI - Primary and repeated perineal stapled prolapse resection.
AB - BACKGROUND: Previous studies of the outcome after perineal stapled prolapse
resection (PSPR) have included a limited number of patients with a short follow
up and high recurrence rates. The present study was designed to assess the
initial results, complications, recurrence rate, and outcomes up to 4 years after
PSPR, as well as the need for a repeated procedure. METHODS: Fifty-four
consecutive patients with rectal prolapse (mean age 77.2 years, range 46-93
years; n = 3 men) were selected for PSPR between May 2009 and February 2015.
Prolapse length was measured at baseline and after surgery. Patients were asked
to grade intensity of symptoms as a satisfaction score of 1-10, 10 representing
being symptom-free. RESULTS: The mean operation time was 45.3 min (SD = 17.5,
range 25-95 min). The mean rectal prolapse length was reduced significantly from
9.5 cm (SD = 5.0, range 4-30 cm) to 1.2 cm (SD = 2.6, range 0-10 cm; p < 0.0001).
Bleeding requiring surgical intervention occurred in two patients (3.7%).
Postoperative satisfaction score increased from a mean of 2.2 (SD = 0.9) to a
mean of 6.4 (SD = 2.8, p <= 0.0001). After a mean follow-up of 13.4 months (SD =
14.1), six patients with recurrence underwent a new PSPR and five patients
underwent colostomy, mainly because of incontinence, resulting in a recurrence
rate of 20.4%. There were no complications after redo PSPR, and after a median of
10-month follow-up (range 6-37), there were no recurrences. CONCLUSIONS: PSPR is
a rather new surgical procedure for external rectal prolapse. Immediate
complications are few and not serious. Although recurrences can be treated with a
second PSPR, the operation may only be the best option for old and fragile
patients with comorbidities and a short life expectancy.
PMID- 27888441
TI - Comparison of conventional incision and drainage for pilonidal abscess versus
novel endoscopic pilonidal abscess treatment (EPAT).
PMID- 27888442
TI - Annulohpoxylotol A and B, new sesquiterpenoids from the endophytic fungus
Annulohypoxylon truncatum, are natural NF-kappaB inhibitors.
AB - Two sesquiterpenoids, annulohpoxylotol A and B, were isolated along with five
sterols from an ethyl acetate extract of cultures of the endophytic fungus
Annulohypoxylon truncatum growing on leaves of Zizania caduciflora. The
structures of the isolated compounds were established using one-dimensional (1D)
and two-dimensional (2D)-NMR and mass spectrometry. The nuclear factor-kappa B
(NF-kappaB) inhibitory activities of the isolated compounds stimulated with tumor
necrosis factor-alpha (TNF-alpha) were measured using a luciferase reporter
system. Annulohpoxylotol A (1) significantly inhibited NF-kappaB activation in a
dose-dependent manner, with an IC50 of 7.11 MUM, whereas annulohpoxylotol B (2)
and ergone (7) moderately inhibited NF-kappaB transcriptional activity, with IC50
values of 19.24 and 17.51 MUM, respectively.
PMID- 27888444
TI - First Report of Raoiella indica (Hirst) (Acari: Tenuipalpide) in Southern Brazil.
AB - The red palm mite (RPM), Raoiella indica (Hirst) (Acari: Tenuipalpidae), was
found for the first time in the Parana State, in southern Brazil. The first
observations occurred in September 2015, on strawberry (Fragaria * ananassa Duch)
leaves, which is not considered a typical host plant of RPM. It is probable that
its occurrence on this plant was serendipitous. Visual surveys for RPM were
carried out on four typical host plants (banana, coconut, foxtail palm, and real
palm), in five cities of the Parana State (Bela Vista do Paraiso, Londrina,
Maringa, Marialva, and Sarandi). RPM was found on each of the four typical host
plants, in each of the five cities. Our survey extends RPM occurrence to the
southern region of Brazil and indicates that the pest could be widespread in the
country.
PMID- 27888443
TI - The Effect of Heat and Free Chlorine Treatments on the Surface Properties of
Murine Norovirus.
AB - Heat and free chlorine are among the most efficient and commonly used treatments
to inactivate enteric viruses, but their global inactivation mechanisms have not
been elucidated yet. These treatments have been shown to affect at least the
capsid proteins of viruses and thus may affect the surface properties (i.e.
electrostatic charge and hydrophobicity) of such particles. Our aim was to study
the effects of heat and free chlorine on surface properties for a murine
norovirus chosen as surrogate for human norovirus. No changes in the surface
properties were observed with our methods for murine norovirus exposed to free
chlorine. Only the heat treatment led to major changes in the surface properties
of the virus with the expression of hydrophobic domains at the surface of the
particles after exposure to a temperature of 55 degrees C. No modification of
the expression of hydrophobic domains occurred after exposure to 60 degrees C,
and the low hydrophobic state exhibited by infectious and inactivated particles
after exposure to 60 degrees C appeared to be irreversible for inactivated
particles only, which may provide a means to discriminate infectious from
inactivated murine noroviruses. When exposed to a temperature of 72 degrees C or
to free chlorine at a concentration of 50 mg/L, the genome became available for
RNases.
PMID- 27888445
TI - Squeezed helical elastica.
AB - We theoretically study the conformations of a helical semi-flexible filament
confined to a flat surface. This squeezed helix exhibits a variety of unexpected
shapes resembling circles, waves or spirals depending on the material parameters.
We explore the conformation space in detail and show that the shapes can be
understood as the mutual elastic interaction of conformational quasi-particles.
Our theoretical results are potentially useful to determine the material
parameters of such helical filaments in an experimental setting.
PMID- 27888446
TI - Cesarean section in the setting of severe pulmonary hypertension requiring
extracorporeal life support.
AB - We describe the use of veno-arterial extracorporeal membrane oxygenation (ECMO)
in a 35-year-old female with severe fixed pulmonary hypertension who went into
cardiogenic shock during a Cesarean section. Pregnancy in the presence of severe
pulmonary hypertension is typically contraindicated due to high maternal
mortality rates. This patient visited our hospital at 37 weeks of gestation after
experiencing dyspnea and chest pain. Clinical evaluation revealed severe fixed
pulmonary hypertension. At the time of the planned delivery, femoral lines were
placed; in case of emergency, ECMO became necessary during the delivery. During
delivery, the patient developed sudden hemodynamic collapse necessitating rapid
cannulation and initiation of ECMO. She was stabilized pharmacologically and
separated from ECMO after 2 days. The baby was delivered uneventfully, and the
mother and child were discharged 1 month after delivery.
PMID- 27888448
TI - A Randomized, Single-Blind Trial of Clobetasol Propionate 0.05% Cream Under
Silicone Dressing Occlusion Versus Intra-Lesional Triamcinolone for Treatment of
Keloid.
AB - BACKGROUND AND OBJECTIVE: Keloid is conventionally treated with intra-lesional
(IL) triamcinolone, which is highly operator dependent and has its own adverse
effects. Topical steroid and silicone dressings are a patient friendly and non
invasive treatment alternative. We therefore sought to determine the efficacy and
safety of topical clobetasol propionate (Dermovate(r)) 0.05% cream under
occlusion with Mepiform(r) silicone dressing compared to IL triamcinolone in the
treatment of keloid. METHODS: This was a prospective, randomised, observer
blinded study. Two keloids on the same site were randomly assigned to receive
either daily topical clobetasol propionate 0.05% cream under occlusion with
silicone dressing (Scar 1) or monthly IL triamcinolone injection (Scar 2).
Efficacy was assessed using patient and observer scar assessment scale (POSAS) at
4-weekly intervals up to 12 weeks. Dimension of keloid and adverse effects were
also assessed. RESULTS: A total of 34 scars from 17 patients completed the study.
There was significant improvement of POSAS at 12 weeks compared to baseline
within each treatment group. However, there was no statistically significant
difference in POSAS at 12 weeks between the two treatments. Keloid dimensions
showed a similar trend of improvement by week 12 with either treatment (p = 0.002
in Scar 1, p = 0.005 for Scar 2). However, there was no significant difference
between the treatment. In the IL triamcinolone group, all patients reported pain
and 70.6% observed necrotic skin reaction. There was a significantly higher rate
of adverse effects such as erythema (41.2 vs. 17.6%), hypopigmentation (35.3 vs.
23.5%), telangiectasia (41.2 vs. 17.6%) and skin atrophy (23.5 vs. 5.9%)
documented in the IL triamcinolone group when compared to clobetasol propionate
0.05% cream under occlusion with silicone dressing. CONCLUSION: Clobetasol
propionate 0.05% cream under occlusion with silicone dressing is equally
effective and has fewer adverse effects compared to IL triamcinolone. Hence, it
may be used as an alternative treatment for keloid particularly in patients with
low pain threshold, needle phobia and those who prefers home-based treatment.
PMID- 27888450
TI - Effects of Chromium-Loaded Chitosan Nanoparticles on Glucose Transporter 4,
Relevant mRNA, and Proteins of Phosphatidylinositol 3-Kinase, Akt2-Kinase, and
AMP-Activated Protein Kinase of Skeletal Muscles in Finishing Pigs.
AB - The study was conducted to evaluate the effects of chromium-loaded chitosan
nanoparticles (Cr-CNP) on glucose transporter 4 (GLUT4), relevant messenger RNA
(mRNA), and proteins involved in phosphatidylinositol 3-kinase (PI3K), Akt2
kinase, and AMP-activated protein kinase (AMPK) of skeletal muscles in finishing
pigs. A total of 120 crossbred barrows (BW 65.00 +/- 1.26 kg) were randomly
allotted to four dietary treatments, with three pens per treatment and 10 pigs
per pen. Pigs were fed the basal diet supplemented with 0, 100, 200, or 400
MUg/kg of Cr from Cr-CNP for 35 days. After the feeding trials, 24 pigs were
slaughtered to collect longissimus muscle samples for analysis. Cr-CNP
supplementation increased GLUT4 messenger RNA (mRNA) (quadratically, P < 0.01)
and total and plasma membrane GLUT4 protein contents (linearly and quadratically,
P < 0.001) in skeletal muscles. Glycogen synthase kinase 3beta (GSK-3beta) mRNA
was decreased linearly (P < 0.001) and quadratically (P < 0.001). Supplemental Cr
CNP increased insulin receptor (InsR) mRNA quadratically (P < 0.01), Akt2 total
protein level linearly (P < 0.01) and quadratically (P < 0.001), and PI3K total
protein was increased significantly (P < 0.05) in 200 MUg/kg treatment group. The
mRNA of AMPK subunit gamma-3 (PRKAG3) and protein of AMPKalpha1 was significantly
increased (P < 0.001) with the addition of Cr-CNP. The results indicate that
dietary supplementation of Cr-CNP may promote glucose uptake by leading to
recruitment of GLUT4 to the plasma membrane in skeletal muscles, and these
actions may be associated with the insulin signal transduction and AMPK.
PMID- 27888447
TI - The regulation of the mitochondrial apoptotic pathway by glucocorticoid receptor
in collaboration with Bcl-2 family proteins in developing T cells.
AB - Glucocorticoids (GC) are important in the regulation of selection and apoptosis
of CD4+CD8+ double-positive (DP) thymocytes. The pronounced GC-sensitivity of DP
thymocytes, observed earlier, might be due to the combination of classical
(genomic) and alternative (non-genomic) glucocorticoid receptor (GR) signaling
events modifying activation or apoptotic pathways. In particular, the previously
demonstrated mitochondrial translocation of activated GR in DP thymocytes offered
a fascinating explanation for their pronounced GC-induced apoptosis sensitivity.
However, the fine molecular details how the mitochondrial translocation of GR
might regulate apoptosis remained unclear. Therefore, in the present study, we
intended to examine which apoptotic pathways could be involved in GC-induced
thymocyte apoptosis. Furthermore we investigated the potential relationship
between the GR and Bcl-2 proteins. Using an in vitro test system, thymocytes from
4-week-old BALB/c mice, were treated with the GC-analogue dexamethasone (DX). Bax
accumulated in mitochondria upon DX treatment. Mitochondrial GR showed
association with members of the Bcl-2 family: Bak, Bim, Bcl-xL. Elevated
Cytochrome C, and active caspase-3, -8, and -9 levels were detected in thymocytes
after DX treatment. These results support the hypothesis that in early phases of
GC-induced thymocyte apoptosis, the mitochondrial pathway plays a crucial role,
confirmed by the release of Cytochrome C and the activation of caspase-9. The
activation of caspase-8 was presumably due to cross-talk between apoptotic
signaling pathways. We propose that the GC-induced mitochondrial accumulation of
Bax and the interaction between the GR and Bim, Bcl-xL and Bak could play a role
in the regulation of thymocyte apoptosis.
PMID- 27888449
TI - Salvia (Sage): A Review of its Potential Cognitive-Enhancing and Protective
Effects.
AB - Genus Salvia, commonly known as sage, is the largest genus in the Lamiaceae
family. It comprises many species traditionally used as brain-enhancing tonics.
In vitro and animal studies have confirmed that several Salvia species contain a
large array of active compounds that may enhance cognitive activity and protect
against neurodegenerative disease. In this review, the active constituents in
plants belonging to the genus Salvia are summarised, and their influence on
pharmacodynamics pertinent to cognitive activity are detailed. In particular, the
effects of plants belonging to the genus Salvia and their constituents on
cognitive skills including memory, attention and learning are detailed. Their
potential effects in dementia, including Alzheimer's disease, are also examined.
Completed human trials are summarised, and factors influencing the potency of
Salvia plants are covered. Finally, directions for future research are proposed
to enhance our understanding of the potential health benefits of Salvia plants.
PMID- 27888451
TI - N-Acetyl-L-Cysteine Protects Liver and Kidney Against Chromium(VI)-Induced
Oxidative Stress in Mice.
AB - Acute hexavalent chromium [Cr(VI)] compound exposure may lead to hepatotoxic and
nephrotoxic effects. Cr(VI) reduction may generate reactive intermediates and
radicals which might be associated with damage. We investigated effects of N
acetyl-l-cysteine (NAC) pre- or post-treatment on oxidative stress and
accumulation of Cr in liver and kidney of Cr(VI)-exposed mice. Intraperitoneal
potassium dichromate injection (20 mg Cr/kg) caused a significant elevation of
lipid peroxidation in both tissues as compared to control (p < 0.05). Significant
decreases in non-protein sulfhydryl (NPSH) level, as well as enzyme activities of
catalase (CAT) and superoxide dismutase (SOD) along with significant accumulation
of Cr in the tissues (p < 0.05) were of note. NAC pre-treatment (200 mg/kg, ip)
provided a noticeable alleviation of lipid peroxidation (p < 0.05) in both
tissues, whereas post-treatment exerted significant effect only in kidney.
Similarly, Cr(VI)-induced NPSH decline was restored by NAC pre-treatment in both
tissues (p < 0.05); however, NAC post-treatment could only replenish NPSH in
liver (p < 0.05). Regarding enzyme activities, in liver tissue NAC pre-treatment
provided significant restoration on Cr(VI)-induced CAT inhibition (p < 0.05),
while SOD enzyme activity was regulated to some extent. In kidney, SOD activity
was efficiently restored by both treatments (p < 0.05), whereas CAT enzyme
alteration could not be totally relieved. Additionally, NAC pre-treatment in both
tissues and post-treatment in liver exerted significant tissue Cr level decreases
(p < 0.05). Overall, especially NAC pre-treatment seems to provide beneficial
effects in regulating pro-oxidant/antioxidant balance and Cr accumulation caused
by Cr(VI) in liver and kidney. This finding may be due to several mechanisms
including extracellular reduction or chelation of Cr(VI) by readily available
NAC.
PMID- 27888452
TI - The Influence of the Dietary Cu-Glycine Complex on the Histomorphology of
Cancellous Bone, Articular Cartilage, and Growth Plate as well as Bone Mechanical
and Geometric Parameters Is Dose Dependent.
AB - Copper (Cu) is required for all basic biochemical and physiological processes.
The objective of this study was to compare the effect of two different chemical
forms (sulfates and glycinate chelates also below the recommended dose) of Cu
administered to adult rats on the biomechanical and morphometric properties of
femur. Male rats at the age of 12 weeks were used in the 12-week experiment. The
control diet provided the required Cu level from sulfate (S-Cu), and the other
diets were supplemented with Cu-glycine complex. The Cu-Gly-treatment,
irrespective of its concentration, did not influence the bone mass and length.
The Cu-Gly-treatment in 100 and 75% of daily demand increased mechanical
endurance. The Cu-Gly-treatment (regardless of its concentration) increased the
real bone volume in epiphysis and decreased the total thickness and zone I of the
articular cartilage compared to the control group supplemented with S-Cu. The Cu
Gly-treatment enhanced the content of proteoglycans (except the OG50 group).
Dietary Cu given to adult rats in the Cu-Gly complex covering the daily demand in
75% exerted a positive effect on bone metabolism and appeared to be the most
effective among the investigated doses of the organic form.
PMID- 27888453
TI - Evaluation of nucleoside reverse transcriptase inhibitor dosing during continuous
veno-venous hemofiltration.
AB - Background Unpredictable drug concentrations may lead to suboptimal exposure to
nucleoside reverse transcriptase inhibitors (NRTIs) due to inadequate doses
administered during continuous veno-venous hemofiltration (CVVH), which in turn
may lead to decreased antiretroviral efficacy and possibly further HIV disease
progression. Objective To compare administered doses of NRTIs to calculated doses
of NRTIs to evaluate if patients were expected to have a favorable
pharmacokinetic exposure profile while receiving CVVH. Methods The NRTI dose was
compared to a table of recommendations based on a mathematical formula that
estimates the amount of drug expected to be removed during CVVH. Results Twelve
patients were on 27 NRTIs. Eleven (41%) NRTI doses were expected to provide a
favorable pharmacokinetic profile based on pharmacokinetic mathematical
calculations. Conclusion The majority of NRTIs were potentially not optimally
dosed based on proposed pharmacokinetic calculations.
PMID- 27888454
TI - Availability and quality of illegitimate somatropin products obtained from the
Internet.
AB - Background Growth hormones are widely available on the Internet for those who
want to enhance their physical performance and improve body satisfaction.
Illegitimate websites market somatropin injections without medical prescription
and encourage misuse. Customers potentially put their health at risk when
purchasing parenteral medications online. Objective The objective of our study
was to evaluate the online market of no-prescription somatropin products and to
analyse and document Internet pharmacy characteristics, distribution and
pharmaceutical quality. Setting Websites indexed in Google promoting somatropin
for sale direct to patients. Method Websites promoting the sale of growth hormone
products were identified and analysed from June to August 2014. Internet vendor
sites were evaluated to identify possible patient and medication safety concerns.
Website characteristics, delivery time, storage conditions, packaging and
attached product information were assessed. Investigation of the somatropin
content was achieved using capillary electrophoresis with UV detection and
electrospray ionization mass spectrometry. Main outcome measure Accessibility and
quality of somatropin injections. Results Seventeen individual Internet vendor
websites distributed somatropin products directly to patients, majority (94%) did
not require a valid medical prescription before dispensing the products. Majority
(70%) of Internet pharmacies displayed no medical information and none (0%) of
the vendors displayed any regulatory body logo. All online samples had
significantly (p < 0.001) lower somatropin concentration than labelled.
Conclusion Our results clearly illustrate that prescription only biologic drugs
are widely available online and can be easily accessed by anyone. Unprofessional
distribution and handling is likely to cause degradation and possible patient
safety concerns.
PMID- 27888455
TI - Modalities of Generalization Through Single Case Studies.
AB - The value of case studies for theory building is still doubted in psychology. The
paper argues for the importance of case studies and the possibility of
generalizing from these for a specific sociocultural understanding of human
development. The paper first clarifies the notion of abduction within case
studies, drawing on pragmatists James and Peirce and expanding it with the work
of Lewin, and argues that it is the core mechanism that allows generalization
from case studies. The second section presents the possibility of generalizing
from individual single case studies, for which not only the subjective
perspective, but also the dynamics by which the social and cultural environment
guide and enable the person's development, have to be accounted for. The third
section elaborates the question of institutional case studies, where the
challenge is to account both for institutional dynamics, and for persons'
trajectories within; this is exemplified with an ongoing study on the process of
obtaining citizenship in Switzerland. The paper briefly concludes by highlighting
two possible implications of the paper, one concerning the process of theoretical
reasoning, the other, the fact that sociocultural psychology could itself be seen
as an institution in-the-making.
PMID- 27888456
TI - Soil pH and electrical conductivity are key edaphic factors shaping bacterial
communities of greenhouse soils in Korea.
AB - Soil microorganisms play an essential role in soil ecosystem processes such as
organic matter decomposition, nutrient cycling, and plant nutrient availability.
The land use for greenhouse cultivation has been increasing continuously, which
involves an intensive input of agricultural materials to enhance productivity;
however, relatively little is known about bacterial communities in greenhouse
soils. To assess the effects of environmental factors on the soil bacterial
diversity and community composition, a total of 187 greenhouse soil samples
collected across Korea were subjected to bacterial 16S rRNA gene pyrosequencing
analysis. A total of 11,865 operational taxonomic units at a 97% similarity
cutoff level were detected from 847,560 sequences. Among nine soil factors
evaluated; pH, electrical conductivity (EC), exchangeable cations (Ca2+, Mg2+,
Na+, and K+), available P2O5, organic matter, and NO3-N, soil pH was most
strongly correlated with bacterial richness (polynomial regression, pH: R2 =
0.1683, P < 0.001) and diversity (pH: R2 = 0.1765, P < 0.001). Community
dissimilarities (Bray-Curtis distance) were positively correlated with Euclidean
distance for pH and EC (Mantel test, pH: r = 0.2672, P < 0.001; EC: r = 0.1473, P
< 0.001). Among dominant phyla (> 1%), the relative abundances of Proteobacteria,
Gemmatimonadetes, Acidobacteria, Bacteroidetes, Chloroflexi, and Planctomycetes
were also more strongly correlated with pH and EC values, compared with other
soil cation contents, such as Ca2+, Mg2+, Na+, and K+. Our results suggest that,
despite the heterogeneity of various environmental variables, the bacterial
communities of the intensively cultivated greenhouse soils were particularly
influenced by soil pH and EC. These findings therefore shed light on the soil
microbial ecology of greenhouse cultivation, which should be helpful for devising
effective management strategies to enhance soil microbial diversity and improving
crop productivity.
PMID- 27888457
TI - Korean indigenous bacterial species with valid names belonging to the phylum
Actinobacteria.
AB - To understand the isolation and classification state of actinobacterial species
with valid names for Korean indigenous isolates, isolation source, regional
origin, and taxonomic affiliation of the isolates were studied. At the time of
this writing, the phylum Actinobacteria consisted of only one class,
Actinobacteria, including five subclasses, 10 orders, 56 families, and 330
genera. Moreover, new taxa of this phylum continue to be discovered. Korean
actinobacterial species with a valid name has been reported from 1995 as
Tsukamurella inchonensis isolated from a clinical specimen. In 1997, Streptomyces
seoulensis was validated with the isolate from the natural Korean environment.
Until Feb. 2016, 256 actinobacterial species with valid names originated from
Korean territory were listed on LPSN. The species were affiliated with three
subclasses (Acidimicrobidae, Actinobacteridae, and Rubrobacteridae), four orders
(Acidimicrobiales, Actinomycetales, Bifidobacteriales, and Solirubrobacterales),
12 suborders, 36 families, and 93 genera. Most of the species belonged to the
subclass Actinobacteridae, and almost of the members of this subclass were
affiliated with the order Actinomycetales. A number of novel isolates belonged to
the families Nocardioidaceae, Microbacteriaceae, Intrasporangiaceae, and
Streptomycetaceae as well as the genera Nocardioides, Streptomyces, and
Microbacterium. Twenty-six novel genera and one novel family, Motilibacteraceae,
were created first with Korean indigenous isolates. Most of the Korean indigenous
actionobacterial species were isolated from natural environments such as soil,
seawater, tidal flat sediment, and fresh-water. A considerable number of species
were isolated from artificial resources such as fermented foods, wastewater,
compost, biofilm, and water-cooling systems or clinical specimens. Korean
indigenous actinobacterial species were isolated from whole territory of Korea,
and especially a large number of species were from Jeju, Gyeonggi, Jeonnam,
Daejeon, and Chungnam. A large number of novel actinobacterial species continue
to be discovered since the Korean government is encouraging the search for new
bacterial species and researchers are endeavoring to find out novel strains from
extreme or untapped environments.
PMID- 27888458
TI - Helicobacter pylori outer membrane protein, HomC, shows geographic dependent
polymorphism that is influenced by the Bab family.
AB - The array of outer membrane proteins (OMPs) found in Helicobacter pylori provides
a crucial component for persistent colonization within the gastric niche. Not
only does H. pylori harbor a wide number of OMPs, but these OMPs often vary
across strains; this likely contributes to immune evasion, adaptation during long
term colonization, and potentially differential disease progression. Previous
work from our group described OMP differences among the Bab family (babA, babB,
and babC) and Hom family (homA and homB) from 80 American H. pylori clinical
isolates (AH) and 80 South Korean H. pylori clinical isolates (KH). In the
current study, we expanded our investigation to include the less well
characterized Hom family member, HomC.Overall, we identified and genotyped three
homC variants: homC S , homC L , and homC M , in both populations. Similar to
other polymorphic genes, the KH group showed less overall diversity, with 97.5%
of strains harboring homC L . In contrast, a more heterogeneous profile was
observed in strains derived from an American population; we found nearly equal
distribution of homC S and homC L . Further analysis of the AH group identified
associations between homC polymorphism and bab genotype; in AH strains, there was
a significant association between homC L and carriage of babA at locus A. Since
babA is an important virulence factor for the development of severe gastric
disease, these data may suggest that homC polymorphism plays a role in H. pylori
pathogenesis.
PMID- 27888459
TI - Comparative analysis of bacterial diversity in the rhizosphere of tomato by
culture-dependent and -independent approaches.
AB - The microbiome in the rhizosphere-the region surrounding plant roots-plays a key
role in plant growth and health, enhancing nutrient availability and protecting
plants from biotic and abiotic stresses. To assess bacterial diversity in the
tomato rhizosphere, we performed two contrasting approaches: culture-dependent
and -independent. In the culture-dependent approach, two culture media
(Reasoner's 2A agar and soil extract agar) were supplemented with 12 antibiotics
for isolating diverse bacteria from the tomato rhizosphere by inhibiting
predominant bacteria. A total of 689 bacterial isolates were clustered into 164
operational taxonomic units (OTUs) at 97% sequence similarity, and these were
found to belong to five bacterial phyla (Proteobacteria, Actinobacteria,
Bacteroidetes, Acidobacteria, and Firmicutes). Of these, 122 OTUs were retrieved
from the antibiotic-containing media, and 80 OTUs were recovered by one specific
antibiotic-containing medium. In the culture-independent approach, we conducted
Illumina MiSeq amplicon sequencing of the 16S rRNA gene and obtained 19,215 high
quality sequences, which clustered into 478 OTUs belonging to 16 phyla. Among the
total OTUs from the MiSeq dataset, 22% were recovered in the culture collection,
whereas 41% of OTUs in the culture collection were not captured by MiSeq
sequencing. These results showed that antibiotics were effective in isolating
various taxa that were not readily isolated on antibiotic-free media, and that
both contrasting approaches provided complementary information to characterize
bacterial diversity in the tomato rhizosphere.
PMID- 27888460
TI - Metagenomic analysis reveals the contribution of anaerobic methanotroph-1b in the
oxidation of methane at the Ulleung Basin, East Sea of Korea.
AB - We have previously identified a sulfate methane transition zone (SMTZ) within the
methane hydrate-bearing sediment in the Ulleung Basin, East Sea of Korea, and the
presence of ANME-1b group in the sediment has been shown by phylogenetic analysis
of a 16S rRNA gene. Herein, we describe taxonomic and functional profiling in the
SMTZ sample by metagenomic analysis, comparing with that of surface sediment.
Metagenomic sequences of 115 Mbp and 252 Mbp were obtained from SMTZ and surface
sediments, respectively. The taxonomic profiling using BLASTX against the SEED
within MG-RAST showed the prevalence of methanogens (19.1%), such as
Methanosarcinales (12.0%) and Methanomicrobiales (4.1%) predominated within the
SMTZ metagenome. A number of 185,200 SMTZ reads (38.9%) and 438,484 surface reads
(62.5%) were assigned to functional categories, and methanogenesis-related reads
were statistically significantly overrepresented in the SMTZ metagenome. However,
the mapping analysis of metagenome reads to the reference genomes, most of the
sequences of the SMTZ metagenome were mapped to ANME-1 draft genomes, rather than
those of methanogens. Furthermore, the two copies of the methyl-coenzyme M
reductase gene (mcrA) segments of the SMTZ metagenome were clustered with ANME-1b
in the phylogenetic cluster. These results indicate that ANME-1b reads were miss
annotated to methanogens due to limitation of database. Many of key genes
necessary for reverse methanogenesis were present in the SMTZ metagenome, except
for N 5,N 10-methenyl-H4MPT reductase (mer) and CoB-CoM heterodisulfide reductase
subunits D and E (hdrDE). These data suggest that the ANME-1b represents the
primary player the anaerobic methane oxidation in the SMTZ, of the methane
hydrate-bearing sediment at the Ulleung Basin, East Sea of Korea.
PMID- 27888462
TI - Deinococcus rubellus sp. nov., bacteria isolated from the muscle of antarctic
fish.
AB - Two new bacterial strains designated as Ant6T and Ant18 were isolated from the
muscle of a fish which had been caught in the Antarctic Ocean. Both strains are
Gram-stain-positive, catalase positive, oxidase negative, aerobic, and coccoid
bacteria. Phylogenetic analysis based on the 16S rRNA gene sequences of strains
Ant6T and Ant18 revealed that the strains Ant6T and Ant18 belong to the genus
Deinococcus in the family Deinococcaceae in the class Deinococci. The highest
degrees of sequence similarities of strains Ant6T and Ant18 were found with
Deinococcus alpinitundrae LMG 24283T by 96.4% and 96.8%, respectively. Strain
Ant6T exhibited a high level of DNA- DNA hybridization values with strain Ant18
(82 +/- 0.6%). Chemotaxonomic data revealed that the predominant fatty acids were
C17: 0 cyclo, 16:0, and feature 3 (C16:1 omega6c/omega7c) for both strains. A
complex polar lipid profile consisted of major amounts of unknown
phosphoglycolipids (PGL) and unknown aminophospholipid (APL). Based on the
phylogenetic, phenotypic, and chemotaxonomic data, strains Ant6T (=KEMB 9004-169T
=JCM 31434T) and Ant18 (=KEMB 9004-170) should be classified as a new species,
for which the name Deinococcus rubellus sp. nov. is proposed.
PMID- 27888461
TI - Inhibitory effects of bee venom and its components against viruses in vitro and
in vivo.
AB - Bee venom (BV) from honey bee (Apis Melifera L.) contains at least 18
pharmacologically active components including melittin (MLT), phospholipase A2
(PLA2), and apamin etc. BV is safe for human treatments dose dependently and
proven to possess different healing properties including antibacterial and
antiparasitidal properties. Nevertheless, antiviral properties of BV have not
well investigated. Hence, we identified the potential antiviral properties of BV
and its component against a broad panel of viruses. Co-incubation of non
cytotoxic amounts of BV and MLT, the main component of BV, significantly
inhibited the replication of enveloped viruses such as Influenza A virus (PR8),
Vesicular Stomatitis Virus (VSV), Respiratory Syncytial Virus (RSV), and Herpes
Simplex Virus (HSV). Additionally, BV and MLT also inhibited the replication of
non-enveloped viruses such as Enterovirus-71 (EV-71) and Coxsackie Virus (H3).
Such antiviral properties were mainly explained by virucidal mechanism. Moreover,
MLT protected mice which were challenged with lethal doses of pathogenic
influenza A H1N1 viruses. Therefore, these results provides the evidence that BV
and MLT could be a potential source as a promising antiviral agent, especially to
develop as a broad spectrum antiviral agent.
PMID- 27888464
TI - Mycobiota of ground red pepper and their aflatoxigenic potential.
AB - To investigate contamination of ground red pepper with fungi and mycotoxin, we
obtained 30 ground red pepper samples from 15 manufacturers in the main chili
pepper-producing areas in Korea. Fungal contamination was evaluated by spreading
diluted samples on potato dextrose agar plates. The total fungi counts ranged
from 0 to 7.3 * 103 CFU/g. In the samples, the genus Aspergillus had the highest
incidence, while Paecilomyces was isolated most frequently. The next most
frequent genera were Rhizopus, Penicillium, Cladosporium, and Alternaria. Within
Aspergillus, A. ruber was predominant, followed by A. niger, A. amstelodami, A.
ochraceus, A. terreus, A. versicolor, A. flavus, and A. fumigatus. The samples
were analyzed for aflatoxins, ochratoxin A, and citrinin by ultra-perfomance
liquid chromatography (UPLC) with a fluorescence detector. Ochratoxin A was
detected from three samples at 1.03-2.08 MUg/kg, whereas no aflatoxins or
citrinin were detected. To test the potential of fungal isolates to produce
aflatoxin, we performed a PCR assay that screened for the norB-cypA gene for 64
Aspergillus isolates. As a result, a single 800-bp band was amplified from 10 A.
flavus isolates, and one Aspergillus sp. isolate. UPLC analyses confirmed
aflatoxin production by nine A. flavus isolates and one Aspergillus sp. isolate,
which produced total aflatoxins at 146.88-909.53 MUg/kg. This indicates that
continuous monitoring of ground red pepper for toxigenic fungi is necessary to
minimize mycotoxin contamination.
PMID- 27888463
TI - Deinococcus sedimenti sp. nov. isolated from river sediment.
AB - A novel Gram-positive, oval-shaped, non-motile bacterium designated strain 16F1LT
was isolated from sediment collected from the Han River in Seoul, Republic of
Korea. Based on the 16S rRNA gene sequence (1,448 bp), this strain was identified
as a member of the genus Deinococcus that belongs to the class Deinococci.
Similarities in the 16S rRNA gene sequence were shown with Deinococcus
daejeonensis MJ27T (99.0%), D. grandis DSM 3963T (98.1%), D. radiotolerans C1T
(97.5%), and D. caeni Ho-08T (97.2%). Strain 16F1LT was classified as a different
genomic species from closely related Deinococcus members, based on less than 70%
DNA-DNA relatedness. Genomic DNA G+C content of strain 16F1LT was 67.2 mol%.
Strain 16F1LT was found to grow at temperatures of 10-37 degrees C (optimum 25
degrees C) and pH 7-8 (optimum pH 7) on R2A medium, and was catalase-positive and
oxidase-negative. Strain 16F1LT showed resistance to gamma radiation (D10 > 2
kGy). In addition, this strain had the following chemotaxonomic characteristics:
the major fatty acids were C15:1 omega6c and C16:1 omega7c; the polar lipid
profile contained phosphoglycolipids, unknown aminophospholipids, an unknown
aminoglycolipid, unknown aminolipids, an unknown glycolipid, an unknown
phospholipid, and an unknown polar lipid; the major quinone was MK-8.
Phylogenetic, genotypic, phenotypic, and chemotaxonomic characteristics indicated
that strain 16F1LT represents a novel species within the genus Deinococcus, for
which the name Deinococcus sedimenti sp. nov. is proposed. The type strain is
16F1LT (=KCTC 33796T =JCM 31405T).
PMID- 27888465
TI - Bacillus piscis sp. nov., a novel bacterium isolated from the muscle of the
antarctic fish Dissostichus mawsoni.
AB - In this paper, a new bacterial strain designated as 16MFT21T is isolated from the
muscle of a fish caught in the Antarctic Ocean. Strain 16MFT21T is a Gram
staining-positive, catalase-oxidase-positive, rod-shaped facultative-aerobic
bacterium. The phylogenetic analysis that is based on the 16S-rRNA gene sequence
of strain 16MFT21T revealed that it belongs to the genus Bacillus in the family
Bacillaceae in the class Bacilli. The highest degrees of the sequence similarity
of the strain 16MFT21T is with Bacillus licheniformis ATCC 14580T (96.6%) and
Bacillus sonorensis NBRC 101234T (96.6%). The isolate formed a pale-yellow
pigment, and it grew in the presence of 0% to 10% (w/v) NaCl (optimum at 2%
NaCl), a pH of 6.0 to 10.0 (optimum pH from 7.0 to 8.0), and from 4 degrees C to
30 degrees C (optimum at 30 degrees C). The major polar lipids consist of
diphosphatidylglycerol (DPG) and phosphatidylglycerol (PG). The predominant fatty
acids are iso-C15:0, anteiso-C15:0, iso-C17:0, and anteiso-C17:0. The main
respiratory quinone is menaquinone-7 (MK-7), and based on the use of the meso
diaminopimelic acid as the diagnostic diamino acid, the peptidoglycan cell-wall
type is A1gamma. Based on the phylogenetic, phenotypic, and chemotaxonomic data,
strain 16MFT21T (=KCTC 18866T =JCM 31664T) for which the name Bacillus piscis sp.
nov. is proposed should be classified as a new species.
PMID- 27888466
TI - The Expression of IGFBP6 after Spinal Cord Injury: Implications for Neuronal
Apoptosis.
AB - IGFBP6, a member of the insulin-like growth factor-binding proteins family that
contains six high affinity IGFBPs, modulates insulin-like growth factor (IGF)
activity and also showed an independent effect of IGF, such as growth inhibition
and apoptosis. However, the role of IGFBP6 in spinal cord injury (SCI) remains
largely elusive. In this study, we have performed an acute SCI model in adult
rats and investigated the dynamic changes of IGFBP6 expression in the spinal
cord. Our results showed that IGFBP6 was upregulated significantly after SCI,
which was paralleled with the levels of apoptotic proteins p53 and active caspase
3. Immunofluorescent labeling showed that IGFBP6 was co-localizated with active
caspase-3 and p53 in neurons. To further investigate the function of IGFBP6, an
apoptosis model was established in primary neuronal cells. When IGFBP6 was
knocked down by specific short interfering RNA (siRNA), the protein levels of
active caspase-3 and Bax as well as the number of apoptotic primary neurons were
significantly decreased in our study. Taken together, our findings suggest that
the change of IGFBP6 protein expression plays a key role in neuronal apoptosis
after SCI.
PMID- 27888468
TI - Reinforcement sensitivity and restrained eating: the moderating role of executive
control.
AB - PURPOSE: As the prevalence of overweight and obesity are still increasing, it is
important to help individuals who encounter difficulty with losing weight. The
current study was set out to further investigate characteristics of individuals
who are highly motivated to restrict their food intake to lose weight, but fail
to do so (i.e., restrained eaters). The motivation to lose weight might stem from
high punishment sensitivity, whereas the failure to succeed in restricting food
intake might be the result of high reward sensitivity. Thus, it was examined
whether restrained eaters are characterized by both high reward sensitivity and
high punishment sensitivity. Additionally, this is the first study to examine
executive control as a potential moderator of this relationship. METHODS: Female
undergraduates (N = 60) performed a behavioral measure of executive control, and
completed the Restraint Scale to index level of restrained eating as well as two
questionnaires on reinforcement sensitivity; the Behavioral Inhibition
Scale/Behavioral Activation Scale, and the Sensitivity to Punishment and
Sensitivity to Reward Questionnaire. RESULTS: There was a positive relationship
between restrained eating and punishment sensitivity as indexed by both
questionnaires. Reward sensitivity as measured by both indices was not directly
related to restrained eating. Executive control moderated the relation between
reward responsivity (but not reward-drive) and restrained eating; specifically in
women with relatively weak executive control there was a positive relationship
between reward responsivity and restrained eating behavior. CONCLUSION: In women
with low executive control, restrained eating is associated with both heightened
sensitivity to punishment and heightened responsivity to reward.
PMID- 27888467
TI - OsWRKY80-OsWRKY4 Module as a Positive Regulatory Circuit in Rice Resistance
Against Rhizoctonia solani.
AB - BACKGROUND: Plant WRKY transcription factors play pivotal roles in diverse
biological processes but most notably in plant defense response to pathogens.
Sheath blight represents one of the predominant diseases in rice. However, our
knowledge about the functions of WRKY proteins in rice defense against sheath
blight is rather limited. RESULTS: Here we demonstrate that the expression of
Oryza sativa WRKY80 gene (OsWRKY80) is rapidly and strongly induced upon
infection of Rhizoctonia solani, the causal agent of rice sheath blight disease.
OsWRKY80 expression is also induced by exogenous jasmonic acid (JA) and ethylene
(ET), but not by salicylic acid (SA). OsWRKY80-GFP is localized in the nuclei of
onion epidermal cells in a transient expression assay. Consistently, OsWRKY80
exhibits transcriptional activation activity in a GAL4 assay in yeast cells.
Overexpression of OsWRKY80 in rice plants significantly enhanced disease
resistance to R. solani, concomitant with elevated expression of OsWRKY4, another
positive regulator in rice defense against R. solani. Suppression of OsWRKY80 by
RNA interference (RNAi), on the other hand, compromised disease resistance to R.
solani. Results of yeast one-hybrid assay and transient expression assay in
tobacco cells have revealed that OsWRKY80 specifically binds to the promoter
regions of OsWRKY4, which contain W-box (TTGAC[C/T]) or W-box like (TGAC[C/T])
cis-elements. CONCLUSIONS: We propose that OsWRKY80 functions upstream of OsWRKY4
as an important positive regulatory circuit that is implicated in rice defense
response to sheath blight pathogen R. solani.
PMID- 27888469
TI - Paraneoplastic rhombencephalitis and cerebellitis preceded by isolated
intractable vomiting.
PMID- 27888470
TI - Mutation of the Ser18 phosphorylation site on the sole Saccharomyces cerevisiae
UCS protein, She4, can compromise high-temperature survival.
AB - Folding of the myosin head often requires the joint actions of Hsp90 and a
dedicated UNC45, Cro1, She4 (UCS) domain-containing cochaperone protein.
Relatively weak sequence conservation exists between the single UCS protein of
simple eukaryotes (She4 in budding yeast) and the two UCS proteins of higher
organisms (the general cell and smooth muscle UNC45s; UNC45-GC and UNC45-SM
respectively). In vertebrates, UNC45-GC facilitates cytoskeletal function whereas
the 55% identical UNC45-SM assists in the assembly of the contractile apparatus
of cardiac and skeletal muscles. UNC45-SM, unlike UNC45-GC, shares with yeast
She4 an IDSL sequence motif known to be a site of in vivo serine phosphorylation
in yeast. Investigating this further, we found that both a non-phosphorylatable
(S18A) and a phosphomimetic (S18E) mutant form of She4 could rescue the type 1
myosin localisation and endocytosis defects of the yeast she4Delta mutant at 39
degrees C. Nevertheless, at higher temperature (45 degrees C), only She4 (S18A),
not She4(S18E), could substantially rescue the cell lysis defect of she4Delta
mutant cells. In the yeast two-hybrid system, the non-phosphorylatable S18A and
S251A mutant forms of She4 and UNC45-SM still displayed the stress-enhanced in
vivo interaction with Hsp90 seen with the wild-type She4 and UNC45-SM. Such high
temperature enforcement to interaction was though lost with the phosphomimetic
mutant forms (She4(S18E) and UNC45-SM (S251E)), an indication that
phosphorylation might suppress these increases in She4/Hsp90 and UNC45-SM/Hsp90
interaction with stress.
PMID- 27888471
TI - The Role of Incarceration and Reentry on Colorectal Cancer Screening Among
Formerly Incarcerated Black and Hispanic-Latino Men in New York City.
AB - In the USA, the rate of incarceration has steadily increased from 1980 to 2010, a
period called mass incarceration. Incarcerated individuals are now leaving the
jail system in large numbers, the majority of whom are returning to low-income
and Black and Hispanic-Latino communities. Although highly preventable,
colorectal cancer (CRC) is a significant risk for minority and underserved men
over the age of 50. Black men have the highest CRC incidence and mortality rates,
which can be prevented and treated effectively when detected early, especially
via colonoscopy. Hispanic-Latino men have the third highest CRC incidence rates
and the fourth highest mortality rates. This qualitative study seeks to examine
how the experience of incarceration and reintegration affects the awareness of
CRC screening practices, the attitudes towards these services, the availability
of services, and the frequency of CRC screening among the recently released Black
and Hispanic-Latino men over the age of 50 in New York City.
PMID- 27888472
TI - The Quality of Romanian Breast Cancer Websites: a Five-Year Longitudinal
Assessment.
AB - The Internet has become an important source of overall health information and
seems to be the second common source of information used by patients in the
process of decision-making before breast surgery. The goal of this study was to
monitor Romanian breast cancer websites and their quality over a period of 5
years. We evaluated a sample of 20 websites selected from Google's first search
results pages using specific rating scores for e-health quality, completeness,
accuracy, and potential risk, in 2011 and 2016, respectively. Only 15 (75%) of
the websites in the 2011 sample were accessible in 2016 and only two (10%)
retained real-life visibility (Google PageRank < 20). The mean quality scores at
baseline (2011) and follow-up (2016), respectively, were as follows: e-health
quality 3.80 vs. 4.05; completeness 4.23 vs. 5.43; accuracy 5.74 vs. 6.35; and
potential risk score 7.60 vs. 7.30. All quality scores were low or, at best,
modest and did not improve significantly over the 5-year period. The results of
the study draw attention to the need for programs aiming to improve the ability
of breast cancer patients to screen the online health resources and to better
regulate the medical Internet to safeguard the best interest of health
information seekers.
PMID- 27888474
TI - Colorectal surgery in elderly patients: our experience with DaVinci Xi(r) System.
AB - BACKGROUND: Robotic technology for colorectal surgery was introduced by Weber in
2002 to improve the benefits of the minimally invasive surgery already offered by
the laparoscopic approach. AIMS: To evaluate the feasibility and the efficacy of
the application of robotic surgery in elderly patients affected by colorectal
diseases. METHODS: We reported the outcomes obtained during our first 50
colorectal robotic surgical performances with DaVinci Xi(r) System, and we
compared the results assessed for patients younger or older than 70 years.
RESULTS: We examined 28 patients younger and 22 older than 70 years who underwent
colorectal robotic surgery in our institution from September 2014 to June 2016.
We performed 15 right colectomies, 20 left colectomies, 15 rectal resections.
Mean ASA score was significantly higher in the Elderly Group. No statistically
significant differences have been revealed in terms of post-operative morbidity,
hospital stay, first diet intake, first flatus canalization and oncological
outcome. DISCUSSION: According to the prolonged operative time, robotic
technology was initially reserved to young patients with good performance status
in order to avoid systemic failures in elderly patients suffering from pre
existent comorbidities. Otherwise, once robotic approach safety and benefits in
terms of better systemic outcomes were demonstrated, it started to be performed
in elderly patients with satisfactory outcomes. CONCLUSION: Our experience
revealed that robotic surgical approach is safe, feasible and offers many
systemic benefits in elderly patients also with high ASA score. Age alone has not
to be considered as exclusion criteria for robotic approach.
PMID- 27888473
TI - Nasal mucosa healing after endoscopic sinus surgery in chronic rhinosinusitis of
elderly patients: role of topic alpha-tocopherol acetate.
AB - BACKGROUND: Chronic rhinosinusitis (CRS) in European country ranges in elderly
patients from 4.5 to 12% of population and has a significant effect on quality of
life. In these patients, rhinosinusitis is linked to immune functions changes
with age and to mucosal paraphysiological alterations such as crusting formations
with atrophic epithelium, variations of nasal airflow and modifications of the
mucociliary clearance. Failure of medical treatments leads to surgery in patients
with persistent symptoms and radiographic signs of CRS. The choice of appropriate
post-surgical topic treatments is important for healing time and for preventing
mucosal complications such as synechiae, crusting formation and atrophy with
secondary bacterial and fungal infections. AIMS: Defining the effects of topic
alpha-tocopherol acetate administration on nasal mucosa healing after endoscopic
sinus surgery in CRS of elderly patients. METHODS: In this study were included 32
patients, mean age 68.6, who underwent FESS because affected by CRS not
responsive to medical treatments. After surgical treatment, we distinguish two
groups basing on local nasal therapy. RESULTS: We investigated, in the
postoperative time, the role of alpha-tocopherol acetate compared to gomenol oil.
Follow-up was performed at 7-15 days and 1-3 months after surgery. We evaluated
mucosal restoration using Rhinoscopy Sum Score and quality of life using Nasal
Six Items Symptom Questionnaire. We observed a faster healing time and less
recurrence of complications in patients who underwent topic treatment with alpha
tocopherol acetate. DISCUSSION: In our research, we observed that alpha
tocopherol acetate has no contraindications and side effects. CONCLUSIONS: Our
study showed the effectiveness of alpha-tocopherol acetate topic treatment in
elderly patients affected by CRS after FESS, in improving and speeding up the
process of restoring the sinonasal mucosa, compared to another topic medication.
PMID- 27888476
TI - Histological detection of dynamic glial responses in the dysmyelinating Tabby
jimpy mutant brain.
AB - Oligodendrocytes (OLs) are glial cells that form myelin sheaths surrounding the
axons in the central nervous system (CNS). Jimpy (jp) mutant mice are
dysmyelinating disease models that show developmental abnormalities in myelinated
OLs in the CNS. The causative gene in jp mice is the proteolipid protein (PLP)
located on the X chromosome. Mutations in the jp allele result in exon 5 skipping
and expression of abnormal PLP containing a C-terminal frame shift. Many lines of
evidence suggest that abnormal PLP in OLs results in endoplasmic reticulum (ER)
stress and cell death. To histologically detect glial responses in the jp mutant
brain, we performed staining with lineage-specific markers. Using OL markers and
OL progenitor cell marker staining, we identified reduced numbers of OL lineage
cells in the jp mutant brain. Nuclear staining of the transcription factor Olig1
was observed in the Tabby-jp brain, whereas cytoplasmic Olig1 staining was
observed in the wild-type brain at postnatal day 21, suggesting that active
myelination was present in the mutant brain. Many microglial cells with activated
morphology and intensive staining of CD11b microglia marker were observed in the
internal capsule of the mutant brain, a region of white matter containing
residual OLs. Activated astrocytes with high glial fibrillary acidic protein
immunoreactivity were also mainly observed in white matter. Finally, we performed
in situ hybridization using C/EBP homologous protein (CHOP) antisense probes to
detect ER stressed cells. CHOP mRNA was strongly expressed in residual OLs in the
Tabby-jp mutant mice at postnatal stages. These data show that microglia and
astrocytes exhibit dynamic glial activation in response to cell death of OLs
during Tabby-jp pathogenesis, and that CHOP antisense probes may be a good marker
for the detection of ER-stressed OLs in jp mutant mice.
PMID- 27888475
TI - Assessing contamination of microalgal astaxanthin producer Haematococcus cultures
with high-resolution melting curve analysis.
AB - Due to its superior antioxidant capabilities and higher activity than other
carotenoids, astaxanthin is used widely in the nutraceutical and medicine
industries. The most prolific natural producer of astaxanthin is the unicellular
green microalga Haematococcus pluvialis. The correct identification of any
contaminants in H. pluvialis cultures is both essential and nontrivial for
several reasons. Firstly, while it is possible to distinguish the main microalgal
contaminant Coelastrella sp. (in H. pluvialis cultures), in practice, it is
frequently a daunting and error-prone task for personnel without extensive
experience in the microscopic identification of algal species. Secondly, the
undetected contaminants may decrease or stop production of astaxanthin. Lastly,
the presence of other contaminants such as fungi can eventually infect and
destroy the whole algae collection. In this study, high-resolution melting (HRM)
analysis was developed to detect microalgal and fungal contamination. The
developed diagnostic procedure allowed to distinguish pure H. pluvialis samples
from cultures contaminated with low amounts (1.25 ng/ml) of microalgal DNA and
fungal DNA (2.5 ng/ml). Such discrimination is not possible with the use of
microscopy observations and allows fast and efficient collection testing.
PMID- 27888477
TI - Impact of Pediatric Acute Otitis Media on Child and Parental Quality of Life and
Associated Productivity Loss in Malaysia: A Prospective Observational Study.
AB - BACKGROUND: Acute otitis media (AOM) affects both child and parental quality of
life (QoL). Data on QoL associated with AOM in Malaysia is sparse, and the burden
of indirect costs have not been previously reported. OBJECTIVE: To determine the
effect of pediatric AOM on child and parental QoL in Malaysia and its economic
impact (indirect costs). METHODS: We utilized a set of QoL questionnaires (PAR
AOM-QOL, OM-6, and EQ-5D) combined with questions addressing work/productivity
loss and financial costs associated with caring for a child during his or her
illness in an observational, multicenter, prospective study. RESULTS: One hundred
and ten AOM patients aged <=5 years were included in the analysis. The majority
of respondents were the patient's mother. Parental QoL was negatively affected
for both emotional and daily disturbance scales, but the level of disturbance was
low. Using OM-6, the greatest negative impact was on the child's QoL, followed by
caregiver concerns, physical suffering, and emotional distress. Using EQ-5D, a
moderately positive relationship between parents' emotional disturbance and daily
disturbance, and a weak, negative correlation between parental emotional
disturbance and parental health status was found. Parents with paid employment
took an average of 21 h from work to care for their child, at an average cost of
321.8 Malaysian ringgit (US$97) in addition to their contribution to direct
medical costs. Productivity losses whilst at work, uncompensated wage losses, and
leisure time losses are also reported. CONCLUSIONS: This study found that AOM is
associated with some negative impact on parental QoL and significant economic
impact at both patient and societal levels. The findings provide useful data on
healthcare resource utilization and disease burden of AOM in Malaysia.
PMID- 27888478
TI - Examining the Quality of Medicines at Kenyan Healthcare Facilities: A Validation
of an Alternative Post-Market Surveillance Model That Uses Standardized Patients.
AB - BACKGROUND: Promoting access to medicines requires concurrent efforts to
strengthen quality assurance for sustained impact. Although problems of
substandard and falsified medicines have been documented in low- and middle
income countries, reliable information on quality is rarely available. OBJECTIVE:
The aim of this study was to validate an alternative post-market surveillance
model to complement existing models. METHODS: The study used standardized
patients or mystery clients (people recruited from the local community and
trained to pose as real patients) to collect medicine samples after presenting a
pre-specified condition. The patients presented four standardized conditions to
42 blinded facilities in Nairobi, Kenya, resulting in 166 patient-clinician
interactions and dispensing of 300 medicines at facilities or nearby retail
pharmacies. The medicine samples obtained thus resemble those that would be given
to real patients. RESULTS: Sixty samples were selected from the 300, and sent for
analysis at the Kenya National Quality Control Laboratory. Of these, ten (17%)
did not comply with monograph specifications (three ibuprofen, two cetirizine,
two amoxicillin/clavulanic acid combinations, and one each for prednisone,
salbutamol and zinc). Five of the ten samples that failed had been
inappropriately prescribed to patients who had presented symptoms of unstable
angina. There was no association between medicine quality and ownership, size or
location of the facilities. CONCLUSION: The study shows that the standardized
patient model can provide insights into multiple dimensions of care, thus helping
to link primary care encounters with medicine quality. Furthermore, it makes it
possible to obtain medicines from blinded sellers, thus minimizing the risk of
obtaining biased samples.
PMID- 27888479
TI - Use of major ions to evaluate the hydrogeochemistry of groundwater influenced by
reclamation and seawater intrusion, West Nile Delta, Egypt.
AB - The aim of this research is to evaluate the groundwater geochemistry in western
Nile Delta area as an example of an aquifer influenced by reclamation and
seawater intrusion. To conduct this study, 63 groundwater samples and one surface
water sample from El Nubaria Canal were collected. To estimate the origin of
dissolved ions and the geochemical processes influencing this groundwater,
integration between land use change, pedological, hydrogeological,
hydrogeochemical, and statistical approaches was considered. Results suggest that
the groundwater flow regime changed from northeast and southwest directions
around El Nubaria canal before 1966 to northern and northeastern directions due
to newly constructed channel network. Soil salinity and mineral contents, seepage
from irrigation canal, and seawater intrusion are the main factors controlling
the groundwater chemistry. Statistically, the groundwater samples were classified
into eight groups, one to four for the deep groundwater and five to eight for the
shallow groundwater. The deep groundwater is characterized by two groups of
chemicals (SO4-HCO3-Mg-Ca-K and Cl-Na), while the shallow groundwater groups of
chemicals are Na-Cl-SO4 and K-HCO3-Ca-Mg. Both shallow groundwater and deep
groundwater are mostly saturated with respect to carbonate minerals and
undersaturated with respect to chloride minerals. Sulfate minerals are above the
saturation limit in the shallow groundwater, but in the deep samples, these
minerals are under the saturation limit. Ion exchange, carbonate production,
mineral precipitation, and seawater intrusion are the geochemical processes
governing the groundwater chemistry in the study area.
PMID- 27888480
TI - Serum concentrations of polychlorinated biphenyls (PCBs) in a Lebanese
population: ENASB study.
AB - Polychlorinated biphenyls (PCBs) are persistent organic pollutants that are still
routinely detected 30 years after their restriction in many countries. PCBs have
been associated with several non-communicable diseases. They are best measured
via human biomonitoring (HBM). The concentrations of six indicator PCBs (PCBs 28,
52, 101, 138, 153, and 180) were measured in the serum samples of 316 Lebanese
students and employees from Saint Joseph University of Beirut, Lebanon, using gas
chromatography coupled to an iron trap mass spectrometer detector. PCBs were
detected in 56.3 to 59.2% of the serum samples. The sum of PCB (?PCBs) levels
ranged from 100 Hz) burst-firing. Finally, both
SE+ HBD- and SE+ HBD+ cells received recurrent excitatory input that was capable
of generating APs, especially in the absence of feedback inhibition.
SIGNIFICANCE: Taken together, these data suggest that the enhanced excitability
of HBDs combined with the altered intrinsic and network properties of granule
cells collude to promote excitability and synchrony in the epileptic dentate
gyrus.
PMID- 27888508
TI - Outcomes following laryngectomy refusal after insufficient response to induction
chemotherapy.
AB - OBJECTIVE: To review patients who refused a total laryngectomy and were treated
with radiotherapy (RT) after insufficient response to induction chemotherapy in a
larynx preservation protocol for advanced-stage cancer of the larynx and to
compare their outcomes with good responders. STUDY DESIGN: Retrospective cohort
study. METHODS: Eighty-six patients treated with induction chemotherapy followed
by RT were included in the analysis: 75 good responders and 11 insufficient
responders who refused surgery. We compared overall survival (OS), disease-free
survival (DFS), and laryngo-esophageal dysfunction-free survival (LEDFS) of the
cohort populations in univariate and multivariate analyses. RESULTS: The median
follow-up was 44 months. The 2-year and 5-year survival rates were respectively
72.2% and 58.8% for OS, 62.8% and 49.4% for DFS, and 59.5% and 44.3% for LEDFS.
No survival endpoint was significantly decreased among insufficient responders,
contrary to what we expected. When we focused on patients with an initially fixed
larynx, the recovery of larynx mobility after induction chemotherapy was not
associated with OS (P = 0.6055), DFS (P = 0.459), or LEDFS (P = 0.7403).
CONCLUSION: To the best of our knowledge, our study is the first patient
treatment evaluation focused on subjects who refused a total laryngectomy after
insufficient response to induction chemotherapy in a larynx preservation protocol
for advanced-stage cancer of the larynx. Surprisingly, these patients treated
with RT in our cancer center did not experience decreased functional and
oncologic outcomes compared to good responders. Further studies will explore the
relevance of response criteria and their evaluation methods. LEVEL OF EVIDENCE:
4. Laryngoscope, 127:1791-1796, 2017.
PMID- 27888510
TI - Tenosynovial giant cell tumors of the temporomandibular joint and lateral skull
base: Review of 11 cases.
AB - OBJECTIVES: To elucidate the clinical behavior, treatment, and outcomes of
tenosynovial giant cell tumors (TGCT) involving the temporomandibular joint (TMJ)
and adjacent temporal bone. STUDY DESIGN: Retrospective case series with
histopathologic review. METHODS: A retrospective chart review was performed
identifying and collecting data from all cases of TGCT involving the TMJ and
adjacent temporal bone that were treated at the authors' center between January
1960 and December 2015. RESULTS: Eleven histopathologically confirmed cases met
inclusion criteria. The median age at diagnosis was 49 years, eight patients were
men, and the median follow-up was 116 months. Computed tomographic (CT) imaging
revealed a lytic expansile mass centered on the TMJ. Magnetic resonance imaging
(MRI) most commonly exhibited hypointense signal on precontrast T1- and T2
weighted sequences and variable postcontrast enhancement. The median delay in
diagnosis was 24 months, and the most common presenting symptoms were hearing
loss and pain. All patients underwent surgical resection, eight receiving gross
total removal, one receiving near total removal, and two patients from early in
the series receiving subtotal resection with neoadjuvant or adjuvant radiation.
Histopathological review of surgical specimens revealed chondroid metaplasia in
seven tumors. Eight of nine cases receiving gross total or near total resection
have no evidence of recurrence to date. CONCLUSIONS: TGCT of the TMJ and temporal
bone are rare and locally aggressive tumors that commonly present with
nonspecific symptoms. A careful review of CT and MRI followed by early biopsy is
critical in establishing an accurate diagnosis and facilitating appropriate
treatment. TGCT of the TMJ more commonly contain chondroid metaplasia when
compared to TGCT at other anatomic locations. Gross total resection is achievable
in most cases and offers long-term cure. Radiation may be considered for
recurrent disease or adjuvant therapy following subtotal resection. LEVEL OF
EVIDENCE: 4. Laryngoscope, 127:2340-2346, 2017.
PMID- 27888511
TI - Dermatological content of U.K. undergraduate curricula: where are we now?
PMID- 27888512
TI - Response to Astley's Letter to the Editor.
PMID- 27888513
TI - Decreased allopregnanolone levels in cerebrospinal fluid obtained during status
epilepticus.
AB - Neuroactive steroids are increasingly considered as relevant modulators of
neuronal activity. Especially allopregnanolone (AP) and pregnenolone sulfate (PS)
have been shown to possess, respectively, anticonvulsant or proconvulsant
properties. In view of the potential role of these steroids, we aimed at
evaluating AP and PS levels in cerebrospinal fluid (CSF) and blood samples
obtained from patients with status epilepticus (SE). To this purpose, we enrolled
41 patients affected by SE and 41 subjects investigated for nonepileptic
neurologic disorders. Liquid chromatographic procedures coupled with electrospray
tandem mass spectrometry and routine laboratory investigations were performed.
Significantly lower AP levels were found in the CSF of patients affected by SE (
30%; p < 0.05, Mann-Whitney test). Notably, AP was not detectable in 28 of 41
patients affected by SE (p < 0.01 vs. controls, Fisher's exact test). In serum,
AP levels did not differ in the two considered groups. Conversely, PS was present
at similar levels in the investigated groups. Finally, differences in AP levels
could not be explained by a variation in CSF albumin content. These findings
indicate that AP is defective in the CSF of patients affected by SE. This
phenomenon was not dependent on carriers for steroids, such as albumin.
PMID- 27888514
TI - The burden of premature mortality of epilepsy in high-income countries: A
systematic review from the Mortality Task Force of the International League
Against Epilepsy.
AB - Since previous reviews of epidemiologic studies of premature mortality among
people with epilepsy were completed several years ago, a large body of new
evidence about this subject has been published. We aim to update prior reviews of
mortality in epilepsy and to reevaluate and quantify the risks, potential risk
factors, and causes of these deaths. We systematically searched the Medline and
Embase databases to identify published reports describing mortality risks in
cohorts and populations of people with epilepsy. We reviewed relevant reports and
applied criteria to identify those studies likely to accurately quantify these
risks in representative populations. From these we extracted and summarized the
reported data. All population-based studies reported an increased risk of
premature mortality among people with epilepsy compared to general populations.
Standard mortality ratios are especially high among people with epilepsy aged <50
years, among those whose epilepsy is categorized as structural/metabolic, those
whose seizures do not fully remit under treatment, and those with convulsive
seizures. Among deaths directly attributable to epilepsy or seizures, important
immediate causes include sudden unexpected death in epilepsy (SUDEP), status
epilepticus, unintentional injuries, and suicide. Epilepsy-associated premature
mortality imposes a significant public health burden, and many of the specific
causes of death are potentially preventable. These require increased attention
from healthcare providers, researchers, and public health professionals.
PMID- 27888515
TI - Conserving the linear momentum in stochastic dynamics: Dissipative particle
dynamics as a general strategy to achieve local thermostatization in molecular
dynamics simulations.
AB - Stochastic dynamics is a widely employed strategy to achieve local
thermostatization in molecular dynamics simulation studies; however, it suffers
from an inherent violation of momentum conservation. Although this short-coming
has little impact on structural and short-time dynamic properties, it can be
shown that dynamics in the long-time limit such as diffusion is strongly
dependent on the respective thermostat setting. Application of the methodically
similar dissipative particle dynamics (DPD) provides a simple, effective strategy
to ensure the advantages of local, stochastic thermostatization while at the same
time the linear momentum of the system remains conserved. In this work, the key
parameters to employ the DPD thermostats in the framework of periodic boundary
conditions are investigated, in particular the dependence of the system
properties on the size of the DPD-region as well as the treatment of forces near
the cutoff. Structural and dynamical data for light and heavy water as well as a
Lennard-Jones fluid have been compared to simulations executed via stochastic
dynamics as well as via use of the widely employed Nose-Hoover chain and
Berendsen thermostats. It is demonstrated that a small size of the DPD region is
sufficient to achieve local thermalization, while at the same time artifacts in
the self-diffusion characteristic for stochastic dynamics are eliminated. (c)
2016 Wiley Periodicals, Inc.
PMID- 27888516
TI - Beyond the two-group paradigm in studies of intergroup conflict and inequality:
Third parties and intergroup alliances in xenophobic violence in South Africa.
AB - Social psychologists typically conceptualize intergroup processes in terms of
unequal pairs of social categories, such as an advantaged majority (e.g.,
'Whites') and a disadvantaged minority (e.g., 'Blacks'). We argue that this two
group paradigm may obscure the workings of intergroup power by overlooking: (1)
the unique dynamics of intergroup relations involving three or more groups, and
(2) the way some two-group relationships function as strategic alliances that
derive meaning from their location within a wider relational context. We develop
this argument through a field study conducted in a grape-farming town in South
Africa in 2009, focusing on an episode of xenophobic violence in which a
Zimbabwean farm worker community was forcibly evicted from their homes by their
South African neighbours. Discursive analysis of interview accounts of the nature
and origins of this violence shows how an ostensibly binary 'xenophobic' conflict
between foreign and South African farm labourers was partially constituted
through both groups' relationship with a third party who were neither victims nor
perpetrators of the actual violence, namely White farmers. We highlight some
potential political consequences of defaulting to a two-group paradigm in
intergroup conflict studies.
PMID- 27888517
TI - Differentiation of induced pluripotent stem cell-derived neutrophil granulocytes
from common marmoset monkey (Callithrix jacchus).
AB - BACKGROUND: Inherited and acquired marrow failure syndromes most commonly lead to
defect in myeloid and/or neutrophil differentiation and/or function. Besides
this, neutropenia induced by cancer-adjusted chemotherapy is a frequent clinical
problem. In both cases, cell replacement therapy is a well-established, but due
to necessity of donors limited and perilous procedure. Therefore, autologous cell
replacement from patients' own marrow-derived cells lowers risk and bares new
possibilities for therapy. Since the immune system of the marmoset monkey is
known to show high similarity to humans, preclinical studies with these animals
bare high hopes for immunologic research and cell replacement therapy. STUDY
DESIGN AND METHODS: Marmoset-induced pluripotent stem (iPS) cells (cj-iPSC) were
first cultivated on mouse embryonic feeder cells in medium containing recombinant
human vascular endothelial growth factor. After 13 days, CD34+/vascular
endothelial growth factor receptor-2 (VEGFR2)- cells were sorted, treated with
interleukin (IL-3), thrombopoietin, and stem cell factor for 20 days and further
cultivated with granulocyte-colony-stimulating factor (G-CSF) and IL-3 for 10
days. RESULTS: CD34+/VEGFR2- cells could be generated in high amounts (39.65 +/-
6.01%; 2.31 * 105 cells). Afterward, these hematopoietic progenitors could be
successfully differentiated into mature cj-iPSC-derived neutrophils showing
similar morphology, specific surface antigens, and neutrophil-specific gene
products and in vitro phagocytic activity. CONCLUSION: cj-iPSC-derived
neutrophils bare high hopes in hematologic cell replacement therapy. They exhibit
high morphologic similarity to native neutrophils and present neutrophil-specific
surface antigens, antimicrobial proteins, and gene products yielding an
auspicious approach for continuative experiments including tests in living
animals.
PMID- 27888518
TI - A novel KEL silencing allele in a Brazilian patient with anti-Ku.
PMID- 27888519
TI - Antibiotics in eosinophilic chronic rhinosinusitis: Rethinking maximal
antimicrobial medical therapy.
AB - OBJECTIVES/HYPOTHESIS: Eosinophilic chronic rhinosinusitis (eCRS) has recently
been recognized as a CRS subtype characterized by inflammation rather than
chronic infection. Consequently, the role of antibiotics as a component of
maximal medical therapy for eCRS has been called into question. The purpose of
this study was to investigate whether the use of antibiotics, which lack any
secondary anti-inflammatory properties, are useful in the treatment of eCRS.
STUDY DESIGN: Prospective individual cohort study. METHODS: Institutional review
board-approved, prospective study of 39 patients presenting with chronic
rhinosinusitis (CRS). In all patients, Lund-Kennedy endoscopy scores, Lund-Mackay
computed tomography scores, and Sino-Nasal Outcome Test-22(SNOT-22) scores were
obtained before and after treatment with amoxicillin-clavulanic acid twice a day
(625 mg) for 4 weeks. Patients were subsequently stratified into eCRS and non
eCRS groups based on having over 10 eosinophils per high-power field by
histopathological examination. The efficacy of antibiotic therapy was compared
between groups using the nonparametric Mann-Whitney U test. RESULTS: Within the
non-eCRS group (n = 14), there was a significant improvement in both the Lund
Mackay score (P = .002) and SNOT-22 score (P < .001) but not the endoscopy score.
Among the eCRS patients (n = 25), the Lund-Mackay score was similarly improved (P
= .007); however, there was no significant improvement in the endoscopy or SNOT
22 scores. CONCLUSIONS: The use of antibiotics without independent anti
inflammatory properties have limited efficacy in patients with eCRS. The concept
of maximal medical therapy using antibiotics must continue to evolve in the
setting of new evidence for inflammatory subtypes of CRS. LEVEL OF EVIDENCE: 2b.
Laryngoscope, 127:794-796, 2017.
PMID- 27888520
TI - Identifying the epileptogenic zone in interictal resting-state MEG source-space
networks.
AB - OBJECTIVE: In one third of patients, seizures remain after epilepsy surgery,
meaning that improved preoperative evaluation methods are needed to identify the
epileptogenic zone. A potential framework for such a method is network theory, as
it can be applied to noninvasive recordings, even in the absence of epileptiform
activity. Our aim was to identify the epileptogenic zone on the basis of hub
status of local brain areas in interictal magnetoencephalography (MEG) networks.
METHODS: Preoperative eyes-closed resting-state MEG recordings were
retrospectively analyzed in 22 patients with refractory epilepsy, of whom 14 were
seizure-free 1 year after surgery. Beamformer-based time series were
reconstructed for 90 cortical and subcortical automated anatomic labeling (AAL)
regions of interest (ROIs). Broadband functional connectivity was estimated using
the phase lag index in artifact-free epochs without interictal epileptiform
abnormalities. A minimum spanning tree was generated to represent the network,
and the hub status of each ROI was calculated using betweenness centrality, which
indicates the centrality of a node in a network. The correspondence of resection
cavity to hub values was evaluated on four levels: resection cavity, lobar,
hemisphere, and temporal versus extratemporal areas. RESULTS: Hubs were localized
within the resection cavity in 8 of 14 seizure-free patients and in zero of 8
patients who were not seizure-free (57% sensitivity, 100% specificity, 73%
accuracy). Hubs were localized in the lobe of resection in 9 of 14 seizure-free
patients and in zero of 8 patients who were not seizure-free (64% sensitivity,
100% specificity, 77% accuracy). For the other two levels, the true negatives are
unknown; hence, only sensitivity could be determined: hubs coincided with both
the resection hemisphere and the resection location (temporal versus
extratemporal) in 11 of 14 seizure-free patients (79% sensitivity). SIGNIFICANCE:
Identifying hubs noninvasively before surgery is a valuable approach with the
potential of indicating the epileptogenic zone in patients without interictal
abnormalities.
PMID- 27888522
TI - Barriers to the use of a federal travel grant by living kidney donors.
AB - Living organ donation involves significant out-of-pocket costs, which burden
donor candidates and may be an obstacle to donation. There is a single US grant
(the National Living Donor Assistance Center-NLDAC) to cover live donor travel
costs. Although there may be center-specific variability in grant utilization,
prospective donors-and their intended recipients-must also meet eligibility
criteria. In fact, the NLDAC grant is used by <10% of US live donors annually. We
studied 154 consecutive kidney donor clinic evaluations (November 1, 2014-August
30, 2015) to determine eligibility and usage patterns during the evaluation
process. Of these, 63 (41%) were local, had travel benefits, or declined. Of the
remaining 91 prospective donors who might have benefited from grant support, only
29 (32%) obtained the grant. The other 62 (68%) did not meet eligibility
screening. The major reason prospective donors were ineligible was that the
recipient's household income was outside the required means test (ie, >300% of
the federal poverty level) (n=51; 82%). The remaining exclusions (n=11; 18%)
included being a nondirected donor, not meeting residency requirements, and
"other." Expanding NLDAC eligibility criteria-by broadening the recipient means
test or by taking steps to eliminate it from the NLDAC charter-would reduce
financial burdens associated with live donation.
PMID- 27888521
TI - Cancer-associated mesenchymal stroma fosters the stemness of osteosarcoma cells
in response to intratumoral acidosis via NF-kappaB activation.
AB - The role of mesenchymal stem cells (MSC) in osteosarcoma (OS), the most common
primary tumor of bone, has not been extensively elucidated. We have recently
shown that OS is characterized by interstitial acidosis, a microenvironmental
condition that is similar to a wound setting, in which mesenchymal reactive cells
are activated to release mitogenic and chemotactic factors. We therefore intended
to test the hypothesis that, in OS, acid-activated MSC influence tumor cell
behavior. Conditioned media or co-culture with normal MSC previously incubated
with short-term acidosis (pH 6.8 for 10 hr, H+ -MSC) enhanced OS clonogenicity
and invasion. This effect was mediated by NF-kappaB pathway activation. In fact,
deep-sequencing analysis, confirmed by Real-Time PCR and ELISA, demonstrated that
H+ -MSC differentially induced a tissue remodeling phenotype with increased
expression of RelA, RelB and NF-kappaB1, and downstream, of CSF2/GM-CSF, CSF3/G
CSF and BMP2 colony-promoting factors, and of chemokines (CCL5, CXCL5 and CXCL1),
and cytokines (IL6 and IL8), with an increased expression of CXCR4. An increased
expression of IL6 and IL8 were found only in normal stromal cells, but not in OS
cells, and this was confirmed in tumor-associated stromal cells isolated from OS
tissue. Finally, H+ -MSC conditioned medium differentially promoted OS stemness
(sarcosphere number, stem-associated gene expression), and chemoresistance also
via IL6 secretion. Our data support the hypothesis that the acidic OS
microenvironment is a key factor for MSC activation, in turn promoting the
secretion of paracrine factors that influence tumor behavior, a mechanism that
holds the potential for future therapeutic interventions aimed to target OS.
PMID- 27888523
TI - The cotton beta-galactosyltransferase 1 (GalT1) that galactosylates
arabinogalactan proteins participates in controlling fiber development.
AB - Arabinogalactan proteins (AGPs) are highly glycosylated proteins that play
pivotal roles in diverse developmental processes in plants. Type-II AG glycans,
mostly O-linked to the hydroxyproline residues of the protein backbone, account
for up to 95% w/w of the AGP, but their functions are still largely unclear.
Cotton fibers are extremely elongated single-cell trichomes on the seed
epidermis; however, little is known of the molecular basis governing the
regulation of fiber cell development. Here, we characterized the role of a CAZy
glycosyltransferase 31 (GT31) family member, GhGalT1, in cotton fiber
development. The fiber length of the transgenic cotton overexpressing GhGalT1 was
shorter than that of the wild type, whereas in the GhGalT1-silenced lines there
was a notable increase in fiber length compared with wild type. The carbohydrate
moieties of AGPs were altered in fibers of GhGalT1 transgenic cotton. The
galactose: arabinose ratio of AG glycans was higher in GhGalT1 overexpression
fibers, but was lower in GhGalT1-silenced lines, compared with that in the wild
type. Overexpression of GhGalT1 upregulates transcript levels of a broad range of
cell wall-related genes, especially the fasciclin-like AGP (FLA) backbone genes.
An enzyme activity assay demonstrated that GhGalT1 is a beta-1,3
galactosyltransferase (beta-1,3-GalT) involved in biosynthesis of the beta-1,3
galactan backbone of the type-II AG glycans of AGPs. We also show that GhGalT1
can form homo- and heterodimers with other cotton GT31 family members to
facilitate AG glycan assembly of AGPs. Thus, our data demonstrate that GhGalT1
influences cotton fiber development via controlling the glycosylation of AGPs,
especially FLAs.
PMID- 27888525
TI - Breaking Sad: Unleashing the Breakthrough Potential of Ketamine's Rapid
Antidepressant Effects.
AB - Preclinical Research The surprising results of a small clinical trial on the
effects of low dose ketamine, a 65-year old anesthetic drug that is also used off
label for chronic pain and recreationally as a club drug, in eight depressed
subjects unleashed the most significant advance in antidepressant drug
development in decades. That study and subsequent ones have demonstrated that low
dose, infused ketamine is able to induce a remission of depression in patients
who have failed conventional medications, within 24 h. The apparent increased
efficacy and rapid onset of effect of ketamine distinguish it from all other
current antidepressant treatments. However, a single infusion of subanesthetic
doses of ketamine produces benefits that typically last <3 weeks. The infusions
are associated with a transient "psychedelic" experience and increased blood
pressure that requires monitoring. There is also a theoretical potential to
induce ketamine addiction. These features limit ketamine's ability to be a widely
used treatment for depression and thus limit is ability to have a meaningful
impact on the heavy morbidity and mortality associated with this disorder,
despite its "breakthrough" rate of efficacy and speed of action. While growing
numbers of clinicians are using ketamine to treat treatment resistant depression,
many in the depression field believe that the aforementioned limiting aspects
need to be separated from its remarkable therapeutic effects in order to unlock
the breakthrough potential of this agent. To that end, drug development efforts
have focused on various features of ketamine as targets for optimization
including its modulation of the NMDA receptor, its pharmacokinetics, its
chirality and its active metabolites including HNK (2R, 6R)-hyroxynorketamine.
Drug Dev Res 77 : 489-494, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27888524
TI - Systematic deletion of the ER lectin chaperone genes reveals their roles in
vegetative growth and male gametophyte development in Arabidopsis.
AB - Calnexin (CNX) and calreticulin (CRT) are homologous lectin chaperones in the
endoplasmic reticulum (ER) that facilitate glycoprotein folding and retain
folding intermediates to prevent their transit via the secretary pathway. The
Arabidopsis genome has two CNX (CNX1 and CNX2) and three CRT (CRT1, CRT2 and
CRT3) homologs. Despite growing evidence of the biological roles of CNXs and
CRTs, little is understood about their function in Arabidopsis growth and
development under normal conditions. Here, we report that the deletion of CNX1,
but not of CNX2, in the crt1 crt2 crt3 triple mutation background had an adverse
effect on pollen viability and pollen tube growth, leading to a significant
reduction in fertility. The cnx1 crt1 crt2 crt3 quadruple mutation also conferred
severe defects in growth and development, including a shortened primary root,
increased root hair length and density, and reduced plant height. Disruption of
all five members of the CNX/CRT family was revealed to be lethal. Finally, the
abnormal phenotype of the cnx1 crt1 crt2 crt3 quadruple mutants was completely
rescued by either the CNX1 or CNX2 cDNA under the control of the CNX1 promoter,
suggesting functional redundancy between CNX1 and CNX2. Taken together, these
results provide genetic evidence that CNX and CRT play essential and overlapping
roles during vegetative growth and male gametophyte development in Arabidopsis.
PMID- 27888527
TI - Electrophysiological correlates of decision making impairment in multiple
sclerosis.
AB - Although previous studies have shown that patients with multiple sclerosis (MS)
may exhibit impaired decision making (DM), the specific neuro-cognitive processes
that underlie this deficiency remain unknown. Inefficient DM may occur due to
poor option assessment or a deficit in the evaluation of choice outcomes. This
study uses, for the first time, the event-related potential (ERP) technique to
analyze these DM subprocesses in patients with MS. Sixteen MS patients and
nineteen control subjects performed a DM task based on the Iowa Gambling Task
while a digital EEG was recorded. The P3b was analyzed as an index of option
assessment, and both the feedback-related negativity (FRN) and P3b were
considered markers for choice outcome evaluation. We found that MS patients made
a larger number of unfavorable choices during the DM task (P = 0.02), with no
differences between groups for reaction times. There were no significant
differences in P3b for option assessment between the groups. In the control
group, the type of feedback (gain, loss, or nothing) modified the FRN (F = 3.72,
P = 0.033) and the P3b (F = 3.15, P = 0.059). This effect was not observed in the
MS group. Also, FRN latencies were shorter in the MS group compared to controls
(P = 0.047). Finally, lesions in temporal regions revealed by MRI were associated
with DM both in behavioral and electrophysiological terms. We conclude that the
DM impairment of patients with MS may be due to a deficit in their evaluation of
choice outcomes or a general alteration in emotional reactivity.
PMID- 27888526
TI - The optimal single-dose regimen of rasburicase for management of tumour lysis
syndrome in children and adults: a systematic review and meta-analysis.
AB - WHAT IS KNOWN AND OBJECTIVE: To perform a meta-analysis exploring the optimal
single-dose regimen for managing tumour lysis syndrome (TLS) in children and
adults with haematological malignancies. METHODS: We systematically searched
PubMed, MEDLINE, Web of Science, the Cochrane Library and the ClinicalTrials.gov
website for studies regarding single-dose rasburicase in paediatric and adult
patients with TLS. Data were analysed using Open MetaAnalyst statistical
software. RESULTS: Fifteen adult studies (fourteen retrospective studies and one
randomized controlled trial) and four observational studies using children were
extracted, with a total of 906 and 92 subjects, respectively. Single doses of
1.5, 3, 4.5, 6, 7.5 mg and weight-based single doses of 0.05 and 0.15 mg/kg were
compared. The response rate for 6, 7.5 mg and 0.15 mg/kg single doses was 90%
(95% CI: 0.825-0.974), 98.6% (95% CI: 0.957-1.015) and 93.6% (95% CI: 0.864
1.007), respectively, and higher than other dosing regimens tested. The single
doses of 6 mg and 0.15 mg/kg rasburicase decreased uric acid levels more than the
other regimens, and the mean uric acid reduction was 8.45 mg/dL (95% CI, 7.51
9.38) and 10 mg/dL (95% CI, 8.58-11.42), respectively. WHAT IS NEW AND
CONCLUSION: Our meta-analysis revealed that, for adult patients, a single 6 mg
rasburicase dose is sufficient to normalize and sustain lower uric acid and
creatinine levels in adults with TLS. This dose, therefore, balances cost and
efficacy of treatment. The 3- and 4.5-mg single dose can be considered if the
baseline uric acid level <12 mg/dL, with close monitoring of clinical and
biochemical parameters, and repeat dosing if required. The 1.5 mg and 0.15 mg/kg
single dose were sufficient to manage TLS in children.
PMID- 27888528
TI - Hormone-Related Migraine Headaches and Mood Disorders: Treatment with Estrogen
Stabilization.
AB - Because estrogens and the trigeminal system are inherently linked, prescribers
who are treating a woman with a hormonally related mood disorder and migraine
headaches should consider hormonal options to optimize the patient's treatment.
This article discusses the interrelationships of estrogen, serotonin, and the
trigeminal system as they relate to menstrual migraine occurrence and hormone
related mood symptoms. In addition, clinical examples are provided to facilitate
the prescribers treating women during reproductive transitions in which declining
estrogens are related to their suffering.
PMID- 27888530
TI - Perturbation of longitudinal relaxation rate in rotating frame (PLRF) analysis
for quantification of chemical exchange saturation transfer signal in a transient
state.
AB - PURPOSE: To develop a novel analytical method for quantification of chemical
exchange saturation transfer (CEST) in the transient state. The proposed method
aims to reduce the effects of non-chemical-exchange (non-CE) parameters on the
CEST signal, emphasizing the effect of chemical exchange. METHODS: The difference
in the longitudinal relaxation rate in the rotating frame ( DeltaR1rho) was
calculated based on perturbation of the Z-value by R1rho, and a saturation-pulse
amplitude-compensated exchange-dependent relaxation rate (SPACER) was determined
with a high-exchange-rate approximation. In both phantom and human subject
experiments, MTRasym (representative of the traditional CEST index), DeltaR1rho,
and SPACER were measured, evaluated, and compared by altering the non-CE
parameters in a transient-state continuous-wave CEST sequence. RESULTS: In line
with the theoretical expectation, our experimental data demonstrate that the
effects of the non-CE parameters can be more effectively reduced using the
proposed indices ( DeltaR1rho and SPACER) than using the traditional CEST index
( MTRasym). CONCLUSION: The proposed method allows for the chemical exchange
weight to be better emphasized in the transient-state CEST signal, which is
beneficial, in practice, for quantifying the CEST signal. Magn Reson Med 78:1711
1723, 2017. (c) 2016 International Society for Magnetic Resonance in Medicine.
PMID- 27888529
TI - Long-term progression of viral load and serum markers of fibrosis among treated
and untreated patients with chronic hepatitis B.
AB - BACKGROUND AND AIMS: Antiviral therapy for patients with hepatitis B (HBV)
infection is generally deferred for "immune inactive" patients, although
longitudinal changes in viral load and liver fibrosis remain understudied in this
population. Likewise, in treated patients, the temporal relationship between
changes in viral load and liver fibrosis is not well characterized. Using data
from the chronic hepatitis cohort study, the study investigated viral load and
the Fibrosis-4 index (FIB4, a serum-based marker of liver fibrosis) trajectories
in both untreated and treated HBV patients. MATERIALS AND METHODS: We applied a
bivariate, piecewise, linear spline, mixed-effects modeling approach to data from
766 HBV patients (342 untreated, 424 treated). Treatment selection bias was
adjusted using propensity scores. Multiple sensitivity analyses were used to
confirm results in untreated patients. RESULTS: Among all untreated patients,
FIB4 began to increase by 0.9% per month (11% per year; P < 0.05) at 28 months
post-index date, suggesting fibrosis progression. Significant FIB4 progression
was also observed in a subgroup analysis of "immune inactive" untreated patients.
In treated patients, viral load declined 31.8% per month (P < 0.05) for the first
5 months after treatment initiation, and 1.4-1.7% per month (P < 0.05)
thereafter. At 5 months after treatment initiation, FIB4 began to decline 0.5%
per month (P < 0.05), stabilizing at 28 months. CONCLUSION: Among untreated HBV
patients, FIB4 gradually increases over time, suggesting fibrosis progression,
even in those patients designated as immune inactive. In treated patients,
antiviral therapy results in a rapid decline in viral load followed by a delayed
decline in markers of liver fibrosis.
PMID- 27888532
TI - Biliary strictures complicating liver transplantation in pediatric patients:
Experience in a South American transplant center.
AB - OBJECTIVE: To describe the experience of percutaneous transhepatic
cholangiography (PTC) with biliary dilatation and drainage after pediatric liver
transplantation and to determine the long-term outcome of this procedure.
METHODS: Retrospective study from 2001 to 2013. Follow-up after treatment was
also undertaken. A survival analysis was performed in patients in whom the
procedure and eventual removal of the catheter were successful. RESULTS: In all,
196 children received liver transplants; 40 of them (20 boys and 20 girls; median
age of 4 years) were treated using PTC due to biliary complications. Sixty-one
PTC procedures were performed in 40 liver transplant recipients. Technically
successful PTC was achieved in 87.5% of the patients. The probability of a
patient not developing unfavorable outcomes 1, 5, and 10 years after treatment
was 88.9%, 83.0%, and 74.1%.
PMID- 27888533
TI - Advances in understanding broad-spectrum resistance to pathogens in rice.
AB - Rice diseases caused by multiple pathogen species are a major obstacle to
achieving optimal yield. Using host pathogen species-non-specific broad-spectrum
resistance (BSR) for rice improvement is an efficient way to control diseases.
Recent advances in rice genomics and improved understanding of the mechanisms of
rice-pathogen interactions have shown that using a single gene to improve rice
BSR to multiple pathogen species is technically possible and the necessary
resources exist. A variety of rice genes, including major disease resistance
genes and defense-responsive genes, which function in pattern-triggered immunity
signaling, effector-triggered immunity signaling or quantitative resistance, can
mediate BSR to two or more pathogen species independently. These genes encode
diverse proteins and function differently in promoting disease resistance, thus
providing a relatively broad choice for different breeding programs. This updated
knowledge will facilitate rice improvement with pathogen species-non-specific BSR
via gene marker-assisted selection or biotechnological approaches.
PMID- 27888534
TI - Early steroid withdrawal results in improved patient and graft survival and lower
risk of post-transplant cardiovascular risk profiles: A single-center 10-year
experience.
AB - Long-term use of steroids results in predictable secondary effects that can lead
to increased morbidity and mortality. In this study, we present 10 years worth of
data of early steroid withdrawal (ESW) immunosuppression consisting of
mycophenolate, sirolimus, and tacrolimus. From 2003 to 2013, 563 kidney
transplant recipients were weaned off steroids prior to discharge. We compared
outcomes with that of our 65 historical controls maintained on steroids. We
analyzed graft and patient survival and determined the incidence of steroid
related comorbidities such as hypertension, hypercholesterolemia, diabetes,
coronary artery disease, and weight gain. Patients on ESW maintenance
immunosuppression had improved patient and graft survival compared to controls.
(HR: 0.23; P<=.011, 0.57; P=.026). Rates of biopsy-proven acute rejection were
not different among both groups (HR: 1.24; P=.610). Incidence of post-transplant
diabetes were reduced but not statistically significant (OR: 0.67; P=.138).
Additionally, the development of hypertension (OR: 0.86, P<=.01),
hypercholesterolemia (RR: 0.82; P=.027), CAD (RR: 0.43; P=.002), and >20 lbs.
weight gain (RR: 0.29; P<=.01) was significantly improved over 10 years following
initiation of ESW protocols. Early steroid withdrawal in renal transplant
recipients results in improved patient and graft survival as well as better rates
of post-transplant comorbid conditions.
PMID- 27888535
TI - Chitin receptor CERK1 links salt stress and chitin-triggered innate immunity in
Arabidopsis.
AB - In nature, plants need to respond to multiple environmental stresses that require
the involvement and fine-tuning of different stress signaling pathways. Cross
tolerance, in which plants pre-treated with chitin (a fungal microbe-associated
molecular pattern) have improved salt tolerance, was observed in Arabidopsis, but
is not well understood. Here, we show a unique link between chitin and salt
signaling mediated by the chitin receptor CHITIN ELICITOR RECEPTOR KINASE 1
(CERK1). Transcriptome analysis revealed that salt stress-induced genes are
highly correlated with chitin-induced genes, although this was not observed with
other microbe-associated molecular patterns (MAMPs) or with other abiotic
stresses. The cerk1 mutant was more susceptible to NaCl than was the wild type.
cerk1 plants had an irregular increase of cytosolic calcium ([Ca2+ ]cyt ) after
NaCl treatment. Bimolecular fluorescence complementation (BiFC) and co
immunoprecipitation experiments indicated that CERK1 physically interacts with
ANNEXIN 1 (ANN1), which was reported to form a calcium-permeable channel that
contributes to the NaCl-induced [Ca2+ ]cyt signal. In turn, ann1 mutants showed
elevated chitin-induced rapid responses. In short, molecular components
previously shown to function in chitin or salt signaling physically interact and
intimately link the downstream responses to fungal attack and salt stress.
PMID- 27888536
TI - Ascorbate degradation in tomato leads to accumulation of oxalate, threonate and
oxalyl threonate.
AB - Ascorbate content in plants is controlled by its synthesis from carbohydrates,
recycling of the oxidized forms and degradation. Of these pathways, ascorbate
degradation is the least studied and represents a lack of knowledge that could
impair improvement of ascorbate content in fruits and vegetables as degradation
is non-reversible and leads to a depletion of the ascorbate pool. The present
study revealed the nature of degradation products using [14 C]ascorbate labelling
in tomato, a model plant for fleshy fruits; oxalate and threonate are accumulated
in leaves, as is oxalyl threonate. Carboxypentonates coming from diketogulonate
degradation were detected in relatively insoluble (cell wall-rich) leaf material.
No [14 C]tartaric acid was found in tomato leaves. Ascorbate degradation was
stimulated by darkness, and the degradation rate was evaluated at 63% of the
ascorbate pool per day, a percentage that was constant and independent of the
initial ascorbate or dehydroascorbic acid concentration over periods of 24 h or
more. Furthermore, degradation could be partially affected by the ascorbate
recycling pathway, as lines under-expressing monodehydroascorbate reductase
showed a slight decrease in degradation product accumulation.
PMID- 27888537
TI - Applying strong external electric field to thiophene-based oligomers: A promising
approach to upgrade semiconducting performance.
AB - A key parameter dictating the rate of charge transfer (CT) is reorganization
energy (lambda), an energy associated with geometry changes during hole/electron
transfer. We show that "ironing" the inter-ring dihedral angles of
oligothiophenes via proper substitutions or insertions (e.g., -OR, -F or -C=C-),
decreases the lambda and thus promotes CT according to Marcus equation. Our
results demonstrate, to attain a smaller lambda, extending oligomer length is
only significant if the flattened backbone structure is realized. Of great
interest is that external electric fields, which are ubiquitous in electronic
devices yet commonly overlooked in the computation of lambda, can have a
significantly greater impact than conventional substitutions. It is important to
emphasize, the responses of lambda to external fields is system-dependent.
Compared to fused-ring conjugated systems, single-bond connected thiophenes are
more sensitive to external fields. Fx lowers the lambda (552 meV) of
quaterthiophene by almost 80% at the intensity of 1 V/A, down to a value (125
meV) which is even lower than that of pentacene (154 meV) and rubrene (219 meV)
at the same level of theory. (c) 2016 Wiley Periodicals, Inc.
PMID- 27888538
TI - Specific N-glycan alterations are coupled in epithelial-mesenchymal transition
induced by EGF in GE11 epithelial cells.
AB - Epithelial-mesenchymal transition (EMT) is a phenomenon in cancer progression
during which cancer cells undergo remarkable alteration acquiring highly invasive
property. The aim of this study was to evaluate specific N-glycan alterations
during EMT induced by epidermal growth factor (EGF) in GE11 epithelial cells.
Herein, we demonstrated that EGF activated epidermal growth factor receptor
(EGFR)/Akt/extracellular signal-regulated kinase (ERK) phosphorylation and
promoted GE11 cell proliferation. Meanwhile, EGF stimulated the epithelial cells
to undergo morphological alteration, destroying cell-cell inter-contact and
exhibiting mesenchymal cells higher metastatic potential. A wound-healing assay
showed the migratory ability increased 1.5-fold after EGF treatment. Moreover,
the relative intensity of N-cadherin versus E-cadherin increased 2.6-fold, and
the E-cadherin distribution in cell-cell junctions became jagged and faint after
EGF incubation for 72 h. Interestingly, the amounts of bisecting GlcNAc structure
were dramatically declined, by contrast, the formation of beta1,6 GlcNAc branches
on cell surface was upregulated during EMT induced by EGF. To understand the
roles of N-glycans in EGF-induced EMT, the cells were stably transfected with N
acetylglucosaminyltransferase III (GnT-III), which catalyzes the bisecting GlcNAc
structure formation. As the markers for EMT, EGF-induced E-cadherin decrease and
fibronectin increase were delayed in GnT-III-overexpressing cells. Taken
together, these results demonstrated that specific N-glycan alterations were
coupled in EMT induced by EGF, which might be contributed to diagnosis and
therapy of tumor metastasis.
PMID- 27888531
TI - Fate of microglia during HIV-1 infection: From activation to senescence?
AB - Microglia support productive human immunodeficiency virus type 1 (HIV-1)
infection and disturbed microglial function could contribute to the development
of HIV-associated neurocognitive disorders (HAND). Better understanding of how
HIV-1 infection and viral protein exposure modulate microglial function during
the course of infection could lead to the identification of novel therapeutic
targets for both the eradication of HIV-1 reservoir and treatment of
neurocognitive deficits. This review first describes microglial origins and
function in the normal central nervous system (CNS), and the changes that occur
during aging. We then critically discuss how HIV-1 infection and exposure to
viral proteins such as Tat and gp120 affect various aspects of microglial
homeostasis including activation, cellular metabolism and cell cycle regulation,
through pathways implicated in cellular stress responses including p38 mitogen
activated protein kinase (MAPK) and nuclear factor kappaB (NF-kappaB). We thus
propose that the functions of human microglia evolve during both healthy and
pathological aging. Aging-associated dysfunction of microglia comprises
phenotypes resembling cellular senescence, which could contribute to cognitive
impairments observed in various neurodegenerative diseases. In addition,
microglia seems to develop characteristics that could be related to cellular
senescence post-HIV-1 infection and after exposure to HIV-1 viral proteins.
However, despite its potential role as a component of HAND and likely other
neurocognitive disorders, microglia senescence has not been well characterized
and should be the focus of future studies, which could have high translational
relevance. GLIA 2017;65:431-446.
PMID- 27888539
TI - Macrophage depletion impairs skeletal muscle regeneration: The roles of
regulatory factors for muscle regeneration.
AB - Though macrophages are essential for skeletal muscle regeneration, which is a
complex process, the roles and mechanisms of the macrophages in the process of
muscle regeneration are still not fully understood. The objective of this study
is to explore the roles of macrophages and the mechanisms involved in the
regeneration of injured skeletal muscle. One hundred and twelve C57BL/6 mice were
randomly divided into muscle contusion and macrophages depleted groups. Their
gastrocnemius muscles were harvested at the time points of 12 h, 1, 3, 5, 7, 14 d
post-injury. The changes in skeletal muscle morphology were assessed by
hematoxylin and eosin (HE) stain. The gene expression was analyzed by real-time
polymerase chain reaction. The data showed that CL-liposomes treatment did affect
the expression of myogenic regulatory factors (MyoD, myogenin) after injury. In
addition, CL-liposomes treatment decreased the expression of regulatory factors
of muscle regeneration (HGF, uPA, COX-2, IGF-1, MGF, FGF6) and increased the
expression of inflammatory cytokines (TGF-beta1, TNF-alpha, IL-1beta, RANTES) in
the late stage of regeneration. Moreover, there were significant correlations
between macrophages and some regulatory factors (such as HGF, uPA) for muscle
regeneration. These results suggested that macrophages depletion impairs skeletal
muscle regeneration and that the regulatory factors for muscle regeneration may
play important roles in this process.
PMID- 27888540
TI - Higher dose of CD34+ peripheral blood stem cells is associated with better
survival after haploidentical stem cell transplantation in pediatric patients.
AB - Haploidentical stem cell transplantation (SCT) is increasingly used to treat
pediatric patients with malignant or nonmalignant hematological disorders. The
CD34+ dose of bone marrow or peripheral blood stem cells (PBSCs) has been shown
to be an important determinant of the transplant outcome in adults under various
preparative regimens. However, knowledge of the effect of the CD34+ dose in
pediatric haploidentical SCT is limited. We analyzed the data of 348 pediatric
patients (aged 2-18 years) with acute or chronic leukemia, myelodysplastic
syndrome (MDS), and other hematological disorders that received a transplant
between 2002 and 2012. The results of multivariate analysis showed that PBSC
CD34+ counts greater than 1.01 * 106 kg-1 improved platelet engraftment,
improved overall survival, and reduced nonrelapse mortality. In contrast, a
higher PBSC CD34+ dose did not affect the incidence of acute or chronic graft
versus-host disease, including engraftment syndrome. These data suggest that a
PBSC CD34+ dose greater than 1.01 * 106 kg-1 is optimal for pediatric
haploidentical SCT.
PMID- 27888541
TI - TWEAK increases SIRT1 expression and promotes p53 deacetylation affecting human
hepatic stellate cell senescence.
AB - To detect the effects of tumor necrosis factor-like weak inducer of apoptosis
(TWEAK) on SIRT1 expression and p53 deacetylation, involving cell senescence, in
activated human hepatic stellate cell (HSC) in vitro, human HSC LX-2 was cultured
with TWEAK for 24 h. The result showed that the expression of membrane receptor
Fn14 was remarkably increased by TWEAK, which upregulated SIRT1 in LX-2 cells,
detected by Western blotting and real-time PCR. The expression of p53 was not
significantly altered; however, the ac-p53 was decreased. Furthermore, the
viability of LX-2 cells was significantly enhanced by TWEAK. The activity of SA
beta-Gal was notably inhibited, showing a suppressing effect of TWEAK on the
senescence of activated HSC. Primary cultured HSC on days 7 and 11 was used to
examine the expression of TWEAK, Fn14, SIRT1, and the activity of SA-beta-Gal.
The result indicated that the mRNA of TWEAK, SIRT1, and Fn14 was all decreased on
day 11 compared to that on day 7, and the activity of SA-beta-Gal was higher on
day 11 than that on day 7. The present study suggested that TWEAK enhanced the
expression of SIRT1 and decreased the acetylation of p53, probably inhibiting the
senescence of activated HSC in vitro, which provides a molecular basis for TWEAK
as a potential target in the therapy of liver fibrosis.
PMID- 27888542
TI - Clinical Outcomes of Extended Versus Intermittent Infusion of
Piperacillin/Tazobactam in Critically Ill Patients: A Prospective Clinical Trial.
AB - STUDY OBJECTIVE: To determine whether critically ill patients receiving extended
infusion (EI) piperacillin/tazobactam would have improved clinical outcomes
compared with patients receiving intermittent infusions. DESIGN: Single-center,
open-label, prospective study. SETTING: Twenty-two-bed intensive care unit (ICU)
in a regional hospital in Hong Kong. PATIENTS: A total of 367 adults who had a
diagnosis of either bacterial infection or neutropenic fever and had received
treatment with piperacillin/tazobactam for at least 48 hours between December 1,
2013, and August 31, 2015. INTERVENTION: Patients were assigned to receive
piperacillin/tazobactam as either a 4-hour EI (182 patients [EI group]) or a 30
minute intermittent infusion (185 patients [non-extended infusion (NEI) group]).
MEASUREMENTS AND MAIN RESULTS: All patients were followed for at least 14 days
after treatment assignment. The primary outcome was the 14-day mortality rate
after initiation of piperacillin/tazobactam. Secondary outcomes included in
hospital mortality rate, time to defervescence, duration of mechanical
ventilatory support, length of ICU stay, and duration of hospital stay. Both
groups demonstrated similar 14-day mortality (11.5% in the EI group vs 15.7% in
the NEI group, p=0.29). The mean time to defervescence was significantly reduced
in the EI group (4 days in the EI group vs 6 days in the NEI group, p=0.01); no
significant differences between groups were noted in the other secondary
outcomes. An Acute Physiology and Chronic Health Evaluation II score of 29.5 or
higher was found to strongly predict 14-day mortality (p=0.03) by Classification
and Regression Tree analysis. In the post hoc analyses, a 14-day mortality
benefit was demonstrated in patients in the EI group in whom infectious organisms
were identified (mortality rate 9.3% in the EI group vs 22.4% in the NEI group,
p=0.01) and in whom respiratory tract infection was diagnosed (mortality rate
8.9% in the EI group vs 18.7% in the NEI group, p=0.02). CONCLUSION: Both the EI
and NEI groups demonstrated similar 14-day mortality. Post hoc subgroup analysis
revealed a mortality benefit in patients in the EI group who had infectious
organisms identified or were diagnosed with respiratory tract infections.
PMID- 27888543
TI - Segmented simultaneous multi-slice diffusion weighted imaging with generalized
trajectories.
AB - PURPOSE: The purpose of this work is to develop and evaluate a single framework
for the use of Cartesian and non-Cartesian segmented trajectories for rapid and
robust simultaneous multislice (SMS) diffusion weighted imaging (DWI) at 3 Telsa
(T). METHODS: A generalized SMS approach with intrinsic phase navigation using
Multiplexed Sensitivity Encoding (MUSE) was developed. Segmented blipped
controlled aliasing in parallel imaging echo planar imaging (EPI) and z-gradient
modulated spiral trajectories were examined using SMS DWI scans at 3T with a 32
channel head coil. RESULTS: The generalized SMS MUSE reconstruction framework was
successful in significantly reducing artifacts for all trajectories. A DWI brain
volume with a 67.5-mm height, 1.5-mm isotropic resolution, and 90 diffusion
weightings was obtained in a scan time of 6 minutes. CONCLUSION: The MUSE
technique can be generalized to allow for reconstruction of both Cartesian and
non-Cartesian segmented trajectories. Magn Reson Med 78:1476-1481, 2017. (c) 2016
International Society for Magnetic Resonance in Medicine.
PMID- 27888544
TI - Conditioned medium: a new alternative for cryopreservation of equine umbilical
cord mesenchymal stem cells.
AB - Cryopreservation is a feasible alternative to maintaining several cell lines,
particularly for immediate therapeutic use, transportation of samples, and
implementation of new in vitro studies. This work parts from the hypothesis that
the medium of cryopreservation composed by 90% of conditioned medium (CM)
supports cryopreservation of equine umbilical cord intervascular matrix
mesenchymal stem cells (UCIM-MSCs), allowing the maintenance of the biological
properties for the establishment of cell banks intended for therapeutic use and
in vitro studies. Thus, we evaluated the viability, apoptosis/necrosis rates,
immunophenotypic profile (IP), chromosomal stability, clonicity, and
differentiation potential of UCIM-MSCs cryopreserved with four different mediums
(with FBS: M1, M3, M4 and without FBS: M2). After 3 months of cryopreservation,
samples were thawed and analyzed. The potential of differentiation in the
mesodermal lineages, clonicity, and the chromosomal stability were maintained
after cryopreservation of UCIM-MSCs with medium containing FBS. Changes (P <
0.05) at IP for some markers were observed at cells cryopreserved with medium M1
M3. Only the UCIM-MSCs cryopreserved with the CM (M4) had similar viability post
thaw (P = 0.23) when compared with fresh cells. We proved the hypothesis that the
medium of cryopreservation containing CM supports the cryopreservation of UCIM
MSCs, at the experimental conditions, being the medium that better maintains the
biological characteristics observed at fresh cells. Thus, future studies of UCIM
MSCs secretome should be conducted to better understand the beneficial and
protective effects of the CM during the freezing process.
PMID- 27888546
TI - Sex differences in depolarizing actions of GABAA receptor activation in rat
embryonic hypothalamic neurons.
AB - GABAA receptor activation exerts trophic actions in immature neurons through
depolarization of resting membrane potential. The switch to its classical
hyperpolarizing role is developmentally regulated. Previous results suggest that
a hormonally biased sex difference exists at the onset of the switch in
hypothalamic neurons. The aim of this work was to evaluate sex differences in
GABAA receptor function of hypothalamic neurons before brain masculinization by
gonadal hormones. Hypothalamic cells were obtained from embryonic day 16 male and
female rat foetuses, 2 days before the peak of testosterone production by the
foetal testis, and grown in vitro for 9 days. Whole-cell and perforated patch
clamp recordings were carried out in order to measure several
electrophysiological parameters. Our results show that there are more male than
female neurons responding with depolarization to muscimol. Additionally, among
cells with depolarizing responses, males have higher and longer lasting responses
than females. These results highlight the relevance of differences in neural cell
sex irrespective of exposure to sex hormones.
PMID- 27888545
TI - Anti-angiogenic therapy affects the relationship between tumor vascular structure
and function: A correlation study between micro-computed tomography angiography
and dynamic contrast enhanced MRI.
AB - PURPOSE: To compare the effects of two anti-angiogenic drugs, bevacizumab and a
cytosolic phospholipase A2-alpha inhibitor (AVX235), on the relationship between
vascular structure and dynamic contrast enhanced (DCE)-MRI measurements in a
patient-derived breast cancer xenograft model. METHODS: Mice bearing MAS98.12
tumors were randomized into three groups: bevacizumab-treated (n = 9), AVX235
treated (n = 9), and control (n = 8). DCE-MRI was performed pre- and post
treatment. Median initial area under the concentration-time curve (IAUC60 ) and
volume transfer constant (Ktrans ) were computed for each tumor. Tumors were
excised for ex vivo micro-CT (computed tomography) angiography, from which the
vascular surface area (VSA) and fractional blood volume (FBV) were computed.
Spearman correlation coefficients (rho) were computed to evaluate the
associations between the DCE-MRI and micro-CT parameters. RESULTS: With the
groups pooled, IAUC60 and Ktrans correlated significantly with VSA (rho = 0.475
and 0.527; P = 0.019 and 0.008). There were no significant correlations within
the control group. There were various significant correlations within the
treatment groups, but the correlations in the bevacizumab group were of opposite
sign, for example, Ktrans versus FBV: AVX235, rho = 0.800 (P = 0.014);
bevacizumab, rho = -0.786 (P = 0.023). CONCLUSION: DCE-MRI measurements can
highly depend on vascular structure. The relationship between vascular structure
and function changed markedly after anti-angiogenic treatment. Magn Reson Med
78:1513-1522, 2017. (c) 2016 International Society for Magnetic Resonance in
Medicine.
PMID- 27888547
TI - Towards a whole-genome sequence for rye (Secale cereale L.).
AB - We report on a whole-genome draft sequence of rye (Secale cereale L.). Rye is a
diploid Triticeae species closely related to wheat and barley, and an important
crop for food and feed in Central and Eastern Europe. Through whole-genome
shotgun sequencing of the 7.9-Gbp genome of the winter rye inbred line Lo7 we
obtained a de novo assembly represented by 1.29 million scaffolds covering a
total length of 2.8 Gbp. Our reference sequence represents nearly the entire low
copy portion of the rye genome. This genome assembly was used to predict 27 784
rye gene models based on homology to sequenced grass genomes. Through
resequencing of 10 rye inbred lines and one accession of the wild relative S.
vavilovii, we discovered more than 90 million single nucleotide variants and
short insertions/deletions in the rye genome. From these variants, we developed
the high-density Rye600k genotyping array with 600 843 markers, which enabled
anchoring the sequence contigs along a high-density genetic map and establishing
a synteny-based virtual gene order. Genotyping data were used to characterize the
diversity of rye breeding pools and genetic resources, and to obtain a genome
wide map of selection signals differentiating the divergent gene pools. This rye
whole-genome sequence closes a gap in Triticeae genome research, and will be
highly valuable for comparative genomics, functional studies and genome-based
breeding in rye.
PMID- 27888548
TI - Effects of seasonality, transport pathway, and spatial structure on greenhouse
gas fluxes in a restored wetland.
AB - Wetlands can influence global climate via greenhouse gas (GHG) exchange of carbon
dioxide (CO2 ), methane (CH4 ), and nitrous oxide (N2 O). Few studies have
quantified the full GHG budget of wetlands due to the high spatial and temporal
variability of fluxes. We report annual open-water diffusion and ebullition
fluxes of CO2 , CH4 , and N2 O from a restored emergent marsh ecosystem. We
combined these data with concurrent eddy-covariance measurements of whole
ecosystem CO2 and CH4 exchange to estimate GHG fluxes and associated radiative
forcing effects for the whole wetland, and separately for open-water and
vegetated cover types. Annual open-water CO2 , CH4 , and N2 O emissions were 915
+/- 95 g C-CO2 m-2 yr-1 , 2.9 +/- 0.5 g C-CH4 m-2 yr-1 , and 62 +/- 17 mg N
N2 O m-2 yr-1 , respectively. Diffusion dominated open-water GHG transport,
accounting for >99% of CO2 and N2 O emissions, and ~71% of CH4 emissions.
Seasonality was minor for CO2 emissions, whereas CH4 and N2 O fluxes displayed
strong and asynchronous seasonal dynamics. Notably, the overall radiative forcing
of open-water fluxes (3.5 +/- 0.3 kg CO2 -eq m-2 yr-1 ) exceeded that of
vegetated zones (1.4 +/- 0.4 kg CO2 -eq m-2 yr-1 ) due to high ecosystem
respiration. After scaling results to the entire wetland using object-based cover
classification of remote sensing imagery, net uptake of CO2 (-1.4 +/- 0.6 kt CO2
eq yr-1 ) did not offset CH4 emission (3.7 +/- 0.03 kt CO2 -eq yr-1 ), producing
an overall positive radiative forcing effect of 2.4 +/- 0.3 kt CO2 -eq yr-1 .
These results demonstrate clear effects of seasonality, spatial structure, and
transport pathway on the magnitude and composition of wetland GHG emissions, and
the efficacy of multiscale flux measurement to overcome challenges of wetland
heterogeneity.
PMID- 27888549
TI - Microscope-assisted hepatic artery reconstruction in adult living donor liver
transplantation-A review of 325 consecutive cases in a single center.
AB - BACKGROUND: Despite the technical and medical improvements in the recent years,
hepatic artery thrombosis (HAT) remains a devastating complication after living
donor liver transplantation (LDLT). We described our surgical techniques and
monitoring protocols for hepatic artery reconstruction. We reported one of the
lowest incidence rates of HAT in the literature. METHODS: Between 2008 and 2015,
a total of 325 LDLTs performed at our institute were retrospectively analyzed.
Under microscope assistance, all hepatic artery anastomosis were performed in a
risk-free and back-wall first manner. We collected donors' and recipients'
demographics, operative procedures, and outcome. RESULTS: A total of 325 adult
LDLTs were enrolled in the study. Of these, 297(91.4%) were right liver graft.
The mean diameter of the hepatic arteries of the graft was 1.9 +/- 0.3 mm. A
single HA anastomosis was performed in 310 patients (95.4%). The 1-, 3-, and 5
year overall patient survival rates were 84.8%, 76.8%, and 75.2%, respectively.
Only one (0.3%) episode of HAT was encountered in our series. The patient was
treated successfully with nonsurgical management. CONCLUSION: Our study showed
that the occurrence of HAT is avoidable. Identifying risk factors associated with
HAT, meticulous surgical techniques, and careful routine flow monitoring are
mandatory to avoid disastrous complications.
PMID- 27888550
TI - Concise Review: Mesenchymal Stem (Stromal) Cells: Biology and Preclinical
Evidence for Therapeutic Potential for Organ Dysfunction Following Trauma or
Sepsis.
AB - Several experimental studies have provided evidence that bone-marrow derived
mesenchymal stem (stromal) cells (MSC) may be effective in treating critically
ill surgical patients who develop traumatic brain injury, acute renal failure, or
the acute respiratory distress syndrome. There is also preclinical evidence that
MSC may be effective in treating sepsis-induced organ failure, including evidence
that MSC have antimicrobial properties. This review considers preclinical studies
with direct relevance to organ failure following trauma, sepsis or major
infections that apply to critically ill patients. Progress has been made in
understanding the mechanisms of benefit, including MSC release of paracrine
factors, transfer of mitochondria, and elaboration of exosomes and microvesicles.
Regardless of how well they are designed, preclinical studies have limitations in
modeling the complexity of clinical syndromes, especially in patients who are
critically ill. In order to facilitate translation of the preclinical studies of
MSC to critically ill patients, there will need to be more standardization
regarding MSC production with a focus on culture methods and cell
characterization. Finally, well designed clinical trials will be needed in
critically ill patient to assess safety and efficacy. Stem Cells 2017;35:316-324.
PMID- 27888552
TI - Initial clinical experience with the Medtronic Micro Vascular PlugTM in
transcatheter occlusion of PDAs in extremely premature infants.
AB - OBJECTIVES: To describe the early multicenter, clinical experience with the
Medtronic Micro Vascular PlugTM (MVP) for the occlusion of patent ductus
arteriosus (PDA) in premature infants. BACKGROUND: The MVP is a large diameter
plug that can be delivered through a microcatheter for occlusion of abnormal
blood vessels. METHODS: A Retrospective review of PDA embolization procedures
performed in two centers using the MVP was performed. RESULTS: Fifteen premature
infants underwent attempted PDA occlusion using the MVP. The gestational age and
birth weight were 25.6 +/- 2.5 weeks and 735 +/- 251 g, respectively. The median
weight and age at the time of the procedure were 1,210 g (700-3,500 g) and 4.5
weeks (2-12 weeks), respectively. Median procedure and fluoroscopy times were 45
and 6.5 min, respectively. The median radiation and contrast doses were 19.7 mGy
and 2.4 mL/kg, respectively. Antegrade occlusion was successfully achieved in 13
patients <2 kg with only femoral venous access aided by echo guidance. The two
patients >2 kg had arterial access and attempted retrograde occlusion; one of
which was unsuccessful due to the PDA being short and wide. Complete closure was
observed in 13 of 14 successful procedures (93%), with one patient having a small
residual shunt that was not seen on follow-up. There were no complications
related to the procedure or noted during follow-up (Median 11 months).
CONCLUSIONS: The MVP is a new, large-diameter vascular embolization device that
may be useful for the occlusion of PDA in extremely small, premature infants. (c)
2016 Wiley Periodicals, Inc.
PMID- 27888553
TI - Left Lobe Auxiliary Liver Transplantation for End-stage Hepatitis B Liver
Cirrhosis.
AB - Auxiliary liver transplantation (ALT) for hepatitis B virus (HBV)-related liver
cirrhosis previously showed poor results, because the native liver was a
significant source of HBV recurrence and the graft could be rapidly destroyed by
HBV infection in an immunosuppressive condition. Four patients with HBV-related
liver cirrhosis were unable to undergo orthotopic liver transplantation because
the only available grafts of left lobe were too small. Under entecavir-based anti
HBV treatment, they underwent ALT in which the recipient left liver was removed
and the small left lobe graft was implanted in the corresponding space. The mean
graft weight/recipient weight was 0.49% (range, 0.38%-0.55%). One year after
transplantation, the graft sizes were increased to 273% and the remnant livers
were decreased to 44%. Serum HBV DNA was persistently undetectable. Periodic
graft biopsy showed no signs of tissue injury and negative immunostaining for
hepatitis B surface antigen and hepatitis B core antigen. After a mean follow-up
period of 21 months, all patients live well with normal graft function. Our study
suggests that ALT for HBV-related liver cirrhosis is feasible under entecavir
based anti-HBV treatment. Successful application of small left livers in end
stage liver cirrhosis may significantly increase the pool of left liver grafts
for adult patients.
PMID- 27888551
TI - Selective Targeting of High-Affinity LFA-1 Does Not Augment Costimulation
Blockade in a Nonhuman Primate Renal Transplantation Model.
AB - Costimulation blockade (CoB) via belatacept is a lower-morbidity alternative to
calcineurin inhibitor (CNI)-based immunosuppression. However, it has higher rates
of early acute rejection. These early rejections are mediated in part by memory T
cells, which have reduced dependence on the pathway targeted by belatacept and
increased adhesion molecule expression. One such molecule is leukocyte function
antigen (LFA)-1. LFA-1 exists in two forms: a commonly expressed, low-affinity
form and a transient, high-affinity form, expressed only during activation. We
have shown that antibodies reactive with LFA-1 regardless of its configuration
are effective in eliminating memory T cells but at the cost of impaired
protective immunity. Here we test two novel agents, leukotoxin A and AL-579, each
of which targets the high-affinity form of LFA-1, to determine whether this more
precise targeting prevents belatacept-resistant rejection. Despite evidence of ex
vivo and in vivo ligand-specific activity, neither agent when combined with
belatacept proved superior to belatacept monotherapy. Leukotoxin A approached a
ceiling of toxicity before efficacy, while AL-579 failed to significantly alter
the peripheral immune response. These data, and prior studies, suggest that LFA-1
blockade may not be a suitable adjuvant agent for CoB-resistant rejection.
PMID- 27888554
TI - A high-resolution genetic map of the cereal crown rot pathogen Fusarium
pseudograminearum provides a near-complete genome assembly.
AB - Fusarium pseudograminearum is an important pathogen of wheat and barley,
particularly in semi-arid environments. Previous genome assemblies for this
organism were based entirely on short read data and are highly fragmented. In
this work, a genetic map of F. pseudograminearum has been constructed for the
first time based on a mapping population of 178 individuals. The genetic map,
together with long read scaffolding of a short read-based genome assembly, was
used to give a near-complete assembly of the four F. pseudograminearum
chromosomes. Large regions of synteny between F. pseudograminearum and F.
graminearum, the related pathogen that is the primary causal agent of cereal head
blight disease, were previously proposed in the core conserved genome, but the
construction of a genetic map to order and orient contigs is critical to the
validation of synteny and the placing of species-specific regions. Indeed, our
comparative analyses of the genomes of these two related pathogens suggest that
rearrangements in the F. pseudograminearum genome have occurred in the chromosome
ends. One of these rearrangements includes the transposition of an entire gene
cluster involved in the detoxification of the benzoxazolinone (BOA) class of
plant phytoalexins. This work provides an important genomic and genetic resource
for F. pseudograminearum, which is less well characterized than F. graminearum.
In addition, this study provides new insights into a better understanding of the
sexual reproduction process in F. pseudograminearum, which informs us of the
potential of this pathogen to evolve.
PMID- 27888556
TI - Evaluation of quality of kidneys from donation after circulatory death/expanded
criteria donors by parameters of machine perfusion.
AB - AIM: To investigate whether the parameters of machine perfusion could predict the
quality of kidneys from donation after circulatory death (DCD) donors and
expanded criteria donors (ECD). METHODS: Fifty-eight kidneys from DCD/ECD donors
were harvested in our hospital from July 2011 to August 2014. All kidneys were
preserved with machine perfusion (Life Port), and parameters of machine perfusion
were collected. All kidneys were biopsied before transplantation. The primary
endpoints were delayed graft function (DGF), graft loss and patient death.
RESULTS: After kidney transplantation, 26 patients (44.8%) had DGF. We chose 1 h
RI as a predictive parameter to predict DGF after transplant, and made the ROC
curve. The ROC curve showed that 1 h RI = 0.4 was the best cut-off point for
predicting DGF after transplant. The sensitivity was 61.54%, and the specificity
was 81.25%. Fifty-eight recipients were divided into two groups according to 1 h
RI of machine perfusion. 22 cases in high RI group (RI > 0.4) and 36 cases in low
RI group (RI <=0.4). DGF rate was significantly higher in the high RI group
(72.7% vs. 27.8%). One year serum creatinine levels were also significantly
higher in the high RI group (P < 0.05). Acute rejection rate and 1 year graft and
patient survival were comparable. CONCLUSIONS: One hour RI of machine perfusion
is associated with DGF and 1 year graft function in DCD/ECD kidney
transplantation, and may be a non-invasive tool for evaluating quality of DCD/ECD
kidneys.
PMID- 27888555
TI - Renal Operational Tolerance Is Associated With a Defect of Blood Tfh Cells That
Exhibit Impaired B Cell Help.
AB - Renal operationally tolerant patients (TOL) display a defect in B cell
differentiation, with a deficiency in plasma cells. Recently described, T
follicular helper (Tfh) cells play a critical role in B cell differentiation. We
analyzed blood Tfh subsets in TOL and transplanted patients with stable graft
function under immunosuppression (STA). We observed a reduced proportion of blood
activated and highly functional Tfh subsets in TOL, without affecting Tfh
absolute numbers. Functionally, Tfh cells from TOL displayed a modified gene
expression profile, failed to produce interleukin-21, and were unable to induce
IgG production by naive B cells. This Tfh defect is linked to a low incidence of
postgraft de novo donor-specific antibody (dnDSA) immunization, suggesting that
the lack of Tfh cells in TOL may induce a protolerogenic environment with reduced
risk of developing dnDSA. Finally, we showed that elevated Tfh in STA precedes
the occurrence of dnDSA during an alloresponse. These data provide new insights
into the mechanisms of antibody response in operational tolerance. Disrupted
homeostasis and impaired Tfh function in TOL could lead to a reduced risk of
developing dnDSA and suggest a predictive role of blood Tfh cells on the
occurrence of dnDSA in transplant recipients.
PMID- 27888557
TI - Adoptive transfer of T regulatory cells inhibits lipopolysaccharide-induced
inflammation in fetal brain tissue in a late-pregnancy preterm birth mouse model.
AB - To evaluate the effect of regulatory T cells (Tregs) on the inflammation
resulting from lipopolysaccharide (LPS) challenge in prenatal brain tissue, Tregs
isolated from pregnant mice were transferred into model mice, and the expression
levels of fork head family transcription factor (Foxp3), interleukin-6 (IL-6),
CD68 (a marker of microglia), and toll-like receptor 4 (TLR-4) were assessed in
the fetal brain tissue. Foxp3, IL-6, and TLR-4 expression were detected by
polymerase chain reaction and Western blot; CD68 expression level was detected
using immunochemical analysis. Foxp3, IL-6, TLR-4, and CD68 expressions in fetal
brain were significantly induced by maternal LPS administration, and the
increased expression levels were markedly reduced by adoptive transfer of Tregs.
Maternal LPS exposure significantly induced inflammation in perinatal brain
tissue, and Tregs negatively regulated this LPS-induced inflammation.
PMID- 27888558
TI - Spontaneous Single-Copy Loss of TP53 in Human Embryonic Stem Cells Markedly
Increases Cell Proliferation and Survival.
AB - Genomic aberrations have been identified in many human pluripotent stem cell
(hPSC) cultures. Commonly observed duplications in portions of chromosomes 12p
and 17q have been associated with increases in genetic instability and resistance
to apoptosis, respectively. However, the phenotypic consequences related to
sporadic mutations have not been evaluated to date. Here, we report on the
effects of a single-copy deletion of the chr17p13.1 region, a sporadic mutation
that spontaneously arose independently in several subclones of a human embryonic
stem cell culture. Compared to cells with two normal copies of chr17p13.1 ("wild
type"), the cells with a single-copy deletion of this region ("mutant") displayed
a selective advantage when exposed to stressful conditions, and retained a higher
percentage of cells expressing the pluripotency marker POU5F1/OCT4 after 2 weeks
of in vitro differentiation. Knockdown of TP53, which is a gene encompassed by
the deleted region, in wild-type cells mimicked the chr17p13.1 deletion
phenotype. Thus, sporadic mutations in hPSCs can have phenotypic effects that may
impact their utility for clinical applications. Stem Cells 2017;35:872-885.
PMID- 27888559
TI - Ten-year all-cause mortality and its association with vision among Indigenous
Australians within Central Australia: the Central Australian Ocular Health Study.
AB - BACKGROUND: No studies to date have explored the association of vision with
mortality in Indigenous Australians. We aimed to determine the 10-year all-cause
mortality and its associations among Indigenous Australians living in Central
Australia. DESIGN: Prospective observational cohort study. PARTICIPANTS: A total
of 1257 (93.0%) of 1347 patients from The Central Australian Ocular Health Study,
over the age of 40 years, were available for follow-up during a 10-year period.
METHODS: All-cause mortality and its associations with visual acuity, age and
gender were analysed. MAIN OUTCOME MEASURES: All-cause mortality. RESULTS: All
cause mortality was 29.3% at the end of 10 years. Mortality increased as age of
recruitment increased: 14.2% (40-49 years), 22.6% (50-59 years), 50.3% (60 years
or older) (chi = 59.15; P < 0.00001). Gender was not associated with mortality as
an unadjusted variable, but after adjustment with age and visual acuity, women
were 17.0% less likely to die (t = 2.09; P = 0.037). Reduced visual acuity was
associated with increased mortality rate (5% increased mortality per one line of
reduced visual acuity; t = 4.74; P < 0.0001) after adjustment for age, sex,
diabetes and hypertension. CONCLUSIONS: The 10-year all-cause mortality rate of
Indigenous Australians over the age of 40 years and living in remote communities
of Central Australia was 29.3%. This is more than double that of the Australian
population as a whole. Mortality was significantly associated with visual acuity
at recruitment. Further work designed to better understand this association is
warranted and may help to reduce this disparity in the future.
PMID- 27888560
TI - Do invasive alien plants benefit more from global environmental change than
native plants?
AB - Invasive alien plant species threaten native biodiversity, disrupt ecosystem
functions and can cause large economic damage. Plant invasions have been
predicted to further increase under ongoing global environmental change. Numerous
case studies have compared the performance of invasive and native plant species
in response to global environmental change components (i.e. changes in mean
levels of precipitation, temperature, atmospheric CO2 concentration or nitrogen
deposition). Individually, these studies usually involve low numbers of species
and therefore the results cannot be generalized. Therefore, we performed a
phylogenetically controlled meta-analysis to assess whether there is a general
pattern of differences in invasive and native plant performance under each
component of global environmental change. We compiled a database of studies that
reported performance measures for 74 invasive alien plant species and 117 native
plant species in response to one of the above-mentioned global environmental
change components. We found that elevated temperature and CO2 enrichment
increased the performance of invasive alien plants more strongly than was the
case for native plants. Invasive alien plants tended to also have a slightly
stronger positive response to increased N deposition and increased precipitation
than native plants, but these differences were not significant (N deposition: P =
0.051; increased precipitation: P = 0.679). Invasive alien plants tended to have
a slightly stronger negative response to decreased precipitation than native
plants, although this difference was also not significant (P = 0.060). So while
drought could potentially reduce plant invasion, increases in the four other
components of global environmental change considered, particularly global warming
and atmospheric CO2 enrichment, may further increase the spread of invasive
plants in the future.
PMID- 27888563
TI - Qualities of robust systematic reviews and theoretical frameworks: a response to
Backholer and Peeters.
PMID- 27888561
TI - Novel hepato-preferential basal insulin peglispro (BIL) does not differentially
affect insulin sensitivity compared with insulin glargine in patients with type 1
and type 2 diabetes.
AB - AIMS: Basal insulin peglispro (BIL) is a novel PEGylated basal insulin with a
flat pharmacokinetic and glucodynamic profile and reduced peripheral effects,
which results in a hepato-preferential action. In Phase 3 trials, patients with
T1DM treated with BIL had lower prandial insulin requirements, yet improved
prandial glucose control, relative to insulin glargine (GL). We hypothesized that
this may be because of an enhanced sensitivity to prandial insulin with BIL
resulting from lower chronic peripheral insulin action. MATERIALS AND METHODS:
Two open-label, randomized, 2-period crossover clinical studies were conducted in
28 patients with T1DM and 24 patients with T2DM. In each study period, patients
received once-daily, individualized, stable, subcutaneous doses of BIL or GL for
5 weeks before a euglycaemic 2-step hyperinsulinemic clamp procedure (with [6,6-
2 H2 ]-glucose in 12 of the patients with T1DM). M-values were derived from the
clamp procedure for all patients, with rate of glucose appearance (Ra) and
disappearance (Rd) and insulin sensitivity index (SI) determined from the clamps
with [6,6- 2 H2 ]-glucose. RESULTS: There were no statistically significant
differences between BIL and GL in key measures of hepatic (% Ra suppression
during the low-dose insulin infusion; 78.7% with BIL, 81.8% with GL) or
peripheral (M-value and M/I during the high-dose insulin infusion, Rd and SI)
insulin sensitivity in patients with T1DM or T2DM. CONCLUSIONS: The need to
reduce prandial insulin observed with BIL during phase 3 trials cannot be
explained by the differential effects of BIL and GL on sensitivity to prandial
insulin in either T1DM or T2DM.
PMID- 27888562
TI - Parainfluenza 3 Infections Early After Kidney or Simultaneous Pancreas-Kidney
Transplantation.
AB - Parainfluenza virus (PIV) can cause serious infections after hematopoietic stem
cell or lung transplantation. Limited data exist about PIV infections after
kidney transplantation. We describe an outbreak of PIV-3 in a transplant unit.
During the outbreak, 45 patients were treated on the ward for postoperative care
after kidney or simultaneous pancreas-kidney (SPK) transplantation. Overall, 29
patients were tested for respiratory viruses (12 patients with respiratory
symptoms, 17 asymptomatic exposed patients) from nasopharyngeal swabs using
polymerase chain reaction. PIV-3 infection was confirmed in 12 patients. One
patient remained asymptomatic. In others, symptoms were mostly mild upper
respiratory tract symptoms and subsided within a few days with symptomatic
treatment. Two patients suffered from lower respiratory tract symptoms (dyspnea,
hypoxemia, pulmonary infiltrates in chest computed tomography) and required
supplemental oxygen. Four of six SPK patients and eight of 39 of kidney
transplant patients were infected with PIV (p = 0.04). In patients with follow-up
tests, PIV-3 shedding was still detected 11-16 days after diagnosis. Despite
rapid isolation of symptomatic patients, PIV-3 findings were diagnosed within 24
days, and the outbreak ceased only after closing the transplant ward temporarily.
In conclusion, PIV-3 infections early after kidney or SPK transplantation were
mostly mild. PIV-3 easily infected immunosuppressed transplant recipients, with
prolonged viral shedding.
PMID- 27888564
TI - Communicating with children and families about obesity and weight-related topics:
a scoping review of best practices.
AB - BACKGROUND: Healthcare professionals have called for direction on how best to
communicate about weight-related topics with children and families. Established
scoping review methodology was used to answer the question: 'How can healthcare
professionals best communicate with children and their families about obesity and
weight-related topics?' METHODS: We searched four scientific databases, two grey
literature repositories and 14 key journals (2005-2016). Inclusion criteria were
(i) children up to and including 18 years of age and/or their parents; (ii)
communication about healthy weight, overweight, obesity or healthy/active living;
and (iii) healthcare setting. RESULTS: Thirty-two articles were included.
Evidence-based best practices were largely absent from the literature, although
the following guiding principles were identified: (i) include all stakeholders in
discussions; (ii) raise the topic of weight and health early and regularly; (iii)
use strengths-based language emphasizing health over weight; (iv) use
collaborative goal-setting to engage children and parents and (v) augment
discussions with appropriate tools and resources. Guidance on how to implement
these principles and how to negotiate relevant contextual factors (e.g. age,
culture and disability) is still needed. CONCLUSION: Despite agreement on a
number of guiding principles, evidence-based weight-related communication best
practices are lacking. Rigorous, empirical evaluations of communication
approaches are urgently required, especially those that include children's
perspectives.
PMID- 27888566
TI - Functional expression of G protein-coupled receptor 30 in immature rat epididymal
epithelium.
AB - The aim of this study is to investigate the functional role of G protein-coupled
receptor 30 (GPR30) in the epididymis. We found that GPR30 is expressed in the
epithelium of the immature rat epididymis and is involved in chloride secretion
into the caudal epididymis lumen. The short-circuit current (Isc) experiments
showed that in primary cultured caudal epididymis epithelium, activation of GPR30
by its specific agonist G1 induced a mono-phasic current increase, and G15, the
specific antagonist of GPR30, could completely inhibit the current induced by G1.
The G1-induced Isc was largely blocked by application of the non-specific
chloride channel inhibitor diphenylamine-dicarboxylic acid (DPC), or by the
cystic fibrosis transmembrane conductance regulator (CFTR) inhibitor CFTRinh-172
, suggesting that the current was mainly mediated through CFTR. In addition,
after stimulating GPR30 by G1, the intracellular concentration of cAMP in the
epithelium was significantly increased, indicating that the cAMP signal pathway
is involved and could be responsible for the CFTR activation. Finally, to further
investigate the function of GPR30 in vivo, G15 was administrated into rats
subcutaneously. The osmotic pressure of the micro perfusion solution from
epididymis was measured and the sperms were collected. Results showed that there
was an osmotic pressure increase of the perfusion solution from G15 treated rats.
When the GPR30 was inhibited by G15 endogenously, the motility of sperms
decreased. Our data demonstrated that GPR30 is involved in the formation of
caudal epididymis fluid micro-environment thus affecting sperm motility.
PMID- 27888567
TI - Chiral separation of benzothiazole derivatives of amino acids using capillary
zone electrophoresis.
AB - A method for the separation of enantiomers of leucine and phenylalanine
benzothiazole derivatives as potential antimicrobial agents was developed using
capillary zone electrophoresis with a dual cyclodextrin (CD) system. The best
resolution of enantiomers was achieved in 100 mmol/L phosphate background
electrolyte (pH 3.5) with the dual CD system consisting of 10 mmol/L of beta-CD
with 10 mmol/L of 2-hydroxypropyl-beta-cyclodextrin for leucine derivative and 10
mmol/L of 2-hydroxypropyl-gamma-cyclodextrin for phenylalanine derivative,
respectively. Under the optimal conditions, the highest enantioresolution of 1.25
was achieved in a noncoated-fused silica capillary at 17 degrees C and 24 kV
applied voltage.
PMID- 27888565
TI - Applying Mass Cytometry to the Analysis of Lymphoid Populations in
Transplantation.
AB - Single-cell flow cytometric techniques have been indispensable to improving our
understanding of the phenotype and function of immune cell subsets that are
important in both rejection and tolerance after transplant. Mass cytometry, or
cytometry by time of flight, is a single-cell-based platform that utilizes
antibodies conjugated to rare heavy metal ions for analysis of cellular proteins
by a time-of-flight mass spectrometer. This new technology allows for the
evaluation of >40 simultaneous cellular parameters in a single sample because the
limitation of spectral overlap, seen in conventional flow cytometry, is
eliminated. In this review, we discuss the current state of mass cytometry,
describe the advantages and disadvantages compared with multiparameter flow
cytometry, introduce novel methods of high-dimensional data analysis and
visualization, and review some recent studies using mass cytometry to profile the
immune systems of healthy people and transplant recipients.
PMID- 27888568
TI - Facile preparation of a polydopamine-based monolith for multiple monolithic fiber
solid-phase microextraction of triazine herbicides in environmental water
samples.
AB - A new multiple monolithic fiber solid-phase microextraction using a polydopamine
based monolith as the extraction medium is proposed. The monolith was synthesized
by facile in situ copolymerization of N-methacryldopamine and dual cross-linkers
(divinylbenzene/ethylenedimethacrylate) in the presence of N,N-dimethylformamide.
The effect of the contents of N-methacryldopamine and porogen in the
polymerization mixture on the extraction performance was investigated thoroughly.
A series of characterization studies was performed to validate the structure and
properties of the monolith. The prepared multiple monolithic fibers were used for
the extraction of triazine herbicides in environmental water samples. After the
optimization of the extraction parameters, a convenient, sensitive, cost
effective, and environmentally friendly method for the determination of trace
triazine herbicides in water samples was developed by coupling multiple
monolithic fibers solid-phase microextraction with high-performance liquid
chromatography and diode array detection. The results indicated that the limits
of detection and quantification for the target compounds were 0.031-0.14 and 0.10
0.45 MUg/L, respectively. Good precision and reproducibility were obtained with
the relative standard deviations below 10%. The developed method was applied to
the analysis of the triazine herbicides in different water samples (lake, river,
and farmland waters). The recoveries of the method were in the range between 79.6
and 117%.
PMID- 27888569
TI - Providing Coverage for the Unique Lifelong Health Care Needs of Living Kidney
Donors Within the Framework of Financial Neutrality.
AB - Organ donation should neither enrich donors nor impose financial burdens on them.
We described the scope of health care required for all living kidney donors,
reflecting contemporary understanding of long-term donor health outcomes;
proposed an approach to identify donor health conditions that should be covered
within the framework of financial neutrality; and proposed strategies to pay for
this care. Despite the Affordable Care Act in the United States, donors continue
to have inadequate coverage for important health conditions that are donation
related or that may compromise postdonation kidney function. Amendment of
Medicare regulations is needed to clarify that surveillance and treatment of
conditions that may compromise postdonation kidney function following donor
nephrectomy will be covered without expense to the donor. In other countries
lacking health insurance for all residents, sufficient data exist to allow the
creation of a compensation fund or donor insurance policies to ensure appropriate
care. Providing coverage for donation-related sequelae as well as care to
preserve postdonation kidney function ensures protection against the financial
burdens of health care encountered by donors throughout their lives. Providing
coverage for this care should thus be cost-effective, even without considering
the health care cost savings that occur for living donor transplant recipients.
PMID- 27888570
TI - Deep eutectic solvents for the purification of chloromycetin and thiamphenicol
from milk.
AB - Deep eutectic solvents were used in both dispersive liquid-liquid microextraction
and solid-phase extraction for the purification of chloromycetin and
thiamphenicol from milk. In the dispersive liquid-liquid microextraction
procedure, deep eutectic solvents mixed with chloroform at different ratios (0:1
5:1, v/v) were used as the extraction agent to optimize the procedure, and the
ratio of 2:1 v/v was found to be the best extraction agent with 87.23 and 83.17%
recoveries of chloromycetin and thiamphenicol, respectively. Furthermore, deep
eutectic solvents were also used to modify molecular imprinted polymers in solid
phase extraction procedure, and the polymers were used to purify chloromycetin
and thiamphenicol from milk. Fourier transform infrared spectroscopy, and nuclear
magnetic resonance spectroscopy were used to characterize the polymers. The solid
phase extraction recoveries with deep eutectic solvents with molecularly
imprinted polymers (chloromycetin and thiamphenicol, two templates), molecularly
imprinted polymers (without deep eutectic solvents), and nonimprinted polymers
(without a template) for chloromycetin were 91.23, 82.64, and 57.3%,
respectively, and recoveries for thiamphenicol were 87.02, 79.03, and 52.27%,
respectively. The recoveries of chloromycetin and thiamphenicol from milk in the
solid-phase extraction procedure were higher than using deep eutectic solvents
mixed with chloroform as the extraction agent in the dispersive liquid-liquid
microextraction procedure.
PMID- 27888571
TI - Melody valve implantation within freestyle stentless porcine aortic heterograft.
AB - BACKGROUND: The Melody transcatheter pulmonary valve has been implanted
successfully worldwide since its first implant in 2000. The vast majority of
these valves have been implanted in pulmonary homografts. In our institution, the
most common valve used for pulmonary valve replacement is the Medtronic Freestyle
stentless porcine aortic heterograft. OBJECTIVE: We describe our experience
implanting the Melody valve within the Freestyle heterograft. METHODS:
Retrospective chart review was performed. RESULTS: Between June 2012 and June
2015, 19 Melody valves were placed within Freestyle heterografts. The most common
indication for intervention was pulmonary stenosis. Following pre-stent and
Melody valve implantation, right ventricle-to-pulmonary artery gradient decreased
from 38.1 +/- 12.1 to 10 +/- 4.7 mm Hg (P < 0.001), and right ventricular
pressure decreased from 61.7 +/- 17.8 to 35.6 +/- 10.2 mm Hg (P < 0.001). Two
procedural adverse events occurred. At median follow-up of 24 months (range 2-48
months), no patients had mean right ventricular outflow tract gradients >30 mm Hg
or worse than mild insufficiency. No valve reinterventions have been necessary
and no episodes of endocarditis have been observed. CONCLUSIONS: The Melody valve
can be implanted successfully within a stentless aortic bioprosthesis with good
short- and intermediate-term longevity. (c) 2016 Wiley Periodicals, Inc.
PMID- 27888572
TI - Adverse Effect Profile of Topical Ocular Administration of Fingolimod for
Treatment of Dry Eye Disease.
AB - Fingolimod is a promising prodrug in attenuating multiple sclerosis and
prolonging survival of organ allograft, with many other protective effects. Its
mechanism of action is related to the internalization of sphingosine 1-phosphate
receptors (S1PRs). Our previous study indicated that fingolimod eyedrops was
efficacious in inhibiting ocular inflammation in a dry eye disease (DED) model of
non-obese diabetic (NOD) mice. In the current study, we evaluated potential
adverse effects of fingolimod eyedrops. Inbred 10-week-old BALB/c mice were
randomly divided into four groups, fingolimod-treated groups at three different
concentrations (0.01%, 0.1% and 0.5%) and a negative control group without
intervention. Our results showed that in the 0.5% fingolimod group, adverse
effects such as photophobia, catacleisis and corneal oedema were observed after 1
week of treatment. 1 month later, corneal opacity, oedema and neovascularization
persisted till the mice were killed 2 months later. In contrast, there was no
significant abnormality in the negative control group, and 0.01% and 0.1%
fingolimod-treated groups. During a 2-month treatment period, we did not detect
fingolimod, nor significant change in blood cells in peripheral blood, nor
pathological changes in retina and systemic organs. Combined with our previous
study and the current results, we recommend that an optimal range of safe and
effective concentration of fingolimod as eyedrops is between 0.005% and 0.1%.
PMID- 27888573
TI - B-cell activating factor, a predictor of antibody mediated rejection in kidney
transplantation recipients.
AB - AIM: Donor-specific antibody (DSA) is a widely-used biomarker for antibody
mediated rejection (ABMR) but correctly indicates only 30-40% of patients with
ABMR. Additional biomarkers of ABMR in kidney transplant recipients are needed.
METHODS: All 68 kidney transplanted-recipients enrolled in this study were
negative for graft rejection as determined by surveillance-biopsy ELISA at day 7
post-transplantation. Allograft biopsy was then performed at 6 months post
transplantation for subclinical-ABMR detection. Recipients were stratified by pre
transplant DSA and BAFF at day 7 into four groups. RESULTS: During the study
period, 13.2% of the recipients demonstrated subclinical-ABMR at 6 months,
without patient with clinical ABMR presentations. Overall mean BAFF at day 7 was
393 pg/mL (95% CI = 316-471 pg/mL). The optimal cut-off value for low vs. high
BAFF level was 573 pg/mL, with sensitivity and specificity at 77.8% and 88.1%,
respectively. Fifty percent of recipients with high BAFF at day 7 (14 patients)
and only 3.7% of patients with low BAFF demonstrated ABMR (P < 0.05). Indeed,
ABMR was more common in patients high BAFF level (hazard ratio = 7.30; 95% CI =
3.77-14.15). The prevalence of ABMR among negative pre-transplant DSA/low BAFF,
positive DSA/low BAFF, negative DSA/high BAFF, and positive DSA/ high BAFF
recipients were 4.4, 0, 37.5 and 66.7%, respectively (P < 0.05). CONCLUSIONS:
Post-transplant ABMR can be predicted by perioperative serum BAFF level. Together
with DSA testing, BAFF provides additional predictive value for ABMR.
PMID- 27888575
TI - The effect of fibrinogen concentrate on perioperative bleeding in transurethral
resection of the prostate: a double-blind placebo-controlled and randomized
study.
AB - : Essentials Perioperative bleeding during prostate surgery is still a common
morbidity. Anticoagulant and antiplatelet medications contribute to the risk of
hemorrhage and prolonged hospital stay. Multiple pharmacological agents have been
proposed, but none of them have been widely accepted. It is crucial to find a
safe and effective modality to reduce hemorrhage. SUMMARY: Background Hemorrhage
during transurethral resection of the prostate (TUR-P) has always been a concern.
Several studies have shown preoperative administration of fibrinogen concentrate
to have promising results in reducing hemorrhage in cardiac surgery. Objectives
To investigate the hemostatic effect of fibrinogen concentrate administration on
reducing the amount of bleeding during TUR-P in patients with benign prostatic
hyperplasia. Methods Sixty men with benign prostatic hyperplasia, who were chosen
to undergo TUR-P, entered this prospective randomized double-blind placebo
controlled study. The participants were randomly assigned to two groups:
treatment (n = 31) and placebo (n = 29). They received an infusion of 2 g of
fibrinogen concentrate (treatment group) or normal saline (placebo group) before
surgery. Data regarding the amount of bleeding, the operation and complications
were recorded and analyzed. Results No difference was observed in bleeding
between the fibrinogen and placebo groups during (521 mL versus 557 mL,
respectively) and after (291 mL versus 341 mL, respectively) surgery. This lack
of difference was also seen in operation time (43 min versus 42 min), irrigating
fluid volume used during (17 L versus 19 L) and after (29 L versus 28 L) surgery,
and resected adenoma volume (19 g versus 19 g). The mean blood pressure was also
similar in both groups as a confounding factor for the amount of bleeding.
Conclusion Preoperative administration of fibrinogen concentrate had no
significant influence on intraoperative and postoperative bleeding in TUR-P
surgery.
PMID- 27888574
TI - Normal 'heart' in Parkinson's disease: is this a distinct clinical phenotype?
AB - BACKGROUND AND PURPOSE: Reduction of metaiodobenzylguanidine (MIBG) uptake has
been observed in almost all patients with Parkinson's disease (PD), associated
with hyposmia, orthostatic hypotension and rapid eye movement sleep behavioral
disorder (RBD). In contrast, a subgroup of patients with PD with normal MIBG
uptake have been reported to have milder disease and preserved cognition compared
with those with lower MIBG. The aim of this study was to investigate whether non
motor manifestations of PD differ between patients with normal and abnormal
myocardial MIBG uptake. METHODS: Among 160 de-novo cases of PD, 44 had normal
MIBG uptake. Twelve candidate non-motor features were evaluated using
questionnaires and laboratory tests. RESULTS: Patients with decreased MIBG uptake
had more constipation, RBD, cognitive impairment, hyposmia and orthostatic
hypotension than did those with normal MIBG uptake. On linear regression
analysis, orthostatic hypotension, olfactory function and probable RBD were
significantly associated with MIBG uptake in PD. The principal component analysis
showed that the group with normal MIBG was not associated with non-motor
impairments. CONCLUSIONS: These results suggest that patients with PD with normal
MIBG scans have a relatively low disease burden compared with those with abnormal
MIBG. Fewer synuclein pathologies in the myocardia and sympathetic ganglia in PD
with preserved MIBG uptake might be associated with lower threshold patterns of
Braak synuclein pathology for non-motor manifestations compared with PD with
decreased MIBG.
PMID- 27888576
TI - Total Recall: Can We Reshape T Cell Memory by Lymphoablation?
AB - Despite recent advances in immunosuppression, donor-reactive memory T cells
remain a serious threat to successful organ transplantation. To alleviate
damaging effects of preexisting immunologic memory, lymphoablative induction
therapies are used as part of standard care in sensitized recipients. However,
accumulating evidence suggests that memory T cells have advantages over their
naive counterparts in surviving depletion and expanding under lymphopenic
conditions. This may at least partially explain the inability of existing
lymphoablative strategies to improve long-term allograft outcome in sensitized
recipients, despite the well-documented decrease in the frequency of early acute
rejection episodes. This minireview summarizes the insights gained from both
experimental and clinical transplantation as to the effects of existing
lymphoablative strategies on memory T cells and discusses the latest research
developments aimed at improving the efficacy and safety of lymphoablation.
PMID- 27888577
TI - Viral ecology comes of age.
PMID- 27888578
TI - Clinical evaluation of a radio-protective cream for the hands of the pediatric
interventional cardiologist.
AB - OBJECTIVES: The aim of this study was to evaluate the effectiveness of
UltraBLOXTM radiation attenuating hand cream during lengthy cardiac
catheterization procedures in children. BACKGROUND: The hands of interventional
cardiologists receive high doses of radiation due to their proximity to the X-ray
beam. Radiation attenuating gloves have about a 26% attenuation rate, but reduce
dexterity and tactile sensation. The UltraBLOXTM cream is a new FDA-approved X
ray attenuating cream that can be applied to the operator's hands for radio
protection. METHODS: Two nanoDotTM dosimeters were secured side by side on the
dorsum of the operator's (n = 2) left hand close to the wrist. One dosimeter and
the rest of the hand were covered with 0.2 mm layer of the cream. The other
dosimeter was unshielded. Procedures were performed using 110 kVp fluoroscopy at
15 pulses/sec. The measurements were categorized into four groups dependent on
the duration of the procedure. The patients in all four groups were well matched
for age and size. RESULTS: Procedural and cumulative hand radiation doses were
higher with longer procedural duration. The overall % attenuation by the cream
was 39.7% (28.6-51.5) and was unaffected by the length of the procedure (median:
40.9% at 30 min and 41.4% at 180 min; P = 0.66) or the dose of radiation. The
kappa statistic for interobserver agreement for good tactile sensitivity was
0.82. CONCLUSIONS: UltraBLOXTM cream provides a new option for radio-protection
for the hands of interventional cardiologists without impairing tactile
sensitivity. There was no decrease in attenuation up to 180 min. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27888579
TI - Non-target effects of fungicides on nectar-inhabiting fungi of almond flowers.
AB - Nectar mediates interactions between plants and pollinators in natural and
agricultural systems. Specialized microorganisms are common nectar inhabitants,
and potentially important mediators of plant-pollinator interactions. However,
their diversity and role in mediating pollination services in agricultural
systems are poorly characterized. Moreover, agrochemicals are commonly applied to
minimize crop damage, but may present ecological consequences for non-target
organisms. Assessment of ecological risk has tended to focus on beneficial
macroorganisms such as pollinators, with less attention paid to microorganisms.
Here, using culture-independent methods, we assess the impact of two widely-used
fungicides on nectar microbial community structure in the mass-flowering crop
almond (Prunus dulcis). We predicted that fungicide application would reduce
fungal richness and diversity, whereas competing bacterial richness would
increase, benefitting from negative effects on fungi. We found that fungicides
reduced fungal richness and diversity in exposed flowers, but did not
significantly affect bacterial richness, diversity, or community composition. The
relative abundance of Metschnikowia OTUs, nectar specialists that can impact
pollination, was reduced by both fungicides. Given growing recognition of the
importance of nectar microorganisms as mediators of plant-pollinator mutualisms,
future research should consider the impact of management practices on plant
associated microorganisms and consequences for pollination services in
agricultural landscapes.
PMID- 27888580
TI - Biology of VO2 max: looking under the physiology lamp.
AB - In this review, we argue that several key features of maximal oxygen uptake (VO2
max) should underpin discussions about the biological and reductionist
determinants of its interindividual variability: (i) training-induced increases
in VO2 max are largely facilitated by expansion of red blood cell volume and an
associated improvement in stroke volume, which also adapts independent of changes
in red blood cell volume. These general concepts are also informed by cross
sectional studies in athletes that have very high values for VO2 max. Therefore,
(ii) variations in VO2 max improvements with exercise training are also likely
related to variations in these physiological determinants. (iii) All previously
untrained individuals will respond to endurance exercise training in terms of
improvements in VO2 max provided the stimulus exceeds a certain volume and/or
intensity. Thus, genetic analysis and/or reductionist studies performed to
understand or predict such variations might focus specifically on DNA variants or
other molecular phenomena of relevance to these physiological pathways.
PMID- 27888581
TI - Vascular Toxicity Risk Assessment of MC18 and MC70, Novel Potential Diagnostic
Tools for In Vivo PET Studies.
AB - The P-glicoprotein (P-gp) inhibitor MC18 has been recently proposed as a valuable
PET tracer to measure P-gp expression in vivo. The aim of this study was to
evaluate the toxic hazard towards the vasculature of MC18 along with the
structurally related and more potent P-gp inhibitor MC70. Their effects on A7r5
and EA.hy926 cells viability, on the mechanical activity of fresh and cultured
rat aorta rings as well as on Cav 1.2 channel current (ICa1.2 ) of A7r5 cells
were studied. At concentrations >10 MUM, MC18 and MC70 decreased cell viability
causing evident morphological changes. In fresh rat aorta rings, both compounds
(0.1-100 MUM) antagonized phenylephrine-induced contraction in a concentration
dependent manner, with IC50 values in the range of 1.67-14.49 MUM, whereas only
MC18 caused a concentration-dependent decrease of the 60 mM K+ (K60)-induced
responses. In rings cultured for 7 days with both compounds (1-10 MUM), 10 MUM
MC70 significantly reduced, while 10 MUM MC18 completely prevented the
contractile response to both phenylephrine and K60. MC18 and MC70 (0.1-100 MUM)
inhibited ICa1.2 in a concentration-dependent manner with IC50 values of 16.81
and 32.13 MUM, respectively. These findings demonstrate that MC18-induced
vascular effects took place at concentrations that are at least three orders of
magnitude higher than those (<=10 nM) allowing in vivo measurement of P-gp
expression. Thus, MC18, and possibly MC70, can be considered promising PET tools
for in vivo P-gp quantification.
PMID- 27888583
TI - Systematic Epidemiological Investigations of Cases of Senecavirus A in US Swine
Breeding Herds.
AB - Epidemiological investigations were conducted on a case series of six Senecavirus
A (SVA)-affected breeding herds in the United States to determine potential
routes of introduction and enhance the swine industry's knowledge of SVA's
clinical presentation and spread. Each SVA-affected herd was evaluated using a
standard form to ensure that all relevant data were collected. The form was used
to guide a detailed discussion about the clinical presentation of SVA and risk
events that occurred in the 4 weeks prior to the first observation of clinical
signs with the herd veterinarian and farm personnel. Each event was then
subjectively assigned a risk level of low, medium or high likelihood for SVA
introduction by the investigation team. The clinical presentation of SVA varied
by case. All SVA-affected herds (six of six) reported increases in pre-weaning
mortality and sow anorexia. Vesicular lesions were observed in four of six herds,
and mild-to-moderate neonatal diarrhoea was observed in three of six herds. No
gross anatomic or histologic lesions were observed in neonatal pigs that tested
positive for SVA via PCR. Multiple potential routes of introduction were
identified. Events subjectively rated as high risk for SVA introduction were on
farm employee entry (six of six), carcass disposal (four of six), cull sow
removal (three of six) and breeding replacement entry (two of six). Non-swine
domestic animals, rodents, other visitors, repairs outside swine barns, feed
delivery, weaned pig removal and semen entry were assigned a high risk level in
one of six herds. Cases occurred in breeding herds of all sizes with variable
biosecurity in both swine dense and swine sparse areas.
PMID- 27888584
TI - Small Molecule Inhibiting Nuclear Factor-kB Ameliorates Oxidative Stress and
Suppresses Renal Inflammation in Early Stage of Alloxan-Induced Diabetic
Nephropathy in Rat.
AB - Diabetic nephropathy is one of the major microvascular complications of diabetes
mellitus which ultimately gives rise to cardiovascular diseases. Prolonged
hyperglycaemia and chronic renal inflammation are the two key players in the
development and progression of diabetic nephropathy. Nuclear factor kB (NF-kB)
mediated inflammatory cascade is a strong contributor to the renovascular
inflammation in diabetic nephropathy. Here, we studied the effects of
piceatannol, a potent NF-kB inhibitor, on various oxidative stress markers and NF
kB dependent diabetic renoinflammatory cascades in rat induced by alloxan (ALX).
Experimental diabetes was induced in male Wistar rats by a single intraperitoneal
dose, 150 mg/kg body-weight (b.w.) of ALX. Diabetic rats were treated with
Piceatannol (PCTNL) at a dose of 30 and 50 mg/kg b.w. After 14 days of oral
treatment, PCTNL significantly restored blood sugar level, glomerular filtration
rate, serum markers and plasma lipids. PCTNL administration also reversed the
declined activity of cellular antioxidant machineries namely superoxide dismutase
and glutathione and the elevated levels of malondialdehyde and nitric oxide.
Moreover, piceatannol-treated groups showed marked inhibition of renal pro
inflammatory cytokines and NF-kB p65/p50 binding to DNA. Renal histopathological
investigations also supported its ameliorative effects against diabetic kidney
damage. Importantly, effects were more prominent at a dose of 50 mg/kg, and in
terms of body-weight gain, PCTNL failed to effect significantly. However, overall
findings clearly demonstrated that PCTNL provides remarkable renoprotection in
diabetes by abrogating oxidative stress and NF-kB activation - and might be
helpful in early stage of diabetic nephropathy.
PMID- 27888582
TI - Nucleotide substitutions in CD101, the human homolog of a diabetes susceptibility
gene in non-obese diabetic mouse, in patients with type 1 diabetes.
AB - AIMS/INTRODUCTION: Although genome-wide association studies have identified more
than 50 susceptibility genes for type 1 diabetes, low-frequency risk variants
could remain unrecognized. The present study aimed to identify novel type 1
diabetes susceptibility genes by newly established methods. MATERIALS AND
METHODS: We carried out whole-exome sequencing and genome-wide copy-number
analysis for a Japanese family consisting of two patients with type 1 diabetes
and three unaffected relatives. Further mutation screening was carried out for
127 sporadic cases. The functional consequences of identified substitutions were
evaluated by in silico analyses and fluorescence-activated cell sorting of blood
samples. RESULTS: Whole-exome sequencing and genome-wide copy-number analysis of
familial cases showed co-segregation of the p.V863L substitution in CD101, the
human homolog of an autoimmune diabetes gene in the non-obese diabetic mouse,
with type 1 diabetes. Mutation screening of CD101 in 127 sporadic cases detected
the p.V678L and p.T944R substitutions in two patients. The p.V863L, p.V678L and
p.T944R substitutions were absent or extremely rare in the general population,
and were assessed as 'probably/possibly damaging' by in silico analyses. CD101
expression on monocytes, granulocytes and myeloid dendritic cells of mutation
positive patients was weaker than that of control individuals. CONCLUSIONS: These
results raise the possibility that CD101 is a susceptibility gene for type 1
diabetes.
PMID- 27888585
TI - Discrepancy rates in reporting of acute stroke CT.
AB - INTRODUCTION: With increasing after-hours workloads there has been reliance on
registrars to report after-hours acute stroke CT scans at our institution. This
practice was reviewed for the perceived possibility of error and poor patient
outcomes by the reliance on after-hours registrar reports. Through an audit of 3
years of these studies, we proposed to investigate if our current practice is
safe and whether it results in poor patient outcomes. METHODS: Following ethics
approval, all after-hours acute stroke CT scan reports from September 2012 to
August 2015 were identified using the PACS. All reports were reviewed with data
recorded on a written worksheet then transferred to an Excel spreadsheet for
analysis. The consultant report was used as the gold standard. In cases where
discrepancies occurred, medical records were reviewed. RESULTS: Eight hundred and
ninety-four acute stroke CT scans were identified in the audit period with a
subset of 316 studies identified where a registrar report was issued at time of
scan and checked the following day by a radiology consultant. There were 114
discrepancies (10 were major, 51 were minor, and 53 other). In three discrepancy
cases, the patient's clinical course was altered. There were no adverse outcomes
as a result of a discrepancy. Using a radiology consultant as the gold standard
the major discrepancy rate was ~3% in the after-hours setting. CONCLUSION: Our 3
year retrospective audit demonstrates that our practice of registrar report
issued at the time of CT scan checked the following day by a radiologist has a
low major discrepancy rate and that patient safety was not compromised. These
results support the continuation of our current practice.
PMID- 27888586
TI - Sequence and Phylogenetic Analyses of the Nsp2 and ORF5 Genes of Porcine
Reproductive and Respiratory Syndrome Virus in Boars from South China in 2015.
AB - Porcine reproductive and respiratory syndrome virus (PRRSV) is highly genetically
diverse; however, little is known about the molecular epidemiology of PRRSV in
the boar farms of South China. In this study, 367 samples were collected from
boar farms in South China in 2015. The Nsp2 hypervariable region and ORF5 gene
were PCR amplified from 66 PRRSV-positive samples, followed by sequencing and
analysis. The percentage of PRRSV antigen-positive samples was 17.98%; 8.72% were
positive for highly pathogenic PRRSV (HP-PRRSV), and 9.26% were positive for low
pathogenic PRRSV (LP-PRRSV). Sequence alignment and phylogenetic tree analyses
revealed three novel patterns of deletion in the hypervariable region of Nsp2,
which had not been identified previously. Furthermore, numerous amino acid
substitutions were identified in the putative signal peptide and extravirion
regions of GP5. These results demonstrate for the first time that the existence
of multiple different strains on the same boar farm, and extensive genetic
mutation and high infection rate of PRRSV in boars from South China. Our research
contributes to the understanding of the epidemiology and genetic characteristics
of PRRSV on boar farms.
PMID- 27888587
TI - Tuberculosis Caused by Mycobacterium orygis in Dairy Cattle and Captured Monkeys
in Bangladesh: a New Scenario of Tuberculosis in South Asia.
AB - Mycobacterium orygis, commonly known as the oryx bacillus and a newly proposed
Mycobacterium tuberculosis complex subspecies, was isolated from 18 cattle in a
dairy farm and two captured rhesus monkeys in a zoo in Bangladesh. All the
infected animals had tuberculosis lesions in their lungs, suggesting transmission
and infection with M. orygis by an airborne route. The 20 isolates were analysed
using a range of conventional and molecular typing methods, and RD-deletion
typing and sequencing of selected genes confirmed the isolates as M. orygis.
Multiple-locus variable-number tandem repeat analysis (MLVA) allowed the isolates
to be divided into three clusters based on the relatedness of their MLVA
profiles. The two monkey isolates shared the same MLVA pattern with 15 of the
cattle isolates, whereas the remaining three cattle isolates had different
patterns, even though the latter animals had been kept in the same dairy farm.
The diversity observed among isolates may suggest the bacteria have been
established in this area for a long period. This study along with other recent
findings that report the detection of M. orygis from animals as well as humans
originating from South Asia potentially indicate endemic distribution of M.
orygis in South Asia.
PMID- 27888588
TI - LPS-Responsive Beige-Like Anchor Gene Mutation Associated With Possible
Bronchiolitis Obliterans Organizing Pneumonia Associated With
Hypogammaglobulinemia and Normal IgM Phenotype and Low Number of B Cells.
AB - LPS-Responsive Beige-like Anchor (LRBA) deficiency is a disease which has
recently been described in a group of patients with common variable
immunodeficiency (CVID) in association with autoimmunity and/or inflammatory
bowel disease (IBD)-like phenotype. We here describe a 10-year-old boy who
experienced recurrent infections, mainly in the respiratory system, associated
with thrombocytopenia and anemia. Immunological workup showed low numbers of B
cells and low IgG, but normal IgM levels. In spite of therapeutic doses of
antibiotics, antivirals, and antifungal agents, in addition to immunoglobulin
replacement therapy, he developed disseminated involvement of both lungs with
peripheral nodules; transbronchial lung biopsy revealed possible bronchiolitis
obliterans organizing pneumonia (BOOP). Combined homozygosity mapping and exome
sequencing identified a homozygous LRBA mutation in this patient
(p.Asp248Glufs*2). Such clinical and immunological findings have not been
described to date and illustrate the broad and variable clinical phenotype of
human LRBA deficiency.
PMID- 27888589
TI - Combination Anti-Apoptotic Effect of Erythropoietin and Melatonin on Ischemia
Reperfusion-Induced Renal Injury in Rats.
AB - Renal ischemia-reperfusion (IR) contributes to the development of acute renal
failure (ARF). Oxygen free radicals are considered to be principal components
involved in the pathophysiological tissue alterations observed during renal IR.
The purpose of this study was to investigate the combination effect of melatonin
(MEL) and erythropoietin (EPO), which are a potent antioxidant and anti-apoptotic
agents, in IR-induced renal injury in rats. Wistar Albino rats were unilaterally
nephrectomized and subjected to 45 min of renal pedicle occlusion followed by 24
h reperfusion. MEL (10 mg/kg, i.p) and EPO (5000 U/kg, i.p) were administered
prior to ischemia. After 24 h reperfusion, following decapitation, blood samples
were collected for the determination of superoxide dismutase (SOD), glutathione
peroxidase (GPx), and malondialdehyde (MDA) levels. Also, renal samples were
taken for histological evaluation and apoptosis assay. Ischemia-reperfusion
increased SOD, GPx, MDA levels, and TUNEL positive cells. Histopathological
findings of the IR group confirmed that there was renal impairment in the tubular
epithelium. Treatment with EPO and MEL decreased SOD, GPx, and MDA levels,
histopathological changes, and TUNEL positive cells. These results indicated that
the combination of MEL and EPO could not exert more nephroprotective and anti
apoptotic effects than MEL treatment in renal ischemia-reperfusion injury.
PMID- 27888590
TI - Erythrocytes Membrane Alterations Reflecting Liver Damage in CCl4-Induced
Cirrhotic Rats: The Ameliorative Effect of Naltrexone.
AB - Cirrhosis is the consequence of chronic liver disease. Deleterious effects of
oxidative stress on hepatocytes may be reflected in the erythrocyte membrane.
Naltrexone (NTX) has been shown to attenuate hepatocellular injury in fibrotic
animal models. The aim of this study was to investigate the progressive effect of
CCl4 on the liver and whether the improvement of liver cirrhosis can be monitored
through alterations in the erythrocyte membrane. In this study, 84 male Wistar
rats were divided into 4 groups and received reagents (i.p.) as follows: 1- CCl4,
2- NTX + CCl4, 3- Mineral Oil (M), and 4- NTX + M. After 2, 6 and 8 weeks, the
blood and liver tissue samples were collected. Plasma enzyme activities, the
content of erythrocyte GSH and some membrane compositions, including protein
carbonyl, protein sulfhydryl, and malondialdehyde were assessed. After 6 and 8
weeks, plasma enzyme activities and the content of protein carbonyl were higher
in CCl4 group significantly, as compared to other groups (P<0.001). NTX
significantly diminished protein carbonyl and plasma enzyme activities (P<0.001).
GSH did not change until the 6th week. However, CCl4+NTX increased it
significantly as compared to CCl4 group (P<0.05). Protein sulfhydryl showed
changes in NTX+CCl4 group which indicated a significant increase in protein
sulfhydryl content in a 6th week compared to CCl4 group (P<0.05). MDA did not
show any significant alteration. CCl4-induced cirrhosis is accompanied by
increased content of oxidative stress markers, especially protein carbonyl of RBC
membrane and plasma enzyme activities. This study shows that the progression of
liver cirrhosis and the ameliorative effect of NTX can be followed through
alterations of these markers.
PMID- 27888591
TI - A Novel Approach to Minimally Invasive Management of Sigmoid Volvulus.
AB - Resection is the most common treatment choice for sigmoid volvulus, a common
complication in our region. A new minimally invasive technique for sigmoid
resection with local anesthesia was done in this study. This method is invented
to avoid general on regional anesthesia in high-risk patients. Nineteen patients
were evaluated and then 14 were enrolled in this study. Sigmoidectomy with a left
lower quadrant incision was performed and demographic data, the length of
hospital stay, complications and procedure time were recorded. The mean age of
participants was 65.68, and the male to female ratio was 1:2.7. The mean duration
of the operation was 91.42 min. Complications include one case each of wound
hematoma and wound infection. The intraoperative pain score was 1.2/10 and
postoperative pain score was 2.35/10. The mean hospital staying was 8.3 days. By
meticulous patient selection, sigmoidectomy under local anesthesia for sigmoid
volvulus could be a surgeons' armamentarium in special situations.
PMID- 27888592
TI - Problems in Diagnosis and Treatment of Retrorectal Tumors: Our Experience in 50
Patients.
AB - Retrorectal tumors are rare lesions in adults, which remains a difficult
diagnostic and management problem. The purpose of this study was to evaluate the
results of surgical management of retrorectal tumors in our institution. In a
retrospective study, a consecutive series of patients who underwent surgical
excision of a retrorectal tumor were identified from a database. Medical records,
radiology, pathology reports and surgical approach were checked retrospectively.
The data was analyzed using SPSS statistical software (version 18). From 50
patients, 24 were male, and 26 were female with the mean age of 41.7 years. The
origin of mass was congenital in 46% (23 cases) and neurogenic in 14% (7
patients), bone origin in 12% (6 cases) and miscellaneous in 24% (12 cases). In
total, 56.7% (21 cases) were malignant. Surgical approaches included laparotomy
in 11 cases, the sacral approach in 17 cases, the anterior-posterior approach in
14 cases and one case through abdomino-sacral approach. The mean follow-up was
56.7 (10-277) month. Ten patients died due to extensive metastases with a mean
survival of 46.6 (1-158) months. Primary urethrorectal tumors are very rare.
Successful treatment of these tumors requires careful clinical evaluation and
expertise in pelvic surgery.
PMID- 27888593
TI - A Comparative Study on Dietary Style and Physical Activity of Women With and
Without Gestational Diabetes.
AB - Gestational diabetes increases the risks for mother and fetus during pregnancy.
As a principle of caring for diabetes, recommending patients to lose weight
through more physical activities and a restrictive diet has a long history. The
present study was carried out aiming at determining and comparing dietary style
and physical activity in women with gestational diabetes and healthy pregnant
women. This descriptive-comparative study was carried out simultaneously on 200
pregnant women (with gestational diabetes and healthy). Tools for data collection
were questionnaires of dietary style and physical activity during pregnancy.
After obtaining research informed consent from samples, sampling was done by
multi-stage convenience random sampling. Data was analyzed using SPSS 21. The
results of the study revealed that the demographic and obstetric variables were
identical. The mean scores for the dietary style of case and control groups were
65.05 and 74.12, P<0.001 respectively. The mean scores for physical activity
(inactive cases) in the two groups were 5.64 and 4.70, P<0.223, the mean scores
for light activity in the two groups were 9.07 and 10.75 (P<0.059), and the mean
scores for moderate activity in the two groups were 4.62 and 5.69, (P<0.042)
respectively. Lower status of nutrition and physical activity of women with
gestational diabetes comparing to non-diabetic pregnant women suggests a need
providing sufficient and accurate information on appropriate dietary and physical
activities during pregnancy.
PMID- 27888594
TI - Effect of Acute Noise Exposure on Salivary Cortisol: A Randomized Controlled
Trial.
AB - Cardiovascular adverse effects are interesting aspects of occupational noise
exposure. One possible mechanism of these effects is an alternation in
hypothalamic-pituitary-adrenal axis. Our aim was to measure salivary cortisol
response to relatively high-intensity noise exposure in a controlled randomized
trial study. We exposed 50 male volunteers to 90 dBA noise for 20 minutes and
compared their level of salivary cortisol with 50 non-exposed controls. Salivary
samples obtained before and after exposure. Before intervention means (SD)
salivary cortisol level were 3.24 (0.47)ng/ml and 3.25 (0.41)ng/ml for exposed
and non-exposed groups respectively. Mean salivary cortisol level increased to
4.17 ng/mlafter intervention in exposure group. This increment was statistically
significant (P=0.00). Mean salivary cortisol level of the non-exposed group had
statistically non-significant decrement after this period (0.2 ng/ml). The
difference between salivary cortisol level of non-exposed and exposed groups
after the intervention was statistically significant. Noise exposure may affect
the hypothalamic-pituitary-adrenal axis activity, and this may be one of the
mechanisms of noise exposure cardiovascular effects.
PMID- 27888595
TI - Quality of Life in Medical Students With Internet Addiction.
AB - The widespread use of internet has caused new psychological, social, and
educational problems for the students. The aim of this study was to examine the
quality of life in medical students who suffer from internet addiction. This
cross-sectional survey was carried out in Tehran University of Medical Sciences,
and a total of 174 fourth-to seventh-year undergraduate medical students were
enrolled. The quality of life was assessed by WHOQOL-BREF questionnaire which
covers four domains of physical health, psychological, social relationships, and
the environment. For assessing internet addiction, we used Internet Addiction
Test (IAT) of Young. The students with IAT score higher than 50 were considered
as addicted. For evaluating academic performance, the students were requested to
report their grade point average (GPA). The mean IA score (+/-SD) was 34.13+/
12.76. Twenty-eight students (16.90%) had IAT score above 50. The mean quality of
life score in internet addicted group was 54.97+/-11.38 versus 61.65+/-11.21 in
normal group (P=0.005). Furthermore, there was a negative correlation between IA
score and physical domain (r=-0.18, P=0.02); psychological domain (r=-0.35,
P=0.000); and social relation domain (r=-0.26, P=0.001). Mean GPA was
significantly lower in the addicted group. It seems that quality of life is lower
in the internet addicted medical students; moreover, such students academically
perform poorer in comparison with non-addicts. Since internet addiction is
increasing at a rapid pace which may provoke considerable academic, psychological
and social implications; as a result, it may require screening programs to the
immediate finding of such problem to give consultations to prevent unwanted
complications.
PMID- 27888596
TI - A Study of the Readiness of Hospitals for Implementation of High Reliability
Organizations Model in Tehran University of Medical Sciences.
AB - Creating a safe of health care system requires the establishment of High
Reliability Organizations (HROs), which reduces errors, and increases the level
of safety in hospitals. This model focuses on improving reliability through
higher process design, building a culture of accreditation, and leveraging human
factors. The present study intends to determine the readiness of hospitals for
the establishment of HROs model in Tehran University of Medical Sciences from the
viewpoint of managers of these hospitals. This is a descriptive-analytical study
carried out in 2013-2014. The research population consists of 105 senior and
middle managers of 15 hospitals of Tehran University of Medical Sciences. The
data collection tool was a 55-question researcher-made questionnaire, included
six elements of HROs to assess the level of readiness for establishing HROS model
from managers' point of view. The validity of the questionnaire was calculated
through the content validity method using 10 experts in the area of hospitals'
accreditation, and its reliability was calculated through test-retest method with
a correlation coefficient of 0.90. The response rate was 90 percent. The Likert
scale was used for the questions, and data analysis was conducted through SPSS
version 21 Descriptive statistics was presented via tables and normal
distributions of data and means. Analytical methods, including t-test, Mann
Whitney, Spearman, and Kruskal-Wallis, were used for presenting inferential
statistics. The study showed that from the viewpoint of senior and middle
managers of the hospitals considered in this study, these hospitals are indeed
ready for acceptance and establishment of HROs model. A significant relationship
was showed between HROs model and its elements with demographic details of
managers like their age, work experience, management experience, and level of
management. Although the studied hospitals, as viewed by their managers, are
capable of attaining the goals of HROs, it seems there are a lot of challenges in
this way. Therefore, it is suggested that a detailed audit is conducted among
hospitals' current status regarding different characteristics of HROs, and
workshops are held for medical and non-medical employees and managers of
hospitals as an influencing factor; and a re-assessment process afterward, can
help moving the hospitals from their current position towards an HROs culture.
PMID- 27888597
TI - Team-Based Learning: A New Approach Toward Improving Education.
AB - Team-based learning is designed to provide students with both conceptual and
procedural knowledge, aiming to enhance active learning and critical thinking. In
the present study, team-based learning and lecture methods in teaching the
"hospital organization and management" course among hospital management students
were compared. This quasi-experimental study was conducted on 25 undergraduate
students of management. Teaching sessions were divided into two parts. The first
part was taught with interactive lectures and the second part with team-based
learning method. The students' knowledge was measured before, immediately and two
months (late post-test) after teaching. Finally, the mean scores of the final
exam and students' satisfaction towards the methods of teaching were measured.
There was an improvement in test scores of the students after the TBL sessions
when compared to the test scores after lecture sessions (P<0.001). Also, TBL
group had significantly a higher amount of knowledge retention compared to the
lecture group (P<0.001), but no significant relationship was found between the
mean scores of the final exam in the TBL and lecture groups (P=0.116). Finally,
the majority of the respondents were more satisfied with TBL sessions compared to
the ones held through lecture (P=0.037). The results indicated that TBL provides
a better outcome for students. We found that the TBL approach allowed us to
create an active learning environment that contributed to the improvement of the
students' performances.
PMID- 27888598
TI - Adult Onset Still's Disease With Different Antibodies: A Case Report and Review
of Literature.
AB - Adult-onset Still's disease (AOSD) is a rare systemic inflammatory disorder of
unknown etiology. There is not currently any specific serological markers for
AOSD , and diagnosis still relying on the exclusion of other likely diagnoses.
Yamaguchi's criteria are used as a diagnostic criterion which contains negative
serologic markers for other collagen vascular diseases including systemic lupus
erythematosus and rheumatoid arthritis. Here we report a 28-year-old woman with
arthralgia, fever, rash, leukocytosis, lymphadenopathy, sore throat, abnormal
liver function and negative rheumatoid factor and ANA but seropositive for anti
CCP, anti-dsDNA, and C-ANCA. It seems that despite AOSD is considered as a
seronegativedisorder; it should be remembered in patients with compatible
findings who are seropositive.
PMID- 27888599
TI - A Rare Anomaly of Duodenum: A Case Report.
AB - Anatomical variations of duodenum such as atresia, stenosis, and variations in
shape have been described by various authors, but the existence of a gross
anomaly in shape and position of midgut segment of the duodenum is rare. Few
reported cases of duodenal anomalies date back to early twentieth century. In the
wake of advancement of imaging techniques and minimal access surgeries, authors
report a case of a rare duodenal anomaly. The reported case assumes significance
because of thepossibility of misinterpretation of radiological images which has
been discussed while citing such references. The present case reports an anomaly
of the position of the midgut segment of the duodenum in an adult female cadaver.
It was observed that the lower half of second part of duodenum was coiled like a
serpent on the upper pole of right kidney. This part coursed initially upwards
running parallel and to the right of the upper half of the second part of the
duodenum. The third part coursed downwards and to the left, posterior to head and
neck of pancreas, in its course sandwiching the commencement of portal vein.
PMID- 27888600
TI - [Darunavir/cobicistat monotherapy. Experience in a tertiary hospital].
AB - OBJECTIVE: Ritonavir-boosted protease inhibitor (IP/r) monotherapy:
darunavir/ritonavir (DRV/r) or lopinavir/ritonavir (LPV/r) monotherapy is only
provided in the major treatment guidelines in pretreated patients to prevent
toxicity associated with nucleoside/nucleotide reverse transcriptase inhibitor
(NRTI), reduce costs and simplify antiretroviral treatment. To start IP/r
monotherapy, according to GESIDA guidelines 2016, patients need to meet the
following criteria: absence of chronic hepatitis B, plasma viral load <50 copies/
mL for at least 6 months and absence of protease inhibitors mutations or previous
virologic failures to IP/r. Currently, there are no studies that evaluate the
efficacy and safety of darunavir/cobicistat (DRV/COBI) monotherapy. METHODS: This
prospective study analyzed pretreated HIV patients with DRV/r monotherapy that
were switched to DRV/COBI monotherapy. The aim of the study is to describe the
effectiveness and safety of the DRV/COBI monotherapy. RESULTS: Seventy-eight
patients were evaluated. Patients had a median of 31.29 months of DRV/r
monotherapy before DRV/COBI monotherapy. Nine of the 78 patients developed
"blips" (plasma viral load: 50-200 copies/ml) and four patients had plasma viral
load >=200 copies/mL. An 83.3% (65/78) of the patients remained with undetectable
plasma viral load. As for safety, there were no significant differences in lipid
profile, liver function (transaminases) and renal function between DRV/r and
DRV/COBI monotherapy. CONCLUSIONS: DRV/COBI monotherapy seems to be effective and
safe (lipid profile, liver and kidney function). However, it will be necessary to
design specific studies comparing DRV/r vs DRV/COBI monotherapy to confirm these
results.
PMID- 27888601
TI - Key issues in the infected patient care in the Emergency Department.
AB - OBJECTIVE: To develop a set of recommendations, by consensus of Emergency
Medicine experts, on key aspects related to the care of adult patients with acute
infection attended in Spanish emergency departments (ED). METHODS: The study was
divided into three phases: 1) To design a questionnaire by a coordinating group;
2) To conduct a survey in ED physicians in order to know their opinion on the
issues raised by the coordinating group; 3) To develop a number of
recommendations based on the responses to the questionnaire and their subsequent
discussion. RESULTS: A group of 28 experts from different Spanish ED, as well as
5 members of the coordinating group, with knowledge and experience in the
management of infectious diseases in ED, conducted a round of voting to a
questionnaire of 18 issues grouped into three sections: 1) identification and
stratification of the severity; 2) diagnosis and treatment; 3) management.
CONCLUSIONS: A monitoring system and proper training of the entire healthcare
team are required, as well as extensive knowledge on these issues, to ensure
adequate and effective care for these patients. It is essential to educate and
train all health staff, especially in the ED, because it is the initial point of
contact for most patients with an infection. The experts established proposals
based on survey questions and the discussion.
PMID- 27888603
TI - Development of a mechanical sexing system to improve the efficacy of an area-wide
sterile insect release programme to control American serpentine leafminer
(Diptera: Agromyzidae) in Canadian ornamental greenhouses.
AB - BACKGROUND: American serpentine leafminer (ASL), Liriomyza trifolii (Burgess), is
a significant pest of greenhouse ornamental crops, and females damage leaf tissue
with their ovipositor during feeding and oviposition. The sterile insect
technique has been advocated as a non-chemical alternative to currently available
control methods. In area-wide sterile insect release programmes, males act as
true vectors of sterility. Females should be eliminated from a cohort of pupae
prior to irradiation to maximise production economics and sterility spread. The
aim of this research was to develop a mechanical sexing system based on pupal
size to reduce the proportion of ASL females. RESULTS: Cumulative frequency
distributions were used to examine significant differences in male and female
pupal length and dorsal and lateral width distributions. Optimum size cut-off
points based on the largest differences in distribution curves were used to
determine the dimensions of three different sieve designs. Sieve pores measuring
1.543 mm by 0.765 mm excluded 76% of female pupae and doubled the proportion of
males in the throughput sample. CONCLUSION: Pupal sexual dimorphisms identified
in this research can be used to design a sieve to aid in reducing the proportion
of females prior to irradiation, thus improving the efficacy of an area-wide
sterile insect release programme. (c) 2016 Society of Chemical Industry.
PMID- 27888602
TI - A Glycovariant of Human CD44 is Characteristically Expressed on Human Mesenchymal
Stem Cells.
AB - The clinical effectiveness of systemically administered human mesenchymal stem
cells (hMSCs) depends on their capacity to engage vascular endothelium. hMSCs
derived from bone marrow (BM-hMSCs) natively lack endothelial binding capacity,
but express a CD44 glycovariant containing N-linked sialyllactosamines that can
be alpha(1,3)-fucosylated using fucosyltransferase-VI (FTVI) to enforce sLeX
decorations, thereby creating hematopoietic cell E-/L-selectin ligand (HCELL).
HCELL expression programs potent shear-resistant adhesion of circulating cells to
endothelial beds expressing E-selectin. An alternative source of hMSCs is adipose
tissue (A-hMSCs), and we assessed whether A-hMSCs bind E-selectin and/or possess
sialyllactosamine-decorated CD44 accessible to alpha(1,3)-fucosylation. Similar
to BM-hMSCs, we found that A-hMSCs natively lack E-selectin ligands, but FTVI
mediated cell surface alpha(1,3)-fucosylation induces sLeX expression and robust
E-selectin binding secondary to conversion of CD44 into HCELL. Moreover,
treatment with the alpha(1,3)-fucosyltransferase-FTVII also generated expression
of HCELL on both BM-hMSCs and A-hMSCs, with sLeX decorations created on N-linked
glycans of the "standard" CD44 (CD44s) isoform. The finding that hMSCs from both
source tissues each lack native E-selectin ligand expression prompted examination
of the expression of glycosyltransferases that direct lactosaminyl glycan
synthesis. These studies reveal that both types of hMSCs conspicuously lack
transcripts encoding alpha(1,3)-fucosyltransferases, but equally express
glycosyltransferases critical to creation of sialyllactosamines. Collectively,
these data indicate that assembly of a sialyllactosaminyl-decorated CD44s
glycovariant is a conserved feature of hMSCs derived from adipose tissue and
marrow, thus identifying a CD44 glycosignature of these cells and supporting the
applicability of cell surface alpha(1,3)-fucosylation in programming migration of
systemically administered A-hMSCs to sites of tissue injury/inflammation. Stem
Cells 2017;35:1080-1092.
PMID- 27888604
TI - MRI depiction and 3D visualization of three anterior cruciate ligament bundles.
AB - The anterior cruciate ligament (ACL) is divided into three fiber bundles (AM-M:
anteromedial-medial, AM-L: anteromedial-lateral, PL: posterolateral). We
attempted to depict the three bundles of the human ACL on MRI images and to
obtain 3-dimensional visualization of them. Twenty-four knees of healthy
volunteers (14 males, 10 females) were scanned by 3T-MRI using the fat
suppression 3D coherent oscillatory state acquisition for the manipulation of
imaging contrast (FS 3D-COSMIC). The scanned images were reconstructed after the
isotropic voxel data, which allows the images to be reconstructed in any plane,
was acquired. We conducted statistical examination on the identification rate of
the three ACL bundles by 2D planes. Segmentation and 3D visualization of the
fiber bundles using volume rendering were performed. The triple-bundle ACL was
best depicted in the oblique axial plane. While the AM-M and AM-L bundles were
clearly depicted in all cases, the PL bundle was not clearly visualized in two
knees (8%). Therefore, the three ACL bundles were depicted in 22 knees (92%). The
results of 3D visualization of the fiber arrangement agreed well with macroscopic
findings of previous anatomical studies. 3T-MRI and the isotropic voxel data from
FS 3D-COSMIC made it possible to demonstrate the identifiable depiction of three
ACL bundles in nearly all cases. 3D visualization of the bundles could be a
useful tool to understand the ACL fiber arrangement. Clin. Anat. 30:276-283,
2017. 2016 The Authors. Clinical Anatomy published by Wiley Periodicals, Inc. on
behalf of American Association of Clinical Anatomists.
PMID- 27888605
TI - Natural antisense transcripts are linked to the modulation of mitochondrial
function and teliospore dormancy in Ustilago maydis.
AB - The basidiomycete smut fungus Ustilago maydis causes common smut of corn. This
disease is spread through the production of teliospores, which are thick-walled
dormant structures characterized by low rates of respiration and metabolism.
Teliospores are formed when the fungus grows within the plant, and the
morphological steps involved in their formation have been described, but the
molecular events leading to dormancy are not known. In U. maydis, natural
antisense transcripts (NATs) can function to alter gene expression and many NATs
have increased levels in the teliospore. One such NAT is as-ssm1 which is
complementary to the gene for the mitochondrial seryl-tRNA synthetase (ssm1), an
enzyme important to mitochondrial function. The disruption of ssm1 leads to cell
lysis, indicating it is also essential for cellular viability. To assess the
function of as-ssm1, it was ectopically expressed in haploid cells, where it is
not normally present. This expression led to reductions in growth rate,
virulence, mitochondrial membrane potential and oxygen consumption. It also
resulted in the formation of as-ssm1/ssm1 double-stranded RNA and increased ssm1
transcript levels, but no change in Ssm1 protein levels was detected. Together,
these findings suggest a role for as-ssm1 in facilitating teliospore dormancy
through dsRNA formation and reduction of mitochondrial function.
PMID- 27888606
TI - Discovery of metabolic resistance to neonicotinoids in green peach aphids (Myzus
persicae) in Australia.
AB - BACKGROUND: Myzus persicae is a serious pest that attacks a broad range of
agricultural crops. This species has developed chemical resistance to many
insecticides globally, and within Australia resistance to multiple chemical
groups has been identified. Resistance to neonicotinoid insecticides has been
discovered in several countries, but has not previously been confirmed in
Australia. We use biomolecular assays and bioassays on field-collected
populations to investigate neonicotinoid resistance in M. persicae within
Australia. RESULTS: Several geographically and genetically distinct populations
showed evidence for resistance in bioassays. Genetic markers identified that the
mechanism of neonicotinoid resistance in Australia is metabolic resistance
through the enhanced expression of a cytochrome P450 gene, CYP6CY3. CONCLUSION:
M. persicae populations in parts of Australia are now resistant to four different
insecticide chemical groups, raising concerns about the long-term management of
this pest. While higher copy numbers of CYP6CY3 were seen in all resistant
populations, the number of gene copies was not strongly correlated with the level
of resistance as determined by LD50 values generated through bioassays. This
finding sheds further light on the complexity of the P450 genes in regulating
neonicotinoid resistance. (c) 2016 Society of Chemical Industry.
PMID- 27888607
TI - Catastrophic cellular events leading to complex chromosomal rearrangements in the
germline.
AB - Although complex chromosomal rearrangements were thought to reflect the
accumulation of DNA damage over time, recent studies have shown that such
rearrangements frequently arise from 'all-at-once' catastrophic cellular events.
These events, designated chromothripsis, chromoanasynthesis, and
chromoanagenesis, were first documented in the cancer genome and subsequently
observed in the germline. These events likely result from micronucleus-mediated
chromosomal shattering and subsequent random reassembly of DNA fragments,
although several other mechanisms have also been proposed. Typically, only one or
a few chromosomes of paternal origin are affected per event. These events can
produce intrachromosomal deletions, duplications, inversions, and translocations,
as well as interchromosomal translocations. Germline complex rearrangements of
autosomes often result in developmental delay and dysmorphic features, whereas X
chromosomal rearrangements are usually associated with relatively mild clinical
manifestations. The concept of these catastrophic events provides novel insights
into the etiology of human genomic disorders. This review introduces the
molecular characteristics and phenotypic outcomes of catastrophic cellular events
in the germline.
PMID- 27888608
TI - Efficacy and safety of combined intraventricular fibrinolysis with lumbar
drainage for prevention of permanent shunt dependency after intracerebral
hemorrhage with severe ventricular involvement: A randomized trial and individual
patient data meta-analysis.
AB - OBJECTIVE: Intraventricular hemorrhage (IVH) is a negative prognostic factor in
intracerebral hemorrhage (ICH) and is associated with permanent shunt dependency
in a substantial proportion of patients post-ICH. IVH treatment by
intraventricular fibrinolysis (IVF) was recently linked to reduced mortality
rates in the CLEAR III study and IVF represents a safe and effective strategy to
hasten clot resolution that may reduce shunt rates. Additionally, promising
results from observational studies reported reductions in shunt dependency for a
combined treatment approach of IVF plus lumbar drains (LDs). The present
randomized, controlled trial investigated efficacy and safety of a combined
strategy-IVF plus LD versus IVF alone-on shunt dependency in patients with ICH
and severe IVH. METHODS: This randomized, open-label, parallel-group study
included patients aged 18 to 85 years, prehospital modified Rankin Scale <=3, ICH
volume < 60ml, Glasgow Coma Scale of <9, and severe IVH with tamponade of the
third and fourth ventricles requiring placement of external ventricular drainage
(EVD). Over a 3-year recruitment period, patients were allocated to either
standard treatment (control group receiving IVF consisting of 1mg of recombinant
human tissue plasminogen activator every 8 hours until clot clearance of third
and fourth ventricles) or a combined treatment approach of IVF and-upon clot
clearance of third and fourth ventricles-subsequent placement of an LD for
drainage of cerebrospinal fluid (CSF; intervention group). The primary endpoint
consisted of permanent shunt placement indicated after a total of three
unsuccessful EVD clamping attempts or need for CSF drainage longer than 14 days
in both groups. Secondary endpoints included IVF- and LD-related safety, such as
bleeding or infections, and functional outcome at 90 and 180 days. Conducted
endpoint analyses used individual patient data meta-analyses. The study was
registered at clinicaltrials.gov (NCT01041950). RESULTS: The trial was stopped
upon predefined interim analysis after 30 patients because of significant
efficacy of tested intervention. The primary endpoint was analyzed without
dropouts and was reached in 43% (7 of 16) of the control group versus 0% (0 of
14) of the intervention group (p = 0.007). Meta-analyses were based on overall 97
patients, 45 patients receiving IVF plus LD versus 42 with IVF only. Meta
analyses on shunt dependency showed an absolute risk reduction of 24% for the
intervention (LD, 2.2% [1 of 45] vs no-LD, 26.2% [11 of 42]; odds ratio [OR] =
0.062; confidence interval [CI], 0.011-0.361; p = 0.002). Secondary endpoints did
not show significant differences for CSF infections (OR = 0.869;CI, 0.445-1.695;
p = 0.680) and functional outcome at 90 days (OR = 0.478; CI, 0.190-1.201; p =
0.116), yet bleeding complications were significantly reduced in favor of the
intervention (OR = 0.401; CI, 0.302-0.532; p < 0.001). INTERPRETATION: The
present trial and individual patient data meta-analyses provide evidence that, in
patients with severe IVH, as compared to IVF alone, a combined approach of IVF
plus LD treatment is feasible and safe and significantly reduces rates of
permanent shunt dependency for aresorptive hydrocephalus post-ICH. ANN NEUROL
2017;81:93-103.
PMID- 27888609
TI - Effects of lorcaserin on pre-existing valvulopathy: A pooled analysis of phase 3
trials.
AB - OBJECTIVE: To evaluate the effects of lorcaserin in patients with pre-existing
Food and Drug Administration (FDA)-defined valvulopathy. METHODS: This is a
pooled, post hoc analysis of three Phase 3 studies. BLOOM and BLOSSOM patients
were 18 to 65 years of age without diabetes and with a body mass index (BMI) of
27 to 29.9 kg/m2 and >=1 weight-related comorbidity or a BMI of 30 to 45 kg/m2 .
BLOOM-DM patients had a BMI of 27 to 45 kg/m2 and type 2 diabetes. Patients were
treated with placebo, lorcaserin 10 mg once daily, or lorcaserin 10 mg twice
daily. Serial echocardiographs were obtained at baseline and every 6 months.
RESULTS: Included patients (N = 169) had FDA-defined valvulopathy at baseline and
a week 52 echocardiogram. At week 52, 35.5% and 52.7% of patients experienced
changes from baseline in aortic and mitral regurgitation, respectively.
Numerically greater proportions of patients taking lorcaserin versus placebo had
decreases in aortic (33.0% vs. 28.3%) or mitral (41.3% vs. 36.7%) regurgitation.
Fewer patients taking lorcaserin versus placebo had increases in aortic (2.8% vs.
6.7%) or mitral (8.3% vs. 21.7%) regurgitation. No adverse event-related
discontinuation was due to a valve problem. CONCLUSIONS: These data suggest that
lorcaserin does not adversely affect valvular disease in patients with pre
existing FDA-defined valvulopathy.
PMID- 27888613
TI - PSMC2 is up-regulated in osteosarcoma and regulates osteosarcoma cell
proliferation, apoptosis and migration.
AB - Proteasome 26S subunit ATPase 2 (PSMC2) is a recently identified gene potentially
associated with certain human carcinogenesis. However, the expressional
correlation and functional importance of PSMC2 in osteosarcoma is still unclear.
Current study was focused on elucidating the significance of PSMC2 on malignant
behaviors in osteosarcoma including proliferation, apoptosis, colony formation,
migration as well as invasion. The high protein levels of PSMC2 in osteosarcoma
samples were identified by tissue microarrays analysis. Besides, its expression
in the levels of mRNA and protein was also detected in four different
osteosarcoma cell lines by real-time PCR and western blotting separately.
Silencing PSMC2 by RNA interference in osteosarcoma cell lines (SaoS-2 and MG-63)
would significantly suppress cell proliferation, enhance apoptosis, accelerate
G2/M phase and/or S phase arrest, and decrease single cell colony formation.
Similarly, pharmaceutical inhibition of proteasome with MG132 would mimic the
PSMC2 depletion induced defects in cell cycle arrest, apoptosis and colonies
formation. Silencing of PSMC2 was able to inhibit osteosarcoma cell motility,
invasion as well as tumorigenicity in nude mice. Moreover, the gene microarray
indicated knockdown of PSMC2 notably changed a number of genes, especially some
cancer related genes including ITGA6, FN1, CCND1, CCNE2 and TGFbetaR2, and whose
expression changes were further confirmed by western blotting. Our data suggested
that PSMC2 may work as an oncogene for osteosarcoma and that inhibition of PSMC2
may be a therapeutic strategy for osteosarcoma treatment.
PMID- 27888610
TI - cAMP-independent signal pathways stimulate hyphal morphogenesis in Candida
albicans.
AB - The fungal pathogen Candida albicans can transition from budding to hyphal
growth, which promotes biofilm formation and invasive growth into tissues.
Stimulation of adenylyl cyclase to form cAMP induces hyphal morphogenesis. The
failure of cells lacking adenylyl cyclase (cyr1Delta) to form hyphae has
suggested that cAMP signaling is essential for hyphal growth. However, cyr1Delta
mutants also grow slowly and have defects in morphogenesis, making it unclear
whether hyphal inducers must stimulate cAMP, or if normal basal levels of cAMP
are required to maintain cellular health needed for hyphal growth. Interestingly,
supplementation of cyr1Delta cells with low levels of cAMP enabled them to form
hyphae in response to the inducer N-acetylglucosamine (GlcNAc), suggesting that a
basal level of cAMP is sufficient for stimulation. Furthermore, we isolated
faster-growing cyr1Delta pseudorevertant strains that can be induced to form
hyphae even though they lack cAMP. The pseudorevertant strains were not induced
by CO2 , consistent with reports that CO2 directly stimulates adenylyl cyclase.
Mutational analysis showed that induction of hyphae in a pseudorevertant strain
was independent of RAS1, but was dependent on the EFG1 transcription factor that
acts downstream of protein kinase A. Thus, cAMP-independent signals contribute to
the induction of hyphal responses.
PMID- 27888614
TI - Hierarchical investigating the predictive value of p53, COX2, EGFR, nm23 in the
post-operative patients with colorectal carcinoma.
AB - The aim of this study was to evaluate the correlations between p53, COX2, EGFR,
nm23 expression and the progression free survival (PFS) of post-operative
patients with colorectal carcinoma. Immunohistochemistry was used to detect the
expression of p53, COX2, EGFR and nm23 in 459 specimens from colorectal carcinoma
patients. Kaplan-Meier estimates, Cox proportional hazard regression analyses and
hierarchical analyses were performed on the collected data. Kaplan-Meier
estimates analysis suggested that EGFR expression was as a negative predictor,
the median PFS of patients with EGFR high expression was 21.73 months, and the
median PFS of patients with low EGFR expression was 57.83 months (chi2=20.880,
P<0.001); nm23 expression was positive predictive factor for the prognosis of
patients with colorectal carcinoma, the median PFS of patients with high nm23
expression was 37.77 months, and the median PFS was 21.47 months in the patients
with low nm23 expression (chi2=7.364, P=0.007). Cox regression analysis revealed
that comparing with the patients with low expression of EGFR, the patients with
high EGFR expression were at higher risk of tumor progression (HR=1.667,
P=0.004); Comparing with the patients with high nm23 expression, the patients
with nm23 low expression had a higher risk of tumor progression (HR=0.412,
P<0.001); and the risk of tumor progression was higher in the patients with high
EGFR expression and low nm23 expression (HR=0.245, P<0.001). Hierarchical
analysis showed that EGFR expression mainly correlates with the PFS of TNM stage
I-II colorectal cancer patients, the median PFS was 33.53 months in the TNM stage
I-II colorectal cancer patients with high EGFR expression patients; The median
PFS of the TNM stage I-II colorectal cancer patients with low EGFR expression was
70.43 months (chi2=9.530, P=0.002); The median PFS was 19.2 months in the TNM
stage III-IV colorectal cancer patients with high expression EGFR, the PFS of the
TNM stage III-IV colorectal cancer patients with low EGFR expression was 37.87
months (chi2=7.97, P=0.005). nm23 expression mainly correlates with the PFS of
TNM stage III-IV colorecatal cancer patients. The median PFS was 47.27 months in
TNM stage I-II colorectal cancer patients with nm23 high expression, the median
PFS was 48.85 months in TNM stage I-II colorectal cancer patients with low nm23
expression (chi2=0.101, P=0.750); The median PFS was 28.8 months in TNM stage III
IV colorectal cancer patients with nm23 high expression, the median PFS was 14.7
months in TNM stage III-IV colorectal cancer patients with low nm23 expression
(chi2=13.213, P<0.001). EGFR is mainly a predictive factor for the prognosis of
post-operative patients with TNM stage I-II colorectal cancer, and nm23 is
important for predicting the prognosis of patients with stage III-IV, and EGFR
and nm23 could be as predictor of combination.
PMID- 27888615
TI - Unconjugated secondary bile acids activate the unfolded protein response and
induce golgi fragmentation via a src-kinase-dependant mechanism.
AB - Bile acids are components of gastro-duodenal refluxate and regarded as causative
agents in oesophageal disease but the precise mechanisms are unknown. Here we
demonstrate that a specific subset of physiological bile acids affect the protein
secretory pathway by inducing ER stress, activating the Unfolded Protein Response
(UPR) and causing disassembly of the Golgi apparatus in oesophageal cells.
Deoxycholic acid (DCA), Chemodeoxycholic acid (CDCA) and Lithocholic acid (LCA)
activated the PERK arm of the UPR, via phosphorylation of eIF2alpha and up
regulation of ATF3, CHOP and BiP/GRP78. UPR activation by these bile acids is
mechanistically linked with Golgi fragmentation, as modulating the UPR using a
PERK inhibitor (GSK2606414) or salubrinal attenuated bile acid-induced effects on
Golgi structure. Furthermore we demonstrate that DCA, CDCA and LA activate Src
kinase and that inhibition of this kinase attenuated both bile acid-induced
BiP/GRP78 expression and Golgi fragmentation. This study highlights a novel
mechanism whereby environmental factors (bile acids) impact important cellular
processes regulating cell homeostasis, including the UPR and Golgi structure,
which may contribute to cancer progression in the oesophagus.
PMID- 27888616
TI - CCL2/EGF positive feedback loop between cancer cells and macrophages promotes
cell migration and invasion in head and neck squamous cell carcinoma.
AB - Head and neck squamous cell carcinoma (HNSCC) represents the most frequent
malignancy in the head and neck region, and the survival rate has not been
improved significantly over the past three decades. It has been reported the
infiltrated macrophages contribute to the malignant progression of HNSCC.
However, the crosstalk between macrophages and cancer cells remains poorly
understood. In the present study, we explored interactions between
monocytes/macrophages and HNSCC cells by establishing the direct co-culture
system, and found that the crosstalk promoted the migration and invasion of
cancer cells by enhancing the invadopodia formation through a CCL2/EGF positive
feedback loop. Our results demonstrated HNSCC cells educated monocytes into M2
like macrophages by releasing C-C motif chemokine ligand 2 (CCL2, or MCP-1). And
the M2-like macrophages secreted epithelial growth factor (EGF), which increased
the motility of HNSCC cells by enhancing the invadopodia formation. These
subcellular pseudopodia degraded extracellular matrix (ECM), facilitating tumor
local invasion and distant metastasis. Moreover, EGF up-regulated CCL2 expression
in HNSCC cells, which recruited monocytes and turned them into M2-like
macrophages, thus forming a positive feedback paracrine loop. Finally, we
reported that curcumin, a powerful natural drug, suppressed the production of EGF
and CCL2 in macrophages and cancer cells, respectively, blocking the feedback
loop and suppressing the migration and invasion of HNSCC cells. These results
shed light on the possibilities and approaches based on targeting the crosstalk
between cancer cells and monocytes/macrophages in HNSCC for potential cancer
therapy.
PMID- 27888617
TI - Suppression of osteopontin inhibits chemically induced hepatic carcinogenesis by
induction of apoptosis in mice.
AB - Previous clinical reports have found elevated osteopontin (OPN) levels in tumor
tissues to be indicative of greater malignancy in human hepatocellular carcinoma
(HCC). However, the role of OPN on carcinogenesis and its underlying mechanism
remain unclear. In the present study, we investigated the oncogenic role of OPN
in diethylnitrosamine (DEN)-induced hepatic carcinogenesis in mice. The overall
incidence of hepatic tumors at 36 weeks was significantly lower in OPN knockout
(KO) mice than in wild-type (WT) mice. Apoptosis was significantly enhanced in
OPN KO mice, and was accompanied by the downregulation of epidermal growth factor
receptor (EGFR). In the in vitro study, OPN suppression also led to lower mRNA
and protein levels of EGFR associated with the downregulation of c-Jun in Hep3B
and Huh7 human HCC cells lines, which resulted in increased apoptotic cell death
in both cell lines. Moreover, a positive correlation was clearly identified
between the expression of OPN and EGFR in human HCC tissues. These data
demonstrate that the OPN deficiency reduced the incidence of chemically induced
HCC by suppressing EGFR-mediated anti-apoptotic signaling. An important
implication of our findings is that OPN positively contributes to hepatic
carcinogenesis.
PMID- 27888620
TI - Identification of different ALK mutations in a pair of neuroblastoma cell lines
established at diagnosis and relapse.
AB - Anaplastic Lymphoma Kinase (ALK) is a transmembrane receptor kinase that belongs
to the insulin receptor superfamily and has previously been shown to play a role
in cell proliferation, migration and invasion in neuroblastoma. Activating ALK
mutations are reported in both hereditary and sporadic neuroblastoma tumours, and
several ALK inhibitors are currently under clinical evaluation as novel
treatments for neuroblastoma. Overall, mutations at codons F1174, R1275 and F1245
together account for ~85% of reported ALK mutations in neuroblastoma. NBLW and
NBLW-R are paired cell lines originally derived from an infant with metastatic
MYCN amplified Stage IVS (Evans Criteria) neuroblastoma, at diagnosis and
relapse, respectively. Using both Sanger and targeted deep sequencing, this study
describes the identification of distinct ALK mutations in these paired cell
lines, including the rare R1275L mutation, which has not previously been reported
in a neuroblastoma cell line. Analysis of the sensitivity of NBLW and NBLW-R
cells to a panel of ALK inhibitors (TAE-684, Crizotinib, Alectinib and
Lorlatinib) revealed differences between the paired cell lines, and overall NBLW
R cells with the F1174L mutation were more resistant to ALK inhibitor induced
apoptosis compared with NBLW cells. This pair of cell lines represents a valuable
pre-clinical model of clonal evolution of ALK mutations associated with
neuroblastoma progression.
PMID- 27888619
TI - Tetraspanin CD151 as an emerging potential poor prognostic factor across solid
tumors: a systematic review and meta-analysis.
AB - Tetraspanin CD151, also known as PETA-3 or SFA-1, has been reported to predict
prognosis in various solid tumors. Yet, the results of these studies remained
inconclusive. Here, we performed this meta-analysis of relevant studies published
on the topic to quantitatively evaluate the clinicopathological significance of
CD151 in solid tumors. The relevant articles were identified via searching the
PubMed, Web of Science and Embase database. The pooled hazard ratios (HRs) and
corresponding 95% confidence intervals (CI) of overall survival (OS) and disease
free survival (DFS) were calculated to evaluate the prognostic value of CD151
expression in patients with solid tumors. A total of 19 studies involving 4, 270
participants were included in the study, we drew the conclusion that CD151
overexpression was associated with statistically significant poor OS (pooled HR =
1.498, 95% CI = 1.346-1.667, P<0.001) and poor DFS (pooled HR = 1.488, 95% CI =
1.314-1.685, P<0.001). Furthermore, the subgroup analysis revealed that the
associations between CD151 overexpression and the outcome endpoints (OS or TTP)
were significant within the Asian region and European, as well in patients with
breast cancer or gastric cancer. Taken together, the incorporative HR showed
CD151 overexpression was associated with poor survival in human solid tumors.
CD151 could be a valuable prognosis biomarker or a potential therapeutic target
of solid tumors.
PMID- 27888621
TI - Intraperitoneal ziv-aflibercept effectively manages refractory ascites in
colorectal cancer patients.
AB - Ascites related to metastatic colorectal cancer (mCRC) reduces patient survival
and quality of life, and systemic chemotherapy is largely ineffective for
managing ascites. Here, we examined the clinical efficacy of intraperitoneal (IP)
ziv-aflibercept for managing refractory ascites in 15 mCRC patients who did not
respond to standard chemotherapy. Fifty or 100 mg of ziv-aflibercept in 100 mL of
saline solution were infused through a pigtail catheter and retained for 24 h.
When the ascites drainage volumes were subsequently monitored, 73.3% of patients
showed an objective response (OR) to IP ziv-aflibercept treatment. Patients with
low Eastern Cooperative Oncology Group (ECOG) performance status or with serum
ascites albumin gradients (SAAG) less than 1.1 g/dL had better responses to
treatment, and 4 patients with SAAG less than 1.1 g/dL showed rapid objective
responses (rOR). These findings indicate that intraperitoneal ziv-aflibercept
therapy may be a highly effective means of treating refractory ascites in mCRC
patients, and that SAAG may be predictive of a rapid response to this treatment.
PMID- 27888618
TI - Involvement of inflammation and its related microRNAs in hepatocellular
carcinoma.
AB - Hepatocellular carcinoma (HCC) is the fifth most commonly diagnosed type of
cancer. The tumor inflammatory microenvironment regulates almost every step
towards liver tumorigenesis and subsequent progression, and regulation of the
inflammation-related signaling pathways, cytokines, chemokines and non-coding
RNAs influences the proliferation, migration and metastasis of liver tumor cells.
Inflammation fine-tunes the cancer microenvironment to favor epithelial
mesenchymal transition, in which cancer stem cells maintain tumorigenic
potential. Emerging evidence points to inflammation-related microRNAs as crucial
molecules to integrate the complex cellular and molecular crosstalk during HCC
progression. Thus understanding the mechanisms by which inflammation regulates
microRNAs might provide novel and admissible strategies for preventing,
diagnosing and treating HCC. In this review, we will update three hypotheses of
hepatocarcinogenesis and elaborate the most predominant inflammation signaling
pathways, i.e. IL-6/STAT3 and NF-kappaB. We also try to summarize the crucial
tumor-promoting and tumor-suppressing microRNAs and detail how they regulate HCC
initiation and progression and collaborate with other critical modulators in this
review.
PMID- 27888622
TI - Quantification and expert evaluation of evidence for chemopredictive biomarkers
to personalize cancer treatment.
AB - Predictive biomarkers have the potential to facilitate cancer precision medicine
by guiding the optimal choice of therapies for patients. However, clinicians are
faced with an enormous volume of often-contradictory evidence regarding the
therapeutic context of chemopredictive biomarkers.We extensively surveyed public
literature to systematically review the predictive effect of 7 biomarkers claimed
to predict response to various chemotherapy drugs: ERCC1-platinums, RRM1
gemcitabine, TYMS-5-fluorouracil/Capecitabine, TUBB3-taxanes, MGMT-temozolomide,
TOP1-irinotecan/topotecan, and TOP2A-anthracyclines. We focused on studies that
investigated changes in gene or protein expression as predictors of drug
sensitivity or resistance. We considered an evidence framework that ranked
studies from high level I evidence for randomized controlled trials to low level
IV evidence for pre-clinical studies and patient case studies.We found that
further in-depth analysis will be required to explore methodological issues,
inconsistencies between studies, and tumor specific effects present even within
high evidence level studies. Some of these nuances will lend themselves to
automation, others will require manual curation. However, the comprehensive
cataloging and analysis of dispersed public data utilizing an evidence framework
provides a high level perspective on clinical actionability of these protein
biomarkers. This framework and perspective will ultimately facilitate clinical
trial design as well as therapeutic decision-making for individual patients.
PMID- 27888623
TI - Recombined humanized endostatin (Endostar) combined with chemotherapy for
advanced bone and soft tissue sarcomas in stage IV.
AB - PURPOSE: This retrospective case-series study evaluated efficacy and safety of
Endostar combined with chemotherapy in the treatment of advanced bone and soft
tissue sarcomas in stage IV. MATERIALS AND METHODS: Forty-seven patients
diagnosed with stage IV bone and soft tissue sarcomas and treated with
chemotherapy in Tianjin Medical University Cancer Institute & Hospital were
reviewed. Of these patients, 23 patients were treated with Endostar plus
chemotherapy (designated as combined group), and 24 patients received only
chemotherapy (designated as control group). Progression-free survival (PFS),
overall survival (OS), objective response rate (ORR) and clinical benefit
response (CBR) were analyzed to find the difference between these two groups with
the purpose to investigate the role of Endostar in metastatic sarcomas. RESULTS:
Endostar combined with chemotherapy had significantly increased PFS. In the
combined group and control groups, the median PFS (8.6 months versus 4.4 months)
and the CBR (47.8% versus 16.7%) showed significant difference (P = 0.032), while
the median overall survival (11.7 months versus 10.6 months, P = 0.658) and the
ORR (17.4% versus 8.3%, P = 0.167) showed no significant difference. The common
grade 3-4 side effects for both groups were myelosuppression and transient
elevation of transaminases. CONCLUSION: Endostar combined with chemotherapy had
significant activity to increase the PFS and improve CBR in patients with
advanced sarcomas, with tolerable side effects.
PMID- 27888624
TI - RhoA regulates resistance to irinotecan by regulating membrane transporter and
apoptosis signaling in colorectal cancer.
AB - Colorectal cancer (CRC) is a major cause of mortality and morbidity worldwide.
While surgery remains the mainstay of treatment in early stage CRC, chemotherapy
is usually given to prolong the overall survival and improve the quality of life
for metastatic colorectal cancer (mCRC). But drug resistance is one of the major
hurdles of mCRC treatment, and the underlying mechanisms are still largely
unknown. In this study, we show that, compared with parental cells, RhoA is up
regulated in irinotecan (CPT-11)-resistant CRC cells. Furthermore, inhibition of
RhoA in drug resistant cells, at least partially, rescues the resistance against
irinotecan and increases the sensitivity to other chemotherapeutic drug by
inhibiting expression of MDR1, MRP1and GSTP1, promotes apoptosis by suppressing
the expression of BCL-XL and Bcl-2 and increasing Bax expression, and
significantly decreases side population cells. Our results suggest that, in
addition to survival, proliferation, migration, adhesion, cell cycle and gene
transcription, RhoA is also involved in chemoresistance by regulating the
expression of membrane transporter and apoptosis protein in colorectal cancer.
They raise an interesting possibility that the expression of RhoA may indicate a
poor prognosis due to the high probability to therapy resistance and, on the
other hand, inhibition of RhoA activity and function may overcome chemoresistance
and improve the effectiveness of clinical treatment of CRC.
PMID- 27888625
TI - TRIM11, a direct target of miR-24-3p, promotes cell proliferation and inhibits
apoptosis in colon cancer.
AB - TRIM11 (tripartite motif-containing protein 11) is an E3 ubiquitin ligase
recently identified as an oncogene in malignant glioma and lung cancer. In the
present study, we report that expression of TRIM11 was increased in colon cancer
(CC) tissue relative to paired normal tissues and that higher TRIM11 levels
predicted poor overall survival (OS) and disease-free survival (DFS) in CC
patients. Mechanistically, we showed that miR-24-3p downregulation contributes to
TRIM11 upregulation in CC. We also demonstrated that TRIM11 overexpression
promotes cell proliferation and colony formation and inhibits apoptosis in CC,
while knocking down TRIM11 using CRISPR/Cas9-mediated genome editing inhibited
cell proliferation and induced apoptosis. Silencing TRIM11 in vivo decreased
tumor growth. These findings indicate that TRIM11 facilitates CC progression by
promoting cell proliferation and inhibiting apoptosis and that the novel miR-24
3p/TRIM11 axis may be a useful new target for treating patients with CC.
PMID- 27888627
TI - Identification of differentially expressed genes in the development of
osteosarcoma using RNA-seq.
AB - OBJECTIVE: Osteosarcoma (OS) is a malignant bone tumor with high morbidity in
young adults and adolescents. This study aimed to discover potential early
diagnosis biomarkers in OS. RESULTS: In total, 111 differentially expressed genes
(DEGs) were identified in primary OS compared with normal controls and 235 DEGs
were identified in metastatic OS compared with primary OS. AURKB and PPP2R2B were
the significantly up-regulated and down-regulated hub proteins, respectively, in
the PPI protein-protein network (PPI) network of primary OS. ISG15 and BTRC were
the significantly up-regulated and down-regulated hub proteins, respectively, in
the network of metastatic OS. The DEGs in metastatic OS compared with primary OS
were significantly enriched in the arachidonic acid metabolism, malaria, and
chemokine signaling pathways. Finally, we employed quantitative real-time
polymerase chain reaction (qRT-PCR) to validate the expression levels of
candidate DEGs and the results indicated that our bioinformatics approach was
acceptable. MATERIALS AND METHODS: The mRNA expression profiling of 20 subjects
was obtained through high-throughput RNA-sequencing. DEGs were identified between
primary OS and normal Control, and between primary OS and metastatic OS,
respectively. Functional annotation and PPI networks were used to obtain insights
into the functions of DEGs. qRT-PCR was performed to detect the expression levels
of dysregulated genes in OS. CONCLUSIONS: Our work might provide groundwork for
the further exploration of tumorigenesis and metastasis mechanisms of OS.
PMID- 27888626
TI - Interleukin-18 deteriorates Fabry cardiomyopathy and contributes to the
development of left ventricular hypertrophy in Fabry patients with GLA IVS4+919
G>A mutation.
AB - RATIONALE: A high incidence of GLA IVS4+919 G>A mutation in patients with Fabry
disease of the later-onset cardiac phenotype, has been reported in Taiwan.
However, suitable biomarkers or potential therapeutic surrogates for Fabry
cardiomyopathy (FC) in such patients under enzyme replacement treatment (ERT)
remain unknown. OBJECTIVE: Using FC patients carrying IVS4+919 G>A mutation, we
constructed an induced pluripotent stem cell (iPSC)-based disease model to
investigate the pathogenetic biomarkers and potential therapeutic targets in ERT
treated FC. RESULTS AND METHODS: The iPSC-differentiated cardiomyocytes derived
from FC-patients (FC-iPSC-CMs) carried IVS4+919 G>A mutation recapitulating FC
characteristics, including low alpha-galactosidase A enzyme activity, cellular
hypertrophy, and massive globotriaosylceramide accumulation. Microarray analysis
revealed that interleukin-18 (IL-18), a pleiotropic cytokine involved in various
myocardial diseases, was the most highly upregulated marker in FC-iPSC-CMs.
Meanwhile, IL-18 levels were found to be significantly elevated in the culture
media of FC-iPSC-CMs and patients' sera. Notably, the serum IL-18 levels were
highly paralleled with the progression of left ventricular hypertrophy in Fabry
patients receiving ERT. Finally, using FC-iPSC-CMs as in vitro FC model,
neutralization of IL-18 with specific antibodies combined with ERT
synergistically reduced the secretion of IL-18 and the progression of
cardiomyocyte hypertrophy in FC-iPSC-CMs. CONCLUSION: Our data demonstrated that
cardiac IL-18 and circulating IL-18 are involved in the pathogenesis of FC and
LVH. IL-18 may be a novel marker for evaluating ERT efficacy, and targeting IL-18
might be a potential adjunctive therapy combined with ERT for the treatment of
advanced cardiomyopathy in FC patients with IVS4+919 G>A mutation.
PMID- 27888628
TI - Impact of epidemiological characteristics of supratentorial gliomas in adults
brought about by the 2016 world health organization classification of tumors of
the central nervous system.
AB - The latest World Health Organization (WHO) classification of tumors of the
central nervous system (CNS) integrates both histological and molecular features
in the definition of diagnostic entities. This new approach enrolls novel
entities of gliomas. In this study, we aimed to reveal the epidemiological
characteristics, including age at diagnosis, gender ratio, tumor distribution and
survival, of these new entities. We retrospectively reclassified 1210 glioma
samples according to the 2016 CNS WHO diagnostic criteria. In our cohort,
glioblastoma multiforme (GBM) with wildtype isocitrate dehydrogenase (IDH) was
the most common malignant tumor in the brain. Almost all gliomas were more
prevalent in males, especially in the cluster of WHO grade III gliomas and IDH
wildtype GBM. Age at diagnosis was directly proportional to tumor grade. With
respect to the distribution by histology, we found that gliomas concurrent with
IDH-mutant and 1p/19q-codeleted or with single IDH-mutant were mainly distributed
in frontal lobe, while those with IDH-wildtype were dominant in temporal lobe.
Lesions located in insular lobe were more likely to be IDH-mutant astrocytoma. In
summary, our results elucidated the epidemiological characteristics as well as
the regional constituents of these new gliomas entities, which could bring
insights into tumorigenesis and personalized treatment of Chinese glioma
population.
PMID- 27888629
TI - Inhibition of BCR signaling using the Syk inhibitor TAK-659 prevents stroma
mediated signaling in chronic lymphocytic leukemia cells.
AB - Proliferation and survival of chronic lymphocytic leukemia (CLL) cells depend on
microenvironmental signals coming from lymphoid organs. One of the key players
involved in the crosstalk between CLL cells and the microenvironment is the B
cell receptor (BCR). Syk protein, a tyrosine kinase essential for BCR signaling,
is therefore a rational candidate for targeted therapy in CLL. Against this
background, we tested the efficacy of the highly specific Syk inhibitor TAK-659
in suppressing the favorable signaling derived from the microenvironment. To ex
vivo mimic the microenvironment found in the proliferation centers, we co
cultured primary CLL cells with BM stromal cells (BMSC), CD40L and CpG ODN along
with BCR stimulation. In this setting, TAK-659 inhibited the microenvironment
induced activation of Syk and downstream signaling molecules, without inhibiting
the protein homologue ZAP-70 in T cells. Importantly, the pro-survival,
proliferative, chemoresistant and activation effects promoted by the
microenvironment were abrogated by TAK-659, which furthermore blocked CLL cell
migration toward BMSC, CXCL12, and CXCL13. Combination of TAK-659 with other BCR
inhibitors showed synergistic effect in inducing apoptosis, and the sequential
addition of TAK-659 in ibrutinib-treated CLL cells induced significantly higher
cytotoxicity. These findings provide a strong rationale for the clinical
development of TAK-659 in CLL.
PMID- 27888631
TI - Autophagy decreases alveolar macrophage apoptosis by attenuating endoplasmic
reticulum stress and oxidative stress.
AB - To study the impact of autophagy on alveolar macrophage apoptosis and its
mechanism in the early stages of hypoxia, we established a cell hypoxia
reoxygenation model and orthotopic left lung ischemia-reperfusion model. Rat
alveolar macrophages stably expressing RFP-LC3 were treated with autophagy
inhibitor (3-methyladenine, 3-MA) or autophagy promoter (rapamycin), followed by
hypoxia-reoxygenation treatment 2 h, 4 h or 6 h later. Twenty Sprague-Dawley male
rats were randomly divided into four different groups: no blocking of left lung
hilum (model group), left lung hilum blocked for 1h with DMSO lavage (control
group), left lung hilum blocked for 1 h with 100 ml/kg 3-MA (5 MUmol/L) lavage (3
MA group), and left lung hilum blocked for 1 h with 100 ml/kg rapamycin (250
nmol/L) lavage (rapamycin group). Rapamycin decreased the unfolded protein
response, which reduced endoplasmic reticulum stress-mediated apoptosis in the
presence of oxygen deficiency. Rapamycin increased superoxide dismutase
activities and decreased malondialdehyde levels, whereas 3-MA decreased
superoxide dismutase activities and increased malondialdehyde levels. Thus,
autophagy decreases alveolar macrophage apoptosis by attenuating endoplasmic
reticulum stress and oxidative stress in the early stage of hypoxia in vitro and
in vivo. This could represent a new approach to protecting against lung ischemia
reperfusion injury.
PMID- 27888630
TI - The association of variants in PNPLA3 and GRP78 and the risk of developing
hepatocellular carcinoma in an Italian population.
AB - Hepatocellular carcinoma (HCC) has one of the worst prognoses amongst all
malignancies. It commonly arises in patients with established liver disease and
the diagnosis often occurs at an advanced stage. Genetic variations, such as
single nucleotide polymorphisms (SNPs), may alter disease risk and thus may have
use as predictive markers of disease outcome. The aims of this study were (i) to
assess the association of two SNPs, rs430397 in GRP78 and rs738409 in PNPLA3 with
the risk of developing HCC in a Sicilian association cohort and, (ii) to use a
machine learning technique to establish a predictive combinatorial phenotypic
model for HCC including rs430397 and rs738409 genotypes and clinical and
laboratory attributes. The controls comprised of 304 healthy subjects while the
cases comprised of 170 HCC patients the majority of whom had hepatitis C (HCV)
related cirrhosis. Significant associations were identified between the risk of
developing HCC and both rs430397 (p=0.0095) and rs738409 (p=0.0063). The
association between rs738409 and HCC was significantly stronger in the HCV
positive cases. In the best prediction model, represented graphically by a
decision tree with an acceptable misclassification rate of 17.0%, the A/A and G/A
genotypes of the rs430397 variant were fixed and combined with the three rs738409
genotypes; the attributes were age, sex and alcohol. These results demonstrate
significant associations between both rs430397 and rs738409 and HCC development
in a Sicilian cohort. The combinatorial predictive model developed to include
these genetic variants may, if validated in independent cohorts, allow for
earlier diagnosis of HCC.
PMID- 27888632
TI - VENTX induces expansion of primitive erythroid cells and contributes to the
development of acute myeloid leukemia in mice.
AB - Homeobox genes are key regulators in normal and malignant hematopoiesis. The
human Vent-like homeobox gene VENTX, a putative homolog of the Xenopus laevis
Xvent-2 gene, was shown to be highly expressed in normal myeloid cells and in
patients with acute myeloid leukemia. We now demonstrate that constitutive
expression of VENTX suppresses expression of genes responsible for terminal
erythroid differentiation in normal CD34+ stem and progenitor cells.
Transplantation of bone marrow progenitor cells retrovirally engineered to
express VENTX caused massive expansion of primitive erythroid cells and partly
acute erythroleukemia in transplanted mice. The leukemogenic potential of VENTX
was confirmed in the AML1-ETO transplantation model, as in contrast to AML1-ETO
alone co-expression of AML1-ETO and VENTX induced acute myeloid leukemia, partly
expressing erythroid markers, in all transplanted mice. VENTX was highly
expressed in patients with primary human erythroleukemias and knockdown of VENTX
in the erythroleukemic HEL cell line significantly blocked cell growth. In
summary, these data indicate that VENTX is able to perturb erythroid
differentiation and to contribute to myeloid leukemogenesis when co-expressed
with appropriate AML oncogenes and point to its potential significance as a novel
therapeutic target in AML.
PMID- 27888633
TI - Toll-like receptor 9 expression is associated with breast cancer sensitivity to
the growth inhibitory effects of bisphosphonates in vitro and in vivo.
AB - Bisphosphonates are standard treatments for bone metastases. When given in the
adjuvant setting, they reduce breast cancer mortality and recurrence in bone but
only among post-menopausal patients. Optimal drug use would require biomarker
based patient selection. Such biomarkers are not yet in clinical use. Based on
the similarities in inflammatory responses to bisphosphonates and Toll-like
receptor (TLR) agonists, we hypothesized that TLR9 expression may affect
bisphosphonate responses in cells. We compared bisphosphonate effects in breast
cancer cell lines with low or high TLR9 expression. We discovered that cells with
decreased TLR9 expression are significantly more sensitive to the growth
inhibitory effects of bisphosphonates in vitro and in vivo. Furthermore, cancer
growth-promoting effects seen with some bisphosphonates in some control shRNA
cells were not detected in TLR9 shRNA cells. These differences were not
associated with inhibition of Rap1A prenylation or p38 phosphorylation, which are
known markers for bisphosphonate activity. However, TLR9 shRNA cells exhibited
increased sensitivity to ApppI, a metabolite that accumulates in cells after
bisphosphonate treatment. We conclude that decreased TLR9-expression sensitizes
breast cancer cells to the growth inhibitory effects of bisphosphonates. Our
results suggest that TLR9 should be studied as a potential biomarker for adjuvant
bisphosphonate sensitivity among breast cancer patients.
PMID- 27888634
TI - Targeting protein kinase CK2 suppresses bladder cancer cell survival via the
glucose metabolic pathway.
AB - Casein kinase 2 (CK2) is a constitutively active serine/threonine kinase that
promotes cell proliferation and resists apoptosis. Elevated CK2 expression has
been demonstrated in several solid tumors. The expression of CK2alpha in bladder
cancer was elevated in tumor tissues compared with that in adjacent normal
tissues. Amplified expression of CK2alpha was highly correlated with histological
grade in bladder cancer(P = 0.024). Knockdown of CK2alpha in bladder cancer cell
lines resulted in a reduction in tumor aerobic glycolysis, accompanied with lower
phosphorylated AKT. Moreover, low CK2alpha levels suppressed cell growth, and
similar results could be reproduced after treatment with CX-4945 with a dose
dependent response. CX-4945 inhibited migration and induced apoptosis.
Furthermore, knockdown of CK2alpha decreased the tumorigenicity of bladder cancer
cells in vivo. This study is the first to report that CK2 increases glucose
metabolism in human bladder cancer. Blocking CK2 function may provide novel
diagnostic and potential therapeutic.
PMID- 27888637
TI - Standing on the shoulders of giants.
PMID- 27888636
TI - Factors that influence treatment delay in patients with colorectal cancer.
AB - A prospective study was performed of patients diagnosed with colorectal cancer
(CRC), distinguishing between colonic and rectal location, to determine the
factors that may provoke a delay in the first treatment (DFT) provided.2749
patients diagnosed with CRC were studied. The study population was recruited
between June 2010 and December 2012. DFT is defined as time elapsed between
diagnosis and first treatment exceeding 30 days.Excessive treatment delay was
recorded in 65.5% of the cases, and was more prevalent among rectal cancer
patients. Independent predictor variables of DFT in colon cancer patients were a
low level of education, small tumour, ex-smoker, asymptomatic at diagnosis and
following the application of screening. Among rectal cancer patients, the
corresponding factors were primary school education and being asymptomatic.We
conclude that treatment delay in CRC patients is affected not only by
clinicopathological factors, but also by sociocultural ones. Greater attention
should be paid by the healthcare provider to social groups with less formal
education, in order to optimise treatment attention.
PMID- 27888638
TI - Should surgeons routinely inform patients about risks of taste dysfunction after
tongue base surgery for sleep apnea?
PMID- 27888635
TI - LncRNAs: the bridge linking RNA and colorectal cancer.
AB - Long noncoding RNAs (lncRNAs) are transcribed by genomic regions (exceeding 200
nucleotides in length) that do not encode proteins. While the exquisite
regulation of lncRNA transcription can provide signals of malignant
transformation, lncRNAs control pleiotropic cancer phenotypes through
interactions with other cellular molecules including DNA, protein, and RNA.
Recent studies have demonstrated that dysregulation of lncRNAs is influential in
proliferation, angiogenesis, metastasis, invasion, apoptosis, stemness, and
genome instability in colorectal cancer (CRC), with consequent clinical
implications. In this review, we explicate the roles of different lncRNAs in CRC,
and the potential implications for their clinical application.
PMID- 27888639
TI - Association between body mass index and salivary uric acid among Mexican-origin
infants, youth and adults: Gender and developmental differences.
AB - Uric acid (UA) is the end product of the metabolic breakdown of purine
nucleotides. Recent studies have measured UA in saliva in relation to obesity and
chronic disease risk. Given the increasing prevalence of metabolic syndrome among
Latino youth, we examined gender and age differences in salivary uric acid (sUA)
and weight in a sample of Mexican-origin children (n = 65, 2 months to 18 years,
49% female) and adults (n = 46, 19-58 years, 72% female). We measured weight,
height, waist, and hip circumference and collected saliva samples (later assayed
for sUA). Structural equation models estimated the relationship between age,
developmental stage, and weight outcomes in relation to sUA levels between
genders, while controlling for race. Results demonstrate that increased sUA
levels were related to higher BMI percentiles in females of all ages (beta =
0.43, p < .001). There were significant differences in sUA levels between
developmental stages for girls, with female toddlers having the highest sUA
levels (beta = .28, p = .02). In an interaction between BMI z-score and gender
between youth and adults, BMI has a larger effect on increasing sUA levels among
younger girls (beta = 0.27, p < .03) and adult women (beta = 0.33, p = .02).
Levels of sUA may be gender-specific in relation to BMI and developmental stage.
PMID- 27888640
TI - Chronotherapy versus conventional statins therapy for the treatment of
hyperlipidaemia.
AB - BACKGROUND: Elevated levels of total cholesterol and low-density lipoprotein play
an important role in the development of atheromas and, therefore, in
cardiovascular diseases. Cholesterol biosynthesis follows a circadian rhythm and
is principally produced at night (between 12:00 am and 6:00 am). The adjustment
of hypolipaemic therapy to biologic rhythms is known as chronotherapy.
Chronotherapy is based on the idea that medication can have different effects
depending on the hour at which it is taken. Statins are one of the most widely
used drugs for the prevention of cardiovascular events. In usual clinical
practice, statins are administered once per day without specifying the time when
they should be taken. It is unknown whether the timing of statin administration
is important for clinical outcomes. OBJECTIVES: To critically evaluate and
analyse the evidence available from randomised controlled trials regarding the
effects of chronotherapy on the effectiveness and safety of treating
hyperlipidaemia with statins. SEARCH METHODS: We searched the CENTRAL, MEDLINE,
Embase, LILACS, ProQuest Health & Medical Complete, OpenSIGLE, Web of Science
Conference Proceedings, and various other resources including clinical trials
registers up to November 2015. We also searched the reference lists of relevant
reviews for eligible studies. SELECTION CRITERIA: We included randomised
controlled trials (RCTs), enrolling people with primary or secondary
hyperlipidaemia. To be included, trials must have compared any chronotherapeutic
lipid-lowering regimen with statins and any other statin lipid-lowering regimen
not based on chronotherapy. We considered any type and dosage of statin as
eligible, as long as the control and experimental arms differed only in the
timing of the administration of the same statin. Quasi-randomised studies were
excluded. DATA COLLECTION AND ANALYSIS: We used the standard methodological
procedures expected by Cochrane. We extracted the key data from studies in
relation to participants, interventions, and outcomes for safety and efficacy. We
calculated odds ratios (OR) for dichotomous data and mean differences (MD) for
continuous data with 95% confidence intervals (CI). Using the GRADE approach, we
assessed the quality of the evidence and we used the GRADEpro Guideline
Development Tool to import data from Review Manager to create 'Summary of
findings' tables. MAIN RESULTS: This review includes eight RCTs (767 participants
analysed in morning and evening arms). The trials used different lipid-lowering
regimens with statins (lovastatin: two trials; simvastatin: three trials;
fluvastatin: two trials; pravastatin: one trial). All trials compared the effects
between morning and evening statin administration. Trial length ranged from four
to 14 weeks. We found a high risk of bias in the domain of selective reporting in
three trials and in the domain of incomplete outcome data in one trial of the
eight trials included. None of the studies included were judged to be at low risk
of bias.None of the included RCTs reported data on cardiovascular mortality,
cardiovascular morbidity, incidence of cardiovascular events, or deaths from any
cause. Pooled results showed no evidence of a difference in total cholesterol (MD
4.33, 95% CI -1.36 to 10.01), 514 participants, five trials, mean follow-up 9
weeks, low-quality evidence), low-density lipoprotein cholesterol (LDL-C) levels
(MD 4.85 mg/dL, 95% CI -0.87 to 10.57, 473 participants, five trials, mean follow
up 9 weeks, low-quality evidence), high-density lipoprotein cholesterol (HDL-C)
(MD 0.54, 95% CI -1.08 to 2.17, 514 participants, five trials, mean follow-up 9
weeks, low-quality evidence) or triglycerides (MD -8.91, 95% CI -22 to 4.17, 510
participants, five trials, mean follow-up 9 weeks, low-quality evidence) between
morning and evening statin administration.With regard to safety outcomes, five
trials (556 participants) reported adverse events. Pooled analysis found no
differences in statins adverse events between morning and evening intake (OR
0.71, 95% CI 0.44 to 1.15, 556 participants, five trials, mean follow-up 9 weeks,
low-quality evidence). AUTHORS' CONCLUSIONS: Limited and low-quality evidence
suggested that there were no differences between chronomodulated treatment with
statins in people with hyperlipidaemia as compared to conventional treatment with
statins, in terms of clinically relevant outcomes. Studies were short term and
therefore did not report on our primary outcomes, cardiovascular clinical events
or death. The review did not find differences in adverse events associated with
statins between both regimens. Taking statins in the evening does not have an
effect on the improvement of lipid levels with respect to morning administration.
Further high-quality trials with longer-term follow-up are needed to confirm the
results of this review.
PMID- 27888641
TI - Carotid artery and lower cranial nerve exposure with increasing surgical
complexity to the parapharyngeal space.
AB - OBJECTIVES/HYPOTHESIS: To investigate the extent of carotid artery exposure
attained, including the identification of the external carotid branches and lower
cranial nerves in five sequential external approaches to the parapharyngeal
space, and to provide an anatomical algorithm. STUDY DESIGN: Anatomical study.
METHODS: Six latex-injected adult cadaver heads were dissected in five
consecutive approaches: transcervical approach with submandibular gland removal,
posterior extension of the transcervical approach, transcervical approach with
parotidectomy, parotidectomy with lateral mandibulotomy, and parotidectomy with
mandibulectomy. The degree of carotid artery exposure attained, external carotid
branches, and lower cranial nerves visualized was documented. RESULTS: The
transcervical approach exposed 1.5 cm (Standard Deviation (SD) 0.5) of internal
carotid artery (ICA) and 1.25 cm (SD 0.25) of external carotid artery (ECA). The
superior thyroid and facial arteries and cranial nerve XII and XI were
identified. The posterior extension exposed 2.9 cm (SD 0.7) of ICA and 2.7 cm (SD
1.0) of ECA. Occipital and ascending pharyngeal arteries were visualized. The
transparotid approach exposed 4.0 cm (SD 1.1) of ICA and 3.98 cm (SD 1.8) of ECA.
Lateral mandibulotomy exposed the internal maxillary artery, cranial nerve X, the
sympathetic trunk, and 4.6 cm (SD 2.4) of ICA. Mandibulectomy allowed for
complete ECA exposure, cranial nerve IX, lingual nerve, and 6.9 cm (SD 1.3) of
ICA. CONCLUSION: Approaches for the parapharyngeal space must be based on
anatomic and biological patient factors. This study provides a guide for the
skull base surgeon for an extended approach based on the desired anatomic
exposure. LEVEL OF EVIDENCE: N/A. Laryngoscope, 127:585-591, 2017.
PMID- 27888642
TI - Histamine H4 receptor gene polymorphisms: a potential predictor of oral H1
antihistamine efficacy for allergic rhinitis.
AB - BACKGROUND: Our study aimed to investigate the associations between Histamine H4
receptor (HRH4) gene polymorphisms (rs77485247, rs74604924, and rs77041280) and
oral H1 antihistamine efficacy for the treatment of allergic rhinitis (AR)
patients. METHODS: A total of 142 AR patients were selected as a case group and
160 healthy individuals were recruited as a control group. Single nucleotide
polymorphisms (SNPs) in the HRH4 gene were detected using direct sequencing.
Serum immunoglobulin E (IgE), specific IgE, and eosinophil cationic protein (ECP)
levels were measured by enzyme-linked immunosorbent assay (ELISA). Clinical
efficacy was evaluated by the visual analogue scale (VAS). The occurrence of
adverse reaction was recorded. RESULTS: There were significant differences in the
distribution frequencies of mutant genotype (TA + AA) and A allele of rs77485247,
mutant genotype (AT + TT) and T allele of rs74604924, and mutant genotype (AT +
TT) and T allele of rs77041280 between the case and control groups. AR patients
with mutant genotype (TA + AA) of rs77485247 and AR patients with mutant genotype
(AT + TT) of rs77041280 had higher specific IgE, ECP levels, and VAS scores after
treatment and lower incidence of adverse reactions and total effective rate than
those with TT genotype and those with AA genotype, respectively. However, for
rs74604924, there were no differences was found between AR patients with mutant
genotype (AT + TT) and those with AA genotype. CONCLUSION: Our findings provide
evidence that HRH4 rs77485247 and rs77041280 polymorphisms may be associated with
the risk of AR and the efficacy of H1 antihistamines for the treatment of AR
patients.
PMID- 27888643
TI - Correlation between the number of allergen sensitizations and immunoglobulin E:
monosensitization vs polysensitization.
AB - BACKGROUND: The purpose of this study was to determine if total serum
immunoglobulin E (IgE) could predict monosensitization compared to
polysensitization in patients with allergic rhinitis (AR). METHODS: This was a
retrospective study of a cohort of 1073 patients who underwent allergy testing.
Differences in total IgE level between unsensitized, monosensitized, and
polysensitized subjects were calculated. Pearson correlation coefficient was
calculated to determine whether there was a relationship between total IgE level
and the number of positive allergen sensitizations. In addition, allergen
sensitization class was calculated for each allergen sensitization and totaled
for each patient. Pearson correlation coefficient was calculated to determine the
relationship between total IgE level and weighted allergen sensitization by
class. RESULTS: There were 159 patients who were monosensitized compared to 492
patients who were polysensitized. Of the monosensitized patients, almost 50% (74)
were sensitized to one or both dust mites. Total IgE was higher in polysensitized
patients compared to monosensitized compared to controls (p < 0.001). Pearson
correlation coefficient between total IgE and number of positive allergen
sensitizations was 0.465 (p <= 0.001). Pearson correlation coefficient between
total IgE and allergen class-weighted sensitizations was 0.529 (p <= 0.001).
CONCLUSION: IgE level can be used to predict monosensitization vs
polysensitization. There is a moderate correlation between total IgE
sensitization and the number of positive allergen sensitizations, as well as
allergen class-weighted sensitizations.
PMID- 27888644
TI - Myrtol standardized affects mucociliary clearance.
AB - BACKGROUND: Myrtol standardized (Gelomyrtol forte) has been shown to be effective
in controlling nasal symptoms of rhinosinusitis by promoting mucociliary
clearance. Our aim was to evaluate the short- and long-term effects of myrtol on
ciliated columnar cells and goblet cells in an in-vitro setting. METHODS: Nasal
epithelial cells were harvested (42 days) from an air-liquid interface (ALI)
culture of human nasal epithelial stem/progenitor cells (hNESPCs), which was
derived from biopsies of nasal inferior turbinate mucosa. Myrtol 0.1% was applied
to the ALI culture system at 2 different time-points (day 0 and day 35) on
progenitor and differentiated cells. Ciliary beat frequency (CBF), supernatant
fluid, and ciliated and goblet cell markers were evaluated after short- (7 days)
and long-term (42 days) treatment. RESULTS: In the long-term treatment with
myrtol, there was an increase in cilia area (type IV beta-tubulin+ , 1.53-fold, p
= 0.031) and ciliogenesis-related markers (Foxj1 and CP110) with no change in
CBF, as compared with control. In addition, the short-term myrtol treatment group
exhibited greater mucin secretion compared with control. CONCLUSION: This study
demonstrates, through cellular and molecular mechanisms, that myrtol standardized
enhances the mucus production from goblet cells in the short term, and promotes
ciliated cell differentiation in the long term.
PMID- 27888645
TI - Olfaction in patients with allergic rhinitis: an indicator of successful MP
AzeFlu therapy.
AB - BACKGROUND: The purpose of this study was to investigate the effect of MP-AzeFlu
on olfaction and the interaction between severity of allergic rhinitis and
olfactory improvement after therapy. METHODS: A prospective, multicenter,
observational study was performed on 47 patients with persistent allergic
rhinitis. Duration and severity of allergic rhinitis was diagnosed and classified
using the modified Allergic Rhinitis and its Impact on Asthma (ARIA) criteria and
the proof of allergen sensitization from positive skin-prick tests, specific
immonoglobulin E (IgE) in serum, and nasal provocation response. Patients were
treated with MP-AzeFlu (1 spray/nostril twice daily) over 3 months. Olfactory
function was assessed at baseline and at 1 and 3 months of therapy using the
"Sniffin' Sticks" test. In addition, a nasal symptom score was recorded on a
visual analog scale (VAS) at each given time-point. RESULTS: MP-AzeFlu was found
to be associated with a significant improvement in TDI score, from 23.7 at
baseline to 34.2 at 1 month (p < 0.001) and 37.1 at 3 months (p < 0.001) of
treatment. Furthermore, a highly significant improvement of symptoms over time (p
< 0.001; VAS at baseline: 84.3; 1 month: 32.4; 3 months: 26.2) could be
demonstrated. Most importantly, there was a highly significant interaction
between the severity of allergic rhinitis and olfactory function (p < 0.001) and
VAS (p < 0.001). CONCLUSION: MP-AzeFlu is associated with olfactory improvement
in persistent allergic rhinitis patients. Further, the modified ARIA severity
classification is an indicator of patients' olfactory function. Moreover,
assessment of olfaction seems to be a reliable indicator of the clinical success
of antiallergic/antiinflammatory therapy.
PMID- 27888647
TI - Microarray analysis of the genes associated with osteitis in chronic
rhinosinusitis.
AB - OBJECTIVES/HYPOTHESIS: Although numerous studies have examined epithelial
remodeling in chronic rhinosinusitis (CRS), bone remodeling (osteitis) has only
recently gained attention as a potential significant contributor to the
pathophysiology of recalcitrant CRS. The purpose of this study was to compare
gene expression profiles between osteitic bone and the adjacent diseased mucosa
in patients with CRS to determine which genes affect mucosal and bony remodeling.
STUDY DESIGN: Prospective experimental analysis. METHODS: Samples were obtained
from sites of osteitic bone and overlying mucosa in CRS patients demonstrating
osteitis on computed tomography and compared to healthy controls. The entire
transcripted gene expression profile was determined by microarray following RNA
isolation and compared between tissue samples. The expression differences were
verified by reverse transcriptase-polymerase chain reaction and
immunohistochemical staining. RESULTS: Growth differentiation factor 5 and
exostosin glycosyltransferase 1 were significantly upregulated and positively
correlated with mucosal eosinophilic inflammation in osteitic bone. Fibroblast
growth factor was significantly increased in osteitic bone. Additionally, colony
stimulating factor was positively correlated with the degree of osteitis.
CONCLUSIONS: These findings will add a new perspective to our current
understanding of the recalcitrant CRS. LEVEL OF EVIDENCE: NA Laryngoscope,
127:E85-E90, 2017.
PMID- 27888646
TI - Corner fracture type spondylometaphyseal dysplasia: Overlap with type II
collagenopathies.
AB - Spondylometaphyseal dysplasia (SMD) corner fracture type (also known as SMD
"Sutcliffe" type, MIM 184255) is a rare skeletal dysplasia that presents with
mild to moderate short stature, developmental coxa vara, mild platyspondyly,
corner fracture-like lesions, and metaphyseal abnormalities with sparing of the
epiphyses. The molecular basis for this disorder has yet to be clarified. We
describe two patients with SMD corner fracture type and heterozygous pathogenic
variants in COL2A1. These two cases together with a third case of SMD corner
fracture type with a heterozygous COL2A1 pathogenic variant previously described
suggest that this disorder overlaps with type II collagenopathies. The finding of
one of the pathogenic variants in a previously reported case of
spondyloepimetaphyseal dysplasia (SEMD) Strudwick type and the significant
clinical similarity suggest an overlap between SMD corner fracture and SEMD
Strudwick types. (c) 2016 Wiley Periodicals, Inc.
PMID- 27888648
TI - Identification and characterization of the distinct expression profiles of
candidate chemosensory membrane proteins in the antennal transcriptome of
Adelphocoris lineolatus (Goeze).
AB - Chemosensory membrane proteins, including odorant receptors (ORs), ionotropic
receptors (IRs), gustatory receptors (GRs) and sensory neurone membrane proteins
(SNMPs), are supposed to be crucial macromolecules in the insect olfactory signal
transduction pathway. The alfalfa plant bug Adelphocoris lineolatus (Goeze)
(Hemiptera: Miridae) is highly attracted to high-nitrogen or flowering plants and
destroys many important agricultural crops. We assembled the antennal
transcriptome of A. lineolatus using Illumina sequencing technology and
identified a total of 108 transcripts encoding chemosensory membrane proteins (88
ORs, 12 IRs, four GRs and four SNMPs), amongst which 90 candidates appeared to be
full length. Subsequently, both semiquantitative reverse transcription PCR and
quantitative real-time PCR experiments were performed to investigate their tissue
and sex-biased expression profiles. The results showed that nearly all of the
108 candidate chemosensory membrane protein genes were largely expressed in adult
antennae, and some genes additionally displayed significant differences in the
expression levels between sexes. The results of our phylogenetic analysis and the
detailed tissue- and sex-biased expression characteristics given here provide an
important foundation for further understanding of the complex chemoreception
system of the alfalfa plant bug and other Hemiptera species, which also could
help us use chemosensory membrane proteins as targets to manipulate insect
olfactory behaviour and broaden the applications of available tools for insect
pest control.
PMID- 27888651
TI - A Digital Standard of Care.
PMID- 27888650
TI - Closure of the cervical os in patients after fertility preserving treatment for
early cervical cancer - results of a prospective observational study.
AB - INTRODUCTION: Up to 50% of the infants delivered after radical vaginal
trachelectomy (RVT) are born prematurely. An effective strategy to reduce this
number could be the closure of the cervical os (CCO). PATIENTS AND METHODS:
Fifteen pregnant patients who had a RVT due to early cervical cancer were
included in this prospective case control study. All patients were scheduled for
CCO early in the second trimester. CCO was performed in 12 patients. Their data
were compared to data from 125 pregnancies after a RVT without CCO. RESULTS: The
patients who had CCO were compared to patients without CCO. One patient had an
early rupture of the amniotic membranes prior to CCO. Two patients chose not to
undergo CCO. In 12 patients CCO was performed without complications. There was no
early preterm delivery in the CCO group as compared to a rate of 5% in 125
pregnancies in the non-CCO group. DISCUSSION: We developed a protocol to reduce
the risk of preterm deliveries after a RVT. Digital examinations should be
avoided. Vaginal checks for pH can discover ascending infections - the main cause
of preterm deliveries after a RVT. Infections should be treated adequately. CCO
can further reduce the risk of preterm deliveries after a RVT.
PMID- 27888652
TI - Accelerated Orthodontics Using Pulsatile Forces in Orthognathic Surgical
Patients.
PMID- 27888649
TI - Prospective, multicenter evaluation of balloon sinus dilation for treatment of
pediatric chronic rhinosinusitis.
AB - BACKGROUND: Although balloon sinus dilation is a treatment option for adults with
chronic rhinosinusitis (CRS), there have been few studies performed in pediatric
patients. METHODS: This study was designed as a prospective, multicenter, single
arm investigation. Children (2 to 21 years old) with CRS who had failed medical
management were treated with balloon sinus dilation and followed to 6 months
postprocedure. RESULTS: Fifty children were treated at 4 centers; 33 participants
were 2 to 12 years old (mean +/- standard deviation age: 6.6 +/- 2.2 years) and
17 participants were >12 to 21 years (mean age: 15.7 +/- 2.5 years). A total of
157 sinus dilations were attempted (98 maxillary, 30 frontal, and 29 sphenoid
sinuses) and all were successful with no complications. Significant improvement
in the Sinus and Nasal Quality of Life Survey (SN-5) was seen for all children
between baseline and 6 months (4.6 +/- 1.2 vs 1.7 +/- 0.8; p < 0.0001) and 92%
improved by a minimal clinically important difference (MCID) of 1.0 or more.
Those children aged 2 to 12 years with standalone balloon dilation also showed
significant SN-5 improvements between baseline and follow-up (4.5 +/- 1.0 vs 1.9
+/- 0.8; p < 0.0001). Multivariate regression analysis showed no differences or
associations of SN-5 improvement at 6 months with the presence of allergy,
asthma, or concomitant procedures. For adolescents, overall 22-item Sino-Nasal
Outcome Test (SNOT-22) mean scores were also significantly improved at 6 months
(42.2 +/- 19.2 vs 10.4 +/- 9.7; p < 0.0001). CONCLUSION: Balloon sinus dilation
is safe and appears effective for children with CRS aged 2 years and older.
PMID- 27888653
TI - Simultaneous Intrusion and Distalization Using Miniscrews in the Maxillary
Tuberosity.
PMID- 27888654
TI - Assessing the Accuracy of Indirect Bonding with 3D Scanning Technology.
PMID- 27888655
TI - Correction of Buccolingual Inclination with an Individual Torquing Auxiliary.
PMID- 27888656
TI - Nonextraction Treatment of an Open Bite with a Preadjusted Lingual Appliance and
Intermaxillary Elastics.
PMID- 27888658
TI - A case of composite classical and nodular lymphocyte predominant Hodgkin lymphoma
with progression to diffuse large B-cell non-Hodgkin lymphoma: Diagnostic
difficulty in fine-needle aspiration cytology.
AB - A small percentage of nodular lymphocytic predominant Hodgkin lymphoma (NLPHL)
progresses to diffuse large B-cell lymphoma (DLBCL). There have also been rare
reports of gray zone lymphoma with features intermediate between classical
Hodgkin lymphoma (CHL) and DLBCL. We report a very rare case of composite
lymphoma (CHL and NLPHL) progressing to DLBCL, and highlight the diagnostic
difficulty faced during its fine-needle aspiration (FNA) cytology diagnosis. A 65
year-old woman presented with a right axillary swelling which was subjected to
FNA cytology. The routine FNA cytology diagnosis was anaplastic large cell
lymphoma (ALCL) but immunocytochemistry did not support this diagnosis
completely. The histopathological diagnosis of the excised lymph node was NLPHL
with progression to DLBCL in our hospital but in a hospital abroad where the
patient was treated, the reviewed diagnosis was CHL. The patient had a rapid
downhill course with development of terminal pleural effusion and died
approximately one year from initial diagnosis.The review of the cyto-histologic
material along with additional immunocyto/histochemical studies and the clinical
course of the disease support the diagnosis of a composite lymphoma (CHL and
NLPHL) with progression to DLBCL. It is suggested that all the three lesions were
clonally related. Diagn. Cytopathol. 2017;45:262-266. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27888659
TI - Intraoperative diagnosis of hydatid cyst of the ovary masquerading as tumor.
AB - Hydatid disease, caused by the larval stage of Echinococcus granulosus, is found
most commonly in the liver and lungs, but no organ is immune. The ovarian
involvement is often secondary to a cyst's dissemination localized in a different
site. Occasionally, the cyst enlarges, thus mimicking an ovarian tumor. Patients
with hydatid cysts at unusual locations present with atypical presentations and
pose a diagnostic dilemma. A high index of suspicion is required in order to make
a correct diagnosis pre-operatively to prevent spillage of the cyst contents
during surgery. We report a case of hydatid cyst in the ovary in a young female.
Diagn. Cytopathol. 2017;45:267-269. (c) 2016 Wiley Periodicals, Inc.
PMID- 27888657
TI - Electroconvulsive Therapy-Induced Brain Structural and Functional Changes in
Major Depressive Disorders: A Longitudinal Study.
AB - BACKGROUND This study aimed to study the brain structural and functional changes
after 8 courses of electroconvulsive therapy (ECT) on patients with major
depressive disorder (MDD). MATERIAL AND METHODS MRI scans were performed on 12
depressive patients before and after 8 courses of ECT and compared with those of
15 normal controls. Data were analyzed by voxel-based morphometry (VBM) using
SPM8 software. Functional MRI (fMRI) and regional homogeneity (ReHo) analyses
were used to assess the functional changes after ECT. RESULTS Grey matter volumes
were smaller in the right cingulate gyrus of depressive patients before ECT
compared with normal controls. After false discovery rate (FDR) correction, post
ECT grey matter volumes were increased in bilateral amygdala and hippocampus
compared with pre-ECT. Resting-state ReHo maps showed significant differences in
brain activity pre- and post-ECT. Compared with healthy controls, MDD patients
treated with 8 courses of ECT showed higher ReHo values in the bilateral frontal
lobe, bilateral parietal lobe, and right caudate nucleus. Decreased ReHo values
were observed in the right medial temporal gyrus, right superior temporal gyrus,
right cingulate gyrus, and left anterior cerebellar lobe. CONCLUSIONS Results
suggested that there were both structural and functional differences between the
brains of MDD patients and healthy controls. After ECT, both structural and
functional changes occurred, but without complete recovery to normal. ECT may
display effects through regulating other brain regions to compensate for the
original defects.
PMID- 27888660
TI - Strongyloides in cerebrospinal fluid.
PMID- 27888661
TI - Use of vimentin immunocytochemical staining for evaluation of atypical cells in
voided urine samples.
AB - BACKGROUND: Cytomorphology of exfoliated atypical reactive/repair renal tubular
cells (RRTC) can resemble atypical urothelial cells thus suggesting a
differential diagnostic question of urothelial neoplasia in urinary cytology.
Vimentin expression has been shown in RRTC and used for differentiation from
atypical urothelial cells. METHODS: The institutional computer database was
searched for urinary cytology cases with vimentin immunocytochemical staining
(2008-2012). Original cytopathological diagnoses based on cytomorphology and the
results of vimentin immunostaining were compared to follow-up data, including
histopathological diagnosis, subsequent urinary cytopathology reports, and
clinical findings. RESULTS: Of the 42 cases with vimentin immunocytochemical
staining, 33 were positive and 9 negative. Consequently, significant renal
disease was found in 9/33 (27%) of vimentin positive cases and nehrolithiasis in
4/33 (12%) of vimentin positive and 1/9 (11%) of vimentin negative cases.
Erythrocyturia of undetermined origin was diagnosed in nine cases (seven vimentin
positive and two negative). Urinary cytology follow-up was negative in three
vimentin positive cases. Urothelial carcinoma was found in 3/9 (30%) of vimentin
negative cases. Thirteen patients were lost to follow-up. CONCLUSIONS: Vimentin
immunocytochemical staining could be used as an ancillary method for evaluation
of atypical cells in urinary specimens in selected cases with RRTC exhibiting
cytological atypia. Unnecessary diagnostic procedures for evaluation of
urothelial carcinoma could be avoided in vimentin positive cases and further
diagnostic work-up for evaluation of a significant renal disease could be
suggested in vimentin positive cases. Diagn. Cytopathol. 2017;45:85-90. (c) 2016
Wiley Periodicals, Inc.
PMID- 27888663
TI - Effect of structural carbohydrates and lignin content on the anaerobic digestion
of paper and paper board materials by anaerobic granular sludge.
AB - Anaerobic digestion (AD) of lignocellulosic materials is commonly limited by the
hydrolysis step. Unlike unprocessed lignocellulosic materials, paper and paper
board (PPB) are processed for their fabrication. Such modifications may affect
their methane yields and methane production rates. Previous studies have
investigated the correlation between lignin and biomethane yields of unprocessed
lignocellulosic materials; nevertheless, there is limited knowledge regarding the
relationship between the AD kinetic parameters and composition of PPB. This study
evaluated correlations of methane yields and Monod and Gompertz kinetic
parameters with structural carbohydrates, lignin, and ash concentration of five
types of PPBs. All components were used as single and combined independent
variables in linear regressions to predict methane yield, maximum specific
methanogenic activity (SMAmax ), saturation constant (Ks ), and lag phase
(lambda). Additionally, microbial community profiles were obtained for each PPB
assay. Results showed methane yields ranging from 69.2 +/- 8.61 to 97.2 +/- 2.29%
of PPB substrates provided. The highest correlation coefficients were obtained
for SMAmax as function of hemicellulose/(lignin + ash) (R2 = 0.86) and for
lambda as a function of lignin + cellulose (R2 = 0.85). All other parameters
exhibited weaker correlations (R2 <= 0.77). Relative abundance analyses revealed
no major changes in the community profile for each of the substrates evaluated.
The overall findings of this study are: (i) combinations of structural
carbohydrates, lignin, and ash used as ratios of degradable to either non
degradable or slowly degradable fractions predict AD kinetic parameters of PPB
materials better than single independent variables; and (ii) other components
added during their fabrication may also influence both methane yield and kinetic
parameters. Biotechnol. Bioeng. 2017;114: 951-960. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27888662
TI - Toward high solids loading process for lignocellulosic biofuel production at a
low cost.
AB - High solids loadings (>18 wt%) in enzymatic hydrolysis and fermentation are
desired for lignocellulosic biofuel production at a high titer and low cost.
However, sugar conversion and ethanol yield decrease with increasing solids
loading. The factor(s) limiting sugar conversion at high solids loading is not
clearly understood. In the present study, we investigated the effect of solids
loading on simultaneous saccharification and co-fermentation (SSCF) of AFEXTM
(ammonia fiber expansion) pretreated corn stover for ethanol production using a
xylose fermenting strain Saccharomyces cerevisiae 424A(LNH-ST). Decreased sugar
conversion and ethanol yield with increasing solids loading were also observed.
End-product (ethanol) was proven to be the major cause of this issue and
increased degradation products with increasing solids loading was also a cause.
For the first time, we show that with in situ removal of end-product by
performing SSCF aerobically, sugar conversion stopped decreasing with increasing
solids loading and monomeric sugar conversion reached as high as 93% at a high
solids loading of 24.9 wt%. Techno-economic analysis was employed to explore the
economic possibilities of cellulosic ethanol production at high solids loadings.
The results suggest that low-cost in situ removal of ethanol during SSCF would
significantly improve the economics of high solids loading processes. Biotechnol.
Bioeng. 2017;114: 980-989. (c) 2016 Wiley Periodicals, Inc.
PMID- 27888664
TI - EBV-associated but HHV8-unrelated double-hit effusion-based lymphoma.
AB - Effusion-based lymphoma is a rare and unique type of large B-cell lymphoma
presenting in effusion without a mass lesion. It shares many clinicopathological
features with primary effusion lymphoma (PEL), but is distinct from PEL by the
absence of HHV8 association. Double hit lymphoma (DHL) is an aggressive B-cell
lymphoma, defined by concurrent rearrangement of MYC and BCL2 or BCL6. DHL often
presents as lymphadenopathy or an extranodal mass, but rarely occurs in effusion.
Here we report a 61-year-old male with alcoholic cirrhosis presenting as massive
ascites and left pleural effusion. He has no HIV, HBV or HCV infection and no
mass lesion by CT scans. Cytology of both pleural effusion and ascites show large
lymphoma cells with plasmablastic morphology characterized by pleomorphic and
eccentric nuclei, prominent nucleoli and frequent mitoses. Immunohistochemical
study with cell block shows that the lymphoma cells express plasma cell-related
markers (CD138, MUM-1 and EMA), but not CD3, CD30, CD45, B-cell markers (CD19,
CD20, CD79a, and PAX5), HHV8, ALK or cytokeratin. EBER is positive in most
lymphoma cells. Fluorescence in situ hybridization reveals rearrangement at the
IGH, BCL2, and MYC loci, but not at BCL6. It is diagnosed as an EBV-associated
but HHV8-unrelated double hit effusion-based lymphoma with plasmablastic
features. The patient passed away soon after diagnosis without chemotherapy. This
is the first reported case of double-hit effusion-based lymphoma with MYC and
BCL2 rearrangement. This case illustrates the importance of integrating clinical,
cytological, immunophenotypical, and molecular findings to reach a correct
diagnosis. Diagn. Cytopathol. 2017;45:257-261. (c) 2016 Wiley Periodicals, Inc.
PMID- 27888665
TI - Bronchiolitis and asthma: the next step.
PMID- 27888666
TI - Corrigendum to "Genetics, morphology and ecology reveal a cryptic pika lineage in
the Sikkim Himalaya" [Mol. Phylogenet. Evol. 106 (2017) 55-60].
PMID- 27888668
TI - Inflammatory Bowel Disease: A Global Disease That Needs a Broader Ensemble of
Populations.
PMID- 27888667
TI - Genetic Optimization of Liver Cancer Therapy: A Patient-Derived Primary Cancer
Cell-Based Model.
PMID- 27888669
TI - A Curmudgeon Reflects on Half a Century of Gastrointestinal Pathology.
PMID- 27888670
TI - Endoscopic Findings in Eosinophilic Esophagitis and Esophageal Distensibility: A
Proxy for Transmural Modeling?
PMID- 27888671
TI - Sclerosing Polycystic Adenosis of the Parotid Gland: A Systematic Review and
Report of 2 New Cases.
AB - PURPOSE: We sought to evaluate clinical-epidemiologic aspects, pathologic
characteristics, and treatment of sclerosing polycystic adenosis (SPA) of the
parotid gland and to report 2 new cases. MATERIALS AND METHODS: We conducted a
systematic review following PRISMA (Preferred Reporting Items for Systematic
Reviews and Meta-Analyses) guidelines for studies published in or before June
2016. The inclusion criteria were as follows: final diagnosis of SPA affecting
the parotid gland and articles published in Spanish or English. The exclusion
criteria were as follows: unclear diagnosis after pathologic analysis and
patients affected by other conditions in the major salivary glands. We added 2
new cases. RESULTS: Our review ultimately included 21 articles and 45 cases. The
mean patient age was 41 years (range, 7-84 years). The female-to-male ratio was
2:1. In all patients the tumor showed progressive growth. The evolution range was
7 days to 11 years. In 17.7% of cases, the tumor was associated with pain. The
average tumor size was 30.3 mm (range, 12-60 mm). Fine needle aspiration biopsy
(FNAB) was unable to diagnose 30 cases. Benign tumors comprised 58% of tumors
(18), whereas malignancy was present in 25.8% (8). Thirty-five tumors were well
circumscribed. There were 8 multinodular or lobed cases. The tumor was described
as encapsulated in 1 case, partially encapsulated or pseudo-encapsulated in 16,
and not encapsulated in 12. Five cases showed different degrees of degenerative
changes, ranging from dysplasia to invasive carcinoma. All cases underwent
surgical treatment. Superficial parotidectomy with preservation of the facial
nerve was performed in 22 cases (61.1%), total parotidectomy in 8 (22.2%), and
tumor enucleation in 6 (16.6%). The mean follow-up period was 51.5 months (range,
5-276 months). Of documented cases, 74.2% had 2 or more years of follow-up.
Recurrences occurred in 6 cases (16.6%). CONCLUSIONS: We consider SPA a benign
tumor with progressive growth, which is occasionally painful. It occurs around
age 40 years and occurs more often in female patients. Fine needle aspiration
biopsy does not provide an adequate preoperative diagnosis. Recurrences are
infrequent. Follow-up should be customized according to the pathologic findings.
Malignant transformation may occur. Superficial parotidectomy with facial nerve
preservation is the treatment of choice.
PMID- 27888672
TI - Incidence of coronary intervention in cardiac arrest survivors with non-shockable
initial rhythms and no evidence of ST-elevation MI (STEMI).
AB - OBJECTIVE: With the demonstrated benefit of an early-invasive strategy for STEMI
and VF/VT arrest patients, there is interest in assessing the potential benefit
of early angiography for non-shockable (PEA/Asystole) arrest patients. We
hypothesized that in cardiac arrest patients who obtain return of spontaneous
circulation (ROSC) after a non-shockable initial rhythm and do not have STEMI the
incidence of coronary intervention would be clinically insignificant (<5%).
METHODS: Retrospective multicenter US clinical registry study of post-cardiac
arrest patients at 18 hospitals between 1/00 and 5/14. The incidence of
significant coronary artery disease (CAD) as defined by documented coronary
intervention (i.e. PCI, angioplasty, stent or CABG) was assessed. RESULTS: There
were 1396 arrest patients with ROSC and known initial rhythms (517/1396=37%
shockable; 879/1396=63% nonshockable). 440 (299/440=58% shockable; 141/440=32%
nonshockable) of these patients received angiography. In the 141 non-shockable
patients that received angiography, 97 patients did not have STEMI listed as an
indication for catheterization and 24 (25%) of those had a coronary intervention
documented yielding an observed incidence of coronary intervention in non
shockable post-arrest patients without STEMI who received angiography of 24.7%
(24/97). Of note, the overall incidence of coronary intervention in all ROSC
patients with non-shockable initial rhythms was 5.5% (48/879). CONCLUSIONS: In
this large multi-center retrospective analysis there is a high incidence of
coronary intervention in post-arrest patients with initially non-shockable
rhythms and without STEMI on ECG who are taken for angiography.
PMID- 27888673
TI - Clinical correlates of pp65 antigenemia monitoring in the first months of post
kidney transplant in patients undergoing universal prophylaxis or preemptive
therapy.
AB - INTRODUCTION: Human cytomegalovirus is a major cause of morbidity in kidney
transplant patients. OBJECTIVES: We aimed to study viral replication and
serological response in the first months post kidney transplant in patients
undergoing universal prophylaxis or preemptive therapy and correlate the findings
with the clinical course of Human cytomegalovirus infection. PATIENTS AND
METHODS: Independent from the clinical strategy adopted for managing Human
cytomegalovirus infection, prophylaxis versus preemptive therapy, the pp65
antigenemia assay and serological response were assessed on the day of
transplantation, and then weekly during the first three months of post
transplant. RESULTS: From the 32 transplant recipients, 16 were positive for pp65
antigenemia, with a similar incidence rate in each group. There were no positive
results in the first three weeks of monitoring; the positivity rate peaked at
week eight. There was a trend for a higher and earlier frequency of positivity in
the universal prophylaxis group in which the course of the Human cytomegalovirus
infection was also more severe. Despite the differences in clinical picture and
in the initial immunosuppressant schedule, the serological response was similar
in both groups. CONCLUSION: Routine monitoring during the first three post
transplant months has a positive impact on the early detection of Human
cytomegalovirus viral replication allowing for timely treatment in order to
reduce morbidity of the disease. The strategy of universal therapy employing
intravenous ganciclovir was associated to a worse clinical course of the Human
cytomegalovirus infection suggesting that the use of >10 cells/2*105 leukocytes
as a cut-off in this setting may be inappropriate.
PMID- 27888674
TI - qPCR detection of Mycobacterium leprae in biopsies and slit skin smear of
different leprosy clinical forms.
AB - Leprosy, whose etiological agent is Mycobacterium leprae, is a chronic infectious
disease that mainly affects the skin and peripheral nervous system. The diagnosis
of leprosy is based on clinical evaluation, whereas histopathological analysis
and bacilloscopy are complementary diagnostic tools. Quantitative PCR (qPCR), a
current useful tool for diagnosis of infectious diseases, has been used to detect
several pathogens including Mycobacterium leprae. The validation of this
technique in a robust set of samples comprising the different clinical forms of
leprosy is still necessary. Thus, in this study samples from 126 skin biopsies
(collected from patients on all clinical forms and reactional states of leprosy)
and 25 slit skin smear of leprosy patients were comparatively analyzed by qPCR
(performed with primers for the RLEP region of M. leprae DNA) and routine
bacilloscopy performed in histological sections or in slit skin smear.
Considering clinical diagnostic as the gold standard, 84.9% of the leprosy
patients were qPCR positive in skin biopsies, resulting in 84.92% sensitivity,
with 84.92 and 61.22% positive (PPV) and negative (NPV) predictive values,
respectively. Concerning bacilloscopy of histological sections (BI/H), the
sensitivity was 80.15% and the PPV and NPV were 80.15 and 44.44%, respectively.
The concordance between qPCR and BI/H was 87.30%. Regarding the slit skin smear,
84% of the samples tested positive in the qPCR. Additionally, qPCR showed 100%
specificity, since all samples from different mycobacteria, from healthy
individuals, and from other granulomatous diseases presented negative results. In
conclusion, the qPCR technique for detection of M. leprae using RLEP primers
proved to be specific and sensitive, and qPCR can be used as a complementary test
to diagnose leprosy irrespective of the clinical form of disease.
PMID- 27888675
TI - The antimicrobial peptide snakin-2 is upregulated in the defense response of
tomatoes (Solanum lycopersicum) as part of the jasmonate-dependent signaling
pathway.
AB - Antimicrobial peptides (AMPs) are produced by all living organisms and play an
important role in innate immunity because they are readily available and non
specific against invading pathogenic microorganisms. Snakin-2 (SN2) from tomato
is a short, cationic peptide that forms lethal pores in biomembranes of microbes.
In plant cells, SN2 is produced as a prepeptide with a signal sequence for ER
targeting and an acidic region to decrease toxicity in the producing organism.
Gene expression analysis by qRT-PCR in tomato plants demonstrated that SN2 is
constitutively expressed, mostly in leaves and flowers. After fungal infection,
wounding, or external application of phytohormones (such as methyl jasmonate,
MeJa) operating in the JA-dependent defense response, a systemic reaction with an
elevated expression of the SN2 gene is triggered in all parts of tomato plants.
Abiotic stress factors like extreme temperatures or dehydration do not affect SN2
expression. Upon wounding, the expression of SN2 and LoxD are strongly enhanced
in tomato fruits. Furthermore, we provide evidence that the protein level of
bioactive SN2 is also increased upon application of methyl jasmonate in tomato
seedlings.
PMID- 27888676
TI - Revision surgery for cervical artificial disc: Surgical technique and clinical
results.
AB - OBJECTIVE: Cervical artificial disc replacement (C-ADR) was developed with the
goal of preserving mobility of the cervical segment in patients with degenerative
disc disease. So far, little is known about experiences with revision surgery and
explantation of C-ADRs. Here, we report our experience with revision the third
generation, Galileo-type disc prosthesis from a retrospective study of two
institutions. PATIENTS AND METHODS: Between November 2008 and July 2016, 16
patients with prior implantation of C-ADR underwent removal of the Galileo-type
disc prosthesis (Signus, Medizintechnik, Germany) due to a call back by industry.
In 10 patients C-ADR was replaced with an alternative prosthesis, 6 patients
received an ACDF. Duration of surgery, time to revision, surgical procedure,
complication rate, neurological status, histological findings and outcome were
examined in two institutions. RESULTS: The C-ADR was successfully revised in all
patients. Surgery was performed through the same anterior approach as the initial
access. Duration of the procedure varied between 43 and 80min. Access-related
complications included irritation of the recurrent nerve in one patient and mal
positioning of the C-ADR in another patient. Follow up revealed two patients with
permanent mild/moderate neurologic deficits, NDI (neck disability index) ranged
between 10 and 42%. CONCLUSIONS: Anterior exposure of the cervical spine for
explantation and revision of C-ADR performed through the initial approach has an
overall complication rate of 18.75%. Replacements of the Galileo-type disc
prosthesis with an alternative prosthesis or conversion to ACDF are both suitable
surgical options without significant difference in outcome.
PMID- 27888677
TI - Assessing positive emotional memories with peers: The Early Memories of Warmth
and Safeness with Peers Scale for adolescents.
AB - Research on the association between early positive relational experiences and
later psychosocial adjustment is growing. The quality of peer relationships may
have a particularly important effect on adolescents' wellbeing and mental health.
The current study aimed at examining a measure of personal emotional memories of
peer relationships characterized by warmth, safeness and affection, which
occurred in childhood and adolescence (EMWSSPeers-A). Distinct samples (N = 584)
of adolescents aged between 12 and 18 were used to assess the EMWSSPeers-A'
factorial structure through a Principal Component Analysis and a Confirmatory
Factor Analysis, and to analyse the scale's psychometric properties. Results
indicated a one-dimensional structure with 12 items with very good internal
consistency, and construct, convergent, divergent and incremental validities. By
allowing the examination of the role played by memories of positive peer
relationships on adolescents' psychological adjustment, the EMWSSPeers-A may be
potentially useful for future model testing and for the assessment of
interventions.
PMID- 27888678
TI - Cerebral cortical neuron diversity and development at single-cell resolution.
AB - Over a century of efforts to categorize the astonishing diversity of cortical
neurons has relied on criteria of morphology, electrophysiology, ontology, and
the expression of a few transcripts and proteins. The rapid development of single
cell RNA sequencing (scRNA-seq) adds genome-wide gene expression patterns to this
list of criteria, and promises to reveal new insights into the transitions that
establish neuronal identity during development, differentiation, activity, and
disease. Comparing single neuron data to reference atlases constructed from
hundreds of thousands of single-cell transcriptomes will be critical to
understanding these transitions and the molecular mechanisms that drive them. We
review early efforts, and discuss future challenges and opportunities, in
applying scRNA-seq to the elucidation of neuronal subtypes and their development.
PMID- 27888679
TI - Continuing rise in oropharyngeal cancer in a high HPV prevalence area: A Danish
population-based study from 2011 to 2014.
AB - BACKGROUND: Human papillomavirus (HPV) is a critical element in the rising
incidence of oropharyngeal squamous cell carcinoma (OPSCC), although whether this
trend will continue, and the types of HPV responsible, are currently unknown. We
previously demonstrated an increased incidence of HPV-related OPSCC in the high
HPV prevalence area of Eastern Denmark from 2000 to 2010. Therefore, we
investigated if the incidence for OPSCC continued to rise, the association to HPV
and putative HPV-types in Eastern Denmark from 2011 to 14. We then projected the
expected incidence of OPSCC versus cervical cancer through to 2020. PATIENTS AND
METHODS: Patients with OPSCC (tonsillar squamous cell carcinoma [TSCC] and base
of tongue squamous cell carcinoma [BSCC]) were identified via the Danish Head and
Neck Cancer Group and the Danish Pathology Databank (n = 700). Tumours were re
reviewed and assessed using p16 immunohistochemistry, HPV DNA polymerase chain
reaction (PCR), with genotyping by next generation sequencing. RESULTS: Sixty-two
percent (432/700) of tumours were HPV-positive (HPV+). The total incidence rate
(per 100.000) for OPSCC increased from 4.0 in 2011 to 4.5 in 2014, primarily due
to a rise in HPV+ TSCCs and HPV+ BSCCs, although numbers of HPV-negative (HPV-)
OPSCC also increased during the study period. The majority of HPV+ tumours were
HPV16 DNA positive (86%), but we also identified HPV33 DNA (6%), HPV35 DNA (4%)
and others (3%), including HPV18, 26, 31, 45, 56, 58, 59 and HPV67. CONCLUSION:
An increasing incidence of OPSCC is driven primarily by HPV+ OPSCC. Sixty-two
percent of tumours were HPV+, which is a high-prevalence, although the lower
number of HPV- cases has yet to stabilise. HPV16 was the predominant genotype,
although a significant proportion (14%) was of another genotype. Our projections
suggest that the number of HPV+ OPSCC will exceed that of cervical cancer in 2016
in Eastern Denmark.
PMID- 27888680
TI - Symptomatic and functional outcomes of substance use disorder persistence 2 years
after admission to a first-episode psychosis program.
AB - Substance use disorders (SUD) in first-episode psychosis (FEP) are highly
prevalent and linked with poor outcomes. However, most longitudinal studies
investigating their impacts in FEP have not reported proportions of patients who
ceased SUD. Our aim was to examine the influence of SUD course on functional and
symptomatic outcomes as well as service use in FEP. We performed a 2-year
longitudinal study of 212 FEP patients, aged between 18 and 30 years, admitted to
2 early psychosis services in Montreal, Quebec, Canada. We observed that cannabis
was the first substance abused (42.9% at baseline), followed by alcohol (19.3%).
The SUD rate decreased by approximately 30% during the first year. Patients with
persistent SUD had worse functional outcomes (Quality of Life Scale, Social and
Occupational Functioning Assessment Scale, employment), more symptoms (Positive
and Negative Symptoms Scale) and heavier service use (emergency and
hospitalization). SUD persistence was associated with illness severity,
homelessness and cluster-B personality. Those living with their parents and
financially supported by them were more likely to cease SUD. Our results indicate
that SUD course was more significant than having SUD at admission; persistent SUD
was associated with worse outcomes. SUD decreased during a general early
psychosis intervention program (with no specialized SUD treatment). An
integrated, specialized approach targeting FEP patients with predictive factors
of SUD persistence during the first years of treatment might increase SUD
cessation and possibly improve outcomes.
PMID- 27888681
TI - The buffer role of meaning in life in hopelessness in women with borderline
personality disorders.
AB - Meaning in life has been found to be a protective factor against suicidal
ideation. The aim of this study was to investigate whether meaning in life can
moderate and buffer the association between suicide risk factors and hopelessness
in women with borderline personality disorders. One hundred twenty-four women
diagnosed with borderline personality disorder completed self-report measures of
suicide risk factors, hopelessness, and meaning in life. The main result from
this study was that meaning in life moderated the association between suicide
risk factors and hopelessness. Meaning in life is an important variable in the
prevention and treatment of risk of suicide in women with borderline personality
disorder.
PMID- 27888682
TI - Affective temperaments, as measured by TEMPS-A, among self-poisoning nonlethal
suicide attempters.
AB - Suicidal behaviors are serious public health problems. The prominent association
of mood disorders with suicide, along with the renaissance of the spectrum
concept of psychiatric disorders in the recent decades, prioritizes the
investigation of temperament variants in suicidal individuals. This study aimed
to explore the relationship between affective temperaments and nonviolent suicide
attempts. We administered Temperament Evaluation of the Memphis, Pisa, Paris, and
San Diego Auto questionnaire (TEMPS-A) to 141 (27 males and 114 females)
consecutive self-poisoning non-lethal suicide attempters at a Medical Toxicology
Center and a sex and age matched group of healthy individuals (28 males, 112
females). Female suicide attempters scored significantly higher in depressive,
cyclothymic, irritable, and anxious temperaments compared with female controls,
whereas male cases' scores were significantly higher in depressive and anxious
subscales compared with control males. Except for hyperthymic temperament which
did not reveal any significant effects, depressive (OR: 11.5), cyclothymic (OR:
3.8), irritable (OR: 2.3), and anxious (OR: 8.8) temperaments were predictors for
nonviolent suicide attempts. Therefore, this study replicated the evidence for
the strong association of depressive temperament with suicide attempts. The
hyperthymic temperament appeared to have neither protective nor predisposing
influence. Further studies are needed to identify the role of this independent
temperament.
PMID- 27888684
TI - Ultrasensitive non enzymatic multiple immunosensor for tumor markers detection by
coupling DNA hybridization chain reaction with intercalated molecules.
AB - In this study, we tried coupling the small signal molecules that could
intercalate into DNA double helix with hybridization chain reaction (HCR)
technique to fabricate a multiple immunosensor. Doxorubicin hydrochloride (DXH)
and methylene blue (MB) were used as signal molecules and alpha-fetoprotein (AFP)
and carcinoembryonic antigen (CEA) were selected as model biomarkers. The
immunosensor mainly consists of three parts as follows: First, two different
primary antibodies (Ab1) immobilized on the surface of gold nanoparticles (Au
NPs); Second, secondary antibodies (Ab2) conjugated with DNA primer; Third, long
DNA concatemers from HCR were used as a carrier to intercalate amounts of signal
molecules (DXH or MB). A sandwich immunocomplex was formed among primary
antibodies, target biomarkers and secondary antibodies conjugated with DNA primer
via specific recognition reaction. Afterwards, DNA concatemers intercalating
amounts of DXH or MB were linked to DNA primer via DNA hybridization. Square wave
voltammetry (SWV) was employed to record the response signals from electroactive
molecules DXH and MB, and two distinguishable signals were obtained, which peak
potentials were at about -0.30V (corresponding to MB) and -0.70V (corresponding
to DXH, both vs SCE), respectively. The signal intensities of MB and DXH were
linearly related to the logarithm of biomarkers concentration in the range of
0.05pgmL-1-25ngmL-1, and the limit of detection were 0.03pgmL-1 for CEA and
0.02pgmL-1 for AFP (at S/N=3), respectively. Furthermore, the immunosensor
exhibited a sensitive electrochemical response to biomarkers in human serum
samples and the results obtained were in accordance with reference method,
indicating the immunosensor can be applied to real sample analysis in clinic
diagnosis.
PMID- 27888685
TI - Label-free piezoelectric biosensor for prognosis and diagnosis of Systemic Lupus
Erythematosus.
AB - An autoantigen piezoelectric sensor to quantify specific circulating
autoantibodies in human serum is developed. The sensor consisted on a quartz
crystal microbalance with dissipation monitoring (QCM-D) where TRIM21 and TROVE2
autoantigens were covalently immobilized, allowing the selective determination of
autoantibodies for diagnosis and prognosis of Systemic Lupus Erythematosus (SLE).
The sensitivity of the biosensor, measured as IC50 value, was 1.51U/mL and
0.32U/mL, for anti-TRIM21 and anti-TROVE2 circulating autoantibodies,
respectively. The sensor is also able to establish a structural interaction
fingerprint pattern or profile of circulating autoantibodies, what allows scoring
accurately SLE patients. Furthermore, a statistical association of global disease
activity with TRIM21-TROVE2 interaction was found (n=130 lupic patient samples, p
value=0.0413). The performances of the biosensor were compared with standard
ELISA and multiplex DVD-array high-throughput screening assays, corroborating the
viability of piezoelectric biosensor as a cost-effective in vitro assay for the
early detection, monitoring or treatment of rare diseases.
PMID- 27888686
TI - Integration of isothermal amplification methods in microfluidic devices: Recent
advances.
AB - The integration of nucleic acids detection assays in microfluidic devices
represents a highly promising approach for the development of convenient, cheap
and efficient diagnostic tools for clinical, food safety and environmental
monitoring applications. Such tools are expected to operate at the point-of-care
and in resource-limited settings. The amplification of the target nucleic acid
sequence represents a key step for the development of sensitive detection
protocols. The integration in microfluidic devices of the most popular technology
for nucleic acids amplifications, polymerase chain reaction (PCR), is
significantly limited by the thermal cycling needed to obtain the target sequence
amplification. This review provides an overview of recent advances in integration
of isothermal amplification methods in microfluidic devices. Isothermal methods,
that operate at constant temperature, have emerged as promising alternative to
PCR and greatly simplify the implementation of amplification methods in point-of
care diagnostic devices and devices to be used in resource-limited settings.
Possibilities offered by isothermal methods for digital droplet amplification are
discussed.
PMID- 27888683
TI - Lifetime antipsychotic medication and cognitive performance in schizophrenia at
age 43 years in a general population birth cohort.
AB - This naturalistic study analysed the association between cumulative lifetime
antipsychotic dose and cognition in schizophrenia after an average of 16.5 years
of illness. Sixty participants with schizophrenia and 191 controls from the
Northern Finland Birth Cohort 1966 were assessed at age 43 years with a
neurocognitive test battery. Cumulative lifetime antipsychotic dose-years were
collected from medical records and interviews. The association between
antipsychotic dose-years and a cognitive composite score based on principal
component analysis was analysed using linear regression. Higher lifetime
antipsychotic dose-years were significantly associated with poorer cognitive
composite score, when adjusted for gender, onset age and lifetime hospital
treatment days. The effects of typical and atypical antipsychotics did not
differ. This is the first report of an association between cumulative lifetime
antipsychotic dose and global cognition in midlife schizophrenia. Based on these
data, higher lifetime antipsychotic dose-years may be associated with poorer
cognitive performance at age 43 years. Potential biases related to the
naturalistic design may partly explain the results; nonetheless, it is possible
that large antipsychotic doses harm cognition in schizophrenia in the long-term.
PMID- 27888687
TI - Accurate quantitation of choline and ethanolamine plasmalogen molecular species
in human plasma by liquid chromatography-tandem mass spectrometry.
AB - Concentration of both choline plasmalogen (PC-Pls) and ethanolamine Pls (PE-Pls)
in human plasma/serum has been getting attention to, since certain patients
including those with neurodegenerative disorders, have been reported to exhibit
reduced levels of specific Pls species. However, despite using liquid
chromatography-tandem mass spectrometry (LC-MS/MS), accurate quantitation of Pls
is still difficult because of less product ion from PC-Pls and quantitative
issues (e.g., extraction recoveries and matrix effects). The present study aimed
to develop a method for accurate identification and quantitation of Pls molecular
species using LC-MS/MS operated in the multiple reaction monitoring mode. The LC
MS/MS conditions in the presence of sodium, and the extraction method using
methanol protein precipitation were optimized. Under the optimal condition, Pls
was detected at femtomole levels. The recoveries of Pls from human plasma were
nearly 100%, and matrix effects were not observed. The novel method enabled
determination of each Pls species in human plasma at the concentrations of 0.5
13.6MUM. Then the PC-Pls and PE-Pls species in the plasma of both healthy
subjects and patients with Alzheimer's disease were quantitated. The method
developed herein represents a powerful tool for analyzing Pls, which may provide
a better understanding of their physiological roles in vivo.
PMID- 27888689
TI - Negotiating and valuing spaces: The discourse of space and 'home' in care homes.
AB - This paper examines how space in care homes is experienced and negotiated by
people who live and work in them. The analysis of qualitative data of five in
depth case studies of care homes in England revealed three key ways in which
space is negotiated: a) the way in which values affect interactions inside versus
outside the care home environment, b) the negotiation of boundaries and domains
within the homes, and c) the sense of being at 'home'. The paper illuminates how
the design of the buildings and organisational factors can reinforce or bridge
dichotomies between inside and outside spaces. Residents' abilities to re
negotiate boundaries, domains and communal spaces within homes are shown to be
affected by organisational factors such as priorities of staff members. Despite
'home' being a common discourse, the spaces within care homes were often
organised, ordered and experienced as two distinct, co-present worlds: the
dwelling place of residents and the workplace of staff.
PMID- 27888690
TI - Exploring socioeconomic differences in syntactic development through the lens of
real-time processing.
AB - Differences in caregiver input across socioeconomic status (SES) predict
syntactic development, but the mechanisms are not well understood. Input effects
may reflect the exposure needed to acquire syntactic representations during
learning (e.g., does the child have the relevant structures for passive
sentences?) or access this knowledge during communication (e.g., can she use the
past participle to infer the meaning of passives?). Using an eye-tracking and act
out paradigm, the current study distinguishes these mechanisms by comparing the
interpretation of actives and passives in 3- to 7-year-olds (n=129) from varying
SES backgrounds. During the presentation of spoken sentences, fixations revealed
robust disambiguation of constructions by children from higher-SES backgrounds,
but less sensitivity by lower-SES counterparts. After sentence presentation,
decreased sensitivity generated interpretive challenges and average SES-related
differences for passives requiring syntactic revision ("The seal is quickly eaten
by it"). Critically, no differences were found when revision was not needed ("It
is quickly eaten by the seal"). These results suggest that all children shared an
ability to acquire passives, but SES-related differences in real-time processing
can impact the accuracy of utterance interpretation.
PMID- 27888688
TI - An oral HemokineTM, alpha-methylhydrocinnamate, enhances myeloid and neutrophil
recovery following irradiation in vivo.
AB - An oral therapeutic which reduces duration of cytopenias and is active following
accidental radiation exposures is an unmet need in radiation countermeasures.
Alpha methylhydrocinnamate (ST7) prolongs STAT-5 phosphorylation, reduces growth
factor dependency of multi-lineage cell lines, and stimulates erythropoiesis.
Here, ST7 and its isomers were studied for their effects on myeloid progenitors
and hematopoietic stem cells (HSCs) following radiation, in nonhuman primates,
and murine irradiation models. Addition of ST7 or ST7-S increased CFU-GM
production by 1.7-fold (p<0.001), reduced neutrophil apoptosis comparable to G
CSF, and enhanced HSC survival post-radiation by 2-fold, (p=0.028). ST7 and ST7-S
administered in normal baboons increased ANC and platelet counts by 50-400%. In
sub-lethally-irradiated mice, ANC nadir remained >200/mm3 and neutropenia
recovered in 6days with ST7 treatment and 18days in controls (p<0.05). In
lethally-irradiated mice, marrow pathology at 15days was hypocellular (10%
cellularity) in controls, but normal (55-75% cellularity) with complete
neutrophil maturation with ST7-S treatment. Following lethal irradiation, ST7,
given orally for 4days, reduced mortality, with 30% survival in ST7-animals vs 8%
in controls, (p<0.05). Collectively, the studies indicate that ST7 and ST7-S
enhance myeloid recovery post-radiation and merit further evaluation to
accelerate hematologic recovery in conditions of radiation-related and other
marrow hypoplasias.
PMID- 27888693
TI - Children's judgments about ownership rights and body rights: Evidence for a
common basis.
AB - We report two experiments supporting the theory that children's understanding of
ownership rights is related to their notions of body rights. Experiment 1
investigated 4- to 7-year-olds' (N=123) developing sensitivity to physical
contact in their judgments about the acceptability of behaving in relation to
owned objects and body parts. Experiment 2 used a simpler design to investigate
this in 3- and 4-year-olds (N=112). Findings confirmed two predictions of the
theory. First, in both experiments, children's judgments about ownership and body
rights were similarly affected by physical contact. Second, judgments about both
kinds of rights were yoked in development; age-related changes in judgments about
ownership rights were paralleled by changes in judgments about body rights. Our
findings have additional import for theories of ownership rights because they
suggest that physical contact may be a crucial factor in whether behaviors
targeting property are judged to be permissible.
PMID- 27888692
TI - Mitochondrial GSH replenishment as a potential therapeutic approach for Niemann
Pick type C disease.
AB - Niemann Pick type C (NPC) disease is a progressive lysosomal storage disorder
caused by mutations in genes encoding NPC1/NPC2 proteins, characterized by
neurological defects, hepatosplenomegaly and premature death. While the primary
biochemical feature of NPC disease is the intracellular accumulation of
cholesterol and gangliosides, predominantly in endolysosomes, mitochondrial
cholesterol accumulation has also been reported. As accumulation of cholesterol
in mitochondria is known to impair the transport of GSH into mitochondria,
resulting in mitochondrial GSH (mGSH) depletion, we investigated the impact of
mGSH recovery in NPC disease. We show that GSH ethyl ester (GSH-EE), but not N
acetylcysteine (NAC), restored the mGSH pool in liver and brain of Npc1-/- mice
and in fibroblasts from NPC patients, while both GSH-EE and NAC increased total
GSH levels. GSH-EE but not NAC increased the median survival and maximal life
span of Npc1-/- mice. Moreover, intraperitoneal therapy with GSH-EE protected
against oxidative stress and oxidant-induced cell death, restored calbindin
levels in cerebellar Purkinje cells and reversed locomotor impairment in Npc1-/-
mice. High-resolution respirometry analyses revealed that GSH-EE improved
oxidative phosphorylation, coupled respiration and maximal electron transfer in
cerebellum of Npc1-/- mice. Lipidomic analyses showed that GSH-EE treatment had
not effect in the profile of most sphingolipids in liver and brain, except for
some particular species in brain of Npc1-/- mice. These findings indicate that
the specific replenishment of mGSH may be a potential promising therapy for NPC
disease, worth exploring alone or in combination with other options.
PMID- 27888691
TI - Redox imbalance and mitochondrial abnormalities in the diabetic lung.
AB - Although the lung is one of the least studied organs in diabetes, increasing
evidence indicates that it is an inevitable target of diabetic complications.
Nevertheless, the underlying biochemical mechanisms of lung injury in diabetes
remain largely unexplored. Given that redox imbalance, oxidative stress, and
mitochondrial dysfunction have been implicated in diabetic tissue injury, we set
out to investigate mechanisms of lung injury in diabetes. The objective of this
study was to evaluate NADH/NAD+ redox status, oxidative stress, and mitochondrial
abnormalities in the diabetic lung. Using STZ induced diabetes in rat as a model,
we measured redox-imbalance related parameters including aldose reductase
activity, level of poly ADP ribose polymerase (PAPR-1), NAD+ content, NADPH
content, reduced form of glutathione (GSH), and glucose 6-phophate dehydrogenase
(G6PD) activity. For assessment of mitochondrial abnormalities in the diabetic
lung, we measured the activities of mitochondrial electron transport chain
complexes I to IV and complex V as well as dihydrolipoamide dehydrogenase (DLDH)
content and activity. We also measured the protein content of NAD+ dependent
enzymes such as sirtuin3 (sirt3) and NAD(P)H: quinone oxidoreductase 1 (NQO1).
Our results demonstrate that NADH/NAD+ redox imbalance occurs in the diabetic
lung. This redox imbalance upregulates the activities of complexes I to IV, but
not complex V; and this upregulation is likely the source of increased
mitochondrial ROS production, oxidative stress, and cell death in the diabetic
lung. These results, together with the findings that the protein contents of
DLDH, sirt3, and NQO1 all are decreased in the diabetic lung, demonstrate that
redox imbalance, mitochondrial abnormality, and oxidative stress contribute to
lung injury in diabetes.
PMID- 27888694
TI - Head movement measurement: An alternative method for posturography studies.
AB - The present study evaluated the measurement of head movements as a valid method
for postural emotional studies using the comparison of simultaneous recording of
center of pressure (COP) sway as criterion. Thirty female students viewed a set
of 12 pleasant, 12 unpleasant and 12 neutral pictures from the International
Affective Picture System, repeated twice, using a block presentation procedure
while standing on a force platform (AMTI AccuSway). Head movements were recorded
using a webcam ((c)KPC139E) located in the ceiling in line with the force
platform and a light-emitting diode (LED) placed on the top of the head. Open
source software (CvMob 3.1) was used to process the data. High indices of
correlation and coherence between head and COP sway were observed. In addition,
pleasant pictures, compared with unpleasant pictures, elicited greater body sway
in the anterior-posterior axis, suggesting an approach response to appetitive
stimuli. Thus, the measurement of head movement can be an alternative or
complementary method to recording COP for studying human postural changes.
PMID- 27888696
TI - Underwater gait analysis in Parkinson's disease.
AB - Although hydrotherapy is one of the physical therapies adopted to optimize gait
rehabilitation in people with Parkinson disease, the quantitative measurement of
gait-related outcomes has not been provided yet. This work aims to document the
gait improvements in a group of parkinsonians after a hydrotherapy program
through 2D and 3D underwater and on land gait analysis. Thirty-four parkinsonians
and twenty-two controls were enrolled, divided into two different cohorts. In the
first one, 2 groups of patients underwent underwater or land based walking
training; controls underwent underwater walking training. Hence pre-treatment 2D
underwater and on land gait analysis were performed, together with post-treatment
on land gait analysis. Considering that current literature documented a reduced
movement amplitude in parkinsonians across all lower limb joints in all movement
planes, 3D underwater and on land gait analysis were performed on a second cohort
of subjects (10 parkinsonians and 10 controls) who underwent underwater gait
training. Baseline land 2D and 3D gait analysis in parkinsonians showed shorter
stride length and slower speed than controls, in agreement with previous
findings. Comparison between underwater and on land gait analysis showed
reduction in stride length, cadence and speed on both parkinsonians and controls.
Although patients who underwent underwater treatment exhibited significant
changes on spatiotemporal parameters and sagittal plane lower limb kinematics, 3D
gait analysis documented a significant (p<0.05) improvement in all movement
planes. These data deserve attention for research directions promoting the
optimal recovery and maintenance of walking ability.
PMID- 27888695
TI - Automatic identification of gait events during walking on uneven surfaces.
AB - The accurate detection of gait events is essential for clinical gait analysis.
Aside from speed, surface characteristics like planarity and compliance can
affect gait kinematics. Therefore detection of kinematic gait events on uneven
surfaces may be inaccurate. To date, no study has investigated the possible
influence of surface characteristics on gait event detection. Thus, the purpose
of this study was to assess and compare the performance of four kinematic-based
gait event detection algorithms (horizontal heel-heel displacement, foot
velocity, heel/toe-PSIS displacement, peak hip extension) during walking on three
surfaces with different degrees of planarity. Kinematic and force plate data were
collected on thirteen athletes during two self-selected walking speeds at a
normal (1.30+/-0.03m/s) and fast pace (1.70+/-0.10m/s). Footstrike and toe-off
events were calculated by the algorithms and compared to vertical ground reaction
force as a reference. The main findings of the study were: (1) surface
configuration had an effect on algorithm accuracy (p<0.010, 0.845ng/ml at diagnosis were predictive of its
failure. We also confirmed good tolerability for single-dose methotrexate
protocols.
PMID- 27888703
TI - The association between prenatal atrioventricular septal defects and chromosomal
abnormalities.
AB - OBJECTIVE: Atrioventricular septal defect is associated with a high risk of a
chromosomal abnormality, particularly trisomy 21. The aim of this study is to
assess the rate of trisomy 21 in fetuses diagnosed with an atrioventricular
septal defect and to examine the influence of prior screening on the rate of
trisomy 21. METHODS: Electronic ultrasound database was searched to identify
fetuses diagnoses with an atrioventricular septal defect from 2002 to 2014. Rate
of trisomy 21 and other aneuploidies was calculated among fetuses with normal
situs. The prevalence of trisomy 21 and other aneuploidies was assessed in women
with low and high first trimester risk for trisomy 21, using a cut-off value of
1:150 and 1:250. RESULTS: A total 110 fetuses with a diagnosis of
atrioventricular septal defect were identified. Among the 98 fetuses with normal
situs, the prevalence of trisomy 21 was 46% (95% CI: 36-56%). Using a 1:150
threshold, the rate of trisomy 21 within the low-risk group was 41% (95% CI: 27
57%) while in the high-risk group it was 70% (95% CI: 52-83%), significantly
higher than in the low risk group (p=0.028). Similar results were obtained when
the 1:250 threshold was applied (66% versus 41%, p=0.055). CONCLUSIONS: The rate
of trisomy 21 among fetuses identified with an atrioventricular septal defect in
the second trimester is high even in those that undergo first trimester combined
screening. Some fetuses with a high-risk screening result show a normal
karyotype. Therefore, an offer of an invasive procedure to check fetal
karyotyping is indicated. Knowledge of these rates may be helpful for parents in
the decision making process.
PMID- 27888705
TI - Chemotherapy-related leukopenia as a biomarker predicting survival outcomes in
locally advanced cervical cancer.
AB - OBJECTIVE: To investigate the impact of hematologic toxicity and leukopenia in
locally advanced cervical cancer patients undergoing neoadjuvant chemotherapy
(NACT). STUDY DESIGN: Data of consecutive patients undergoing platinum-based NACT
followed by surgery were retrospectively searched in order to evaluate the impact
of chemotherapy-related toxicity on survival outcomes. Toxicity was graded per
the Common Terminology Criteria for Adverse Events (CTCAEv.4.03). Survival
outcomes were evaluated using Kaplan-Meir and Cox hazard models. RESULTS:
Overall, 126 patients were included. Among those, 94 (74.6%) patients experienced
grade2+ hematologic toxicity; while, grade2+ non-hematologic toxicity occurred in
11 (8.7%) patients. After a median follow-up of 37.1 (inter-quartile range, 12
57.5) months, 21 (16.6%) patients experienced recurrence. Via multivariate
analysis, no factor was independently associated with disease-free survival;
while a trend toward worse prognosis was observed for patients experiencing
grade2+ leukopenia at cycle-3 (HR:3.13 (95%CI: 0.94, 10.3); p=0.06). Similarly,
grade2+ leukopenia (HR:9.98 (95%CI: 1.14, 86.6); p=0.03), lymph-node positivity
(HR:14.6 (95%CI:1.0, 214.4); p=0.05) and vaginal involvement (HR:5.81
(95%CI:1.43, 23.6); p=0.01) impacted on overall survival, at multivariate
analysis. Magnitude of leukopenia correlated with survival (p<0.001).
CONCLUSIONS: Although, our data have to be confirmed by prospective
investigations, the present study shows an association between the occurrence of
leukopenia and survival outcomes. NACT-related immunosuppression might reduce the
response against the tumor, thus promoting cancer progression.
PMID- 27888704
TI - Association of R156R single nucleotide polymorphism of the ERCC2 gene with the
susceptibility to ovarian cancer.
AB - AIM: The reported study was designed to explore associations between the ERCC2-
R156R gene single nucleotide polymorphism (SNP) and the risk of ovarian cancer.
MATERIAL AND METHODS: The R156R (C to A, rs238406) polymorphism of ERCC2 gene was
investigated by the PCR-RFLP technique in 400 patients with ovarian carcinoma and
400 age- and sex matched non-cancer controls. Blood samples were obtained from
patients treated at the Department of Surgical Gynaecology and Gynaecologic
Oncology, Institute of Polish Mothers Memorial Hospital between the years 2000
and 2015. Odds ratios (ORs) and 95% confidence intervals (CIs) were calculated
for each genotype and allele. RESULTS: Genotype distribution of R156R
polymorphism of ERCC2 gene was compared between the patients and controls with
significant differences (p<0.05) between the two investigated groups. A possible
association was observed between ovarian cancer and the presence of A/A genotype
(OR 3.30 95% CI 2.26-4.82, p<0.0001). The variant A allele of ERCC2 increased the
risk of ovarian cancer (OR 2.08 95 % CI 1.70-2.54, p<0.0001). A relationship was
confirmed between ERCC2 R156R polymorphism and ovarian cancer progression,
assessed by the degree of histological grades and FIGO staging (p<0.05).
CONCLUSION: This is the first study, linking R156R polymorphism of ERCC2 gene
with ovarian carcinoma incidence. In conclusion, ERCC2- R156R polymorphism may be
connected with the susceptibility to ovarian cancer.
PMID- 27888706
TI - Position for labor and birth: State of knowledge and biomechanical perspectives.
AB - This review aims to examine how childbirth position during labour affects
maternal, fetal and neonatal outcomes. Epidemiological data suggest that vertical
birthing positions have many benefits. But when we consider the players and
mechanisms of delivery, including the forces generated to move the fetus and
obstacles to its progression, many questions remain about the advantage of one
position over another. Thus, childbirth could be considered in a way as an
athletic feat that probably requires the choice of optimal positions. These
should be individually suited to each woman at different stage of labour to
improve its efficiency and effectiveness. Tweetable abstract: Beyond
epidemiological data, biomechanical investigations is necessary to assess birth's
position.
PMID- 27888707
TI - Up-Regulation of RFC3 Promotes Triple Negative Breast Cancer Metastasis and is
Associated With Poor Prognosis Via EMT.
AB - Triple-negative breast cancer (TNBC) was regarded as the most aggressive and
mortal subtype of breast cancer (BC) since the molecular subtype system has been
established. Abundant studies have revealed that epithelial-mesenchymal
transition (EMT) played a pivotal role during breast cancer metastasis and
progression, especially in TNBC. Herein, we showed that inhibition the expression
of replication factor C subunit 3 (RFC3) significantly attenuated TNBC metastasis
and progression, which was associated with EMT signal pathway. In TNBC cells,
knockdown of RFC3 can down-regulate mesenchymal markers and up-regulate
epithelial markers, significantly attenuated cell proliferation, migration and
invasion. Additionally, silencing RFC3 expression can decrease nude mice tumor
volume, weight and relieve lung metastasis in vivo. Furthermore, we also
demonstrated that overexpression of RFC3 in TNBC showed increased metastasis,
progression and poor prognosis. We confirmed all of these results by
immunohistochemistry analysis in 127 human TNBC tissues and found that RFC3
expression was significantly associated with poor prognosis in TNBC. Taken all
these findings into consideration, we can conclude that up-regulation of RFC3
promotes TNBC progression through EMT signal pathway. Therefore, RFC3 could be an
independent prognostic factor and therapeutic target for TNBC.
PMID- 27888708
TI - Prognostic Value of Tumor-Infiltrating Lymphocytes for Patients With Head and
Neck Squamous Cell Carcinoma.
AB - BACKGROUND: The prognostic value of tumor-infiltrating lymphocytes (TILs) in head
and neck squamous cell carcinoma (HNSCC) remains controversial. Additionally,
there is no standardized approach or cutoff value for evaluating TIL levels. The
aim of this study was to establish a feasible method and criterion to assess TIL
levels for future clinical practice and research use and to explore the
relationship between TIL levels and prognosis. PATIENTS AND METHODS: This
retrospective cohort study reviewed the records and pathological sections of 202
patients with HNSCC who were surgically treated at Beijing Stomatological
Hospital, Capital Medical University, from January 1998 to January 2011. The
predictor variable was the TIL level. The main outcome assessment parameters were
disease-free survival (DFS) and disease-specific survival (DSS). RESULT: The T
stage (P = .008), smoking history (P = .042), alcohol history (P = .048), need
for radiotherapy (P = .012) and microscopic extracapsular spread (ECS) (P = .012)
were associated with the TIL level. A cutoff value equal to 70% could be taken as
a threshold for TIL assessment, with a TIL level higher than 70% associated with
a better prognosis (DFS rate: 51.9%, P = .018; DSS rate: 59.3%, P = .049). The
Cox regression model showed that the TIL level was an independent prognostic
factor for DFS (hazard ratio (HR): 0.786, 95% CI: 0.618-0.999, P = .049).
CONCLUSION: The TIL level is closely related to the prognosis of patients with
HNSCC. A threshold value of 70% is appropriate for TIL assessment, as patients
with a TIL level higher than 70% show a better prognosis. Thus, the TIL level
might serve as an independent predictor for HNSCC recurrence.
PMID- 27888709
TI - Correlations Between DCE MRI and Histopathological Parameters in Head and Neck
Squamous Cell Carcinoma.
AB - BACKGROUND: Dynamic contrast-enhanced magnetic resonance imaging (DCE MRI) can
characterize perfusion and vascularization of tissues. DCE MRI parameters can
differentiate between malignant and benign lesions and predict tumor grading. The
purpose of this study was to correlate DCE MRI findings and various
histopathological parameters in head and neck squamous cell carcinoma (HNSCC).
PATIENTS AND METHODS: Sixteen patients with histologically proven HNSCC (11 cases
primary tumors and in 5 patients with local tumor recurrence) were included in
the study. DCE imaging was performed in all cases and the following parameters
were estimated: Ktrans, Ve, Kep, and iAUC. The tumor proliferation index was
estimated on Ki 67 antigen stained specimens. Microvessel density parameters
(stained vessel area, total vessel area, number of vessels, and mean vessel
diameter) were estimated on CD31 antigen stained specimens. Spearman's non
parametric rank sum correlation coefficients were calculated between DCE and
different histopathological parameters. RESULTS: The mean values of DCE perfusion
parameters were as follows: Ktrans 0.189 +/- 0.056 min-1, Kep 0.390 +/- 0.160 min
1, Ve 0.548 +/- 0.119%, and iAUC 22.40 +/- 12.57. Significant correlations were
observed between Kep and stained vessel areas (r = 0.51, P = .041) and total
vessel areas (r = 0.5118, P = .043); between Ve and mean vessel diameter (r =
0.59, P = .017). Cell count had a tendency to correlate with Ve (r = -0.48, P =
.058). In an analysis of the primary HNSCC only, a significant inverse
correlation between Ktrans and KI 67 was identified (r = -0.62, P = .041). Our
analysis showed significant correlations between DCE parameters and
histopathological findings in HNSCC.
PMID- 27888711
TI - Preoperative Monocyte-to-Lymphocyte Ratio in Peripheral Blood Predicts Stages,
Metastasis, and Histological Grades in Patients with Ovarian Cancer.
AB - PURPOSE: The monocyte-to-lymphocyte ratio (MLR) has been shown to be associated
with the prognosis of various solid tumors. This study sought to evaluate the
important value of the MLR in ovarian cancer patients. METHODS: A total of 133
ovarian cancer patients and 43 normal controls were retrospectively reviewed. The
patients' demographics were analyzed along with clinical and pathologic data. The
counts of peripheral neutrophils, lymphocytes, monocytes, and platelets were
collected and used to calculate the MLR, neutrophil-to-lymphocyte ratio (NLR).
and platelet-to-lymphocyte ratio (PLR). The optimal cutoff value of the MLR was
determined by using receiver operating characteristic curve analysis. We compared
the MLR, NLR, and PLR between ovarian cancer and normal control patients and
among patients with different stages and different grades, as well as between
patients with lymph node metastasis and non-lymph node metastasis. We then
investigated the value of the MLR in predicting the stage, grade, and lymph node
positivity by using logistic regression. The impact of the MLR on overall
survival (OS) was calculated by Kaplan-Meier method and compared by log-rank
test. RESULTS: Statistically significant differences in the MLR were observed
between ovarian cancer patients and normal controls. However, no difference was
found for the NLR and PLR. Highly significant differences in the MLR were found
among patients with different stages (stage I-II and stage III-IV), grades (G1
and >G1), and lymph node metastasis status. The MLR was a significant and
independent risk factor for lymph node metastasis, as determined by logistic
regression. The optimal cutoff value of the MLR was 0.23. We also classified the
data according to tumor markers (CA125, CA199, HE4, AFP, and CEA) and
conventional coagulation parameters (International Normalized Ratio [INR] and
fibrinogen). Highly significant differences in CA125, CA199, HE4, INR, fibrinogen
levels, and lactate dehydrogenase were found between the low-MLR group (MLR <=
0.23) and the high-MLR group (MLR > 0.23). Correspondingly, dramatic differences
were observed between the two groups in OS. CONCLUSION: Our results show that the
peripheral blood MLR before surgery could be a significant predictor of advanced
stages, advanced pathologic grades, and positive lymphatic metastasis in ovarian
cancer patients.
PMID- 27888710
TI - PLK1, A Potential Target for Cancer Therapy.
AB - Polo-like kinase 1 (PLK1) plays an important role in the initiation, maintenance,
and completion of mitosis. Dysfunction of PLK1 may promote cancerous
transformation and drive its progression. PLK1 overexpression has been found in a
variety of human cancers and was associated with poor prognoses in cancers. Many
studies have showed that inhibition of PLK1 could lead to death of cancer cells
by interfering with multiple stages of mitosis. Thus, PLK1 is expected to be a
potential target for cancer therapy. In this article, we examined PLK1's
structural characteristics, its regulatory roles in cell mitosis, PLK1
expression, and its association with survival prognoses of cancer patients in a
wide variety of cancer types, PLK1 interaction networks, and PLK1 inhibitors
under investigation. Finally, we discussed the key issues in the development of
PLK1-targeted cancer therapy.
PMID- 27888712
TI - Sono-assisted adsorption of a textile dye on milk vetch-derived charcoal
supported by silica nanopowder.
AB - This study was performed to assess the efficiency of silica nanopowder (SNP)/milk
vetch-derived charcoal (MVDC) nanocomposite coupled with the ultrasonic
irradiation named sono-adsorption process for treating water-contained Basic Red
46 (BR46) dye. Field emission scanning electron microscopy (FE-SEM), X-ray
diffraction (XRD), Brunauer-Emmett-Teller (BET) and Fourier transform infrared
spectroscopy (FT-IR) were performed for the characterization of as-prepared
adsorbent. The sono-assisted adsorption process was optimized using response
surface optimization on the basis of central composite design by the application
of quadratic model. Accordingly, the color removal can be retained more than 93%
by an initial BR46 concentration of 8 mg/L, sonication time of 31 min, adsorbent
dosage of 1.2 g/L and initial pH of 9. The pseudo-second order kinetic model
described the sono-assisted adsorption of BR46 reasonably well (R2 > 0.99). The
intra-particular diffusion kinetic model pointed out that the sono-assisted
adsorption of BR46 onto SNP/MVDC nanocomposite was diffusion controlled as well
as that ultrasonication enhanced the diffusion rate.
PMID- 27888713
TI - Shattering fruits: variations on a dehiscent theme.
AB - Fruits are seed dispersal units, and for that they have evolved different
strategies to facilitate separation and dispersal of the progeny from the mother
plant. A great proportion of fruits from different clades are dry and dehiscent,
opening upon maturity to disperse the seeds. In the last two decades, intense
research mainly in Arabidopsis has uncovered the basic network that controls the
differentiation of the Arabidopsis fruit dehiscence zone. This review focuses on
recent discoveries that have helped to complete the picture, as well as the
insights from evo-devo and crop domestication studies that show how the
conservation/variation of the elements of this network across species accounts
for its evolutionary plasticity and the origin of evolutionary innovations.
PMID- 27888714
TI - A Cryptic Marine Ciliate Feeds on Progametes of Noctiluca scintillans.
AB - The dinoflagellate Noctiluca scintillans (Noctiluca) has the ability to reproduce
sexually, which may help to increase or restore its population size during
periods of blooms or environmental stress. Here, we documented for the first time
a marine ciliate Strombidium sp. that feeds on Noctiluca's progametes undergoing
stages 5 to 9 of nuclear division. This ciliate frequently swam on or around
gametogenic and some vegetative Noctiluca cells. The ciliates associated with
gametogenic cells had significantly lower swimming speed and changed direction
more frequently than those associated with vegetative cells, which overall
increased their time spent around the food patches (progametes). This trophic
interaction constitutes an upside-down predator-prey link, in which ciliates
within the typical size range of Noctiluca prey, become the predators. Based on
the phylogenetic tree (maximum-likelihood), there are 14 environmental clones
similar to Strombidium sp. found in other coastal waters, where Noctiluca
presence or blooms have been reported. This novel predator-prey relationship
could therefore be common in other Noctiluca habitats. Additional studies are
needed to assess the magnitude of its impacts on Noctiluca population dynamics
and plankton bloom succession.
PMID- 27888715
TI - Making a case for controlled organ donation after cardiac death: the story of
Italy's first experience.
AB - Donation after circulatory death (DCD) is a valuable option for the procurement
of organs for transplantation. In Italy, organ procurement after controlled DCD
is legally and ethically conceivable within the current legislative framework.
However, although formal impediments do not exist, the health care team is faced
with many obstacles that may hinder the implementation of such programs. We
report the case of Italy's first controlled DCD, specifically discussing the role
of the patient's family in the shared decision-making process. In our case, the
death of the patient subsequent to the withdrawal of life-sustaining therapies
was consistent with the patient's wishes, showing respect for his dignity and
honoring his autonomy, as expressed to his family previously. By making donation
possible, the medical team was able to fulfill the family's last request on
behalf of the patient. This case should stimulate deliberation regarding the
potential to shorten the 20-minute no-touch period currently in place in Italy.
Such an action would not have injured this patient and would certainly have
increased the quality of the procured organs.
PMID- 27888716
TI - Family participation in intensive care unit rounds: Comparing family and provider
perspectives.
AB - PURPOSE: To describe and compare intensive care unit (ICU) patient family member
and provider experiences, preferences, and perceptions of family participation in
ICU rounds. METHODS: Cross-sectional survey of ICU family members and providers
of patients admitted to 4 medical-surgical ICUs from September 2014 to March
2015. MEASUREMENTS AND MAIN RESULTS: Surveys were completed by 63 (62%) family
members and 258 (43%) providers. Provider respondents included physicians (9%),
nurses (56%), respiratory therapists (24%), and other ICU team members (11%).
Although 38% of providers estimated only moderate family member interest in
participating in rounds, 97% of family members expressed high interest. Family
members and providers reported listening (95% vs 96%; P=.594) and sharing
information about the patient (82% vs 82%; P=.995) as appropriate roles for
family members during rounds, but differed in their perceptions on asking
questions (75% vs 86%; P=.043) and participating in decision making (36% vs 59%;
P=.003). Compared with family members, providers were more likely to perceive
family participation in rounds to cause family stress (7% vs 22%; P=.020) and
confusion (0% vs 28%; P<.001). CONCLUSION: Family members and providers share
some perspectives on family participation in ICU rounds although other
perspectives are discordant, with implications for communication strategies and
collaborative decision making.
PMID- 27888717
TI - The role of ficolin-like protein (PcFLP1) in the antibacterial immunity of red
swamp crayfish (Procambarus clarkii).
AB - In invertebrates, ficolin-like proteins (FLPs) play important roles in innate
immunity against pathogens. Previous studies primarily investigated the functions
of FLPs in immune recognition, activation, and regulation. However, limited
research has examined the functions of FLPs as immune effectors. In this work, a
ficolin-like protein was identified in red swam crayfish (Procambarus clarkii)
and designated as PcFLP1. Quantitative RT-PCR and western blot were employed to
analyze the distribution and expression profiles of PcFLP1 in the tissues of the
crayfish. The results indicated that PcFLP1 was present in all tested tissues,
including hemocytes, heart, hepatopancreas, gill, stomach, and mid-intestine. The
expression level of PcFLP1 was up-regulated in hemocytes, hepatopancreas and mid
intestines of the crayfish challenged with Vibrio parahaemolyticus. Further study
demonstrated that PcFLP1 could protect the hepatopancreatic cells of crayfish
from V. parahaemolyticus infection. The recombinant PcFLP1 enhanced bacterial
elimination in crayfish, whereas the antibacterial action was inhibited after
PcFLP1 was knocked down. Furthermore, PcFLP1 could bound to bacteria and
inhibited bacterial replication. These results demonstrated that PcFLP1 plays an
important role in the anti-Vibrio immunity of red swamp crayfish.
PMID- 27888718
TI - Characterization of peritoneal leukemia-associated macrophages in Notch1-induced
mouse T cell acute lymphoblastic leukemia.
AB - Macrophages, which have remarkable plasticity, are indispensable cellular
components and play essential roles in both innate and adaptive immune responses.
Peritoneal macrophages show unique gene expression profile and peritoneal cavity
is also involved in leukemia. However, the characteristics of peritoneal leukemia
associated macrophages (Per LAMs) have not been established. Here we studied the
phenotype of Per LAMs, their subpopulations in Notch1-induced acute lymphoblastic
leukemia mice and compared with LAMs from BM or spleen in the same model.
Peritoneal macrophages and Per LAMs simultaneously expressed high level iNOS and
Arg1, which was not commonly observed in macrophages from different origins.
Furthermore, LAMs from peritoneal, BM and spleen expressed lower level CSF-1, TGF
beta1 and VEGF-A than tumor-associated macrophages (TAMs). Moreover, diverse
responses in the expression of some phenotype-associated genes to leukemia
microenvironments were detected among those LAMs. In addition, Per LAMs can be
sub-divided into CD206+ and CD206- sub-populations, which expressed both M1- and
M2-associated genes. These results revealed the unique phenotype of Per
macrophages and Per LAMs and contributed to better understanding of macrophage
plasticity and their pathological roles in leukemia.
PMID- 27888719
TI - Treponema pallidum flagellin FlaA2 induces IL-6 secretion in THP-1 cells via the
Toll-like receptor 2 signaling pathway.
AB - Treponema pallidum subsp. pallidum membrane proteins are considered as potent
inducers in the initiation and development of inflammation. In the present study,
the mechanism that leads to the production of interleukin 6 (IL-6), one of the
key proinflammatory cytokines, by human monocytic THP-1 cells when these cells
are treated with T. pallidum flagellin FlaA2 was investigated. Stimulation with
flagellin FlaA2 can induce IL-6 expression in human monocytes and augment the
phosphorylation of ERK, p38, and NF-kappaB, but has no effect on the
phosphorylation of JNK. Likewise, FlaA2-induced IL-6 production was found to be
attenuated by inhibitors for ERK, p38, and NF-kappaB, but not by JNK inhibitor.
Immunofluorescence analysis showed that flagellin FlaA2 could stimulate the
translocation of IkappaBalpha from the cytosol to the nucleus, and this
phenomenon could be inhibited by the specific inhibitor BAY11-7082. FlaA2-induced
IL-6 expression was also proved to be abrogated by transfection with dominant
negative (DN) plasmid of MyD88. We further demonstrated that transfection with DN
TLR2 was sufficient to attenuate IL-6 expression and the phosphorylation of ERK,
p38, and IkappaBalpha. These results suggest that flagellin FlaA2 induces IL-6
production via signaling pathways involving TLR2, MyD88, ERK, p38, and NF-kappaB
in monocytes, which could contribute to the pathogenesis of T. pallidum.
PMID- 27888720
TI - Postmortem serum levels of IgE and mast cell tryptase in fatal asthma.
AB - The aim of this study was to characterize the concentrations of total and
specific IgE as well as mast cell-derived tryptase in postmortem serum from
femoral blood and evaluate the usefulness of their determination for diagnostic
purposes in cases of fatal asthma attacks. 36 autopsy cases were retrospectively
selected including cases of witnessed death in asthmatic subjects, deaths in
asthmatics and atopic subjects with causes of death unrelated to asthma or
allergic anaphylaxis as well as deaths in non-atopic, non-allergic individuals
with causes of death unrelated to asthma or allergic anaphylaxis. Initial results
indicated increased postmortem serum total IgE in atopic and asthmatic
individuals, irrespective of the cause of death. Conversely, increased mast cell
tryptase levels were noted exclusively in fatal asthma attacks. This suggests
that mast cell tryptase measurement in cases of death potentially caused by
severe acute bronchial asthma may be useful for diagnostic purposes.
PMID- 27888721
TI - Discovery of resveratrol derivatives as novel LSD1 inhibitors: Design, synthesis
and their biological evaluation.
AB - Inhibition of lysine-specific demethylase 1 (LSD1) has recently emerged as an
attractive therapeutic target for treating cancer and other diseases. As a
continuity of our ongoing effort to identify novel small-molecule LSD1
inhibitors, we designed and synthesized a series of resveratrol derivatives,
which were shown to be potent inhibitors of LSD1. Among them, compounds 4e and 4m
displayed the most potent LSD1-inhibitory activities in enzyme assays, with IC50
values of 121 nM and 123 nM, respectively. Biochemistry study and docking
analysis indicated that compounds 4e and 4m were reversible LSD1 inhibitors. High
content analysis showed that 4e and 4m induced a dose-dependent increase of
dimethylated Lys4 of histone H3 and had no impact on the expression of LSD1 in
MGC-803 cells. Furthermore, 4e or 4m could remarkably increase the mRNA level of
CD86, a surrogate cellular biomarker for LSD1 activity, in MGC-803 cells,
suggesting that they are likely to exhibit LSD1-inhibitory activities
intracellularly. These findings should encourage further modification of these
compounds to produce more potent LSD1 inhibitors with potential anticancer
activity.
PMID- 27888723
TI - Deconstructing Bipolar Disorder and Schizophrenia: A cross-diagnostic cluster
analysis of cognitive phenotypes.
AB - BACKGROUND: Bipolar disorder (BD) and schizophrenia (SZ) show substantial
overlap. It has been suggested that a subgroup of patients might contribute to
these overlapping features. This study employed a cross-diagnostic cluster
analysis to identify subgroups of individuals with shared cognitive phenotypes.
METHOD: 143 participants (68 BD patients, 39 SZ patients and 36 healthy controls)
completed a battery of EEG and performance assessments on perception, nonsocial
cognition and social cognition. A K-means cluster analysis was conducted with all
participants across diagnostic groups. Clinical symptoms, functional capacity,
and functional outcome were assessed in patients. RESULTS: A two-cluster solution
across 3 groups was the most stable. One cluster including 44 BD patients, 31
controls and 5 SZ patients showed better cognition (High cluster) than the other
cluster with 24 BD patients, 35 SZ patients and 5 controls (Low cluster). BD
patients in the High cluster performed better than BD patients in the Low cluster
across cognitive domains. Within each cluster, participants with different
clinical diagnoses showed different profiles across cognitive domains.
LIMITATIONS: All patients are in the chronic phase and out of mood episode at the
time of assessment and most of the assessment were behavioral measures.
CONCLUSIONS: This study identified two clusters with shared cognitive phenotype
profiles that were not proxies for clinical diagnoses. The finding of better
social cognitive performance of BD patients than SZ patients in the Lowe cluster
suggest that relatively preserved social cognition may be important to identify
disease process distinct to each disorder.
PMID- 27888722
TI - A pilot study on predictors of brainstem raphe abnormality in patients with major
depressive disorder.
AB - BACKGROUND: Hypo/anechogenicity of the brainstem raphe (BR) structures has been
suggested as a possible transcranial parenchymal sonography (TCS) marker
associated with depression. AIM: The aim of this study was to analyze possible
association of the abnormal BR echogenicity in patients with major depression
when compared to healthy controls, and to evaluate its clinical and genetic
correlates. METHODS: TCS was performed in 53 patients diagnosed as major
depressive disorder (MDD) without psychotic symptoms and in 54 healthy matched
controls. RESULTS: The TCS detected BR abnormalities were significantly more
frequent in MDD patients (35 out of 53; 66%) in comparison to matched controls (5
out of 56; 9%). The prevalence of short allele (s) homozygocity in the length
polymorphism of the promoter region of the serotonin transporter gene (5-HTTLPR)
was significantly higher in MDD patients relative to those with normal BR
echogenicity. A stepwise statistical discriminant analysis revealed statistically
significant separation between MDD patients with and without BR abnormalities
groups based on the four predictors combined: the Hamilton Anxiety Rating Scale
item 5 ("difficulty in concentration, poor memory"), presence of social phobia, s
allele homozygocity of the 5-HTTLPR polymorphism, and presence of generalized
anxiety disorder. LIMITATIONS: Cross-sectional design and heterogenous treatment
of depressed patients. CONCLUSIONS: Reduced BR echogenicity in at least a
subgroup of MDD patients may reflect a particular phenotype, characterized by
more prevalent comorbid anxiety disorders, associated with particular genetic
polymorphisms and neurotransmitter(s) deficits, most probably altered
serotonergic mechanisms.
PMID- 27888724
TI - The role of physical and mental health multimorbidity in suicidal ideation.
AB - BACKGROUND: Previous research has focused on the separate roles of mental illness
and physical health conditions in suicide risk, with relatively few studies
investigating the importance of physical and psychiatric disorder co-occurrence.
We aimed to investigate whether suicidal ideation might be influenced by physical
and mental ill-health multimorbidity. METHODS: Data from the Adult Psychiatric
Morbidity Survey of England were analysed. Participants who responded to the
suicidal thoughts question were grouped into four distinct categories based on
their health conditions (Common mental disorders (CMD) only, physical health
conditions only, CMD/physical health multimorbidity and a control group with
neither physical nor mental health conditions). Multinomial logistic regression
analyses were conducted and odds ratios (OR) and 95% CIs are presented. RESULTS:
In the fully adjusted model, both the multimorbidity and CMD-only groups were
associated with higher levels of suicidal ideation relative to the control group.
LIMITATIONS: Secondary analyses of cross-sectional data. CONCLUSIONS: Although
multimorbidity was associated with suicidal thoughts, it does not appear to
elevate risk beyond the independent effects of common mental disorders or
physical health problems. Primary care and mental health clinicians should
consider assessment of suicidal ideation among patients with multimorbid
physical/mental health conditions.
PMID- 27888725
TI - Functional response of osteoblasts in functionally gradient titanium alloy mesh
arrays processed by 3D additive manufacturing.
AB - We elucidate here the osteoblasts functions and cellular activity in 3D printed
interconnected porous architecture of functionally gradient Ti-6Al-4V alloy mesh
structures in terms of cell proliferation and growth, distribution of cell
nuclei, synthesis of proteins (actin, vinculin, and fibronectin), and calcium
deposition. Cell culture studies with pre-osteoblasts indicated that the
interconnected porous architecture of functionally gradient mesh arrays was
conducive to osteoblast functions. However, there were statistically significant
differences in the cellular response depending on the pore size in the
functionally gradient structure. The interconnected porous architecture
contributed to the distribution of cells from the large pore size (G1) to the
small pore size (G3), with consequent synthesis of extracellular matrix and
calcium precipitation. The gradient mesh structure significantly impacted cell
adhesion and influenced the proliferation stage, such that there was high
distribution of cells on struts of the gradient mesh structure. Actin and
vinculin showed a significant difference in normalized expression level of
protein per cell, which was absent in the case of fibronectin. Osteoblasts
present on mesh struts formed a confluent sheet, bridging the pores through
numerous cytoplasmic extensions. The gradient mesh structure fabricated by
electron beam melting was explored to obtain fundamental insights on cellular
activity with respect to osteoblast functions.
PMID- 27888726
TI - Probabilistic uncertainty analysis based on Monte Carlo simulations of co
combustion of hazelnut hull and coal blends: Data-driven modeling and response
surface optimization.
AB - The aim of present study is to investigate the thermogravimetric behaviour of the
co-combustion of hazelnut hull (HH) and coal blends using three approaches: multi
non-linear regression (MNLR) modeling based on Box-Behnken design (BBD) (1),
optimization based on response surface methodology (RSM) (2), and probabilistic
uncertainty analysis based on Monte Carlo simulation as a function of blend
ratio, heating rate, and temperature (3). The response variable was predicted by
the best-fit MNLR model with a predicted regression coefficient (R2pred) of
99.5%. Blend ratio of 90/10 (HH to coal, %wt), temperature of 405 degrees C, and
heating rate of 44 degrees Cmin-1 were determined as RSM-optimized conditions
with a mass loss of 87.4%. The validation experiments with three replications
were performed for justifying the predicted-mass loss percentage and 87.5%+/-0.2
of mass loss were obtained under RSM-optimized conditions. The probabilistic
uncertainty analysis were performed by using Monte Carlo simulations.
PMID- 27888727
TI - Studies of cadmium(II), lead(II), nickel(II), cobalt(II) and chromium(VI)
sorption on extracellular polymeric substances produced by Rhodococcus opacus and
Rhodococcus rhodochrous.
AB - The adsorption of Cd(II), Pb(II), Ni(II), Co(II) and Cr(VI) ions on the
extracellular polymeric substances (EPS) obtained from bacterial strain
Rhodococcus opacus and Rhodococcus rhodochrous was investigated by the static
sorption method. Influence of pH, time and temperature were studied. It was found
that the influence of the pH value and time of adsorption depends on the type of
adsorbed ions. For all investigated systems an increase of temperature above 35
degrees C reduces adsorption. However, the static sorption capacities values
oscillate around 1.5mmol/g, independently of the type of the studied metal ions.
The adsorption mechanism of studied metal ions onto the tested EPSs seems to be
very complex and it is probably due to an electrostatic attraction, a surface
complex formation and chemical interaction between the metal ions and the
functional groups (mainly hydroxyl, acetamido or amino groups) of bacterial
extracellular biopolymers.
PMID- 27888728
TI - Estimating total lipid content of Camelina sativa via pyrolysis assisted in-situ
transesterification with dimethyl carbonate.
AB - Direct derivatization of C. sativa seed into FAMEs without lipid extraction was
conducted for the quantification of lipid analysis via in-situ thermal
methylation with dimethyl carbonate as an acyl acceptor on silica (SiO2). The
introduced method had an extraordinarily high tolerance against impurities such
as pyrolytic products and moisture. To ensure the technical completeness of in
situ methylation, thermal cracking of FAMEs transformed from C. sativa seed was
also explored. Thermal cracking of unsaturated FAMEs such as C18:1, C18:2, C18:3
and C20:1 occurred at temperatures higher than 365 degrees C due to their thermal
instability. Thus, experimental findings in this study suggests not only that
qualitative analysis of fatty acid profile in C. sativa seed via in-situ
methylation using SiO2 could be achieve, but also that the total lipid content
(42.65wt.%) in C. sativa seed could be accurately estimated.
PMID- 27888729
TI - Fractionation of rapeseed straw by hydrothermal/dilute acid pretreatment combined
with alkali post-treatment for improving its enzymatic hydrolysis.
AB - The aim of the research was to evaluate the effect of combined treatments on
fermentable sugar production from rapeseed straw. An optimum condition was found
to be the combination of hydrothermal pretreatment at 180 degrees C for 45min and
post-treatment by 2% NaOH at 100 degrees C for 2h, which was based on the
quantity of monosaccharides released during enzymatic hydrolysis. As compared
with the raw material without treatment, the combination of hydrothermal
pretreatment and alkali post-treatment resulted in a significant increase of the
saccharification rate by 5.9times. This process potentially turned rapeseed straw
into value added products in accordance with the biorefinery concept.
PMID- 27888730
TI - Production and purification of amylolytic enzymes for saccharification of
microalgal biomass.
AB - The aim of this study was the production of amylolytic enzymes by solid state or
submerged fermentations (SSF or SF, respectively), followed by purification using
chemical process or microfiltration and immobilization of purified enzymes in a
polyurethane support. The free and immobilized enzymes obtained were used to
evaluate enzymatic hydrolysis of the polysaccharides of Spirulina.
Microfiltration of the crude extracts resulted in an increase in their specific
activity and thermal stability at 40 degrees C and 50 degrees C for 24h, as
compared to extracts obtained by SSF and SF. Immobilization of polyurethane
purified enzyme produced yields of 332% and 205% for the enzymes obtained by SF
and SSF, respectively. Free or immobilized enzymes favor the generation of
fermentable sugar, being the application of the purified and immobilized enzymes
in the hydrolysis of microalgal polysaccharides considered a promising
alternative towards development of the bioethanol production process from
microalgal biomass.
PMID- 27888731
TI - Kelp waste extracts combined with acetate enhances the biofuel characteristics of
Chlorella sorokiniana.
AB - To probe the effect of kelp waste extracts (KWE) combined with acetate on
biochemical composition of Chlorella sorokiniana, the cultures were performed
under independent/combined treatment of KWE and acetate. The results showed that
high cell density and biomass were obtained by KWE combined with acetate
treatments, whose biomass productivity increased by 79.69-102.57% and 20.04
35.32% compared with 3.0gL-1 acetate and KWE treatments respectively. The maximal
neutral lipid per cell and lipid productivity were gained in KWE combined with
3.0gL-1 acetate treatment, which increased by 16.32% and 129.03% compared with
3.0gL-1 acetate, and 253.35% and 70.74% compared with KWE treatment. Meanwhile,
C18:3n3 and C18:2n6c contents were reduced to 4.90% and 11.88%, whereas C16:0 and
C18:1n9c were improved to 28.71% and 37.76%. Hence, supplementing appropriate
acetate in KWE cultures is supposed to be a great potential method for large
scale cultivation of C. sorokiniana to generate biofuel.
PMID- 27888732
TI - Biorefinery of microalgal soluble proteins by sequential processing and membrane
filtration.
AB - A mild biorefinery process was investigated on the microalga Nannochloropsis
gaditana, to obtain an enriched fraction of water soluble proteins free from
chlorophyll. After harvesting, a 100g.L-1 solution of cells was first subjected
to cell disruption by either high-pressure homogenization (HPH) or enzymatic
treatment (ENZ). HPH resulted in a larger release of proteins (49%) in the
aqueous phase compared to the Alcalase incubation (35%). In both cases, an
ultrafiltration/diafiltration (UF/DF) was then performed on the supernatant
obtained from cell disruption by testing different membrane cut-off (1000kDa,
500kDa and 300kDa). After optimising the process conditions, the combination of
ENZ->UF/DF ended in a larger overall yield of water soluble proteins (24.8%) in
the permeate compared to the combination of HPH->UF/DF (17.4%). A gel
polarization model was implemented to assess the maximum achievable concentration
factor during ultrafiltration and the mass transfer coefficient related to the
theoretical permeation flux rate.
PMID- 27888733
TI - Enhancement of l-lactic acid production via synergism in open co-fermentation of
Sophora flavescens residues and food waste.
AB - In this study, Sophora flavescens residues (SFR) were used for l-lactic acid
production and were mixed with food waste (FW) to assess the effects of different
compositions of SFR and FW. Positive synergistic effects of mixed substrates were
achieved with co-fermentation. Co-fermentation increased the proportion of l
lactic acid by decreasing the co-products of ethanol and other organic acids. A
maximum l-lactic acid concentration of 48.4g/L and l-lactic acid conversion rate
of 0.904g/g total sugar were obtained through co-fermentation of SFR and FW at
the optimal ratio of 1:1.5. These results were approximately 6-fold those
obtained during mono-fermentation of SFR. Co-fermentation of SFR and FW provides
a suitable C/N ratio and pH for effective open fermentative production of l
lactic acid.
PMID- 27888734
TI - Production and characterization of rhamnolipid using palm oil agricultural
refinery waste.
AB - In this research we assess the feasibility of using palm oil agricultural
refinery waste as a carbon source for the production of rhamnolipid biosurfactant
through fermentation. The production and characterization of rhamnolipid produced
by Pseudomonas aeruginosa PAO1 grown on palm fatty acid distillate (PFAD) under
batch fermentation were investigated. Results show that P. aeruginosa PAO1 can
grow and produce 0.43gL-1 of rhamnolipid using PFAD as the sole carbon source.
Identification of the biosurfactant product using mass spectrometry confirmed the
presence of monorhamnolipid and dirhamnolipid. The rhamnolipid produced from PFAD
were able to reduce surface tension to 29mNm-1 with a critical micelle
concentration (CMC) 420mgL-1 and emulsify kerosene and sunflower oil, with an
emulsion index up to 30%. Results demonstrate that PFAD could be used as a low
cost substrate for rhamnolipid production, utilizing and transforming it into a
value added biosurfactant product.
PMID- 27888735
TI - Leisure participation and subjective well-being: Exploring gender differences
among elderly in Shanghai, China.
AB - OBJECTIVES: To explore the association between leisure participation and
subjective well-being and the role gender in this issue among elderly Chinese in
Shanghai, China. METHODS: Principal components analysis and logistic regressions
are used to analyze the cross-sectional data of the 2013 Shanghai Elderly Life
and Opinion Survey that contains 2884 respondents (60+ with a mean age of 72.6)
recruited from a multistage cluster sampling design. Subjective well-being is
measured by happiness and life satisfaction, and leisure participation is
examined by the type and diversity of leisure activities. RESULTS: Principal
components analysis identifies four major types of leisure activity that elderly
Chinese are actively engaged in-detachment-recovery, aesthetic, social, and
performing-arts activities. Among them, social activities and performing-arts
activities have the most relevance to subjective well-being. Females are more
likely to engage in social and performing-arts activities whereas males are more
likely to engage in detachment-recovery and aesthetic activities. Performing-arts
activities promote subjective well-being only for females. Social activities are
beneficial for both gender groups, but more so for males than for females. While
increased levels of leisure diversity are linearly related to increased odds of
subjective well-being for females, moderate level of leisure diversity is found
to be the most important for males. CONCLUSIONS: Leisure participation is
positively related to subjective well-being among elderly Chinese, and thus could
play a critical role in promoting healthy aging. The major gender differences as
observed suggest the need to further explore gender-specific barriers in leisure
participation.
PMID- 27888736
TI - Activity engagement and physical function in old age sample.
AB - OBJECTIVES: To describe the patterns of engagement in mental, physical, and
social activity (MA, PA, and SA) and to examine the relationship between combined
activity engagement and physical function among community-dwelling older adults.
DESIGN: Cross-sectional correlational study. SETTING: Multiple communities.
PARTICIPANTS: A total of 466 individuals aged 55 years or older. MEASUREMENTS:
Physical function was assessed using grip strength and gait speed. Engagement in
PA, MA and SA was obtained from self-report questionnaires. RESULTS: We
identified four classes ("Active PA and MA", "Active MA", "Active PA", and
"Inactive") that significantly differed in the frequency of engagement in MA and
PA using latent class analysis. SA didn't differ across classes. Controlling for
age, the "Active PA and MA", "Active MA", "Active PA" groups displayed similar
grip strength that was superior to the "Inactive" group. "Active PA and MA" group
had best gait speed relative to other groups, especially "Active MA" and
"Inactive" group, while the "Active PA", "Active MA", and "Inactive" group were
similar in gait speed. CONCLUSION: Combined physical and mental activity
engagement was associated with better physical function, especially in gait
speed. Future interventional research should investigate the combination of both
physical and cognitive training to prevent decline of physical function in older
adults.
PMID- 27888737
TI - An intervention to improve sibling relationship quality among youth in foster
care: Results of a randomized clinical trial.
AB - Sibling programming is an important part of a prevention framework, particularly
for youth in foster care. After children are removed from their families and
placed into foster care in the aftermath of maltreatment, the sibling
relationship is often the most viable ongoing relationship available to the
child, and may be critical to a youth's sense of connection, emotional support,
and continuity. The promise of dyadic sibling programming in particular rests on
the ability of interventions to enhance the quality of sibling relationships; yet
little research exists that suggests that sibling interventions can improve
relationship quality among foster youth. The primary aim of the current study was
to examine the effects of a specific dyadic sibling-focused intervention for
older and younger siblings on sibling relationship quality. One hundred sixty
four dyads (328 youth) participated in the study, with each dyad consisting of an
older sibling between 11 and 15 years of age at baseline and a younger sibling
separated in age by less than 4 years. Hierarchical linear models were applied to
self-reported, observer-reported and observational data over the 18-month study
period. Findings suggest that the sibling intervention holds promise for
improving sibling relationship quality among youth in foster care. Implications
and future directions for research are discussed.
PMID- 27888739
TI - Microwave-assisted one pot synthesis, characterization, biological evaluation and
molecular docking studies of steroidal thiazoles.
AB - In the present manuscript, a series of steroidal thiazole derivatives (4-6, 8)
have been synthesized in efficient manner by one step reaction methodology
employing microwave irradiation. The synthesis involves the reaction of steroidal
ketones (1-3, 7) with thiosemicarbazide and phenacyl bromide. Structural
assignment of the products was confirmed on the basis of IR, 1H NMR, 13C NMR, MS
and analytical data. The synthesized compounds were screened for in vitro
antioxidant activity by using 2,2-diphenyl-1-picrylhydrazyl (DPPH) method. In
addition, the products 4-6, 8 were also tested for pBR322 DNA cleavage activity,
genotoxicity, reactive oxygen species (ROS) production and RBC hemolysis.
Molecular docking analysis was carried out to validate the specific binding mode
of the newly synthesized compounds into the active site of DNA. Docking showed
formation of more stable complexes of compounds 4 and 8 with the free binding
energies -8.1 and -8kcal/mol, respectively. Hence, it could be suggested that the
steroidal compounds bearing a core thiazole scaffold would be a potent biological
agent.
PMID- 27888738
TI - Oxyntomodulin analog and exendin-4 derivative lower plasma glucose in cattle.
AB - The present study was undertaken with the aim of examining whether and how
exendin-4 (1-3) fragment, ie, Ex-4 (1-3) fragment, contributes to the regulation
of glucose. An analog of oxyntomodulin (OXM) ([Gly2, Glu3]-OXM), a glucagon
analog ([Gly2, Glu3]-glucagon), and two derivatives of Ex-4 (glucandin and [Gly2,
Glu3]-glucandin) were synthesized by substituting with Gly2, Glu3 at the N
terminuses of OXM and glucagon and/or by attaching Ex-4 (30-39) amide at the C
terminus of glucagon. Effects of these peptides on plasma insulin and glucose
concentrations were investigated in cattle by conducting 3 in vivo experiments.
In all 3 experiments, 0.1% BSA saline was injected as a control. In experiment 1,
glucandin (amino acid sequence was glucagon [1-29]-Ex-4 [30-39] amide) and [Gly2,
Glu3]-glucandin were injected at the dose rates of 5 MUg/kg BW in 4-mo-old
Holstein steers. Results showed that glucoregulatory effects of glucandin were
similar to those of glucagon. [Gly2, Glu3]-glucandin stimulated insulin secretion
at 2 to 10 min and lowered glucose concentrations at 15 to 75 min. Experiment 2
was carried out to better understand the glucose-lowering potency of [Gly2, Glu3]
glucandin, in comparison with Ex-4 and glucagon-like peptide-1 (GLP-1), using 4.5
mo-old Holstein steers. [Gly2, Glu3]-glucandin was injected at dose rates of 0.3
MUg/kg BW, 1.0 MUg/kg BW, 3.2 MUg/kg BW, and 6.4 MUg/kg BW. Ex-4 and GLP-1 were
injected at dose rates of 0.3 MUg/kg BW. Results showed that the insulinotropic
and glucose-lowering effects of [Gly2, Glu3]-glucandin were not as potent as for
Ex-4 and GLP-1, and the minimum effective dose of [Gly2, Glu3]-glucandin to
regulate plasma glucose concentrations was 3.2 MUg/kg BW. In experiment 3, [Gly2,
Glu3]-OXM and [Gly2, Glu3]-glucagon were injected at dose rates of 5 MUg/kg BW in
5-mo-old Holstein steers. Both [Gly2, Glu3]-OXM and [Gly2, Glu3]-glucagon
increased insulin concentration. [Gly2, Glu3]-OXM potently lowered plasma
glucose, but [Gly2, Glu3]-glucagon did not change it. In summary, our findings
clearly demonstrate that Ex-4 (1-3) fragment contributes to the regulation of
glucose. [Gly2, Glu3]-OXM and [Gly2, Glu3]-glucandin are insulinotropic and
glucose-lowering peptides. It was of interest that the substitution of the first
3 amino acids of OXM with Ex-4 (1-3) could reverse the upregulation of glucose by
OXM into downregulation of glucose. In lowering glycemia, [Gly2, Glu3]-OXM seemed
almost as effective as Ex-4, and [Gly2, Glu3]-glucandin was less profound than Ex
4. These findings contributed new insights into the hormonal regulation of
glucose in ruminants. The action of [Gly2, Glu3]-OXM and [Gly2, Glu3]-glucandin
might provide an advantage in glycemic control of insulin resistance in cattle
and humans.
PMID- 27888740
TI - Measuring ultra-weak photon emission as a non-invasive diagnostic tool for
detecting early-stage type 2 diabetes: A step toward personalized medicine.
AB - The global prevalence of type 2 diabetes is estimated to reach 4.4% by 2030,
placing a significant burden on our healthcare system. Therefore, the ability to
identify patients in early stages of the disease is essential for both prevention
and effective management, and diagnostic methods based on traditional Chinese
medicine (TCM) may be suitable for identifying patients with early-stage type 2
diabetes. Here, a panel of three physicians trained in TCM classified 44 pre
diabetic subjects into three syndrome subtypes using TCM-based diagnostics. In
addition, ultra-weak photon emission (UPE) was measured at four anatomical sites
in each subject. Ten properties encompassing 40 parameters were then extracted
from the UPE time series. Statistical analyses, including multinomial logistic
regression, were performed using the results of each parameter measured at the
four sites. Sixteen UPE parameters were then selected and used to discriminate
between the three subtypes of pre-diabetic subjects. Next, Spearman's correlation
coefficient was used to quantify the correlation between the 16 UPE parameters
and the TCM-based diagnoses. The resulting correlation networks accurately
reflected the differences between the three syndrome subtypes. These results
suggest that UPE is a suitable tool for detecting subtypes in early-stage type 2
diabetes. In addition, our results provide evidence that TCM may represent an
important step toward personalized medicine.
PMID- 27888741
TI - Cell-type dependent response to photodynamic treatment in 3D collagen cell
cultures.
AB - Photodynamic therapy (PDT) can induce direct tumor cell destruction, indirect
tumor cell inactivation due to vascular occlusion as well as immune response.
Evidence suggests that the PDT-induced cell death is dependent on both PDT
protocol-related as well as microenvironmental factors, and its mode is also
decisive for the type of immune response. This suggests potential
interrelationship among PDT-induced tumor cell destruction, immune response and
microenvironmental factors. In the present study we analyzed the effect of a
microenvironmental factor - extracellular matrix on the cellular response to
photodynamic treatment in vitro. By using conventional proliferation and modified
cell survival assays as well as fluorescence imaging, we compared efficacy of
aminolevulinic acid (ALA)-PDT to inactivate three esophageal cell lines in two-
and three-dimensional formats. Modified cell colony assay indicated comparable
PDT doses leading to death of both Kyse 450 and Het-1A cells on plastic, whereas
Kyse 70 cells were only partially responsive. In 3D collagen matrices, we were
able to induce only death of Kyse 450 cells by ALA-PDT, if analyzed 24h after
treatment. Consistently, only Kyse 450 cells were able to produce detectable
amounts of PpIX after incubation of their 3D collagen cultures with ALA. Our
results demonstrate that cellular response to ALA-PDT is cell-type dependent both
in two- and three-dimensional formats and indicate that the extracellular matrix
might modify it.
PMID- 27888742
TI - Serological evidence for high prevalence of Influenza D Viruses in Cattle,
Nebraska, United States, 2003-2004.
AB - Influenza D virus (IDV), a new member of the influenza virus family, was first
reported in 2011 in swine in Oklahoma, USA, and then soon found in cattle across
North America and Eurasia. Earlier studies suggested cattle serve as natural
reservoir for IDV. The goal of this study is to perform a retrospective study
looking at sera collected from Nebraska beef herds in 2003-2004 and 2014 for
evidence of IDV antibodies. Results showed that all 40 randomly selected farms
(2003-2004) we tested contained IDV seropositive adult animals and that
approximately 98% of newborn calves (2014) had high levels of maternal antibodies
against IDV. This study suggested that IDV exposures were present in Nebraska
beef cattle since at least 2003.
PMID- 27888743
TI - Plasmapheresis for refractory status epilepticus Part II: A scoping systematic
review of the pediatric literature.
AB - BACKGROUND: Our goal was to perform a scoping systematic review of the literature
on the use of plasmapheresis or plasma exchange (PE) for refractory status
epilepticus (RSE) in children. METHODS: Articles from MEDLINE, BIOSIS, EMBASE,
Global Health, Healthstar, Scopus, Cochrane Library, the International Clinical
Trials Registry Platform, clinicaltrials.gov (inception to May 2016), reference
lists of relevant articles, and gray literature were searched. The strength of
evidence was adjudicated using both the Oxford and GRADE methodology by two
independent reviewers. RESULTS: Twenty-two original articles were identified,
with 37 pediatric patients. The mean age of the patients was 8.3 years (age
median: 8.5, range: 0.6 years-17 years). Seizure response to PE therapy occurred
in 9 of the 37 patients (24.3%) included in the review, with 7 patients (18.9%)
displaying resolution of seizures and 2 patients (5.4%) displaying a partial
reduction in seizure volume. Twenty-eight of the 37 patients (75.7%) had no
response to PE therapy. No adverse events were recorded. CONCLUSIONS: Oxford
level 4, GRADE D evidence exists to suggest little to no benefit of PE in
pediatric RSE. Routine application of PE for pediatric RSE cannot be recommended
at this time.
PMID- 27888744
TI - Bilateral changes in muscle architecture of physically active people with chronic
stroke: A quantitative muscle ultrasound study.
AB - OBJECTIVE: Changes in muscle architecture after stroke are usually assessed by
investigating inter-limb differences. As a result bilateral changes of muscle
architecture might be missed. Our aim was to investigate whether bilateral
architectural changes in skeletal muscle can be detected in chronic, physically
active stroke patients using quantitative muscle ultrasound (QMUS). METHODS:
Twenty-eight patients (mean time since stroke 5.2years, median Brunnstrom stage
4) were recruited. QMUS images were obtained bilaterally from 2 arm and 4 leg
muscles. Corrected echogenicity (muscle ultrasound grayvalue) and muscle
thickness were compared to reference values obtained from healthy subjects.
Correlations of muscle changes with demographic, clinical and neurophysiological
characteristics were explored. RESULTS: Out of 6 muscles, a significant increase
in mean echogenicity was found in 4 paretic and 3 non-paretic side muscles.
Significant decreases in mean muscle thickness were found in 2 paretic side
muscles and 1 non-paretic side muscle. Echogenicity of the medial gastrocnemius
correlated moderately with walking speed (inversely) and time since stroke.
CONCLUSIONS: This study showed that QMUS is a feasible technique to investigate
architectural changes in skeletal muscles in the chronic phase of stroke and that
abnormalities can be found in muscles on both the hemiparetic and non-paretic
side. SIGNIFICANCE: Intriguing data on bilateral changes in muscles of people
with stroke is presented. Directions for future research are provided.
PMID- 27888745
TI - Feasibility of eliciting the H reflex in the masseter muscle in patients under
general anesthesia.
AB - OBJECTIVE: To explore the feasibility of eliciting the brainstem H reflex in the
masseter muscle in patients under general anesthesia. METHODS: We electrically
stimulated the masseteric nerve, a branch of the trigeminal nerve, and recorded
ipsilateral masseteric and temporalis muscle responses. We tested eight patients
who presented with trigeminal neuralgia; one patient had a temporal bone tumor
and one patient had a brainstem arteriovenous malformation. All responses were
elicited when patients were under general anesthesia and before the initiation of
surgery. RESULTS: The H reflex in the masseter muscle was reliably elicited in
70% of the patients. The reflexes met the usual criteria for the H reflex because
they were elicited below the threshold of the direct M response, and their
amplitudes decreased when the M response increased with stronger stimuli. The
mean onset latencies of the masseter H reflex and the M response were 5.4+/-1.3ms
and 2.6+/-0.6ms, respectively. CONCLUSIONS: In the present study, we provide
evidence of the feasibility of eliciting the H reflex in the masseter muscles of
patients under general anesthesia. SIGNIFICANCE: The H reflex of the masseter
muscle may represent a new method available for intraoperative monitoring.
Specifically, this method may be important for the monitoring of brainstem
functional integrity, particularly in the midbrain and mid-pons, in addition to
the trigeminal nerve path.
PMID- 27888748
TI - Help me if you can: Evaluating the effectiveness of interpersonal compared to
intrapersonal emotion regulation in reducing distress.
AB - BACKGROUND AND OBJECTIVES: Although humans have developed abundant strategies to
down regulate their own negative emotions, at times of distress they frequently
turn to significant others to seek comfort. In the present study we use a novel
performance-based paradigm to evaluate the effectiveness of this interaction.
METHODS: Forty-seven couples in a long-term relationship volunteered to
participate in the study. In each couple the two partners were randomly assigned
as either target or regulator. The target viewed pictures with negative valance.
In response to each picture he/she was then instructed to choose and apply a
regulatory strategy (i.e., intrapersonal emotion regulation) or to apply a
regulatory strategy chosen by his/her partner, the regulator (i.e., interpersonal
emotion regulation). RESULTS: We found that the outside perspective of the
regulator helped reducing distress more effectively than intrapersonal emotion
regulation. Moreover, the cognitive, but not the emotional, empathy of the
regulator predicted the added value of interpersonal emotion regulation.
Specifically, regulators with a better ability to understand their partners'
point of view, selected regulatory strategies that reduced levels of distress
more effectively. LIMITATIONS: While the present study examined possible effects
of depression, anxiety and the ability to identify and describe feelings, a
larger sample is needed in order to optimally address their potential moderating
effect. CONCLUSIONS: The results illuminate the value of non-professional
interventions and the importance of cognitive empathy in reducing distress. The
study has significant clinical implications, providing a simple behavioral tool
that can be used to decrease and prevent psychopathology.
PMID- 27888746
TI - Maternal exposure to ozone and PM2.5 and the prevalence of orofacial clefts in
four U.S. states.
AB - BACKGROUND: While there is some evidence that maternal exposure to ambient air
pollution is associated with orofacial clefts in offspring, the epidemiologic
studies have been largely equivocal. We evaluated whether maternal exposure to
elevated county-level ambient fine particulate matter with aerodynamic diameter
<=2.5um (PM2.5) and ozone during early gestation was associated with a higher
prevalence of orofacial clefts. METHODS: Birth data consisting of 4.7 million
births from 2001 to 2007 were obtained from National Birth Defects Prevention
Network for four states - Arizona, Florida, New York (excluding New York City),
and Texas. The air pollution exposure assessment for gestational weeks 5-10 was
based on county-level average concentrations of PM2.5 and ozone data generated
using a Bayesian fusion model available through CDC's Environmental Public Health
Tracking Network. Two outcomes were analyzed separately: cleft lip with or
without cleft palate, cleft palate alone. In logistic regression analyses, we
adjusted for factors that were suspected confounders or modifiers of the
association between the prevalence of orofacial clefts and air pollution, i.e.,
infant sex, race-ethnicity, maternal education, smoking status during pregnancy,
whether this was mother's first baby, maternal age. RESULTS: Each 10ug/m3
increase in PM2.5 concentration was significantly associated with cleft palate
alone (OR =1.43, 95% CI: 1.11-1.86). There was no significant association between
PM2.5 concentration and cleft lip with or without cleft palate. No associations
were observed between ozone exposure and the two outcomes of orofacial clefts.
CONCLUSIONS: Our study suggests that PM2.5 significantly increased the risk of
cleft palate alone, but did not change the incidence of cleft lip with or without
palate. Ozone levels did not correlate with incidence of orofacial clefts.
PMID- 27888747
TI - Disconfirming contamination-related threat beliefs by exposure plus safety
behavior.
AB - BACKGROUND AND OBJECTIVES: Safety behavior (SB) is detrimental to the beneficial
effects of exposure, because it prevents patients from obtaining evidence that
disconfirms their excessive threat beliefs. However, previous studies showed that
cleaning SB during exposure to a contaminant does not prevent a reduction in
feelings of contamination, fear of contamination, danger, and disgust (CFDD). We
aimed to directly examine the effect of SB during exposure to a contaminant on
threat beliefs associated with CFDD. METHOD: Healthy participants were randomly
assigned to one of three groups: repeated exposure to a contaminant whilst
abstaining from SB (exposure plus response prevention; E + RP); with the use of
disinfectant wipes after each instance of exposure (exposure plus SB; E + SB); or
no exposure or safety behavior (control condition). Participants identified their
threat belief associated with the contaminant and rated CFDD and the degree to
which they believed their threat belief at the pre- and post-test. RESULTS: The E
+ RP and E + SB condition resulted in a larger decrease of CFDD and threat belief
ratings than the control condition, whereas these reductions did not differ
between the E + RP and E + SB condition. LIMITATIONS: Results were obtained from
a nonclinical sample, and with a single session of exposure. CONCLUSION: Cleaning
SB did not impede the beneficial effects of exposure.
PMID- 27888749
TI - Young people with higher social anxiety are less likely to adopt the perspective
of another: Data from the Director task.
AB - BACKGROUND AND OBJECTIVES: Young people with social anxiety display poor social
functioning but it is unclear whether this is underscored by difficulties in key
social cognitive abilities, such as perspective taking. Here, we examined whether
increased social anxiety is associated with reduced accuracy on a perspective
taking task and whether this relationship is stronger at particular periods
within adolescence. METHODS: Fifty-nine adolescents aged 11-19 years completed
the computerised Director Task (DT) and the Social Anxiety Scale for Adolescence.
In the DT, participants virtually move objects by following either instructions
given by the 'Director' (who can see only some objects), or a simple rule to
ignore certain objects. RESULTS: Participants who scored above the clinical cut
off for social anxiety (n = 17) were less accurate when they had to take the
perspective of the Director into account than those scoring below cut-off, yet
performed similarly on control trials. Preliminary analysis indicated that poorer
performance was most strongly associated with social anxiety in mid-adolescence
(14-16.5 years). LIMITATIONS: The DT has been used previously to measure online
perspective taking but the underlying cognitive mechanisms have not been fully
elucidated. Extending these findings using additional measures of perspective
taking would be valuable. CONCLUSIONS: Adolescents with higher social anxiety
were less accurate at taking the perspective of a computerised character, with
some suggestion that this relationship is strongest during mid-adolescence. If
replicated, these findings highlight the importance of addressing specific social
cognitive abilities in the assessment and treatment of adolescent social anxiety.
PMID- 27888750
TI - Medium platelet volume as a noninvasive predictor of chronic total occlusion in
non-infarct artery in patients with non-ST-segment elevation myocardial
infarction and multivessel coronary artery disease.
AB - BACKGROUND: Chronic total occlusion (CTO) in a noninfarct-related artery (non
IRA) is an independent predictor of mortality in non-ST elevation myocardial
infarction (NSTEMI). Mean platelet volume (MPV) is associated with cardiovascular
events in NSTEMI. Little is known about the predictors of non-IRA-CTO in patients
with NSTEMI. The purpose of this study was to evaluate the relationship between
the presence of non-IRA-CTO with MPV level in patients with NSTEMI and
multivessel coronary artery disease (MVCAD). METHODS: Data of consecutive
patients with NSTEMI and MVCAD treated in high-volume PCI center between 2006 and
2012 and included in the prospective registry were divided according to the
presence of CTO and analyzed. RESULTS: In the group of 685 patients fulfilling
the inclusion criteria, 308 (45%) patients had CTO (CTO+), and in 377 (55%)
patients CTO was not observed (CTO-). The MPV level on admission was
significantly higher in the CTO+ group than in the CTO- group (P<0.0001). In the
multivariate analysis of the entire study population, independent predictors of
occurrence of CTO were medium platelet volume (MPV; per 1fL more; odds ratio [OR]
1.34; 95% confidence interval [CI] 1.15-1.57; P=0.0002) together with left
ventricular ejection fraction and prior MI. CONCLUSIONS: MPV at admission is
independently associated with more frequent occurrence of non-IRA CTO in patients
with NSTEMI and MVCAD.
PMID- 27888751
TI - Remote ischemic preconditioning attenuates EGR-1 expression following myocardial
ischemia reperfusion injury through activation of the JAK-STAT pathway.
AB - BACKGROUND/OBJECTIVES: Remote ischemic preconditioning (RIPC) protects the
myocardium from ischemia/reperfusion (I/R) injury however the molecular pathways
involved in cardioprotection are yet to be fully delineated. Transcription factor
Early growth response-1 (Egr-1) is a key upstream activator in a variety of
cardiovascular diseases. In this study, we elucidated the role of RIPC in
modulating the regulation of Egr-1. METHODS: This study subjected rats to
transient blockade of the left anterior descending (LAD) coronary artery with or
without prior RIPC of the hind-limb muscle and thereafter excised the heart 24h
following surgical intervention. In vitro, rat cardiac myoblast H9c2 cells were
exposed to ischemic preconditioning by subjecting them to 3cycles of alternating
nitrogen-flushed hypoxia and normoxia. These preconditioned media were added to
recipient H9c2 cells which were then subjected to 30min of hypoxia followed by
30min of normoxia to simulate myocardial I/R injury. Thereafter, the effects of
RIPC on cell viability, apoptosis and inflammatory markers were assessed.
RESULTS: We showed reduced infarct size and suppressed Egr-1 in the heart of rats
when RIPC was administered to the hind leg. In vitro, we showed that RIPC
improved cell viability, reduced apoptosis and attenuated Egr-1 in recipient
cells. CONCLUSIONS: Selective inhibition of intracellular signaling pathways
confirmed that RIPC increased production of intracellular nitric oxide (NO) and
reactive oxygen species (ROS) via activation of the JAK-STAT pathway which then
inactivated I/R-induced ERK 1/2 signaling pathways, ultimately leading to the
suppression of Egr-1.
PMID- 27888752
TI - Factors influencing brain natriuretic peptide levels in healthy pregnant women.
AB - BACKGROUND: The normal range of plasma brain natriuretic peptide (BNP) in
pregnant women is still unclear. Moreover, pregnant women experience dynamic body
weight changes and suffer from anemia, but effects on maternal BNP have not been
investigated. This study aimed to reveal the normal plasma BNP range and examine
the effects of physiological changes on BNP among pregnant women. METHODS AND
RESULTS: Plasma BNP, hemoglobin, plasma creatinine and BMI were measured in 58
non-pregnant control women and in 773 normal pregnant women at late pregnancy,
early postpartum and 1-month postpartum. Mean plasma BNP (in pg/mL) was 11.8 (95%
confidence interval: 0-27.5) in non-pregnant women, 17.9 (0-44.7, p<0.001) at
late pregnancy, 42.5 (0-112.6, p<0.001) early postpartum and 16.1 (0-43.9,
p=0.001) 1-month postpartum. Multiple regression analysis revealed that pre
delivery BNP levels were negatively correlated with BMI (p<0.001) and hemoglobin
(p=0.002) and positively correlated with creatinine (p<0.001). Post-delivery BNP
was positively associated with body weight change during pregnancy (p=0.001) and
post-delivery creatinine (p=0.010) but negatively associated with body weight
loss at delivery (p<0.001) and post-delivery hemoglobin (p=0.004). CONCLUSION:
Even normal pregnancy affects plasma BNP, particularly in the early postpartum
period, indicative of cardiac stress. Plasma BNP levels are affected by BMI, body
weight changes, creatinine and hemoglobin levels; therefore, these factors should
be considered when analysing cardiac function and the physiological implications
of BNP levels in pregnant women.
PMID- 27888753
TI - Gastrointestinal bleeding and intracranial hemorrhage in concomitant users of
warfarin and antihyperlipidemics.
AB - BACKGROUND: Drug interactions, particularly those involving warfarin, are a major
clinical and public health problem. Minimizing serious bleeding caused by
anticoagulants is a recent major focus of the United States (US) Department of
Health and Human Services. This study quantified the risk of gastrointestinal
bleeding (GIB) and intracranial hemorrhage (ICH) among concomitant users of
warfarin and individual antihyperlipidemics. METHODS: The authors conducted a
high-dimensional propensity score-adjusted cohort study of new concomitant users
of warfarin and an antihyperlipidemic, among US Medicaid beneficiaries from five
states during 1999-2011. Exposure was defined by concomitant use of warfarin plus
one of eight antihyperlipidemics. The primary outcome measure was a composite of
GIB/ICH within the first 30days of concomitant use. As a secondary outcome
measure, GIB/ICH was examined within the first 180days of concomitant use.
RESULTS: Among 236,691 persons newly-exposed to warfarin and an
antihyperlipidemic, the crude incidence of GIB/ICH was 13.2 (95% confidence
interval 12.7 to 13.8) per 100person-years. Users were predominantly older,
female, and Caucasian. Adjusted hazard ratios (aHRs) for warfarin and individual
statins were consistent with no association. Warfarin+gemfibrozil was associated
with an 80% increased risk of GIB/ICH within the first month of concomitant use
(aHR=1.8, 1.4 to 2.4). Warfarin+fenofibrate was associated with a similar
increased risk (aHR=1.8, 1.2 to 2.7), yet with an onset during the second month
of concomitant use. CONCLUSIONS: Among warfarin-treated persons, the use of
fibrates-but not statins-increases the risk of hospital presentation for GIB/ICH.
PMID- 27888754
TI - Body mass index is an independent predictor of major bleeding in non-valvular
atrial fibrillation patients taking dabigatran.
AB - BACKGROUND/OBJECTIVES: Adverse bleeding events happened more frequently among
individuals with, or at risk of, a low body mass index (BMI) than others. Despite
increasing use of dabigatran in atrial fibrillation (AF) patients, the
relationship between individual BMI and major bleeding events has not been
clearly elucidated. METHODS: This study was an observational study of 842
patients who were enrolled and were followed up for a median of 20months to
monitor the occurrence of the bleeding complications. The patients were divided
into three study groups according to the BMI tertile ( tertile 1: ?23.9kg/m2,
n=273; tertile 2: 23.926.5kg/m2, n=279).
RESULTS: During follow-up, 28 participants suffered from bleeding events. BMI was
significant predictor of hospitalization for major bleeding (BMI, hazard ratio
(HR) 0.830, p=0.002). The incorporation of BMI tertile significantly improved the
outputs of a model that was based on continuous age and HAS-BLED score without
age component (p=0.001). CONCLUSIONS: Lower BMI is associated with higher
incidence of major bleeding in these patients. BMI may improve the prediction of
an increased individual bleeding risk of a patient with AF who has been treated
with dabigatran.
PMID- 27888755
TI - High sensitive cardiac troponin T: Testing the test.
AB - BACKGROUND: High sensitive cardiac troponin T (hs-TnT) found its way into
everyday clinical routine to diagnose acute myocardial infarction (AMI). However,
its levels vary considerably based on the underlying pathophysiology of the
patients. Hence we sought to test the applicability of the currently only
available hs-TnT assay (Roche Diagnostics, Switzerland) to diagnose acute
myocardial infarction. METHODS AND PATIENTS: Retrospectively, we analyzed the hs
TnT results of 1573 patients admitted to a level A university hospital emergency
department. Overall 323 patients had an acute cardiac event defined as Non-ST
Elevated Myocardial Infarction (NSTEMI) and 286 patients had a ST-Elevated
Myocardial Infarction (STEMI). 964 patients served as controls, consisting of
patients with other cardiac and non-cardiac morbidity. RESULTS: The sensitivity
of hs-TnT for detecting an acute cardiac event was more than 92% overall. The
specificity varied around 35% depending on the respective patient cohort. ROC
curve analysis of the initial hs-TnT results showed that the AUC in total cardiac
events (STEMI and NSTEMI) was 0.81. Detailed analysis resulted in an AUC of 0.79
in NSTEMI and 0.84 in STEMI patients detected via the initial hs-TnT. We further
tested the ESC algorithm for detecting NSTEMI and obtained a sensitivity of about
83%, while 43% of all non-NSTEMIs are classified as NSTEMIs. CONCLUSION: We show
that the specificity of hs-TnT for AMI is very low and conclude that the current
assay including its delta values represents myocardial damage of any origin. This
damage alone does not substantiate an AMI diagnosis even when international
algorithms are applied.
PMID- 27888756
TI - Isolated heart transplant and combined heart-liver transplant in adult congenital
heart disease patients: Insights from the united network of organ sharing.
AB - BACKGROUND/METHODS: The aging patient with severe congenital heart disease (CHD)
faces many challenges: heart failure, arrhythmia, and in the Fontan patient,
liver disease. Our goal was to define combined heart liver transplant (CHLT) and
isolated orthotopic heart transplant (OHT) outcomes in U.S. adult CHD patients.
The U.S. United Network for Organ Sharing (UNOS) thoracic and liver databases
were queried for cardiac and CHD diagnoses, from inception-2014. RESULTS: In
CHLT, CHD made up 22% of waitlist patients (non-CHD n=262 vs. CHD n=58), and 20%
of transplanted patients (non-CHD n=137 vs. CHD n=27). Liver function tests in
the non-CHD and CHD groups were similar and there was no difference in CHD and
non-CHD survival (HR 0.93, CI: 0.36-2.38, p 0.48). In isolated OHT, CHD patients
comprised 2% of those listed (non-CHD n=74,080 vs. CHD n=1599) and transplanted
(non-CHD n=48,985 vs. CHD n=967) and had higher early (<1year) mortality (HR
1.36, CI: 1.18-1.57, p<0.0001), but better long-term survival (HR 0.66, CI; 0.57
0.76, p<0.001) than non-CHD. Both groups benefitted from mechanical support when
used (non-CHD HR 0.34, CI: 0.31-0.37 and CHD HR 0.14, CI: 0.03-0.58) and prior
sternotomy had no effect on mortality in CHD (HR 0.63, CI: 0.15-2.58).
CONCLUSIONS: Survival of CHD patients undergoing CHLT is no different than in non
CHD, encouraging consideration of CHLT when clinically appropriate. Short-term
mortality is higher in CHD (vs. non-CHD) patients undergoing OHT, regardless of
prior cardiac surgery status. Modifications to CHD classification within UNOS
would help better understand CHD CHLT and OHT outcomes.
PMID- 27888757
TI - BMP4/Id2 signaling pathway is a novel therapeutic target for late outgrowth
endothelial progenitor cell-mediated endothelial injury repair.
AB - BACKGROUND: Endothelial progenitor cells (EPCs) play a pivotal role in
endothelial repair following artery injury, however, the molecular mechanism of
late outgrowth EPCs (LEPCs) in endothelial repair remained to be studied. Bone
morphogenetic protein 4 (BMP4) is involved in vascular injury-mediated
mobilization and homing of LEPCs. Here, we investigated the influence of BMP4
modified signaling pathway in LEPC-related endothelial repair of human and
underlying molecular mechanism. METHODS AND RESULTS: In vitro, after a 28day
culture, human LEPCs were pretreated with different concentrations of recombinant
BMP4 (0, 10, 50, or 100ng/mL), which markedly augmented the migration and
adhesion in vitro and demonstrated a significantly accelerated in vivo
endothelial repair capacity of human LEPCs after transplantation into nude mice
with carotid artery denudation injury. Moreover, the main Id gene (Id2), a well
characterized down-streaming target of BMP4, upregulated in LEPCs incubated with
recombinant BMP4. The BMP4-induced enhancement in in vitro functional activities
and in vivo endothelial repair capacity of human LEPCs were abolished by
pretreatment with BMP antagonist Noggin or shRNA-mediated knockdown of BMP4
expression. Furthermore, BMP4 gene transfer remarkably activated BMP4-mediated
signaling pathway and facilitated therapeutic endothelial repair capacity of
LEPCs, and the improved functional activities of human LEPCs could be inhibited
by Noggin. CONCLUSION: Thus, the present study demonstrates for the first time
that BMP4-related signaling pathway is essential with endothelial repair capacity
of LEPCs in human. The upregulation of BMP4-modified signaling pathway in human
LEPCs may be a novel therapeutic strategy for endothelial repair after injury.
PMID- 27888758
TI - Left atrium and pulmonary vein imaging using sub-millisiviert cardiac computed
tomography: Impact on radiofrequency catheter ablation cumulative radiation
exposure and outcome in atrial fibrillation patients.
AB - BACKGROUND: The outcome of radiofrequency catheter ablation (RFCA) of atrial
fibrillation (AF) has improved thanks to left atrium (LA) anatomy reconstruction
by cardiac computed tomography (CCT). A new model-based iterative reconstruction
algorithm (MBIR) provides image noise reduction achieving effective radiation
dose (ED) close to chest X-ray exposure. Aim of this study was comparing RFCA
procedural characteristics, AF recurrence and radiation exposure between patients
in whom RFCA was guided by CCT image integration with MBIR versus a CCT standard
protocol. METHODS: Three-hundred consecutive patients with drug-refractory AF
were studied with CCT using MBIR (Group 1; N:150) or CCT with standard protocol
(Group 2; N:150) for LA evaluation and treated by image integration-supported
RFCA. Image noise, signal to noise ratio (SNR), contrast to noise ratio (CNR),
RFCA procedural characteristics, rate of AF recurrence and radiation exposure
were compared. RESULTS: Group 1 showed higher SNR (25.9+/-7.1 vs. 16.2+/-4.8,
p<0.001) and CNR (23.3+/-7.1 vs. 12.2+/-4.2, p<0.001) and lower image noise
(22.3+/-5.2 vs. 32.6+/-8.1 HU, p<0.001), fluoroscopy time (21+/-12 vs. 29+/
15min, p<0.01) and procedural duration (135+/-89 vs. 172+/-55, p<0.001). Group 1
showed a 94% reduction of ED as compared to Group 2 (CCT-ED related: 0.41+/-0.04
vs. 6.17+/-4.11mSv, p<0.001; cumulative CCT+RFCA-ED related: 21.9+/-17.9 vs.
36.0+/-24.1mSv, p<0.001) with similar rate of AF recurrence (25% vs. 29%,
p=0.437). CONCLUSIONS: CCT with MBIR allows accurate reconstruction of LA anatomy
in AF patients undergoing RFCA with a sub-millisievert ED and comparable success
rate of RFCA as compared to a standard CCT scan protocol.
PMID- 27888759
TI - Prevention of statins against AKI and mortality following cardiac surgery - Do we
know enough? A trial sequential analysis.
PMID- 27888760
TI - Relationship between selected DNA polymorphisms and coronary artery disease
complications.
AB - BACKGROUND: Coronary heart disease (CHD) development is complex in origin, with
contributions from well-defined lifestyle and not well-determined genetic risk
factors. The aim of this study is to report the relationship between certain SNPs
and the risk of cardiovascular (CV) complications in patients with CAD confirmed
by coronary angiography. METHODS: In the present study, 1345 subjects with CHD
were included. The median follow-up period was 8.6years. 19 SNPs were
investigated for any association with Major Advanced CV Events (MACE), Acute
Coronary Syndromes (ACS) and Revascularizations. We modeled the 19 SNPs as a
multilocus genetic risk score (GRS19). RESULTS: During follow-up period, 245
participants died; 114 due to CV causes. A fatal or non-fatal CV event occurred
in 882 participants including 214 ACS, 578 revascularizations and 90 strokes. The
alleles of the following SNPs: rs1746048 (CXCL12), rs9818870 (MRAS) and
rs17114036 (PPAP2B) were associated with a higher risk of MACE and the alleles of
SNPs rs1746048 (CXCL12) and rs1122608 (LDLR) were associated with a higher risk
of revascularization. The alleles of rs12190287 (MRAS), rs121902287 (TCF21) and
rs2259816 (HNF1a) were associated with a higher risk of ACS. Despite the lack of
relationship between significant CAD and GRS19, in the top quartile of GRS19
there was significant relationship between GRS19 and combined endpoint, MACE,
ACS, and revascularization. CONCLUSIONS: Conclusions. The SNPs of CXCL12 and LDLR
were associated with risk of revascularization and CXCL12, LPA, MRAS, and PPAP2B
were associated with the risk of MACE. GRS19 determines CV complications in CAD
patients with the highest genetic risk score values.
PMID- 27888761
TI - Tissue thrombin is associated with the pathogenesis of dilated cardiomyopathy.
AB - BACKGROUND: Thrombin is a serine protease known to be the final product of the
coagulation cascade. However, thrombin plays other physiological roles in
processes such as gastric contractions and vessel wound healing, and a state of
coagulability is increased in patients with dilated cardiomyopathy (DCM). In this
study, we investigate the role of thrombin in the pathogenesis of DCM. The
purpose of this study is to clarify the role of thrombin in the pathogenesis of
DCM and investigate the possibility of treatment against DCM by thrombin
inhibition. METHODS: We investigated the expression of thrombin in the left
ventricles of five patients with DCM who underwent the Batista operation and four
patients without heart disease. Furthermore, we investigated the involvement of
thrombin in the development of DCM using knock-in mice with a deletion mutation
of cardiac troponin T that causes human DCM (?K210 knock-in mouse) (B6;129
Tnnt2tm2Mmto) and assessed the effects of a direct thrombin inhibitor, dabigatran
on ?K210 knock-in mice using echocardiographic examinations, the Kaplan-Meier
method and Western blotting. RESULTS: The immunohistochemical analysis showed a
strong thrombin expression in the DCM patients compared to the patients without
heart disease. In immunohistochemical analysis, a strong thrombin expression was
observed in the heart tissues analysis in the ?K210 knock-in mice. Dabigatran
administration significantly improved fractional shortening according to the
echocardiographic examination and the survival outcomes in ?K210 knock-in mice.
CONCLUSION: Tissue thrombin is involved in the pathogenesis of DCM and thrombin
inhibition can be beneficial for the treatment of DCM.
PMID- 27888762
TI - Nutritional preconditioning by marine omega-3 fatty acids in patients with ST
segment elevation myocardial infarction: A METOCARD-CNIC trial substudy.
AB - BACKGROUND: Marine omega-3 eicosapentaenoic acid (EPA) is readily incorporated
into cardiomyocyte membranes, partially displacing the omega-6 arachidonic acid
(AA). Whereas AA is a substrate for pro-inflammatory eicosanoids, the release of
EPA from cell membranes generates anti-inflammatory lipid mediators, contributing
to the infarct-limiting effect observed experimental models. Clinical data are
lacking. METHODS: In this observational study conducted in 100 patients with a
reperfused anterior ST-elevation myocardial infarction (STEMI), at hospital
admission we quantified by gas-chromatography the red blood cell proportions of
AA, EPA, and the AA:EPA ratio, a valid surrogate for cardiomyocyte membrane
content. Patients underwent cardiac magnetic resonance imaging in the acute phase
(one week post-STEMI), and at long-term (6 months) follow-up. Infarct size
(delayed gadolinium enhancement) and cardiac function (left ventricular ejection
fraction [LVEF]) were correlated with exposures of interest by multivariate
regression analysis. RESULTS: AA:EPA ratio directly related to acute infarct size
(coefficient [95% CI]: 6.19 [1.68 to 10.69], P = 0.008) and inversely to long
term LVEF (coefficient [95% CI]: - 4.02 [- 7.15 to - 0.89], P = 0.012). EPA
inversely related to acute infarct size (coefficient [95% CI]: - 6.58; [- 11.46
to - 1.70]; P = 0.009), while a direct association with LVEF at follow-up
(coefficient [95% CI]: 3.67 [0.25 to 7.08]; P = 0.036) was observed. CONCLUSIONS:
A low AA:EPA ratio in red blood cells at the time of STEMI is associated with
smaller acute infarct size and preserved long-term ventricular function. Our
results are consistent with prior work in experimental models and add to the
notion of omega-3 fatty acids as a healthy fat. TRIAL REGISTRATION:
http://www.clinicaltrials.gov/NCT01311700
PMID- 27888763
TI - Mitral annulus diameter is the main echocardiographic correlate of S3 gallop in
acute heart failure.
PMID- 27888764
TI - Clinical characteristics and prognosis of acute coronary syndrome in young women
and men: A systematic review and meta-analysis of prospective studies.
AB - OBJECTIVES: The clinical characteristics and prognosis of young women (<=50years)
with acute coronary syndrome (ACS) are still unclear. METHODS: A total of 5196
patients with ACS were enrolled from the cardiac center of Chinese PLA General
Hospital and Anzhen Hospital. The clinical characteristics of these patients were
analyzed. Then the PubMed, EMBASE, and Cochrane Central Register of Controlled
Trials electronic databases were searched from January 2001 to July 2016.
Clinical trials that performed comparisons prognosis of young women versus men
with ACS were considered for inclusion. RESULTS: The young men had higher BMI,
had increased incidence of hyperlipidemia, and were more likely to smoke, drink
alcohol, and have had a previous MI. There was a higher proportion of unstable
angina (UA) in women than in men (P<0.05). The proportion of thrombolysis
myocardial infarction (TIMI) 0 in women was lower than in men (P=0.001), and the
proportion of TIMI 3 was higher in women than in men (P=0.000). The mortality of
in-hospital, short-term, and long-term rate was significantly higher in women
than that in men (6.2% vs 2.9%, OR=1.84, P<0.001; 16.4% vs 11.5%, OR=1.66,
P=0.007; 8.9% vs 3.0%, OR=1.41, P=0.008), but there were no significant
differences in the rates of major adverse cardiac events (MACE). CONCLUSIONS: In
young women patients with ACS, the mortality rate during in-hospital, short-term,
and longer-term follow-up in women was higher than that in men. Thus, young women
with ACS need more attention during clinical diagnosis and treatment.
PMID- 27888765
TI - A clinical study on the electrophysiological characteristics of patients without
recurrence after ablation of persistent atrial fibrillation.
AB - BACKGROUND: The electrophysiological characteristics of patients without
recurrence after ablation of persistent atrial fibrillation (AF) have not been
systematically determined. This study compared the electrophysiological
characteristics in patients with and without recurrence of AF after persistent AF
ablation. METHODS: Forty-five patients without recurrence of AF after persistent
AF ablation were enrolled to assess electrophysiological characteristics
including pulmonary vein (PV) reconnection, the mitral isthmus (MI) line and the
roof line reconduction. Ninety-five patients with recurrence of AF after ablation
were used as the control group. RESULTS: Among patients without recurrence,
recovery of PV conduction was observed in 37 of 45 (82.2%) patients: 3/45 (6.7%)
reconnection in 4 veins, 7/45 (15.6%) in 3 veins, 11/45 (24.4%) in 2 veins, and
16/45 (35.6%) in 1 vein. No significant differences were seen in the proportion
of patients with PV reconnection compared to patients with recurrence (p>0.05).
Among patients without recurrence, the MI line reconduction was observed in 3/45
(6.7%) patients; the roof line conduction was observed in 5/45 (11.1%) patients.
In comparison, patients with clinical recurrence of AF had recovery of the MI
line conduction in 27/95 (28.4%) and recovery of the roof line conduction in
26/95 (27.4%). Significant differences were seen between these two groups (6.7%
vs 28.4%, p=0.004; 11.1% vs 27.4%, p=0.031). CONCLUSION: Although a high
incidence of PV reconnection was similarly observed in patients with and without
recurrence of AF, a lower incidence of lines reconduction was observed in
patients without recurrence of AF.
PMID- 27888767
TI - One of these things is not like the others: Testing trajectories in drinking
frequency, drinking quantity, and alcohol-related problems in undergraduate
women.
AB - Alcohol misuse is an increasingly common problem in undergraduate women. Building
upon research suggesting that maturing out of risky patterns of alcohol use can
occur, our study tested how three facets of alcohol use change differentially
over time in undergraduate women. A sample of 218 undergraduate women (M
age=20.6years) participated in a four-wave, 18-month longitudinal study measuring
frequency of alcohol consuming occasions, quantity of alcohol consumed per
occasion, and alcohol-related problems. Growth curve analyses showed that alcohol
frequency remained stable over 18months, whereas alcohol quantity and problems
decreased over time. Results indicate undergraduate women are drinking with
similar frequency over time, but they are drinking a smaller quantity of alcohol
per drinking occasion and they are experiencing fewer alcohol-related problems.
Findings help clarify the maturity principle by showing a different pattern of
drinking as undergraduate women age that involves lower quantities of alcohol per
drinking occasion and less problematic alcohol use, but not necessarily less
frequent drinking.
PMID- 27888766
TI - Circadian time-dependent antioxidant and inflammatory responses to acute cadmium
exposure in the brain of zebrafish.
AB - Up to date, little information is available on effects of circadian rhythm on
metal-induced toxicity in fish. In this study, zebrafish were acutely exposed to
0.97mgL-1 cadmium for 12h either at ZT0 (the light intensity began to reached
maximum) or at ZT12 (light intensity began to reached minimum) to evaluate the
temporal sensitivity of oxidative stress and inflammatory responses in the brain
of zebrafish. Profiles of responses of some genes at mRNA, protein and activity
levels were different between ZT0 and ZT12 in the normal water. Exposure to Cd
induced contrary antioxidant responses and similar inflammatory responses between
ZT0 and ZT12. However, the number of inflammatory genes which were up-regulated
was significantly greater at ZT12 than at ZT0. And, the up-regulated inflammatory
genes were more responsive at ZT12 than at ZT0. At ZT12, antioxidant genes were
down-regulated at mRNA, protein and activity levels. Contrarily, antioxidant
genes were not affected at mRNA levels but activated at the protein and/or
activity levels at ZT0. Reactive oxygen species (ROS) sharply increased and
remained relatively stable when fish were exposed to Cd at ZT12 and ZT0,
respectively. Positive correlations between ROS levels and mRNA levels of nuclear
transcription factor kappaB (NF-kappaB) and between mRNA levels of NF-kappaB and
its target genes were observed, suggesting that ROS may play an essential role in
regulating the magnitude of inflammatory responses. Taken together, oxidative
stress and immunotoxicity in the brain were more serious when fish were exposed
to Cd in the evening than in the morning, highlighting the importance of
circadian rhythm in Cd-induced neurotoxicity in fish.
PMID- 27888768
TI - Multiple myeloma treatment at relapse after autologous stem cell transplantation:
A practical analysis.
AB - Over the past decade, significant advances have been made in the field of
multiple myeloma. Introduction of the so-called novel agents, proteasome
inhibitors (PI) and immunomodulatory drugs (IMiD), and improved supportive care
have resulted in significantly better outcome. Standard first line treatment in
fit patients include PI and IMiD based induction, high dose melphalan with
autologous hematopoietic stem cell transplantation (ASCT) and
consolidation/maintenance. However, despite these progresses MM remains incurable
for the majority of patients and most patients will relapse. Next generation PI
(carfilzomib, ixazomib) and IMiD (pomalidomide) and new therapeutic classes:
monoclonal antibody (elotuzumab, daratumumab) and pan-deacetylase inhibitors
(panobinostat) have been successfully evaluated in relapse multiple myeloma. Some
of these new agents are now approved for multiple myeloma treatment at relapse.
However choosing the most appropriate treatment at relapse may be difficult. This
review sum up the most important studies and provide evidence to choose the most
relevant therapeutic strategy for relapse after ASCT, based on disease, patient
and previous treatment related parameters.
PMID- 27888769
TI - A first described case of cancer-associated non-bacterial thrombotic endocarditis
in the era of direct oral anticoagulants.
PMID- 27888770
TI - The effect of the disulfideisomerase domain containing protein in the defense
against polyhexamethylene biguanide of highly tolerant Acanthamoeba at the
trophozoite stage.
AB - Acanthamoeba castellanii is a free-living protozoan pathogen capable of causing a
blinding keratitis and fatal granulomatous encephalitis. Current treatment
generally involves an hourly application of polyhexamethylene biguanide (PHMB)
over a period of several days but this is not entirely effective against all
strains/isolates. The tolerance mechanisms of PHMB in Acanthamoeba cells remain
unclear. In this study, we found that the mRNA expression level of
disulfideisomerase domain containing protein (PDI) increased rapidly in surviving
cells of the highly PHMB-tolerant Acanthamoeba castellanii strain, NCKH_D, during
PHMB treatment, but not in the ATCC standard strain. After PDI-specific
silencing, NCKH_D was found to be more vulnerable to PHMB treatment. The results
described above show that PDI is an important gene for PHMB tolerance ability in
a highly PHMB-tolerant strain of Acanthamoeba and provide a new insight for more
efficient medicine development for Acanthamoeba keratitis.
PMID- 27888771
TI - Increased anxiety-like behaviors, but blunted cortisol stress response after
neonatal hippocampal lesions in monkeys.
AB - The hippocampus is most notably known for its role in cognition and spatial
memory; however it also plays an essential role in emotional behaviors and
neuroendocrine responses. The current study investigated the long-term effects of
neonatal hippocampal lesions (Neo-Hibo) on emotional and hypothalamic-pituitary
adrenal (HPA) axis functioning. During infancy, unlike controls, Neo-Hibo monkeys
exhibited enhanced expression of emotional behaviors (e.g. freezing, anxiety
like, and self-directed behaviors) when exposed to a human intruder (HI task).
Upon reaching adulthood, they exhibited reduced freezing and hostility, but
increased anxiety-like and self-directed behaviors during the HI task. Neo-Hibo
monkeys behaved as if they systematically over-rated the risk inherent in the HI
task, which supports Gray and McNaughton's septo-hippocampal theory of anxiety.
Also, in adulthood, the increased levels of anxiety-like behaviors in Neo-Hibo
monkeys were associated with a blunted cortisol response to the HI task.
Examination of basal HPA axis function revealed that Neo-Hibo monkeys exhibited
the typical diurnal cortisol decline throughout the day, but had lower cortisol
concentrations in the morning as compared to controls. Taken together these data
suggest that an intact hippocampus during development plays a larger role beyond
that of inhibitory/negative feedback regulation of the HPA axis stress
activation, and may be critical for HPA axis basal functioning as well as for the
stress response. The behavioral and neuroendocrine changes demonstrated in the
current study are reminiscent of those seen in human or nonhuman primates with
adult-onset hippocampal damage, demonstrating little functional compensation
following early hippocampal damage.
PMID- 27888774
TI - Prediction of sodium content in commercial processed meat products using near
infrared spectroscopy.
AB - The present study evaluated the ability of near infrared transmittance (NIT)
spectroscopy (FoodScan, 850-1050nm) to predict sodium (Na) content in commercial
processed meat products (n=310) as intact and ground samples. Prediction models
were built with all samples spectra and with spectra divided in 5 categories
according to the manufacturing meat process. Sodium content (%) was determined
using inductively coupled plasma optical emission spectrometry. Modified partial
least squares regression for the overall samples showed satisfactory predictive
ability for intact (coefficient of determination in cross-validation, R2CV=0.93)
and ground samples (R2CV=0.95). Despite the low number of samples, good specific
prediction models were developed for each commercial meat category. In
conclusion, NIT is really promising for at-line application to predict Na in
processed meat products which could help industry to accomplish the new labelling
regulation.
PMID- 27888773
TI - The combined effects of grain supplementation and tenderstretching on alpaca
(Vicugna pacos) meat quality.
AB - This study investigated the effects of feeding a mixed grain supplement and
tenderstretching (TS) alpaca carcasses on meat quality. A total of 56 castrated
24month old alpacas were divided into two treatments (pasture-only, and pasture
plus supplementation). Supplemented groups were fed a mixed grain ration in
addition to ad lib pasture for 10weeks. Animals were slaughtered across two kill
days (n=28). One half of each carcass was suspended by the pelvis (TS) prior to
chilling, and the other half was Achilles tendon hung (AH). After 24h, muscles
were removed and aged for 10 and 25d. TS significantly increased sarcomere length
and reduced shear force and cooking loss in the m. semimembranosus. This trend
was not observed in other muscles including the m. longissimus thoracis et
lumborum (LL) and m. psoas major. Ageing period resulted in a marginal
improvement in LL tenderness. There is clear evidence that TS improves tenderness
in the hindquarter of alpacas.
PMID- 27888772
TI - Adrenocortical sensitivity, moderated by ongoing stress, predicts drinking
intensity in alcohol-dependent men.
AB - Allostatic load from both environmental stressors and persistent glucocorticoid
secretion has been associated with disease severity in alcohol dependence.
Heightened relapse risk and/or drinking severity, in particular, may be a
reaction to alcohol- and withdrawal-induced changes in physiological stress
response systems coupled with ongoing life stress, although their shared
contributions upon drinking severity have not been assessed. To investigate the
combined contribution of hypothalamic-pituitary-adrenal (HPA) reactivity and
environmental stressors (e.g., ongoing life stress) to relapse severity in
alcohol-dependent men following treatment, plasma adrenocorticotropin (ACTH) and
cortisol were obtained in 4-6 weeks abstinent alcohol-dependent men (n=41)
following a psychosocial stressor [the Trier Social Stress Test (TSST)] and two
pharmacological provocations [ovine corticotropin releasing factor (oCRH) and
cosyntropin]. Following treatment discharge, drinking outcomes (primary outcome:
drinks per drinking day (DDD); secondary outcomes: total drinks and drinking
days) were assessed weekly and ongoing life stress was assessed biweekly for 24
weeks following treatment discharge. Generalized estimating equation models of
drinking severity were fit with basal and stimulated ACTH and cortisol
concentrations as predictors and ongoing life stress as the moderator. Greater
levels of life stress were independently associated with greater drinking
intensity (DDD and total drinks) but not frequency (days drinking). Higher basal
cortisol:ACTH or provoked cortisol:ACTH ratios were strongly associated with
greater post-treatment DDD in individuals who experienced higher levels of
ongoing stress. In conclusion, ongoing life stress is associated with post
treatment drinking intensity in alcohol dependent men; stress also strengthens
the relationship between adrenocortical sensitivity and post-treatment drinking.
Physiological measures of allostatic load and environmental stressors conjointly
increase relapse intensity.
PMID- 27888775
TI - Cost, energy, global warming, eutrophication and local human health impacts of
community water and sanitation service options.
AB - We compared water and sanitation system options for a coastal community across
selected sustainability metrics, including environmental impact (i.e., life cycle
eutrophication potential, energy consumption, and global warming potential),
equivalent annual cost, and local human health impact. We computed normalized
metric scores, which we used to discuss the options' strengths and weaknesses,
and conducted sensitivity analysis of the scores to changes in variable and
uncertain input parameters. The alternative systems, which combined centralized
drinking water with sanitation services based on the concepts of energy and
nutrient recovery as well as on-site water reuse, had reduced environmental and
local human health impacts and costs than the conventional, centralized option.
Of the selected sustainability metrics, the greatest advantages of the
alternative community water systems (compared to the conventional system) were in
terms of local human health impact and eutrophication potential, despite large,
outstanding uncertainties. Of the alternative options, the systems with on-site
water reuse and energy recovery technologies had the least local human health
impact; however, the cost of these options was highly variable and the energy
consumption was comparable to on-site alternatives without water reuse or energy
recovery, due to on-site reuse treatment. Future work should aim to reduce the
uncertainty in the energy recovery process and explore the health risks
associated with less costly, on-site water treatment options.
PMID- 27888776
TI - Bioavailable phosphorus (P) reduction is less than mobile P immobilization in
lake sediment for eutrophication control by inactivating agents.
AB - Phosphorus (P) immobilization by inactivating agents in the sediment of eutrophic
lakes to reduce immediately available P in lake water is often crucial for
mitigating nuisance eutrophication symptoms, such as cyanobacterial blooms.
Macrophytes and phytoplankton, however, can directly utilize P from the sediment
for growth. Accordingly, a comprehensive analysis of the P bioavailability in
lake sediment amended with two promising P-inactivation agents, namely
Phoslock(r) and drinking water treatment residue (DWTR), was investigated in both
short- and long-term studies (20 and 180 d). Phosphorus-availability was assessed
using six chemical extraction methods and Hydrilla verticillata and Microcystis
aeruginosa growth tests. The results showed that Phoslock(r) and DWTR
significantly reduced mobile P (NH4Cl and Na2S2O4/NaHCO3 extractable P) in lake
sediment, while P bioavailability that was assessed by different methods showed
considerable deviations. Interestingly, appropriate bioavailable P chemical
extraction methods were determined based on linear correlation analysis, and
further comparison indicated that reduction of bioavailable P by DWTR (<55% for
macrophyte available P) and Phoslock(r) (<17% for cyanobacteria available P) were
clearly less than the mobile P immobilization (>75%) at recommended dosages,
which was probably caused by the capability of macrophyte and cyanobacteria to
utilize various fractions of P (except the residual P) in amended sediment under
proper illumination. Therefore, DWTR and Phoslock(r) can effectively reduce P
release from lake sediment, but the potential bioavailable P may pose
uncertainties for eutrophication control in lakes that typically have regular
sediment re-suspension. Overall, an evaluation of the bioavailable P pool in the
lake ecosystem should be essential for successful lake geo-engineering.
PMID- 27888777
TI - The effects of prenatal testosterone on wages: Evidence from Russia.
AB - Is in utero exposure to testosterone correlated with earnings? The question
matters for understanding determinants of wage differences that have attracted so
much attention among economists in the past decade. Evidence indicates that
markers for early testosterone exposure are correlated with traits like risk
taking and aggressiveness. But it is not at all clear how such findings might map
into labor market success. We combine unique data from the Russian Longitudinal
Monitoring Survey with measured markers (2D:4D ratios) for testosterone exposure
and find that lower digit ratios (higher T) correlate with higher wages for women
and for men, when controlling for age, education and occupation. There is also
some evidence of a potential non-linear, inverse U-effect of digit ratios on
wages but this is sensitive to choice of specification. These findings are
consistent with earlier work on prenatal T and success in careers (Coates et al.,
2009) but inconsistent with the work of Gielen et al. (2016) who find differing
effects for men and women.
PMID- 27888779
TI - Adverse remodeling of the obtuse marginal artery in compensatory hypertrophied
myocardium from spontaneously hypertensive rats.
AB - BACKGROUND: Spontaneously hypertensive rats (SHR) serve as a model of genetic
hypertension. Adverse remodeling of a coronary artery has been reported in SHR.
This model is used to study new therapies in regression vascular remodeling.
However, no data are available that show remodeling of the intramyocardial branch
of the obtuse marginal artery in 10-month-old SHR. This study was designed to
assess remodeling (changes in vascular structure and fibrosis) of this coronary
artery. METHODS AND RESULTS: The study was performed on 10-month-old male SHR
(n=7) and normotensive control Wistar Kyoto rats (WKY) (n=7). Using histology, we
show that the external diameter, lumen diameter, wall width, and cross-sectional
area of the intramyocardial artery were significantly greater in SHR than in WKY.
The wall-to-lumen ratio was similar in SHR and WKY. The collagen volume density
of the intramyocardial artery in SHR was significantly greater than in WKY.
CONCLUSIONS: Our results show hypertrophic outward remodeling in the
intramyocardial branch of the obtuse marginal artery of the left ventricle in
SHR. This artery can serve as a new vascular bed from adult SHR to study novel
therapies in regression coronary artery remodeling.
PMID- 27888778
TI - Loss of mechanical directional dependency of the ascending aorta with severe
medial degeneration.
AB - Biomechanical characterization of the aortic wall may help risk stratify patients
with aneurysms. We investigated the degree of anisotropy, the directional
dependency of mechanical properties, in control and aneurysmal ascending aortic
tissue. We hypothesized that medial degeneration and aortic wall remodeling as
found in aneurysmal tissue alter energy loss in both the circumferential and
longitudinal directions, thereby reducing anisotropy. Aneurysmal and control
ascending aortic tissue excised during surgery was subjected to biaxial tensile
testing. Stress-strain relationships were collected in the circumferential and
longitudinal directions; from these data, the mechanical properties of energy
loss and the apparent modulus of elasticity were derived, and the associated
anisotropy indices were calculated. Movat pentachrome histological staining was
performed, and aortic wall medial degeneration was quantified. Energy loss was
greater in the circumferential than the longitudinal direction, demonstrating
significant anisotropy in both normal and aneurysmal aortas (P<.0001). This
directional dependency diminished in (a) larger aortas (r2=0.15, P=.01),
especially when indexed to body surface area (r2=0.29, P=.002); (b) aortas with
greater overall energy loss (r2=0.44, P<.0001); (3) aortas associated with
tricuspid valves (P=.004); and (4) higher collagen-to-elastin ratio (r2=0.29,
P=.001). Aortas with collagen-to-elastin ratios greater than 2 were uniformly
isotropic. Furthermore, the greatest energy loss anisotropy was found on the
inner curvature of the aorta (P=.01). Energy loss demonstrates the directional
dependency of aortic tissue. Energy loss isotropy is associated with medial
degeneration, indicating that microstructural changes can be captured by global
biomechanics, thereby identifying it as a marker of disease severity.
PMID- 27888780
TI - Investigations of the Rg-BrCl (Rg=He, Ne, Ar, Kr, Xe) binary van der Waals
complexes: ab initio intermolecular potential energy surfaces, vibrational states
and predicted pure rotational transition frequencies.
AB - The intermolecular potential energy surfaces (PESs) of the ground electronic
state for the Rg-BrCl (Rg=He, Ne, Ar, Kr, Xe) van der Waals complexes have been
constructed by using the coupled-cluster method in combination with the augmented
quadruple-zeta correlation-consistent basis sets supplemented with an additional
set of bond functions. The features of the anisotropic PESs for these complexes
are remarkably similar, which are characterized by three minima and two saddle
points between them. The global minimum corresponds to a collinear Rg-Br-Cl
configuration. Two local minima, correlate with an anti-linear Rg-Cl-Br geometry
and a nearly T-shaped structure, can also be located on each PES. The quantum
bound state calculations enable us to investigate intermolecular vibrational
states and rotational energy levels of the complexes. The transition frequencies
are predicted and are fitted to obtain their corresponding spectroscopic
constants. In general, the periodic trends are observed for this complex family.
Comparisons with available experimental data for the collinear isomer of Ar-BrCl
demonstrate reliability of our theoretical predictions, and our results for the
other two isomers of Ar-BrCl as well as for other members of the complex family
are also anticipated to be trustable. Except for the collinear isomer of Ar-BrCl,
the data presented in this paper would be beneficial to improve our knowledge for
these experimentally unknown species.
PMID- 27888781
TI - Stabilization of cytochrome b5 by a conserved tyrosine in the secondary sphere of
heme active site: A spectroscopic and computational study.
AB - Heme proteins perform a large array of biological functions, with the heme group
bound non-covalently or covalently. To probe the stabilization role of conserved
tyrosine residue in the secondary sphere of heme site in heme proteins, we herein
used cytochrome b5 (Cyt b5) as a model protein, and mutated Tyr30 to Phe or His
by removal of Tyr30 associated H-bond network and hydrophobic interaction. We
performed thermal-induced unfolding studies for the two mutants, Y30F Cyt b5 and
Y30H Cyt b5, as monitored by both UV-Vis and CD spectroscopy, as well as heme
transfer studies from these proteins to apo-myoglobin, with wild-type Cyt b5
under the same conditions for comparison. The reduced stability of both mutants
indicates that both the H-bonding and hydrophobic interactions associated with
Tyr30 contribute to the protein stability. Moreover, we performed molecular
modeling studies, which revealed that the hydrophobic interaction in the local
region of Y30F Cyt b5 was well-remained, whereas Y30H Cyt b5 formed an H-bond
network. These observations suggest that the conserved Tyr30 in Cyt b5 is not
replaceable due to the presence of both the H-bond network and hydrophobic
interaction in the secondary sphere of the heme active site. As demonstrated here
for Cyt b5, it may be of practical importance for design of artificial heme
proteins by engineering a Tyr in the secondary sphere with improved properties
and functions.
PMID- 27888782
TI - Effect of smoking cessation in saliva compounds by FTIR spectroscopy.
AB - INTRODUCTION: Smoking is currently considered one of the biggest risk factors for
the development of various diseases and early death. Fourier transform infrared
(FTIR) spectroscopy is a valuable tool for analysis of biofluids such as saliva
and is considered useful for diagnostic purposes. The aim of this study was to
evaluate the effect of smoking cessation on saliva composition by FTIR
spectroscopy. METHODS: We analyzed the saliva of participants in two groups: a
smoker group made up of 10 chronic smokers and a former smoker group made up of
10 individuals who had stopped smoking. Members of both groups had similar
smoking history. RESULTS: The results showed few differences in spectral
intensity between the groups; however, spectral peaks were slightly increased in
the group of smokers in the bands for DNA, indicating modification of its content
or cell necrosis. They were also increased for the mannose-6-phosphatase
molecule, which is expressed in prostate and breast carcinomas. In the former
smoker group, the peak of thyociante was decreased and the band referring to
collagen increased in intensity, which indicates a better tissue regeneration
capacity. CONCLUSION: Considering these results and the fact that tobacco intake
was similar between the groups, it can be concluded that there was recovery of
tissue regeneration capacity with smoking cessation during the study period,
although the effects found in smokers persisted in the bodies of those who had
given up smoking.
PMID- 27888783
TI - A dual analyte fluorescent chemosensor based on a furan-pyrene conjugate for Al3+
& HSO3.
AB - A simple chalcone based dual analyte fluorescent probe FPC for Al3+ and HSO3-
ions was developed. FPC detects both the analytes through a "turn off-on"
approach and by the PET and ICT mechanism. FPC showed high selectivity and
sensitivity for Al3+ and HSO3- ions detection over other interfering and
competing metal ions. In addition, the LOD of FPC for sensing Al3+ and HSO3- ions
was found to be 1.60*10-7M and 0.17*10-6M respectively. An electrochemical
desalination technique was employed for the complete removal of Al3+ ions from
the environmental water samples by using the probe FPC.
PMID- 27888784
TI - The influence of a preceptor-student 'Daily Feedback Tool' on clinical feedback
practices in nursing education: A qualitative study.
AB - BACKGROUND: Feedback in clinical education is essential for the development of
competent nurses. When the process is enacted well, it offers measured
performance against standards required by the nursing health profession,
promoting learning and behavioural change. Despite this, health literature
describes numerous barriers to effective feedback processes. OBJECTIVE: A
qualitative descriptive design was used to determine whether the introduction of
a Daily Feedback Tool addressing some of the barriers to effective feedback,
influenced nursing students and clinical supervisors (preceptors) experiences in
nursing clinical education. METHOD: A total of eight semi-structured focus groups
related to student and preceptors reported experiences were completed comprising
of preceptor and student groups independently. The data was analysed using
aspects of grounded theory including purposive sampling and system analysis
informing the subsequent stages of data collection. RESULTS: Participants
reported that the introduction of the Daily Feedback Tool overcame some of the
reported barriers, particularly relating to the frequency of feedback occasions,
and the traditionally didactic, teacher-led feedback conversations. CONCLUSION:
The Daily Feedback Tool was reported to influence the development of trusting
preceptor-student relationships which gave the learner agency to seek feedback
promoting learning and overall performance.
PMID- 27888785
TI - Hallux varus following scarf osteotomy.
AB - Hallux varus is an uncommon condition and majority of the cases are iatrogenic.
It can occur as a result of any type of hallux valgus correction surgery and in
our cases scarf osteotomy is not an exception. Treatment of this complication can
be challenging and it is important to understand the factors that cause this
deformity before embarking on surgical correction. Four cases of hallux varus
following Scarf osteotomy (1% of our total Scarf osteotomy cases) and discuss the
salient features of these patients. The authors ascertained the factors that
caused iatrogenic hallux varus and formulated a classification of the nature of
the deformity. The management of iatrogenic hallux varus based on our experience
and proposed classification system has also been outlined and discussed.
PMID- 27888786
TI - The assessment of three-dimensional foot pronation using a principal component
analysis method in the stance phase of running.
AB - Foot pronation has not been quantified dynamically in three planes of movement in
an in-vivo study. The aims of this study were to determine foot pronation through
using Principal Component Analysis (PCA) method and to compare it among barefoot,
shod and shod with 6 degrees lateral wedge during the stance phase of running.
In this method, three-dimension of foot movements were measured and each of these
components represents a percentage of foot pronation. These components were
derived based on eigenvalues and vectors of covariance matrix of primary
variables. The first (PC1), second (PC2) and third (PC3) components explained
about (82.5%, 79.1%), (14%, 15.8%) and (3.5%, 5.1%) the foot pronation for
barefoot and shod conditions, respectively. These components were mutually
independent and the components set had the same information as the primary
variables. Foot pronation index and eversion angles were calculated and compared
among barefoot, shod and shod with wedge insole (6 degrees lateral wedge insole)
conditions in the four phases of stance. Statistical analysis showed that there
was no foot conditions effect for foot eversion in four phases (p=0.72), while
this effect was significant for PC1 (p=0.001). This finding shows that PC1 index
could discriminate footwear effect among each phase of stance. Specifically,
pronation was reduced in shoe condition as compared to barefoot condition
(p=0.02) from 5 to 50% of stance phase. It has been suggested that the PCA method
provides more accurate criteria for investigating effects of footwear
interventions on simultaneous three-dimensional foot motion.
PMID- 27888787
TI - Outcomes in chevron osteotomy for Hallux Valgus in a large cohort.
AB - Clinical and radiological related outcomes have been reported for Chevron
osteotomy as correction for mild to moderate hallux valgus, but only for
relatively small patient series. Moreover, evaluation of the patient's point of
view has mostly been conducted by means of more physician-based outcome measures.
The goal of this study was to evaluate the effect of the Chevron osteotomy for
hallux valgus on patients' daily lives using the Foot and Ankle Outcome Score
(FAOS) as a validated and a hallux valgus specific patient reported outcome
measure (PROM). Secondary outcome measures were radiological correction,
complication rate, and re-operations. All 438 Chevron procedures (336 patients),
at two surgical hospital sites in the period between January 2010 and October
2014, were retrospectively evaluated with a follow-up of at least 6 months.
Patients were invited to fill in a cross-sectional online FAOS. For the FAOS, a
total response of 60% was achieved. The FAOS ranged between 71 and 88 with a
follow-up of on average 36 months. Patients with an undercorrection of their
hallux valgus (11.6% of the procedures) scored significantly lower on three
subscales of the FAOS (range between 61 and 77 versus 72-84). Patients who had a
reoperation (12.6% of the procedures) also scored significantly lower on four
subscales: 58-100 versus 73-89. Postoperative radiological measurements improved
significantly with a mean difference of 6.1 (5.9; 6.4) degrees for the
intermetatarsal angle and 13.7 (13.0; 14.5) degrees for the hallux valgus angle.
In this large study cohort, Chevron osteotomy for hallux valgus offers good PROM
scores on FAOS. These scores were significantly lower in patients with
radiological undercorrection or with a reoperation. Results of the FAOS appear to
modulate with physician based outcomes and therapeutic incidents. Improvement of
outcome may therefore well be possible by increased attention on these surgical
details.
PMID- 27888788
TI - Alternate hot and cold application in the management of heel pain: A pilot study.
AB - BACKGROUND: Despite a long-standing tradition of naturopathic physical therapy
and hydrotherapy use in the treatment of musculoskeletal conditions, neither
naturopathy, nor specific aspects of hydrotherapy have been tested for efficacy
in the treatment of heel pain. METHODS: Patients (n=20) were assigned to standard
naturopathic physiotherapy care (NPC) with two adjuvant therapy groups: a control
group (therapeutic ultrasound, n=10), or alternating compresses (n=10). Pain
scores were measured before and after treatment using Visual Analog Scale (VAS)
and foot functionality was measured using the Foot Function Index (FFI). RESULTS:
FFI reduced from 46.97 to 31.98 (p=0.005) among normal protocol patients and from
49.72 to 21.35 (p=<0.001) among patients receiving the alternating compress
protocol. Average VAS pain intensity in the seven days of treatment decreased
from 3.53 to 2.53cm (p=<0.001) among patients receiving NPC and from 4.09 to
2.61cm (p=<0.001) amongst those receiving NPC plus alternating compresses. There
was no significant difference in pain score reduction between the two groups
(p=0.206), but patients with alternating compresses as part of their treatment
had significant improvements in foot functionality (p=0.007). DISCUSSION:
Naturopathic physical therapy significantly improves foot functionality and pain
scores in heel pain. Additionally, alternating compresses improve foot
functionality scores.
PMID- 27888789
TI - Reliability and minimal detectable change in foot pressure measurements in
typically developing children.
AB - BACKGROUND: In pedobarography, clinically meaningful comparison of measurements
within or between subjects is limited by data variability and measurement error.
This study aims to determine the components of the minimal detectable change
(MDC) in impulse across all foot regions and the reliability of these measures.
METHODS: A convenience sample of foot pressures from 108 visits by normal,
healthy subjects aged 2-17 years was studied. Each subject had three
pedobarograph measurements taken per foot, with six subjects returning for a
second visit for assessment of day-to-day variability. Using a five-region mask,
segmental impulses were determined, and from these we obtained the coronal plane
pressure index (CPPI). Inter-rater, intra-rater, and day-to-day data were
analyzed using intraclass correlation coefficients (ICC) to quantify reliability.
Variability of the data was analyzed to quantify the MDC. RESULTS: Inter- and
intra-rater reliability was high for all measurements while variability was low,
indicating small direct measurement error. Generally, the largest contributing
factor to the MDC was day-to-day variability. Step-to-step variability was more
dependent on foot segment than age although minor age-related changes were noted.
Finally, the high relative variability in the CPPI and the medial mid foot
impulse resulted in very high MDCs for these measures.
PMID- 27888790
TI - Complication assessment and prevention strategies using midfoot fusion bolt for
medial column stabilization in Charcot's osteoarthropathy.
AB - In Charcot's osteoarthropathy stabilization of the medial column of the foot was
introduced in order to establish a stable foot and reduce the risk for
amputation. This study was performed to analyze postoperative complications,
define risk factors for those and develop strategies for prevention. Since bolt
dislocation takes place frequently, it was aimed to predict an appropriate time
point for bolt removal under the condition that osseous healing has occurred.
Fourteen consecutive patients with neuroosteoarthropathy of the foot and arch
collapse were treated with open reduction and stabilization using midfoot fusion
bolt and lateral lag screws. Age, gender, presence of preoperative osteomyelitis
or ulcer, number of complications and operative revisions, Hba1c value,
consolidation of arthrodesis, presence of a load-bearing foot and period to bolt
dislocation was assessed. The mean follow-up was 21.4+/-14.6 (mean+/-SDM) months,
64% of patients suffered from diabetes with a preoperative Hba1c of 8.5+/-2.4.
The mean number of revisions per foot was 3.6+/-4.1. Bolt dislocation was seen in
57% of the patients following 11.3+/-8.5 months; in 75% of these patients bony
healing occurred before dislocation. There was a significant association between
preoperative increased Hba1c value, presence of preoperative ulcer and wound
infection. Healing of arthrodesis was demonstrated in 57% and a permanent weight
bearing foot without recurrent ulcer was achieved in 79%. The early and late
postoperative complications could be controlled in general. A fully load-bearing
and stable foot was obtained, despite osseous consolidation was not detected in
all of these cases. Once a stable foot has established early removal of fusion
bolt should be considered. To decrease the risk of infection Hba1c should be
adjusted and ulcers should be treated before the operation.
PMID- 27888791
TI - Influence of genetic variations in platelet glycoproteins and eNOS in the
development of arterial ischaemia of lower limbs in type 2 diabetes mellitus
patients.
AB - Endothelial and platelet dysfunction increase the atherothrombotic risk in
diabetes mellitus patients. Therefore, arterial ischaemia of lower limbs is an
important complication in diabetes mellitus. In the present work, type 2 diabetic
patients were classified by a podiatrist into presence or absence of arterial
ischaemia of lower limbs. Several polymorphisms in platelet glycoproteins and
eNOS genes were evaluated. Our results suggest that the -5CC genotype in Kozak
sequence of GPIbalpha may be associated with a higher risk of developing arterial
ischaemia of lower limbs in type 2 diabetes mellitus patients.
PMID- 27888792
TI - Case Study: An intraoperative finding of black bone disease in a podiatric
surgery patient.
AB - Black bone disease has been recognised as a potential consequence of long-term
treatment with tetracycline antibiotics. Largely documented affecting structures
in the head and skull, there are few reported cases of black bone disease in the
foot and ankle. The case of a 55 years old patient, who as a teenager, had
undergone treatment with minocycline hydrochloride for chronic acne, and was
found to have bone discolouration consistent with minocycline induced black bone
disease (MIBBD) during the course of hallux valgus corrective surgery some 40
years later, is presented. In spite of the intraoperative findings, the patient's
post-operative recovery and bone healing was uneventful. The literature on
minocycline induced black bone disease is reviewed.
PMID- 27888793
TI - InverPep: A database of invertebrate antimicrobial peptides.
AB - OBJECTIVES: The aim of this work was to construct InverPep, a database
specialised in experimentally validated antimicrobial peptides (AMPs) from
invertebrates. METHODS: AMP data contained in InverPep were manually curated from
other databases and the scientific literature. MySQL was integrated with the
development platform Laravel; this framework allows to integrate programming in
PHP with HTML and was used to design the InverPep web page's interface. InverPep
contains 18 separated fields, including InverPep code, phylum and species source,
peptide name, sequence, peptide length, secondary structure, molar mass, charge,
isoelectric point, hydrophobicity, Boman index, aliphatic index and percentage of
hydrophobic amino acids. CALCAMPI, an algorithm to calculate the physicochemical
properties of multiple peptides simultaneously, was programmed in PERL language.
RESULTS: To date, InverPep contains 702 experimentally validated AMPs from
invertebrate species. All of the peptides contain information associated with
their source, physicochemical properties, secondary structure, biological
activity and links to external literature. Most AMPs in InverPep have a length
between 10 and 50 amino acids, a positive charge, a Boman index between 0 and 2
kcal/mol, and 30-50% hydrophobic amino acids. InverPep includes 33 AMPs not
reported in other databases. Besides, CALCAMPI and statistical analysis of
InverPep data is presented. The InverPep database is available in English and
Spanish. CONCLUSIONS: InverPep is a useful database to study invertebrate AMPs
and its information could be used for the design of new peptides. The user
friendly interface of InverPep and its information can be freely accessed via a
web-based browser at
http://ciencias.medellin.unal.edu.co/gruposdeinvestigacion/prospeccionydisenobiom
leculas/InverPep/public/home_en.
PMID- 27888794
TI - Custom FPGA processing for real-time fetal ECG extraction and identification.
AB - Monitoring the fetal cardiac activity during pregnancy is of crucial importance
for evaluating fetus health. However, there is a lack of automatic and reliable
methods for Fetal ECG (FECG) monitoring that can perform this elaboration in real
time. In this paper, we present a hardware architecture, implemented on the
Altera Stratix V FPGA, capable of separating the FECG from the maternal ECG and
to correctly identify it. We evaluated our system using both synthetic and real
tracks acquired from patients beyond the 20th pregnancy week. This work is part
of a project aiming at developing a portable system for FECG continuous real-time
monitoring. Its characteristics of reduced power consumption, real-time
processing capability and reduced size make it suitable to be embedded in the
overall system, that is the first proposed exploiting Blind Source Separation
with this technology, to the best of our knowledge.
PMID- 27888795
TI - Targeting tachykinin receptors in neuroblastoma.
AB - Neuroblastoma is the most common extracranial tumor in children. Despite
aggressive multimodal treatment, high-risk neuroblastoma remains a clinical
challenge with survival rates below 50%. Adding targeted drugs to first-line
therapy regimens is a promising approach to improve survival in these patients.
TACR1 activation by substance P has been reported to be mitogenic in cancer cell
lines. Tachykinin receptor (TACR1) antagonists are approved for clinical use as
an antiemetic remedy since 2003. Tachykinin receptor inhibition has recently been
shown to effectively reduce growth of several tumor types. Here, we report that
neuroblastoma cell lines express TACR1, and that targeting TACR1 activity
significantly reduced cell viability and induced apoptosis in neuroblastoma cell
lines. Gene expression profiling revealed that TACR1 inhibition repressed E2F2
and induced TP53 signaling. Treating mice harboring established neuroblastoma
xenograft tumors with Aprepitant also significantly reduced tumor burden. Thus,
we provide evidence that the targeted inhibition of tachykinin receptor signaling
shows therapeutic efficacy in preclinical models for high-risk neuroblastoma.
PMID- 27888796
TI - Altered DNA methylation in neonates born large-for-gestational-age is associated
with cardiometabolic risk in children.
AB - BACKGROUND: Infants being born Large-for-gestational-age (LGA) are prone to
developing cardiometabolic disease. However, the underlying mechanisms remain
unclear. RESULTS: Clinical investigation showed that children born LGA had
significantly higher serum level of total cholesterol (TC), low-density
lipoprotein-cholesterol (LDL-c), and insulin, ratio of TC/high-density
lipoprotein-cholesterol (HDL-c) compared to children born appropriate for
gestational age (AGA). Birth weight (BW) was positively correlated to TC, LDL-c,
and the ratio of TC/HDL in serum. Genome-wide DNA methylation analyzed in
umbilical cord blood of controls and macrosomia cases. We identified 3459
methylation variable positions (MVPs) achieving genome-wide significance
(adjusted P-value < 0.05) with methylation differences of >= 5%. A total of 327
MVPs were filtered by methylation differences of >= 7% located within an island,
which mapped to 213 genes. Function analysis using Ingenuity Pathway Analysis
showed 16 genes enriched in "cardiovascular disease". Four genes included
contributed to hyperlipidemia. MATERIALS AND METHODS: Fifty-eight children aged 3
6 years born LGA and 123 subjects born AGA were enrolled. Anthropometric
parameters and blood pressure (BP) were measured, and metabolic assessment was
performed in all subjects. Genome-wide DNA methylation in umbilical blood was
assayed by the 450K BeadChip in six AGA and six macrosomia newborns. CONCLUSIONS:
Our data indicate that excess birth weight may increase the risk of lipid
dysfunction in children aged 3-6 years. It might through reprogramming a group of
genes correlated to cardiovascular disease. The genes identified in this study
might be potential biomarker for cardiometabolic disease.
PMID- 27888798
TI - miR-103 inhibits proliferation and sensitizes hemopoietic tumor cells for
glucocorticoid-induced apoptosis.
AB - Glucocorticoid (GC) hormones are an important ingredient of leukemia therapy
since they are potent inducers of lymphoid cell apoptosis. However, the
development of GC resistance remains an obstacle in GC-based treatment. In the
present investigation we found that miR-103 is upregulated in GC-sensitive
leukemia cells treated by the hormone. Transfection of GC resistant cells with
miR-103 sensitized them to GC induced apoptosis (GCIA), while miR-103 sponging of
GC sensitive cells rendered them partially resistant. miR-103 reduced the
expression of cyclin dependent kinase (CDK2) and its cyclin E1 target, thereby
leading to inhibition of cellular proliferation. miR-103 is encoded within the
fifth intron of PANK3 gene. We demonstrate that the GC receptor (GR) upregulates
miR-103 by direct interaction with GC response element (GRE) in the PANK3
enhancer. Consequently, miR-103 targets the c-Myc activators c-Myb and DVL1,
thereby reducing c-Myc expression. Since c-Myc is a transcription factor of the
miR-17~92a poly-cistron, all six miRNAs of the latter are also downregulated. Of
these, miR-18a and miR-20a are involved in GCIA, as they target GR and BIM,
respectively. Consequently, GR and BIM expression are elevated, thus advancing
GCIA. Altogether, this study highlights miR-103 as a useful prognostic biomarker
and drug for leukemia management in the future.
PMID- 27888797
TI - Tumorigenicity of Ewing sarcoma is critically dependent on the trithorax proteins
MLL1 and menin.
AB - Developmental transcription programs are epigenetically regulated by the
competing actions of polycomb and trithorax (TrxG) protein complexes, which
repress and activate genes, respectively. Ewing sarcoma is a developmental tumor
that is associated with widespread de-regulation of developmental transcription
programs, including HOX programs. Posterior HOXD genes are abnormally over
expressed by Ewing sarcoma and HOXD13, in particular, contributes to the
tumorigenic phenotype. In MLL1 fusion-driven leukemia, aberrant activation of
HOXA genes is epigenetically mediated by the TrxG complex and HOXA gene
expression and leukemogenesis are critically dependent on the protein-protein
interaction between the TrxG proteins MLL1 and menin. Based on these data, we
investigated whether posterior HOXD gene activation and Ewing sarcoma
tumorigenicity are similarly mediated by and dependent on MLL1 and/or menin. Our
findings demonstrate that Ewing sarcomas express high levels of both MLL1 and
menin and that continued expression of both proteins is required for maintenance
of tumorigenicity. In addition, exposure of Ewing sarcoma cells to MI-503, an
inhibitor of the MLL1-menin protein-protein interaction developed for MLL1-fusion
driven leukemia, leads to loss of tumorigenicity and down-regulated expression of
the posterior HOXD gene cluster. Together these data demonstrate an essential
role for MLL1 and menin in mediating tumor maintenance and posterior HOXD gene
activation in Ewing sarcoma. A critical dependency of these tumors on the MLL1
menin interaction presents a potentially novel therapeutic target.
PMID- 27888800
TI - Multipoint Kras oncogene mutations potentially indicate mucinous carcinoma on the
entire spectrum of mucinous ovarian neoplasms.
AB - Kras mutation is a common phenomenon in many human neoplasms. We aimed to assess
the Kras mutational status along the histological continuum from normal ovaries
to the development of benign, borderline and malignant ovarian mucinous
neoplasms. We analyzed 41 cases of malignant, 10 cases of borderline, 7 cases of
benign mucinous ovarian tumors and 7 cases of normal ovarian tissue. The
prevalence of Kras mutations in the normal ovary was 0.00% (n=0/7), while the
prevalence in benign, borderline and malignant mucinous neoplasms was 57.14%
(n=4/7), 90.00% (n=9/10) and 75.61% (n=31/41), respectively. Multiple Kras
mutations were detected in 6 cases of mucinous carcinoma, including 5 double
mutations with G13D/V14I (n=1), G12V/G13S (n=1), G12D/G13S (n=3) and one triple
mutation with A11V/G13N/V14I (n=1). We identified six cases with 3 novel Kras
mutations not previously described in the COSMIC database, which included A11V
(n=3) and V14I (n=2) in mucinous carcinomas, and A11T (n=1) in a mucinous
borderline tumor. In conclusion, Kras mutation appears to be one of the
imperative events in the ovarian mucinous adenoma-borderline tumor-carcinoma
sequence, as increased numbers of Kras mutations have been shown to be the
strongest predictor of unequivocal malignancy in ovarian mucinous neoplasms.
PMID- 27888799
TI - New bipyridine gold(III) dithiocarbamate-containing complexes exerted a potent
anticancer activity against cisplatin-resistant cancer cells independent of p53
status.
AB - We synthesized, characterized and tested in a panel of cancer cell lines, nine
new bipyridine gold(III) dithiocarbamate-containing complexes. In vitro studies
demonstrated that compounds 1, 2, 4, 5, 7 and 8 were the most cytotoxic in
prostate, breast, ovarian cancer cell lines and in Hodgkin lymphoma cells with
IC50 values lower than the reference drug cisplatin. The most active compound 1
was more active than cisplatin in ovarian (A2780cis and 2780CP-16) and breast
cancer cisplatin-resistant cells. Compound 1 determined an alteration of the
cellular redox homeostasis leading to increased ROS levels, a decrease in the
mitochondrial membrane potential, cytochrome-c release from the mitochondria and
activation of caspases 9 and 3. The ROS scavenger NAC suppressed ROS generation
and rescued cells from damage. Compound 1 resulted more active in tumor cells
than in normal human Mesenchymal stromal cells. Gold compounds were active
independent of p53 status: exerted cytotoxic effects on a panel of non-small cell
lung cancer cell lines with different p53 status and in the ovarian A2780 model
where the p53 was knocked out. In conclusion, these promising results strongly
indicate the need for further preclinical evaluation to test the clinical
potential of these new gold(III) complexes.
PMID- 27888801
TI - Common profiles of Notch signaling differentiate disease-free survival in luminal
type A and triple negative breast cancer.
AB - Breast cancer (BC) is characterized by high heterogeneity regarding its biology
and clinical characteristics. The Notch pathway regulates such processes as organ
modeling and epithelial-to-mesenchymal transition (EMT).The aim of the study was
to determine the effect of differential expression of Notch members on disease
free survival (DFS) in luminal type A (lumA) and triple negative (TN) BC.The
differential expression of 19 Notch members was examined in a TCGA BC cohort. DFS
analysis was performed using the log-rank test (p<0.05). Biological differences
between DFS groups were determined with Gene Set Enrichment Analysis (GSEA)
(tTest, FDR<0.25). Common expression profiles according to Notch signaling were
examined using ExpressCluster (K-means, mean centered, Euclidean distance
metric).The overexpression of HES1, LFNG and PSEN1 was found to be favorable for
DFS in lumA, and lowered expression favorable for DFS in TN.GSEA analysis showed
that differential Notch signaling is associated with cell cycle, tissue
architecture and remodeling. Particularly, targets of E2F, early stage S phase
transcription factor, were upregulated in the lumA unfavorable group and the TN
favorable group differentiated on a basis of HES1 and PSEN1
expression.Summarizing, our analysis show significance of Notch signaling in BRCA
progression through triggering EMT. Moreover, identification of numerous genes
which overexpression is associated with disease recurrence may serve as a source
of potential targets for a new anticancer therapy.
PMID- 27888803
TI - Exosomal long noncoding RNA CRNDE-h as a novel serum-based biomarker for
diagnosis and prognosis of colorectal cancer.
AB - Cancer-secreted long non-coding RNAs (lncRNAs) are emerging mediators of cancer
host cross talk. The aim of our study was to illustrate the clinical significance
of the lncRNA CRNDE-h in exosomes purified from the serum of patients with
colorectal cancer (CRC). The study was divided into four parts: (1) The exosome
isolated methods and lncRNA detected methods which accurately and reproducibly
measure CRC-related exosomal CRNDE-h in serum were optimized in preliminary pilot
stage; (2) The stability of exosomal CRNDE-h was evaluated systematically; (3)
The origin of exosomal CRNDE-h was explorated in vitro and in vivo; (4) The
diagnostic and prognostic value of exosomal CRNDE-h for CRC were validated in 468
patients. In pilot study, our results indicated that exosomal CRNDE-h was
detectable and stable in serum of CRC patients, and derived from tumor cells.
Then, the increased expression of exosomal CRNDE-h was successfully validated in
148 CRC patients when compared with colorectal benign disease patients and
healthy donors. Exosomal CRNDE-h level significantly correlated with CRC regional
lymph node metastasis (P = 0.019) and distant metastasis (P = 0.003). Moreover,
at the cut-off value of 0.020 exosomal CRNDE-h level of serum, the area under ROC
curve distinguishing CRC from colorectal benign disease patients and healthy
donors was 0.892, with 70.3% sensitivity and 94.4% specificity, which was
superior to carcinoembryogenic antigen. In addition, high exosomal CRNDE-h level
has a lower overall survival rates than that for low groups (34.6% vs. 68.2%, P <
0.001). In conclusion, detection of lncRNA CRNDE-h in exosome shed a light on
utilizing exosomal CRNDE-h as a noninvasive serum-based tumor marker for
diagnosis and prognosis of CRC.
PMID- 27888802
TI - Low dose triptolide reverses chemoresistance in adult acute lymphoblastic
leukemia cells via reactive oxygen species generation and DNA damage response
disruption.
AB - Chemoresistance represents a major challenge for treatment of acute lymphoblastic
leukemia (ALL). Thus, new drugs to overcome chemoresistance in ALL are urgently
needed. To this end, we established a cytarabine (araC)-resistant ALL cell line
(NALM-6/R), which interestingly displayed cross-resistance towards doxorubicin
(ADM). Here we report that low dose of triptolide (TPL), a natural product used
for treating inflammatory diseases such as arthritis, could reverse araC and ADM
resistance and in NALM-6/R cells as well as primary cells from patients with
relapsed or refractory (R/R) ALL, reflected by inhibition of cell proliferation
and induction of apoptosis in vitro, and repression of tumor growth in vivo in a
mouse xenograft model. Mechanistically, these events were associated with
impaired mitochondrial membrane potential and increased reactive oxygen species
(ROS) production. Co-treatment with TPL and araC or ADM upregulated pro-apoptotic
caspase-9 protein, inhibited checkpoint kinase 1 (Chk1) and 2 (Chk2)
phosphorylation, and induced gammaH2A.X (a DNA damage marker). Notably, the
combination regimen of TPL and conventional chemotherapeutics also rapidly
diminished tumor burden in a patient with R/R ALL. Together, these findings
provide preclinical evidence for repurposing use of TPL in combination with
chemotherapeutic agents to treat R/R ALL as an alternative salvage regimen.
PMID- 27888804
TI - Niclosamide and its analogs are potent inhibitors of Wnt/beta-catenin, mTOR and
STAT3 signaling in ovarian cancer.
AB - Epithelial ovarian cancer (EOC) is the leading cause of gynecologic cancer
mortality worldwide. Platinum-based therapy is the standard first line treatment
and while most patients initially respond, resistance to chemotherapy usually
arises. Major signaling pathways frequently upregulated in chemoresistant cells
and important in the maintenance of cancer stem cells (CSCs) include Wnt/beta
catenin, mTOR, and STAT3. The major objective of our study was to investigate the
treatment of ovarian cancer with targeted agents that inhibit these three
pathways. Here we demonstrate that niclosamide, a salicylamide derivative, and
two synthetically manufactured niclosamide analogs (analog 11 and 32) caused
significant inhibition of proliferation of two chemoresistant ovarian cancer cell
lines (A2780cp20 and SKOV3Trip2), tumorspheres isolated from the ascites of EOC
patients, and cells from a chemoresistant patient-derived xenograft (PDX). This
work shows that all three agents significantly decreased the expression of
proteins in the Wnt/beta-catenin, mTOR and STAT3 pathways and preferentially
targeted cells that expressed the ovarian CSC surface protein CD133. It also
illustrates the potential of drug repurposing for chemoresistant EOC and can
serve as a basis for pathway-oriented in vivo studies.
PMID- 27888805
TI - Effects of Abies sibirica terpenes on cancer- and aging-associated pathways in
human cells.
AB - A large number of terpenoids exhibit potential geroprotector and anti-cancer
properties. Here, we studied whole transcriptomic effects of Abisil, the extract
of fir (Abies sibirica) terpenes, on normal and cancer cell lines. We used early
passaged and senescent none-immortalized fibroblasts as cellular aging models. It
was revealed that in normal fibroblasts, terpenes induced genes of stress
response, apoptosis regulation and tissue regeneration. The restoration of the
expression level of some prolongevity genes after fir extract treatment was shown
in old cells. In Caco-2 and AsPC-1 cancer cell lines, Abisil induced expression
of both onco-suppressors (members of GADD45, DUSP, and DDIT gene families), and
proto-oncogenes (c-Myc, c-Jun, EGR and others). Thus, the study demonstrates the
potential anti-aging and anti-cancer effects of Abisil on senescent and cancer
cell lines.
PMID- 27888806
TI - Decreased DACH1 expression in glomerulopathy is associated with disease
progression and severity.
AB - Cell fate determination factor dachshund1 (DACH1) is a chromosome-associated
protein that regulates cellular differentiation throughout development. Recent
genome-wide association studies have show that missense mutation in DACH1 leads
to hereditary renal hypodysplasia. Renal DACH1 expression can be used to estimate
glomerular filtration rate (eGFR). We firstly characterized the function of DACH1
in normal and diseased renal tissue using immunohistochemistry to assess DACH1 in
human renal biopsy specimens from 40 immunoglobulin A nephropathy (IgAN)
patients, 20 idiopathic membranous nephropathy (IMN) patients, and 15 minimal
change disease (MCD) patients. We found that DACH1 expression was decreased in
the nephropathy group relative to healthy controls. DACH1 staining in the
glomerulus correlated positively with eGFR (r = 0.41, p < 0.001) but negatively
with serum creatinine (r = -0.37, p < 0.01). In vitro, DACH1 overexpression in
human podocytes or HK2 cells decreased expression of cyclin D1, but increased
expression of p21 and p53, which suggested that DACH1 overexpression in human
podocytes or HK2 cells increased the G1/S phase or G2/M cell arrest. Together,
These findings indicate that DACH1 expression is decreased in glomerulopathy
imply a potential role for DACH1 in the this development of human chornic
glomerulopathy. These data suggest that DACH1 is a potential a marker of disease
progression and severity for glomerular diseases.
PMID- 27888807
TI - Is the positivity of estrogen receptor or progesterone receptor different between
type 1 and type 2 endometrial cancer?
AB - Endometrial cancer is a major cancer in women and traditionally divided into type
1 and type 2. It is well known that type 2 endometrial cancer has a poor
prognosis. Studies have suggested that estrogen receptor (ER) or progesterone
receptor (PR) positive are positively associated with endometrial cancer survive.
However whether the positivity of ER or PR is different between cancer types has
not been investigated yet. In this retrospective study, the positivity of ER or
PR was analysed in 1054 women with primary diagnosed endometrial cancer taking
into account cancer types and menopausal status from the largest university
teaching women's hospital in China. The positivity of ER or PR (over 90%) was
significantly higher in type 1 compared to that in type 2 endometrial cancer (71%
or 64%) in both premenopausal and postmenopausal women. There was no different in
positivity of ER or PR in type 1 endometrial cancer between premenopausal and
postmenopausal women. However, in type 2 endometrial cancer, the positivity of ER
or PR in premenopausal women was significantly higher compared to that in
postmenopausal women. Our data demonstrate that both ER and PR positivity are
significantly higher in type 1 endometrial cancer (92%) compared to type 2 (72%
ER positive, 65% PR positive). Menopausal status is not associated with the
positivity of ER or PR in type 1 endometrial cancer. Our data may provide some
novel insights why Asian women have better outcomes of endometrial cancer which
was reported in the literature.
PMID- 27888808
TI - Prognostic nutritional index as a prognostic biomarker for survival in digestive
system carcinomas.
AB - The prognostic nutritional index (PNI) has been reported to correlate with the
prognosis in patients with various malignancies. We performed a meta-analysis to
determine the predictive potential of PNI in digestive system cancers. Twenty
three studies with a total of 7,384 patients suffering from digestive system
carcinomas were involved in this meta-analysis. A lower PNI was significantly
associated with the shorter overall survival (OS) [Hazard Ratio (HR) 1.83, 95%
Confidence Interval (CI) 1.62-2.07], the poorer disease-free survival (DFS) (HR
1.85, 95% CI 1.19-2.89), and the higher rate of post-operative complications (HR
2.31, 95% CI 1.63-3.28). In conclusion, PNI was allowed to function as an
efficient indicator for the prognosis of patients with digestive system
carcinomas.
PMID- 27888809
TI - Human adipose tissue-derived mesenchymal stem cells alleviate atopic dermatitis
via regulation of B lymphocyte maturation.
AB - Mesenchymal stem cell (MSC) has been applied for the therapy of allergic
disorders due to its beneficial immunomodulatory abilities. However, the
underlying mechanisms for therapeutic efficacy are reported to be diverse
according to the source of cell isolation or the route of administration. We
sought to investigate the safety and the efficacy of human adipose tissue-derived
MSCs (hAT-MSCs) in mouse atopic dermatitis (AD) model and to determine the
distribution of cells after intravenous administration. Murine AD model was
established by multiple treatment of Dermatophagoides farinae. AD mice were
intravenously infused with hAT-MSCs and monitored for clinical symptoms. The
administration of hAT-MSCs reduced the gross and histological signatures of AD,
as well as serum IgE level. hAT-MSCs were mostly detected in lung and heart of
mice within 3 days after administration and were hardly detectable at 2 weeks.
All of mice administered with hAT-MSCs survived until sacrifice and did not
demonstrate any adverse events. Co-culture experiments revealed that hAT-MSCs
significantly inhibited the proliferation and the maturation of B lymphocytes via
cyclooxygenase (COX)-2 signaling. Moreover, mast cell (MC) degranulation was
suppressed by hAT-MSC. In conclusion, the intravenous infusion of hAT-MSCs can
alleviate AD through the regulation of B cell function.
PMID- 27888810
TI - M2 macrophages induce ovarian cancer cell proliferation via a heparin binding
epidermal growth factor/matrix metalloproteinase 9 intercellular feedback loop.
AB - In ovarian cancer, a high ratio of anti-inflammatory M2 to pro-inflammatory M1
macrophages correlates with poor patient prognosis. The mechanisms driving poor
tumor outcome as a result of the presence of M2 macrophages in the tumor
microenvironment remain unclear and are challenging to study with current
techniques. Therefore, in this study we utilized a micro-culture device
previously developed by our lab to model concentrated paracrine signaling in
order to address our hypothesis that interactions between M2 macrophages and
ovarian cancer cells induce tumor cell proliferation. Using the micro-culture
device, we determined that co-culture with M2-differentiated primary macrophages
or THP-1 increased OVCA433 proliferation by 10-12%. This effect was eliminated
with epidermal growth factor receptor (EGFR) or heparin-bound epidermal growth
factor (HB-EGF) neutralizing antibodies and HBEGF expression in peripheral blood
mononuclear cells from ovarian cancer patients was 9-fold higher than healthy
individuals, suggesting a role for HB-EGF in tumor progression. However, addition
of HB-EGF at levels secreted by macrophages or macrophage-conditioned media did
not induce proliferation to the same extent, indicating a role for other factors
in this process. Matrix metalloproteinase-9, MMP-9, which cleaves membrane-bound
HB-EGF, was elevated in co-culture and its inhibition decreased proliferation.
Utilizing inhibitors and siRNA against MMP9 in each population, we determined
that macrophage-secreted MMP-9 released HB-EGF from macrophages, which increased
MMP9 in OVCA433, resulting in a positive feedback loop to drive HB-EGF release
and increase proliferation in co-culture. Identification of multi-cellular
interactions such as this may provide insight into how to most effectively
control ovarian cancer progression.
PMID- 27888814
TI - Diaphragmatic Dysfunction after Thoracic Operations.
AB - The perioperative management of diaphragmatic weakness and phrenic nerve
dysfunction is complex, due to varied etiologies and clinical presentations. The
factors leading to diaphragmatic weakness may culminate after the operation with
transient or persistent respiratory failure. This review discusses diaphragmatic
disorders and postoperative respiratory failure caused by unilateral or bilateral
diaphragmatic impairment. The origins of neuromuscular weakness involving the
diaphragm are diverse, and often lie within the domains of different medical
specialties, with only a portion of the condition related to surgical
intervention. Consideration of underlying etiologies for any individual patient
requires thorough multidisciplinary review. The most important clinical scenarios
compounding diaphragmatic weakness, including acute myasthenic states, persistent
neuromuscular blockade, and surgical injury to the phrenic nerve or diaphragm,
are accessible to attentive surgeons. Awareness of the signs and symptoms of
undiagnosed weakness, preoperative pursuit of its diagnosis, knowledge of
surgical alternatives to phrenic nerve resection, and cooperative skills in the
multidisciplinary management of myasthenia all are crucial to improve patient
outcomes.
PMID- 27888813
TI - The Color of a Heart.
PMID- 27888812
TI - A polysaccharide from Lentinus edodes inhibits human colon cancer cell
proliferation and suppresses tumor growth in athymic nude mice.
AB - The antitumor effect of Lentinan is thought rely on the activation of immune
responses; however, little is known about whether Lentinan also directly attacks
cancer cells. We therefore investigated the direct antitumor activity of SLNT (a
water-extracted polysaccharide from Lentinus edodes) and its probable mechanism.
We showed that SLNT significantly inhibited proliferation of HT-29 colon cancer
cells and suppressed tumor growth in nude mice. Annxein V-FITC/PI, DAPI, AO/EB
and H&E staining assays all showed that SLNT induced cell apoptosis both in vitro
and in vivo. SLNT induced apoptosis by activating Caspase-3 via both intrinsic
and extrinsic pathways, which presented as the activation of Caspases-9 and -8,
upregulation of cytochrome c and the Bax/Bcl-2 ratio, downregulation of NF
kappaB, and overproduction of ROS and TNF-alpha in vitro and in vivo.
Pretreatment with the caspase-3 inhibitor Ac-DEVD-CHO or antioxidant NAC blocked
SLNT-induced apoptosis. These findings suggest that SLNT exerts direct antitumor
effects by inducing cell apoptosis via ROS-mediated intrinsic and TNF-alpha
mediated extrinsic pathways. SLNT may thus represent a useful candidate for colon
cancer prevention and treatment.
PMID- 27888815
TI - Acute diarrhoea induced by rotavirus in children hospitalysed in Provincial
Hospital for Infectious Diseases in Bydgoszcz in 2014 year
AB - OBJECTIVE: The aim of the present study was to describe the epidemiology and
course of rotavirus infection in children hospitalized at the T. Browicz
Provincial Hospital for Infectious Diseases in Bydgoszcz, Poland in 2014 year.
INTRODUCTION: Rotavirus infection is responsible for over 2 millions
hospitalizations per year among children under 5 year old. Rotavirus
gastroenterocolitis is one of the most common cause of severe dehydration,
electrolyte disturbances and metabolic acidosis, leading to 400-600 thousand
deaths per year in children younger than 5 years of age worldwide. MATERIAL AND
METHODS: Retrospective analysis of medical records of 401 patients hospitalized
in 2014 year in the Pediatric Infectious Diseases and Hepatology Ward at
Provincial Hospital for Infectious Diseases in Bydgoszcz, diagnosed with
rotavirus gastroenterocolitis was taken. RESULTS: Over the study period, 1205
children with acute gastroenterocolitis were hospitalized. Rotavirus-related
diarrhea was diagnosed in 401 (33%) cases. The mean age of admitted patients was
2,75 years and it ranged from 3 weeks to 17 years of age. In the analyzed group,
56% cases occurred in children 1-3 years of age. The mean length of
hospitalization was 5,5 days (ranged from 1 to 55 days). Most of children -
244/401 (61%) were hospitalized for 4-7 days. Presence of additional etiological
factor was related with prolonged hospitalization - average up to 8,3 days. There
were reported a hypertransaminazemia (ALAT 47-429 IU/l) in 11% cases.
Hypoglycemia (<60 mg/dl) was noted in 18/213 (8,45%) children. Metabolic acidosis
(pH <=7,350) occurred in 35/146 (24%) cases. Hypokalemia (K+ <3,5 mmol/l) were
reported in 16/154 (10%) patients, and hyponatremia (Na+ <135 mmol/l) in 73/154
(47,4%) patients. In our studies 19/401 (4,7%) children were vaccinated against
rotaviruses. CONCLUSIONS: 1. Rotavirus infections are the most common cause of
diarrheas in children, concerning mainly patients under 4 years of age.2.
Rotavirus infections can lead to many serious complications - electrolyte
disturbances, metabolic acidosis and hypoglycemia.3. Among our patients rotavirus
vaccination insensibly reduced duration of hospitalization.
PMID- 27888816
TI - Opinions about vaccination among mothers who delivered newborns in two hospitals
in Krakow and Myslenice
AB - INTRODUCTION AND OBJECTIVE: Campaigns against vaccinations in Poland have been
increasingly more frequently observed in recent years, that was the reason of
bigger number of parents refusing a consent for their children immunization. The
aim of study was to determine the sources of information which significantly
influence mothers' opinions about vaccinations. MATERIALS AND METHODS: A survey
was conducted among 154 randomly selected mothers hospitalized after childbearing
in two hospitals in Krakow and Myslenice at the end of 2014 and in 2015. The
research tool was the author's structured questionnaire interview. RESULTS: The
majority of mothers regarded vaccinations as an efficient method of children
protection against infectious diseases. Nevertheless, a few percent of women
admitted that it is not efficient method or had no opinion about it. The mothers'
confidence was higher for vaccines known for many years compared to new
formulations - 23.7% did not trust them and 22.4% had no statement on it. The
most of respondents claimed that the safety of polyvalent vaccines is lower than
single formulas, and were convinced that children receive too many vaccines.
About 54.6% of mothers had no opinion and 13.6% admitted that children with
chronic diseases should not be vaccinated. Depending on the question content from
3.2% to 54.6% respondents had no opinion about included vaccination issues. The
pediatricians and family doctors were the main and the most reliable source of
information about vaccinations. The reliability of doctors was assessed higher by
citizens of big city compared to women living in rural area (statistically
significant). Above 50% of mothers used mass media as a source of information
about vaccination but less than 8% regarded them as reliable. CONCLUSIONS:
Despite the wide access to different source of information, the newborns' mothers
too often had the knowledge and opinions about vaccination inconsistent with data
derived from medical facts. Furthermore, significant group of mothers had no
opinions about important issues with respect to vaccinations. At the beginning of
infants life when parents decide of their child immunization, the reliable
knowledge about that issue should be delivered by GPs because the other sources
of information are assessed as much less reliable.
PMID- 27888817
TI - The role of an electronic questionnaires in epidemiologic studies aimed at cancer
prevention
AB - The growing number of people diagnosed with cancer is an important issue in
public health. Evaluation of the population's level of cancer-related knowledge
is mandatory for planning effective prevention or intervention programs. Until
recently, traditional methodology, using paper questionnaire or telephone-based
interviews had dominated previous cross sectional studies related to this issue.
Currently, the use of new research methods based on information technology
solutions has been more frequent. Therefore, the aim of this paper is to review
published data available in the PubMed database towards the identification of
strengths and weaknesses of crosssectional studies conducted via Internet, a type
of information technology solution. This review allowed the authors to conclude
that web-based questionnaire studies could be an effective solution and may
possibly replace traditionally conducted interviews. Likewise, it evidently
appears that an online mode is more adequate for querying young people. However,
there is lack of consensus regarding the cost-effectiveness of the applicationof
Web technology as a research tool for epidemiological questionnaire-based
studies. Data security was revealed to be an important aspect of conducting
Internet-based questionnaire studies. Further efforts are aimed at standardizing
this type of researches to assess their strengths and weaknesses leading to more
widespread use.
PMID- 27888811
TI - The role of p53 in cancer drug resistance and targeted chemotherapy.
AB - Cancer has long been a grievous disease complicated by innumerable players
aggravating its cure. Many clinical studies demonstrated the prognostic relevance
of the tumor suppressor protein p53 for many human tumor types. Overexpression of
mutated p53 with reduced or abolished function is often connected to resistance
to standard medications, including cisplatin, alkylating agents (temozolomide),
anthracyclines, (doxorubicin), antimetabolites (gemcitabine), antiestrogenes
(tamoxifen) and EGFR-inhibitors (cetuximab). Such mutations in the TP53 gene are
often accompanied by changes in the conformation of the p53 protein. Small
molecules that restore the wild-type conformation of p53 and, consequently,
rebuild its proper function have been identified. These promising agents include
PRIMA-1, MIRA-1, and several derivatives of the thiosemicarbazone family. In
addition to mutations in p53 itself, p53 activity may be also be impaired due to
alterations in p53's regulating proteins such as MDM2. MDM2 functions as primary
cellular p53 inhibitor and deregulation of the MDM2/p53-balance has serious
consequences. MDM2 alterations often result in its overexpression and therefore
promote inhibition of p53 activity. To deal with this problem, a judicious
approach is to employ MDM2 inhibitors. Several promising MDM2 inhibitors have
been described such as nutlins, benzodiazepinediones or spiro-oxindoles as well
as novel compound classes such as xanthone derivatives and trisubstituted
aminothiophenes. Furthermore, even naturally derived inhibitor compounds such as
alpha-mangostin, gambogic acid and siladenoserinols have been discovered. In this
review, we discuss in detail such small molecules that play a pertinent role in
affecting the p53-MDM2 signaling axis and analyze their potential as cancer
chemotherapeutics.
PMID- 27888818
TI - High altitude illness
AB - High-altitude illness is a result of prolonged high-altitude exposure of
unacclimatized individuals. The illness is seen in the form of acute mountain
sickness (AMS) which if not treated leads to potentially life-threatening high
altitude pulmonary oedema and high-altitude cerebral oedema. Medical problems are
caused by hypobaric hypoxia stimulating hypoxia-inducible factor (HIF) release.
As a result, the central nervous system, circulation and respiratory system
function impairment occurs. The most important factor in AMS treatment is
acclimatization, withdrawing further ascent and rest or beginning to descent;
oxygen supplementation, and pharmacological intervention, and, if available, a
portable hyperbaric chamber. Because of the popularity of high-mountain sports
and tourism better education of the population at risk is essential.
PMID- 27888820
TI - The Cochrane collaboration - the role in the evolution of evidence-based medicine
and development of cooperation in Poland
AB - Evidence-based medicine (EBM) is an approach to decision making on the basis of
the reliable and up to date best evidence. EBM is regarded as the gold standard
all over the world. Cochrane Collaboration is one of the institutions, which
promote EBM among physicians, policy makers and other health care workers.
Cochrane Collaboration is international non-profit organization bringing together
people from all over the world, the aim of which is to create and disseminate
reliable scientific information. The Cochrane Collaboration develops and
publishes systematic reviews on medical and diagnostic procedures. The article
presents the history of the Cochrane Collaboration, the Cochrane Library and the
first Cochrane Branch in Poland. Cochrane Branch in Poland is hosted by the
Systematic Reviews Centre created in 2015 within the Faculty of Medicine at the
Jagiellonian University Medical College in Krakow. The authors presented the
activities of the Cochrane Collaboration, the scope of activities of Polish
Branch and briefly principles for the development of Cochrane systematic reviews.
PMID- 27888819
TI - Lost life years due to premature deaths caused by diseases of the digestive
system in Poland in 2013
AB - BACKGROUND: In order to evaluate the health status of a population, besides
indicators measuring the incidence of diseases and deaths, potential measures are
becoming more frequently used, ie. measures that take into account life-time
potential of the individuals in the population. They can particularly by applied
to analyse the problem of premature mortality, which is measured by lost life
years. AIM: The aim of the study was to evaluate life years lost due to diseases
of digestive system in Polish population in 2013. MATERIALS AND METHODS: The
study was based on a dataset containing 387,312 death certificates of Poles who
died in 2013, provided by the Central Statistical Office in Poland. Data on
deaths caused by diseases of digestive system (K00-K93 by ICD-10) were used in
the study - that were 16,543 records (4.3% of all the deaths). Lost life years
were assessed with the measures: SEYLL (Standard Expected Years of Life Lost),
SEYLLp (Standard Expected Years of Life Lost per living person), SEYLLd (Standard
Expected Years of Life Lost per death). RESULTS: In the analysed year among men
there were 9,275 deaths caused by diseases of digestive system and in women 7,268
deaths. SEYLL in the group of men amounted to 102 230.7 and in the group of women
it was 53,475.5. The number of lost life years calculated per 10 000 male
inhabitants was 54.9, and for 10,000 females it was 26.9. The highest share in
lost life years had alcoholic liver disease (SEYLLp for men - 20.87, for women -
6.1), fibrosis and cirrhosis of the liver (SEYLLp for men- 9.7, for women- 5.6)
and acute pancreatitis (SEYLLp for men - 5.3, for women - 2.1). CONCLUSIONS: The
results of the study indicate that diseases of digestive system have an important
contribution to the loss of life-time potential in Polish population (6.6% of all
SEYLL in 2013). The dominant role in this class of diseases played alcoholic
liver disease - K70, fibrosis and cirrhosis of the liver - K74 and acute
pancreatitis - K85.
PMID- 27888821
TI - Effect of adenoid hypertrophy and pulmonary function tests in children with mild
asthma.
AB - OBJECTIVES: This study aims to assess the effect of adenoid hypertrophy on asthma
in children with mild asthma. PATIENTS AND METHODS: Between September 2010 and
September 2012, 63 children (42 males, 21 females; median age 10.5 years; range 6
to 14 years) admitted to our clinic with asthma complaint were included in this
study. These children were evaluated for adenoid hypertrophy, symptoms of asthma,
medical treatment, pulmonary function tests at the beginning of the study and at
the end of second month. RESULTS: There was no correlation between initial
pulmonary function tests and adenoid hypertrophy. But we observed significantly
lower forced vital capacity values in children with prominent adenoid hypertrophy
(p=0.033). While there was no significant difference in pulmonary function tests
in terms of inhaled steroid usage (p>0.05), final forced mid-expiratory flow rate
(FEF25-75) values were statistically higher in patients who were using nasal
steroids (p=0.015). CONCLUSION: Consequently, significantly higher values of
FEF25-75 in the group that used nasal steroid suggest that adenoid hypertrophy
affects the airway obstruction. Moreover, absence of airway obstruction symptoms
in patients with mild adenoid hypertrophy suggests pulmonary function tests may
help to decide performing adenoidectomy.
PMID- 27888822
TI - Long-term results of pillar implant procedure.
AB - OBJECTIVES: This study aims to investigate the long-term efficiency and
reliability of the Pillar Implant (PI) procedure. PATIENTS AND METHODS: Between
January 2008 and January 2010, a total of 27 patients (16 males, 11 females; mean
age 45.8+/-7.2 years; range 31 to 58 years) who were diagnosed with low
obstructive sleep apnea syndrome and simple snoring underwent the PI procedure in
this retrospective, nonrandomized study. The patients were evaluated
preoperatively with visual analog scale (VAS) scores in terms of snoring,
dysphagia, mouth dryness, foreign body sensation, and pain at the first month,
third month, and sixth year intervals. RESULTS: Based on the snoring scale, VAS
scores were statistically significantly lower in the first month, third month and
sixth year compared to preoperative scores (p=0.001, p=0.008, p=0.017,
respectively). There was no pain in any patients beyond the third day. The VAS
score averages in all evaluations were higher by statistical significance
relative to the preoperative averages (p=0.018, p=0.027, p=0.025, respectively).
Mouth dryness was encountered in seven patients. It persisted in seven patients
at the third month and in five patients at the sixth year interval. The VAS score
averages were statistically significantly higher in all evaluations compared to
the preoperative scores (p=0.017, p=0.018, p=0.042, respectively). CONCLUSION:
Pillar implant is an efficient, reliable method in the long-term; however, it
should be considered that it could cause complaints such as dysphagia, foreign
body sensation, and mouth dryness.
PMID- 27888823
TI - Investigating KRAS/BRAF mutation in oropharyngeal squamous cell carcinomas: a
preliminary study.
AB - OBJECTIVES: This study aims to investigate the role of KRAS/BRAF gene mutation in
the pathogenesis of oropharyngeal squamous cell carcinoma (OSCC). PATIENTS AND
METHODS: A total of 26 OSCC patients (23 males, 3 females; mean age 60 years;
range 41 to 77 years) diagnosed between January 2003 and November 2013 were
included in the study. The methods used in our study were quantitative
fluorescence polymerase chain reaction for KRAS/BRAF mutation analysis. RESULTS:
Ten of the tumors were located at the tongue base, 12 in the tonsil and four at
the floor of mouth. The mean tumor size was 3.8 cm. Six of the tumors were well
differentiated, 18 were moderately differentiated and two were poorly
differentiated. All cases were analyzed for KRAS and BRAF gene mutations and none
of them showed gene mutations. CONCLUSION: We could not find any relation between
OSCC and KRAS/BRAF gene mutations in our short case file. The role of mutations
should be analyzed in larger series in OSCC to predict new targeted therapy
modalities.
PMID- 27888825
TI - [Publication rates of audiology master and doctoral theses in peer-reviewed
journals].
AB - OBJECTIVES: Theses are the prime indicators of the scientific productivity of a
country and one of the main and strongest resources for the emergence of
scientific articles. This study aims to examine, by using the survey method, how
many of the audiology master and/or doctoral theses in Turkey were turned into a
scientific work. PATIENTS AND METHODS: Individuals who received a master's degree
in audiology were surveyed whether their theses had been reviewed as a paper or
publication. RESULTS: Forty-five of the 230 audiology specialists and 22
audiologists with a doctorate degree working in Turkey participated in the study
by answering the survey questions. In general, while 45.4% of master theses were
presented orally or as a poster presentation in a national convention, 20.4% were
presented orally or as a poster presentation in an international convention.
While 18.1% of theses were published as an article in a national journal, 15.9%
were published as an article in an international SCI or SCI-E journal. The
distribution of the doctoral theses is 26.6%, 33.3%, 13.3% and 26.6%,
respectively. CONCLUSION: We found the rate of theses in audiology turning into a
scientific article to be below the desired level, as in other fields. The reasons
for this should be emphasized and efforts should be made to raise these rates to
the desired level.
PMID- 27888824
TI - Clinico-radiological parameters predicting early diagnosis of foreign body
aspiration in children.
AB - OBJECTIVES: This study aims to investigate clinical and radiological findings to
make early diagnosis of foreign body (FB) aspiration in children. PATIENTS AND
METHODS: This prospective study was conducted on 45 children younger than 12
years with a clinical diagnosis of FB aspiration undergone rigid bronchoscopy
between September 2010 and May 2014. The results of 37 children (22 males, 15
females; mean age 2.6 years; range 1 to 12 years) with positive for FB on
bronchoscopy were analyzed. RESULTS: Penetration syndrome (sudden onset coughing,
choking and gagging) (89.2%) and decreased breath sounds (86.5%) were the most
common clinical features. Cough, respiratory difficulty and fever were present in
83.8%, 78.4% and 27% respectively. Tachypnea, tachycardia, chest retractions,
decreased chest movements and wheeze were present in 83.3%, 83.3%, 83.3%, 51.4%
and 43.2% respectively. Unilateral hyperinflation (64.9%), mediastinal shift
(45.9%), and collapse (21.6%) were common radiological signs on chest radiography
and in 13.5% patients the chest X-rays were normal. Sites of FB lodgements were
larynx, trachea, right main bronchus, left main bronchus and bilateral bronchi in
10.8%, 10.8%, 35.1%, 37.8 and 5.5% respectively. Food related FBs were present in
30 cases (peanut 54.1%) and inorganic FBs were present in seven cases.
CONCLUSION: Penetration syndrome, localized decreased breath sounds, unilateral
hyperinflation and/or mediastinal shift on radiology are predictors for early
diagnosis of FB aspiration.
PMID- 27888826
TI - Clinical significance of Ki-67, c-erbB-2 and E-cadherin expressions in open
partial laryngectomy patients.
AB - OBJECTIVES: This study aims to analyze the correlation between biomarkers and
risks of cervical lymph node invasion, recurrence, distant metastasis and
survival regarding the clinicopathological variables in laryngeal cancers.
PATIENTS AND METHODS: Forty-one patients with laryngeal cancers were examined
retrospectively. The correlations evaluated between E-cadherin, Ki-67, c-erbB-2
expressions, tumor site, differentiation, the risk of cervical lymph node
metastasis, perineural, perivascular, pericapsular invasion and recurrence as
well as survival time. RESULTS: There was significant correlation between T-grade
and the survival rates, and between pericapsular invasion and the lowest survival
rates. There was no significant correlation between E-cadherin, Ki-67, c-erbB-2
expressions and clinicopathological variables of tumor. Positive correlation
between strong stain of E-cadherin and Ki-67 proliferation index was determined.
CONCLUSION: These markers are not reliable prognostic and predictive factors for
laryngeal cancers. E-cadherin expression was protected in well-differentiated and
less invasive cancers, which maintain their cell-cell adhesions whereas it was
reduced in undifferentiated cancers. Positive correlation between E-cadherin and
Ki-67 proliferation shows that histopathological differentiation of laryngeal
cancers is maintained in spite of the high proliferation index.
PMID- 27888827
TI - Excision of recurrent synovial sarcoma of the infratemporal fossa.
AB - Synovial sarcoma is a soft tissue sarcoma especially encountered in the lower
extremities. The infratemporal fossa is quite a rare location. Since it is a
closed location, combined approaches and multidisciplinary planning always need
to be considered. This case emphasizes the high-grade character of synovial
sarcoma, which causes it to recur often. The difficulty of clear surgical margins
in the infratemporal fossa adds to synovial sarcoma a second challenging issue.
Therefore, the need of complementary therapy is essential. In this case, we
applied postoperative radiotherapy and we did not observe any sign of local,
regional or distant metastasis in the one-year follow-up. In this article, we
present a 68-year-old male patient together with totally excised synovial sarcoma
in the infratemporal fossa by mandibular swing and transzygomatic technique
information on the manifestation, imaging, histopathological features and
postoperative complications of previous infratemporal fossa synovial sarcomas.
PMID- 27888828
TI - Nasal chondromesenchymal hamartoma: a rare nasal benign tumor.
AB - Nasal chondromesenchymal hamartoma (NCMH) is a rare nasal benign tumor, which
arises from the nasal cavity or paranasal sinuses. In this article, we present a
five-year-old male patient with rhabdomyosarcoma unity in remission that emerged
with nasal obstruction. Synchronous diagnosis of pediatric tumors such as
pleuropulmonary blastoma in the literature is a remarkable finding. We found a
mass within the left nasal cavity originating from superior portion of nasal
septum, extending to the olfactory cleft and resected all tumor via endoscopic
surgical approach. Histopathological diagnosis revealed that NCMH contained
cartilaginous and mesenchymal components. In conclusion, NCMH is a rare
surgically treated benign tumor that can be synchronously diagnosed with
pleuropulmonary blastoma and should be kept in mind for differential diagnosis of
unilateral pediatric nasal mass.
PMID- 27888829
TI - Treatment of seborrheic keratosis in bilateral external auditory canal using
fiber CO2 laser.
AB - In this article, we report a 33-year-old female patient with lesions of bilateral
external auditory canals who was treated using fiber CO2 laser without
canaloplasty. Histopathological examination confirmed the diagnosis of irritated
type seborrheic keratosis, which is rare in external auditory canal. Twelve
months after the treatment, the patient showed no signs of recurrence.
PMID- 27888830
TI - [Giant retropharyngeal abscess in pediatric patient: case report].
AB - A two-year-old girl patient, who presented to another center with three months of
sore throat and one month of neck swelling with pain, was initiated
antibiotherapy but no healing was achieved. Afterwards, the patient was directed
to our clinic and an abscess was detected in magnetic resonance imaging extending
in both lateral spaces of the neck. The patient was performed surgical drainage
urgently. The wound was dressed with rifampicin and the patient was started
parenteral antibiotherapy. A dramatic recovery was observed and no complication
occurred in the patient.
PMID- 27888831
TI - [Extranasal glioma surgery in a newborn: a case report].
AB - Nasal gliomas are rarely seen, congenital, benign and nonhereditary lesions.
These are not true tumors that occur due to extradural malposition of ectopic
glial tissue during embryologic development. These are generally diagnosed during
childbirth and may have an intracranial extension with fibrous stalk. Treatment
of these masses that cause nasal obstruction and cosmetic deformity according to
location, is total excision. In this case report, we present an extranasal glioma
case whose surgery was done when she was 11 days old. Nasal gliomas are
congenital midline lesions in the newborn period and it is necessary to be
careful in differential diagnosis and during surgery due to possible intracranial
extension.
PMID- 27888832
TI - [Foreign body aspirations].
PMID- 27888833
TI - Interaction of rat alveolar macrophages with dental composite dust.
AB - BACKGROUND: Dental composites have become the standard filling material to
restore teeth, but during the placement of these restorations, high amounts of
respirable composite dust (<5 MUm) including many nano-sized particles may be
released in the breathing zone of the patient and dental operator. Here we tested
the respirable fraction of several composite particles for their cytotoxic effect
using an alveolar macrophage model system. METHODS: Composite dust was generated
following a clinical protocol, and the dust particles were collected under
sterile circumstances. Dust was dispersed in fluid, and 5-MUm-filtered to enrich
the respirable fractions. Quartz DQ12 and corundum were used as positive and
negative control, respectively. Four concentrations (22.5 MUg/ml, 45 MUg/ml, 90
MUg/ml and 180 MUg/ml) were applied to NR8383 alveolar macrophages. Light and
electron microscopy were used for subcellular localization of particles. Culture
supernatants were tested for release of lactate dehydrogenase, glucuronidase, TNF
alpha, and H2O2. RESULTS: Characterization of the suspended particles revealed
numerous nano-sized particles but also many high volume particles, most of which
could be removed by filtering. Even at the highest concentration (180 MUg/ml),
cells completely cleared settled particles from the bottom of the culture vessel.
Accordingly, a mixture of nano- and micron-scaled particles was observed inside
cells where they were confined to phagolysosomes. The filtered particle fractions
elicited largely uniform dose-dependent responses, which were elevated compared
to the control only at the highest concentration, which equaled a mean cellular
dose of 120 pg/cell. A low inflammatory potential was identified due to dose
dependent release of H2O2 and TNF-alpha. However, compared to the positive
control, the released levels of H2O2 and TNF-alpha were still moderate, but their
release profiles depended on the type of composite. CONCLUSIONS: Alveolar
macrophages are able to phagocytize respirable composite dust particle inclusive
nanoparticles. Since NR8383 cells tolerate a comparatively high cell burden (60
pg/cell) of each of the five materials with minimal signs of cytotoxicity or
inflammation, the toxic potential of respirable composite dust seems to be low.
These results are reassuring for dental personnel, but more research is needed to
characterize the actual exposure and uptake especially of the pure nano fraction.
PMID- 27888834
TI - Exploring the impact of word-of-mouth about Physicians' service quality on
patient choice based on online health communities.
AB - BACKGROUND: Health care service is a high-credence service and patients may face
difficulties ascertaining service quality in order to make choices about their
available treatment options. Online health communities (OHCs) provide a
convenient channel for patients to search for physicians' information, such as
Word-of-Mouth (WOM), particularly on physicians' service quality evaluated by
other patients. Existing studies from other service domains have proved that WOM
impacts consumer choice. However, how patients make a choice based on physicians'
WOM has not been studied, particularly with reference to different patient
characteristics and by using real data. METHODS: One thousand eight hundred fifty
three physicians' real data were collected from a Chinese online health
community. The data were analyzed using ordinary least squares (OLS) method.
RESULTS: The study found that functional quality negatively moderated the
relationship between technical quality and patient choice, and disease risk
moderated the relationship between physicians' service quality and patient
choice. CONCLUSIONS: Our study recommends that hospital managers need to consider
the roles of both technical quality and functional quality seriously. Physicians
should improve their medical skills and bedside manners based on the severity and
type of disease to provide better service.
PMID- 27888837
TI - Changes in the profile of Australians in 77 residential aged care facilities
across New South Wales and the Australian Capital Territory.
AB - Objective Government expenditure on and the number of aged care facilities in
Australia have increased consistently since 1995. As a result, a range of aged
care policy changes have been implemented. Data on demographics and utilisation
are important in determining the effects of policy on residential aged care
services. Yet, there are surprisingly few statistical summaries in the peer
reviewed literature on the profile of Australian aged care residents or trends in
service utilisation. Therefore, the aim of the present study was to characterise
the demographic profile and utilisation of a large cohort of residential aged
care residents, including trends over a 3-year period. Methods We collected 3
years of data (2011-14) from 77 residential aged care facilities and assessed
trends and differences across five demographic and three service utilisation
variables. Results The median age at admission over the 3-year period remained
constant at 86 years. There were statistically significant decreases in
separations to home (z=2.62, P=0.009) and a 1.35% increase in low care
admissions. Widowed females made up the majority (44.75%) of permanent residents,
were the oldest and had the longest lengths of stay. One-third of permanent
residents had resided in aged care for 3 years or longer. Approximately 30% of
residents were not born in Australia. Aboriginal residents made up less than 1%
of the studied population, were younger and had shorter stays than non-Aboriginal
residents. Conclusion The analyses revealed a clear demographic profile and
consistent pattern of utilisation of aged care facilities. There have been
several changes in aged care policy over the decades. The analyses outlined
herein illustrate how community, health services and public health data can be
used to inform policy, monitor progress and assess whether intended policy has
had the desired effects on aged care services. What is known about the topic?
Characterisation of permanent residents and their utilisation of residential aged
care facilities is poorly described in the peer-reviewed literature. Further,
publicly available government reports are incomplete or characterised using
incomplete methods. What does this paper add? The analyses in the present study
revealed a clear demographic profile and consistent pattern of utilisation of
aged care facilities. The most significant finding of the study is that one-third
of permanent residents had resided in an aged care facility for >=3 years. These
findings add to the overall picture of residential aged care utilisation in
Australia. What are the implications for practitioners? The analyses outlined
herein illustrate how community, health services and public health data can be
utilised to inform policy, monitor progress and assess whether or not intended
policy has had the desired effects on aged care services.
PMID- 27888836
TI - Limited predictability of maximal muscular pressure using the difference between
peak airway pressure and positive end-expiratory pressure during proportional
assist ventilation (PAV).
AB - BACKGROUND: If the proportional assist ventilation (PAV) level is known, muscular
effort can be estimated from the difference between peak airway pressure and
positive end-expiratory pressure (PEEP) (DeltaP) during PAV. We conjectured that
deducing muscle pressure from DeltaP may be an interesting method to set PAV, and
tested this hypothesis using the oesophageal pressure time product calculation.
METHODS: Eleven mechanically ventilated patients with oesophageal pressure
monitoring under PAV were enrolled. Patients were randomly assigned to seven
assist levels (20-80%, PAV20 means 20% PAV gain) for 15 min. Maximal muscular
pressure calculated from oesophageal pressure (Pmus, oes) and from DeltaP (Pmus,
aw) and inspiratory pressure time product derived from oesophageal pressure
(PTPoes) and from DeltaP (PTPaw) were determined from the last minute of each
level. Pmus, oes and PTPoes with consideration of PEEPi were expressed as Pmus,
oes, PEEPi and PTPoes, PEEPi, respectively. Pressure time product was expressed
as per minute (PTPoes, PTPoes, PEEPi, PTPaw) and per breath (PTPoes, br, PTPoes,
PEEPi, br, PTPaw, br). RESULTS: PAV significantly reduced the breathing effort of
patients with increasing PAV gain (PTPoes 214.3 +/- 80.0 at PAV20 vs. 83.7 +/-
49.3 cmH2O*s/min at PAV80, PTPoes, PEEPi 277.3 +/- 96.4 at PAV20 vs. 121.4 +/-
71.6 cmH2O*s/min at PAV80, p < 0.0001). Pmus, aw overestimates Pmus, oes for low
gain PAV and underestimates Pmus, oes for moderate-gain to high-gain PAV. An
optimal Pmus, aw could be achieved in 91% of cases with PAV60. When the PAV gain
was adjusted to Pmus, aw of 5-10 cmH2O, there was a 93% probability of PTPoes
<224 cmH2O*s/min and 88% probability of PTPoes, PEEPi < 255 cmH2O*s/min.
CONCLUSION: Deducing maximal muscular pressure from DeltaP during PAV has limited
accuracy. The extrapolated pressure time product from DeltaP is usually less than
the pressure time product calculated from oesophageal pressure tracing. However,
when the PAV gain was adjusted to Pmus, aw of 5-10 cmH2O, there was a 90%
probability of PTPoes and PTPoes, PEEPi within acceptable ranges. This
information should be considered when applying DeltaP to set PAV under various
gains.
PMID- 27888838
TI - Five decades of homonuclear dipolar decoupling in solid-state NMR: Status and
outlook.
AB - It has been slightly more than fifty years since the first homonuclear spin
decoupling scheme, Lee-Goldburg decoupling, was proposed for removing homonuclear
dipolar interactions in solid-state nuclear magnetic resonance. A family of such
schemes has made observation of high-resolution NMR spectra of abundant spins
possible in various applications in solid state. This review outlines the
strategies used in this field and the future prospects of homonuclear spin
decoupling in solid-state NMR.
PMID- 27888835
TI - The association between benzodiazepine use and sleep quality in residential aged
care facilities: a cross-sectional study.
AB - BACKGROUND: Benzodiazepines are commonly prescribed in residential aged care
facilities (RACFs) for their sedative and anxiolytic effects. The objective of
this study was to investigate the association between benzodiazepine use and
sleep quality in residents of RACFs. METHODS: A cross-sectional study involving
383 participants was conducted in six Australian RACFs. Night-time sleep quality,
day-time drowsiness and day-time napping behavior were assessed using a validated
questionnaire. Logistic regression was used to compute adjusted odds ratios
(AORs) and 95% confidence intervals (CIs) for the association between
benzodiazepine use and sleep quality. Covariates included pain, dementia
severity, depression, insomnia and other sedative use. RESULTS: Of the 383
residents (mean age 87.5 years, 77.5% female), 96(25.1%) used a benzodiazepine on
a regular basis. Residents who used long-acting benzodiazepines on a regular
basis had higher night-time sleep quality than non-users (AOR = 4.00, 95%CI 1.06
15.15). Residents who used short-acting benzodiazepines on a PRN only basis had
longer daytime napping times than non-users (AOR = 1.77, 95%CI 1.01 - 3.08). No
benzodiazepine category was associated with day-time drowsiness. CONCLUSIONS: The
association between benzodiazepine use and sleep quality is dependent on the half
life and prescribing pattern of the benzodiazepine. Short-acting PRN
benzodiazepines were associated with lower night time sleep quality and longer
day-time napping compared to long-acting regular benzodiazepines. Longitudinal
studies are needed to determine whether these findings reflect channeling of
short-acting agents to residents at higher risk of sleep disorders.
PMID- 27888839
TI - Detection of intermolecular NOE interactions in large protein complexes.
AB - Intermolecular NOE interactions are invaluable for structure determination of
biomolecular complexes by NMR and they represent the "gold-standard" amongst NMR
measurements for characterizing interfaces. These NOEs constitute only a small
fraction of the observed NOEs in a complex and are usually weaker than many of
the intramolecular NOEs. A number of methods have been developed to remove the
intramolecular NOEs that interfere with the identification of intermolecular
NOEs. NMR experiments used to observe intermolecular NOE interactions in large
protein complexes must cope with the short T2 relaxation time of the protons and
heteronuclei in these complexes because they result in severe losses in
sensitivity. The isotope-edited/isotope-filtered experiment is a powerful method
for extraction of intermolecular NOEs in biomolecular complexes. Its application
to large protein complexes is limited because of severe losses in signal-to-noise
ratio caused by delays in the pulse sequence necessary for the multiple
magnetization transfer steps between protons and heteronuclei. Isotope
edited/isotope-edited experiments, in which one protein is usually labeled with
13C and the other is labeled with 15N, reduce possible artifacts in the filtering
experiments and improve somewhat the sensitivity of these experiments.
Sensitivity can also be improved by deuteration of the components of the complex
in order to replace either or both of the filtering or editing steps. Asymmetric
deuteration, where aromatic residues in one protein and non-aromatic amino acids
in the other are reverse protonated, can eliminate the editing and the filtering
steps altogether, thus maintaining high sensitivity even for large proteins
complexes. Difference spectroscopy and the use of 2D NOESY experiments without
using editing or filtering steps can significantly increase the signal-to-noise
ratio in experiments aimed at observing intermolecular NOEs. The measurement of
NOESY spectra of three different preparations of a heterodimeric complex under
investigation in which one or neither of the components is uniformly deuterated,
and calculation of a double difference spectrum provides information on all
intermolecular NOEs of non-exchangeable protons. Recent studies indicate that
many protein-protein interactions are actually between a protein and a linear
peptide recognition motif of the second protein, and determinants represented by
linear peptides contribute significantly to the binding energy. NMR is a very
versatile method to study peptide-protein interactions over a wide range of
binding affinities and binding kinetics. Protein-peptide interactions in
complexes exhibiting tight binding can be studied using single and/or multiple
deuteration of the peptide residues and measuring a difference NOESY spectrum.
This difference spectrum will show exclusively intra- and intermolecular
interactions of the peptide protons that were deuterated. Transferred nuclear
Overhauser spectroscopy (TRNOE) extends NMR to determine interactions within and
between a weakly-bound rapidly-exchanging peptide and its protein target. TRNOE,
together with asymmetric deuteration, is applicable to complexes up to ~100KDa
and is highly sensitive, taking advantage of the long average T2 of the peptide
protons. Among the methods described in this review, TRNOE has the best potential
to determine intermolecular NOEs for the upper molecular weight limit of proteins
that can be studied in detail by NMR.
PMID- 27888840
TI - NMR solution structure determination of large RNA-protein complexes.
AB - Structure determination of RNA-protein complexes is essential for our
understanding of the multiple layers of RNA-mediated posttranscriptional
regulation of gene expression. Over the past 20years, NMR spectroscopy became a
key tool for structural studies of RNA-protein interactions. Here, we review the
progress being made in NMR structure determination of large ribonucleoprotein
assemblies. We discuss approaches for the design of RNA-protein complexes for NMR
structural studies, established and emerging isotope and segmental labeling
schemes suitable for large RNPs and how to gain distance restraints from NOEs,
PREs and EPR and orientational information from RDCs and SAXS/SANS in such
systems. The new combination of NMR measurements with MD simulations and its
potential will also be discussed. Application and combination of these various
methods for structure determination of large RNPs will be illustrated with three
large RNA-protein complexes (>40kDa) and other interesting complexes determined
in the past six and a half years.
PMID- 27888841
TI - NMR in drug discovery: A practical guide to identification and validation of
ligands interacting with biological macromolecules.
AB - Protein-ligand interactions are at the heart of drug discovery research. NMR
spectroscopy is an excellent technology to identify and validate protein-ligand
interactions. A plethora of NMR methods are available which are powerful, robust
and information-rich, but also have pitfalls and limitations. In this review, we
will focus on how to choose between different experiments, and assess their
strengths and liabilities. We introduce the concept of the validation cross,
which helps to categorize experiments according to their information content and
to simplify the choice of the right experiment in order to address a specific
question. Additionally, we will provide the framework for drawing correct
conclusions from experimental results in order to accurately evaluate such
interactions. Out of scope for this review are methods for subsequent
characterization of the interaction such as quantitative KD determination,
binding mode analysis, or structure determination.
PMID- 27888842
TI - Technical Considerations: Best Practices for MR Imaging of the Foot and Ankle.
AB - There are many challenges involved in obtaining diagnostic MR images of the foot
and ankle. The complex anatomy and morphology, with curved and angular structures
localized to the periphery of the body, make for an inherent challenge, let alone
if an added level of complexity, such as orthopedic instrumentation, is added.
This review outlines the technical considerations best designed to produce
diagnostic images of the foot and ankle, with an emphasis on the postoperative
state, including imaging in the presence of metal.
PMID- 27888844
TI - MR Imaging of the Plantar Plate: Normal Anatomy, Turf Toe, and Other Injuries.
AB - The metatarsophalangeal (MTP) joint complex is a weight-bearing structure
important to the biomechanics of the standing position, walking, shoe wearing,
and sport participation. Acute dorsiflexion injury of the first MTP joint, "turf
toe," is common among American football and soccer players. The first and lesser
MTP joint complexes can be affected by degenerative or inflammatory arthritis,
infarct, and infection. These conditions can lead to plantar plate disruption.
Imaging studies help physicians to properly diagnose and treat this condition.
This article reviews the anatomy, diagnostic imaging, and clinical management of
injury and pathology of the first and lesser MTP joint complexes.
PMID- 27888843
TI - Normal Variants: Accessory Muscles About the Ankle.
AB - Accessory muscles around the ankle are commonly encountered as incidental
findings on cross-sectional imaging. Mostly asymptomatic, accessory muscles
sometimes mimic mass lesions. They have been implicated as the cause of tarsal
tunnel syndrome, impingement of surrounding structures, and chronic pain.
Distinguishing these muscles can be challenging, because some travel along a
similar path. This article describes these accessory muscles in detail, including
their relationships to the aponeurosis of the lower leg. An imaging algorithm is
proposed to aid in identification of these muscles, providing a valuable tool in
diagnostic accuracy and subsequent patient management.
PMID- 27888845
TI - MR Imaging of Impingement and Entrapment Syndromes of the Foot and Ankle.
AB - Impingement is a clinical syndrome of chronic pain and restricted range of
movement caused by compression of abnormal bone or soft tissue within the ankle
joint. It usually occurs following a sprain injury or repetitive microtrauma
causing haemorrhage, synovial hyperplasia, and abnormal soft tissue interposition
within the joint. MR imaging is particularly valuable in being able to detect not
only the soft tissue and osseous abnormalities involved in these syndromes, but
also a wide variety of other potential causes of ankle pain and instability that
also may need to be addressed clinically.
PMID- 27888846
TI - MR Imaging of Common Soft Tissue Masses in the Foot and Ankle.
AB - Soft tissue masses may be encountered in the foot and ankle and may represent
true neoplasms, malignant or benign, or other, nonneoplastic entities that mimic
musculoskeletal tumors. This article reviews common soft tissue masses
encountered in the foot or ankle, highlights their MR imaging appearance, and
outlines common pitfalls. Technical considerations for imaging soft tissue masses
in the foot and ankle are discussed. On MR imaging, T1-weighted and T2-weighted
signal intensity, contrast enhancement characteristics, and lesion location,
together with patient demographics, history and physical examination, and
findings on radiographs, can be useful in characterizing masses in the foot and
ankle.
PMID- 27888847
TI - MR Imaging of the Diabetic Foot.
AB - Abnormalities of the peripheral nervous, vascular, and immune systems contribute
to the development of numerous foot and ankle pathologies in the diabetic
population. Although radiographs remain the most practical first-line imaging
tool, magnetic resonance (MR) is the tertiary imaging modality of choice,
allowing for optimal assessment of bone and soft tissue abnormalities. MR allows
for the accurate distinction between osteomyelitis/septic arthritis and
neuropathic osteoarthropathy. Furthermore, it provides an excellent presurgical
anatomic road map of involved tissues and devitalized skin to ensure successful
limited amputations when required. Signal abnormality in the postoperative foot
aids in the diagnosis of recurrent infection.
PMID- 27888848
TI - Postoperative Foot and Ankle MR Imaging.
AB - MR imaging has an important role in the evaluation of the postoperative foot and
ankle. In this article, a variety of operative techniques and postoperative
findings in the foot and ankle are described, including tendon and ligament
reconstruction, as well as the treatment of tarsal coalition and Morton neuroma.
The role of MR imaging in the assessment of complications of foot and ankle
surgery is also detailed.
PMID- 27888850
TI - MR Imaging of the Pediatric Foot and Ankle: What Does Normal Look Like?
AB - MR imaging is ideally suited for characterization of the soft tissue,
cartilaginous, and osseous structures of the pediatric ankle. An understanding of
the normal MR imaging appearance associated with the dynamic skeletal maturation
process will prevent overdiagnosis and unnecessary treatment. In this article, we
review the normal MR imaging appearance of the growing ankle as well as several
disease processes unique to the pediatric population.
PMID- 27888851
TI - Aftermath of Ankle Inversion Injuries: Spectrum of MR Imaging Findings.
AB - Acute and chronic ankle inversion injuries are a common source of pain and a
diagnostic challenge. Several studies have shown a variety of injury patterns
after inversion injury both in acute and chronic settings. Although traditional
assessment with clinical examination and radiographs is generally accepted for
inversion injuries, MR imaging is a useful tool to detect occult injuries and in
patients with chronic symptoms. This article examines a range of MR imaging
findings that may be present in patients with lateral ankle pain following an
acute or chronic inversion injury.
PMID- 27888852
TI - Medial-sided Ankle Pain: Deltoid Ligament and Beyond.
AB - Abnormalities of the medial ligaments and posterior tibial tendon can occur
because of acute injury or chronic instability or malalignment. Medial ankle
injuries may occur because of pronation or supination-external rotation injuries.
Deltoid ligament injuries have a significant impact on lateral ankle instability
but can be overlooked in patients with lateral ligament injuries. Posterior
tibial tendon dysfunction is usually associated with spring ligament or flexor
retinaculum injury. Tarsal tunnel syndrome, accessory flexor muscles, and
subtalar coalition should be considered as well as ligament and tendon tears in
differential diagnosis of chronic medial ankle pain.
PMID- 27888849
TI - New Techniques in MR Imaging of the Ankle and Foot.
AB - Foot and ankle disorders are common in everyday clinical practice. MR imaging is
frequently required for diagnosis given the variety and complexity of foot and
ankle anatomy. Although conventional MR imaging plays a significant role in
diagnosis, contemporary management increasingly relies on advanced imaging for
monitoring therapeutic response. There is an expanding need for identification of
biomarkers for musculoskeletal tissues. Advanced imaging techniques capable of
imaging these tissue substrates will be increasingly used in routine clinical
practice. Radiologists should therefore become familiar with these innovative MR
techniques. Many such techniques are already widely used in other organ systems.
PMID- 27888853
TI - MR Imaging Findings in Heel Pain.
AB - Heel pain is common and due to a variety of osseous and soft tissue disorders.
Causes of heel pain can be classified by the anatomic structure in which they
arise and include disorders of the (1) Achilles tendon, (2) plantar fascia, (3)
calcaneus, (4) bursae, (5) nerves in the hindfoot, (6) and heel pad. Although
careful history taking and physical examination are important, imaging plays a
vital role in the diagnosis and management of heel pain. MR imaging is the best
imaging test to assess pain in the heel. This review discusses the common causes
of heel pain, focusing on MR imaging appearances.
PMID- 27888854
TI - MR Imaging of the Midfoot Including Chopart and Lisfranc Joint Complexes.
AB - Following a brief description of the normal anatomy and biomechanics of the
midfoot, this article focuses on MR imaging features of common osseous, tendon,
and ligament abnormalities that affect the midfoot. Discussion of the anatomy and
pathology affecting the Chopart and Lisfranc joint complexes, both of which play
important roles in linking the midfoot to the hindfoot and the forefoot
respectively, is also included.
PMID- 27888855
TI - Foreword.
PMID- 27888856
TI - Preface.
PMID- 27888857
TI - ?
PMID- 27888858
TI - Novel findings in the management of acute pancreatitis.
AB - Acute pancreatitis (AP) is a potentially serious disease whose incidence is on
the increase. Pancreas divisum does not meet the required criteria to be
considered an aetiological factor. Sphincter of Oddi dysfunction may be another
cause of idiopathic AP. Less invasive methods cannot replace Sphincter of Oddi
manometry in diagnosis. Almost half of patients with systemic inflammatory
response syndrome develop organ failure, but the mechanisms involved are not
completely understood. Obesity is a risk factor for severity in AP; the cause
could be the presence of free unsaturated fatty acids, which have pro
inflammatory activity. Prognosis is better in patients with isolated extra
pancreatic necrosis than in those with parenchymal necrosis or with both. The
mortality rate among those with infected pancreatic necrosis is 15-20%. The
"moderately severe" group is widely heterogeneous and this category may require
redefinition. Laparoscopic treatment of pseudocysts is an alternative to
endoscopic drainage and could be the first-line option in patients requiring
cholecystectomy. The use of lumen-apposing metal stents to treat pancreatic
necrosis is cost-effective. Quality of life in some patients following an attack
of AP is significantly impaired even at 1 year. Aggressive fluid therapy is not
superior to standard fluid therapy in preventing post-ERCP AP. The role of
statins in AP prevention is still unclear. Aggressive fluid resuscitation and the
use of lactated Ringer solution seem to be beneficial in the treatment of AP.
PMID- 27888859
TI - Treatment adherence is always worse than we think: an unresolved problem in
inflammatory bowel disease.
AB - New diagnostic and treatment tools are the "stars" of scientific meetings but
other aspects may have an even greater impact on patients' lives. Several new
studies presented at DDW (Digestive Disease Week) 2016 demonstrated that: a)
treatment adherence continues to be suboptimal even in the most advanced health
systems; b) lack of adherence correlates with low treatment effectiveness, with
higher levels of intestinal damage and higher costs; and c) the factor most
consistently associated with poor adherence is age (the younger the patient, the
poorer the adherence). In any new programme aiming to enhance the quality of
inflammatory bowel disease management, a key element should be the inclusion of
new patient information and communication systems to increase treatment
adherence. The new tools available in the telemedicine era provide an opportunity
to improve the care of inflammatory bowel disease, but their success is limited
by multiple problems. Overcoming these barriers should be our key goal in the
next few years.
PMID- 27888860
TI - Treatment of inflammatory bowel disease: what's new in Digestive Disease Week
2016.
AB - Inflammatory bowel disease is a chronic disorder of unknown aetiology that
results from a pathologic response from both the innate and acquired immune
systems, leading to chronic inflammation of the gastrointestinal tract. New drugs
have been introduced into the therapeutic armamentarium of inflammatory bowel
disease but are not effective in all patients; moreover, among initial
responders, there have been reports of loss of response over time. In addition,
these drugs sometimes have adverse effects and are often expensive. The present
article reviews the studies presented at Digestive Disease Week 2016 that
provided new data on the optimisation of currently approved treatments for
inflammatory bowel disease, experience with recently approved drugs in clinical
practice, and some studies on molecules that are under development for the
treatment of these diseases.
PMID- 27888861
TI - Inflammatory bowel disease in Digestive Disease Week 2016: advances in
epidemiology, follow-up, treatment monitoring, optimisation and individual
tailoring, and colon cancer prevention.
AB - In Digestive Disease Week 2016, interesting data were presented on the eventual
role of certain foods in inflammatory bowel disease, although the value of these
data is relative. Also of interest were epidemiological studies, of which several
analysed the natural history of the disease. Some presentations dealt with the
search for individual predictive factors, a pressing need in clinical practice.
Unfortunately, some of the findings presented were of dubious value. A study
suggesting that a simple parameter as increased blood monocytes could be a clear
predictive factor of poor outcome could perhaps be highlighted (the results were
striking but had multiple limitations). In contrast, more interesting data were
presented on monitoring and optimising biological therapy in the search for an
individually-tailored approach. New studies were presented on the levels of
distinct anti-TNF agents, vedolizumab and even ustekinumab. One study aimed to
estimate the safety of anti-TNF agents on the basis of the patient's genetic (and
clinical) features. There is no new evidence that will change our clinical
practice. Equally, the data on colon cancer prevention will not modify our
clinical practice, although one study reported a promising new strategy,
consisting of the use of a new stool DNA test, with very promising results in the
detection of high-grade dysplasia or colorectal cancer in these patients.
PMID- 27888862
TI - Functional and motor gastrointestinal disorders.
AB - This article discusses the most interesting presentations at Digestive Disease
Week, held in San Diego, in the field of functional and motor gastrointestinal
disorders. One of the most important contributions was undoubtedly the
presentation of the new Rome IV diagnostic criteria for functional
gastrointestinal disorders. We therefore devote some space in this article to
explaining these new criteria in the most common functional disorders. In fact,
there has already been discussion of data comparing Rome IV and Rome III criteria
in the diagnosis of irritable bowel syndrome, confirming that the new criteria
are somewhat more restrictive. From the physiopathological point of view, several
studies have shown that the aggregation of physiopathological alterations
increases symptom severity in distinct functional disorders. From the therapeutic
point of view, more data were presented on the efficacy of acotiamide and its
mechanisms of action in functional dyspepsia, the safety and efficacy of
domperidone in patients with gastroparesis, and the efficacy of linaclotide both
in irritable bowel syndrome and constipation. In irritable bowel syndrome, more
data have come to light on the favourable results of a low FODMAP diet, with
emphasis on its role in modifying the microbiota. Finally, long-term efficacy
data were presented on the distinct treatment options in achalasia.
PMID- 27888863
TI - Helicobacter pylori-related diseases.
AB - This article describes the main conclusions drawn from the presentations on
Helicobacter pylori infection in Digestive Diseases Week, 2016. Despite the
undeniable widespread reduction in the prevalence of this infection, infection
rates continue to be high in developing countries. The prevalence of
clarithromycin, metronidazole and quinolone resistance is markedly high in most
countries and continues to rise. The management of H. pylori infection in
patients with peptic ulcers still leaves much to be desired. Although H. pylori
eradication reduces the incidence of gastric adenocarcinoma, it does not
completely avoid its appearance. The new rapid stool antigen tests show promising
results. The efficacy of standard triple therapy is clearly inadequate and
continues to decline, and cannot therefore be recommended. Vonoprazan, when
associated with 2 antibiotics, is more effective than traditional proton pump
inhibitors, especially in clarithromycin-resistant patients. Non-bismuth
quadruple (concomitant) therapy achieves eradication rates of around 90% and has
a good safety profile. Concomitant therapy is more effective and simpler than
sequential therapy. Although some probiotics can increase the efficacy and
tolerability of triple therapy, the utility of its association with quadruple
concomitant therapy has not been established. If a first treatment with
clarithromycin fails, both bismuth-containing quadruple therapy and levofloxacin
containing triple therapy achieve good-but still suboptimal-results. The
combination of bismuth and levofloxacin in the same regimen increases the
efficacy of rescue therapy. The management of H. pylori infection by European
gastroenterologists is widely heterogeneous and the eradication rates achieved by
them are generally unacceptable. In Spain, the highest first-line eradication
rate is obtained with quadruple concomitant therapy in 14-day regimens and with
double doses of proton pump inhibitors; in second-line therapy, the use of
levofloxacin- and bismuth-containing quadruple therapy improves the rates
traditionally obtained with quinolones. On the other hand, there is a clear
disconnect between the recommendations made in consensus documents and clinical
practice in primary care.
PMID- 27888864
TI - Oesophageal disease: gastroesophageal reflux disease, Barrett's oesophagus,
achalasia and eosinophilic oesophagitis.
AB - The most interesting conclusions and/or advances presented at Digestive Disease
Week 2016 were the following: a) gastroesophageal reflux disease: proton pump
inhibitor-refractory reflux disease is frequently associated with poor treatment
adherence, psychiatric comorbidities and functional gastrointestinal disorders.
These possible entities should be investigated in all cases of proton pump
inhibitor-refractory reflux disease; b) Barrett's oesophagus: the efficacy of
screening remains unclear; however, new minimally-invasive techniques such as the
cytosponge allow more effective detection, both of Barrett's oesophagus and
Barrett's oesophagus-associated dysplasia or neoplasia; c) achalasia: evidence
indicates that peroral endoscopic myotomy is as effective as surgery and is a
safer alternative; d) eosinophilic oesophagitis: high-dose proton pump inhibitors
are required to rule out proton pump inhibitor-responsive eosinophilic
oesophagitis; montelukast is not clearly effective in the treatment of
eosinophilic oesophagitis, although moderate efficacy cannot be ruled out.
PMID- 27888865
TI - Advances in gastrointestinal bleeding.
AB - The main innovations of the latest meeting of the Gastroenterological Association
(2016) concerning upper gastrointestinal bleeding from the clinician's
perspective can be summarised as follows: a) The Glasgow-Blatchford scale has the
best accuracy in predicting the need for surgical intervention and hospital
mortality; b) Prognostic scales for non-variceal upper gastrointestinal bleeding
are also useful for lower gastrointestinal bleeding; c) Preliminary data suggest
that treatment with hemospray does not seem to be superior to current standard
treatment in controlling active peptic ulcer bleeding; d) Either famotidine or a
proton pump inhibitor may be effective in preventing haemorrhagic recurrence in
patients taking aspirin, but this finding needs to be confirmed in further
studies; e) There was confirmation of the need to re-introduce antiplatelet
therapy as early as possible in patients with antiplatelet-associated
gastrointestinal bleeding in order to prevent cardiovascular mortality; f)
Routine clinical practice suggests that gastrointestinal or cardiovascular
complications with celecoxib or traditional NSAIDs are very low; g) Dabigatran is
associated with an increased incidence of gastrointestinal bleeding compared with
apixaban or warfarin. At least half of the episodes are located in the lower
gastrointestinal tract; h) Implant devices for external ventricular circulatory
support are associated with early gastrointestinal bleeding in up to one third of
patients; the bleeding is often secondary to arteriovenous malformations.
PMID- 27888866
TI - Hereditary forms of colorectal cancer.
AB - Colorectal cancer is one of the most frequent neoplasms in western countries; it
is the third most common cancer in men after prostate and lung cancer and the
second most common in women after breast cancer. Colorectal cancer is usually
sporadic but in a small proportion is hereditary. The genetic cause is well
established, allowing pre-symptomatic diagnosis in at-risk relatives. The present
article reviews the most novel findings presented at the latest meeting of the
American Gastroenterological Association on hereditary forms of colorectal
cancer, especially Lynch syndrome and MUTYH-associated polyposis, as well as
diverse organisational aspects that can favour the correct management of these
patients and their relatives.
PMID- 27888867
TI - Colorectal cancer screening.
AB - In the latest meeting of the American Gastroenterological Association, several
clinical studies were presented that aimed to evaluate the various colorectal
cancer screening strategies, although most assessed the various aspects of faecal
immunochemical testing (FIT) and colonoscopy. Data were presented from
consecutive FIT-based screening rounds, confirming the importance of adherence to
consecutive screening rounds, achieving a similar or superior diagnostic yield to
endoscopic studies. There was confirmation of the importance of not delaying
endoscopic study after a positive result. Participants with a negative FIT (score
of 0) had a low risk for colorectal cancer. Several studies seemed to confirm the
importance of high-quality colonoscopy in colorectal cancer screening programmes.
The implementation of high-quality colonoscopies has reduced mortality from
proximal lesions and reduced interval cancers in various studies. Finally,
participants with a normal colonoscopy result or with a small adenoma are at low
risk for developing advanced neoplasms during follow-up.
PMID- 27888868
TI - Novel findings in colorectal polyp surveillance.
AB - Colorectal adenomas and serrated polyps are the best characterised premalignant
lesions involved in the development of colorectal cancer (CRC). Therefore, the
identification and removal of these lesions, as well as post-polypectomy
surveillance of affected patients, are key goals in the field of CRC prevention.
Current post-polypectomy surveillance strategies differ among the various
scientific societies and have several limitations that hamper their application
in clinical practice. First, current surveillance intervals are based only on
polyp characteristics, excluding other potential clinical conditions, such as
diabetes or metabolic syndrome. Second, serrated polyps and adenomas are
considered separately, but there is no recommendation in cases of the
simultaneous occurrence of both types of lesion. Third, the incorporation of
endoscopic technologies implies an increase in polyp detection, whose clinical
impact is controversial and directly affects the number of scheduled
colonoscopies with an indication of surveillance. Some of the studies presented
at the AGA (American Gastroenterological Association) meeting aimed to provide
new evidence on the follow-up of colorectal polyps, with a view to optimising the
applicability and suitability of current surveillance strategies.
PMID- 27888869
TI - Latest advances in chronic pancreatitis.
AB - This article summarizes some of the recent and clinically relevant advances in
chronic pancreatitis. These advances mainly concern the definition of the
disease, the etiological diagnosis of idiopathic disease, the correlation between
fibrosis degree and pancreatic secretion in the early stages of chronic
pancreatitis, the treatment of the disease and of pain, the clinical relevance of
pancreatic exocrine insufficiency, and the diagnosis of autoimmune pancreatitis.
A new mechanistic definition of chronic pancreatitis has been proposed. Genetic
testing is mainly of help in patients with relapsing idiopathic pancreatitis. A
significant correlation has been shown between the degree of pancreatic fibrosis
as evaluated by elastography and pancreatic secretion of bicarbonate. New data
supports the efficacy of antioxidants and simvastatin for the therapy of chronic
pancreatitis. The pancreatoscopy-guided intraductal lithotripsy is an effective
alternative to extracorporeal shock wave lithotripsy in patients with chronic
calcifying pancreatitis. The presence of pancreatic exocrine insufficiency in
patients with chronic pancreatitis is associated with a significant risk of
cardiovascular events. Fine needle biopsy and contrast enhanced harmonic
endoscopic ultrasonography are of help for the diagnosis of autoimmune
pancreatitis and its differential diagnosis with pancreatic cancer.
PMID- 27888870
TI - Latest advances in pancreatic tumours.
AB - Pancreatic cancer continues to have a bleak prognosis. Hardly any therapeutic
advances have been made in the last few years and consequently most efforts have
focused on preventing its development and on diagnosing precursor lesions. In
this regard, the use of statins as a preventive factor and the implementation of
screening programmes in high-risk patients are gaining ground. In the field of
treatment, there is greater focus on the role of neoadjuvant therapy in
pancreatic cancer and on a multimodal approach to the disease, with few advances
in effective novel therapies. Most studies concerned cystic tumours of the
pancreas, especially intraductal mucinous papillary tumour, with its known
potential for malignant transformation. Multiple studies were devoted to
validation of the 2012 Fukuoka international guidelines and the highly
controversial 2015 AGA guidelines. Notable among these studies were those
demonstrating the suboptimal positive predictive value and questioning important
aspects of the guidelines, such as discontinuation of follow-up or the criteria
for surgical referral. Notable among diagnostic procedures were cystoscopy and
endoscopic ultrasound-guided needle-based confocal laser endomicroscopy as the
most promising techniques due to their high efficacy and negative predictive
value in detecting mucinous cystic lesions. There were also a large number of
studies on the natural history of intraductal papillary mucinous tumours, which
help deepen knowledge of these entities and the search for predictive factors of
cancer development.
PMID- 27888871
TI - Dissecting the genetic background of multifactorial diseases and traits - A major
challenge for genetic research.
PMID- 27888872
TI - State-of-the-art Therapy for Advanced-stage Diffuse Large B-cell Lymphoma.
AB - Diffuse large B-cell lymphoma (DLBCL) represents the most common lymphoid
malignancy in adults, with a median age of 60 to 70 years. Clinical behavior is
usually rapidly aggressive, with extranodal involvement in 40% of cases.
Chemoimmunotherapy administered every 21 days is still the standard of care in
the advanced stage. Optimization of frontline therapy and the amelioration of
salvage strategies remain the most important targets in the treatment of patients
with DLBCL. Novel drugs directed to specific molecular targets have been
introduced as single agents or in addition to standard chemoimmunotherapy for the
treatment of DLBCL.
PMID- 27888873
TI - Molecular Classification of Diffuse Large B-cell Lymphoma: What Is Clinically
Relevant?
AB - Major progress in the understanding of diffuse large B-cell lymphoma (DLBCL)
biology has been made in the last decade. Many specific compounds have now
entered early phase clinical trials. However, further efforts are needed to find
an accurate, fast, reproducible, and affordable technique to translate DLBCL
subtype determination by gene expression profiles into clinical application. This
article discusses the advantages and drawbacks of the currently available
techniques of DLBCL subtype determination as well as important prognostic
implications related to the cell of origin. Furthermore, the article provides a
schematic description of how molecularly defined DLBCL subtypes could guide
tailored therapy.
PMID- 27888874
TI - Diffuse Large B-Cell Lymphoma: Should Limited-Stage Patients Be Treated
Differently?
AB - Diffuse large B-cell lymphoma presents as limited-stage disease in approximately
30% of cases. Historically, therapy relied on a combined modality of abbreviated
chemotherapy followed by involved-field radiotherapy (IFRT). Due to the apparent
lack of long-term survival and the concern for delayed toxicity, chemotherapy
only strategies are used more frequently. Treatment should take into account
patient performance, clinical risks, and involvement sites. PET-guided approaches
are being investigated. The risk of late relapse has been recognized,
highlighting the importance of long-term follow-up. Future efforts must
incorporate biological features to improve risk assessment, guide clinical
decisions, and achieve an individualized therapy.
PMID- 27888875
TI - Management of Relapsed Diffuse Large B-cell Lymphoma.
AB - Second-line therapy options for patients with diffuse large B-cell lymphoma
(DLBCL) that is refractory to, or relapses after, current rituximab-containing
primary therapy continue to evolve. For younger patients, salvage therapy
followed by intensive therapy and autologous stem cell transplant (ASCT) remains
the treatment of choice for those with chemotherapy-sensitive disease.
Combination therapy may be used for those who are not candidates for ASCT. In
contrast, patients with DLBCL refractory to 2 lines of therapy have a very poor
prognosis and generally short survival, and should be carefully considered for
participation in clinical trials of novel approaches.
PMID- 27888876
TI - Role of Positron Emission Tomography in Diffuse Large B-cell Lymphoma.
AB - For initial staging and at end of treatment to document remission status, PET is
the standard imaging modality. Interim scanning remains investigational.
Surveillance PET is not beneficial in the majority of patients. PET has also been
used to predict outcome in the pre-autologous transplant setting. PET in the pre
allogeneic setting has a less established benefit. There is limited data on its
use after transplant.
PMID- 27888878
TI - The Spectrum of Double Hit Lymphomas.
AB - Double-hit lymphomas (DHLs) characterize a unique subset of B-cell non-Hodgkin
lymphomas. DHL typically presents in older adults with high-risk clinical
features. This entity carries a significantly inferior prognosis compared with
typical cases of diffuse large B-cell lymphoma; however, emerging literature can
identify discrete clinical features within DHL that are associated with a
favorable prognosis. Emerging literature is also demonstrating that intensive
upfront treatment strategies may improve outcome. Diagnosis, prognostication, and
management of DHL are reviewed, as well as potential future directions
incorporating novel biologically targeted therapies. Finally, double-expressing
lymphomas (DELs) will be discussed and contrasted with DHL.
PMID- 27888877
TI - Promising Novel Agents for Aggressive B-Cell Lymphoma.
AB - Diffuse large B-cell lymphoma (DLBCL) is the most common type of lymphoma in the
western world. Current treatment regimens result in curing approximately 50% to
60% of patients with DLBCL. In 2006, the Food and Drug Administration approved
rituximab for use in the first-line treatment of patients with DLBCL in
combination with anthracycline-based chemotherapy regimens. Since then, no other
agents have been approved for the treatment of DLBCL. This article reviews recent
data on the most promising agents in development for the treatment of DLBCL.
PMID- 27888879
TI - Gray Zone Lymphoma: Current Diagnosis and Treatment Options.
AB - The morphology of gray zone lymphoma (GZL) is variable with tumor cells spanning
the spectrum of diffuse large B-cell lymphoma (DLBCL) and classical Hodgkin
lymphoma. The immunophenotype is frequently discordant. Clinically, the initial
case descriptions of GZL were primarily with mediastinal presentation; however, a
nonmediastinal (systemic) clinical subtype is now recognized. Patients with GZL
have high relapse rates. Recommended treatment of GZL is with a DLBCL-directed
regimen with consideration for consolidative radiotherapy for bulk disease.
Continued biologic examination of this entity is needed and there should be
exploration toward integration of novel targeted therapeutic agents into the
treatment paradigm of GZL.
PMID- 27888880
TI - Optimizing Outcomes in Primary Mediastinal B-cell Lymphoma.
AB - Primary mediastinal B-cell lymphoma is characterized by a high chance of cure,
and cured patients have a long disease-free life-expectancy; however, prognosis
is severe in the case of relapsed or refractory disease. The initial use of the
most effective chemoimmunotherapy regimen is therefore crucial. Understanding who
will benefit from postinduction radiotherapy is also of paramount importance;
positron emission tomography may be a reliable guide for physicians in
determining which patients will require consolidation. New drugs with mechanisms
of action including the most relevant biologic features of the tumor may allow
better disease control.
PMID- 27888881
TI - Central Nervous System Prophylaxis for Aggressive B-cell Lymphoma: Who, What, and
When?
AB - Central nervous system (CNS) relapse of aggressive B-cell lymphoma is a rare but
serious complication with poor survival. Different approaches have been used to
define risks factors for CNS relapse and establish prophylactic measures.
Although patients with low or intermediate risk of CNS relapse should not undergo
special diagnostic or therapeutic measures, CNS MRI as well as cytology and flow
cytometry of the cerebrospinal fluid are suggested for high-risk patients (and
patients with testicular involvement) at diagnosis, and prophylactic high-dose
methotrexate in patients without proven CNS involvement. Future risk and
treatment models may include molecular features and new treatment options.
PMID- 27888883
TI - Transformed Lymphoma.
AB - Transformed lymphoma is a complex syndrome that encompasses an array of different
underlying low-grade lymphoproliferative conditions transforming into more
aggressive disease as manifest by morphologic, clinical, and genetic features.
Over the last decade, advances in chemoimmunotherapy have led to new options.
Knowledge surrounding the genetic changes driving the process of transformation
is leading to novel targeted therapies. This article focuses on the
transformation of chronic lymphocytic leukemia and follicular lymphoma into
diffuse large B-cell lymphoma.
PMID- 27888885
TI - Mantle Cell Lymphoma: Is It Time for a New Treatment Paradigm?
AB - Mantle cell lymphoma is a relatively rare subtype of lymphoma with a great deal
of heterogeneity, both clinically and biologically. Since its recognition as a
separate entity in the early 1990s though, consistent efforts have led to a
significant improvement of overall survival, from a median overall survival of
2.5 years initially to 5-7 years currently. This decades-long and stepwise
progress, summarized in the article, definitely accelerated recently, shedding
light on a changing paradigm.
PMID- 27888884
TI - Update on Burkitt Lymphoma.
AB - Because of its rarity and high curability, progress in advancing therapeutics in
Burkitt lymphoma (BL) has been difficult. Over recent years, several new
mutations that cooperate with MYC have been identified, and this has paved the
way for testing novel agents in the disease. One of the challenges of most
standard approaches typically used is severe treatment-related toxicity that
often leads to discontinuation of therapy. To that point, there has been recent
success developing intermediate intensity approaches that are well tolerated in
all patient groups and maintain high cure rates in a multicenter setting.
PMID- 27888886
TI - The Increasing Complexity of Aggressive B-cell Lymphoma.
PMID- 27888882
TI - The Challenge of Primary Central Nervous System Lymphoma.
AB - Primary central nervous system (CNS) lymphoma is a challenging subtypes of
aggressive non-Hodgkin lymphoma. Emerging clinical data suggest that optimized
outcomes are achieved with dose-intensive CNS-penetrant chemotherapy and avoiding
whole brain radiotherapy. Anti-CD20 antibody-based immunotherapy as a component
of high-dose methotrexate-based induction programs may contribute to improved
outcomes. An accumulation of insights into the molecular and cellular basis of
disease pathogenesis is providing a foundation for the generation of molecular
tools to facilitate diagnosis as well as a roadmap for integration of targeted
therapy within the developing therapeutic armamentarium for this challenging
brain tumor.
PMID- 27888887
TI - Soft Tissue Principles to Minimize Scarring: An Overview.
AB - This article is a broad overview of measures and techniques that can be used to
minimize soft tissue scarring.
PMID- 27888888
TI - Laser-Assisted Delivery to Treat Facial Scars.
AB - Treatment of facial scars is a multispecialty endeavor for optimal patient
recovery. One new innovation helping in facial scar treatments are lasers.
Fractional laser predictably (tunable) disrupts the barrier of the skin creating
deep channels that allow the delivery of drug and cellular materials; this is
called laser-assisted drug delivery (LAD). Without exception thus far, LAD has
been found to enhance the local uptake of any drug or substance applied to the
skin. These zones may be used postoperatively to deliver drugs and other
substances to create an enhanced scar therapeutic response to drug or substance
applied to the skin.
PMID- 27888889
TI - Skin Color and Pigmentation in Ethnic Skin.
AB - Skin coloration is highly diverse, partly due to the presence of pigmentation.
Color variation is related to the extent of ultraviolet radiation exposure, as
well as other factors. Inherent skin coloration arises from differences in basal
epidermal melanin amount and type. Skin color is influenced by both the quantity
and distribution of melanocytes. The effectiveness of inherent pigmentation for
protecting living cells also varies. This article discusses skin color,
pigmentation, and ethnicity in relation to clinical practice. Color perception,
skin typing/classification, and quantitation of pigmentation are reviewed in
relation to ethnicity, environmental stresses/irritants, and potential treatment
effects.
PMID- 27888891
TI - Periorbital Scar Correction.
AB - Periorbital scarring with eyelid retraction can have serious visual effects and
can lead to loss of vision or even loss of the eye. Understanding of eyelid
anatomy and the delicate balance of its structural supports is critical for the
identification of the eyelid disorder responsible for the cicatrix and helps to
guide treatment. The 2-finger test and lateral distraction of the lid can also be
of significant help in proper diagnosis of the underlying disorder. Proper
reconstruction with respect to the anterior and posterior lamellae helps to
ensure a favorable outcome.
PMID- 27888890
TI - Management of Forehead Scars.
AB - This article provides an overview of scar management within the forehead region.
It addresses the unique challenges specific to the treatment of forehead wounds.
A logical, stepwise approach is used. A subsite based treatment algorithm is
provided along with a review of current best practices. Pertinent case examples
are included for demonstration purposes.
PMID- 27888892
TI - Treating Scars of the Cheek Region.
AB - Scars of the cheek resulting from all causes can extol significant psychological
toll. The cheek is the largest facial subunit and visually and aesthetically
prominent making scars in this region difficult to ignore. An approach to scar
management that targets specific characteristics of a scar using a combination of
surgical and nonsurgical modalities can significantly improve the appearance of
most scars. The ideal time to revise a scar should be based on the extent of scar
maturation and presence or absence of any functional distortion.
PMID- 27888893
TI - Unique Clinical Aspects of Nasal Scarring.
AB - Various methods are available for refining scars of the external nose and optimal
scar revision frequently requires the utilization of multiple techniques.
Differing anatomy of nasal subunits and their underlying structural framework
limit surgical options in nasal scar revision compared with other areas of the
face. An understanding of a variety of laser technologies and their specific
applications can vastly aid in fine, controlled scar revision. Achieving optimal
scar reduction regularly requires multiple stages of intervention, close follow
up, and repeat procedures.
PMID- 27888894
TI - Treating Scars of the Chin and Perioral Region.
AB - Lip and chin scarring occurs owing to reconstruction of congenital, cancer
resection, or traumatic defects. Knowledge of lip anatomy and function is
critical to optimize results. Realistic expectations should be established before
intervention. Scar revision and reconstruction is ideally performed with a
subunit approach, placing scars along aesthetic borders and performing subunit
reconstruction to camouflage scars. Surgical techniques include direct excision,
scar reorientation, local flap rearrangement, pedicled flaps, and regional or
free flaps. Resurfacing/adjunctive procedures play important roles in the
treatment of scars. This article reviews the anatomy, patient assessment, and
techniques used in scar revision of the perioral region.
PMID- 27888895
TI - Treating Scars in the Auricle Region.
AB - This article provides a review of the surgical and nonsurgical options available
to manage a variety of auricular scars. The basics of wound healing are discussed
in addition to the etiology of keloids and the cauliflower ear. Many auricular
scars can be revised with scar excision techniques, but separate discussions for
the treatment of keloids and the cauliflower ear are provided. The management
plan for auricular scarring requires appropriate patient counseling regarding the
risk of recurrence in keloids, regrowth of scar tissue in cauliflower ears, scar
hypertrophy at the line of closure, widening of the scar, and persisting ear
contour deformities.
PMID- 27888896
TI - Treatment of Scalp Scars.
AB - The scalp presents many challenges to the reconstructive surgeon given its
visible nature and the various considerations that must be given for optimal
reconstruction. In this article, we review the anatomy of the scalp, the various
options for reconstruction, and important considerations for improving the
chances of optimal reconstruction of scalp defects.
PMID- 27888897
TI - Treating Scars on the Oral Mucosa.
AB - Mucosal wounds tend to heal more rapidly than skin wounds and with minimal to no
scar formation and hence have a minimal impact on function or aesthetics. This is
likely due to differences in the magnitude and timing of the various factors that
contribute to wound healing. Some examples of these differences are fibroblast
proliferation, transforming growth factor-beta, macrophages, neutrophils, and T
cells. Other factors, such as the moist environment, contribute to the favorable
wound-healing characteristics of mucosa.
PMID- 27888899
TI - Facial Scar Management.
PMID- 27888898
TI - Treating Scars to the Neck.
AB - Scarring of the neck affects millions of people every year. The appearance of
neck scarring can be disturbing both physically and psychologically. Scarring of
the neck can be accompanied by morbidities because of the limitation of
functional motion of the neck. Treatment options and modalities for reduction and
prevention of scar formation include topical steroids, intralesional steroids,
interferon, 5-fluorouracil, silicone gel, radiation, laser therapy, and
surgeries. There is no general consensus in the literature as to the optimal
treatment of neck scarring. Patients should understand that the scar is likely to
be improved but not eliminated by treatment.
PMID- 27888900
TI - ?
PMID- 27888901
TI - [Monoclonal antibodies against PCSK9: from bench to clinic].
AB - Antibodies are glycoproteins with high specificity binding to multiple antigens
due to the large number of structural conformations of the variable chains.
Hybridoma technology (fusion of myeloma cells with immunoglobulin-producing
lymphocytes) has allowed the synthesis of large quantities of unique antibodies
(monoclonal [mAb]). mAbs were initially murine. Subsequently, chimeric mAbs were
developed, followed by humanized mAbs and finally human mAbs. The high
selectivity and good tolerance of human mAbs allows their therapeutic
administration to block specific exogenous or endogenous molecules. Selective
human mAbs to the catalytic domain of PCSK9 have recently been developed. These
antibodies block PCSK9, favour low-density lipoprotein receptor recycling and
markedly reduce circulating cholesterol. Preliminary studies indicate that
lowering cholesterol through anti-PCSK9 antibodies may significantly reduce the
cardiovascular complications of arteriosclerosis.
PMID- 27888903
TI - [PCSK9: Structure and function. PCSK9 and low-density lipoprotein receptor.
Mutations and their effects].
AB - Proprotein convertase subtilisin/kexin type 9 (PCSK9) binds to the low-density
lipoprotein receptor (LDLr) and then targets it for lysosomal degradation in
cells, thus preventing LDLr from recycling back to the hepatocyte surface, with a
consequent decrease in LDLr density and clearance of LDL-cholesterol (LDLc).
There have been reports of both gain-of-function mutations in the PCSK9 gene that
cause a marked increase in LDLc conentrations and loss-of-function mutations,
which lead to modest reductions in LDLc and low rates of coronary heart disease.
The PCSK9 gene has become a promising therapeutic target to reduce blood
cholesterol levels. This review discusses the most interesting recent data on
PCSK9 regulation and its molecular function in cholesterol homeostasis.
PMID- 27888902
TI - [Unmet needs: patients with statin intolerance or familial hypercholesterolemia].
AB - The achievement of low-density lipoprotein (LDL) therapeutic targets is
especially difficult in some patients at high cardiovascular risk. These patients
include persons with statin intolerance and those with very high LDL cholesterol
(LDLc) levels such as persons with familial hypercholesterolemia. The proportion
of statin-intolerant patients is between 7% and 29%. Alternative lipid-lowering
drugs (including ezetimibe) are less effective and are not free from adverse
effects. Both alirocumab, with the ODYSSEY ALTERNATIVE study, and evolocumab,
with the GAUSS study, have shown strong lipid-lowering efficacy, with much
greater tolerability than currently available alternatives, with the result that
a larger number of patients achieve therapeutic targets. In familial
hypercholesterolemia, the monogenic metabolic disease most frequently associated
with high cardiovascular risk, early intervention is cost-effective. Although
statins have substantially improved the prognosis of familial
hypercholesterolemia, many affected individuals are far from achieving the
recommended therapeutic targets. In this patient group, PCSK9 inhibition with
monoclonal antibodies has also been shown to be highly effective in reducing
LDLc, especially in heterozygous individuals. The studies performed to date have
shown that these drugs are safe and effective and can help many patients with
familial hypercholesterolemia to drastically reduce their cardiovascular risk.
PMID- 27888904
TI - [Anti-PCSK9 antibodies in type 2 diabetes and secondary prevention of
cardiovascular diseases].
AB - Patients with type 2 diabetes are considered to have the same cardiovascular risk
as patients with ischemia. However, the degree of lipid control in diabetic and
ischemic patients remains highly deficient. The availability of new agents, such
as anti-PCSK9 monoclonal antibodies, could represent a notable advance in meeting
this unmet need. Alirocumab and evolucumab, followed by bococizumab, are
currently under the advanced phase of research. A growing database has
demonstrated a relationship between glucose metabolism, body weight and PCSK9
function, but the clinical implications of this relationship have not been well
defined. A broad programme of clinical trials has demonstrated that these agents
decrease low-density lipoprotein cholesterol by more than 60% and also decrease
apolipoprotein B and lipoprotein (a), showing a good tolerability and safety
profile. In addition, post hoc analyses of phase 2 and 3 trials have observed
that when these agents are associated with conventional lipid-lowering they
reduce cardiovascular risk by more than 50%. Currently, 4 large clinical trials
of cardiovascular prevention are underway in patients with ischemia or high
cardiovascular risk. The aim of these trials is to define the role of anti-PCSK9
agents in the treatment of dyslipidemia and the prevention of cardiovascular
disease in patients with ischemia and high cardiovascular risk.
PMID- 27888905
TI - ?
PMID- 27888906
TI - [Lipid-lowering drugs and PCSK9].
AB - PCSK9 is a protease, synthesized mainly in the liver, which promotes the hepatic
degradation of the LDL receptor and consequently decreases LDL receptor density
and clearance of LDL particles. Statins inhibit HMG-CoA-reductase activity, an
enzyme that catalyses an important step in hepatic cholesterol biosynthesis. The
decrease of the hepatic intracellular cholesterol pool produced by these drugs
upregulates the activity of the SREBP2 transcription factor, which subsequently
stimulates the expression of the LDL receptor gene, an effect that is followed by
an increase in the serum concentration of PCSK9. This article aims to review the
effects of different lipid-lowering drugs on plasma PCSK9 concentrations.
Overall, statins increase blood PCSK9 levels, an effect that is enhanced by
ezetimibe. In contrast, others drugs, such as fibrates and niacin, could decrease
PCSK9 levels.
PMID- 27888907
TI - Classification of Chronic Rhinosinusitis-Working Toward Personalized Diagnosis.
AB - An estimated 4.5% of total US health care dollars have been devoted to mitigating
chronic rhinosinusitis. The most recalcitrant of these patients undergo surgery,
which fails to improve symptoms in approximately 25% of patients. Recent advances
in informational, microbiomic, and genomic analysis have introduced the first set
of tools that patients, physicians, politicians, and payers can apply to better
forecast which patients will respond favorably to endoscopic sinus surgery. This
article summarizes the forces driving the application of personalized medicine to
CRS and how new advances can be applied to clinical practice.
PMID- 27888908
TI - Office Procedures in Refractory Chronic Rhinosinusitis.
AB - Office procedures in chronic rhinosinusitis (CRS) can be considered before and
after medical management, as well as before and after surgical management. This
article focuses specifically on refractory CRS, meaning those patients who have
failed medical and surgical management already. The options available in the
management of refractory CRS depend on the personnel, equipment, and
instrumentation available in the office setting; surgeon experience; and patient
suitability and tolerability. This article provides readers with possible
procedural options that can be done in their clinics with indications, patient
selection, potential complications, and postoperative considerations.
PMID- 27888909
TI - Topical Therapies for Refractory Chronic Rhinosinusitis.
AB - Topical therapy has become an important tool in the otolaryngologist's
armamentarium for refractory chronic rhinosinusitis (CRS). Daily high-volume
sinonasal saline irrigation and standard metered-dose topical nasal steroid
therapy are supported by the most evidence. Nonstandard topical sinonasal steroid
therapies are a potential option for refractory CRS. Current evidence recommends
against the use of topical antifungal therapy and topical antibiotic therapy
delivered using spray and nebulized techniques in routine cases of CRS. Stents
are a new modality with preliminary data showing they are an option when
traditional treatment has failed. Further research with long-term effects and
outcomes studies for refractory CRS are needed.
PMID- 27888910
TI - Genetic and Immune Dysregulation in Chronic Rhinosinusitis.
AB - Chronic rhinosinusitis (CRS) is a prevalent condition that is heterogeneous in
disease characteristics and multifactorial in cause. Although sinonasal mucosal
inflammation in CRS is often either reversible or well-managed medically and
surgically, a significant proportion of patients has a refractory form of CRS
despite maximal therapy. Two of the several described factors thought to
contribute to disease recalcitrance are genetic influences and dysfunction of the
host immune system. Current evidence for a genetic basis of CRS is reviewed, as
it pertains to putative abnormalities in innate and adaptive immune function. The
role of systemic immunodeficiencies in refractory CRS is discussed.
PMID- 27888911
TI - Revision Functional Endoscopic Sinus Surgery.
AB - Refractory chronic rhinosinusitis can be challenging to treat. Initial treatment
focuses on medical and nonsurgical treatments. If these treatments fail, revision
endoscopic sinus surgery is an option. A plan for revision surgery must address
anatomic factors contributing to recurrence. Preoperative imaging and sinonasal
endoscopy are systematically reviewed; areas of disease and "danger" zones are
identified. Traditional anatomic landmarks are often obscured or absent; thus, a
set of consistent landmarks (unchanged despite prior surgery) are used to
navigate the revision endoscopic sinus surgery. Wide sinusotomies permit
visualization and access to disease intraoperatively. Large sinus openings also
facilitate post-operative debridements in clinic, endoscopic disease monitoring,
and topical sinus therapy.
PMID- 27888912
TI - Extended Endoscopic and Open Sinus Surgery for Refractory Chronic Rhinosinusitis.
AB - This review discusses extended endoscopic and open sinus surgery for refractory
chronic rhinosinusitis. Extended maxillary sinus surgery including endoscopic
maxillary mega-antrostomy, endoscopic modified medial maxillectomy, and inferior
meatal antrostomy are described. Total/complete ethmoidectomy with mucosal
stripping (nasalization) is discussed. Extended endoscopic sphenoid sinus
procedures as well as their indications and potential risks are reviewed.
Extended endoscopic frontal sinus procedures, such the modified Lothrop
procedure, are described. Extended open sinus surgical procedures, such as the
Caldwell-Luc approach, frontal sinus trephine procedure, external
frontoethmoidectomy, frontal sinus osteoplastic flap with or without
obliteration, and cranialization, are discussed.
PMID- 27888913
TI - A Practical Approach to Refractory Chronic Rhinosinusitis.
AB - Patients with refractory chronic rhinosinusitis, by definition, have persistent,
poorly controlled symptoms and objective inflammatory findings despite prior
medical and surgical therapy. These patients represent a diagnostic and treatment
challenge given the complexity of the underlying disease factors and the
limitations in available management options. This article presents a practical
framework for clinical evaluation and treatment. Germane to discussion are
emerging concepts in refractory chronic rhinosinusitis that will likely have
important implications in the near future.
PMID- 27888915
TI - Biofilm and Osteitis in Refractory Chronic Rhinosinusitis.
AB - Our understanding of chronic rhinosinusitis (CRS) show biofilm and osteitis play
a role in the disease's pathogenesis and refractory. Studies point to its role in
pathogenesis and poor prognosis. Outside the research laboratory, biofilm
detection remains difficult and specific treatment remains elusive. It is
believed that osteitis is a nidus of inflammation and occurs more commonly in
patients with refractory CRS. However, osteitis may be exacerbated by surgery and
a marker of refractory disease, not a causative agent. Surgery remains the
mainstay treatment for biofilm and osteitis with mechanical disruption and
removal of disease load providing the most effective treatment.
PMID- 27888916
TI - Refractory Chronic Rhinosinusitis with Nasal Polyposis.
AB - Chronic rhinosinusitis with nasal polyposis (CRSwNP) represents a subset of
chronic sinusitis with various causes. Some forms of the disease are driven by
allergy, often in association with asthma. Refractory CRSwNP can be associated
with cystic fibrosis and other clinical syndromes. More recent literature is
presented regarding roles of innate immunity and superantigens. Effective
treatment of CRSwNP requires careful endoscopic sinus surgery followed by an
individualized treatment plan that often includes oral and topical steroids.
Recidivism of polyps is common, and patients require long-term follow-up.
PMID- 27888917
TI - Aspirin-Exacerbated Respiratory Disease.
AB - Aspirin-exacerbated respiratory disease (AERD) is characterized by the triad of
asthma, sinonasal polyposis, and aspirin intolerance. The hallmark of the disease
is baseline overproduction of cysteinyl leukotrienes via the 5-lipoxygenase
pathway, exacerbated by ingestion of aspirin. Patients with AERD have high rates
of recidivistic polyposis following sinus surgery, although the improvement in
quality of life following surgery is similar to aspirin-tolerant patients. The
diagnosis is secured by a positive aspirin provocation test, usually administered
by a medical allergist. Aspirin therapy is a unique treatment consideration for
patients with AERD.
PMID- 27888918
TI - Systemic and Odontogenic Etiologies in Chronic Rhinosinusitis.
AB - Systemic and odontogenic etiologies of chronic rhinosinusitis, although rare, are
an integral consideration in the comprehensive management of patients with
sinonasal disease. Proper knowledge and timely recognition of each disease
process, with referrals to appropriate consultants, will facilitate treatment,
because many of these conditions require both local and systemic therapy. In some
instances, medical therapy plays a pivotal role, with surgery being a
supplemental treatment technique. We review the most commonly encountered
systemic etiologies of chronic rhinosinusitis and odontogenic sinusitis,
including clinical presentation, diagnosis, management, and treatment outcomes.
PMID- 27888919
TI - Chronic Rhinosinusitis: A "Simple" Complex Condition.
PMID- 27888914
TI - Bacterial Pathogens and the Microbiome.
AB - Bacterial pathogens and microbiome alterations can contribute to the initiation
and propagation of mucosal inflammation in chronic rhinosinusitis (CRS). In this
article, the authors review the clinical and research implications of key
pathogens, discuss the role of the microbiome, and connect bacteria to mechanisms
of mucosal immunity relevant in CRS.
PMID- 27888920
TI - Refractory Chronic Rhinosinusitis.
PMID- 27888921
TI - Editorial.
PMID- 27888922
TI - Thermal sensation prediction by soft computing methodology.
AB - Thermal comfort in open urban areas is very factor based on environmental point
of view. Therefore it is need to fulfill demands for suitable thermal comfort
during urban planning and design. Thermal comfort can be modeled based on
climatic parameters and other factors. The factors are variables and they are
changed throughout the year and days. Therefore there is need to establish an
algorithm for thermal comfort prediction according to the input variables. The
prediction results could be used for planning of time of usage of urban areas.
Since it is very nonlinear task, in this investigation was applied soft computing
methodology in order to predict the thermal comfort. The main goal was to apply
extreme leaning machine (ELM) for forecasting of physiological equivalent
temperature (PET) values. Temperature, pressure, wind speed and irradiance were
used as inputs. The prediction results are compared with some benchmark models.
Based on the results ELM can be used effectively in forecasting of PET.
PMID- 27888923
TI - Determination of time of death in forensic science via a 3-D whole body heat
transfer model.
AB - This study is focused on developing a whole body heat transfer model to
accurately simulate temperature decay in a body postmortem. The initial steady
state temperature field is simulated first and the calculated weighted average
body temperature is used to determine the overall heat transfer coefficient at
the skin surface, based on thermal equilibrium before death. The transient
temperature field postmortem is then simulated using the same boundary condition
and the temperature decay curves at several body locations are generated for a
time frame of 24h. For practical purposes, curve fitting techniques are used to
replace the simulations with a proposed exponential formula with an initial time
delay. It is shown that the obtained temperature field in the human body agrees
very well with that in the literature. The proposed exponential formula provides
an excellent fit with an R2 value larger than 0.998. For the brain and internal
organ sites, the initial time delay varies from 1.6 to 2.9h, when the temperature
at the measuring site does not change significantly from its original value. The
curve-fitted time constant provides the measurement window after death to be
between 8h and 31h if the brain site is used, while it increases 60-95% at the
internal organ site. The time constant is larger when the body is exposed to
colder air, since a person usually wears more clothing when it is cold outside to
keep the body warm and comfortable. We conclude that a one-size-fits-all approach
would lead to incorrect estimation of time of death and it is crucial to generate
a database of cooling curves taking into consideration all the important factors
such as body size and shape, environmental conditions, etc., therefore, leading
to accurate determination of time of death.
PMID- 27888925
TI - Inverse investigation of non-Fourier heat conduction in tissue.
AB - This paper attempts to describe the heat conduction in tissue using the dual
phase-lag mode. Evaluating the thermo-physical parameters is one of the ways to
certify the thermal behavior. As a result, the paper simultaneously and inversely
estimates the values of tauq, tauT and alpha for bologna based on the dual-phase
lag mode with the measurement data in the literature. The inconsistence in theory
discovered in the literatures is eliminated. The calculated results of
temperature variation with the estimated values of tauq, tauT and alpha at the
measurement location are very close to the experimental data and address the
rationality of the present results.
PMID- 27888924
TI - Triangular node for Transmission-Line Modeling (TLM) applied to bio-heat
transfer.
AB - Transmission-Line Modeling (TLM) is a numerical method used to solve complex and
time-domain bio-heat transfer problems. In TLM, rectangles are used to discretize
two-dimensional problems. The drawback in using rectangular shapes is that
instead of refining only the domain of interest, a large additional domain will
also be refined in the x and y axes, which results in increased computational
time and memory space. In this paper, we developed a triangular node for TLM
applied to bio-heat transfer that does not have the drawback associated with the
rectangular nodes. The model includes heat source, blood perfusion (advection),
boundary conditions and initial conditions. The boundary conditions could be
adiabatic, temperature, heat flux, or convection. A matrix equation for TLM,
which simplifies the solution of time-domain problems or solves steady-state
problems, was also developed. The predicted results were compared against results
obtained from the solution of a simplified two-dimensional problem, and they
agreed within 1% for a mesh length of triangular faces of 59um+/-9um (mean+/
standard deviation) and a time step of 1ms.
PMID- 27888926
TI - MicroCT image based simulation to design heating protocols in magnetic
nanoparticle hyperthermia for cancer treatment.
AB - OBJECTIVES: The objective is to design heating protocols to completely damage PC3
tumors after a single magnetic nanoparticle hyperthermia session with minimal
collateral thermal damage, based on microCT image generated tumor and mouse
models. METHODS: Tumor geometries and volumetric heat generation rate
distributions that are generated from microCT scans in our previous study are
imported into COMSOL 4.3(r) multiphysics for heat transfer simulations and
heating protocol design using the Arrhenius damage model. Then, parametric
studies are performed to evaluate how significantly the infusion rate affects the
protocol design and its resulted collateral thermal damage. RESULTS: The
simulated temperature field in the generated tumor geometry and volumetric heat
generation rate distribution are reasonable and correlates well with the amount
of the total thermal energy deposited into the tumors. The time needed for
complete thermal damage is determined to be approximately 12min or 25min if one
uses the Arrhenius integral Omega equal to 1 or 4 as the damage threshold, when
the infusion rate is 3MUL/min. The heating time increases 26% or 91% in the
higher infusion rate groups of 4 or 5MUL/min, respectively. Collateral thermal
damage to the surrounding tissue is also assessed. Although the two larger
infusion rate groups can still cause thermal damage to the entire tumor, the
collateral thermal damage would have exceeded the design criterion of 5%, while
the assessment criterion is acceptable only in the infusion rate group of
3MUL/min. Based on the results of this study, we identify an injection strategy
and heating protocols to be implemented in future animal experiments to evaluate
treatment efficacy for model validation.
PMID- 27888927
TI - Thermal behavior of human eye in relation with change in blood perfusion,
porosity, evaporation and ambient temperature.
AB - Extreme environmental and physiological conditions present challenges for thermal
processes in body tissues including multi-layered human eye. A mathematical model
has been formulated in this direction to study the thermal behavior of the human
eye in relation with the change in blood perfusion, porosity, evaporation and
environmental temperatures. In this study, a comprehensive thermal analysis has
been performed on the multi-layered eye using Pennes' bio-heat equation with
appropriate boundary and interface conditions. The variational finite element
method and MATLAB software were used for the solution purpose and simulation of
the results. The thermoregulatory effect due to blood perfusion rate, porosity,
ambient temperature and evaporation at various regions of human eye was
illustrated mathematically and graphically. The main applications of this model
are associated with the medical sciences while performing laser therapy and other
thermoregulatory investigation on human eye.
PMID- 27888928
TI - Analytical prediction of sub-surface thermal history in translucent tissue
phantoms during plasmonic photo-thermotherapy (PPTT).
AB - Knowledge of thermal history and/or distribution in biological tissues during
laser based hyperthermia is essential to achieve necrosis of tumour/carcinoma
cells. A semi-analytical model to predict sub-surface thermal distribution in
translucent, soft, tissue mimics has been proposed. The model can accurately
predict the spatio-temporal temperature variations along depth and the anomalous
thermal behaviour in such media, viz. occurrence of sub-surface temperature
peaks. Based on optical and thermal properties, the augmented temperature and
shift of the peak positions in case of gold nanostructure mediated tissue phantom
hyperthermia can be predicted. Employing inverse approach, the absorption
coefficient of nano-graphene infused tissue mimics is determined from the peak
temperature and found to provide appreciably accurate predictions along depth.
Furthermore, a simplistic, dimensionally consistent correlation to theoretically
determine the position of the peak in such media is proposed and found to be
consistent with experiments and computations. The model shows promise in
predicting thermal distribution induced by lasers in tissues and deduction of
therapeutic hyperthermia parameters, thereby assisting clinical procedures by
providing a priori estimates.
PMID- 27888929
TI - Modeling of heat transfer in a vascular tissue-like medium during an interstitial
hyperthermia process.
AB - This paper aims to evaluate the role of small vessels in heat transfer mechanisms
of a tissue-like medium during local intensive heating processes, for example, an
interstitial hyperthermia treatment. To this purpose, a cylindrical tissue with
two co- and counter-current vascular networks and a central heat source is
introduced. Next, the energy equations of tissue, supply fluid (arterial blood),
and return fluid (venous blood) are derived using porous media approach. Then, a
2D computer code is developed to predict the temperature of blood (fluid phase)
and tissue (solid phase) by conventional volume averaging method and a more
realistic solution method. In latter method, despite the volume averaging the
blood of interconnect capillaries is separated from the arterial and venous blood
phases. It is found that in addition to blood perfusion rate, the arrangement of
vascular network has considerable effects on the pattern and amount of the
achieved temperature. In contrast to counter-current network, the co-current
network of vessels leads to considerable asymmetric pattern of temperature
contours and relocation of heat affected zone along the blood flow direction.
However this relocation can be prevented by changing the site of hyperthermia
heat source. The results show that the cooling effect of co-current blood vessels
during of interstitial heating is more efficient. Despite much anatomical
dissimilarities, these findings can be useful in designing of protocols for
hyperthermia cancer treatment of living tissue.
PMID- 27888930
TI - Thermoregulation in premature infants: A mathematical model.
AB - PURPOSE: In 2010, approximately 14.9 million babies (11.1%) were born preterm.
Because preterm infants suffer from an immature thermoregulatory system they have
difficulty maintaining their core body temperature at a constant level.
Therefore, it is essential to maintain their temperature at, ideally, around 37
degrees C. For this, mathematical models can provide detailed insight into heat
transfer processes and body-environment interactions for clinical applications.
METHODS: A new multi-node mathematical model of the thermoregulatory system of
newborn infants is presented. It comprises seven compartments, one spherical and
six cylindrical, which represent the head, thorax, abdomen, arms and legs,
respectively. The model is customizable, i.e. it meets individual characteristics
of the neonate (e.g. gestational age, postnatal age, weight and length) which
play an important role in heat transfer mechanisms. The model was validated
during thermal neutrality and in a transient thermal environment. RESULTS: During
thermal neutrality the model accurately predicted skin and core temperatures. The
difference in mean core temperature between measurements and simulations averaged
0.25+/-0.21 degrees C and that of skin temperature averaged 0.36+/-0.36 degrees
C. During transient thermal conditions, our approach simulated the
thermoregulatory dynamics/responses. Here, for all infants, the mean absolute
error between core temperatures averaged 0.12+/-0.11 degrees C and that of skin
temperatures hovered around 0.30 degrees C. CONCLUSIONS: The mathematical model
appears able to predict core and skin temperatures during thermal neutrality and
in case of a transient thermal conditions.
PMID- 27888931
TI - A study on thermal damage during hyperthermia treatment based on DPL model for
multilayer tissues using finite element Legendre wavelet Galerkin approach.
AB - Hyperthermia is a process that uses heat from the spatial heat source to kill
cancerous cells without damaging the surrounding healthy tissues. Efficacy of
hyperthermia technique is related to achieve temperature at the infected cells
during the treatment process. A mathematical model on heat transfer in multilayer
tissues in finite domain is proposed to predict the control temperature profile
at hyperthermia position. The treatment technique uses dual-phase-lag model of
heat transfer in multilayer tissues with modified Gaussian distribution heat
source subjected to the most generalized boundary condition and interface at the
adjacent layers. The complete dual-phase-lag model of bioheat transfer is solved
using finite element Legendre wavelet Galerkin approach. The present solution has
been verified with exact solution in a specific case and provides a good
accuracy. The effect of the variability of different parameters such as lagging
times, external heat source, metabolic heat source and the most generalized
boundary condition on temperature profile in multilayer tissues is analyzed and
also discussed the effective approach of hyperthermia treatment. Furthermore, we
studied the modified thermal damage model with regeneration of healthy tissues as
well. For viewpoint of thermal damage, the least thermal damage has been observed
in boundary condition of second kind. The article concludes with a discussion of
better opportunities for future clinical application of hyperthermia treatment.
PMID- 27888932
TI - Numerical study of non-Fourier heat conduction in a biolayer spherical living
tissue during hyperthermia.
AB - Laser interstitial thermal therapy is one of the best methods for tumor
treatment. Quality of treatment is highly influenced by the way of temperature
control that depends strongly upon the living tissue thermal properties. One
dimensional dual-phase-lag (DPL) in spherical coordinate system numerically has
been investigated for bioheat transfer during laser treatment in living
biological tissues, which contain tumoral and normal layers. Various behaviors of
heat transfer models such as wave, wavelike and diffusion are studied by
adjusting the relaxation parameters. Effect of different phase lags values of the
heat flux and the temperature gradient and thermal diffusivity on the behavior of
heat transfer overshooting phenomenon is also investigated as well. Results
indicate variation of the time lag and the thermal diffusivity of the normal and
tumoral tissues. Also it has cleared that the geometrical conditions have
significant effects upon the thermal response and overshooting phenomenon in
biological tissue.
PMID- 27888933
TI - Review on modeling heat transfer and thermoregulatory responses in human body.
AB - Several mathematical models of human thermoregulation have been developed,
contributing to a deep understanding of thermal responses in different thermal
conditions and applications. In these models, the human body is represented by
two interacting systems of thermoregulation: the controlling active system and
the controlled passive system. This paper reviews the recent research of human
thermoregulation models. The accuracy and scope of the thermal models are
improved, for the consideration of individual differences, integration to
clothing models, exposure to cold and hot conditions, and the changes of
physiological responses for the elders. The experimental validated methods for
human subjects and manikin are compared. The coupled method is provided for the
manikin, controlled by the thermal model as an active system. Computational Fluid
Dynamics (CFD) is also used along with the manikin or/and the thermal model, to
evaluate the thermal responses of human body in various applications, such as
evaluation of thermal comfort to increase the energy efficiency, prediction of
tolerance limits and thermal acceptability exposed to hostile environments,
indoor air quality assessment in the car and aerospace industry, and design
protective equipment to improve function of the human activities.
PMID- 27888934
TI - Thermoelastic modelling of the skin at finite deformations.
AB - The modelling and computation of the coupled thermal and mechanical response of
human skin at finite deformations is considered. The model extends current
thermal models to account for thermally- and mechanically-induced deformations.
Details of the solution of the highly nonlinear system of governing equations
using the finite element method are presented. A representative numerical example
illustrates the importance of considering the coupled response for the problem of
a rigid, hot indenter in contact with the skin.
PMID- 27888936
TI - Finite element model to study temperature distribution in skin and deep tissues
of human limbs.
AB - The temperature of body tissues is viewed as an indicator of tissue response in
clinical applications since ancient times. The tissue temperature depends on
various physical and physiological parameters like blood flow, metabolic heat
generation, thermal conductivity of tissues, shape and size of organs etc. In
this paper a finite element model has been proposed to study temperature
distribution in skin and deep tissues of human limbs. The geometry of human limb
is taken as elliptical tapered shape. It is assumed that outer surface of the
limb is exposed to the environment. The appropriate boundary conditions have been
framed based on physical conditions of the problem. The model has been developed
for a three dimensional steady state case. Hexahedral circular sectoral elements
are used to discretize the region. The results have been computed to obtain
temperature profiles and study the relation of tissue temperature with the
parameters like atmospheric temperature, rate of evaporation, thickness of
tissues layers and shape of the limb.
PMID- 27888935
TI - Modeling bioheat transfer processes and thermoregulatory responses.
PMID- 27888937
TI - Patient- and Family-Centered Care: Why Radiology?
PMID- 27888938
TI - Who We Are.
PMID- 27888939
TI - Patient- and Family-Centered Care: A Primer.
AB - Patient- and family-centered care is a model of providing care in which the
patient and family are partners with the provider and care team. A resurgence of
interest in patient- and family-centered care is due, in part, to increasing
consumerism in health care and the linking of reimbursement to the patient
experience. Individual radiologists, practices, enterprises, and radiology
professional societies have been engaged with patient- and family-centered care
in varying degrees for many years. Understanding the roots and evolution of this
care model will encourage further application of these principles in radiology.
PMID- 27888940
TI - Using Quality Improvement Methods to Improve Patient Experience.
AB - Patient experience is an important component of the overall medical encounter.
This paper explores how patient experience is measured and its role in radiology,
including its impact on clinical outcomes and reimbursement. Although typically
applied to safety and clinical outcomes, quality improvement methodology can also
be used to drive improvement efforts centered on patient experience. Applying an
established framework for patient-centered care to radiology, this paper provides
a number of examples of projects that are likely to yield significant improvement
in patient satisfaction measures.
PMID- 27888941
TI - Lessons Learned From Two Decades of Patient- and Family-Centered Care in
Radiology, Part 1: Getting Started.
AB - Patient- and family-centered care has a long history, but the application of
these principles to radiology is limited by infrequent direct patient contact for
many radiologists; scarce peer-reviewed data in the radiology literature; and
sparse access to implementation strategies, tools, and best practices. In a
series of two articles, the authors share two decades of lessons learned from
implementing patient- and family-centered care in a radiology department.
PMID- 27888942
TI - Lessons Learned From Two Decades of Patient- and Family-Centered Care in
Radiology, Part 2: Building a Culture.
AB - As reimbursements are increasingly linked to patient experience, physicians and
hospitals will need to find ways to incorporate patient and family input into
operational decisions. Rather than starting from the beginning, health systems
could learn from practitioners who have been experimenting in this space and are
willing to share their experience. The authors share lessons learned from two
decades of experience incorporating patient and family advisers into the clinical
operation of a radiology department and the resulting culture change. Radiology
and radiologists can incorporate principles of patient- and family-centered care
into clinical operations without loss of productivity.
PMID- 27888943
TI - Promising Approaches From Behavioral Economics to Improve Patient Lung Cancer
Screening Decisions.
AB - Lung cancer is a devastating disease, the deadliest form of cancer in the world
and in the United States. As a consequence of CMS's determination to provide low
dose CT (LDCT) as a covered service for at-risk smokers, LDCT lung cancer
screening is now a covered service for many at-risk patients that first requires
counseling and shared clinical decision making, including discussions of the
risks and benefits of LDCT screening. However, shared decision making
fundamentally relies on the premise that with better information, patients will
arrive at rational decisions that align with their preferences and values.
Evidence from the field of behavioral economics offers many contrary viewpoints
that take into account patient decision making biases and the role of the shared
decision environment that can lead to flawed choices and that are particularly
relevant to lung cancer screening and treatment. This article discusses some of
the most relevant biases, and suggests incorporating such knowledge into
screening and treatment guidelines and shared decision making best practices to
increase the likelihood that such efforts will produce their desired objectives
to improve survival and quality of life.
PMID- 27888944
TI - Focusing on the "Person" in Personalized Medicine: The Future of Patient-Centered
Care in Radiation Oncology.
AB - Numerous efforts in radiation oncology aim to improve the value of clinical care.
To evaluate the success of these efforts, outcome measures must be well defined
and incorporate the beliefs of the patients they affect. These outcomes have
historically centered on rates of tumor control, overall survival, and adverse
events as perceived and reported by providers. However, the future of patient
centered care in radiation oncology is increasingly focusing on the "person" in
the population and the individual in the studies to more closely reflect the
ideals of personalized medicine. Formally known as patient-centered outcomes,
this metric encompasses parameters of patient satisfaction, engagement, and
treatment compliance. Evaluations that investigate the safety and efficacy of
treatments are increasingly soliciting participation from patients within a model
of shared decision making that improves patients' knowledge, satisfaction,
physical and emotional well-being, and trust in providers. Modern clinical trials
that embrace this approach may even focus on patient-reported outcomes as the
primary end point, as opposed to time-honored physician-reported events. The
authors explore the growing role of patient-centered care, the incorporation of
shared decision making, and the relevant body of existing and developing
literature on this topic in radiation oncology. The authors report recent
discoveries from this area of study and describe how they can not only support
high-quality, high-value patient care but also enhance recruitment to clinical
oncology trials, both of which are challenging to achieve in today's relatively
resource-strapped environment.
PMID- 27888946
TI - Difficult Doctors, Difficult Patients: Building Empathy.
AB - Effective doctor-patient communication facilitates the therapeutic relationship,
promotes patient physical and mental health, and improves physician satisfaction.
Methods of teaching effective communication use a range of techniques, typically
combining didactic instruction with simulated communication encounters and
reflective discussion. Rarely are patients and physicians exposed to these
instructions as colearners. The evidence for the utility of graphic stories,
comics, and cartoons to improve patient comprehension and self-regulation is
small but encouraging. The authors describe the use of graphic medicine as a
teaching tool for engendering empathy from both the physician and the patient for
the other during a shared clinical encounter. This use of educational comics in a
colearning experience represents a new use of the medium as a teaching tool.
PMID- 27888945
TI - Radiology as the Point of Cancer Patient and Care Team Engagement: Applying the
4R Model at a Patient's Breast Cancer Care Initiation.
AB - Radiologists aspire to improve patient experience and engagement, as part of the
Triple Aim of health reform. Patient engagement requires active partnerships
among health providers and patients, and rigorous teamwork provides a mechanism
for this. Patient and care team engagement are crucial at the time of cancer
diagnosis and care initiation but are complicated by the necessity to orchestrate
many interdependent consultations and care events in a short time. Radiology
often serves as the patient entry point into the cancer care system, especially
for breast cancer. It is uniquely positioned to play the value-adding role of
facilitating patient and team engagement during cancer care initiation. The 4R
approach (Right Information and Right Care to the Right Patient at the Right
Time), previously proposed for optimizing teamwork and care delivery during
cancer treatment, could be applied at the time of diagnosis. The 4R approach
considers care for every patient with cancer as a project, using project
management to plan and manage care interdependencies, assign clear
responsibilities, and designate a quarterback function. The authors propose that
radiology assume the quarterback function during breast cancer care initiation,
developing the care initiation sequence, as a project care plan for newly
diagnosed patients, and engaging patients and their care teams in timely,
coordinated activities. After initial consultations and treatment plan
development, the quarterback function is transitioned to surgery or medical
oncology. This model provides radiologists with opportunities to offer value
added services and solidifies radiology's relevance in the evolving health care
environment. To implement 4R at cancer care initiation, it will be necessary to
change the radiology practice model to incorporate patient interaction and
teamwork, develop 4R content and local adaption approaches, and enrich radiology
training with relevant clinical knowledge, patient interaction competence, and
teamwork skill set.
PMID- 27888947
TI - An Asynchronous Online Collaboration Between Radiologists and Patients:
Harnessing the Power of Informatics to Design the Ideal Patient Portal.
PMID- 27888948
TI - Web Applications for Patient Communication.
AB - Communication between imaging professionals and patients can help achieve many
goals, including improved patient understanding of imaging-related diagnostic and
treatment options, better compliance with appropriate imaging screening
procedures, and improved efficiency of service. The explosive growth of out-of
pocket consumer spending on health care has heightened health care shopping, thus
making patient communication an important goal of any imaging practice or health
care organization. Furthermore, the Merit-Based Incentive Payment System
introduced by CMS will publicly disclose physicians' quality ratings, which are
in part dependent on patient engagement. The authors summarize the rationale for
web communication with patients, the range of content that should be considered,
and the technology options. The aim is to help imaging providers develop
organized patient communication strategic and implementation plans.
PMID- 27888949
TI - Implications of Direct Patient Online Access to Radiology Reports Through Patient
Web Portals.
AB - In an era of increasing health information transparency and informed decision
making, more patients are being provided with direct online access to their
medical records, including radiology reports, via web-based portals. Although
radiologists' narrative reports have previously been the purview of referring
physicians, patients are now reading these on their own. Many potential benefits
may result from patients reviewing their radiology reports, including
improvements in patients' own understanding of their health, promotion of shared
decision making and patient-physician communication, and, ultimately,
improvements in patient outcomes. However, there may also be negative
consequences, including confusion and anxiety among patients and longer patient
physician interactions. The rapid adoption of this new technology has led to
major questions regarding ethics and professionalism for radiologists, including
the following: Who is the intended audience of radiology reports? How should
content be presented or worded? How will open access influence radiologists'
relationships with patients and referring physicians? What legal ramifications
may arise from increased patient access? The authors describe the current
practices and research findings associated with patient online access to medical
records, including radiology reports, and discuss several implications of this
growing trend for the radiology profession.
PMID- 27888951
TI - Patient and Family Engagement in Research in Era 3.
PMID- 27888950
TI - Social Media and the Patient Experience.
AB - As patients continue to turn to online resources for health care information to
guide their care decisions, it is becoming increasingly important for
radiologists to engage with patients online via social media platforms. There are
many ways physicians can use social media to provide patients with valuable
information and improve the overall patient experience. By optimizing online
discoverability, curating radiology content, engaging with patient communities,
and producing mineable social media content, radiologists can emerge as thought
leaders in this new form of patient-centered communication and information
exchange.
PMID- 27888952
TI - A Tale of Two Perspectives on Cancer: What I Wish I Knew Before Cancer
Radiologist and Patient Perspectives.
PMID- 27888953
TI - Moral and Ethical Issues in Mental Health.
PMID- 27888954
TI - The Effect of Internalized Stigma on the Self Esteem in Patients with
Schizophrenia.
AB - AIM: This study has been conducted to determine the relationship between
internalized stigma and self-esteem in patients with schizophrenia. MATERIALS AND
METHODS: This study was conducted using 60 patients with schizophrenia who were
diagnosed as schizophrenic according to the DSM-IV diagnostic criteria in the
psychiatric clinics of hospitals in Erzurum. The data were collected using the
"Questionnaire on Internalized Stigma of Mental Illness Scale" (ISMI) that
determines the socio-demographic characteristics of patients, and the "Short Form
of Self-Esteem Scale" (SF-SES). RESULTS: The mean Internalized Stigma of Mental
Illness Scale score was high; the mean of the positive dimension of the self
esteem scale score was lower than negative dimension. A negative significant
relationship was found (r=-.758, p<0.01) between the ISMI and SF-SES when we
examined the relationship between the mean scores of self-esteem and internalized
stigma levels of the patients. There is a significantly positive relationship
between the mean scores of the stigma resistance and SERS-SF (r=.339, p<0.01).
CONCLUSION: The findings show that the patients' self-esteem decreases with the
increasing levels of internalized stigma. In particular, the high level of
accepting stereotyped judgments and the low stigma resistance can be associated
with low self-esteem. Based on these results, increasing psychoeducation and
counseling services for patients with schizophrenia, and increasing the public
awareness of this issue are recommended. Advanced quantitative studies should be
conducted to determine the factors related to fighting stigma.
PMID- 27888955
TI - Emotion Dysregulation Mediates Between Childhood Emotional Abuse and Motives for
Substance Use.
AB - The purpose of this study is to assess the relative mediating effects of
impulsivity and emotion dysregulation in the relationship between childhood
maltreatment and motives for opiate use. Seventy four adolescent users of
Tramadol, a synthetic opiate, were recruited from a boot camp for de-addiction
and rehabilitation services for the study. Data were collected between May, 2014
and November, 2014. Participants completed assessments of childhood abuse
history, difficulties regulating emotions, impulsiveness and motives for
substance use as well as a socio-demographic information sheet. The results of
the current study indicate that types of abuse may be associated with particular
outcomes and can inform treatment planning for substance users. Findings from
bootstrap mediator analyses indicated that emotion dysregulation, but not
impulsiveness, mediated the relationship between childhood emotional abuse and
expansion and enhancement motives for substance use. The current study provides
preliminary evidence that difficulties regulating emotions may function as a
mechanism linking prior childhood experiences of emotional abuse to subsequent
motives for substance use. Clinical implications of these findings suggest that
targeting emotion dysregulation problems may be an effective adjunct in the
treatment of childhood emotional abuse adolescent victims at risk for substance
use.
PMID- 27888956
TI - Improving Adherence in Hospitalized Patients Diagnosed With Schizophrenia: An
Integrative One-on-One Intervention.
AB - OBJECTIVE: This study examined an individualized integrative nursing intervention
for improving attitude and adherence. METHODS: The sample included 60 patients,
randomly assigned to an experimental or control group. Measures included The
Visual Analog Scale for Assessing Treatment Compliance and the Drug Attitude
Inventory (DAI- 10). RESULTS: Significant differences were found in attitude and
reported adherence for the experimental group, before and after. Significant
differences were found between the experimental and control group in the degree
of change in attitude and reported adherence. CONCLUSIONS: This study indicates
the benefit of integrative one-on-one tailor-made intervention versus routine
care for improving attitude and adherence to drug therapy.
PMID- 27888958
TI - Improving Metabolic Syndrome Screening on Patients on Second Generation
Antipsychotic Medication.
AB - AIM: This quality improvement project aims at stressing the importance of
screening for metabolic syndrome (MS) on patients with serious mental illness
(SMI) managed with second generation antipsychotic (SGA) medication. METHOD: One
hundred charts of patients who were on SGA (n=100) were randomly selected from
more than 1000 charts for the purpose of this project with (n=50) charts for pre
intervention and (n=50) charts for post intervention. A chi-square test of
independence was calculated comparing the frequency of labs and vital done in pre
intervention and post-intervention period. RESULTS: A significant interaction was
found [chi2(2)=32.67, p<.001] indicating that providers were more likely to order
labs in postintervention (62%) than in pre-intervention (22%). No significant
relationship was found for vital signs [chi2(1)=.542, p>.05]. The use of the
screening and monitoring tool showed that gaps exist in the screening for MS
among patients on SGA. IMPLICATION TO PRACTICE: Advanced health nurse
practitioners are well placed to take the lead in screening, monitoring, and
implementing the necessary measures to address MS among patients with serious
mental illness.
PMID- 27888957
TI - An Online Mental Health and Wellness Intervention Supplementing Standard Care of
Depression and Anxiety.
AB - Online interventions offer benefits, but often have not been tested in studies.
The aim was to study feasibility, acceptability, and preliminary effectiveness of
an online intervention supplementing standard care of depression and anxiety. The
study was conducted within a large healthcare system. Three primary care and four
behavioral health providers recruited 96 participants. Overall, 91% (n=87) agreed
to participate, while 43% (n=41) completed registration and 27% (n=26) logged
into the intervention multiple times. Participants referred by behavioral health
demonstrated greater involvement. Reductions in depression and anxiety were
observed. Most providers were satisfied with the intervention. This study
supports future research.
PMID- 27888959
TI - Bearing Witness: The Lived Experience of Sitting With Patients.
AB - There is abundant literature focused on the practice of using hospital sitters as
part of standard patient safety care. However, minimal attention has been paid to
understanding the actual experiences of hospital workers as they sit with
distressed and often agitated patients. With the overwhelming number of
hospitalized patients who require sitters, attention must be paid to the
healthcare workers' perspective. In this study a phenomenological approach was
used to elicit the perceptions of these hospital sitters. Themes which emerged
from the participant's lived experiences of sitting included: Accepting them,
It's not about me, You're not alone, Taking it to heart, Lifts me up, and
Supporting role, with an overarching focus of Bearing witness. Nursing
implications for this study suggest that using advanced practice psychiatric
nurses in med-surg settings may help to support the hiring and training of
skilled sitters and lead to improved psychological nursing care. In addition,
identifying health care workers who best "fit" the sitter profile may promote the
role from one of safety surveillance to one of therapeutic engagement.
PMID- 27888960
TI - Wards in Opinion of Patients - A Comparative Study on the Quality of Nursing
Care.
AB - AIM: The aim of this study was to evaluate the quality of nursing care in
psychiatric wards and compare it between hospitals of different types. RESULTS:
Significant differences between hospitals were found in: personnel benevolence
(p=0,006219) and response to patient needs (p=0,011446) as well as patients'
sense of safety (p=0,020042). In both hospitals, patients were equally
dissatisfied with the quality of information concerning treatment side effects
(p=0,207804). In both hospitals patients were equally satisfied regarding the
level of respect for their dignity and psychological support (p=0,176928).
CONCLUSION: General patient perception of nursing care in psychiatry wards seems
to be positive. Some tasks carried out by nurses in psychiatric care still
require improvement, especially regarding providing information to patients.
PMID- 27888961
TI - Facilitating Social Integration for People With Severe Mental Illness Served by
Assertive Community Treatment.
AB - PURPOSE: This article explores the experience of workers on Assertive Community
Treatment (ACT) teams surrounding their efforts to facilitate social integration
for their clients. DESIGN AND METHODS: Sixteen workers were individually
interviewed and eight additional workers participated in two focus groups.
FINDINGS: The formation of caring relationships between worker and client was an
important first step towards social integration for ACT clients. Community
activities offer opportunities for social interaction. PRACTICE IMPLICATIONS: The
frequency of community based activities should be increased. Social integration
should be a targeted focus of service by structurally embedding a social
integration specialist onto the ACT model.
PMID- 27888962
TI - Involuntary Hospitalization of Patients With Mental Disorders: Knowledge of
Health Professionals.
AB - A descriptive and exploratory study with a qualitative approach was undertaken to
describe the knowledge of health professionals at a psychiatric hospital about
involuntary hospitalization. Health professionals from the admission ward of a
psychiatric hospital located in an inner city of the state of Sao Paulo, Brazil,
participated in the study. Data were collected through semistructured interviews
with sixteen health professionals and the analysis was based on thematic
analysis. The professionals' lack of knowledge about involuntary hospitalizations
was evidenced, including unfamiliarity with the modalities of psychiatric
hospitalization, confusion to understand involuntary and compulsory
hospitalization as well as the role of the public prosecutor in these cases. In
that sense, the study participants' lack of knowledge and, on the other hand, the
inertia of the public prosecutor's office can hamper the full enjoyment of rights
by persons with mental disorders. In this scenario, psychiatric health
professionals should know and understand the legislation as well their
responsibility according to it, combining political with theoretical-technical
knowledge.
PMID- 27888963
TI - The Effectiveness of Structured Supported Education Programs for Families With
Intellectually Disabled Children: The Example of Turkey.
AB - This a quasi-experimental study that was conducted at a specialized education
school in a city in Turkey for the purpose of evaluating the effectiveness of a
structured supported education program for the parents of children with
intellectual disability (ID). The education program was conducted with a total of
8 groups attending 3 sessions. 104 individuals completing the program sessions
and comprised the sample. In a comparison of parents' levels of knowledge, the
mean number of correct answers on the pretest was 15+/-3.75 and 19.25+/-2.88 on
the posttest. Beck hopelessnes scores were 9.75+/-4.14 before the education and
6.25+/-4.77 after the education; this differences were statistically significant.
It was found that the supported education of parents of individuals with ID made
an impact on the family's knowledge and level of hopelessness.
PMID- 27888964
TI - Stigma as a Structural Power in Mental Health Care Reform: An Ethnographic Study
Among Mental Health Care Professionals in Belgium.
AB - The growing interest among scholars and professionals in mental health stigma is
closely related to different mental health care reforms. This article explores
professionals' perceptions of the dehospitalization movement in the Belgian
context, paying particular attention to the meaning of stigma. Combined
participant observation and semi-structured interviews were used to both assess
and contextualize the perceptions of 43 professionals. The findings suggest that
stigma may function as a structural barrier to professionals' positive evaluation
of de-hospitalization, depending on the framework they are working in. It is
important to move beyond a unilateral understanding of the relationship between
stigma and de-hospitalization in order to attain constructive health care reform.
PMID- 27888966
TI - Implementation of a Recovery-Oriented Training Program for Psychiatric Nurses in
the Inpatient Setting: A Mixed-Methods Hospital Quality Improvement Study.
AB - This mixed-methods hospital quality improvement (QI) study primarily aimed to
reduce the use of mechanical restraints in a short-stay inpatient psychiatric
setting by facilitating change in care delivery through recovery-oriented nursing
practice. The implementation of an evidence-based education for psychiatric
mental health registered nurses (PMH-RNs) intended to improve their knowledge of,
and attitudes toward, recovery-focused mental health treatment principles.
Findings suggest that recovery-oriented training programs for PMH-RNs can be a
potentially useful hospital strategy for restraint reduction. In this article,
the authors report their findings using the SQUIRE 2.0 framework for publication
of QI studies (Ogrinc et al., 2015).
PMID- 27888965
TI - Effects of Nursing Interventions on Depression of Patients With Rheumatoid
Arthritis: A Meta-Analysis of Randomized Controlled Trials.
AB - INTRODUCTION: Previous randomized controlled trials have led to conflicting
findings regarding the effects of nursing interventions on depression of patients
with rheumatoid arthritis (RA). The purpose of this study was to use the meta
analytic approach to resolve these discrepancies. METHODS: We performed a
systematic search of publications using MEDLINE, EMBASE, the Cochrane Library,
and manual searches without language restrictions. Studies that met the following
criteria were included: (1) randomized controlled trials; (2) duration of
intervention>=4 weeks; (3) comparative control group; (4) adults with RA; (5)
published studies in any language since reception; and (6) psychological symptoms
assessed. We extracted relative risks (RRs) and 95% confidence internals (CIs)
and pooled them using a random effect model. We carried out sensitivity analysis
and assessed heterogeneity and publication bias. RESULTS: A total of 14 studies,
including 1803 patients, were eligible for inclusion in the review. Depression
symptom was assessed by questionnaires. In the pooled analysis, nursing
interventions, including exercise training, medication guide, health education
and psychotherapy were associated with the remission of depression (RR: -0.67;
95% CI: -0.89 to -0.46; P<0.01) with significant heterogeneity between studies
(P<0.01). CONCLUSION: Nursing interventions may be important adjunctive therapies
in the medical management of RA patients.
PMID- 27888967
TI - Effects of Aggression Replacement Training on Problem Solving, Anger and
Aggressive Behaviour among Adolescents with Criminal Attempts in Turkey: A Quasi
Experimental Study.
AB - The research was conducted by a pretest and post-test conducted in a quasi
experimental design which aimed to determine effectiveness of Aggression
Replacement Training (ART) on problem solving, anger and aggressive behaviour
among adolescents with criminal attempts in Turkey. The study included 65
adolescents with criminal attempts (32 intervention, 33 control). There was no
difference between the groups in terms of average age (16.13+/-1.10 in the
experimental group and 16.21+/-0.89 in the control group). After the
intervention, the experimental group showed significantly decreased Trait Anger
levels (t=1.906; P=0.033), increased Anger Control scores (t=2.522; P=0.008),
decreased Physical Aggression scores (t=1.925; P=0.031), decreased Hostility
scores (t=2.496; P=0.009), increased Social Problem Solving total scores
(t=2.937; P=0.005). Increased Anger Control scores were found to be significant
when compared with the control group (t=2.273, P=0.026). These results showed
that ART was effective on problem solving, anger and aggressive behaviour and can
be used to develop positive behaviours among adolescents with criminal attempts.
PMID- 27888968
TI - Predictors of Depression among Seropositive Batswana Men and Women: A Descriptive
Correlational Study.
AB - The purpose of this descriptive correlational study was to describe predictors of
depressive symptoms among N=70 seropositive Botswana men and women residing in
Gaborne, Botswana. A demographic questionnaire, the Center for Epidemiologic
Studies Depression Scale, (CESD-D), and the SF-36 [Quality of life] were
administered. The questionnaires were translated and back translated in Setswana
and administered by Batswana men and women. The results of the regression
analyses resulted in two calculated models. In the first Model energy/fatigue
explained 46% of the variance in depressive symptoms (P=.000), and in the second
Model energy/fatigue and role limitations on emotional well-being explained 50%
of the variance in depressive symptoms respectively. The study findings
underscore the need for mental health services for seropositive Batswana men and
women.
PMID- 27888969
TI - Smoking Behaviors and Medical Co-Morbidities in Patients With Mental Illnesses.
AB - Correlations among smoking behaviors and co-morbid medical illnesses were
examined among 982 smokers with mental illnesses enrolled in a smoking cessation
program within Mental Health and Addictions Services in Vancouver, Canada.
Significant correlates among individuals with psychotic disorders included
associations between a history of emphysema/chronic obstructive pulmonary (COPD)
disease and cigarettes smoked per day (r's=.35, p<=.01), Confidence in quitting
(r's=-.33, p<=.01), and nicotine dependence (r's=.32, p<=.01). Study findings may
have implications for the development of integrated medical-psychiatric treatment
delivery models that include comprehensive tobacco cessation programs tailored
toward people with mental illnesses.
PMID- 27888970
TI - Paternal Depression Rates in Prenatal and Postpartum Periods and Affecting
Factors.
AB - The objective of this study was to determine the paternal depression rates and
affecting factors in prenatal and postpartum periods. The study, which was
descriptive and cross-sectional, was conducted with 92 volunteer couples that
suited the criteria for the sample. These participants were selected from couples
that applied to the gynecology clinic of a private hospital between September
2014 and April 2015 and who were in their 37th-40th weeks of pregnancy. Data
collection was planned in two interviews; the first one was done between the 37th
and 40th weeks of the pregnancy, and the second was conducted between the 4th and
6th weeks after the birth. Interviews were completed with 84 couples. In this
study, it was determined that there was no relationship between average paternal
and maternal scores on the Edinburgh Postnatal Depression Scale (EPDS) in
prenatal and postpartum periods. It was found that the average score of the
Family-Work Conflict Scale increased and couples' marriage harmony decreased as
the EPDS average score for fathers increased. In addition, it was found that the
EPDS average score for fathers that wanted the pregnancy was less compared to
fathers that did not want the pregnancy, and the difference between the two
groups was statistically meaningful.
PMID- 27888971
TI - U.S. Caregivers with Mental Health Problems: Parenting Experiences and Children's
Functioning.
AB - The 2007 National Survey of Children's Health was used to determine the
prevalence of poor mental health among U.S. mothers, fathers, and guardians,
specific parenting experiences and children's functioning. More guardians (13.7%)
reported poor mental health compared to mothers (7.7%) and fathers (5.3%),
generalizing to 4.6 million U.S. caregivers with poor mental health. Caregivers
with poor mental health were at least 3 times more likely to report children's
problematic functioning after accounting for sociodemographic factors and
parenting stress and experiences. Research and clinical activities should
recognize poor caregiver mental health as a condition that affects parenting and
children's functioning.
PMID- 27888972
TI - The Effect of Yoga on Functional Recovery Level in Schizophrenic Patients.
AB - PURPOSE: The objective of this study is to determine the effect of yoga on
functional recovery level in schizophrenic patients. MATERIALS AND METHODS: The
study was conducted in quasi-experimental design with pretest-posttest control
group. The population of the study consisted of schizophrenic patients with
registered in Malatya and Elazig Community Mental Health Centers and regularly
going to these centers. The sample group of the study consisted of totally 100
patients including 50 patients in the experimental group and 50 patients in the
control group who were specified through power analysis and chosen by using
random sampling method from this population. The data were collected between
April 2015 and August 2015. 'Patient Description Form' and 'FROGS' were used to
collect the data. Yoga was applied to patients in the experimental group. Any
intervention was not made to patients in the control group. Percentage
distribution, arithmetic mean, standard deviation, chi-square, independent
samples t test, and paired t test were used to assess the data. RESULTS: Patients
in the control and experimental group pretest subscale and the total means scores
of FROGS was found to be low. In the posttest subscale and total means scores of
FROGS in the experimental group were higher than in the control group and the
differences between them were found to be statistically significant (p<0.05). In
the experimental group pretest and posttest subscale and total means scores of
FR0GS was determined to be statistically significant (p<0.05). CONCLUSION: Yoga
that applied to schizophrenic patients it was determined to increased the level
of functional recovery. It can be suggested that yoga should be used as an
complementary method in nursing practise in order to increase the effectiveness
of the treatment.
PMID- 27888973
TI - Comparison of Caregiver Burden in First Episode Versus Chronic Psychosis.
AB - The aim of this study was to compare burden on caregivers of patients with first
episode psychosis and caregivers of those with chronic psychosis. The study
sample consisted of 39 caregivers of patients with first episode psychosis and 40
caregivers of patients with chronic psychosis. The Caregiver Burden Inventory
(CBI) was used for data collection. The average caregiver burden in caregivers of
patients with chronic psychosis was significantly higher than that in caregivers
of patients with first episode psychosis. With regard to the subscales of CBI,
there was a significantly higher level of burden in terms of time dependence,
development, physical burden, and social burden in caregivers of patients with
chronic psychosis than in caregivers of patients with first episode psychosis,
while no such difference could be detected for the emotional burden. The absence
of a difference in emotional burden suggests that caregivers of first episode
psychosis may be going through an intense emotional experience, placing them in a
high-risk status, despite an average caregiving experience duration of 11 months.
Family interventions targeting the caregivers of patients with chronic psychosis
or patients with first episode psychosis should be planned in accordance with the
specific needs of these caregiver groups. It is also recommended to conduct
programs involving the concurrent participation of the caregivers of patients
with first episode psychosis and caregivers of patients with chronic psychosis
and interventional programs such as "early psychosis support" for the caregivers
of patients with first episode psychosis.
PMID- 27888974
TI - Early Intervention in Schizophrenia: A Literature Review.
AB - Schizophrenia is a debilitating psychiatric disorder seen across the world.
Recently, investigators have witnessed an upsurge in research on the potential
benefits of early intervention during the prodromal stage: the sooner people
start the treatment at their first psychotic episode, the better outcome on
symptom relief and better functioning. This paper aims to critically review and
synthesize empirical evidence published between 2005 and 2015 regarding the
effectiveness of preemptive interventions on transition rate, symptom severity,
depression, anxiety, and function level. Randomized controlled trials were
identified in seven different electronic databases and twelve studies were
included in this review. Findings indicated that intervention was designed not
only for help-seeking individuals, but also for their family members. Also, the
applications for psychiatric nursing are discussed.
PMID- 27888975
TI - The Therapeutic Relationship in Inpatient Psychiatric Care: A Narrative Review of
the Perspective of Nurses and Patients.
AB - PURPOSE: To study the significance of 'therapeutic relationship' between nurses
and patients within the context of a psychiatric hospital. METHOD: Narrative
literature review. Content analysis. FINDINGS: The significance of the
therapeutic relationship is quite similar for both nurses and patients in
psychiatric hospital units. Nevertheless, several factors may separate the two
positions: the time available for the relationship, the negative perceptions on
the part of both parties, and the insecurity of the setting. PRACTICE
IMPLICATIONS: Increased knowledge and understanding of the significance of the
therapeutic relationship from the perspective of nurses and patients would allow
the strengthening of areas of mutual interest.
PMID- 27888976
TI - The Variability of Nursing Attitudes Toward Mental Illness: An Integrative
Review.
AB - Mental illnesses are common worldwide, and nurses' attitudes toward mental
illness have an impact on the care they deliver. This integrative literature
review focused on nurses' attitudes toward mental illness. Four databases were
searched between January 1, 1995 to October 31, 2015 selecting studies, which met
the following inclusion criteria: 1) English language; and 2) Research in which
the measured outcome was nurses' attitudes toward mental illness. Fourteen
studies conducted across 20 countries that 4282 participants met the inclusion
criteria. No study was conducted in the United States (U.S.). Studies reported
that nurses had mixed attitudes toward mental illness, which were comparable to
those of the general public. More negative attitudes were directed toward persons
with schizophrenia. Results indicate the need for further research to determine
whether attitudes among nurses in the U.S. differ from those reported from other
countries and to examine potential gaps in nursing curriculum regarding mental
illness.
PMID- 27888977
TI - Factors Affecting Medication Adherence Among Adults with Schizophrenia: A
Literature Review.
AB - This review aimed to summarize empirical evidence concerning factors relating to
medication adherence among patients with schizophrenia. A comprehensive search
was implemented to recruit articles which met the present eligibility criteria.
Twenty-five articles were included whereby only one was a qualitative study.
Greater awareness of illness (insight), previous history of medication adherence,
positive attitude toward medication, types of atypical antipsychotics, less
severe psychotic symptoms, and social support were identified as factors of
medication adherence. Knowledge gaps and methodological limitations were also
identified. Implications to clinical practice include providing psychoeducation
to patients by increasing their knowledge about illness and medication.
PMID- 27888978
TI - Vulnerability, Stress, and Support in the Disease Trajectory from Prodrome to
Diagnosed Schizophrenia: Diathesis-Stress-Support Model.
AB - Schizophrenia is a debilitating psychiatric disorder seen across the world. The
goal of current research is to provide a more comprehensive understanding of
prodrome, the initial period before the disease manifests as schizophrenia.
Unfortunately, there is little information to comprehensively understand the
indicators that later lead to schizophrenia. This has resulted in a lack of
scientific knowledge to aid in early identification and intervention by
psychiatric nurses or school nurses. Such information could inform nursing
assessment and care plan development. The purpose of this paper is to construct a
conceptual model for describing the important factors relating to the
schizophrenia prodrome process in human developmental manner by critically
synthesizing empirical evidence and reviewing the strengths and limitations of
current conceptual models.
PMID- 27888979
TI - Corrigendum to "A Comparison of Suboxone and Clonidine Treatment Outcomes in
Opiate Detoxification" [Archives of Psychiatric Nursing 26 (4) (2012):316-323].
PMID- 27888980
TI - Noninvasive determination of renal tumor histology utilizing molecular imaging.
PMID- 27888981
TI - Emerging role of immunotherapy in urothelial carcinoma-Advanced disease.
AB - Systemic therapy for metastatic urothelial carcinoma has seen minimal progress
and no new approved therapies in the past 20 years. However, with the approval of
the checkpoint inhibitor atezolizumab in May 2016, immunotherapy inserted itself
into the standard clinical dogma. The emergence of systemic immunotherapies,
heralded by drugs targeting immune checkpoint blockade, can provide durable
remissions in a subset of patients with a favorable toxicity profile. With other
similar agents showing promise in early-phase trials, more options may be on the
way. Current and ongoing trials are investigating ways to increase response rates
with rational combinations as well as to uncover predictive biomarkers to
identify patients most likely to benefit. In this review, we present updated data
regarding immunotherapeutic agents in clinical trials as well as ongoing studies
investigating novel designs, intriguing combinations, and alternative
immunotherapy strategies.
PMID- 27888982
TI - Contraceptive choices and preferences in a cohort of women with cystic fibrosis.
AB - OBJECTIVES: As life expectancy for women with cystic fibrosis (CF) improves,
reproductive decision-making is becoming increasingly relevant. We sought to
characterize fertility intentions, contraceptive use, and preferences among adult
females with CF. STUDY DESIGN: We conducted a cross-sectional survey of
contraceptive use and preferences in reproductive-aged women with CF. Subjects
were recruited via an electronic mailing list from the Penn Adult Cystic Fibrosis
Program. RESULTS: Fifty-three women with CF responded and met eligibility
criteria. Most (83%) were sexually active, however only 49% used contraception.
Condoms and the oral contraceptive pill were the most commonly used methods.
Compared to the national contraceptive use among Caucasian women (65%), use in
our cohort was significantly lower (p = 0.01). Participants prioritized
effectiveness and ease of use in their contraceptive method; they wanted to avoid
side effects and interference with sexual enjoyment. Although these priorities
are similar to Caucasian women in the literature, additional contraceptive
priorities differed significantly between the groups. CONCLUSIONS: This CF cohort
is sexually active but contraceptive utilization was low, and women with CF
identify specific contraceptive preferences. There is a significant unmet need
for contraception among women with CF.
PMID- 27888983
TI - High flow nasal cannula versus conventional oxygen therapy and non-invasive
ventilation in adults with acute hypoxemic respiratory failure: A systematic
review.
AB - INTRODUCTION: Humidified oxygen via a high flow nasal cannula (HFNC) is a form of
supplemental oxygen therapy that has significant theoretical advantages over
conventional oxygen therapy (COT). However, the clinical role of HFNC in acute
hypoxemic respiratory failure (AHRF) has not been well established. This review
compares the efficacy of HFNC with COT and non-invasive ventilation (NIV) in
patients with AHRF. METHODS: Studies reviewed were selected based on relevance
from a systematic literature search conducted in Medline and EMBASE to include
all published original research through May 2016. Twelve studies matched the
inclusion criteria. RESULTS: In the majority of the studies, HFNC was associated
with superior comfort and patient tolerance as compared to NIV or COT. HFNC was
associated with reduced work of breathing in comparison with COT in some, but not
all, studies in the review. COT and NIV were associated with a higher 90-day
mortality rate compared to HFNC in only one multicenter randomized trial versus
no mortality difference reported by others. Three out of four studies
demonstrated a decreased need for escalation of oxygen therapy with HFNC. Six out
of eight studies demonstrated improved oxygenation with HFNC as compared to COT.
Two of three studies revealed worse oxygenation with HFNC as compared to NIV.
CONCLUSION: This review suggests that HFNC may be superior to COT in AHRF
patients in terms of oxygenation, patient comfort, and work of breathing. It may
be reasonable to consider HFNC as an intermediate level of oxygen therapy between
COT and NIV.
PMID- 27888984
TI - Efficacy of a minimal home-based psychoeducative intervention in patients with
advanced COPD: A randomised controlled trial.
AB - BACKGROUND: Anxiety is a common comorbidity in patients with advanced Chronic
Obstructive Pulmonary Disease (COPD) with major impact on quality of life and
associated with increased risk of death. The objective of this randomised
controlled trial was to test the efficacy of a minimal home-based psychoeducative
intervention versus usual care for reducing symptoms of anxiety in patients with
advanced COPD. METHODS: The trial included 66 participants with advanced COPD and
symptoms of anxiety. The primary outcome was anxiety assessed by the Hospital
Anxiety and Depression scale (HADS) subscale for anxiety (HADS-A). The secondary
outcome was mastery assessed by the Chronic Respiratory Questionnaire (CRQ)
domain of mastery (CRQ-M). Assessments were performed at baseline and one and
three months post-intervention. RESULTS: The intervention group had a lower post
intervention HADS-A score on average, compared with the control group (p =
0.005), indicating a significant effect of the intervention. The average
difference between the groups in HADS-A was 2.16 points (CI = [0.62; 3.71]) at
one month and 2.32 points (CI = [0.74; 3.89]) at three months follow-up. The
intervention group had a higher post intervention CRQ-M score on average compared
with the control group (p = 0.016). The average differences between the groups
were 0.58 points (CI = [0.09; 1.06]) after one month and 0.67 points (CI = [0.18;
1.17]) after three months. CONCLUSIONS: The psychoeducative intervention provided
sustainable symptom relief and improved the patients' self-management abilities.
PMID- 27888985
TI - Azathioprine response in patients with fibrotic connective tissue disease
associated interstitial lung disease.
AB - BACKGROUND: Azathioprine is a commonly prescribed therapy for connective tissue
disease-associated interstitial lung disease (CTD-ILD). Combination therapy that
included azathioprine was recently shown to increase the risk of death and
hospitalization in patients with idiopathic pulmonary fibrosis. Whether
azathioprine increases the risk of adverse outcomes in patients with fibrotic CTD
ILD, including those with CTD-associated usual interstitial pneumonia (UIP),
remains unknown. METHODS: A retrospective cohort analysis was performed to
determine the combined incidence rate of death, transplant and respiratory
hospitalization associated with azathioprine exposure. A fibrotic CTD-ILD cohort
treated with mycophenolate mofetil served as a comparator group. Incidence rates
were compared with an incidence rate ratio (IRR) generated by negative binomial
regression. Longitudinal pulmonary function response was then assessed using
mixed effects linear regression models. RESULTS: Fifty-four patients were treated
with azathioprine and forty-three with mycophenolate. Medication discontinuation
due to non-respiratory side effects occurred in 27% and 5% of the azathioprine
and mycophenolate cohorts, respectively. The combined incidence rate of adverse
outcomes was 0.015 and 0.013 for azathioprine and mycophenolate, respectively
(IRR 1.23; 95% CI 0.49-3.12; p = 0.66). Similar incidence rates were observed
among those with CTD-UIP (IRR 0.83; 95% CI 0.21-3.31; p = 0.79). Both groups
demonstrated pulmonary function stability over time, with the azathioprine group
demonstrating a marginal improvement. CONCLUSIONS: A significant minority of
patients could not tolerate azathioprine due to non-respiratory side effects. Of
those who did tolerate azathioprine, a similar incidence of adverse outcomes was
observed as those treated with mycophenolate. Both therapies were associated with
stability in pulmonary function.
PMID- 27888986
TI - Relationship between birth weight, maternal smoking during pregnancy and
childhood and adolescent lung function: A path analysis.
AB - BACKGROUND: Low birth weight and gestational maternal smoking have been linked
with reduced lung function in children in many cross sectional studies. However,
these associations have not yet been assessed with repeated measurements of lung
function. Our aim was to investigate the effects of birth weight, gestational
age, and gestational maternal smoking on lung function in children at age 10 and
18 years. METHODS: In the Isle of Wight birth cohort spirometry was performed at
age 10 and 18 years. Information on birth weight and gestational age were
obtained from hospital records. Mothers were asked about smoking during
pregnancy. We employed linear mixed models to estimate the effect of these risk
factors on repeated measurements of lung function. We considered maternal asthma,
sex, neonatal intensive care unit admission, height, socio-economic status,
personal smoking in participants at age 18, body mass index and environmental
tobacco smoke exposure as potential confounders. Finally, we used path analysis
to determine links between birth weight, gestational age and gestational maternal
smoking on lung function at age 10 and 18 years. RESULTS: Linear mixed models
showed that with every 1 kg increase in birth weight, Forced expiratory volume in
one second (FEV1) increased by 42.6 +/- 17.2 mL and Forced expiratory flow
between 25% and 75% (FEF25-75) of Forced vital capacity (FVC) increased by 95.5
+/- 41.2 mL at age 18 years after adjusting for potential confounders. Path
analysis suggested that birth weight had positive direct effects on FEV1 and
FEF25-75 and positive indirect effect on FVC at 10 years which were carried
forward to 18 years. Additionally, results also suggested a positive association
between gestational age and FEV1, FVC and FEF25-75 at ages 10 and 18 years and an
inverse association between gestational smoke exposure and FEV1/FVC ratio and
FEF25-75 at age 18 years. CONCLUSIONS: Higher birth weight and gestational age
were associated with higher FEV1, FVC and FEF25-75 and maternal smoking during
pregnancy was associated with reduced FEV1/FVC ratio and FEF25-75. The use of
path analysis can improve our understanding of underlying "causal" pathways among
different prenatal and childhood factors that affect lung function in both pre
adolescent and adolescent periods.
PMID- 27888988
TI - Prevalence and incidence of bronchiectasis in Catalonia, Spain: A population
based study.
AB - BACKGROUND: Insufficient epidemiological data are available on bronchiectasis in
southern European populations. The aim of this study was to determine the
prevalence and incidence of bronchiectasis in Catalonia, Spain and describe the
characteristics of patients with an active diagnosis of bronchiectasis in 2012.
METHODS: This study used data from a population database containing information
from 5.8 million people (80% of the population of Catalonia). Patients with
bronchiectasis were identified using International Statistical Classification of
Diseases and Related Health Problems, 10th revision (ICD-10) codes, and
information on clinical characteristics and treatment was extracted. RESULTS: A
total of 20895 patients with bronchiectasis were identified in 2012. The
prevalence of bronchiectasis was 36.2 cases per 10 000 inhabitants, with an
incidence of 4.81 cases per 10 000 inhabitants. Prevalence and incidence
increased with age and were highest in men over 65 years of age. Among the whole
bronchiectasis population in Primary Care, 48.6% of patients had had blood tests,
11.2% had undergone spirometry, only 2.1% had a chest X-ray, and 0.9% had
undergone high-resolution computed tomography or sputum culture. 56% had at least
one exacerbation and 12.5% had been admitted to hospital during the year of the
study. The drugs most frequently used were inhaled corticosteroids (in 37.4% of
patients) and long-acting beta2agonists (in 36%); half of the patients received
no treatment (51.8%). CONCLUSIONS: The prevalence and incidence of bronchiectasis
increases with age. The management of bronchiectasis in primary care was not
optimal, and the disease continues to represent an important clinical burden.
PMID- 27888987
TI - Free asthma medications reduces hospital admissions in Brazil (Free asthma drugs
reduces hospitalizations in Brazil).
AB - BACKGROUND: Since June 2011, the Brazilian health system started providing asthma
medications (beclomethasone and salbutamol), totally free of charge to patients
with asthma. The aim of this study was to evaluate the impact of the provision of
free asthma medications on hospital admissions for asthma in Brazil, using a
national hospitalization database (DATASUS), comparing the incidence of hospital
admissions before and after the free supply of these drugs. METHODS: Admissions
of patients with 1-49 years of age by the Brazilian public health system with the
diagnosis of asthma were compared pre (2008-2010) and post (2012-2014) provision
of free medicines (beclomethasone and salbutamol). The number of hospital
admissions due to asthma and non-respiratory diseases, as well as the amount
spent with asthma hospitalization, were obtained from DATASUS, the Brazilian
government open-access public health database system. RESULTS: Admission rates
for asthma significantly decreased from 90.09/100.000 (2008-2010) to
59.85/100.000 (2012-2014), when the period pre and post provision of free
medicines were compared [OR 0.67 (CI 0.48-0.92)]. Non-respiratory admission rates
remained stable, when both periods were also compared. CONCLUSION: Asthma
hospitalization rates significantly decreased in the three-year period after the
provision of free medicines to treat asthma. Our findings suggest that the
provision of free medications for asthma may have a particular public health
impact by its own in developing countries.
PMID- 27888989
TI - Burden and risk factors of ambulatory or hospitalized CAP: A population based
cohort study.
AB - BACKGROUND: Data on incidence, risk factors and outcome of community-acquired
pneumonia (CAP) including outpatients is sparse. METHODS: We conducted a cohort
study on 1.837.080 adults insured by a German statutory health insurance in 2010
2011. CAP was identified via ICD-10-GM codes, ambulatory cases were validated by
antibiotic prescription within 7 days. Primary outcomes were incidence,
hospitalisation and 30-day all-cause mortality. Evaluated risk factors included
age, sex and comorbidities. Evaluation was done by multivariate regression
analysis adjusting for these factors and health care utilization. RESULTS: CAP
incidence was 9.7 per 1000 person years, hospitalisation rate 46.5%, and 30-day
mortality 12.9%. 30-day mortality of ambulatory cases was 5% (with 27%
subsequently hospitalized for another diagnosis before death). 30-day mortality
of hospitalized patients was 21.9%, but in-hospital mortality 17.2%. Risk factors
for CAP included age, male sex and all evaluated comorbidities with highest risk
for neurologic (OR 2.4), lung (OR 2.3) or immunosuppressive (OR 2.1) disease.
Mortality risk was highest for neurologic (OR 2.3) and malignant (OR 2.0)
disease. CONCLUSIONS: CAP constitutes a major burden in terms of incidence,
morbidity and all-cause mortality in hospitalized and ambulatory patients.
Interventions to raise awareness for disease impact also in ambulatory patients
with risk factors are warranted.
PMID- 27888991
TI - Pulmonary function and adverse cardiovascular outcomes: Can cardiac function
explain the link?
AB - BACKGROUND: The complex interaction between pulmonary function, cardiac function
and adverse cardiovascular events has only been partially described. We sought to
describe the association between pulmonary function with left heart structure and
function, all-cause mortality and incident cardiovascular hospitalization.
METHODS: This study is a retrospective analysis of patients evaluated in a single
tertiary care medical center. We used multivariable linear regression analyses to
examine the relationship between FVC and FEV1 with left ventricular ejection
fraction (LVEF), left ventricular internal dimension in systole and diastole
(LVIDS, LVIDD) and left atrial diameter, adjusting for baseline characteristics,
right ventricular function and lung hyperinflation. We also used Cox proportional
hazards models to examine the relationship between FVC and FEV1 with all-cause
mortality and cardiac hospitalization. RESULTS: A total of 1807 patients were
included in this analysis with a median age of 61 years and 50% were female.
Decreased FVC and FEV1 were both associated with decreased LVEF. In individuals
with FVC less than 2.75 L, decreased FVC was associated with increased all-cause
mortality after adjusting for left and right heart echocardiographic variables
(hazard ratio [HR] 0.49, 95% CI 0.29, 0.82, respectively). Decreased FVC was
associated with increased cardiac hospitalization after adjusting for left heart
size (HR 0.80, 95% CI 0.67, 0.96), even in patients with normal LVEF (HR 0.75,
95% CI 0.57, 0.97). CONCLUSION: In a tertiary care center reduced pulmonary
function was associated with adverse cardiovascular events, a relationship that
is not fully explained by left heart remodeling or right heart dysfunction.
PMID- 27888990
TI - A randomized, controlled multicentric study of inhaled budesonide and intravenous
methylprednisolone in the treatment on acute exacerbation of chronic obstructive
pulmonary disease.
AB - BACKGROUND: Almost all international guidelines recommend corticosteroids for
management of exacerbations of chronic obstructive pulmonary disease (COPD),
because it leads to improved outcomes of acute exacerbations of chronic
obstructive pulmonary disease (AECOPD). Nevertheless, due to its side effects,
there are still concerns regarding the use of systemic corticosteroid (SC).
Inhaled corticosteroids (IC) can be used as an alternative to SC, while reducing
the risk of occurrence of side effects. PURPOSE: To measure the clinical efficacy
and side effects of nebulized budesonide and systemic methylprednisolone in
AECOPD. METHODS: Valid data from 410 AECOPD patients in 10 hospitals was
collected. Patients were randomly divided into 2 groups; budesonide group,
treated with nebulized budesonide (2 mg 3 times/day); and methylprednisolone
group, treated with intravenously injected methylprednisolone (40 mg/day). COPD
assessment test (CAT), arterial blood gas analysis, hospitalization days, adverse
effects, fasting blood glucose, serum creatinine, alanine aminotransferase
levels, and blood drug were measured and analyzed in both groups. RESULTS:
Symptoms, pulmonary function and arterial blood gas analysis were significantly
improved after treatment in both groups (P < 0.05), with no significant
differences between them (P > 0.05), while incidence of adverse events in the
budesonide group was lower (P < 0.05). No significant differences in CAT score,
days of admission, blood gas analysis results and physiological and biochemical
indexes were found between the two groups. Patients treated with
methylprednisolone showed a higher degree of PaO2 level improvement. CONCLUSION:
Results show that inhalation of budesonide (2 mg 3 times/day) and systemic
methylprednisolone (40 mg/day) had similar clinical outcome in AECOPD. In
conclusion, inhaled budesonide is an alternative to systemic corticosteroids in
AECOPD treatment.
PMID- 27888992
TI - IL-17 protein levels in both induced sputum and plasma are increased in stable
but not acute asthma individuals with obesity.
AB - BACKGROUND: Obesity worsens asthma control partly through enhanced airway
neutrophilia, altered lung mechanics and comorbidities, including obstructive
sleep apnea syndrome, gastroesophageal reflux disease and depression. Although
controversial, obesity may also cause poorer outcomes in acute asthma. IL-17 is
associated with neutrophilic inflammation, steroid resistance and severe asthma,
but its importance in the association between asthma and obesity is unknown.
OBJECTIVE: To investigate the role of IL-17 in obese asthma in both acute and
stable settings. METHODS: Both stable (n = 177) and acute (n = 78) asthmatics
were recruited and categorized into lean (n = 77 and 39 respectively), overweight
(n = 41 and 17 respectively) and obese (n = 59 and 22 respectively) groups and
compared for clinical characteristics, including sputum and plasma IL-17 protein
concentrations, sputum cellularity, spirometry and comorbidities. Correlations of
IL-17 expression with other measures were explored. RESULTS: In stable subjects,
airway neutrophilia and IL-17 concentrations were most prominent in the obese,
and correlated positively with each other. Significant increase in plasma IL-17
levels was also noted and associated with elevated depressive symptoms in
obesity. In acute asthma, IL-17 expression, like most other clinical measures,
was similar among lean, overweight and obese groups, but was higher in acute
versus stable asthma subjects, with sputum IL-17 correlating positively with
sputum neutrophils and negatively with FEV1 and plasma IL-17 showing a positive
connection to airway eosinophilia during exacerbation. CONCLUSIONS: IL-17
contributes to worse disease control in obese asthma through enhancing airway
neutrophilia and depression, and may implicate in asthma exacerbations. Effects
of adiposity on acute asthma remain uncertain.
PMID- 27888994
TI - Early life exposure to traffic-related air pollution and allergic rhinitis in
preschool children.
AB - BACKGROUND: Evidence linking long-term exposure to outdoor air pollution with
allergic rhinitis (AR) in children is scare, and the role of components of air
pollution and timing of exposure remains unclear. OBJECTIVE: To assess the
association of pre- and post-natal exposure to air pollution with life-time
prevalence of AR in preschool children. METHODS: We conducted a cohort study of
2598 children aged 3-6 years in Changsha, China. The lifetime prevalence of AR
was assessed by a questionnaire administered by parents. Children's exposures to
dioxide nitrogen (NO2), sulfur dioxide (SO2) and particulate matter with an
aerodynamic diameter <= 10 MUm (PM10) during different pre- and post-natal timing
windows were estimated using the measured concentrations at monitoring stations.
The odds ratio (OR) and 95% confidence interval (CI) of childhood AR for exposure
to different air pollutants during different timing windows were assessed by
logistic regression model in terms of an interquartile range (IQR) increase in
exposure level. RESULTS: Life-time prevalence of AR in preschool children (7.3%)
was associated with both pre- and post-natal exposure to traffic-related air
pollution (TRAP), but only significant during the third trimester of pregnancy
with adjusted OR = 1.40 (95% CI: 1.08-1.82) for a 15 MUg/m3 increase in NO2 and
during the first-year of life with adjusted OR = 1.36 (95% CI: 1.03-1.78) and
1.54 (95% CI: 1.07-2.21) respectively for 11 and 12 MUg/m3 increase in NO2 and
PM10. The association of early life exposure to TRAP with childhood AR was robust
by adjusting for other air pollutants and timing windows. Sensitivity analysis
indicated that the association was higher in the children who are male, young,
with genetic predisposition by parental atopy, and living in damp houses.
CONCLUSION: Early life exposure to traffic-related air pollutant during pregnancy
and first-year of life may contribute to childhood AR.
PMID- 27888995
TI - Efficacy of non-invasive ventilation as a rescue therapy for relieving dyspnea in
patients with stable severe COPD.
AB - Exertional Dyspnea is a troublesome symptom in chronic obstructive pulmonary
disease (COPD) even after optimal therapy, which is a physiological and
perceptional burden to limit their activities. Non-invasive ventilation (NIV)
might provide rescue therapy for this population to relieve exertional dyspnea.
This was a randomized crossover study in 18 patients with stable severe COPD.
Exertional dyspnea was induced with maximal symptom-limited incremental cycle
exercise. Then the patients would randomly receive oxygen or NIV plus oxygen
therapy. Patients were crossed to another therapy in the second day. During the
whole process, breathing pattern were monitored continuously until complete
recovery. At every 30s interval, inspiratory capacity (IC) and Borg scale were
assessed. Changes were compared between two interventions. Compared with oxygen
therapy, NIV plus oxygen therapy resulted in increase of tidal volume and minute
ventilation, decrease in dyspnea intensity at isotime (reduction of 1.0 +/- 2.0
Borg units, p < 0.05) and a tendency but not statistically significant shortening
in total dyspnea recovery time (326.2 +/- 132.0s vs 356.5 +/- 156.9s, p = 0.225).
These improvements were negatively correlated with baseline FEV1 (r = -0.617, p <
0.01). Subjects were divided into responders (n = 9) with dyspnea recovery time
shortening > 30s or non-responders. Responder subgroup had significantly poorer
pulmonary function in FEV1, FEV1%, IC than non-responder subgroup, indicating
that NIV is effective as rescue therapy for exertional dyspnea in stable COPD
with poorer pulmonary function. NIV as rescue therapy could help relieve dyspnea
after exercise in patients with stable severe COPD with a poor pulmonary
function.
PMID- 27888993
TI - Identifying biomarkers for asthma diagnosis using targeted metabolomics
approaches.
AB - BACKGROUND: The diagnosis of asthma in children is challenging and relies on a
combination of clinical factors and biomarkers including methacholine challenge,
lung function, bronchodilator responsiveness, and presence of airway
inflammation. No single test is diagnostic. We sought to identify a pattern of
inflammatory biomarkers that was unique to asthma using a targeted metabolomics
approach combined with data science methods. METHODS: We conducted a nested case
control study of 100 children living in a peri-urban community in Lima, Peru. We
defined cases as children with current asthma, and controls as children with no
prior history of asthma and normal lung function. We further categorized
enrollment following a factorial design to enroll equal numbers of children as
either overweight or not. We obtained a fasting venous blood sample to
characterize a comprehensive panel of targeted markers using a metabolomics
approach based on high performance liquid chromatography-mass spectrometry.
RESULTS: A statistical comparison of targeted metabolites between children with
asthma (n = 50) and healthy controls (n = 49) revealed distinct patterns in
relative concentrations of several metabolites: children with asthma had
approximately 40-50% lower relative concentrations of ascorbic acid, 2
isopropylmalic acid, shikimate-3-phosphate, and 6-phospho-d-gluconate when
compared to children without asthma, and 70% lower relative concentrations of
reduced glutathione (all p < 0.001 after Bonferroni correction). Moreover, a
combination of 2-isopropylmalic acid and betaine strongly discriminated between
children with asthma (2-isopropylmalic acid <= 13 077 normalized counts/second)
and controls (2-isopropylmalic acid > 13 077 normalized counts/second and betaine
<= 16 47 121 normalized counts/second). CONCLUSIONS: By using a metabolomics
approach applied to serum, we were able to discriminate between children with and
without asthma by revealing different metabolic patterns. These results suggest
that serum metabolomics may represent a diagnostic tool for asthma and may be
helpful for distinguishing asthma phenotypes.
PMID- 27888996
TI - The effects of chronic obstructive pulmonary disease self-management
interventions on improvement of quality of life in COPD patients: A meta
analysis.
AB - This article aimed to analyse the outcome of self-management randomised control
trials and their impact upon chronic obstructive pulmonary disease patients'
health outcomes using meta-analysis approach. PubMed, Scopus, CINAHL, Web of
Science databases and Cochrane Library, were searched for articles between 1990
and December 2015 by two researchers. Self-management programs significantly
improved patients' quality of life across all domains of the St George
Respiratory Questionnaire (SGRQ) (activity -2.21 (95% CI: -3.61 to -0.80), p =
0.002; impact -3.30 (95% CI: -5.28 to -1.32), p = 0.001; symptoms -3.12 (95% CI:
4.94 to -1.03), p = 0.001; total -3.32 (95% CI: -4.60 to -2.04), p < 0.001), the
six-minute walk test (-30.50 (95% CI: 3.32 to 57.68), p = 0.028), and across
three domains of the chronic obstructive pulmonary disease self-efficacy scale
(negative effect -1.22 (95% CI: -2.31 to -0.14), p = 0.027; physical exertion
1.27 (95% CI: -2.52 to -0.02), p = 0.047; behavioural risk factors -0.58 (95% CI:
-0.99 to -0.16), p = 0.007). Subgroup analyses revealed that chronic obstructive
pulmonary disease education (p < 0.01) was the strongest component with
improvements on all aspects of the SGRQ and the six-minute walk test. Providing
an exacerbation action plan significantly improved SGRQ activity and impact
scores whilst exercise information had a positive effect on activity and symptom
scores (p < 0.05). Interventions with a duration of less than five weeks (p <
0.05) significantly improved symptom and activity scores, in addition to the
number of patient hospital admissions. Thus, self-management interventions are
effective at improving the health outcomes of chronic obstructive pulmonary
disease patients, especially when disease education is provided.
PMID- 27888997
TI - Comprehensive assessment of myositis-specific autoantibodies in
polymyositis/dermatomyositis-associated interstitial lung disease.
AB - OBJECTIVES: Myositis-specific autoantibodies (MSAs) are associated with clinical
phenotypes in polymyositis/dermatomyositis (PM/DM). No study has investigated the
clinical features based on comprehensive MSA assessment in PM/DM-associated
interstitial lung disease (ILD). We aimed to determine the practical significance
of MSAs in PM/DM-ILD. METHODS: Sixty consecutive PM/DM-ILD patients were
retrospectively analysed. Serum MSAs were comprehensively measured using
immunoprecipitation assay. Clinical features and prognosis were compared among
MSA subgroups. RESULTS: Twenty-six (43.3%) PM/DM-ILD patients were anti-aminoacyl
tRNA-synthetase antibody-positive (anti-ARS-positive), 15 (25.0%) were anti
melanoma differentiation-associated gene 5 antibody-positive (anti-MDA5
positive), 3 (5%) were anti-signal recognition particle antibody-positive, 1
(1.7%) was anti-transcriptional intermediary factor 1-gamma antibody-positive,
and 15 (25%) were MSA-negative. There were significant differences in clinical
features, including ILD form, serum ferritin and surfactant protein-D levels at
ILD diagnosis, and high-resolution CT pattern among the anti-ARS-positive, anti
MDA5-positive and MSA-negative groups. The anti-MDA5-positive group showed the
lowest 90-day survival rate (66.7%, anti-MDA5-positive; 100%, anti-ARS-positive;
100%, MSA-negative; P < 0.01). The anti-ARS-positive group had the highest 5-year
survival rate (96%, anti-ARS-positive; 66.7%, anti-MDA5-positive; 68.3%, MSA
negative, P = 0.02). Univariate analysis revealed that anti-ARS antibody was
associated with better prognosis (HR = 0.45; 95% CI, 0.18-0.89; P = 0.02),
whereas anti-MDA5 antibody was associated with poorer prognosis (HR = 1.90; 95%
CI, 1.02-3.39; P = 0.04). CONCLUSIONS: The comprehensive MSA assessment
demonstrated that anti-ARS and anti-MDA5 antibodies were two major MSAs, and the
clinical features differed depending on MSA status in PM/DM-ILD. Assessment of
anti-ARS and anti-MDA5 antibodies is practically useful for predicting clinical
course and prognosis in PM/DM-ILD patients.
PMID- 27888998
TI - Jay D. Iams, MD, AJOG Editor.
PMID- 27888999
TI - Transabdominal ultrasound is appropriate.
AB - Preterm birth remains a major cause of perinatal morbidity and mortality. A short
cervix is strongly associated with spontaneous preterm birth. Professional
organizations support cervical length screening for singleton gestations with a
prior spontaneous preterm birth and second-trimester cervical length measurements
between 16-24 weeks. All interventions used to decrease the risk of preterm birth
in women with a short cervix are based on clinical trials that used transvaginal
cervical length measurement, but transabdominal ultrasound has been shown to
correlate well with transvaginal measurement in some observational studies.
Transvaginal cervical length measurement is more accurate and more reliably
obtained than the transabdominal approach. Conversely, transabdominal ultrasound
could have the advantage of ease of implementation and, in general, is perceived
by patients to be associated with less discomfort. Currently, there is no
randomized clinical study that compares head-to-head the effectiveness of
transvaginal vs transabdominal ultrasound for preterm birth risk screening. This
point/counterpoint article summarizes the pros and cons of the 2 ultrasound
approaches and debates whether transvaginal ultrasound should be used exclusively
or if transabdominal ultrasound can be incorporated in cervical length screening
for prevention of preterm birth.
PMID- 27889000
TI - Not transabdominal!
AB - Preterm birth remains a major cause of perinatal morbidity and mortality. A short
cervix is strongly associated with spontaneous preterm birth. Professional
organizations support cervical length screening for singleton gestations with a
prior spontaneous preterm birth and second-trimester cervical length measurements
between 16-24 weeks. All interventions used to decrease the risk of preterm birth
in women with a short cervix are based on clinical trials that used transvaginal
cervical length measurement, but transabdominal ultrasound has been shown to
correlate well with transvaginal measurement in some observational studies.
Transvaginal cervical length measurement is more accurate and more reliably
obtained than the transabdominal approach. Conversely, transabdominal ultrasound
could have the advantage of ease of implementation and, in general, is perceived
by patients to be associated with less discomfort. Currently, there is no
randomized clinical study that compares head-to-head the effectiveness of
transvaginal vs transabdominal ultrasound for preterm birth risk screening. This
point/counterpoint article summarizes the pros and cons of the 2 ultrasound
approaches and debates whether transvaginal ultrasound should be used exclusively
or if transabdominal ultrasound can be incorporated in cervical length screening
for prevention of preterm birth.
PMID- 27889001
TI - Treatment choice and psychiatry.
PMID- 27889002
TI - The importance of rare diseases for psychiatry.
PMID- 27889003
TI - What's in a name? Reclaiming mental illness.
PMID- 27889004
TI - Ecstasy research: will increasing observational data aid our understanding of
MDMA?
PMID- 27889005
TI - Arbitrary classification of hospital policy regarding open and locked doors -
Authors' reply.
PMID- 27889006
TI - Arbitrary classification of hospital policy regarding open and locked doors.
PMID- 27889007
TI - Nalmefene for alcohol dependence: a NICE decision?
PMID- 27889008
TI - Unaiza Niaz-touching every corner of psychiatry.
PMID- 27889009
TI - A wonderful lie.
PMID- 27889010
TI - Neuropsychiatric aspects of concussion.
AB - Over the past decade, concussion has become the most widely discussed injury in
contact sports. However, concussions also occur in several other settings, such
as non-contact sports, elderly individuals, young children, military personnel,
and victims of domestic violence. Concussion is frequently undiagnosed as a cause
of psychiatric morbidity, especially when the patient has no history of loss of
consciousness or direct head trauma. Almost all of the extant literature focuses
on traumatic brain injury and assumes that concussion is merely a mild form of
traumatic brain injury, which has resulted in a lack of understanding about what
concussion is, and how to diagnose, monitor, and treat its varied
neuropsychiatric symptoms. In this Review, we address key issues so that the
psychiatric clinician can better understand and treat patients with a clinical
phenotype that might be the direct result of, or be exacerbated by, concussion.
Future research needs to focus on prospective clinical trials in all affected
patient populations (ie, those affected by concussion and those affected by
various degrees of traumatic brain injury), the identification of reliable
biomarkers that can be used to assist with diagnosis and treatment response, and
the development of effective treatment interventions. Clearly differentiating
concussion from traumatic brain injury is essential to achieve reliable and
clinically relevant outcomes.
PMID- 27889012
TI - Corrections.
PMID- 27889011
TI - Limb amputation and other disability desires as a medical condition.
AB - Some people have a profound dissatisfaction with what is considered an able
bodied state by most others. These individuals desire to be disabled, by
conventional standards. In this Review, we integrate research findings about the
desire for a major limb amputation or paralysis (xenomelia). Neuropsychological
and neuroimaging explorations of xenomelia show functional and structural
abnormalities in predominantly right hemisphere cortical circuits of higher-order
bodily representation, including affective and sexual aspects of corporeal
awareness. These neural underpinnings of xenomelia do not necessarily imply a
neurological cause, and a full understanding of the condition requires
consideration of the interface between neural and social contributions to the
bodily self and the concept of disability. Irrespective of cause, disability
desires are accompanied by a disabling bodily dysphoria, in many respects similar
to gender dysphoria, and we suggest that they should be considered a mental
disorder.
PMID- 27889013
TI - Methicillin-resistant Staphylococcus aureus infections: A review of the currently
available treatment options.
AB - This review is the result of discussions that took place at the 5th MRSA Working
Group Consensus Meeting and explores the possible treatment options available for
different types of infections due to methicillin-resistant Staphylococcus aureus
(MRSA), focusing on those antibiotics that could represent a valid alternative to
vancomycin. In fact, whilst vancomycin remains a viable option, its therapy is
moving towards individualised dosing. Other drugs, such as the new
lipoglycopeptides (oritavancin, dalbavancin and telavancin) and fifth-generation
cephalosporins (ceftaroline and ceftobiprole), are showing good in vitro potency
and in vivo efficacy, especially for patients infected with micro-organisms with
higher vancomycin minimum inhibitory concentrations (MICs). Tedizolid is an
attractive agent for use both in hospital and community settings, but the post
marketing data will better clarify its potential. Daptomycin and linezolid have
shown non-inferiority to vancomycin in the treatment of MRSA bacteraemia and non
inferiority/superiority to vancomycin in the treatment of hospital-acquired
pneumonia. Thus, several options are available, but more data from clinical
practice, especially for invasive infections, are needed to assign specific roles
to each antibiotic and to definitely include them in the new antibacterial
armamentarium.
PMID- 27889014
TI - [The role of alpha blockers in the treatment of lower urinary tract
symptoms/benign prostatic hyperplasia: are all the same? Silodosin in "real
life"].
AB - The lower urinary tract symptoms (LUTS) are very common in men, particularly in
people over 65 years. Benign prostatic hyperplasia (BPH) is the pathology most
associated with LUTS in males, and is a common cause of impaired quality of life.
Alpha blockers monotherapy represent the treatment of choice in patients with
moderate-severe LUTS secondary to BPH. Silodosin is currently the most alpha1A
uroselective alpha blocker, uroselectivity which has been confirmed in several
studies both in vitro and in vivo. In this paper the main benefits of silodosin
are analyzed in both phase III clinical trials (standard approach) as in "real
life" (analyzing the study SiRE [Silodosin in Real-life Evaluation], phase IV
study), to validate the test data recording and confirm the positive benefit-risk
profile of silodosin in a context that can reflect more closely the clinical
practice.
PMID- 27889015
TI - Eye Health Needs to Be a Population Health Priority.
PMID- 27889016
TI - Is it necessary to perform routine appendectomy for mucinous ovarian neoplasms? A
retrospective study and meta-analysis.
AB - OBJECTIVES: To evaluate whether routine appendectomy is necessary in all patients
with mucinous borderline ovarian tumor (mBOT) or mucinous ovarian cancer (MOC)
who undergo gynecologic surgery. METHODS: The database of Qilu Hospital was
searched for women who underwent appendectomy in a primary surgery for an ovarian
tumor between June 2005 and June 2015 and whose final diagnosis was mBOT, MOC or
primary appendiceal tumor. A retrospective review was performed, as well as a
meta-analysis of the literature to further validate the findings. RESULTS:
Seventy-one patients, 29 with mBOT and 42 with malignant mucinous tumors
(including 40 with primary MOC and 2 with appendiceal mucinous adenocarcinoma),
underwent appendectomy at the time of primary surgery. Among those with mBOT, two
(6.9%) appendices were grossly abnormal and pathologically diagnosed with
appendiceal implantation by mBOT. In the 42 patients with malignant disease, five
(12%) appendices had a grossly abnormal appearance, one (2.4%) was diagnosed with
an appendiceal metastasis from MOC and two (4.7%) were primary appendiceal
adenocarcinoma. For grossly normal appendices, only one (2.4%) was confirmed to
have microscopic metastasis from MOC. The meta-analysis included a total of 914
mBOT and MOC cases with appendectomies, including our current cases. The
estimated rate of overall appendiceal pathology is 4.97%, and the pooled odds
ratio (OR) showed statistical differences between MOC and mBOT (MOC vs. mBOT,
OR=2.15, P<0.05). The estimated malignant pathology rate in macroscopically
normal vs. abnormal appendices is 1.4% and 59%, respectively, with an estimated
OR up to 97.5 (95% CI 28.1-338.5, P<0.05). CONCLUSION: There is not sufficient
evidence to support a routine appendectomy for patients with a grossly normal
appendix in mBOT and MOC. A careful intra-operative exploration of the appendix
is crucial, but appendectomy is only warranted when the appendix is abnormal.
PMID- 27889018
TI - Posterior Fossa Tumors.
AB - Pediatric brain tumors are the leading cause of death from solid tumors in
childhood. The most common posterior fossa tumors in children are
medulloblastoma, atypical teratoid/rhabdoid tumor, cerebellar pilocytic
astrocytoma, ependymoma, and brainstem glioma. Location, and imaging findings on
computed tomography (CT) and conventional MR (cMR) imaging may provide important
clues to the most likely diagnosis. Moreover, information obtained from advanced
MR imaging techniques increase diagnostic confidence and help distinguish between
different histologic tumor types. Here we discuss the most common posterior fossa
tumors in children, including typical imaging findings on CT, cMR imaging, and
advanced MR imaging studies.
PMID- 27889019
TI - Extraparenchymal Lesions in Pediatric Patients.
AB - Extraparenchymal lesions of childhood include neoplastic and nonneoplastic
entities. Lesions affecting children are different from the most common entities
affecting adults. Although there are imaging features that are highly suggestive
of extraparenchymal origin, it can be difficult to distinguish extraparenchymal
from intraparenchymal lesions. MR imaging is the examination of choice for the
evaluation of extraparenchymal lesions given greater sensitivity and anatomic
detail. Syndromic associations should be considered, especially for unusual
lesions in the pediatric age group such as meningioma and schwannoma.
PMID- 27889017
TI - Staging procedures in patients with mucinous borderline tumors of the ovary do
not reveal peritoneal or omental disease.
AB - OBJECTIVES: Staging in case of a borderline tumor of the ovary (BOT) is a
controversial issue. Upstaging is not uncommon, but this occurs especially with
presumed stage I serous borderline tumors. There are only a few documented cases
of BOTs of non-serous histology that were not confined to the ovary. The aim of
this study was to assess the incidence of non-invasive and invasive implants in
the omentum and other (extra)pelvic peritoneal surfaces in patients with a
mucinous BOT (mBOT). METHODS: A retrospective cohort study was performed in three
hospitals in the Netherlands. All patients with a histopathological diagnosis of
mBOT diagnosed from January 1st 1990 to December 1st 2015 were identified and
included when the inclusion criteria were met. RESULTS: In total, 74 patients
were included. Of these 74 patients, 46 (62.2%) underwent a staging procedure. In
12 (26.1%) patients, only omental tissue was obtained, in 32 (69.6%) patients,
omental tissue and peritoneal biopsies were obtained and in two (4.3%) patients,
only peritoneal biopsies were obtained. No implants were seen upon microscopic
examination in any of the patients. Two patients (3%) developed a recurrence.
CONCLUSIONS: Because no extra-ovarian disease was found, staging procedures in
the case of an mBOT may be omitted. However, the actual perioperative decision
for staging or not should be taken in the context of a frozen section diagnosis,
which is not always accurate and straightforward. Recurrence with malignant
disease is rare after mBOT. The value of post-treatment surveillance seems
limited after bilateral salpingo-oophorectomy.
PMID- 27889020
TI - Tumor and Tumorlike Masses in Pediatric Patients that Involve Multiple Spaces.
AB - There are several tumors and tumorlike masses involving multiple spaces in the
pediatric brain. Accurate diagnosis of tumors and distinguishing them from
tumorlike masses is an important aspect in the diagnostic workup and plays a key
role for management and prognosis. Neuroimaging plays an important role in (1)
identification of a brain mass, (2) determining its location, (3) demonstrating
involvement of a single space versus multiple spaces, and (4) distinguishing a
tumor from tumorlike masses.
PMID- 27889022
TI - Advanced MR Imaging in Pediatric Brain Tumors, Clinical Applications.
AB - Advanced MR imaging techniques, such as spectroscopy, perfusion, diffusion, and
functional imaging, have improved the diagnosis of brain tumors in children and
also play an important role in defining surgical as well as therapeutic responses
in these patients. In addition to the anatomic or structural information gained
with conventional MR imaging sequences, advanced MR imaging techniques also
provide physiologic information about tumor morphology, metabolism, and
hemodynamics. This article reviews the physiology, techniques, and clinical
applications of diffusion-weighted and diffusion tensor imaging, MR spectroscopy,
perfusion MR imaging, susceptibility-weighted imaging, and functional MR imaging
in the setting of neuro-oncology.
PMID- 27889021
TI - Neuroimaging of Peptide-based Vaccine Therapy in Pediatric Brain Tumors: Initial
Experience.
AB - The potential benefits of peptide-based immunotherapy for pediatric brain tumors
are under investigation. Treatment-related heterogeneity has resulted in
radiographic challenges, including pseudoprogression. Conventional MR imaging has
limitations in assessment of different forms of treatment-related heterogeneity,
particularly regarding distinguishing true tumor progression from efficacious
treatment responses. Advanced neuroimaging techniques, including diffusion
magnetic resonance (MR), perfusion MR, and MR spectroscopy, may add value in the
assessment of treatment-related heterogeneity. Observations suggest that recent
delineation of specific response criteria for immunotherapy of adult brain tumors
is likely relevant to the pediatric population and further validation in
multicenter pediatric brain tumor peptide-based vaccine studies is warranted.
PMID- 27889024
TI - Brain Tumors in the Neonate.
AB - Brain tumors can develop in the prenatal and neonatal time periods. Neuroimaging
studies are crucial for the early detection of prenatal and neonatal brain
tumors. Imaging allows for characterization of morphology, as well as the
detection of hydrocephalus, local invasion, and distant spread. The imaging
features of the more common neonatal brain tumors, including teratomas, choroid
plexus tumors, ATRTs, and neoplasm mimics are described.
PMID- 27889023
TI - Supratentorial Tumors in Pediatric Patients.
AB - The breadth of tumors that can arise in the supratentorial brain in children is
extensive. With the exception of those that result in seizures and the highly
malignant histologies, supratentorial tumors may come to medical attention later
compared with infratentorial tumors, as they are less commonly associated with
ventricular obstruction. This article presents an overview of the neuroimaging
characteristics of these entities, with particular attention to relevant features
that may aid in narrowing the differential diagnosis, including correlation with
demographics and clinical presentation.
PMID- 27889025
TI - Pineal Region Masses in Pediatric Patients.
AB - A review of pediatric pineal region tumors is provided with emphasis on advanced
imaging techniques. The 3 major categories of pineal region tumors include germ
cell tumors, pineal parenchymal tumors, and tumors arising from adjacent
structures such as tectal astrocytomas. The clinical presentation, biochemical
markers, and imaging of these types of tumors are reviewed.
PMID- 27889026
TI - Imaging of the Sella and Parasellar Region in the Pediatric Population.
AB - Masses in the sella and parasellar region comprise about 10% of all pediatric
brain tumors but type and frequency differs from those in adults. Imaging is
critical for diagnosis and characterization of these lesions. By assessing the
site of origin, signal and contrast enhancement characteristics, and the presence
or absence of characteristic patterns, differential diagnosis can narrow the
possibilities. The clinical presentation is often characteristic for lesion type
and should be considered. This article summarizes the characteristic imaging
features of the most frequent pediatric tumors and tumor-mimicking lesions in
children in this region.
PMID- 27889028
TI - Pediatric Brain Tumors Update: Imaging Characterization of Pediatric Brain Tumors
in the Central Nervous System Including Findings on Advanced MR Imaging
Techniques.
PMID- 27889027
TI - Pediatric Brain Tumors.
PMID- 27889029
TI - Patient Perspective and Personal Journey of Treating a "Rare Cancer".
AB - I was diagnosed with rare anal cancer. As overwhelming as the diagnosis was, it
was complicated by limited treatment infrastructure and clinical research, side
effect management, and lack of awareness and support organizations. Anal cancer
encompasses so much more than receiving prescribed treatment and focusing on
recovery. It requires patients to become experts on their disease, its treatment,
and side effects. Even more challenging is finding support and advocacy. My
experience has been difficult, complex, and arduous. It is hoped that this
article will provide a voice for the many that have been silenced by stigma of
anal cancer.
PMID- 27889030
TI - Locally Recurrent Disease Related to Anal Canal Cancers.
AB - Surgery for anal cancer is usually reserved for patients with persistent disease
or local recurrence after definitive chemoradiation therapy. Patients with local
recurrence should be re-evaluated for evidence of metastatic disease using
positron emission tomography-computed tomography, and the local anatomy should be
delineated with MRI. Eligible patients should undergo tailored surgery with the
aim of achieving an R0 resection. Management is best undertaken within a
specialized multidisciplinary setting. Careful patient selection and shared
decision making are paramount for achieving acceptable patient-centered outcomes.
PMID- 27889032
TI - Metastatic Anal Cancer and Novel Agents.
AB - Squamous cell carcinoma of the anal canal (SCCA) represents an orphan disease.
Although prior infection with human papilloma virus is associated with the
development of SCCA, knowledge of this relationship has proven ineffective in
identifying therapeutic agents that have activity in the management of metastatic
SCCA. Combination chemotherapy with traditional cytotoxic agents has demonstrated
efficacy in multiple small series. However, immune checkpoint blockade agents
have demonstrated efficacy for patients with refractory metastatic SCCA; these
agents hold promise in the horizon for patients with metastatic SCCA. Clinical
trials should be considered for oncologists to manage patients with metastatic
SCCA.
PMID- 27889031
TI - Surveillance of Anal Canal Cancers.
AB - Anal squamous cell cancer is most frequently a locoregional disease that is
amenable to curative therapy in a majority of fit patients. Complete response
rates after chemoradiotherapy (CRT) are good, with up to 75% of patients with no
evidence of relapse on surveillance. Relapse is most frequently locoregional and
is often amendable to salvage surgery with curative intent. Effective
surveillance attempts to improve outcomes by identifying recurrent or persistent
disease early, managing both acute and late toxicities, and offering reassurance
to patients. This article explores the rationale and evidence for surveillance
programs after definitive CRT.
PMID- 27889033
TI - Uncommon Anal Neoplasms.
AB - Uncommon neoplasms of the anal canal are associated with significant diagnostic
dilemma in clinical practice and a high index of suspicion and pathologic
expertise is needed. The incidence is likely to increase, particularly of small,
incidental lesions found because of use of more frequent colonoscopy and high
definition MRI. Generally treatment follows that of the same histologic subtype
in other anatomic location. Surgical intervention is the cornerstone for cure in
early/localized disease; however, removal of the anal canal is associated with
significant morbidities and quality of life issues. A centralized global
registry/database established under the auspices of the International Rare Care
Initiative collaboration would be useful.
PMID- 27889035
TI - Anal Dysplasia.
AB - Anal dysplasia is a cytopathology term describing specific squamous cell
morphology and represents a varying degree of benign changes. Often a source of
confusion, the current iteration includes two types, low-grade and high-grade,
and carries significant clinical implications. This article updates readers on
the current definition of anal dysplasia, describes its incidence and prevalence,
defines high-risk populations, and highlights diagnostics, treatment, and long
term management strategies for patients with anal dysplasia.
PMID- 27889036
TI - Diagnosis and Diagnostic Imaging of Anal Canal Cancer.
AB - Anal canal cancer is an uncommon malignancy but one that is often curable with
optimal therapy. Owing to its unique location, histology, risk factors, and usual
presentation, a careful diagnostic approach is warranted. This approach includes
an excellent history and physical examination, including digital rectal
examination, laboratory data, and comprehensive imaging. Anal cancer staging and
formulation of a treatment plan depends on accurate imaging data. Modern
radiographic techniques have improved staging quality and accuracy, and a
thorough knowledge of anal anatomy is paramount to the optimal multidisciplinary
treatment of this disease.
PMID- 27889037
TI - Pathology of Anal Cancer.
AB - Anal canal cancer is rather an uncommon disease but its incidence is increasing.
Squamous cell carcinoma (SCC) is the most frequent primary anal neoplasm and can
encompass a variety of morphologies. HPV infection has a key role in precancerous
lesions and cancer development by the production of E6 and E7 oncoproteins. Anal
squamous precancerous lesions are now classified according to the same criteria
and terminology as their cervical counterparts. The p16 expression by
immunohistochemistry is a surrogate marker for human papilloma virus (HPV). Many
other tumor types can arise in the anal canal, including adenocarcinomas,
neuroendocrine tumors, malignant melanomas, lymphomas and various types of
mesenchymal tumors. For differential diagnosis, immunostaining markers such as
CK5/6 and p63 can be used to distinguish SCC and CK7 for adenocarcinoma. Other
classical panels can also be applied as in other locations. Currently, there are
no biomarkers able to predict prognosis or response to treatment in clinical
practice.
PMID- 27889034
TI - Human Immunodeficiency Virus/AIDS, Human Papillomavirus, and Anal Cancer.
AB - Anal cancer is an increasingly common non-AIDS-defining cancer among individuals
infected with the human immunodeficiency virus (HIV). It is associated with human
papillomavirus (HPV). HPV16 is the most common genotype detected in anal cancers.
The HPV types detected in anal cancer are included in the 9-valent vaccine. HPV
vaccines have demonstrated efficacy in reducing anal precancerous lesions in HIV
infected individuals. Standard treatment has been fluorouracil and mitomycin (or
cisplatin) plus radiation. Continued studies are needed to test new treatment
strategies in HIV-infected patients with anal cancer to determine which treatment
protocols provide the best therapeutic index.
PMID- 27889038
TI - Treatment of the Primary Tumor in Anal Canal Cancers.
AB - Radical concurrent chemoradiotherapy with 5-fluorouracil and mitomycin C (MMC)
remains the standard of care for squamous cell carcinoma of the anus. Phase III
trials over 2 decades have used combined radiation doses of 50 to 60 Gy with
concurrent fluoropyrimidines, MMC, or cisplatin in various doses and schedules.
Modern radiotherapy techniques allow the production of highly conformal plans,
decreasing radiation doses to the organs at risk and ensuring a shorter overall
treatment time without the need for treatment breaks. These techniques offer the
potential to improve compliance and even escalate doses of radiation.
PMID- 27889039
TI - Epidemiology of Anal Canal Cancer.
AB - Anal cancer is a rare malignancy, although its incidence has been increasingly in
recent decades. This article discusses risk factors for anal cancer and how these
risk factors affect the changing demographics of this disease.
PMID- 27889041
TI - Anal Cancer 2016.
PMID- 27889040
TI - Evolution and Management of Treatment-Related Toxicity in Anal Cancer.
AB - Over the past several decades, clinical trials have demonstrated improved disease
related outcomes in the definitive treatment of anal cancer. Although treatment
with radiation and concurrent chemotherapy results in high rates of cure,
significant acute and late toxicities are seen. This review focuses on the
evolution of treatment-related toxicity for anal cancer. Management of these
adverse effects is reviewed, as are future directions in anal cancer treatment
and their impact on toxicity.
PMID- 27889042
TI - Preface.
PMID- 27889044
TI - Leadless Pacemakers.
AB - Leadless pacing is an emerging technology with the potential to significantly
improve outcomes associated with the need for long-term pacing. Specifically, the
major advantage of leadless systems is abolishing the need for transvenous leads
and subcutaneous pockets, both of which account for most adverse events
associated with traditional pacemakers. Two leadless pacemakers are currently
available: the Nanostim (leadless cardiac pacemaker [LCP]) device (St. Jude
Medical, Sylmar, California) and the Micra Transcatheter pacing system
(Medtronic, Minneapolis, Minnesota). These 2 pacemakers have shown promising
results in clinical trials. In conclusion, in this review we summarize the
results of the 2 investigational device exemption trials and compare the pros and
cons of these devices to traditional transvenous pacemakers.
PMID- 27889043
TI - PR-Interval Components and Atrial Fibrillation Risk (from the Atherosclerosis
Risk in Communities Study).
AB - Reports on the association between the PR-interval and atrial fibrillation (AF)
are conflicting. We hypothesized that inconsistencies stem from that fact that
the PR-interval represents a composite of several distinct components. We
examined the associations of the PR-interval and its components (P-wave onset to
P-wave peak duration, P-wave peak to P-wave end duration, and PR-segment) with
incident AF in 14,924 participants (mean age 54 +/- 5.8 years; 26% black; 55%
women) from the Atherosclerosis Risk In Communities study. The PR-interval and
its components were automatically measured at baseline (1987 to 1989) from
standard 12-lead electrocardiograms. PR-interval >200 ms was considered prolonged
and values above the ninety-fifth percentile defined abnormal PR-interval
components. AF was ascertained during follow-up through December 31, 2010. Over a
median follow-up of 21.2 years, 1,985 participants (13%) developed AF. Prolonged
PR-interval was associated with an increased risk of AF (hazard ratio [HR] 1.19,
95% confidence interval [CI] 1.02 to 1.40). However, PR-interval components
showed varying levels of association with AF (P-wave onset to P-wave peak
duration: HR 1.57, 95% CI 1.31 to 1.88; P-wave peak to P-wave end duration: HR
1.20, 95% CI 0.99 to 1.46; and PR-segment: HR 1.05, 95% CI 0.85 to 1.29). In
addition, the components of the PR-interval had weak-to-moderate correlation with
each other (correlation r ranged from -0.44 to 0.06). In conclusion, our findings
suggest the PR-interval represents a composite of distinct components that are
not uniformly associated with AF. Without considering the contribution of each
component, inconsistent associations between the PR-interval and AF are
inevitable.
PMID- 27889045
TI - Technology to Help Promote Physical Activity.
PMID- 27889046
TI - Ballooning Pattern and Related Outcome in Takotsubo Syndrome.
PMID- 27889047
TI - Sex differences in the association between stroke and bone mineral density in
elderly Koreans: The Korean National Health and Nutrition Examination Survey,
2008-2010.
AB - OBJECTIVES: The aim of this study was to investigate whether osteoporosis is more
prevalent in elderly Korean people who have had a stroke and whether the
association differs by sex. STUDY DESIGN: A total of 3806 elderly subjects (1951
men and 1855 women) from the Korea National Health and Nutrition Examination
Survey were included in this study. Stroke history was determined by self
administered questionnaire. Bone mineral density (BMD) was measured at the lumbar
spine, total hip, and neck of the femur using dual-energy X-ray absorptiometry.
It was categorized as normal, osteopenia, or osteoporosis. RESULTS: In men who
had had a stroke, the prevalence of osteoporosis was greater than that of both
osteopenia and normal BMD, and the prevalence of osteopenia was greater than that
of normal BMD (p<0.001). However, there were no significant differences in BMD
level among women who had had a stroke. Men who had had a stroke undertook less
vigorous exercise and moderate-or-vigorous exercise than did men who had not had
a stroke (p=0.002 and 0.030, respectively). After adjusting for all covariates,
the mean BMD at the lumbar spine, total hip and neck of the femur was lower in
men who had had a stroke than in men who had not (p=0.034, 0.002, and 0.005,
respectively). There were no significant differences in mean BMD at any of the
three sites between women who had and women who had not had a stroke. CONCLUSION:
Men who have had a stroke have a higher prevalence of osteopenia and
osteoporosis, and have lower BMD at the total hip and femur neck than men who
have not had a stroke.
PMID- 27889048
TI - The use of high-dose estrogens for the treatment of breast cancer.
AB - Estrogens are known to stimulate the growth of breast cancer but they are also an
effective treatment for this disease (this has been termed the 'estrogen
paradox'). The fact that estrogens can be an effective treatment for breast
cancer is something that has almost been forgotten, whereas the fear for
estrogens remains. This paper reviews the use of estrogens for the treatment of
breast cancer and identifies possible applications. The data summarised in this
review demonstrate that high-dose estrogens are effective for the treatment of
advanced breast cancer, both as first-line treatment as well as for treatment
after occurrence of endocrine resistance to TAM and AIs. Essential for efficacy
is an extended period of estrogen deprivation before the tumour is subject to
estrogen treatment (the gap hypothesis). Research on the mechanism of action has
shown that apoptosis induced by estrogens is regulated via the estrogen receptor
and growth factor signalling pathways. High-dose estrogens have a negative safety
image, especially in terms of side-effects and increased rates of cardiovascular
disease, but the safety data reviewed in this paper do not give rise to major
concerns. Taking into account their side-effect profile together with their
observed clinical efficacy, high-dose estrogens should be considered a valuable
alternative to chemotherapy in selected patients.
PMID- 27889049
TI - Web-based interventions for menopause: A systematic integrated literature review.
AB - Advances in computer and Internet technologies have allowed health care providers
to develop, use, and test various types of Web-based interventions for their
practice and research. Indeed, an increasing number of Web-based interventions
have recently been developed and tested in health care fields. Despite the great
potential for Web-based interventions to improve practice and research, little is
known about the current status of Web-based interventions, especially those
related to menopause. To identify the current status of Web-based interventions
used in the field of menopause, a literature review was conducted using multiple
databases, with the keywords "online," "Internet," "Web," "intervention," and
"menopause." Using these keywords, a total of 18 eligible articles were analyzed
to identify the current status of Web-based interventions for menopause. Six
themes reflecting the current status of Web-based interventions for menopause
were identified: (a) there existed few Web-based intervention studies on
menopause; (b) Web-based decision support systems were mainly used; (c) there was
a lack of detail on the interventions; (d) there was a lack of guidance on the
use of Web-based interventions; (e) counselling was frequently combined with Web
based interventions; and (f) the pros and cons were similar to those of Web-based
methods in general. Based on these findings, directions for future Web-based
interventions for menopause are provided.
PMID- 27889050
TI - Frailty and multimorbidity: Two related yet different concepts.
AB - The extension of life expectancy is a global phenomenon. The growth in the ageing
population has created a new health scenario in which there is a higher
prevalence of frailty and multimorbidity. The attention received by both
conditions derives from their strong association with disability,
hospitalization, and death. The aim of the present paper is to conceptualize and
differentiate these terms and to discuss their interrelations. We conclude that,
yet related, they represent two different clinical conditions. Frailty identifies
the increased vulnerability to stressors due to a dynamic, non-linear, and
multidimensional depletion of physiological reserve and redundancy, whereas
multimorbidity refers to the coexistence of two or more clinically manifest
chronic diseases.
PMID- 27889051
TI - The trajectory of negative mood and depressive symptoms over two decades.
AB - OBJECTIVE: Research aimed at understanding the temporal characteristics of
depressive symptoms and negative mood in an older female population is lacking,
despite the relationship between the two factors being well established. The aim
of this study was to examine the characteristics of negative mood scores and
depressive symptom scores in a longitudinal sample of women transitioning from
mid-life to late life. STUDY DESIGN: This study was a longitudinal assessment of
variables drawn from an epidemiological prospective study of women's healthy
ageing. Scores were analysed using General Linear Mixed Models. MAIN OUTCOME
MEASURES: Negative mood scores derived from the Affectometer 2 were assessed at
11 time points spanning 20 years. Depressive symptom scores were assessed using
the Centre for Epidemiological Studies Depression Scale (CESD), administered in
2002, 2004 and 2012. RESULTS: Mean negative mood scores reduced significantly
between 1992 and 2012, as did mean CESD scores between 2002 and 2012. Mean
negative mood scores reduced by 0.007 for each year of increasing age. For
depressive symptoms, a reduction in mean score of 0.15 was found for each year of
increase in age. CONCLUSION: Depressive symptom scores and negative mood scores
decreased significantly over time.
PMID- 27889052
TI - Types of oral contraceptives and breast cancer survival among women enrolled in
Medicaid: A competing-risk model.
AB - INTRODUCTION: Oral contraceptive pills have been implicated in the
pathophysiology of breast cancer. Although many studies have examined the
relationship between combined oral contraceptives (COCs) and breast cancer, there
is a paucity of literature that discusses progestin-only oral contraceptives
(POCs) and breast cancer. The purpose of this investigation is to examine
potential associations between different types of oral contraceptives and breast
cancer mortality in the South Carolina Medicaid population among different
racial/ethnic groups. METHODS: Subjects included 4816 women diagnosed with breast
cancer between 2000 and 2013. Kaplan-Meier curves were calculated to determine
time-to-mortality rates among users of oral contraceptives. Competing-risks
models and Cox multivariate survival models were used to estimate the hazard
ratios (HRs) and 95% confidence intervals (CIs) of breast cancer and other-cause
mortality, as well as all-cause mortality. RESULTS: POCs were associated with a
significantly decreased risk of breast cancer mortality (HR: 0.07; 95% CI: 0.01,
0.52) and a non-significant increased risk of all-cause mortality (HR: 1.04; 95%
CI: 0.52, 2.07). COCs increased the risk of breast cancer mortality (HR: 1.61;
95% CI: 1.14, 2.28) and all-cause mortality (HR: 1.83; 95% CI: 1.30, 2.57).
CONCLUSION: Use of POCs may be associated with a decreased risk of breast cancer
mortality. Due to the small sample size of POC users in the current study,
additional research is needed to confirm these findings.
PMID- 27889053
TI - Osteoporosis in postmenopausal women living with HIV.
AB - The widespread availability of effective antiretroviral therapy (ART) has
transformed HIV from a life-limiting condition to one with near-normal life
expectancy. HIV is associated with an increased risk of osteopenia and
osteoporosis, with people living with HIV (PLHIV) potentially experiencing these
conditions at a younger age than their HIV-negative counterparts. The mechanisms
driving bone disease in HIV are complex and include: an increased prevalence of
traditional risk factors; other comorbid conditions; and HIV-associated factors
such as viral effects, systemic inflammation, and ART-related factors. One-third
of PLHIV in the United Kingdom are female, and increasing numbers of women living
with HIV (WLHIV) are reaching menopausal age. Oestrogen decline in the context of
an elevated background risk of poor bone health results in WLHIV being at greater
risk of osteoporosis than women without HIV. European HIV guidelines therefore
recommend routine screening of postmenopausal WLHIV using FRAX(c) for clinical
risk factors, with or without bone mineral density scanning. Data support the use
of calcium and vitamin D supplementation, and bisphosphonates in the treatment of
osteoporosis in PLHIV. Additionally, some patients with confirmed osteoporosis
may benefit from a switch to an ART agent with a better bone safety profile.
However, there remains a notable paucity of data on HIV and menopause, including
the impact of hormone replacement therapy on the bone health of WLHIV. In
conclusion, it is important that clinicians are aware that postmenopausal WLHIV
are a group at particular risk of bone disease, who require proactive screening
and advice about preventative measures.
PMID- 27889054
TI - Tocotrienols, health and ageing: A systematic review.
AB - OBJECTIVES: A systematic review of studies was undertaken to evaluate the
potential effect of intake of tocotrienols or circulating levels of tocotrienols
on parameters associated with successful ageing, specifically in relation to
cognitive function, osteoporosis and DNA damage. METHODS: Following PRISMA
guidelines a systematic review of epidemiological observational studies and
clinical trials was undertaken. Inclusion criteria included all English language
publications in the databases PubMed and Scopus, through to the end of July 2016.
RESULTS: Evidence from prospective and case-control studies suggested that
increased blood levels of tocotrienols were associated with favorable cognitive
function outcomes. A clinical trial of tocotrienol supplementation for 6 months
suggested a beneficial effect of intake on DNA damage rates, but only in elderly
people. Regarding osteoporosis, only in vitro studies with cultures of human bone
cells were identified, and these demonstrated significant inhibition of
osteoclast activity and promotion of osteoblast activity. CONCLUSIONS: Research
in middle-aged and elderly humans suggests that tocotrienols have a potential
beneficial anti-ageing action with respect to cognitive impairment and DNA
damage. Clinical trials are required to elucidate these effects.
PMID- 27889055
TI - Medical or surgical management of fibroids? An internet survey of gynecologists'
views.
AB - AIM: To assess the attitudes of gynecologists toward symptomatic fibroids and
analyze which factors influence their choice of treatment. MATERIAL AND METHOD:
We surveyed the attitude of Belgian gynecologists toward patients' cases. We used
a vignette of a woman with symptomatic fibroids and modified 3 factors: her age,
her parity and her desire for pregnancy, thereby establishing 12 different cases.
We ensured that each gynecologist (n=1437) received one case, chosen randomly, by
email. The gynecologists were asked whether and how they would treat the patient.
RESULTS: Replies were received from 337 gynecologists (a 23% response rate). Of
the 337, 116 (34%) would prescribe a medical treatment only; in this group, 29%
specified acetate ulipristal a selective progesterone receptor modulator (SPRM)
and 27% indicated progestins. Of the sample of 337 responders, another 116 (34%)
would begin with medical treatment (70% an SPRM) and then proceed to surgery. Of
the 337 gynecologists, 75 (22%) would perform surgery only. The preferred
surgical treatments were myomectomy (53/75; 71%) and hysterectomy (19/75; 25%)
when surgery was suggested as a first step, and myomectomy (91/115; 79%) and
hysterectomy (18/115; 16%) when it was preceded by medical treatment. The choice
of treatment varied significantly in relation to the 12 vignettes (p<0.01). When
we grouped the vignettes there was no significant variation in relation to age or
parity, but a there was significant variation (p<0.001) in relation to desire to
achieve pregnancy. CONCLUSION: We observed that, in women with symptomatic
fibroids, the desire retain fertility is respected. Moreover, SPRM is
increasingly used for symptomatic patients.
PMID- 27889056
TI - In memory of Professor Galen S. Wagner M.D., Ph.D. (1939-2016): our mentor,
colleague and friend.
PMID- 27889057
TI - Algorithm for the automatic computation of the modified Anderson-Wilkins
acuteness score of ischemia from the pre-hospital ECG in ST-segment elevation
myocardial infarction.
AB - BACKGROUND: The acuteness score (based on the modified Anderson-Wilkins score)
estimates the acuteness of ischemia based on ST-segment, Q-wave and T-wave
measurements obtained from the electrocardiogram (ECG) in patients with ST
Elevation Myocardial Infarction (STEMI). The score (range 1 (least acute) to 4
(most acute)) identifies patients with substantial myocardial salvage potential
regardless of patient reported symptom duration. However, due to the complexity
of the score, it is not used in clinical practice. Therefore, we aimed to develop
a reliable algorithm that automatically computes the acuteness score. METHODS: We
scored 50 pre-hospital ECGs from STEMI patients, manually and by the automated
algorithm. We assessed the reliability test between the manual and automated
algorithm by interclass correlation coefficient (ICC) and Bland-Altman plot.
RESULTS: The ICC was 0.84 (95% CI 0.72-0.91), P<0.0001. The mean difference
between manual and automated acuteness score was 0.17+/-0.66. In only two cases,
there was a major disagreement between the two scores. There was an excellent
agreement between the scores for the remaining 48 ECGs, all within the upper
(1.46) and lower (-1.12) limits of agreement. CONCLUSION: In conclusion, we have
developed an automated algorithm for measurement of the modified Anderson-Wilkins
ECG acuteness score from the pre-hospital ECG in STEMI patients. This automated
algorithm is highly reliable, can be applied in daily practice for research
purposes and may be implemented in commercial automated ECG analysis programs to
achieve practical use for decision support in the acute phase of STEMI.
PMID- 27889059
TI - Chad Genetic Diversity Reveals an African History Marked by Multiple Holocene
Eurasian Migrations.
AB - Understanding human genetic diversity in Africa is important for interpreting the
evolution of all humans, yet vast regions in Africa, such as Chad, remain
genetically poorly investigated. Here, we use genotype data from 480 samples from
Chad, the Near East, and southern Europe, as well as whole-genome sequencing from
19 of them, to show that many populations today derive their genomes from ancient
African-Eurasian admixtures. We found evidence of early Eurasian backflow to
Africa in people speaking the unclassified isolate Laal language in southern Chad
and estimate from linkage-disequilibrium decay that this occurred 4,750-7,200
years ago. It brought to Africa a Y chromosome lineage (R1b-V88) whose closest
relatives are widespread in present-day Eurasia; we estimate from sequence data
that the Chad R1b-V88 Y chromosomes coalesced 5,700-7,300 years ago. This
migration could thus have originated among Near Eastern farmers during the
African Humid Period. We also found that the previously documented Eurasian
backflow into Africa, which occurred ~3,000 years ago and was thought to be
mostly limited to East Africa, had a more westward impact affecting populations
in northern Chad, such as the Toubou, who have 20%-30% Eurasian ancestry today.
We observed a decline in heterozygosity in admixed Africans and found that the
Eurasian admixture can bias inferences on their coalescent history and confound
genetic signals from adaptation and archaic introgression.
PMID- 27889058
TI - Mutations in REEP6 Cause Autosomal-Recessive Retinitis Pigmentosa.
AB - Retinitis pigmentosa (RP) is the most frequent form of inherited retinal
dystrophy. RP is genetically heterogeneous and the genes identified to date
encode proteins involved in a wide range of functional pathways, including
photoreceptor development, phototransduction, the retinoid cycle, cilia, and
outer segment development. Here we report the identification of biallelic
mutations in Receptor Expression Enhancer Protein 6 (REEP6) in seven individuals
with autosomal-recessive RP from five unrelated families. REEP6 is a member of
the REEP/Yop1 family of proteins that influence the structure of the endoplasmic
reticulum but is relatively unstudied. The six variants identified include three
frameshift variants, two missense variants, and a genomic rearrangement that
disrupts exon 1. Human 3D organoid optic cups were used to investigate REEP6
expression and confirmed the expression of a retina-specific isoform REEP6.1,
which is specifically affected by one of the frameshift mutations. Expression of
the two missense variants (c.383C>T [p.Pro128Leu] and c.404T>C [p.Leu135Pro]) and
the REEP6.1 frameshift mutant in cultured cells suggest that these changes
destabilize the protein. Furthermore, CRISPR-Cas9-mediated gene editing was used
to produce Reep6 knock-in mice with the p.Leu135Pro RP-associated variant
identified in one RP-affected individual. The homozygous knock-in mice mimic the
clinical phenotypes of RP, including progressive photoreceptor degeneration and
dysfunction of the rod photoreceptors. Therefore, our study implicates REEP6 in
retinal homeostasis and highlights a pathway previously uncharacterized in
retinal dystrophy.
PMID- 27889060
TI - Autosomal-Recessive Mutations in AP3B2, Adaptor-Related Protein Complex 3 Beta 2
Subunit, Cause an Early-Onset Epileptic Encephalopathy with Optic Atrophy.
AB - Early-onset epileptic encephalopathy (EOEE) represents a heterogeneous group of
severe disorders characterized by seizures, interictal epileptiform activity with
a disorganized electroencephalography background, developmental regression or
retardation, and onset before 1 year of age. Among a cohort of 57 individuals
with epileptic encephalopathy, we ascertained two unrelated affected individuals
with EOEE associated with developmental impairment and autosomal-recessive
variants in AP3B2 by means of whole-exome sequencing. The targeted sequencing of
AP3B2 in 86 unrelated individuals with EOEE led to the identification of an
additional family. We gathered five additional families with eight affected
individuals through the Matchmaker Exchange initiative by matching autosomal
recessive mutations in AP3B2. Reverse phenotyping of 12 affected individuals from
eight families revealed a homogeneous EOEE phenotype characterized by severe
developmental delay, poor visual contact with optic atrophy, and postnatal
microcephaly. No spasticity, albinism, or hematological symptoms were reported.
AP3B2 encodes the neuron-specific subunit of the AP-3 complex. Autosomal
recessive variations of AP3B1, the ubiquitous isoform, cause Hermansky-Pudlak
syndrome type 2. The only isoform for the delta subunit of the AP-3 complex is
encoded by AP3D1. Autosomal-recessive mutations in AP3D1 cause a severe disorder
cumulating the symptoms of the AP3B1 and AP3B2 defects.
PMID- 27889061
TI - Biallelic Mutations in MITF Cause Coloboma, Osteopetrosis, Microphthalmia,
Macrocephaly, Albinism, and Deafness.
AB - Human MITF is, by convention, called the "microphthalmia-associated transcription
factor" because of previously published seminal mouse genetic studies; however,
mutations in MITF have never been associated with microphthalmia in humans. Here,
we describe a syndrome that we term COMMAD, characterized by coloboma,
osteopetrosis, microphthalmia, macrocephaly, albinism, and deafness. COMMAD is
associated with biallelic MITF mutant alleles and hence suggests a role for MITF
in regulating processes such as optic-fissure closure and bone development or
homeostasis, which go beyond what is usually seen in individuals carrying
monoallelic MITF mutations.
PMID- 27889063
TI - Andree Gruslin award lecture: Metabolomics as an important modality to better
understand preeclampsia.
AB - Preeclampsia (PE) is a complex disorder that affects 3-5% of all pregnancies and
is a leading cause of maternal and fetal morbidity and mortality. To date, the
heterogeneity of clinical presentation, disease severity and outcomes have
limited significant advances in early prediction, diagnosis, and therapeutic
intervention of PE. The rapidly expanding field of metabolomics, which has the
capacity to quantitatively detect low molecular weight compounds (metabolites) in
tissue and biological fluids, shows tremendous promise in gaining a better
understanding of PE. This review will discuss this emerging field and its
contribution to recent advances in the understanding of PE pathophysiology, and
identification of early predictive metabolic biomarkers for this complex
disorder.
PMID- 27889064
TI - Summary of the British Association of Pediatric Surgeons (63rd) congress in
Amsterdam, the Netherlands, July 20th-22nd, 2016.
AB - This is a review of the 63rd British Association of Pediatric Surgeons (BAPS)
annual conference which was held in July 2016 in Amsterdam in the Netherlands.
This congress issue contains papers presented during the open sessions and
transcripts based on invited lectures.
PMID- 27889062
TI - Monoallelic Mutations in the Translation Initiation Codon of KLHL24 Cause Skin
Fragility.
AB - The genetic basis of epidermolysis bullosa, a group of genetic disorders
characterized by the mechanically induced formation of skin blisters, is largely
known, but a number of cases still remain genetically unsolved. Here, we used
whole-exome and targeted sequencing to identify monoallelic mutations, c.1A>G and
c.2T>C, in the translation initiation codon of the gene encoding kelch-like
protein 24 (KLHL24) in 14 individuals with a distinct skin-fragility phenotype
and skin cleavage within basal keratinocytes. Remarkably, mutation c.1A>G
occurred de novo and was recurrent in families originating from different
countries. The striking similarities of the clinical features of the affected
individuals point to a unique and very specific pathomechanism. We showed that
mutations in the translation initiation codon of KLHL24 lead to the usage of a
downstream translation initiation site with the same reading frame and formation
of a truncated polypeptide. The pathobiology was examined in keratinocytes and
fibroblasts of the affected individuals and via expression of mutant KLHL24, and
we found mutant KLHL24 to be associated with abnormalities of intermediate
filaments in keratinocytes and fibroblasts. In particular, KLHL24 mutations were
associated with irregular and fragmented keratin 14. Recombinant overexpression
of normal KLHL24 promoted keratin 14 degradation, whereas mutant KLHL24 showed
less activity than the normal molecule. These findings identify KLHL24 mutations
as a cause of skin fragility and identify a role for KLHL24 in maintaining the
balance between intermediate filament stability and degradation required for skin
integrity.
PMID- 27889065
TI - Letter to the Editor: "Post-traumatic liver and splenic pseudoaneurysms in
children: Diagnosis, management, and follow-up screening using contrast enhanced
ultrasound (CEUS)" by Durkin et al J Pediatr Surg 51 (2016) 289-292.
PMID- 27889066
TI - Does thoracoscopy have advantages over open surgery for asymptomatic congenital
lung malformations? An analysis of 1626 resections.
AB - AIM: The apparent incidence of antenatally diagnosed congenital lung
malformations (CLM) is rising (1 in 3000), and the majority undergo elective
resection even if asymptomatic. Thoracoscopy has been popularized, but early
series report high conversion rates and significant complications. We aimed to
perform systematic review/meta-analysis of outcomes of thoracoscopic vs open
excision of asymptomatic CLMs. METHODS: A systematic review according to PRISMA
guidelines was performed. Data were extracted for all relevant studies (2004
2015) and Rangel quality scores calculated. Analysis was on 'intention to treat'
basis for thoracoscopy and asymptomatic lung lesions. Meta-analysis was performed
using the addon package METAN of the statistical package STATA14TM; p<0.05 was
considered significant. RESULTS: 36 studies were eligible, describing 1626 CLM
resections (904 thoracoscopic, 722 open). There were no randomized controlled
trials. Median quality score was 14/45 (IQR 6.5) 'poor'. 92/904 (10%)
thoracoscopic procedures were converted to open. No deaths were reported. Meta
analysis showed that regarding thoracoscopic procedures, the total number of
complications was significantly less (OR 0.63, 95% CI 0.43, 0.92; p<0.02, 12
eligible series, 912 patients, 404 thoracoscopic). Length of stay was 1.4days
shorter (95%CI 2.40, 0.37;p<0.01). Length of operation was 37 min longer (95% CI
18.96, 54.99; p<0.01). Age, weight, and number of chest tube days were similar.
There was heterogeneity (I2 30%, p=0.15) and no publication bias seen.
CONCLUSIONS: A reduced total complication rate favors thoracoscopic excision over
thoracotomy for asymptomatic antenatally diagnosed CLMs. Although operative time
was longer, and open conversion may be anticipated in 1/10, the overall length of
hospital stay was reduced by more than 1day. LEVEL OF EVIDENCE: 4 (based on
lowest level of article analyzed in meta-analysis/systematic review).
PMID- 27889068
TI - Diosgenin-caused changes of the adrenal gland histological parameters in a rat
model of the menopause.
AB - Diosgenin, a steroidal sapogenin of natural origin, has demonstrated benefits
when it comes to the treatment of malignancies, cardiovascular issues and
menopausal symptoms. In this study, we investigated the histological changes of
the adrenal gland after diosgenin application in a rat model of the menopause.
Middle-aged, acyclic female Wistar rats were divided into control (C; n=6) and
diosgenin treated (D; n=6) groups. Diosgenin (100mg/kg b.w./day) was orally
administered for four weeks, while C group received the vehicle alone. A
histological approach included design-based stereology, histochemistry and
immunohistochemistry. The adrenal cortex volume decreased in D females by 15%
(p<0.05) while the volume of adrenal medulla increased (p<0.05) by 64%, compared
to the same parameters in C group. Volume density of the zona glomerulosa
(expressed per absolute adrenal gland volume) in D rats increased (p<0.05) by 22%
in comparison with C animals. Diosgenin treatment decreased (p<0.05) the volume
density of the zona fasciculata (expressed per volume of adrenal cortex) by 15%
when compared to C females. Absolute volume of the zona reticularis in D group
decreased (p<0.05) by 38% in comparison with the same parameter in C rats. Also,
after diosgenin application, the volume density of the zona reticularis
(expressed per volume of adrenal cortex) and the zona reticularis cell volume
were decreased by 51% and 20% (p<0.05) respectively, compared to C animals. Our
results, reflecting a decrease in many stereological parameters of the adrenal
cortex, indicate that diosgenin took over the role of corticosteroid precursors
and became incorporated into steroidogenesis.
PMID- 27889067
TI - An Atypical Withdrawal Syndrome in Neonates Prenatally Exposed to Gabapentin and
Opioids.
AB - We report a retrospective case series of 19 infants exposed to both opioids and
gabapentin prenatally. We describe a unique behavioral phenotype in 15 of these
infants and report a treatment strategy.
PMID- 27889070
TI - Prenatal ethanol exposure modifies locomotor activity and induces selective
changes in Met-enk expression in adolescent rats.
AB - Several studies suggest that prenatal ethanol exposure (PEE) facilitates ethanol
intake. Opioid peptides play a main role in ethanol reinforcement during infancy
and adulthood. However, PEE effects upon motor responsiveness elicited by an
ethanol challenge and the participation of opioids in these actions remain to be
understood. This work assessed the susceptibility of adolescent rats to prenatal
and/or postnatal ethanol exposure in terms of behavioral responses, as well as
alcohol effects on Met-enk expression in brain areas related to drug
reinforcement. Motor parameters (horizontal locomotion, rearings and stereotyped
behaviors) in pre- and postnatally ethanol-challenged adolescents were evaluated.
Pregnant rats received ethanol (2g/kg) or water during gestational days 17-20.
Adolescents at postnatal day 30 (PD30) were tested in a three-trial activity
paradigm (habituation, vehicle and drug sessions). Met-enk content was
quantitated by radioimmunoassay in several regions: ventral tegmental area [VTA],
nucleus accumbens [NAcc], prefrontal cortex [PFC], substantia nigra [SN], caudate
putamen [CP], amygdala, hypothalamus and hippocampus. PEE significantly reduced
rearing responses. Ethanol challenge at PD30 decreased horizontal locomotion and
showed a tendency to reduce rearings and stereotyped behaviors. PEE increased Met
enk content in the PFC, CP, hypothalamus and hippocampus, but did not alter
peptide levels in the amygdala, VTA and NAcc. These findings suggest that PEE
selectively modifies behavioral parameters at PD30 and induces specific changes
in Met-enk content in regions of the mesocortical and nigrostriatal pathways, the
hypothalamus and hippocampus. Prenatal and postnatal ethanol actions on motor
activity in adolescents could involve activation of specific neural
enkephalinergic pathways.
PMID- 27889069
TI - Ru(III) anticancer agents with aromatic and non-aromatic dithiocarbamates as
ligands: Loading into nanocarriers and preliminary biological studies.
AB - Since the discovery of cisplatin in the 1960s, other metal complexes have been
investigated as potential antitumor agents to overcome the side-effects
associated with the administration of the Pt-based drug. In line with our
previous research, in this work we report the synthesis and characterization of
mono- and dinuclear Ru(III) complexes with the pyrrolidinedithiocarbamate (PDT)
ligand and the more sterically-hindered carbazole-dithiocarbamato ligand (CDT),
to compare their properties (both at the chemical and antiproliferative level),
in an attempt to assess a structure-activity rationale. Moreover, to overcome the
scarce solubility under physiological conditions of the Ru(III)-dithiocarbamato
compounds, the biocompatible copolymer Pluronic(r) F127 has been used, to
encapsulate the metal derivatives in water-soluble micellar carriers. Finally,
preliminary biological evaluations on CDT and PDT compounds along with their
nanoformulations, open intriguing perspectives in anticancer chemotherapy. In
particular, comparing the structure of the Ru(III) derivatives, the ionic
dinuclear PDT complex shows an important cytotoxic action in comparison to its
neutral counterparts. Moreover, the micellar carrier improves the overall
activity of the encapsulated Ru(III)-PDT chemotherapeutics. On the other hand,
the nanoformulation of the CDT derivatives allows us to solubilize both the 1:3
and the 2:5 complexes and to state their inactivity.
PMID- 27889071
TI - Using X-Ray Crystallography to Simplify and Accelerate Biologics Drug
Development.
AB - Every major biopharmaceutical company incorporates a protein crystallography unit
that is central to its structure-based drug discovery efforts. Yet these
capabilities are rarely leveraged toward the formal higher order structural
characterization that is so challenging but integral to large-scale biologics
manufacturing. Although the biotech industry laments the shortcomings of its
favored biophysical techniques, x-ray crystallography is not even considered for
drug development. Why not? We suggest that this is due, at least in part, to
outdated thinking (for a recent industry-wide survey, see Gabrielson JP, Weiss IV
WF. Technical decision-making with higher order structure data: starting a new
dialogue. J Pharm Sci. 2015;104(4):1240-1245). We examine some myths surrounding
protein crystallography and highlight the inherent properties of protein crystals
(molecular identity, biochemical purity, conformational uniformity, and
macromolecular crowding) as having practicable commonalities with today's patient
focused liquid drug products. In the new millennium, protein crystallography has
become essentially a routine analytical test. Its application may aid the
identification of better candidate molecules that are more amenable to high
concentration processing, formulation, and analysis thereby helping to make
biologics drug development quicker, simpler, and cheaper.
PMID- 27889072
TI - If Euhydric and Isotonic Do Not Work, What Are Acceptable pH and Osmolality for
Parenteral Drug Dosage Forms?
AB - Parenteral products should aim toward being isotonic and euhydric (physiological
pH). Yet, due to other considerations, this goal is often not reasonable or
doable. There are no clear allowable ranges related to pH and osmolality, and
thus, the objective of this review was to provide a better understanding of
acceptable formulation pH, buffer strength, and osmolality taking into account
the administration route (i.e., intramuscular, intravenous, subcutaneous) and
administration technique (i.e., bolus, push, infusion). This evaluation was based
on 3 different approaches: conventional, experimental, and parametric. The
conventional way of defining formulation limits was based on standard pH and
osmolality ranges. Experimental determination of titratable acidity or in vitro
hemolysis testing provided additional drug product information. Finally, the
parametric approach was based on the calculation of theoretical values such as
(1) the maximal volume of injection which cannot shift the blood's pH or its
molarity out of the physiological range and (b) a dilution ratio at the injection
site and by verifying that threshold values are not exceeded. The combination of
all 3 approaches can support the definition of acceptable pH, buffer strength,
and osmolality of formulations and thus may reduce the risk of failure during
preclinical and clinical development.
PMID- 27889073
TI - Sources, composition and spatial distribution of marine debris along the
Mediterranean coast of Israel.
AB - Marine debris (litter) is a complex problem that affects human activities and the
marine environment worldwide. The Clean Coast Program in Israel has had some
success in keeping most of the coasts clean most of the time, but without
understanding the mechanisms of accumulation of marine debris on the coasts of
Israel. In 2012, we initiated a study to characterize the types of marine debris,
its origins and spatial distribution. Nineteen surveys were done from June 2012
to March 2015 on eight beaches that spanned the coast of Israel. Average debris
density was 12.1 items per 100m2 and 90% of the items were plastic. The top
debris categories were food wrappers and disposables, plastic bags and cigarette
butts. However, there was variation in the top debris categories among the
beaches indicating that a flexible approach with multiple options will be
important when addressing the marine debris problem.
PMID- 27889074
TI - Compositional shifts in bacterial communities associated with the coral Palythoa
caribaeorum due to anthropogenic effects.
AB - Corals harbor abundant and diverse prokaryotic communities that may be strongly
influenced by human activities, which in turn compromise the normal functioning
of coral species and predispose them to opportunistic infections. In this study,
we investigated the effect of sewage dumping on the bacterial communities
associated with the soft coral Palythoa caribaeorum at two sites in the Brazilian
coast. We observed a dominance of bacterial species classified as human pathogens
at sites exposed to untreated sewage discharge. The microbial diversity of
undisturbed sites was more homogeneous and diverse and showed greater abundance.
In addition, bacterial communities differed substantially between the exposed and
undisturbed areas. The microbial community associated with the samples collected
from the exposed sites revealed the anthropogenic effect caused by organic matter
from untreated sewage dumping, with an abundance of pathogenic bacterial species.
PMID- 27889075
TI - Abundance and composition of juvenile corals reveals divergent trajectories for
coral assemblages across the United Arab Emirates.
AB - Marked shifts in the composition of coral assemblages are occurring at many
locations, but it is unknown whether these are permanent shifts reinforced by
patterns of population replenishment. This study examined the composition of
juvenile coral assemblages across the United Arab Emirates (UAE). Densities of
juvenile corals varied significantly among locations, but were highest where
coral cover was highest. Juvenile coral assemblages within the Persian Gulf were
dominated by Porites, while no Acropora were recorded. We expect therefore,
continued declines in Acropora abundance, while observed dominance of Porites is
likely to persist. In the Oman Sea, Pocillopora was the dominant juvenile coral,
with Acropora and Stylophora also recorded. This study shows that taxonomic
differences in replenishment are reinforcing temporal shifts in coral composition
within the southern Persian Gulf, but not in the Oman Sea. Differences in
environmental conditions and disturbance regimes likely explain the divergent
responses between regions.
PMID- 27889076
TI - Impression technique for a complete-arch prosthesis with multiple implants using
additive manufacturing technologies.
AB - This article describes an impression technique for a complete-arch prosthesis
supported by multiple implants where additive manufacturing technologies were
used to fabricate a splinting framework and a custom tray. The technique
presented uses a shim method to control the homogenous splinting acrylic resin
and impression material during the procedure, thereby reducing laboratory and
chairside time and the number of impression copings and laboratory analogs
needed.
PMID- 27889077
TI - [Sex education through popular education for health in a Brazilian rural social
movement].
AB - Based on the ideas of Paulo Freire, the methodological framework of Popular
Education for Health (PEH) provides a more adaptable method for sex education,
including societal participation as well as the social, historical and cultural
dimensions of the population. The purpose of this work is to relate one such PEH
experience in sex education, which took the form of a community project with a
group of students from 10 to 28 years of age attending Itinerant Schools and with
groups from the Landless Rural Workers Movement (MST) in the state of Parana,
Brazil. This work provides knowledge of certain elements that may help in
developing similar projects, not only for sex education but also education for
other public health issues. PEH demonstrates a method of ensuring socially
effective participation in the different dimensions of health-promotion
strategies.
PMID- 27889078
TI - Activities and geochronology of 137Cs in lake sediments resulting from sediment
resuspension.
AB - In lakes with a large surface area to watershed ratio 137Cs delivery is primarily
by direct atmospheric fallout to the lake surface, where its activity in the
sediments has been used to estimate the exposure to organisms and sediment mass
deposition rates. Comparison of 137Cs in the historical atmospheric fallout
record with 137Cs activity profiles in sediment cores reveals that although the
general features of a maxima in the fallout deposition can be matched to activity
peaks in the core, the general shape of the 137Cs profile is not an exact replica
of the fallout history. Instead, the sediment reflects post-depositional
processes such as resuspension, bioturbation, partitioning of 137Cs between the
sediment solids and the pore fluids, and molecular diffusion of 137Cs through the
pore fluids. Presented here is a model that couples these processes to a system
time averaging (STA) model that accounts for the time history of 137Cs fallout
and the particle residence time in the water column or in the 'active' surface
sediment subject to resuspension. Sediment profiles are examined by comparing
reasonable ranges of each of the coefficients of each of these major processes
and by applying the model to cores collected from two large, shallow lakes, Lake
Erie (USA/Canada) and Lake Winnipeg (Canada). The results indicate that the STA
model with molecular diffusion and sediment resuspension best describes the data
from these large, shallow lakes.
PMID- 27889079
TI - Preface to a special issue "Japanese national mapping projects on large-scale
environmental monitoring and mapping in Fukushima volume 2".
PMID- 27889080
TI - Invasion Biology: Specific Problems and Possible Solutions.
AB - Biological invasions have been unambiguously shown to be one of the major global
causes of biodiversity loss. Despite the magnitude of this threat and recent
scientific advances, this field remains a regular target of criticism - from
outright deniers of the threat to scientists questioning the utility of the
discipline. This unique situation, combining internal strife and an unaware
society, greatly hinders the progress of invasion biology. It is crucial to
identify the specificities of this discipline that lead to such difficulties. We
outline here 24 specificities and problems of this discipline and categorize them
into four groups: understanding, alerting, supporting, and implementing the
issues associated with invasive alien species, and we offer solutions to tackle
these problems and push the field forward.
PMID- 27889081
TI - Complaints in long-term care facilities for older persons: Why residents do not
give 'free advice'.
AB - In health care policies, the right to complain is presented as a key patient
right. Complaints are also seen as a potential vehicle for quality improvement.
However, in long-term care facilities for older persons in the Netherlands,
relatively few complaints are registered. An explorative qualitative study was
performed at three long-term care facilities to examine the ways in which
different relevant actors define and relate to complaints. We conducted
observations and semi-structured interviews with 76 persons: residents, their
family members, nurses, volunteers, middle (facility) and upper (institutional)
managers and complaint handling personnel. Long-term care facilities are social
contexts obeying complex social and cultural norms. There are great differences
in how complaining and complaints are perceived. For most residents,
'complaining' had strong negative connotations: they expected it would lead to
undesirable social consequences that could not outweigh possible advantages. To
nurses it was important to hear of residents' dissatisfactions but communicative
aspects were challenging. Institutional managers saw complaints as 'free advice'
they wished to use to enhance the quality of the care provision. Complaint
managers underlined the procedural aspects to complaints. A more appropriate and
productive policy on complaints in this health care sector should take these
differences into account.
PMID- 27889082
TI - NOD2 promotes cell proliferation and inflammatory response by mediating
expression of TSLP in human airway smooth muscle cells.
AB - The newly discovered intracytosolic pattern recognition receptor nucleotide
binding oligomerization domain 2 (NOD2) has been studied as an important
indicator of T helper 2 (Th2) inflammation, and its effect on regulatory T (Treg)
cells is likely to modulate the immune response. In this study, we attempted to
study the expression of NOD2 and its impact in human airway smooth muscle cells
(HASMC). Quantitative real-time PCR (qRT-PCR) was used to measure the expression
level of NOD2 in HASMC and comparisons were made between those from asthmatic and
non-asthmatic donors; we found that NOD2 was significantly upregulated in asthma
patient tissues and cell lines. In addition, overexpression of NOD2 apparently
promotes cell proliferation and migration in HASMC. Gain-of-function in vitro
experiments further showed that NOD2 overexpression significantly promotes pro
inflammatory cytokine release in HASMC. Subsequent experimental analysis
indicated that thymic stromal lymphopoietin (TSLP) is involved in NOD2-mediated
cellular effects in HASMC. Therefore, our results indicate that NOD2 is an asthma
related factor that can promote cell proliferation and inflammatory response by
mediated expression of TSLP in HASMC. Taken together, our results indicate that
NOD2 could serve as a potential diagnostic biomarker and therapeutic option for
human asthma in the near future.
PMID- 27889083
TI - Psychogenic Movement Disorders and Dopamine Transporter Scans: Still a Clinical
Diagnosis?
PMID- 27889084
TI - Linking Telomere Regulation to Stem Cell Pluripotency.
AB - Embryonic stem cells (ESCs), somatic cell nuclear transfer ESCs, and induced
pluripotent stem cells (iPSCs) represent the most studied group of PSCs.
Unlimited self-renewal without incurring chromosomal instability and pluripotency
are essential for the potential use of PSCs in regenerative therapy. Telomere
length maintenance is critical for the unlimited self-renewal, pluripotency, and
chromosomal stability of PSCs. While telomerase has a primary role in telomere
maintenance, alternative lengthening of telomere pathways involving recombination
and epigenetic modifications are also required for telomere length regulation,
notably in mouse PSCs. Telomere rejuvenation is part of epigenetic reprogramming
to pluripotency. Insights into telomere reprogramming and maintenance in PSCs may
have implications for understanding of aging and tumorigenesis. Here, I discuss
the link between telomere elongation and homeostasis to the acquisition and
maintenance of stem cell pluripotency, and their regulatory mechanisms by
epigenetic modifications.
PMID- 27889085
TI - Impact of diurnal variability and meteorological factors on the PM2.5 - AOD
relationship: Implications for PM2.5 remote sensing.
AB - PM2.5 retrieval from space is still challenging due to the elusive relationship
between PM2.5 and aerosol optical depth (AOD), which is further complicated by
meteorological factors. In this work, we investigated the diurnal cycle of PM2.5
in China, using ground-based PM measurements obtained at 226 sites of China
Atmosphere Watch Network during the period of January 2013 to December 2015.
Results showed that nearly half of the sites witnessed a PM2.5 maximum in the
morning, in contrast to the least frequent occurrence (5%) in the afternoon when
strong solar radiation received at the surface results in rapid vertical
diffusion of aerosols and thus lower mass concentration. PM2.5 tends to peak
equally in the morning and evening in North China Plain (NCP) with an amplitude
of nearly twice or three times that in the Pearl River Delta (PRD), whereas the
morning PM2.5 peak dominates in Yangtze River Delta (YRD) with a magnitude lying
between those of NCP and PRD. The gridded correlation maps reveal varying
correlations around each PM2.5 site, depending on the locations and seasons.
Concerning the impact of aerosol diurnal variation on the correlation, the
averaging schemes of PM2.5 using 3-h, 5-h, and 24-h time windows tend to have
larger R biases, compared with the scheme of 1-h time window, indicating diurnal
variation of aerosols plays a significant role in the establishment of explicit
correlation between PM2.5 and AOD. In addition, high cloud fraction and relative
humidity tend to weaken the correlation, regardless of geographical location.
Therefore, the impact of meteorology could be one of the most plausible
alternatives in explaining the varying R values observed, due to its non
negligible effect on MODIS AOD retrievals. Our findings have implications for
PM2.5 remote sensing, as long as the aerosol diurnal cycle, along with
meteorology, are explicitly considered in the future.
PMID- 27889087
TI - Characterisation of the phenanthrene degradation-related genes and degrading
ability of a newly isolated copper-tolerant bacterium.
AB - A copper-tolerant phenanthrene (PHE)-degrading bacterium, strain Sphingobium sp.
PHE-1, was newly isolated from the activated sludge in a wastewater treatment
plant. Two key genes, ahdA1b-1 encoding polycyclic aromatic hydrocarbon ring
hydroxylating dioxygenase (PAH-RHDalpha) and xyLE encoding catechol-2,3
dioxygenase (C23O), involved in the PHE metabolism by strain PHE-1 were
identified. The PAH-RHD gene cluster showed 96% identity with the same cluster of
Sphingomonas sp. P2. Our results indicated the induced transcription of xylE and
ahdA1b-1 genes by PHE, simultaneously promoted by Cu(II). For the first time,
high concentration of Cu(II) is found to encourage the expression of PAH-RHDalpha
and C23O genes during PHE degradation. Applying Sphingomonas PHE-1 in PHE
contaminated soils for bioaugmentation, the abundance of xylE gene was increased
by the planting of ryegrass and the presence of Cu(II), which, in turn, benefited
ryegrass growth. The best performance of PHE degradation and the highest
abundance of xylE genes occurred in PHE-copper co-contaminated soils planted with
ryegrass.
PMID- 27889086
TI - Impacts of regional transport on black carbon in Huairou, Beijing, China.
AB - The 22nd Asia-Pacific Economic Cooperation (APEC) Conference was held near Yanqi
Lake, Huairou, in Beijing, China during November 10-11, 2014. To guarantee haze
free days during the APEC Conference, the Beijing government and the governments
of the surrounding provinces implemented a series of controls. Three months of
Aethalometer 880 nm black carbon (BC) measurements were examined to understand
the hourly fluctuations in BC concentrations that resulted from emission controls
and meteorology changes. Measurements were collected at the University of Chinese
Academy of Sciences near the APEC Conference site and in Central Beijing at the
Institute of Remote Sensing and Digital Earth of the Chinese Academy of Sciences.
Synoptic conditions are successfully represented through analysis of backward
trajectories in six cluster groups. The clusters are identified based on air mass
transport from various areas such as Inner Mongolia, Russia, three northeastern
provinces, and Hebei industrial areas, to the measurement sites. Air pollution
control measures during the APEC Conference significantly reduced BC at the
conference site (Huairou) and in Central Beijing, with greater reductions in BC
concentrations at the conference site than in Central Beijing. The highest BC
concentrations in Huairou were associated with air masses originating from
Central Beijing rather than from the Hebei industrial region. The success of the
control measures implemented in Beijing and the surrounding regions demonstrates
that BC concentrations can be effectively reduced to protect human health and
mitigate regional climate forcing. This study also demonstrates the need for
regional strategies to reduce BC concentrations, since urban areas like Beijing
are sources as well as downwind receptors of emissions.
PMID- 27889088
TI - Osteoblast cellular activity on low elastic modulus Ti-24Nb-4Zr-8Sn alloy.
AB - OBJECTIVES: Low modulus beta-titanium alloys with non-toxic alloying elements are
envisaged to provide good biocompatibility and alleviate the undesired stress
shielding effect. The objective of this study is to fundamentally elucidate the
biological response of novel high strength-low elastic modulus Ti2448 alloy
through the study of bioactivity and osteoblast cell functions. METHODS:
Characterization techniques such as SEM, EDX, XRD, and fluorescence microscopy
were utilized to analyze the microstructure, morphology, chemical composition,
and cell adhesion. The cellular activity was explored in terms of cell-to-cell
communication involving proliferation, spreading, synthesis of extracellular and
intracellular proteins, differentiation, and mineralization. RESULTS: The
formation of fine apatite-like crystals on the surface during immersion test in
simulated body fluid confirmed the bioactivity of the surface, which provided the
favorable osteogenic microenvironment for cell-material interaction. The
proliferation and differentiation of pre-osteoblasts and their ability to form a
well mineralized bone-like extracellular matrix (ECM) by secreting bone markers
(ALP, calcium, etc.) over the surface point toward the determining role of unique
surface chemistry and surface properties of the Ti-24Nb-4Zr-8Sn (Ti2448) alloy in
modulating osteoblasts functions. SIGNIFICANCE: These results demonstrated that
the low modulus (~49GPa) Ti2448 alloy with non-toxic alloying elements can be
used as a potential dental or orthopedic load-bearing implant material.
PMID- 27889089
TI - Susceptible vessel sign: identification of arterial occlusion and clinical
implications in acute ischaemic stroke.
AB - AIM: To determine the accuracy of the susceptible vessel sign (SVS) in the
detection of arterial occlusion and its clinical implication in acute ischaemic
stroke. MATERIALS AND METHODS: Consecutive ischaemic stroke patients who
underwent magnetic resonance imaging (MRI) with susceptibility-weighted imaging
(SWI) within 24 hours of symptom onset or time last-seen-well were included. Two
independent neuroradiologists reviewed the SWI for evidence of the SVS. Admission
stroke severity was determined by the National Institute of Health Stroke Scale
(NIHSS) scores, and poor clinical outcome was defined by a 3-months modified
Rankin scale (mRS) score >2. RESULTS: The SVS was identified in 26 (12%) of 213
patients with substantial inter-reviewer agreement. The SVS had 99% specificity,
88% negative predictive value (NPV), 51% sensitivity, and 92% positive predictive
value (PPV) for detection of acute arterial occlusions. In consecutive stroke
patients, the presence of SVS was associated with higher admission NIHSS scores
(median 9 versus 3, p<0.001), arterial occlusion (92% versus 12%, p<0.001),
larger infarct volume (162+/-180 ml versus 25+/-48 ml, p=0.001), and higher rate
of poor clinical outcome at 3-months follow-up (58% versus 25%, p=0.001). In the
subset of patients with acute arterial occlusion (n=47), the SVS was associated
with higher admission NIHSS scores (median of 10 versus 3, p=0.038) and larger
infarct volumes (173+/-184 ml versus 76+/-112 ml, p=0.034). CONCLUSIONS: The SVS
is a highly specific sign of occlusive arterial thrombus, and is associated with
larger infarct volume and more severe presentation in a series of consecutive
stroke patients, as well as in the subgroup of patients with acute arterial
occlusion.
PMID- 27889090
TI - Focal nodular and diffuse haematopoietic marrow hyperplasia in patients with
underlying malignancies: a radiological mimic of malignancy in need of
recognition.
AB - AIM: To report the authors' experience of focal nodular haematopoietic marrow
hyperplasia (FNHMH) and diffuse haematopoietic marrow hyperplasia (DHMH)
clinically masquerading as skip, distant, or disseminated metastasis in seven
patients with underlying malignant neoplasms. MATERIALS AND METHODS: Five
patients with FNHMH and two with DHMH mistaken radiologically as skip and
disseminated metastasis, respectively, were compared and contrasted with four
patients with osteosarcomas and two with chondrosarcomas harbouring skip
metastasis, noting the temporal relationship with their haematological profile.
RESULTS: FNHMH and DHMH were undetectable by plain radiography and computed
tomography (CT) except one showing subtle sclerosis on CT. They showed either
isointense or hyperintense, but not hypointense, attenuation at T1-weighted
imaging, and all showed hyperintense attenuation at T2-weighted MRI relative to
skeletal muscle. Of the five patients who underwent bone scintigraphy, one showed
mildly increased uptake, and one out of two showed markedly increased 2-[18F]
fluoro-2-deoxy-d-glucose (FDG)-positron-emission tomography (PET) uptake. The
rates for sarcoma skip metastasis by plain radiography, CT, MRI, and bone
scintigraphy were 40%, 66.7%, 100%, and 66.7%, respectively. At MRI, 60% showed
hypointense and 40% isointense attenuation at T1-weighted, 80% hyperintense and
20% hypointense attenuation at T2-weighted imaging. Combined FDG-PET and CT,
which was performed in only one patient, failed to show the skip metastasis. Not
every patient with FNHMH or DHMH received granulocyte colony-stimulating factor
(GCSF), but all had low or falling haemoglobin levels, which may thus be the
prime cause for HMH. CONCLUSIONS: Due to overlapping radiological features, FNHMH
and DHMH are great radiological mimics of malignancy. In some cases, needle
biopsy is required for their definitive differentiation.
PMID- 27889091
TI - Breath-hold PET/CT-guided tumour ablation under general anaesthesia: accuracy of
tumour image registration and projected ablation zone overlap.
AB - AIM: To assess single-breath-hold combined positron-emission tomography/computed
tomography (PET/CT) for accuracy of tumour image registration and projected
ablation volume overlap in patients undergoing percutaneous PET/CT-guided tumour
ablation procedures under general anaesthesia. MATERIALS AND METHODS: Eight
patients underwent 12 PET/CT-guided tumour-ablation procedures to treat 20
tumours in the lung, liver, or adrenal gland. Using breath-hold PET/CT, the
centre of the tumour was marked on each PET and CT acquisition by four readers to
assess two- (2D) and three-dimensional (3D) spatial misregistration. Overlap of
PET and CT projected ablation volumes were compared using the Dice similarity
coefficient (DSC). Interobserver differences were assessed with repeated measure
analysis of variance (ANOVA). Technical success and local progression rates were
noted. RESULTS: Mean tumour 2D PET/CT misregistrations were 1.02 mm (range 0.01
5.02), 1.89 (0.03-7.85), and 3.05 (0-10) in the x, y, and z planes. Mean 3D
misregistration was 4.4 mm (0.36-10.74). Mean projected PET/CT ablation volume
DSC was 0.72 (+/-0.19). No significant interobserver differences in 3D
misregistration (p=0.73) or DSC (p=0.54) were observed. Technical success of
ablations was 100%; one (5.3%) of 19 tumours progressed. CONCLUSION: Accurate
spatial registration of tumours and substantial overlap of projected ablation
volumes are achievable when comparing PET and CT acquisitions from single-breath
hold PET/CT. The results suggest that tumours visible only at PET could be
accurately targeted and ablated using this technique.
PMID- 27889092
TI - Current Quality Measurement Tools Are Insufficient to Assess Complications in
Orthopedic Surgery.
AB - PURPOSE: The American College of Surgeons National Surgical Quality Improvement
Project (ACS-NSQIP) is a clinically-derived, validated tool to track outcomes in
surgery. The Agency for Healthcare Research and Quality Patient Safety Indicators
(AHRQ-PSI) are a set of computer algorithms run on administrative data to
identify adverse events. The purpose of this study is to compare complications
following orthopedic surgery identified by ACS-NSQIP and AHRQ-PSI. METHODS:
Patients between 2010 and 2012 who underwent orthopedic procedures (arthroplasty,
spine, trauma, foot and ankle, hand, and upper extremity) at our tertiary-care,
academic institution were identified (n = 3,374). Identification of inpatient
adverse events by AHRQ-PSI in the cohort was compared with 30-day events
identified by ACS-NSQIP. Adverse events common to both AHRQ-PSI and ACS-NSQIP
were infection, sepsis, venous thromboembolism, bleeding, respiratory failure,
wound disruption, and renal failure. Concordance between AHRQ-PSI and ACS-NSQIP
for identifying adverse events was examined. RESULTS: A total of 729 adverse
events (21.6%) were identified in the cohort using ACS-NSQIP methodology and 35
adverse events (1.0%) were found using AHRQ-PSI. Only 12 events were identified
by both methodologies. The most common complication was bleeding in ACS-NSQIP
(18.1%) and respiratory failure in AHRQ-PSI (0.53%). The overlap was highest for
venous thromboembolic events. There was no overlap in adverse events for 5 of the
7 categories of adverse events. CONCLUSIONS: A large discrepancy was observed
between adverse events reported in ACS-NSQIP and AHRQ-PSI. A large percentage of
clinically important adverse events identified in ACS-NSQIP were missed in AHRQ
PSI algorithms. The ability of AHRQ-PSI for detecting adverse events varied
widely with ACS-NSQIP. CLINICAL RELEVANCE: AHRQ-PSI algorithms currently are
insufficient to assess the quality of orthopedic surgery.
PMID- 27889093
TI - Removal of a Contraceptive Implant From the Brachial Neurovascular Sheath.
AB - Subdermal implantable devices are commonly used for long-acting contraception in
the United States and Europe. Although relatively safe in nature, their
implantation and removal may be associated with potential complications, some of
which may require surgical intervention. Complications may include infection,
incorrect implantation, migration, or difficulty with removal. Proximal migration
has been reported; however, there are no reports of devices that have migrated
within the brachial neurovascular sheath. This case report describes migration of
an implantable contraceptive within the brachial neurovascular sheath that
required surgical removal.
PMID- 27889094
TI - A proposal of an effective coping approach to esophageal intubation.
PMID- 27889095
TI - Continuous insufflation of oxygen without endotracheal intubation improves
cardiopulmonary resuscitation, a manikin-based model.
PMID- 27889097
TI - Axonal Degeneration Is Regulated by a Transcriptional Program that Coordinates
Expression of Pro- and Anti-degenerative Factors.
AB - Developmental neuronal cell death and axonal elimination are controlled by
transcriptional programs, of which their nature and the function of their
components remain elusive. Here, we identified the dual specificity phosphatase
Dusp16 as part of trophic deprivation-induced transcriptome in sensory neurons.
Ablation of Dusp16 enhanced axonal degeneration in response to trophic
withdrawal, suggesting that it has a protective function. Moreover, axonal skin
innervation was severely reduced while neuronal elimination was increased in the
Dusp16 knockout. Mechanistically, Dusp16 negatively regulates the transcription
factor p53 and antagonizes the expression of the pro-degenerative factor, Puma
(p53 upregulated modulator of apoptosis). Co-ablation of Puma with Dusp16
protected axons from rapid degeneration and specifically reversed axonal
innervation loss early in development with no effect on neuronal deficits.
Overall, these results reveal that physiological axonal elimination is regulated
by a transcriptional program that integrates regressive and progressive elements
and identify Dusp16 as a new axonal preserving factor.
PMID- 27889098
TI - Reply for comment on "Adsorptive removal of methylene blue by rhamnolipid
functionalized graphene oxide from wastewater".
PMID- 27889096
TI - Novel Functional Properties of Drosophila CNS Glutamate Receptors.
AB - Phylogenetic analysis reveals AMPA, kainate, and NMDA receptor families in insect
genomes, suggesting conserved functional properties corresponding to their
vertebrate counterparts. However, heterologous expression of the Drosophila
kainate receptor DKaiR1D and the AMPA receptor DGluR1A revealed novel ligand
selectivity at odds with the classification used for vertebrate glutamate
receptor ion channels (iGluRs). DKaiR1D forms a rapidly activating and
desensitizing receptor that is inhibited by both NMDA and the NMDA receptor
antagonist AP5; crystallization of the KaiR1D ligand-binding domain reveals that
these ligands stabilize open cleft conformations, explaining their action as
antagonists. Surprisingly, the AMPA receptor DGluR1A shows weak activation by its
namesake agonist AMPA and also by quisqualate. Crystallization of the DGluR1A
ligand-binding domain reveals amino acid exchanges that interfere with binding of
these ligands. The unexpected ligand-binding profiles of insect iGluRs allows
classical tools to be used in novel approaches for the study of synaptic
regulation. VIDEO ABSTRACT.
PMID- 27889099
TI - Eating disorders in the context of preconception care: fertility specialists'
knowledge, attitudes, and clinical practices.
AB - OBJECTIVE(S): To gauge fertility specialists' knowledge, clinical practices, and
training needs in regard to eating disorders. DESIGN: Cross-sectional study.
SETTINGS: Fertility clinics. PARTICIPANTS: Eighty Australian and New Zealand
fertility specialists who were members of the Fertility Society of Australia.
INTERVENTION(S): None. MAIN OUTCOME MEASURES(S): Responses to an anonymously
completed online questionnaire. RESULT(S): Approximately 54% of doctors correctly
identified the body mass index relevant to anorexia nervosa, and 30% identified
menstrual disturbances for anorexia, while 63.8% of doctors incorrectly nominated
maladaptive weight control behaviors as a characteristic of binge eating
disorder. While clinicians (83.7%) agreed it was important to screen for eating
disorders during preconception assessments, 35% routinely screened for eating
disorders and 8.8% indicated that their clinics had clinical practice guidelines
for management of eating disorders. A minority of participants (13.8%) felt
satisfied with their level of university training in eating disorders, 37.5% of
doctors felt confident in their ability to recognize symptoms of an eating
disorder, and 96.2% indicated a need for further education and clinical
guidelines. On most items examined, knowledge and clinical practices regarding
eating disorders did not differ according to doctor gender or years of clinical
experience working as a fertility specialist. CONCLUSION(S): Knowledge about
eating disorders in the context of fertility treatment is important. This study
highlights the uncertainty among fertility specialists in detecting features of
eating disorders. The findings point to the importance of further education and
training, including the development of clinical guidelines specific to fertility
health care providers.
PMID- 27889100
TI - Epigenetic regulation of an adverse metabolic phenotype in polycystic ovary
syndrome: the impact of the leukocyte methylation of PPARGC1A promoter.
AB - OBJECTIVE: To investigate PPARGC1A promoter methylation and mitochondria DNA
(mtDNA) content in the leukocytes of women with polycystic ovary syndrome (PCOS)
and analyze the relationship between these indices and metabolic risk for women
with PCOS. DESIGN: Cross-sectional study. SETTING: University hospital.
PATIENT(S): A total of 175 women with PCOS and 127 healthy controls.
INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): Women with and without PCOS
classified using the typical metabolic risk criteria of the National Cholesterol
Education Program's Adult Treatment Panel III report (ATPIII), methylation of
PPARGC1A promoter tested by methylation-specific polymerase chain reaction, and
mtDNA content confirmed by quantitative polymerase chain reaction (PCR).
RESULT(S): PPARGC1A promoter methylation was specifically increased, but mtDNA
content was specifically decreased in women with PCOS compared with the control
women after adjustment for body mass index. Moreover, in women with PCOS who have
increased metabolic risk, the differences in PPARGC1A promoter methylation and
mitochondrial content were aggravated. CONCLUSION(S): In conclusion, PPARGC1A
promoter methylation and mitochondrial content were found to be potential
biomarkers for the prediction of metabolic risk in women with PCOS.
PMID- 27889102
TI - Analysis of gene expression and regulation implicates C2H9orf152 has an important
role in calcium metabolism and chicken reproduction.
AB - The reproductive system of a female bird is responsible for egg production. The
genes highly expressed in oviduct are potentially important. From RNA-seq
analysis, C2H9orf152 (an orthologous gene of human C9orf152) was identified as
highly expressed in chicken uterus. To infer its function, we obtained and
characterized its complete cDNA sequence, determined its spatiotemporal
expression, and probed its transcription factor(s) through pharmaceutical
approach. Data showed that the complete cDNA sequence was 1468bp long with a
789bp of open reading frame. Compared to other tested tissues, this gene was
highly expressed in the oviduct and liver tissues, especially uterus. Its
expression in uterus was gradually increased during developmental and
reproductive periods, which verified its involvement in the growth and maturity
of reproductive system. In contrast, its expression was not significant different
between active and quiescent uterus, suggesting the role of C2H9orf152 in
reproduction is likely due to its long-term effect. Moreover, based on its 5'
flanking sequence, Foxd3 and Hnf4a were predicted as transcription factors of
C2H9orf152. Using berberine or retinoic acid (which can regulate the activities
of Hnf4a and Foxd3, respectively), we demonstrated suppression of C2H9orf152 by
the chemicals in chicken primary hepatocytes. As retinoic acid regulates calcium
metabolism, and Hnf4a is a key nuclear factor to liver, these findings suggest
that C2H9orf152 is involved in liver function and calcium metabolism of
reproductive system. In conclusion, C2H9orf152 may have a long-term effect on
chicken reproductive system by regulating calcium metabolism, suggesting this
gene has an important implication in the improvement of egg production and
eggshell quality.
PMID- 27889101
TI - Highly heterogeneous genomic landscape of uterine leiomyomas by whole exome
sequencing and genome-wide arrays.
AB - OBJECTIVE: To determine the genomic signatures of human uterine leiomyomas and
prevalence of MED12 mutations in human uterine leiomyosarcomas. DESIGN:
Retrospective cohort study. SETTING: Not applicable. PATIENT(S): This study
included a set of 16 fresh frozen leiomyoma and corresponding unaffected
myometrium specimens as well as 153 leiomyosarcomas collected from women
diagnosed with uterine leiomyomas or leiomyosarcomas who underwent clinically
indicated abdominal hysterectomy. INTERVENTION(S): None. MAIN OUTCOME MEASURE(S):
Whole exome sequencing and high-resolution X-chromosome and whole genome single
nucleotide polymorphism microarray analyses were performed on leiomyoma samples
negative for the known MED12 mutations and compared with their corresponding
myometrium. Leiomyosarcoma specimens were examined for exon 2 MED12 mutations to
evaluate the frequency of MED12 mutated leiomyosarcomas. RESULT(S): Our results
indicate remarkable genomic heterogeneity of leiomyoma lesions. MED12-negative
leiomyomas contain copy number alterations involving the Mediator complex
subunits such as MED8, MED18, CDK8, and long intergenic nonprotein coding RNA340
(CASC15), which may affect the Mediator architecture and/or its transcriptional
activity. We also identified mutations in a number of genes that were implicated
in leiomyomagenesis such as COL4A6, DCN, and AHR, as well as novel genes: NRG1,
ADAM18, HUWE1, FBXW4, FBXL13, and CAPRIN1. CONCLUSION(S): Mutations in genes
implicated in cell-to-cell interactions and remodeling of the extracellular
matrix and genomic aberrations involving genes coding for the Mediator complex
subunits were identified in uterine leiomyomas. Additionally, we discovered that
~4.6% of leiomyosarcomas harbored MED12 exon 2 mutations, but the relevance of
this association with molecular pathogenesis of leiomyosarcoma remains unknown.
PMID- 27889103
TI - Cardiac Autonomic Changes After Thoracic Sympathectomy: A Prospective, Randomized
Study.
AB - BACKGROUND: We evaluated whether cardiac autonomic changes could be associated
with different extent of sympathetic nerve resection in the management of
essential palmar hyperhidrosis. METHODS: Sixty patients with essential palmar
hyperhidrosis were randomly allocated to undergo excision of T3 ganglia
(sympathicectomy group; n = 30) or to interruption of sympathetic chain at the T2
to T3 level with ganglion sparing (sympathicotomy group; n = 30). Time and
frequency domains were measured with a 24-Holter monitor during daytime,
nighttime, and 24-hour periods at different interval points (7 days before
operation; 24 hours; and 1, 3, and 6 months later), and the differences were
statistically compared. Clinical outcomes were also evaluated. RESULTS: Twenty
eight of 30 patients of the sympathectomy and 29 of 30 patients of the
sympathicotomy group completed the study. In both groups, we observed a
significant increase (p < 0.05) of vagal activity measurements as root mean
square of the successive differences of heart period; proportion of adjacent
normal R-R intervals >50 ms; high frequency; and a significant decrease (p <
0.05) of adrenergic activity variables as heart rate, low frequency, and the
ratio between low frequency and high frequency during daytime, nighttime, and 24
hour periods. These changes were significantly more evident (p < 0.05) in the
sympathectomy group than in the sympathicotomy group. Clinical outcomes were
similar between the two groups. CONCLUSIONS: Endoscopic thoracic sympathectomy
caused a shift of sympathovagal balance toward parasympathetic tone that seems to
be associated with the extent of denervation. This trial was registered at
clinicaltrials.gov as NCT02733497.
PMID- 27889104
TI - Three-dimensional reconstruction of the embryonic pancreas in the grass snake
Natrix natrix L. (Lepidosauria, Serpentes) based on histological studies.
AB - The aim of this study was to evaluate two research hypotheses: H0-the embryonic
pancreas in grass snakes develops in the same manner as in all previously
investigated amniotes (from three buds) and its topographical localization within
the adult body has no relation to its development; H1-the pancreas develops in a
different manner and is related to the different topography of internal organs in
snakes. For the evaluation of these hypotheses we used histological methods and
three-dimensional (3D) reconstructions of the position of the pancreatic buds and
surrounding organs at particular developmental stages and of the final position
and shape of the pancreatic gland. Our results indicate that the pancreas
primordium in the grass snake is formed by only two buds - a dorsal and a ventral
one - that are not connected until the end of stage II. This differs from the
majority of vertebrates investigated so far. The gall bladder of the grass snake
embryos is connected with the liver only by a thin cystic duct, which also
differs from many other vertebrates. Our histological study also indicates a
different distribution of the endocrine cells in the embryonic pancreas of the
grass snake because the first endocrine cells appeared in the dorsal part of the
pancreas in a region located close to the spleen. During the entire developmental
period no evidence of these cells was found in the ventral part of the pancreas.
The endocrine cells form elongated, large and irregular-shaped islets. They can
also form structures resembling "inverted acini". Such an arrangement is
characteristic of snakes only. The differentiating pancreas penetrates the
ventral part of the developing spleen and divides it into three separate parts at
developmental stage IX. This is unique among vertebrates. At the end of the
embryonic development (stage XI), the pancreas, the spleen and the gall bladder
are located in close proximity and form the so-called triad. Our results suggest
that the untypical topography of the organ systems in snakes may determine the
unique development of the pancreas in these animals.
PMID- 27889105
TI - Corrigendum to "Methylene blue staining in the parotid surgery: Randomized trial,
144 patients" [Am J Otolaryngol 37 (2016) 22-26].
PMID- 27889106
TI - Expression of the JAK/STAT signaling pathway in the ligamentum flavum of patients
with lumbar spinal canal stenosis.
AB - BACKGROUND: Ligamentum flavum (LF) hypertrophy is an important cause of lumbar
spinal canal stenosis (LSS), one of the most common spinal disorders in the
elderly. Although many cytokines are reported to be associated with LF
hypertrophy, the intracellular signaling system is rarely discussed. The purpose
of this study was to identify the JAK/STAT signaling pathway and to examine the
role of the JAK/STAT systems in the hypertrophied LF. METHODS: The LF of 10 LSS
patients was analyzed and the expression of JAK1, STAT3, phosphorylated (p)
STAT3, and actin was examined by Western blot analysis. The expression of p-STAT3
was also examined by immunostaining and its positive cell ratio was compared
between LSS and non-LSS samples. We measured the thickness of the LF on magnetic
resonance images and studied the relationship between its thickness and the
expression of p-STAT3. RESULTS: JAK1, STAT3, and p-STAT3 were detected in almost
all samples by Western blot analysis. Immunoreactivity against p-STAT3 was
observed mainly in endothelial- and fibroblast-like cells. The expression of p
STAT3 was significantly higher in LSS than non-LSS samples; it was significantly
stronger on the dorsal than the dural side of the LF and positively correlated
with the thickness of the LF on the dorsal side. CONCLUSIONS: The JAK/STAT
signaling pathway is positively correlated with the thickness of the LF. Our
findings suggest that JAK1 and STAT3 molecules are involved in and regulate LF
hypertrophy.
PMID- 27889107
TI - Combination of the anthocyanidins malvidin and peonidin attenuates
lipopolysaccharide-mediated inflammatory gene expression in primary human
adipocytes.
AB - We recently demonstrated that California table grapes and a methanol-extractable,
polyphenol-rich fraction decreased adiposity, insulin resistance, or markers of
inflammation in high-fat fed mice. Malvidin and peonidin glycosides were the 2
most abundant anthocyanins in the polyphenol-rich fraction. We hypothesized that
a blood borne combination of anthocyanidins malvidin and peonidin derived from
intestinal beta-glycosidase metabolism of these 2 anthocyanins are responsible,
in part, for the beneficial health effects observed in vivo. Therefore, we
supplemented primary human adipocytes with malvidin or peonidin, alone or
together, followed by acute lipopolysaccharide (LPS) treatment. Neither peonidin
nor malvidin alone consistently decreased the expression of several inflammatory
genes. However, supplementing adipocytes with an equal combination of malvidin
plus peonidin followed by LPS treatment decreased the mRNA levels of interleukin
(IL)-6, IL-1beta, IL-8, monocyte chemoattractant protein-1, toll-like receptor-2,
tumor necrosis factor alpha, cyclooxygenase-2, and interferon gamma-induced
protein-10. The highest combination dose of malvidin plus peonidin decreased or
increased the expression of protein tyrosine phosphatase-1B and hormone sensitive
lipase, respectively, genes encoding proteins associated with insulin resistance
or lipolysis. These data indicate that a combination of malvidin plus peonidin
have potentiating interactions that reduce inflammatory gene expression; however,
in vivo studies are needed to support these in vitro data.
PMID- 27889109
TI - A cross-sectional study of the impact of physiotherapy and self directed exercise
on the functional outcome of internally fixed isolated unimalleolar Weber B ankle
fractures.
AB - This study aimed to measure the functional outcome and quality of life in a group
of patients with the same fracture type (unimalleolar Weber B ankle fractures)
treated operatively at various time points and to explore the determinants of
such outcomes. A cross-sectional retrospective population study was conducted.
Validated Patient Related Outcome Measures (PROMs) and patient interviews were
used. Fifty-one patients were included with a mean age of 54.9 years. Mean follow
up was 25 months (range 4-46 months). Mean functional scores were high (mean
AOFAS 79.2, O&M 75.7, VAS-FA 80.5). However, 32% of patients did not classify
themselves as fully recovered during interviews. Patient reported self-directed
exercise had a statistically significant positive effect on self-reported patient
perceptions of outcome (p=0.022) and PROMs (AOFAS p=0.01, O&M p=0.016, VAS-FA
p=0.011). Formal physiotherapy rehabilitation was found to have no effect on self
reported patient perceptions (p=0.242) or PROMs (AOFAS p=0.8, O&M p=0.73, VAS-FA
p=0.46). Our finding that physical activity is associated with improved outcome
would suggest structured exercise programmes should be considered in place of
physiotherapy to optimise patient outcomes.
PMID- 27889108
TI - Positive Regulation of Lyn Kinase by CD148 Is Required for B Cell Receptor
Signaling in B1 but Not B2 B Cells.
AB - B1 and B2 B cells differ in their ability to respond to T-cell-independent (TI)
antigens. Here we report that the Src-family kinase (SFK) regulator CD148 has a
unique and critical role in the initiation of B1 but not B2 cell antigen receptor
signaling. CD148 loss-of-function mice were found to have defective B1 B-cell
mediated antibody responses against the T-cell-independent antigens NP-ficoll and
Pneumovax 23 and had impaired selection of the B1 B cell receptor (BCR)
repertoire. These deficiencies were associated with a decreased ability of B1 B
cells to induce BCR signaling downstream of the SFK Lyn. Notably, Lyn appeared to
be selectively regulated by CD148 and loss of this SFK resulted in opposite
signaling phenotypes in B1 and B2 B cells. These findings reveal that the
function and regulation of Lyn during B1 cell BCR signaling is distinct from
other B cell subsets.
PMID- 27889110
TI - Psychological distress and physical disability in patients sustaining severe
injuries in road traffic crashes: Results from a one-year cohort study from three
European countries.
AB - The current study aimed to follow-up a group of road crash survivors for one year
and assesses the impact of injury on their psychological and physical condition.
All crash survivors that were admitted to the intensive or sub-intensive care
units of selected hospitals in Greece, Germany and Italy over one year period
(2013-2014), were invited to participate in the study and were interviewed at
three different time-points as follows: (a) at one month (baseline data), (b) at
six months, and (c) at twelve months. The study used widely recommended
classifications for injury severity (AIS, MAIS) and standardized health outcome
measures such as the Disability Assessment Schedule II (WHODAS 2.0) to measure
disability, "Impact of Event Scale" (IES-R) to measure Post-Traumatic Stress
Disorder (PTSD), Center for Epidemiological Studies Depression Scale (CES-D
Scale) to measure depression. A total of 120 patients were enrolled in the study
in all the partner countries and 93 completed all follow up questionnaires. The
risk of physical disability was 4.57 times higher [CI 1.98-2.27] at the first
follow up and 3.43 times higher [CI 1.43-9.42] at the second follow up as
compared with the time before the injury. There was a 79% and an 88% lower risk
of depression at the first and the second follow up respectively, as compared
with the baseline time. There was also a 72% lower risk of Post-Traumatic Stress
at the second follow up as compared with the baseline time. A number of factors
relevant to the individuals, the road crash and the injury, were shown to
distinguish those at higher risk of long-lasting disability and psychological
distress including age, marital status, type of road user, severity and type of
the injury, past emotional reaction to distress. The study highlights the
importance of a comprehensive and holistic understanding of the impact of injury
on an individual and further underlines the importance of screening and treating
psychological comorbidities in injury in a timely manner.
PMID- 27889111
TI - Minimally invasive fixation in tibial plateau fractures using an pre-operative
and intra-operative real size 3D printing.
AB - The purpose of our study was to compare the outcome after minimally invasive
reconstruction and internal fixation with and without the use of pre- and intra
operative real size 3D printing for patients with displaced tibial plateau
fractures (TPFs). We prospectively followed up 40 consecutive adult patients with
closed TPF who underwent surgical treatment of reconstruction of the tibial
plateau with the use of minimally invasive fixation. Sixteen patients (group 1)
were operated using a pre-operative and intra-operative real size 3D-model, while
24 patients (group 2) were operated without 3D-model printing, but using only pre
operative and intra-operative 3D Tc-scan images. The mean operating time was
148.2+/-15.9min for group 1 and 174.5+/-22.2min for group 2 (p=0.041). In
addition, the mean intraoperative blood loss was less in group 1 (520mL) than in
group 2 (546mL) (p=0.534). After discharge, all patients were followed up at 6
weeks, 12 weeks, 6 months, 1year and then every year post surgically and
radiographic evaluation was carried out each time using clinical and radiological
Rasmussen's score, with no significant differences between the two groups. Two
patients (group 2) developed infection which resolved within 3 weeks after usage
of antibiotics. Neither superficial nor deep infections were present in group 1.
In all patients, no non-union occurred. No intraoperative, perioperative, or
postoperative complications, such as loss of valgus correction, bone fractures,
or metallic plate failures were detected at follow-up. In patients operated with
the use of 3D-model printing, we found a significant reduction in surgical time.
Moreover, the technique without a 3D-model increased the patient's and the
surgeon's exposure to radiation.
PMID- 27889113
TI - Editorial overview: Multi-protein assemblies in signaling.
PMID- 27889112
TI - Risk factors for cut-out of double lag screw fixation in proximal femoral
fractures.
AB - OBJECTIVE: We assessed factors associated with cut-out after internal fixation of
proximal femoral fractures using double lag screw nails. DESIGN: Retrospective
cohort study. SETTING: A university hospital. PATIENTS AND METHODS: Patients with
non-pathological intertrochanteric femur fractures and a minumum 90days follow-up
who underwent internal fixation with dual lag screw nails were included.
Potential risk factors for lag screw cut-out investigated by our study were: age,
gender, body mass index, comorbidities (American Society of Anesthesiologists
[ASA] classification), type of fracture (AO/OTA classification), fracture
stability, side, operation time, implant length, reduction quality, tip-apex
distance (TAD), and lag screw configuration. Logistic regression was used to
investigate potential predictors of screw cut-out. RESULTS: Eighty-five of the
118 patients with hip fractures treated between February 2010 and November 2013
at our institution met the inclusion criteria for the study. Fifty-eight patients
were female (68.2%), mean age was 77.4 (range: 50-95 years), mean follow up was
380days (range: 150days-2.5 years), and cut of was observed in 9 patients
(10.5%). The following variables identified through univariate analysis with
p<0.2 were included in multivariant logistic regression model: age, side,
reduction quality, implant length, TAD and ASA score. Only TAD (p=0.003) was
found to be significant in the multivariant model. CONCLUSIONS: Our study
confirmed that risk factors for cut-out with single-lag screw devices are also
applicable to dual-lag screw implants. We found that TAD was a significant factor
for cut-out in dual-lag screw implants. Thus, screw cut-out can be minimized by
optimizing screw position.
PMID- 27889114
TI - The hidden web and the fentanyl problem: Detection of ocfentanil as an adulterant
in heroin.
AB - BACKGROUND: The popularization of anonymous markets such as Silk Road is
challenging current drug policy and may provide a new context for old issues,
such as adulteration of heroin with fentanyl derivatives. The aims of this paper
are to report the presence of ocfentanil, a novel, potent, non-controlled
fentanyl analog, in samples sold as heroin in the hidden web, and to summarize
the effects reported by users. METHODS: In 2015, four samples allegedly bought as
heroin in cryptomarkets of the hidden web were sent to Energy Control for
analysis. Energy Control is a Spanish harm reduction NGO that offers anonymous
drug checking with the purpose of adapting counselling to the specific substances
present in the drug and monitor the drug market. Identification was performed by
GC/MS and LC/MS/MS. We contacted the submitters of the samples and performed an
Internet search to retrieve additional information. RESULTS: One sample contained
ocfentanil, caffeine and heroin. Three samples contained the aforementioned
substances plus paracetamol. Two out of the four contacted users reported
distinct short acting, opioid-like effects. No fora discussion could be found
about the effects of ocfentanil, neither web pages nor individuals advertising
the substance. CONCLUSION: We report the presence of a new substance detected in
the hidden web as an adulterant of heroin, ocfentanil. It has short acting opioid
like effects, roughly the same potency as fentanyl, and can be injected, snorted
or smoked. Severe side effects have been associated with its use, including one
death. No discussion about this substance could be found in the Internet, which
suggests this substance has not been sold as such. Available data about purities
of drugs purchased in cryptomarkets suggest that adulteration is not a severe
problem and this agrees with users' perceptions. However, this study suggests
that adulteration is a real threat not only at the street level, but also for
users that buy substances in cryptomarkets, and suggest the need for harm
reduction initiatives in this setting.
PMID- 27889115
TI - New psychoactive substances: Are there any good options for regulating new
psychoactive substances?
AB - Advances in chemistry, technology, and globalization have contributed to the
rapid development and diffusion of NPS (new psychoactive substances), creating
perhaps the most serious challenge to the century-old international drug control
system and to national systems. Very little is known about the effects of these
substances which fall outside of national and international controls. The
predominant response to the flood of NPS has been the development of more
expeditious methods of prohibiting a new chemical entity. This article explores
alternative mechanisms that have been considered: foodstuffs, alcohol and tobacco
and medicines. None seems promising in terms of avoiding the problems of
prohibition without permitting unsafe substances on the market. The article then
considers whether there is a bias in the existing system toward excessive
prohibition. In public discussion, no attention is given to the pleasures that
users obtain from any NPS, to the possibility of substitution for a more
dangerous legal or illegal drug or to the consequences of illegal markets for
prohibited NPS. On the other hand, it may well be impossible to obtain meaningful
assessments on any of these matters at the time when decisions are made. These
complexities have led a number of countries, most recently Australia and the UK,
to impose total bans, the effects of which are impossible to predict.
PMID- 27889116
TI - Total phallic reconstruction using radial forearm free flap after iatrogenic
penile amputation.
AB - INTRODUCTION: The iatrogenic loss of the penis is a rare situation. We present a
challenging case of deferred total penile reconstruction in a genetic male.
MATERIAL AND METHODS: A 57-year-old man with the loss of the penis due to a
penile abscess and necrosis secondary to penile curvature surgery. The
reconstruction was performed over several operations using a radial forearm free
flap (RFFF) and placement of a customised inflatable prosthesis a year later.
RESULTS: During the first operation, the penile abscess was drained, the necrotic
residues were debrided and placement of hypogastric drainage. Seven weeks later,
phalloplasty was performed with RFFF and a tube-in-tube neourethra was
constructed. Multiple microsurgical anastomosis was performed, and the donor site
was coated with a skin graft from the thigh of partial thickness. The surgery
lasted 10hours and had the complication of hair growth in the neourethra, which
required mechanical endoscopic depilation on repeated occasions. The patient
regained penile sensitivity. Eighteen months after the phalloplasty, a Zephyr
single-body inflatable prosthesis (Geneva, Switzerland) was implanted, using the
tunica albuginea of the proximal corpus cavernosum. The patient was satisfied
with the aesthetics and urinary and sensory function. Four months later, the
patient is gaining confidence to consider penetration. CONCLUSIONS: Despite the
risk of postoperative complications and the need for multiple operations, phallic
reconstruction with RFFF and the placement of a customised prosthetic implant can
improve urinary and sexual function secondary to the loss of the penis.
PMID- 27889118
TI - Editorial overview: Genetics of human origin: New horizons in human population
genetics.
PMID- 27889117
TI - Inadequate pain relief among patients with primary knee osteoarthritis.
AB - BACKGROUND: Despite the widespread treatments for Osteoarthritis (OA), data on
treatment patterns, adequacy of pain relief, and quality of life are limited. The
prospective multinational Survey of Osteoarthritis Real World Therapies (SORT)
was designed to investigate these aspects. OBJECTIVES: To analyze the
characteristics and the patient reported outcomes of the Portuguese dataset of
SORT at the start of observation. METHODS: Patients >= 50 years with primary knee
OA who were receiving oral or topical analgesics were eligible. Patients were
enrolled from seven healthcare centers in Portugal between January and December
2011. Pain and function were evaluated using the Brief Pain Inventory (BPI) and
WOMAC. Quality of life was assessed using the 12-Item Short Form Health Survey
(SF-12). Inadequate Pain Relief (IPR) was defined as a score>4/10 on item 5 of
the BPI. RESULTS: Overall, 197 patients were analyzed. The median age was 67.0
years and 78.2% were female. Mean duration of knee OA was 6.2 years. IPR was
reported by 51.3% of patients. Female gender (adjusted odds ratio - OR 2.15
[95%CI 1.1, 4.5]), diabetes (OR 3.1 [95%CI 1.3, 7.7]) and depression (OR 2.24
[95%CI 1.2, 4.3]) were associated with higher risk of IPR. Patients with IPR
reported worst outcomes in all dimensions of WOMAC (p<0.001) and in all eight
domains and summary components of SF-12 (p<0.001). CONCLUSIONS: Our findings
indicate that improvements are needed in the management of pain in knee OA in
order to achieve better outcomes in terms of pain relief, function and quality of
life.
PMID- 27889119
TI - Determining suitable dimensions for dairy goat feeding places by evaluating body
posture and feeding reach.
AB - Confined goats spend a substantial part of the day feeding. A poorly designed
feeding place increases the risk of feeding in nonphysiological body postures,
and even injury. Scientifically validated information on suitable dimensions of
feeding places for loose-housed goats is almost absent from the literature. The
aim of the present study was, therefore, to determine feeding place dimensions
that would allow goats to feed in a species-appropriate, relaxed body posture. A
total of 27 goats with a height at the withers of 62 to 80 cm were included in
the study. Goats were tested individually in an experimental feeding stall that
allowed the height difference between the feed table, the standing area of the
forelegs, and a feeding area step (difference in height between forelegs and hind
legs) to be varied. The goats accessed the feed table via a palisade feeding
barrier. The feed table was equipped with recesses at varying distances to the
feeding barrier (5-55 cm in 5-cm steps) at angles of 30 degrees , 60 degrees , 90
degrees , 120 degrees , or 150 degrees (feeding angle), which were filled with
the goats' preferred food. In 18 trials, balanced for order across animals, each
animal underwent all possible combinations of feeding area step (3 levels: 0, 10,
and 20 cm) and of difference in height between feed table and standing area of
forelegs (6 levels: 0, 5, 10, 15, 20, and 25 cm). The minimum and maximum reach
at which the animals could reach feed on the table with a relaxed body posture
was determined for each combination. Statistical analysis was performed using
mixed-effects models. The animals were able to feed with a relaxed posture when
the feed table was at least 10 cm higher than the standing height of the goats'
forelegs. Larger goats achieved smaller minimum reaches and minimum reach
increased if the goats' head and neck were angled. Maximum reach increased with
increasing height at withers and height of the feed table. The presence of a
feeding area step had no influence on minimum and maximum reach. Based on these
results, the goats' feeding place can be designed to ensure that the animals are
able to reach all of the feed in the manger or on the feed table with a relaxed
posture, thus avoiding injuries and nonphysiological stress on joints and hooves.
A feeding area step up to a maximum of 20 cm need not be taken into account in
terms of feeding reach. However, the feed table must be raised at least 10 cm
above the standing area to allow the goats to feed in a species-appropriate,
relaxed posture.
PMID- 27889120
TI - Comparison of commercial DNA extraction kits and quantitative PCR systems for
better sensitivity in detecting the causative agent of paratuberculosis in dairy
cow fecal samples.
AB - Mycobacterium avium ssp. paratuberculosis (MAP) causes ruminant paratuberculosis
(Johne's disease) worldwide. Oral-fecal contamination is the most important mode
of transmission of paratuberculosis, so eradicating MAP-shedding animals could
prevent disease propagation. Fecal culture, a well-known method for MAP
diagnosis, requires costly specialized media and a long incubation time that
sometimes ends in disappointing bacterial contamination. To facilitate the
efforts of control programs, we evaluated the performance of direct fecal
quantitative PCR (qPCR) assays for their sensitivity and robustness for MAP
detection. Commercial kits use different strategies for extracting DNA, combined
with qPCR systems, to detect the presence of MAP in fecal samples. In this study,
we compared the sensitivity of 3 commercially available DNA extraction kits (A,
B, and C) combined with 2 qPCR systems (T and V) for the detection of MAP in
infectious cows. A total of 49 dairy cows from 5 herds were sampled twice a year
for 3 yr and diagnosed using fecal culture and ELISA. Eight replicates of their
fecal samples from the first sampling were tested using each DNA extraction
method and qPCR detection system. Although all 3 of the commercial DNA extraction
kits have been previously described as very efficient for the diagnosis of
paratuberculosis, kit B provided the highest sensitivity. Indeed, 89% of the cows
declared positive for paratuberculosis by both fecal culture and ELISA were
identified with kit B, whereas only 23 and 43% of the cows were identified with
kits A and C, respectively. Interestingly, kit B was able to detect some low-MAP
shedders. The qPCR detection system also played a critical role: system T yielded
qPCR with the highest sensitivity. The results of this study suggest that DNA
extraction kit B combined with detection system T provides the best amplification
of MAP DNA from fecal samples with the highest sensitivity and specificity.
Although 1 DNA extraction and qPCR analysis should be adequate to confirm that an
animal with diarrhea or other signs of paratuberculosis is positive, detecting
low shedders at the highest sensitivity should include repetitive testing. This
study demonstrates the importance of repetitions using the most appropriate
method for extracting DNA from fecal samples, combined with a compatible qPCR
system for identifying MAP-shedding animals.
PMID- 27889121
TI - Increasing estrus expression in the lactating dairy cow.
AB - Using an activity monitoring system (AMS) equipped with an accelerometer, 2
experiments were conducted to test the hypotheses that (1) enhancing progesterone
before inducing luteolysis or (2) exposing cows to estradiol cypionate (ECP) or
testosterone propionate (TP) after luteolysis would increase occurrence and
intensity of estrus. Our goal was to determine if more cows could be detected in
estrus by an AMS compared with other estrus-detection aids. In experiment 1, cows
(n=154) were fitted with both an AMS collar and a pressure-sensitive, rump
mounted device (HeatWatch; HW) and assigned to 3 treatments: (1) no CL +
progesterone insert (CIDR) for 5d, (2) CL only, or (3) CL + 2 CIDR inserts for 5d
to achieve a range in concentrations of progesterone. Prostaglandin F2alpha was
administered to all cows upon CIDR insert removal or its equivalent. Progesterone
concentration up to 72h posttreatment was greatest in CL + 2 CIDR, followed by CL
only, and no CL + CIDR cows. Estrus occurred 14 to 28h earlier in no CL + CIDR
compared with CL-bearing cows. Estrus intensity was greater for CL + 2 CIDR than
for CL-only cows. The AMS and HW detected 70 and 59% of cows defined to be in
estrus, respectively. In experiment 2, cows (n=203) were equipped with both an
AMS and a friction-activated, rump-mounted patch (Estrotect patch) and assigned
to receive 1mg of ECP, 2mg of TP, or control 24h after PGF2alpha. Concentrations
of estradiol 24 and 48h after treatment were greater in ECP cows compared with
controls. Estrus expression detected by AMS or patches in cows defined to be in
estrus tended to be greater or was greater for ECP compared with controls,
respectively. Compared with controls and in response to TP or ECP, estrus
occurred 8 to 18h earlier and was of greater intensity for ECP cows,
respectively. The AMS and patches determined 73 and 76% of cows defined to be in
estrus, respectively. Of cows exposed to the AMS, HW, or patches, 70, 61, and
75%, respectively, were detected in estrus and more than 93% of these
subsequently ovulated. In contrast, of the residual cows not detected in estrus,
62 to 77% ovulated in the absence of detected estrus. Only ECP was successful in
inducing more expression and intensity of estrus, and proportions of cows
detected in estrus exceeded 80%. Given the large proportion of cows equipped with
AMS collars ovulating in the absence of estrus, further research is warranted to
determine if more pregnancies can be achieved by inseminating those cows not
detected in estrus at an appropriate time when PGF2alpha is administered to
induce luteolysis.
PMID- 27889123
TI - Validation of the M-stage scoring system for digital dermatitis on dairy cows in
the milking parlor.
AB - A high prevalence of digital dermatitis (DD) and the benefits of early topical
treatment highlight the need for simple tools for routine DD detection. The
objective of this study was to determine the accuracy of scoring DD lesions using
the 5 M-stage scoring system in the milking parlor compared with the trimming
chute as the gold standard. Three observers inspected 3,585 cows and 6,991 hind
feet from 9 farms in the milking parlor using a mirror (glued to a plastic
kitchen spatula) and a headlamp, followed by inspection in a trimming chute
within 5 d. Interobserver agreement for scoring DD in various settings was >=82%
(kappa >0.74; weighted kappa >0.76). At trimming chute inspections, 68% of cows
had at least 1 DD lesion, 19% had 1 hind leg affected, and 49% had both hind legs
affected. Within-herd DD prevalence ranged from 16 to 81% of cows affected. True
within-herd prevalence was 2, 6, 0, 36, and 14% for M1, M2, M3, M4, and M4.1
lesions, respectively. At the foot level, DD prevalence was the same (58%) in the
milking parlor and trimming chute inspection, but distribution of M-stages
differed. Milking parlor inspection as a means of identifying the presence of DD
lesions had a sensitivity of 92% and specificity of 88%, with positive and
negative predictive values of 91 and 89%, respectively. Agreement between milking
parlor and trimming chute inspections was 73% (kappa = 0.59, weighted kappa =
0.65) for the 5 M-stage scoring system and 90% (kappa = 0.80) if only the
presence of a lesion was noted. Test characteristics varied greatly among M
stages, with the highest sensitivity for detecting M4 (82%) and M2 (62%) lesions,
and the lowest for detecting M4.1 (20%), M1 (7%), and M3 (0%) lesions. In the
milking parlor, 20% of M2 lesions were misclassified as M4.1, 8% of M4 lesions
were misclassified as M0, and 68% of M4.1 lesions were misclassified as M4. The
majority (87%) of DD lesions were located between the heel bulbs; 10 and 2% of DD
lesions affected the interdigital space and the front of the foot, respectively.
The sensitivity to detect the presence of a lesion when it occurred between the
heel bulbs was 93%, but <67% if it occurred elsewhere on the foot. We concluded
that inspection of the rear feet in the milking parlor was an inexpensive and
simple method of detecting and scoring DD lesions. If the objective is to
determine herd-level DD prevalence and routine monitoring, this method was
adequately reliable. However, if the objective is to follow up DD in cows with
history of interdigital hyperplasia or to detect M1 or M4.1 lesions, this method
was not sufficiently reliable. Although DD scoring in the milking parlor as a
routine practice should facilitate early detection, prompt treatment
interventions, and herd monitoring, it was not sufficiently reliable to replace
definitive identification of M-stages in the trimming chute.
PMID- 27889122
TI - Genome-wide association study for cheese yield and curd nutrient recovery in
dairy cows.
AB - Cheese production and consumption are increasing in many countries worldwide. As
a result, interest has increased in strategies for genetic selection of
individuals for technological traits of milk related to cheese yield (CY) in
dairy cattle breeding. However, little is known about the genetic background of a
cow's ability to produce cheese. Recently, a relatively large panel (1,264 cows)
of different measures of individual cow CY and milk nutrient and energy
recoveries in the cheese (REC) became available. Genetic analyses showed
considerable variation for CY and for aptitude to retain high proportions of fat,
protein, and water in the coagulum. For the dairy industry, these characteristics
are of major economic importance. Nevertheless, use of this knowledge in dairy
breeding is hampered by high costs, intense labor requirement, and lack of
appropriate technology. However, in the era of genomics, new possibilities are
available for animal breeding and genetic improvement. For example,
identification of genomic regions involved in cow CY might provide potential for
marker-assisted selection. The objective of this study was to perform genome-wide
association studies on different CY and REC measures. Milk and DNA samples from
1,152 Italian Brown Swiss cows were used. Three CY traits expressing the weight
(wt) of fresh curd (%CYCURD), curd solids (%CYSOLIDS), and curd moisture
(%CYWATER) as a percentage of weight of milk processed, and 4 REC (RECFAT,
RECPROTEIN, RECSOLIDS, and RECENERGY, calculated as the % ratio between the
nutrient in curd and the corresponding nutrient in processed milk) were analyzed.
Animals were genotyped with the Illumina BovineSNP50 Bead Chip v.2. Single marker
regressions were fitted using the GenABEL R package (genome-wide association
using mixed model and regression-genomic control). In total, 103 significant
associations (88 single nucleotide polymorphisms) were identified in 10
chromosomes (2, 6, 9, 11, 12, 14, 18, 19, 27, 28). For RECFAT and RECPROTEIN,
high significance peaks were identified in Bos taurus autosome (BTA) 6 and BTA11,
respectively. Marker ARS-BFGL-NGS-104610 (~104.3 Mbp) was highly associated with
RECPROTEIN and Hapmap52348-rs29024684 (~87.4 Mbp), closely located to the casein
genes on BTA6, with RECFAT. Genomic regions identified may enhance marker
assisted selection in bovine cheese breeding beyond the use of protein (casein)
and fat contents, whereas new knowledge will help to unravel the genomic
background of a cow's ability for cheese production.
PMID- 27889124
TI - Assessing genomic prediction accuracy for Holstein sires using bootstrap
aggregation sampling and leave-one-out cross validation.
AB - Since the introduction of genome-enabled prediction for dairy cattle in 2009,
genomic selection has markedly changed many aspects of the dairy genetics
industry and enhanced the rate of response to selection for most economically
important traits. Young dairy bulls are genotyped to obtain their genomic
predicted transmitting ability (GPTA) and reliability (REL) values. These GPTA
are a main factor in most purchasing, marketing, and culling decisions until
bulls reach 5 yr of age and their milk-recorded offspring become available. At
that time, daughter yield deviations (DYD) can be compared with the GPTA computed
several years earlier. For most bulls, the DYD align well with the initial
predictions. However, for some bulls, the difference between DYD and
corresponding GPTA is quite large, and published REL are of limited value in
identifying such bulls. A method of bootstrap aggregation sampling (bagging)
using genomic BLUP (GBLUP) was applied to predict the GPTA of 2,963, 2,963, and
2,803 young Holstein bulls for protein yield, somatic cell score, and daughter
pregnancy rate (DPR), respectively. For each trait, 50 bootstrap samples from a
reference population comprising 2011 DYD of 8,610, 8,405, and 7,945 older
Holstein bulls were used. Leave-one-out cross validation was also performed to
assess prediction accuracy when removing specific bulls from the reference
population. The main objectives of this study were (1) to assess the extent to
which current REL values and alternative measures of variability, such as the
bootstrap standard deviation (SD) of predictions, could detect bulls whose
daughter performance deviates significantly from early genomic predictions, and
(2) to identify factors associated with the reference population that inform
about inaccurate genomic predictions. The SD of bootstrap predictions was a
mildly useful metric for identifying bulls whose future daughter performance may
deviate significantly from early GPTA for protein and DPR. Leave-one-out cross
validation allowed us to identify groups of reference population bulls that were
influential on other reference population bulls for protein yield and observe
their effects on predictions of testing set bulls, as a whole and individually.
PMID- 27889125
TI - Analysis of pasture supplementation strategies by means of a mechanistic model of
ruminal digestion and metabolism in the dairy cow.
AB - Effective pasture supplementation is critical to the efficiency of resource
management in milk production. We understand a great deal about ruminal and
metabolic processes in dairy cattle that control efficiency but we need to
improve our ability to predict effects of practical feeding strategies based on
the basic biological processes of the cow. Therefore, a large-scale pasture
supplementation study was used to explore the details of both practical
management and the underlying biological principles and processes involved. This
included a multiple lactation study coupled with shorter-term experiments that
tested the type and rate of supplementation. Basal supplementation strategies
were (1) pasture allowance [14 kg of dry matter (DM)/d per cow] supplemented with
milled barley grain fed twice daily in the milking parlor and pasture silage
provided in the paddock; the ratio of grain:forage fed as supplement was
0.75:0.25 (control; DM basis); (2) the same pasture allowance plus the same
amounts of milled barley grain and pasture silage, but the supplements were mixed
and chopped before being fed immediately after each milking; and (3) the same
pasture allowance and offered a partial mixed ration comprising barley (25%) and
corn grain (30% of DM), corn silage (20% of DM), and alfalfa hay (25% of DM)
after each milking. In late lactation (227 d in milk), a short-term experiment
was done feeding the same pasture allowances but with the 3 supplements offered
at 6, 8, 10, and 12 kg of DM/d for an 11-d measurement period following
adaptation to the diet to each of the 3 long-term supplementation groups.
Production responses were recorded and ruminal volatile fatty acids (VFA) and pH
were measured in a subset of animals. Model descriptions of yields of milk and
milk constituents as well as mean concentrations of ruminal fluid VFA and ruminal
fluid pH were compared with measured values resulting when dairy cows were fed 12
different pasture-based diets with different levels and types of dietary
supplement. Inputs into the model were measured dry matter intake and feed
composition on the 12 combined treatments as well as initial body weight and
composition. The model described milk and milk component production within 1
standard deviation of the treatment means (less than 5% of the mean as measured
in the root mean square error). The simulated proportions of ruminal acetate,
propionate, and butyrate were consistent with observed effects of supplemental
treatments and rate of supplementation; however, the error analysis showed room
for improvement. The model described, to a general extent, the changes in ruminal
pH; however, this investigation showed that the equations that describe ruminal
pH need to be improved or modified. These results show that the fundamental
knowledge of ruminal and organ metabolism in this mechanistic model is sufficient
to describe the qualitative responses to complicated dietary strategies, but our
quantitative understanding of the parameters involved such as degradation and
absorption kinetics and ruminal pH still demands more specific research.
PMID- 27889126
TI - Identification of the major yeasts isolated from high moisture corn and corn
silages in the United States using genetic and biochemical methods.
AB - The objective of this study was to identify species of yeasts in samples of high
moisture corn (HMC) and corn silage (CS) collected from farms throughout the
United States. Samples were plated and colonies were isolated for identification
using DNA analysis. Randomly selected colonies were also identified by fatty acid
methyl esters (FAME) and by physiological substrate profiling (ID 32C). For CS,
Candida ethanolica, Saccharomyces bulderi, Pichia anomala, Kazachstania unispora,
and Saccharomyces cerevisiae were the predominant yeasts. Pichia anomala,
Issatchenkia orientalis, S. cerevisiae, and Pichia fermentans were the prevalent
species in HMC. The 3 identification methods were in agreement at the species
level for 16.6% of the isolates and showed no agreement for 25.7%. Agreement in
species identification between ID 32C and DNA analysis, FAME and ID 32C, and FAME
and DNA analysis was 41.1, 14.4, and 2.2%, respectively. Pichia anomala and I.
orientalis were able to grow on lactic acid, whereas S. cerevisiae metabolized
sugars (galactose, sucrose, and glucose) but failed to use lactic acid. The yeast
diversity in CS and HMC varied due to type of feed and location. Differences in
species assignments were seen among methods, but identification using substrate
profiling generally corresponded with that based on DNA analysis. These findings
provide information about the species that may be expected in silages, and this
knowledge may lead to interventions that control unwanted yeasts.
PMID- 27889127
TI - A comparison of semen diluents on the in vitro and in vivo fertility of liquid
bull semen.
AB - The aim of this study was to assess the effect of semen diluent on calving rate
(CR) following artificial insemination with liquid bull semen stored for up to 3
d postcollection. In experiment 1, the effect of storing liquid semen maintained
at a constant ambient temperature in 1 of 7 different diluents [Caprogen
(homemade), OptiXcell, BioXcell, BullXcell, INRA96, NutriXcell, or AndroMed (all
commercially available)] on total and progressive motility was assessed on d 0,
1, 2, and 3 postcollection. In experiment 2, the field fertility of liquid semen
diluted in Caprogen, BioXcell, or INRA96 and inseminated on d 1, 2, or 3
postcollection was assessed in comparison to frozen-thawed semen (total of n =
19,126 inseminations). In experiment 3, the effect of storage temperature
fluctuations (4 and 18 degrees C) on total and progressive motility following
dilution in Caprogen, BioXcell, and INRA96 was assessed on d 0, 1, 2, and 3
postcollection. In experiment 1, semen stored in Caprogen, BioXcell, and INRA96
resulted in the highest total and progressive motility on d 1, 2, and 3 of
storage compared with OptiXcell, BullXcell, NutriXcell, and AndroMed. In
experiment 2, an effect of diluent on CR was found as semen diluted in BioXcell
had a lower CR on d 1, 2, and 3 of storage (46.3, 35.4, and 34.0%, respectively)
in comparison with Caprogen (55.8, 52.0, and 51.9%, respectively), INRA96 (55.0,
55.1, and 52.2%, respectively), and frozen-thawed semen (59.7%). Effects were
found of parity, cow fertility sub-index, as well as the number of days in milk
on CR. In experiment 3, when the storage temperature of diluted semen fluctuated
between 4 and 18 degrees C, to mimic what occurs in the field (nighttime vs.
daytime), BioXcell had the lowest total and progressive motility in comparison to
Caprogen and INRA96. In conclusion, diluent significantly affected sperm motility
when stored for up to 3 d. Semen diluted in INRA96 resulted in a similar CR to
semen diluted in Caprogen and to frozen-thawed semen, whereas that diluted in
BioXcell resulted in a decreased CR. Consistent with this finding, semen diluted
in BioXcell was less tolerant of temperature fluctuations than that stored in
Caprogen or INRA96. Given that it can be used directly off the shelf, INRA96 may
be a suitable alternative to Caprogen for the storage of liquid bull semen.
PMID- 27889128
TI - Genome-wide association study for lactation persistency, female fertility,
longevity, and lifetime profit index traits in Holstein dairy cattle.
AB - Female fertility in Holstein cattle can decline when intense genetic selection is
placed on milk production. One approach to improving fertility is to identify the
genomic regions and variants affecting fertility traits and then incorporate this
knowledge into selection decisions. The objectives of this study were to identify
or refine the positions of the genomic regions associated with lactation
persistency, female fertility traits (age at first service, cow first service to
conception, heifer and cow nonreturn rates), longevity traits (herd life,
indirect herd life, and direct herd life), and lifetime profit index in the North
American Holstein dairy cattle population. A genome-wide association study was
performed for each trait, using a single SNP (single nucleotide polymorphism)
regression mixed linear model and imputed high-density panel (777k) genotypes. No
associations were identified for fertility traits. Several peak regions were
detected for lifetime profit index, lactation persistency, and longevity. The
results overlap with previous findings and identify some novel regions for
lactation persistency. Previously proposed causative and candidate genes
supported by this work include DGAT1, GRINA, and CPSF1, whereas new candidate
genes are SLC2A4RG and THRB. Thus, the chromosomal regions identified in this
study not only confirm several previous findings but also highlight new regions
that may contribute to genetic variation in lactation persistency and longevity
associated traits in dairy cattle.
PMID- 27889129
TI - Biofouling of ultrafiltration membrane by dairy fluids: Characterization of
pioneer colonizer bacteria using a DNA metabarcoding approach.
AB - Biofouling of filtration membranes is a major quality and performance issue for
the dairy industry. Because biofilms that survive cleaning cycles become
resistant over time, prevention strategies limiting the adhesion of bacteria to
membranes should be prioritized for sustainable control of biofouling. However,
this cannot be achieved because the pioneer bacteria colonizing these membranes
are still unknown. Consequently, the objective of this study was to characterize
pioneer bacteria on the filtration membrane surface and to measure the effect of
filtration operational parameters on their diversity. Thus, milk and cheese whey
were filtered for 5 h in concentration mode at 10 and 40 degrees C using a
laboratory-scale crossflow filtration system equipped with flat-sheet
ultrafiltration membranes. Pioneer colonizer bacteria found on membranes after a
chlorinated alkaline cleaning cycle were identified using a metabarcoding
approach targeting the 16S ribosomal RNA genes. Our results suggested that
prevention strategies targeting biofouling should consider the nature of the
filtered fluid and the feed temperature (36.15 and 5.09% of the variances
observed on membranes, respectively), as well as the microbial environment of the
dairy processing plant. In the future, it is hypothesized that cleaning
prevention strategies will be specific to each dairy processor and their
operational parameters.
PMID- 27889130
TI - Can the non-pre-whitening model observer, including aspects of the human visual
system, predict human observer performance in mammography?
AB - PURPOSE: In mammography, images are processed prior to display. Current
methodologies based on physical image quality measurements are however not
designed for the evaluation of processed images. Model observers (MO) might be
suitable for this evaluation. The aim of this study was to investigate whether
the non-pre-whitening (NPW) MO can be used to predict human observer performance
in mammography-like images by including different aspects of the human visual
system (HVS). METHODS: The correlation between human and NPW MO performance has
been investigated for the detection of disk shaped objects in simulated white
noise (WN) and clustered lumpy backgrounds (CLB), representing quantum noise
limited and mammography-like images respectively. The images were scored by the
MO and five human observers in a 2-alternative forced choice experiment. RESULTS:
For WN images it was found that the log likelihood ratio (RLR2), which expresses
the goodness of fit, was highest (0.44) for the NPW MO without addition of HVS
aspects. For CLB the RLR2 improved from 0.46 to 0.65 with addition of HVS
aspects. The correlation was affected by object size and background. CONCLUSIONS:
This study shows that by including aspects of the HVS, the performance of the NPW
MO can be improved to better predict human observer performance. This
demonstrates that the NPW MO has potential for image quality assessment. However,
due to the dependencies found in the correlation, the NPW MO can only be used for
image quality assessment for a limited range of object sizes and background
variability.
PMID- 27889131
TI - Development of Monte Carlo based real-time treatment planning system with fast
calculation algorithm for boron neutron capture therapy.
AB - PURPOSE: We simulated the effect of patient displacement on organ doses in boron
neutron capture therapy (BNCT). In addition, we developed a faster calculation
algorithm (NCT high-speed) to simulate irradiation more efficiently. METHODS: We
simulated dose evaluation for the standard irradiation position (reference
position) using a head phantom. Cases were assumed where the patient body is
shifted in lateral directions compared to the reference position, as well as in
the direction away from the irradiation aperture. For three groups of neutron
(thermal, epithermal, and fast), flux distribution using NCT high-speed with a
voxelized homogeneous phantom was calculated. The three groups of neutron fluxes
were calculated for the same conditions with Monte Carlo code. These calculated
results were compared. RESULTS: In the evaluations of body movements, there were
no significant differences even with shifting up to 9mm in the lateral
directions. However, the dose decreased by about 10% with shifts of 9mm in a
direction away from the irradiation aperture. When comparing both calculations in
the phantom surface up to 3cm, the maximum differences between the fluxes
calculated by NCT high-speed with those calculated by Monte Carlo code for
thermal neutrons and epithermal neutrons were 10% and 18%, respectively. The time
required for NCT high-speed code was about 1/10th compared to Monte Carlo
calculation. CONCLUSIONS: In the evaluation, the longitudinal displacement has a
considerable effect on the organ doses. We also achieved faster calculation of
depth distribution of thermal neutron flux using NCT high-speed calculation code.
PMID- 27889132
TI - [Level at which control objectives are reached in patients in different
population groups with type 2 diabetes].
AB - INTRODUCTION: There is evidence of increased macro- and micro-vascular risk in
diabetic patients. The objective of this study was to determine the level of
control in patients in different population groups with type 2 diabetes. MATERIAL
AND METHODS: DESIGN: Descriptive cross-sectional study. LOCATION: Primary care.
Madrid Health Service. Year: 2014. SUBJECTS: Patients over 14 years with type 2
diabetes. Number of patientes: n=6674. MEASUREMENTS: Variables on the degree of
control (HbA1c, systolic blood pressure [SBP], diastolic blood pressure [DBP],
LDL-c) and variables on patient characteristics (demographic, other
cardiovascular risk factors, complications). RESULTS: The mean age of patients
with controlled HbA1c was 67.8 years vs. 62.9 years in the uncontrolled (P<.001).
Patients diagnosed with hypertension have a higher percentage of control with
respect to the undiagnosed in HbA1c, SBP, DBP and LDL-c: 51 vs. 37%, 62 vs. 43%,
75 vs. 47% and 57 vs. 44% respectively; diagnosed with dyslipidaemia: 51 vs. 39%,
60 vs. 49%, 70 vs. 56% and 56 vs. 46%. With a diagnosis of macroangiopathy: 46
vs. 45%, 58 vs. 54%, 71 vs. 62% and 15 vs. 60%. All differences were
statistically significant (P<.001). Over 50% of patients without a diagnosis of
hypertension had an SBP> 140mmHg or DBP> 90mmHg. Over 25% of patients with
hypertension or DL and uncontrolled levels were not receiving drug treatment.
CONCLUSION: Control was improved in all groups, especially in younger patients,
with particularly high cardiovascular risk by the presence of other
cardiovascular risk factors or macroangiopathy. A significant percentage of
patients with uncontrolled BP and cLDL were not diagnosed or receiving drug
treatment.
PMID- 27889133
TI - [Venous thromboembolism prevention in pregnancy and the postpartum period in
Primary and Specialized Care].
AB - After noting that there are a number of risk factors for venous thromboembolism
disease during pregnancy, it emphasizes primary prevention and treatment of this
serious condition during pregnancy and the postpartum period are essential to
reduce maternal morbidity and mortality. Low molecular-weight heparins are under
the anticoagulant of choice in pregnancy. Your prescription may make both the
primary care physician, as the hematologist and obstetrician. As for prescribing
terms, an application protocol in both primary and specialized, multidisciplinary
care, based on the existing literature on the subject is presented, which
indicated that the hypercoagulable disorders associated with some of the risk
factors, forced to do thromboprophylaxis with low molecular-weight heparins
throughout pregnancy and the postpartum period presented.
PMID- 27889134
TI - Neural adaptive observer-based sensor and actuator fault detection in nonlinear
systems: Application in UAV.
AB - A new online detection strategy is developed to detect faults in sensors and
actuators of unmanned aerial vehicle (UAV) systems. In this design, the weighting
parameters of the Neural Network (NN) are updated by using the Extended Kalman
Filter (EKF). Online adaptation of these weighting parameters helps to detect
abrupt, intermittent, and incipient faults accurately. We apply the proposed
fault detection system to a nonlinear dynamic model of the WVU YF-22 unmanned
aircraft for its evaluation. The simulation results show that the new method has
better performance in comparison with conventional recurrent neural network-based
fault detection strategies.
PMID- 27889135
TI - Novel PCR-RFLP system based on rpoB gene for differentiation of Cronobacter
species.
AB - Bacteria from the genus Cronobacter are opportunistic foodborne pathogens that
can cause severe infections. More rapid, cost-effective and reliable methods are
still required for the species identification of Cronobacter spp. In this study,
we present a novel PCR-RFLP-based method that uses a newly designed pair of
primers for the PCR-amplification of a partial rpoB gene sequence (1635 bp). The
amplified products of DNA from 80 Cronobacter strains were separately digested
with three restriction endonucleases (Csp6I, HinP1I, MboI). Using the obtained
restriction patterns, a PCR-RFLP identification system was created to enable
differentiation between all seven currently-known Cronobacter species. The
functionality of our method was successfully verified on real food samples.
Moreover, the relationships between the Cronobacter species were determined via a
phylogenetic tree created from the RFLP patterns.
PMID- 27889136
TI - Characterization of the microbiota in lightly salted bighead carp (Aristichthys
nobilis) fillets stored at 4 degrees C.
AB - The microbiota of unsalted and salted (dry-cured with 2% salt) bighead carp
(Aristichthys nobilis) fillets during storage at 4 degrees C were identified by
16S rRNA gene analysis. Eleven genera were present in the initial microbiota of
bighead carp fillets, where Acinetobacter, Aeromonas and Kocuria were the
dominant bacteria. As storage time progressed, the microbial composition of both
unsalted and salted fillets became less diverse. Additionally, differences in
microbiota were observed between these two treatments. For unsalted bighead carp
fillets, Aeromonas became the dominant genus at the end of storage and
Pseudomonas was found less commonly. For salted fillets, Pseudomonas was the only
bacteria identified at the end of storage.
PMID- 27889137
TI - Microbial decontamination of onion powder using microwave-powered cold plasma
treatments.
AB - The effects of microwave-integrated cold plasma (CP) treatments against spores of
Bacillus cereus and Aspergillus brasiliensis and Escherichia coli O157:H7 on
onion powder were investigated. The growth of B. cereus, A. brasiliensis, and E.
coli O157:H7 in the treated onion powder was assessed during storage at 4 and 25
degrees C, along with the physicochemical and sensory properties of the powder.
Onion powder inoculated with B. cereus was treated with CP using helium as a
plasma-forming gas, with simultaneous exposure to low microwave density at 170 mW
m-2 or high microwave density at 250 mW m-2. High microwave density-CP treatment
(HMCPT) was more effective than low microwave density-CP treatment (LMCPT) in
inhibiting B. cereus spores, but induced the changes in the volatile profile of
powder. Increase in treatment time in HMCPT yielded greater inhibition of B.
cereus spores. Vacuum drying led to greater inhibition of spores of B. cereus and
A. brasiliensis than hot-air drying. HMCPT at 400 W for 40 min, determined as the
optimum conditions for B. cereus spore inhibition, initially reduced the numbers
of B. cereus, A. brasiliensis, and E. coli O157:H7 by 2.1 log spores/cm2, 1.6 log
spores/cm2, and 1.9 CFU/cm2, respectively. The reduced number of B. cereus spores
remained constant, while the number of A. brasiliensis spores in the treated
powder increased gradually during storage at 4 and 25 degrees C and was not
different from the number of spores in untreated samples by the end of storage at
4 degrees C. The E. coli counts in the treated powder fell below the level of
detection after day 21 at both temperatures. HMCPT did not affect the color,
antioxidant activity, or quercetin concentration of the powder during storage at
both temperatures. The microwave-integrated CPTs showed potential for nonthermal
decontamination of onion powder.
PMID- 27889138
TI - 405 +/- 5 nm light emitting diode illumination causes photodynamic inactivation
of Salmonella spp. on fresh-cut papaya without deterioration.
AB - This study evaluated the antibacterial effect of 405 +/- 5 nm light emitting
diode (LED) illumination against four Salmonella serovars on fresh-cut papaya and
on fruit quality at various storage temperatures. To determine the antibacterial
mechanism of LED illumination at 0.9 kJ/cm2, oxidative damage to DNA and membrane
lipids of Salmonella in phosphate-buffered saline solution was measured. The
populations of Salmonella on cut fruits were significantly (P < 0.05) reduced by
0.3-1.3 log CFU/cm2 at chilling temperatures following LED illumination for 36-48
h (1.3-1.7 kJ/cm2). However, at room temperature, bacterial populations increased
rapidly to 6.3-7.0 log CFU/cm2 following LED illumination for 24 h (0.9 kJ/cm2),
which was approximately 1.0 log lower than the number of colonies on non
illuminated fruits. Levels of bacterial DNA oxidation significantly increased,
whereas lipid peroxidation in bacterial membrane was not observed, suggesting
that DNA oxidation contributes to photodynamic inactivation by LED illumination.
LED illumination did not adversely affect the physicochemical and nutritional
qualities of cut papaya, regardless of storage temperature. These results
indicate that a food chiller equipped with 405 +/- 5 nm LEDs can preserve fresh
cut papayas in retail stores without deterioration, minimizing the risk of
salmonellosis.
PMID- 27889139
TI - Development and application of a quantitative real-time PCR assay for rapid
detection of the multifaceted yeast Kazachstania servazzii in food.
AB - The beneficial contributions of Kazachstania servazzii are well-established in
various food processes. This yeast also contributes in the spoilage of finished
packaged food due to abundant gas production. In particular, an occurrence of K.
servazzii was recently positively correlated with the formation of severe package
swelling of some prepared fresh pizzas. To circumscribe this concern, a
quantitative SYBR green real-time PCR assay based on a newly designed specific
primer pair targeting the ribosomal ITS1-5.8S-ITS2 region of K. servazzii was
developed. The quantification was enabled using a standard curve created from
serially diluted plasmids containing the target sequence of the K. servazzii
strain. A validation of the assay was achieved by enumeration of K. servazzii DNA
copies from artificially infected culture broths containing non-contaminated
pizza substrates. The newly developed method was then tested on total DNA
extracted from packaged fresh pizzas, in which certain lots were swollen and thus
suspected of containing K. servazzii. This study highlights that this newly
developed quantitative assay is not only sufficiently sensitive, specific and
reliable to be functionally used in food control as a routine method of
detection, but also promising in specific studies that seek to further
characterize the dynamic of this yeast in some increasingly popular food
processes.
PMID- 27889140
TI - Genotyping of methicillin-resistant Staphylococcus aureus (MRSA) isolated from
milk and dairy products in South Italy.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) is a pathogen emerging in
hospitals as well as community and livestock. MRSA is a significant and costly
public health concern because it may enter the human food chain and contaminate
milk and dairy products causing foodborne illness. This study aimed to determine
the occurrence and the characteristics of MRSA isolated from 3760 samples of milk
and dairy products in a previous survey conducted in southern Italy during 2008
2014. Overall out of 484 S. aureus strains isolated, 40 (8.3%) were MRSA and were
characterized by spa-typing, Multi-Locus Sequence Typing, SCCmec typing,
Staphylococcal enterotoxins (SEs) genes, Panton-Valentine Leukocidin (PVL) genes
and ability to form biofilm. The most frequently recovered STs were ST152 (t355
67.5%), followed by ST398 (t899, t108-25%), ST1 (t127-5%) and ST5 (t688-2.5%).
All isolates harboured the SCCmec type V (92.5%) or IVa (25%). In one isolate
(2.5%), ST398/t899, the SCCmec resulted not detected. Three isolates (7.5%)
carried one or more enterotoxin encoding genes (one strain had seg, sei, sem, sen
and seo genes; two strains had seh gene). The 50% of isolated strains harboured
PVL-encoding genes. Molecular analysis for icaA and icaD genes showed: 72.5% icaA
and icaD positive, 25% only icaD gene and one icaA and icaD negative. The
detection of MRSA in food of animal origin is a potential health hazard, thus it
is necessary monitoring of food-producing animals and improving hygiene standards
in food practices in order to reduce the microbiological risk to minimum.
PMID- 27889141
TI - Exploring lot-to-lot variation in spoilage bacterial communities on commercial
modified atmosphere packaged beef.
AB - Understanding the factors influencing meat bacterial communities is important as
these communities are largely responsible for meat spoilage. The composition and
structure of a bacterial community on a high-O2 modified-atmosphere packaged beef
product were examined after packaging, on the use-by date and two days after, to
determine whether the communities at each stage were similar to those in samples
taken from different production lots. Furthermore, we examined whether the taxa
associated with product spoilage were distributed across production lots. Results
from 16S rRNA amplicon sequencing showed that while the early samples harbored
distinct bacterial communities, after 8-12 days storage at 6 degrees C the
communities were similar to those in samples from different lots, comprising
mainly of common meat spoilage bacteria Carnobacterium spp., Brochothrix spp.,
Leuconostoc spp. and Lactococcus spp. Interestingly, abundant operational
taxonomic units associated with product spoilage were shared between the
production lots, suggesting that the bacteria enable to spoil the product were
constant contaminants in the production chain. A characteristic succession
pattern and the distribution of common spoilage bacteria between lots suggest
that both the packaging type and the initial community structure influenced the
development of the spoilage bacterial community.
PMID- 27889142
TI - The microbiota of marketed processed edible insects as revealed by high
throughput sequencing.
AB - Entomophagy has been linked to nutritional, economic, social and ecological
benefits. However, scientific studies on the potential safety risks in eating
edible insects need to be carried out for legislators, markets and consumers. In
this context, the microbiota of edible insects deserves to be deeply
investigated. The aim of this study was to elucidate the microbial species
occurring in some processed marketed edible insects, namely powdered small
crickets, whole dried small crickets (Acheta domesticus), whole dried locusts
(Locusta migratoria), and whole dried mealworm larvae (Tenebrio molitor), through
culture-dependent (classical microbiological analyses) and -independent methods
(pyrosequencing). A great bacterial diversity and variation among insects was
seen. Relatively low counts of total mesophilic aerobes, Enterobacteriaceae,
lactic acid bacteria, Clostridium perfringens spores, yeasts and moulds in all of
the studied insect batches were found. Furthermore, the presence of several gut
associated bacteria, some of which may act as opportunistic pathogens in humans,
were found through pyrosequencing. Food spoilage bacteria were also identified,
as well as Spiroplasma spp. in mealworm larvae, which has been found to be
related to neurodegenerative diseases in animals and humans. Although viable
pathogens such as Salmonella spp. and Listeria monocytogenes were not detected,
the presence of Listeria spp., Staphylococcus spp., Clostridium spp. and Bacillus
spp. (with low abundance) was also found through pyrosequencing. The results of
this study contribute to the elucidation of the microbiota associated with edible
insects and encourage further studies aimed to evaluate the influence of rearing
and processing conditions on that microbiota.
PMID- 27889143
TI - Use of natural antimicrobials to improve the quality characteristics of fresh
"Phyllo" - A dough-based wheat product - Shelf life assessment.
AB - This study explores the effects of chitosan and natamycin on the quality of fresh
"Phyllo" - a dough-based wheat product, by monitoring the microbiological,
physicochemical and sensory parameters. Four different lots of phyllo samples
stored under aerobic packaging conditions, in the absence or presence of the
aforementioned antimicrobials, were prepared and stored at 4 degrees C.
Microbiological data suggested that, the combination of chitosan and natamycin
resulted in significant reductions (1-3 log cfu/g) of the microbial species
examined (mesophilic total viable counts; TVC), yeasts/molds, psychrotrophic and
lactic acid bacteria (LAB), Enterobacteriaceae and coliforms) by day 10. The pH
values of treated phyllo samples were lower on final day 10, as compared to the
untreated phyllo, and of the Hunter color parameters (L*, b* and a*) that were
evaluated, mostly the combined treatment of chitosan and natamycin maintained the
original lightness (L*) and color (yellowness) stability (b*) of phyllo product
during the storage period. Sensory data, based on overall acceptability (mean
values of appearance and odor) scores confirmed the superiority of combined
treatment of chitosan and natamycin, resulting in almost a doubling of the shelf
life of fresh phyllo, while retaining excellent sensorial characteristics
(appearance and odor) even on final storage day (10).
PMID- 27889144
TI - Exploring the microbiota of the red-brown defect in smear-ripened cheese by 454
pyrosequencing and its prevention using different cleaning systems.
AB - Red-brown pigmentation can occasionally form in smeared-ripened cheese such as
Fontina during the ripening process. This reaction is due to over-development of
the typical microbiota present on the rind. Previous studies have demonstrated
the relationship between red-brown pigmentation and the traditional utilization
of wooden shelves during cheese ripening. The first part of the paper focuses on
the characterisation of yeast and bacterial microbiota: plate counts and 454
pyrosequencing were performed in spoiled (n = 6) and non-spoiled cheeses (n = 6)
and on the wooden shelves used during ripening. The second part shows different
systems tested for cleaning the wooden shelves and avoiding the development of
the red-brown defect in cheese: washing with hot water and ozone treatment.
Actinobacteria, dominated on the wooden shelves, suggesting to be responsible for
the red-brown pigmentation; they were also found in traces in the defected cheese
samples. Galactomyces and Debaryomyces were the main species characterizing the
yeast population, with Debaryomyces being the most dominant species on the
shelves used during ripening of the red-brown defective cheese. Hot water
treatment reduced the microbial contamination of shelves, whereas only the ozone
treatment ensured complete elimination of both yeast and bacteria, resulting in
the cheese rind not having the red-brown defect.
PMID- 27889145
TI - Potential probiotic Pichia kudriavzevii strains and their ability to enhance
folate content of traditional cereal-based African fermented food.
AB - With the aim of selecting starter cultures with interesting probiotic potential
and with the ability to produce folate in a food matrix, yeast strains isolated
from fermented cereal-based African foods were investigated. A total of 93 yeast
strains were screened for their tolerance to pH 2 and 0.3% of bile salts. Pichia
kudriavzevii isolates gave the best results. Selected P. kudriavzevii strains
were tested for survival to the simulated human digestion and for adhesion to
Caco-2 cells. Moreover, presence of folate biosynthesis genes was verified and
production of extra and intra-cellular folate determined during growth in culture
medium. 31% of yeast strains could tolerate pH 2, while 99% bile salts. Survival
rate after simulated digestion ranged between 11 and 45%, while adhesion rate
between 12 and 40%. Folate production was mainly intracellular, maximum after 24
h of growth. To be closer to traditional cereal-based fermentations, a P.
kudriavzevii strain with good probiotic potential was co-inoculated with
Lactobacillus fermentum strains in a pearl millet gruel. This resulted in in situ
folate production that peaked after 4 h. The use of strains with both probiotic
and nutritional enrichment properties may have a greater impact for the
consumers.
PMID- 27889146
TI - The potential of species-specific tagatose-6-phosphate (T6P) pathway in
Lactobacillus casei group for galactose reduction in fermented dairy foods.
AB - Residual lactose and galactose in fermented dairy foods leads to several
industrial and health concerns. There is very little information pertaining to
manufacture of fermented dairy foods that are low in lactose and galactose. In
the present study, comparative genomic survey demonstrated the constant presence
of chromosome-encoded tagatose-6-phosphate (T6P) pathway in Lactobacillus casei
group. Lactose/galactose utilization tests and beta-galactosidase assay suggest
that PTSGal system, PTSLac system and T6P pathway are major contributors for
lactose/galactose catabolism in this group of organisms. In addition, it was
found than lactose catabolism by Lb. casei group accumulated very limited
galactose in the MRS-lactose medium and in reconstituted skim milk, whereas
Streptococcus thermophilus and Lb. delbrueckii subsp. bulgaricus (Lb. bulgaricus)
strains secreted high amount of galactose extracellularly. Moreover, co-culturing
Lb. casei group with Str. thermophilus showed significant reduction in galactose
content, while co-culturing Lb. casei group with Lb. bulgaricus showed
significant reduction in lactose content but significant increase in galactose
content in milk. Overall, the present study highlighted the potential of Lb.
casei group for reducing galactose accumulation in fermented milks due to its
species-specific T6P pathway.
PMID- 27889147
TI - Biocontrol of ochratoxigenic moulds (Aspergillus ochraceus and Penicillium
nordicum) by Debaryomyces hansenii and Saccharomycopsis fibuligera during speck
production.
AB - Speck is a meat product obtained from the deboned leg of pork that is salted,
smoked and seasoned for four to six months. During speck seasoning, Eurotium
rubrum and Penicillium solitum grow on the surface and collaborate with other
moulds and tissue enzymes to produce the typical aroma. Both of these strains
usually predominate over other moulds. However, moulds producing ochratoxins,
such as Aspergillus ochraceus and Penicillium nordicum, can also co-grow on speck
and produce ochratoxin A (OTA). Consequently, speck could represent a potential
health risk for consumers. Because A. ochraceus and P. nordicum could represent a
problem for artisanal speck production, the aim of this study was to inhibit
these mould strains using Debaryomyces hansenii and Saccharomycopsis fibuligera.
Six D. hansenii and six S. fibuligera strains were tested in vitro to inhibit A.
ochraceus and P. nordicum. The D. hansenii DIAL 1 and S. fibuligera DIAL 3
strains demonstrated the highest inhibitory activity and were selected for in
vivo tests. The strains were co-inoculated on fresh meat cuts for speck
production with both of the OTA-producing moulds prior to drying and seasoning.
At the end of seasoning (six months), OTA was not detected in the speck treated
with both yeast strains. Because the yeasts did not adversely affect the speck
odour or flavour, the strains are proposed as starters for the inhibition of
ochratoxigenic moulds.
PMID- 27889148
TI - Surface attachment of active antimicrobial coatings onto conventional plastic
based laminates and performance assessment of these materials on the storage life
of vacuum packaged beef sub-primals.
AB - Two antimicrobial coatings, namely Sodium octanoate and Auranta FV (a commercial
antimicrobial composed of bioflavonoids, citric, malic, lactic, and caprylic
acids) were used. These two antimicrobials were surface coated onto the inner
polyethylene layer of cold plasma treated polyamide films using beef gelatin as a
carrier and coating polymer. This packaging material was then used to vacuum pack
beef sub-primal cuts and stored at 4 degrees C. A control was prepared using the
non-coated commercial laminate and the same vacuum packaged sub-primal beef cuts.
During storage, microbial and quality assessments were carried out. Sodium
octanoate treated packages significantly (p < 0.05) reduced microbial counts for
all bacteria tested with an increase of 7 and 14 days, respectively compared to
control samples. No significant effect on pH was observed with any treatment. The
results suggested that these food grade antimicrobials have the potential to be
used in antimicrobial active packaging applications for beef products.
PMID- 27889149
TI - Inactivation of Salmonella enterica in chicken feces on the surface of eggshells
by simultaneous treatments with gaseous chlorine dioxide and mild wet heat.
AB - The aim of this study was to investigate the lethal effects of simultaneous
treatments with gaseous chlorine dioxide (ClO2) and mild wet heat (55 degrees C
at 100% relative humidity [RH]) on Salmonella enterica in chicken feces on the
surface of eggshells. Gaseous ClO2 production decreased significantly (P <= 0.05)
as the RH (23, 43, 68, 85, and 100%) at 25 degrees C was increased. The
lethality of gaseous ClO2 against S. enterica in feces on eggshells increased
significantly (P <= 0.05) as RH increased. For example, when treated with gaseous
ClO2 at 85 and 100% RH at 25 degrees C, S. enterica (5.9 log CFU/egg) was
inactivated within 4 h. In contrast, at 23, 43, and 68% RH, the pathogen remained
at 5.1, 5.0, and 2.8 log CFU/egg, respectively, after 6 h. Finally, when
eggshells surface-contaminated with S. enterica (5.8 log CFU/egg) were treated
with gaseous ClO2 (peak concentration of ClO2: 185.6 ppm) at 100% RH and 55
degrees C, inactivation occurred within 1 h. These results indicate that
treatment of surface-contaminated shell eggs with gaseous ClO2 at elevated RH and
temperature is effective in inactivating S. enterica. These observations will be
useful when developing an effective sanitation program to enhance the
microbiological safety of shell eggs.
PMID- 27889150
TI - Antimicrobial activity of whey protein films supplemented with Lactobacillus
sakei cell-free supernatant on fresh beef.
AB - The aim of this work was to evaluate the antimicrobial activity of whey protein
isolate (WPI) films supplemented with Lactobacillus sakei NRRL B-1917 cell-free
supernatant on beef inoculated with Escherichia coli ATCC 25922 or Listeria
monocytogenes Scott A; additionally, sensory evaluation was performed on wrapped
beef cubes. Supernatant concentrates were obtained from Lb. sakei cultures in MRS
broth after centrifugation, filtering, and freeze-drying. Films were prepared
with WPI (3% w/w), alginate (0.625% w/w), rehydrated supernatant (18 mg/ml), and
glycerol. Films were used to wrap beef cubes inoculated with ~103 CFU/g E. coli
or L. monocytogenes. Sensory evaluation was carried out on grilled beef wrapped
or not with the studied antimicrobial films. During refrigerated storage,
antimicrobial films reduced 1.4 log10 CFU/g of L. monocytogenes after 120 h,
while E. coli decreased 2.3 log10 CFU/g after 36 h. Grilled beef wrapped with
antimicrobial film was well accepted by panelists, besides scores evidenced no
significant differences (p > 0.05) between wrapped and unwrapped beef.
PMID- 27889152
TI - Application of flow cytometry to wine microorganisms.
AB - Flow cytometry (FCM) is a powerful technique allowing detection and enumeration
of microbial populations in food and during food process. Thanks to the
fluorescent dyes used and specific probes, FCM provides information about cell
physiological state and allows enumeration of a microorganism in a mixed culture.
Thus, this technique is increasingly used to quantify pathogen, spoilage
microorganisms and microorganisms of interest. Since one decade, FCM applications
to the wine field increase greatly to determine population and physiological
state of microorganisms performing alcoholic and malolactic fermentations. Wine
spoilage microorganisms were also studied. In this review we briefly describe FCM
principles. Next, a deep revision concerning enumeration of wine microorganisms
by FCM is presented including the fluorescent dyes used and techniques allowing a
yeast and bacteria species specific enumeration. Then, the last chapter is
dedicated to fluorescent dyes which are used to date in fluorescent microscopy
but applicable in FCM. This chapter also describes other interesting "future"
techniques which could be applied to study the wine microorganisms. Thus, this
review seeks to highlight the main advantages of the flow cytometry applied to
wine microbiology.
PMID- 27889151
TI - Efficacy of post-harvest rinsing and bleach disinfection of E. coli O157:H7 on
spinach leaf surfaces.
AB - Attachment and detachment kinetics of Escherichia coli O157:H7 from baby spinach
leaf epicuticle layers were investigated using a parallel plate flow chamber.
Mass transfer rate coefficients were used to determine the impact of water
chemistry and common bleach disinfection rinses on the removal and inactivation
of the pathogen. Attachment mass transfer rate coefficients generally increased
with ionic strength. Detachment mass transfer rate coefficients were nearly the
same in KCl and AGW rinses; however, the detachment phase lasted longer in KCl
than AGW (18 +/- 4 min and 4 +/- 2 min, respectively), indicating that the ions
present during attachment play a significant role in the cells' ability to remain
attached. Specifically, increasing bleach rinse concentration by two orders of
magnitude was found to increase the detachment mass transfer rate coefficient by
20 times (from 5.7 +/- 0.7 * 10-11 m/s to 112.1 +/- 26.8 * 10-11 m/s for 10 ppb
and 1000 ppb, respectively), and up to 88 +/- 4% of attached cells remained
alive. The spinach leaf texture was incorporated within a COMSOL model of
disinfectant concentration gradients, which revealed nearly 15% of the leaf
surface is exposed to almost 1000 times lower concentration than the bulk rinse
solution.
PMID- 27889153
TI - Metagenomics reveals flavour metabolic network of cereal vinegar microbiota.
AB - Multispecies microbial community formed through centuries of repeated batch
acetic acid fermentation (AAF) is crucial for the flavour quality of traditional
vinegar produced from cereals. However, the metabolism to generate and/or
formulate the essential flavours by the multispecies microbial community is
hardly understood. Here we used metagenomic approach to clarify in situ metabolic
network of key microbes responsible for flavour synthesis of a typical cereal
vinegar, Zhenjiang aromatic vinegar, produced by solid-state fermentation. First,
we identified 3 organic acids, 7 amino acids, and 20 volatiles as dominant
vinegar metabolites. Second, we revealed taxonomic and functional composition of
the microbiota by metagenomic shotgun sequencing. A total of 86 201 predicted
protein-coding genes from 35 phyla (951 genera) were involved in Kyoto
Encyclopedia of Genes and Genomes (KEGG) pathways of Metabolism (42.3%), Genetic
Information Processing (28.3%), and Environmental Information Processing (10.1%).
Furthermore, a metabolic network for substrate breakdown and dominant flavour
formation in vinegar microbiota was constructed, and microbial distribution
discrepancy in different metabolic pathways was charted. This study helps
elucidating different metabolic roles of microbes during flavour formation in
vinegar microbiota.
PMID- 27889154
TI - Prevalence and quantification of thermophilic Campylobacter spp. in Italian
retail poultry meat: Analysis of influencing factors.
AB - Retail poultry meat is a crucial vehicle for consumers' exposure to
Campylobacters, but no official controls are currently applied in Italy. The aim
of this study was the evaluation of Campylobacter contamination of a wide range
of poultry meats marketed in Italy. N. 472 chicken and turkey meat samples
(sectioned meats, offal, meat preparations and products) were taken from
slaughterhouses, deboning plants and different retailers and submitted to
detection/enumeration of Campylobacter spp. The isolates were identified by
phenotypic and biomolecular techniques. Campylobacter spp. was detected in 34.1%
of the samples, with general low counts. Higher values were observed in offal
(especially liver) and sectioned meats, with significantly higher rates in skin
on samples (86.8% vs 32.7%). Minced meat preparations showed lower prevalence
(22.4% vs 58.3%) and counts than whole pieces. Decreasing rates were observed
among slaughterhouses (80%), deboning plants (49%), butcher's shops (37%) and
large scale retailers (25%). Sectioned chicken meats were significantly more
contaminated than turkey meats. Almost all the isolates were identified as C.
jejuni or C. coli, with similar prevalences (18.4% and 20.5%, respectively); C.
jejuni was predominant only in samples from slaughterhouses/deboning plants. For
setting future control programs, meat typology should be considered the main
critical factor.
PMID- 27889156
TI - Survival of hepatitis A virus and Aichi virus in cranberry-based juices at
refrigeration (4 degrees C).
AB - Viral foodborne illness continues to be a health-concern globally, with numerous
fruit and juice outbreaks of Hepatitis A virus (HAV) reported worldwide. Aichi
virus (AiV) is an emerging pathogen with limited epidemiological data. Both, HAV
and AiV are resistant to low pH and can survive under adverse environmental
conditions leading to transmission ease. The objective of this study was to
evaluate the survival of HAV and AiV in commercially-available cranberry-based
juices (Cranberry juice cocktail, CJ and a 100% juice with cranberry, MJ) over 21
days at refrigeration (4 degrees C). Equal volumes of juice was mixed with each
virus individually (final titer of 6 log PFU/mL) and stored at refrigeration over
21 days. At each time interval, the inoculated juices were serially diluted in
cell culture media and infectious virus survival was determined by standard
plaque assays. Each experiment was carried out in duplicate and replicated
thrice. Reductions of 0.72 +/- 0.06 (after day 1) to 2.3 +/- 0.18 log PFU/mL
(after day 21) and 0.63 +/- 0.02 (after day 1) to 1.84 +/- 0.14 log PFU/mL (after
day 21) were obtained for AiV with MJ and CJ, respectively. Reductions ranging
from 0.67 +/- 0.03 (after day 1) to 1.09 +/- 0.1 log PFU/mL (after day 21) and
0.93 +/- 0.27 (after day1) to 1.49 +/- 0.18 log PFU/mL (after day 21) were
obtained for HAV at refrigeration in MJ and CJ, respectively. HAV showed greater
survival than AiV in these juices over refrigerated storage. These results
provide survival data of HAV and AiV in cranberry-based juices that can be used
in risk-modeling and risk assessment studies.
PMID- 27889155
TI - Genetic basis for mycophenolic acid production and strain-dependent production
variability in Penicillium roqueforti.
AB - Mycophenolic acid (MPA) is a secondary metabolite produced by various Penicillium
species including Penicillium roqueforti. The MPA biosynthetic pathway was
recently described in Penicillium brevicompactum. In this study, an in silico
analysis of the P. roqueforti FM164 genome sequence localized a 23.5-kb putative
MPA gene cluster. The cluster contains seven genes putatively coding seven
proteins (MpaA, MpaB, MpaC, MpaDE, MpaF, MpaG, MpaH) and is highly similar (i.e.
gene synteny, sequence homology) to the P. brevicompactum cluster. To confirm the
involvement of this gene cluster in MPA biosynthesis, gene silencing using RNA
interference targeting mpaC, encoding a putative polyketide synthase, was
performed in a high MPA-producing P. roqueforti strain (F43-1). In the obtained
transformants, decreased MPA production (measured by LC-Q-TOF/MS) was correlated
to reduced mpaC gene expression by Q-RT-PCR. In parallel, mycotoxin
quantification on multiple P. roqueforti strains suggested strain-dependent MPA
production. Thus, the entire MPA cluster was sequenced for P. roqueforti strains
with contrasted MPA production and a 174bp deletion in mpaC was observed in low
MPA-producers. PCRs directed towards the deleted region among 55 strains showed
an excellent correlation with MPA quantification. Our results indicated the clear
involvement of mpaC gene as well as surrounding cluster in P. roqueforti MPA
biosynthesis.
PMID- 27889157
TI - Monitoring of wheat lactic acid bacteria from the field until the first step of
dough fermentation.
AB - The present work was carried out to retrieve the origin of lactic acid bacteria
(LAB) in sourdough. To this purpose, wheat LAB were monitored from ear harvest
until the first step of fermentation for sourdough development. The influence of
the geographical area and variety on LAB species/strain composition was also
determined. The ears of four Triticum durum varieties (Duilio, Iride, Saragolla
and Simeto) were collected from several fields located within the Palermo
province (Sicily, Italy) and microbiologically investigated. In order to trace
the transfer of LAB during the consecutive steps of manipulation, ears were
transformed aseptically and, after threshing, milling and fermentation, samples
of kernels, semolinas and doughs, respectively, were analysed. LAB were not found
to dominate the microbial communities of the raw materials. In general, kernels
harboured lower levels of microorganisms than ears and ears than semolinas.
Several samples showing no development of LAB colonies acidified the enrichment
broth suggesting the presence of LAB below the detection limit. After
fermentation, LAB loads increased consistently for all doughs, reaching levels of
7.0-7.5 Log CFU/g on M17. The values of pH (5.0) and TTA (5.6 mL NaOH/10 g of
dough) indicated the occurrence of the acidification process for several doughs.
LAB were phenotypically and genotypically differentiated by randomly amplified
polymorphic DNA (RAPD)-PCR into eight groups including 51 strains belonging to
the species Lactobacillus brevis, Lactobacillus coryniformis, Lactobacillus
plantarum, Lactococcus lactis, Lactococcus garvieae, Enterococcus casseliflavus,
Enterococcus faecium, Leuconostoc citreum, and Pediococcus pentosaceus.
Lactobacilli constituted a minority the LAB community, while lactococci
represented more than 50% of strains. Lower LAB complexity was found on kernels,
while a richer biodiversity was observed in semolinas and fermented doughs. For
broader microbiota characterisation in doughs before fermentation, the 16S rRNA
gene fragment profiling was conducted on the unfermented doughs using MiSeq
Illumina. LAB group was represented by Enterococcus, Lactococcus and members of
Leuconostocaceae family whose relative abundances differed according to both
geographical area and variety of wheat. The culture-independent approach
confirmed that pediococci and lactobacilli constituted low abundance members of
the semolina LAB microbiota and that although some strains may pass from wheat
ear to fermented doughs, most are likely to come from other sources.
PMID- 27889158
TI - Fat and fibre interfere with the dramatic effect that nanoemulsified d-limonene
has on the heat resistance of Listeria monocytogenes.
AB - The application of d-limonene in form of nanoemulsion has been proved to reduce
dramatically the thermal resistance of Listeria monocytogenes in culture media.
The present research shows very promising results on the application in food
products. The thermal resistance of L. monocytogenes was reduced 90 times when
0.5 mM nanoemulsified d-limonene was added to apple juice. This is the biggest
reduction in the heat resistance of a microorganism caused by an antimicrobial
described ever. However, no effect was found in carrot juice. A carrot juice
system was prepared in an attempt to unravel which juice constituents were
responsible for the lack of effect. When fat and fibre were not included in the
carrot juice system formulation, the thermal resistance of L. monocytogenes was,
again, dramatically reduced in presence of nanoemulsified d-limonene, so these
components were shown to interfere with the effect. Once this interaction with
food constituents becomes solved, the addition of nanoemulsified antimicrobials
would allow to reduce greatly the intensity of the thermal treatments currently
applied in the food processing industry.
PMID- 27889159
TI - Exposure to minimally processed pear and melon during shelf life could modify the
pathogenic potential of Listeria monocytogenes.
AB - Survival and virulence of foodborne pathogens can be influenced by environmental
factors such as the intrinsic properties of food as well as the extrinsic
properties that contribute to food shelf life (e.g., temperature and gas
atmosphere). The direct contribution of food matrix characteristics on the
survival of L. monocytogenes during fresh-cut fruit shelf life is not very well
understood. In addition, the gastrointestinal tract is the primary route of
listeriosis infection and penetration of the intestinal epithelial cell barrier
is the first step in the infection process. Hence, the pathogenic potential of L.
monocytogenes, measured as the capability for the organism to survive a simulated
gastrointestinal tract and the proportion of cells able to subsequently adhere to
and invade differentiated Caco-2 cells, subjected to fresh-cut pear and melon
shelf life, was investigated. Samples were inoculated, stored at 10 degrees C
for 7 days and evaluated after inoculation and again after 2 and 7 days of
storage. A decrease in L. monocytogenes' capacity to survive a simulated
gastrointestinal tract was observed with increasing storage time, regardless of
the fruit matrix evaluated. Furthermore, L. monocytogenes placed on fresh-cut
pear and melon was subjected to an attachment and invasion assay after crossing
the simulated gastrointestinal tract. After inoculation, pathogen on fresh-cut
pear showed 5-fold more capacity to adhere to Caco-2 cells than pathogen on fresh
cut melon. After 2 days of storage, L. monocytogenes grown on fresh-cut melon
showed similar adhesive capacity (1.11%) than cells grown on pear (1.83%), but
cells grown on melon had the higher invasive capacity (0.0093%). We can conclude
that minimally processed melon could represent a more important hazard than pear
under the studied shelf life.
PMID- 27889160
TI - Evaluation of baker's yeast in honey using a real-time PCR assay.
AB - Occasionally, melissopalynological analysis reveals the presence of baker's yeast
(Saccharomyces cerevisiae) in honey sediments. A field experiment reproducing a
common spring bee feeding practice, using sugar paste containing baker's yeast,
was performed to understand how S. cerevisiae are introduced into honey. Apart
from classical microscopy, a real-time quantitative PCR (qPCR) system specific
for S. cerevisiae was established for quantification of S. cerevisiae in honeys.
Results showed that S. cerevisiae cells are stored in the honey of the brood
combs and are also transferred into honey in the supers. The concentrations of S.
cerevisiae were highest in honey of the brood frames immediately after the
feeding and decreased over time to low concentrations at the end of the year. A
high content of S. cerevisiae cells were also found in the honey from supers of
the spring harvest. Observed S. cerevisiae cells were not able to multiply in a
high-sugar environment, such as honey, and their viability decreased rapidly
after addition to the honey. The screening of 200 Swiss honeys revealed the
presence of S. cerevisiae in 4.5% of the samples, as determined by microscopy and
qPCR. Finally, the method described here may indicate an unwanted sucrose
addition to honey through bee-feeding.
PMID- 27889161
TI - MRSA and multidrug-resistant Staphylococcus aureus in U.S. retail meats, 2010
2011.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) has been detected in retail
meats, although large-scale studies are scarce. We conducted a one-year survey in
2010-2011 within the framework of the National Antimicrobial Resistance
Monitoring System. Among 3520 retail meats collected from eight U.S. states, 982
(27.9%) contained S. aureus and 66 (1.9%) were positive for MRSA. Approximately
10.4% (107/1032) of S. aureus isolates, including 37.2% (29/78) of MRSA, were
multidrug-resistant (MDRSA). Turkey had the highest MRSA prevalence (3.5%),
followed by pork (1.9%), beef (1.7%), and chicken (0.3%). Whole-genome sequencing
was performed for all 66 non-redundant MRSA. Among five multilocus sequence types
identified, ST8 (72.7%) and ST5 (22.7%) were most common and livestock-associated
MRSA ST398 was assigned to one pork isolate. Eleven spa types were represented,
predominately t008 (43.9%) and t2031 (22.7%). All four types of meats harbored
t008, whereas t2031 was recovered from turkey only. The majority of MRSA (84.8%)
possessed SCCmec IV and 62.1% harbored Panton-Valentine leukocidin. Pulsed-field
gel electrophoresis showed that all ST8 MRSA belonged to the predominant human
epidemic clone USA300, and others included USA100 and USA200. We conclude that a
diverse MRSA population was present in U.S. retail meats, albeit at low
prevalence.
PMID- 27889162
TI - Tolerance of Clostridium perfringens biofilms to disinfectants commonly used in
the food industry.
AB - Clostridium perfringens is an opportunistic pathogen that can cause food
poisoning in humans and various enterotoxemia in animal species. Recently, it was
shown to form mono-species biofilms, a structured community of bacterial cells
enclosed in a self-produced extracellular matrix. Biofilms have been associated
with tolerance to antibiotics, disinfectants, and physical and environmental
stresses. Very little is known about the tolerance of C. perfringens biofilm
toward disinfectants. In the present study, susceptibilities of C. perfringens
biofilms to five types of commonly used disinfectants on farms and in food
processing environments were analysed. In this paper, we show that C. perfringens
mono-species biofilms can protect the bacterial cells from the action of
potassium monopersulfate, quaternary ammonium chloride, hydrogen peroxide and
glutaraldehyde solutions. However, sodium hypochlorite solution was shown to be
effective on C. perfringens biofilms. Our investigation of dual-species biofilms
of C. perfringens with the addition of Staphylococcus aureus or Escherichia coli
demonstrated that overall, the mono-species biofilm of C. perfringens was more
tolerant to all disinfectants than the dual-species biofilms. For the anaerobic
grown biofilms, the mono-species biofilm of C. perfringens was more tolerant to
sodium hypochlorite and quaternary ammonium chloride than the dual-species
biofilms of C. perfringens with S. aureus or E. coli. This study demonstrates
that C. perfringens biofilm is an effective protection mechanism to disinfectants
commonly used on farms and in food processing environments.
PMID- 27889163
TI - Differential detection of pathogenic Yersinia spp. by fluorescence in situ
hybridization.
AB - Yersinia enterocolitica, Y. pseudotuberculosis and Y. pestis are pathogens of
major medical importance, which are responsible for a considerable number of
infections every year. The detection of these species still relies on cultural
methods, which are slow, labour intensive and often hampered by the presence of
high amounts of accompanying flora. In this study, fluorescence in situ
hybridization (FISH) was used to develop a fast, sensitive and reliable
alternative to detect viable bacteria in food. For this purpose, highly specific
probes targeting the 16S and 23S ribosomal RNA were employed to differentially
detect each of the three species. In order to enable the differentiation of
single nucleotide polymorphisms (SNPs), suitable competitor oligonucleotides and
locked nucleic acids (LNAs) were used. Starved cells still showed a strong signal
and a direct viable count (DVC) approach combined with FISH optimized live/dead
discrimination. Sensitivity of the FISH test was high and even a single cell per
gram of spiked minced pork meat could be detected within a day, demonstrating the
applicability to identify foodborne hazards at an early stage. In conclusion, the
established FISH tests proved to be promising tools to compensate existing
drawbacks of the conventional cultural detection of these important zoonotic
agents.
PMID- 27889164
TI - Multilocus sequence type profiles of Bacillus cereus isolates from infant formula
in China.
AB - Bacillus cereus sensu stricto is an opportunistic foodborne pathogen. The
multilocus sequence type (MLST) of 74 B. cereus isolated from 513 non-random
infant formula in China was analyzed. Of 64 sequence types (STs) detected, 50 STs
and 6 alleles were newly found in PubMLST database. All isolates except for one
singleton (ST-1049), were classified into 7 clonal complexes (CC) by BURST (n-4),
in which CC1 with core ancestral clone ST-26 was the largest group including 86%
isolates, and CC2, 3, 9, 10 and 13 were first reported in China. MLST profiles of
the isolates from 8 infant formula brands were compared. It was found the brands
might be potentially tracked by the variety of STs, such as ST-1049 of singleton
and ST-1062 of isolate from goat milk source, though they could not be easily
tracked just by clonal complex types of the isolates.
PMID- 27889165
TI - Effect of inoculum size, bacterial species, type of surfaces and contact time to
the transfer of foodborne pathogens from inoculated to non-inoculated beef
fillets via food processing surfaces.
AB - The objective of the present study was to determine the factors affecting the
transfer of foodborne pathogens from inoculated beef fillets to non-inoculated
ones, through food processing surfaces. Three different levels of inoculation of
beef fillets surface were prepared: a high one of approximately 107 CFU/cm2, a
medium one of 105 CFU/cm2 and a low one of 103 CFU/cm2, using mixed-strains of
Listeria monocytogenes, or Salmonella enterica Typhimurium, or Escherichia coli
O157:H7. The inoculated fillets were then placed on 3 different types of surfaces
(stainless steel-SS, polyethylene-PE and wood-WD), for 1 or 15 min. Subsequently,
these fillets were removed from the cutting boards and six sequential non
inoculated fillets were placed on the same surfaces for the same period of time.
All non-inoculated fillets were contaminated with a progressive reduction trend
of each pathogen's population level from the inoculated fillets to the sixth non
inoculated ones that got in contact with the surfaces, and regardless the initial
inoculum, a reduction of approximately 2 log CFU/g between inoculated and 1st non
inoculated fillet was observed. S. Typhimurium was transferred at lower mean
population (2.39 log CFU/g) to contaminated fillets than E. coli O157:H7 (2.93
log CFU/g), followed by L. monocytogenes (3.12 log CFU/g; P < 0.05). Wooden
surfaces (2.77 log CFU/g) enhanced the transfer of bacteria to subsequent fillets
compared to other materials (2.66 log CFU/g for SS and PE; P < 0.05). Cross
contamination between meat and surfaces is a multifactorial process strongly
depended on the species, initial contamination level, kind of surface, contact
time and the number of subsequent fillet, according to analysis of variance.
Thus, quantifying the cross-contamination risk associated with various steps of
meat processing and food establishments or households can provide a scientific
basis for risk management of such products.
PMID- 27889166
TI - Taggiasca extra virgin olive oil colonization by yeasts during the extraction
process.
AB - The opalescent appearance of the newly produced olive oil is due to the presence
of solid particles and microdrops of vegetation water in which the microorganisms
from the olives' carposphere are trapped. Present research has demonstrated that
the microbiota of the fresh extracted olive oil, produced in the mills, is mainly
composed of yeasts and to a lesser extent of molds. The close link between the
composition of the microbiota of the olives' carposphere undergoing to
processing, and that of the microbiota of the newly produced olive oil, concerns
only the yeasts and molds, given that the bacterial component is by and large
destroyed mainly in the kneaded paste during the malaxation process. Six
physiologically homogenous yeast groups were highlighted in the wash water,
kneaded paste and newly produced olive oil from the Taggiasca variety which had
been collected in mills located in the Liguria region. The more predominant
yeasts of each group belonged to a single species called respectively:
Kluyveromyces marxianus, Candida oleophila, Candida diddensiae, Candida
norvegica, Wickerhamomyces anomalus and Debaryomyces hansenii. Apart from K.
marxianus, which was found only in the wash water, all the other species were
found in the wash water and in the kneaded paste as well as in the newly produced
olive oil, while in the six-month stored olive oil, was found only one
physiologically homogeneous group of yeast represented by the W. anomalus specie.
These findings in according to our previous studies carried out on other types of
mono varietal olive oils, confirms that the habitat of the Taggiascas' extra
virgin olive oil, had a strong selective pressure on the yeast biota, allowing
only to a few member of yeast species, contaminating the fresh product, to
survive and reproduce in it during storage.
PMID- 27889167
TI - Sonication reduces the attachment of Salmonella Typhimurium ATCC 14028 cells to
bacterial cellulose-based plant cell wall models and cut plant material.
AB - This study investigated the removal of bacterial surface structures, particularly
flagella, using sonication, and examined its effect on the attachment of
Salmonella Typhimurium ATCC 14028 cells to plant cell walls. S. Typhimurium ATCC
14028 cells were subjected to sonication at 20 kHz to remove surface structures
without affecting cell viability. Effective removal of flagella was determined by
staining flagella of sonicated cells with Ryu's stain and enumerating the
flagella remaining by direct microscopic counting. The attachment of sonicated S.
Typhimurium cells to bacterial cellulose-based plant cell wall models and cut
plant material (potato, apple, lettuce) was then evaluated. Varying
concentrations of pectin and/or xyloglucan were used to produce a range of
bacterial cellulose-based plant cell wall models. As compared to the non
sonicated controls, sonicated S. Typhimurium cells attached in significantly
lower numbers (between 0.5 and 1.0 log CFU/cm2) to all surfaces except to the
bacterial cellulose-only composite without pectin and xyloglucan. Since
attachment of S. Typhimurium to the bacterial cellulose-only composite was not
affected by sonication, this suggests that bacterial surface structures,
particularly flagella, could have specific interactions with pectin and
xyloglucan. This study indicates that sonication may have potential applications
for reducing Salmonella attachment during the processing of fresh produce.
PMID- 27889168
TI - Biofilm formation enhances Helicobacter pylori survivability in vegetables.
AB - To date, the exact route and mode of transmission of Helicobacter pylori remains
elusive. The detection of H. pylori in food using molecular approaches has led us
to postulate that the gastric pathogen may survive in the extragastric
environment for an extended period. In this study, we show that H. pylori
prolongs its survival by forming biofilm and micro-colonies on vegetables. The
biofilm forming capability of H. pylori is both strain and vegetable dependent.
H. pylori strains were classified into high and low biofilm formers based on
their highest relative biofilm units (BU). High biofilm formers survived longer
on vegetables compared to low biofilm formers. The bacteria survived better on
cabbage compared to other vegetables tested. In addition, images captured on
scanning electron and confocal laser scanning microscopes revealed that the
bacteria were able to form biofilm and reside as micro-colonies on vegetable
surfaces, strengthening the notion of possible survival of H. pylori on
vegetables for an extended period of time. Taken together, the ability of H.
pylori to form biofilm on vegetables (a common food source for human) potentially
plays an important role in its survival, serving as a mode of transmission of H.
pylori in the extragastric environment.
PMID- 27889169
TI - Characterization of biocide-tolerant bacteria isolated from cheese and dairy
small-medium enterprises.
AB - A collection of 120 bacterial isolates from small medium enterprises involved in
the production of cow milk and the manufacture of goat cheese were screened for
sensitivity to biocides benzalkonium chloride (BC), cetrimide (CT),
hexadecylpyridinium chloride (HDP), triclosan (TC), hexachlorophene (CF) and poly
(hexamethylen guanidinium) hydrochloride (PHMG). Nineteen isolates were selected
according to biocide tolerance and identified by 16S rDNA sequencing as
Lactococcus sp. (6) Enterococcus sp. (1), Lactobacillus sp. (4), Bacillus sp. (1)
Escherichia sp. (5), Enterobacter sp. (1) and Helicobacter sp. (1). These were
further characterised regarding antimicrobial resistance phenotype and genotype.
Several isolates were multiply (3 or more) tolerant to biocides or resistant to
antibiotics, but only two Escherichia sp. isolates and Enterobacter sp. were
multiply resistant to biocides and antibiotics. Statistical analysis of biocide
tolerance and antibiotic resistance revealed significant positive correlations
between different biocides and between biocides and antibiotics. The biocide
tolerance genes most frequently found were qacEDelta1 and qacA/B. The sulfonamide
resistance gene sul1 was found in two Escherichia sp. isolates and in
Enterobacter sp., all of which also carried qacEDelta1. Beta-lactam (blaCTX-M,
blaPSE) and tetracycline resistance genes [tet(A), tet(C) and tet(D)] were
detected. Efflux pump genes acrB and mdfA were found in most Gram-negative
isolates. Results from the study suggest that exposure to biocides can indirectly
select for antibiotic resistance.
PMID- 27889170
TI - Drying parameters greatly affect the destruction of Cronobacter sakazakii and
Salmonella Typhimurium in standard buffer and milk.
AB - Salmonella Typhimurium and Cronobacter sakazakii are two foodborne pathogens
involved in neonatal infections from milk powder and infant formula. Their
ability to survive in low-moisture food and during processing from the
decontamination to the dried state is a major issue in food protection. In this
work, we studied the effects of the drying process on Salmonella Typhimurium and
Cronobacter sakazakii, with the aim of identifying the drying parameters that
could promote greater inactivation of these two foodborne pathogens. These two
bacteria were dried under different atmospheric relative humidities in milk and
phosphate-buffered saline, and the delays in growth recovery and cultivability
were followed. We found that water activity was related to microorganism
resistance. C. sakazakii was more resistant to drying than was S. Typhimurium,
and milk increased the cultivability and recovery of these two species. High
drying rates and low final water activity levels (0.11-0.58) had a strong
negative effect on the growth recovery and cultivability of these species. In
conclusion, we suggest that effective use of drying processes may provide a
complementary tool for food decontamination and food safety during the production
of low-moisture foods.
PMID- 27889171
TI - Antifungal activity of beta-carbolines on Penicillium digitatum and Botrytis
cinerea.
AB - beta-carbolines (betaCs) are alkaloids widely distributed in nature that have
demonstrated antimicrobial properties. Here, we tested in vitro six betaCs
against Penicillium digitatum and Botrytis cinerea, causal agents of postharvest
diseases on fruit and vegetables. Full aromatic betaCs (harmine, harmol,
norharmane and harmane) exhibited a marked inhibitory effect on conidia
germination at concentrations between 0.5 and 1 mM, while dihydro-betaCs
(harmalina and harmalol) only caused germination delay. Harmol showed the highest
inhibitory effect on both fungal pathogens. After 24 h of exposure to 1 mM
harmol, conidia revealed a severe cellular damage, exhibiting disorganized
cytoplasm and thickened cell wall. Harmol antimicrobial effect was fungicidal on
B. cinerea, while it was fungistatic on P. digitatum. Conidia membrane
permeabilization was detected in treatments with harmol at sub-inhibitory and
inhibitory concentrations, for both pathogens. In addition, residual infectivity
of P. digitatum on lemons and B. cinerea on blueberries was significantly reduced
after exposure to this alkaloid. It also inhibited mycelial growth, preventing
sporulation at the highest concentration tested. These results indicate that
harmol might be a promising candidate as a new antifungal molecule to control
causal agents of fruit diseases.
PMID- 27889172
TI - Safety assessment of Tetragenococcus halophilus isolates from doenjang, a Korean
high-salt-fermented soybean paste.
AB - We assessed the safety of 49 Tetragenococcus halophilus strains isolated from
doenjang in Korea. Minimum inhibitory concentration assays showed that all
strains can be considered as susceptible to ampicillin, erythromycin, penicillin
G, tetracycline, and vancomycin, but resistant to ciprofloxacin based on the
Enterococcus breakpoint values provided by the European Committee on
Antimicrobial Susceptibility testing in 2015. Ciprofloxacin resistance was
sufficiently high to consider the potential for acquisition of transmissible
determinants. Two strains exhibiting potentially acquired resistance to
chloramphenicol and gentamicin, and chloramphenicol alone, were identified. None
of the strains exhibited alpha-hemolytic activity or biofilm formation; two
strains exhibited weak beta-hemolytic activity. Doenjang isolates produced an
average of 3338.6 ppm of tyramine in the laboratory, considerably higher than the
levels produced by two reference strains. All of the test strains exhibited
similar cadaverine, histamine, and putrescine production patterns. Most T.
halophilus strains could grow at a NaCl concentration >18%, exhibited acid
production at 15% NaCl, and expressed strain-specific protease and lipase
activities. The potential acquisition of transmissible determinants for
antibiotic resistance and tyramine production identified in this study
necessitate the need for a thorough safety assessment of T. halophilus before it
can be considered for use in food fermentation processes.
PMID- 27889173
TI - Variability in the adaptive acid tolerance response phenotype of Salmonella
enterica strains.
AB - The objective of this study was the assessment of the stationary-phase, low-pH
inducible acid tolerance response (ATR) of different Salmonella enterica strains.
For this purpose, 30 strains of the pathogen were grown in tryptone soy broth in
the absence (non-adapted cultures) and presence (1% w/v; acid-adapted cultures)
of glucose, and then subjected to 4-h acid challenge trials at pH 3.0. Surviving
populations of each strain were determined at 1-h intervals, and the Weibull
model was fitted to the derived microbiological data. Extensive variability in
the acid stress responses of the tested S. enterica strains was observed, with
the total population reductions (log CFU/ml) attained in 4 h of acid challenge
ranging from 0.9 to 5.5 and from 0.6 to 7.0 for the non-adapted and acid-adapted
cultures, respectively. As demonstrated by the model scale parameter delta and
shape parameter p, the effect of acid adaptation on the inactivation curves was
strain-specific. Although acid adaptation resulted in enhanced acid survival for
the majority of the tested strains, there were strains exhibiting similar or
decreased acid resistance compared to their non-adapted counterparts. Moreover,
acid adaptation appeared to decrease the strain variability of delta whereas
increasing the strain variability of p: the coefficient of variation of delta
among the tested strains was 97.2 and 54.9% for the non-adapted and acid-adapted
cultures, respectively, while the corresponding values for p were 12.7 and 48.1%.
The data of the present study, which is the first one to systematically evaluate
the adaptive ATR of multiple S. enterica strains, clearly demonstrate that this
phenotype (attempted to be induced by growing the pathogen in the presence of
glucose) is strain-dependent.
PMID- 27889174
TI - ?
PMID- 27889175
TI - Inhibition of Adenylyl Cyclase in the Spinal Cord Alleviates Painful Diabetic
Neuropathy in Zucker Diabetic Fatty Rats.
AB - OBJECTIVES: Diabetic neuropathy is the most common complication of both type 1
and type 2 diabetes. In this study, we tested the hypotheses that impaired Gi
protein expression/function in the spinal cord is associated with the development
of painful neuropathy in people with type 2 diabetes and that reduction of cyclic
adenosine monophosphate (cAMP) production by inhibiting adenylyl cyclase in the
spinal cord can alleviate diabetic neuropathy. METHODS: To this end, we examined
the levels of cAMP, cAMP-dependent protein kinase (PKA) and cAMP response element
binding protein (CREB) in the spinal cord after the development of neuropathic
pain in Zucker diabetic fatty (ZDF) rats with type 2 diabetes. We evaluated the
effects of intrathecal injections of SQ22536, an adenylyl cyclase inhibitor, on
mechanical allodynia and thermal hyperalgesia in rats with painful diabetic
neuropathy. RESULTS: We found that diabetic ZDF rats exhibited mechanical
allodynia and thermal hyperalgesia, which are associated with enhanced cAMP
production, increased PKA activation and elevated CREB phosphorylation in the
spinal cord. Additionally, diabetic ZDF rats exhibited attenuated expression of
Gialpha, but not Gsalpha, in the spinal cord. Furthermore, intrathecal
administrations of SQ22536 dose-dependently alleviated mechanical allodynia and
thermal hyperalgesia in diabetic ZDF rats and reduced cAMP production, PKA
activation and p-CREB expression in the spinal cord. CONCLUSIONS: Taken together,
our study suggested that cAMP-mediated signalling in the spinal cord is likely
critical for the development of painful neuropathy in people with type 2
diabetes.
PMID- 27889176
TI - [Oncocytoma with a thrombus into the renal vein: A case report].
PMID- 27889177
TI - [Assessment of the learning curves for intravesical adenoma morcellation using
Piranha(c) device during endoscopic enucleation].
AB - INTRODUCTION: Morcellation of intravesical adenoma (MIA) is an important part of
the endoscopic enucleation procedure. The aim of this study was to analyse the
learning curve of the MIA during endoscopic enucleation of the prostate.
MATERIAL: We conducted a prospective study of the first 90 patients treated by
endoscopic enucleation of the prostate by a single surgeon without previous
experience of MIA. The population was divided into 3 consecutive groups of 30
patients. MIA was performed with the morcellator Pinranha (Wolf) and disposable
blades (Vmax(c)). The criteria selected to assess the progress of MIA over time
were: duration of MIA (min), the intraoperative complications encountered during
MIA and weight morcelleted tissue. The efficacy of MIA was assessed with the
ratio weight specimen/MIA duration (min/g) over time. RESULTS: The three groups
were comparable in terms of age, ASA score of prostate volume. A significant
decrease in the duration of MIA was found between groups 1 and 2 (12 versus
5.5min, P<0.0001), to reach a plateau in the group 3 (3min). A significant
increase in the efficiency of MIA was found between group 1 and 2 (5.5 versus
11g/min, P<0.0001), to reach a plateau in the group 3 (20g/min). Bladder injuries
were limited (7.7%), superficial and encountered in the early learning phase.
CONCLUSION: In our experience, the MIA required a learning curve estimated
between 30 and 60 procedures. LEVEL OF EVIDENCE: IV.
PMID- 27889178
TI - Comparison with adsorption of Re (VII) by two different gamma-radiation
synthesized silica-grafting of vinylimidazole/4-vinylpyridine adsorbents.
AB - Two silica gel based adsorbents for Re (VII), i.e. SS-MPTS-VIMH and SS-MPTS-VPQ,
were synthesised. Silica gel was used as the matrix for gamma-radiation grafting,
and the monomer of 1-vinyl imidazole (VIM) and 4-vinylpyridine (4-VP) was grafted
onto the silica silanized by methacryloxy propyl trimethoxyl silane,
respectively. A VIM concentration of 2molL-1 and an absorbed dose of 30kGy were
the optimal grafting conditions for adsorbent SS-MPTS-VIM, and a 4-VP
concentration of 4molL-1 and an absorbed dose of 40kGy were the optimal grafting
conditions for adsorbent SS-MPTS-VP. At the certain condition, the grafting yield
of SS-MPTS-VIM was 30.1% and that of SS-MPTS-VP was 21.0%. The adsorption
capacity of adsorbent SS-MPTS-VIMH was 145.99mgg-1 and that of SS-MPTS-VPQ was
71.08mgg-1 according to the Langmuir model. The adsorbent SS-MPTS-VPQ had better
adsorption properties of acid resistance and anti-interference than SS-MPTS-VIMH.
Dynamic column experiments showed that protonated adsorbent SS-MTPS-VIMH could be
recycled with good performance while quaternized adsorbent SS-MPTS-VPQ could not.
The adsorbent SS-MPTS-VIMH belongs to weak anion exchange adsorbent and SS-MPTS
VPQ belongs to strong anion exchange adsorbent. This study paves a way to the
synthesis and application of a novel silica base adsorbents for Re (VII).
PMID- 27889179
TI - Estimation of rate constants of PCB dechlorination reactions using an anaerobic
dehalogenation model.
AB - This study aims to estimate anaerobic dechlorination rate constants (km) of
reactions of individual PCB congeners using data from four laboratory microcosms
set up using sediment from Baltimore Harbor. Pathway km values are estimated by
modifying a previously developed model as Anaerobic Dehalogenation Model (ADM)
which can be applied to any halogenated hydrophobic organic (HOC). Improvements
such as handling multiple dechlorination activities (DAs) and co-elution of
congeners, incorporating constraints, using new goodness of fit evaluation led to
an increase in accuracy, speed and flexibility of ADM. DAs published in the
literature in terms of chlorine substitutions as well as specific microorganisms
and their combinations are used for identification of pathways. The best fit
explaining the congener pattern changes was found for pathways of Phylotype
DEH10, which has the ability to remove doubly flanked chlorines in meta and para
positions, para flanked chlorines in meta position. The range of estimated km
values is between 0.0001-0.133d-1, the median of which is found to be comparable
to the few available published biologically confirmed rate constants. Compound
specific modelling studies such as that performed by ADM can enable monitoring
and prediction of concentration changes as well as toxicity during
bioremediation.
PMID- 27889180
TI - Rapid restoration of methanogenesis in an acidified UASB reactor treating 2,4,6
trichlorophenol (TCP).
AB - Anaerobic bioreactors are often used for removal of xenobiotic and highly toxic
pollutants from wastewater. Most of the time, the pollutant is so toxic that the
stability of the reactor becomes compromised. It is well known that methanogens
are one of the most sensitive organisms in the anaerobic consortia and hence the
stability of the reactors is highly dependant on methanogenesis. Unfortunately
few studies have focused on recovering the methanogenic activity once it has been
inhibited by highly toxic pollutants. Here we establish a quick recovery strategy
for neutralization of an acidified UASB reactor after failure by intoxication
with an excess of TCP in the influent. Once the reactor returned to pH values
compatible with methanogenesis, biogas production was re-started after one day
and the system was re-acclimated to TCP. Successful removal of TCP from synthetic
wastewater was shown for concentrations up to 70mg/L after restoration.
PMID- 27889181
TI - Brazilian Cerrado soil reveals an untapped microbial potential for unpretreated
polyethylene biodegradation.
AB - Discarded PE-based products pose a social and environmental threat because of
their recalcitrance to degradation, a consequence of the unique set of PE's
physicochemical properties. In this study we isolated nine novel PE-degrading
bacteria from plastic debris found in soil of the savanna-like Brazilian Cerrado.
These bacterial strains from the genera Comamonas, Delftia, and Stenotrophomonas
showed metabolic activity and cellular viability after a 90-day incubation with
PE as the sole carbon source. ATR/FTIR indicated that biodegraded PE undergone
oxidation, vinylene formation, chain scission, among other chemical changes.
Considerable nanoroughness shifts and vast damages to the micrometric surface
were confirmed by AFM and SEM. Further, phase imaging revealed a 46.7% decrease
in the viscous area of biodegraded PE whereas Raman spectroscopy confirmed a loss
in its crystalline content, suggesting the assimilation of smaller fragments.
Intriguingly, biodegraded PE chemical fingerprint suggests that these strains use
novel biochemical strategies in the biodegradation process. Our results indicate
that these microbes are capable of degrading unpretreated PE of very high
molecular weight (191,000gmol-1) and survive for long periods under this
condition, suggesting not only practical applications in waste management and
environmental decontamination, but also future directions to understand the
unraveled metabolism of synthetic polymers.
PMID- 27889182
TI - Development of polyethyleneimine-loaded core-shell chitosan hollow beads and
their application for platinum recovery in sequential metal scavenging fill-and
draw process.
AB - Polyethyleneimine (PEI)-loaded chitosan hollow beads (CHBs) were fabricated
through the ionotropic gelation process using sodium tripolyphosphate (TPP) as a
counter polyanion. The CHBs were loaded with hydrophilic PEI in pre- and/or post
loading methods. Hence, the sorbent could possess a large number of amine groups
which were able to function as the binding sites to recover platinum metal ions.
The enhancement of the amine groups was confirmed by Fourier transform infrared
spectroscopy (FTIR). Isotherm and kinetic studies were carried out to evaluate
the sorption performance of the sorbents. The maximum Pt(IV) uptake by the PEI
loaded CHBs was estimated to be 815.2+/-72.6mg/g, which was much higher than that
of a commercial ion exchange resin, Lewatit(r) MonoPlus TP214 (330.2+/-16.6mg/g).
A sequential metal scavenging fill-and-draw process was operated using the PEI
loaded CHBs sorbents for ten cycles and the Pt(IV) recovery efficiency was kept
above 97.4% even after the last cycle. These results indicated that the ionic
polymer-loaded hydrogel hollow beads can be a novel platform to design high
performance sorbents able to recover and/or scavenge anionic precious metal ions
even from trace metal solutions.
PMID- 27889183
TI - Increase of cytotoxicity during wastewater chlorination: Impact factors and
surrogates.
AB - Toxic and harmful disinfection byproducts (DBPs) were formed during wastewater
chlorination. It was recently suggested that cytotoxicity to mammalian cells
reflects risks posed by chlorinated wastewater. Here, ATP assays were performed
to evaluate the cytotoxicity to mammalian cells. Chlorination significantly
increased cytotoxicity of treated wastewater. Factors affecting cytotoxicity
formation during wastewater chlorination were investigated. Quenching with sodium
thiosulfate and ascorbic acid decreased the formed cytotoxicity, while ammonium
kept the cytotoxicity stable. The chlorine dose required for the maximum
cytotoxicity increase was dramatically affected by DOC and ammonia
concentrations. The maximum cytotoxicity increase, defined as the cytotoxicity
formation potential (CtFP), occurred when wastewater was treated for 48h with a
chlorine dose of 2.DOC+11.NH3N+10 (mg-Cl2/L). During chlorination, the amounts of
AOX formation was found to be significantly correlated with cytotoxicity
formation when no DBPs were destroyed. AOX formation could be used as a surrogate
to estimate cytotoxicity increase during wastewater chlorination. Besides, the
CtFP of 14 treated wastewater samples was assessed ranged from 5.4-20.4mg
phenol/L. The CtFP could be estimated from UV254 of treated wastewater because
CtFP and UV254 were strongly correlated.
PMID- 27889184
TI - GEC-ESTRO ACROP recommendations for head & neck brachytherapy in squamous cell
carcinomas: 1st update - Improvement by cross sectional imaging based treatment
planning and stepping source technology.
AB - The Head and Neck Working Group of the GEC-ESTRO (Groupe Europeen de
Curietherapie - European Society for Therapeutic Radiology and Oncology)
published in 2009 the consensus recommendations for low-dose rate, pulsed-dose
rate and high-dose rate brachytherapy in head & neck cancers. The use of
brachytherapy in combination with external beam radiotherapy and/or surgery was
also covered as well as the use of brachytherapy in previously irradiated
patients. Given the developments in the field, these recommendations needed to be
updated to reflect up-to-date knowledge. The present update does not repeat basic
knowledge which was published in the first recommendation but covers in a general
part developments in (1) dose and fractionation, (2) aspects of treatment
selection for brachytherapy alone versus combined BT+EBRT and (3) quality
assurance issues. Detailed expert committee opinion intends to help the clinical
practice in lip-, oral cavity-, oropharynx-, nasopharynx-, and superficial
cancers. Different aspects of adjuvant treatment techniques and their results are
discussed, as well the possibilities of salvage brachytherapy applications.
PMID- 27889185
TI - NUP98 Fusion Proteins Interact with the NSL and MLL1 Complexes to Drive
Leukemogenesis.
AB - The nucleoporin 98 gene (NUP98) is fused to a variety of partner genes in
multiple hematopoietic malignancies. Here, we demonstrate that NUP98 fusion
proteins, including NUP98-HOXA9 (NHA9), NUP98-HOXD13 (NHD13), NUP98-NSD1, NUP98
PHF23, and NUP98-TOP1 physically interact with mixed lineage leukemia 1 (MLL1)
and the non-specific lethal (NSL) histone-modifying complexes. Chromatin
immunoprecipitation sequencing illustrates that NHA9 and MLL1 co-localize on
chromatin and are found associated with Hox gene promoter regions. Furthermore,
MLL1 is required for the proliferation of NHA9 cells in vitro and in vivo.
Inactivation of MLL1 leads to decreased expression of genes bound by NHA9 and
MLL1 and reverses a gene expression signature found in NUP98-rearranged human
leukemias. Our data reveal a molecular dependency on MLL1 function in NUP98
fusion-driven leukemogenesis.
PMID- 27889186
TI - Circadian Homeostasis of Liver Metabolism Suppresses Hepatocarcinogenesis.
AB - Chronic jet lag induces spontaneous hepatocellular carcinoma (HCC) in wild-type
mice following a mechanism very similar to that observed in obese humans. The
process initiates with non-alcoholic fatty liver disease (NAFLD) that progresses
to steatohepatitis and fibrosis before HCC detection. This pathophysiological
pathway is driven by jet-lag-induced genome-wide gene deregulation and global
liver metabolic dysfunction, with nuclear receptor-controlled cholesterol/bile
acid and xenobiotic metabolism among the top deregulated pathways. Ablation of
farnesoid X receptor dramatically increases enterohepatic bile acid levels and
jet-lag-induced HCC, while loss of constitutive androstane receptor (CAR), a well
known liver tumor promoter that mediates toxic bile acid signaling, inhibits
NAFLD-induced hepatocarcinogenesis. Circadian disruption activates CAR by
promoting cholestasis, peripheral clock disruption, and sympathetic dysfunction.
PMID- 27889187
TI - Maternal Polycystic Ovary Syndrome and Risk for Attention-Deficit/Hyperactivity
Disorder in the Offspring.
AB - BACKGROUND: Attention-deficit/hyperactivity disorder (ADHD) is the most common
childhood neurodevelopmental disorder, and boys are two to three times more
likely to develop ADHD. Maternal polycystic ovary syndrome (PCOS), a common
metabolic disorder associated with excess circulating androgens, has been
associated with increased risk for autism spectrum disorder in the offspring. In
this study, we aimed to investigate whether maternal PCOS increases the risk for
ADHD in the offspring. METHODS: We conducted a matched case-control study using
health and population data registers for all children born in Sweden from 1984 to
2008. Maternal PCOS was defined by ICD-coded register diagnosis. The outcome of
ADHD was defined as an ICD-coded register diagnosis of ADHD and/or registered
prescription of medications to treat ADHD. A total of 58,912 ADHD cases (68.8%
male) were identified and matched to 499,998 unaffected controls by sex and birth
month and year. RESULTS: Maternal PCOS increased the odds of offspring ADHD by
42% after adjustment for confounders (odds ratio [OR], 1.42; 95% confidence
interval [CI], 1.26-1.58). Exclusion of ADHD cases with comorbid autism spectrum
disorder attenuated but did not explain the relationship (OR, 1.34; 95% CI, 1.18
1.52). The risk was somewhat elevated for ADHD with comorbid autism spectrum
disorder (OR, 1.76; 95% CI, 1.37-2.26). The risk for ADHD was higher among obese
mothers with PCOS (OR, 1.68; 95% CI, 1.31-2.17) and was highest among obese
mothers with PCOS and other features of metabolic syndrome (OR, 2.59; 95% CI,
1.02-6.58). CONCLUSIONS: This study provides evidence that maternal PCOS may
subtly influence the neurodevelopment of the offspring, resulting in increased
risk for neurodevelopmental disorders such as ADHD.
PMID- 27889188
TI - Dynamic margin of stability during gait is altered in persons with multiple
sclerosis.
AB - Persons with multiple sclerosis (PwMS) have high fall risk due to altered
balance. To measure dynamic balance during walking, margin of stability (MoS)
examines how the extrapolated center of mass moves relative to the base of
support. This study investigates how MoS is affected in PwMS during walking at
preferred, slow, and fast speeds, as well as the relationship between MoS and the
Expanded Disability Severity Score (EDSS), fall history, and self-report balance
confidence questionnaire. MoS was evaluated in PwMS without clinical gait
impairment (MS1; n=20), PwMS with clinical gait impairment (MS2; n=20), and age
matched healthy controls (HC) (n=20), in the anterior/posterior (AP) and
medial/lateral (ML) direction at heel strike and midstance. In the AP direction,
MS2 had a higher MoS than HC (p<0.001) and MS1 (p<0.001) at heel strike and
midstance. In the ML direction, MS2 had a higher MoS than HC (p<0.001) at heel
strike only. At midstance, slow pace had a lower MoS than preferred pace
(p<0.001) and fast pace (p=0.007). Compared to HC, PwMS walk slower which
increases their AP MoS. In the ML direction, slow walking causes lower MoS at
midstance, so PwMS increase their MoS by taking wider steps. AP MoS correlated
with EDSS (p=0.008) and number of falls (p=0.001), and ML MoS correlated with
number of falls (p=0.027). Walking slower, with shorter step length, and with
wider step widths increases MoS for PwMS but may be a poor adaptive gait strategy
since falls still occur.
PMID- 27889189
TI - Reliability of gait analysis using wearable sensors in patients with knee
osteoarthritis.
AB - The aim of this study was to determine the test-retest reliability of linear
acceleration waveforms collected at the low back, thigh, shank, and foot during
walking, in a cohort of knee osteoarthritis patients, by applying two separate
sensor attitude correction methods (static attitude correction and dynamic
attitude correction). Linear acceleration data were collected on the subjects'
most affected limb during treadmill walking on two separate days. Results reveal
all attitude corrected acceleration waveforms displayed high repeatability, with
coefficient of multiple determination values ranging from 0.82 to 0.99. Overall,
mediolateral accelerations and the thigh sensor demonstrated the lowest
reliabilities, but interaction effects revealed only mediolateral accelerations
at the thigh and foot sensors were different than other axes and sensor
locations. Both attitude correction methods led to improved reliability of linear
acceleration waveforms. These findings suggest that while all sensor locations
and axes display acceptable reliability in a clinical knee osteoarthritis
population, the back and shank locations, and the vertical and anteroposterior
acceleration directions, are most reliable.
PMID- 27889190
TI - Diagnosis of multiple sclerosis: progress and challenges.
AB - The diagnosis of multiple sclerosis is based on neurological symptoms and signs,
alongside evidence of dissemination of CNS lesions in space and time. MRI is
often sufficient to confirm the diagnosis when characteristic lesions accompany a
typical clinical syndrome, but in some patients, further supportive information
is obtained from cerebrospinal fluid examination and neurophysiological testing.
Differentiation is important from other diseases in which demyelination is a
feature (eg, neuromyelitis optica spectrum disorder and acute disseminated
encephalomyelitis) and from non-demyelinating disorders such as chronic small
vessel disease and other inflammatory, granulomatous, infective, metabolic, and
genetic causes that can mimic multiple sclerosis. Advances in MRI and serological
and genetic testing have greatly increased accuracy in distinguishing multiple
sclerosis from these disorders, but misdiagnosis can occur. In this Series paper
we explore the progress and challenges in the diagnosis of multiple sclerosis
with reference to diagnostic criteria, important differential diagnoses,
controversies and uncertainties, and future prospects.
PMID- 27889191
TI - Progressive multiple sclerosis: prospects for disease therapy, repair, and
restoration of function.
AB - Multiple sclerosis is a major cause of neurological disability, which accrues
predominantly during progressive forms of the disease. Although development of
multifocal inflammatory lesions is the underlying pathological process in
relapsing-remitting multiple sclerosis, the gradual accumulation of disability
that characterises progressive multiple sclerosis seems to result more from
diffuse immune mechanisms and neurodegeneration. As a result, the 14 anti
inflammatory drugs that have regulatory approval for treatment of relapsing
remitting multiple sclerosis have little or no efficacy in progressive multiple
sclerosis without inflammatory lesion activity. Effective therapies for
progressive multiple sclerosis that prevent worsening, reverse damage, and
restore function are a major unmet need. In this Series paper we summarise the
current status of therapy for progressive multiple sclerosis and outline
prospects for the future.
PMID- 27889192
TI - Evolving concepts in the treatment of relapsing multiple sclerosis.
AB - In the past 20 years the treatment scenario of multiple sclerosis has radically
changed. The increasing availability of effective disease-modifying therapies has
shifted the aim of therapeutic interventions from a reduction in relapses and
disability accrual, to the absence of any sign of clinical or MRI activity. The
choice for therapy is increasingly complex and should be driven by an appropriate
knowledge of the mechanisms of action of the different drugs and of their risk
benefit profile. Because the relapsing phase of the disease is characterised by
inflammation, treatment should be started as early as possible and aim to re
establish the normal complex interactions in the immune system. Before starting a
treatment, neurologists should carefully consider the state of the disease, its
prognostic factors and comorbidities, the patient's response to previous
treatments, and whether the patient is likely to accept treatment-related risks
in order to maximise benefits and minimise risks. Early detection of suboptimum
responders, thanks to accurate clinical monitoring, will allow clinicians to
redesign treatment strategies where necessary.
PMID- 27889193
TI - Do Not Hedge When There Is Certainty.
PMID- 27889194
TI - Usefulness of Thromboelastography in the Detection and Management of Tissue
Plasminogen Activator-Associated Hyperfibrinolysis.
AB - Rotation thromboelastometry is a viscoelastometric method that provides a rapid
assessment of a patient's hemostatic processes in emergency settings, allowing
prompt identification of specific coagulation abnormalities. Its results thus
might guide targeted replacement therapy in hemorrhagic conditions, in case of
platelet or coagulation factor deficiency, or hyperfibrinolysis, which is
difficult to identify otherwise. Although currently used in emergency and
traumatic surgery, there are limited data about thromboelastometry in ischemic
stroke, particularly in monitoring the coagulative response to recombinant tissue
plasminogen activator after intravenous thrombolysis (IVT). Here we report a case
of ischemic stroke complicated by a remote asymptomatic intracranial hemorrhage
after IVT and additional endovascular therapy that has been successfully treated
with intravenous infusion of tranexamic acid after the detection of the status of
hyperfibrinolysis provided by thromboelastometry. Further studies are needed to
provide the potential usefulness of thromboelastometry and tranexamic acid in
ischemic stroke complicated by intracranial bleeding.
PMID- 27889195
TI - Metastasectomy in cutaneous melanoma.
AB - Metastasectomy remains the only treatment in malignant melanoma to offer complete
pathologic response within a few days of in-hospital stay. It has been
historically associated with the highest survival rates in the literature
reported for patients of this stage. However, only a minority of patients are
amenable to curative resection of distant metastatic disease. This patient group
exhibit slow growing oligometastases as indicated by: a. Long disease free
interval after treatment of their primary tumours and b. An exhaustive
preoperative work up with the use of PET/CT and MRI scans. Only complete
resection of all metastases is associated with long term survival and debulking
should not be attempted. Metastasectomy has also been shown to offer significant
palliation in cases of gastrointestinal bleeding or obstruction. The timing and
the sequencing of surgery in the modern multimodal targeted treatment of melanoma
is still unclear and warrants further investigation.
PMID- 27889198
TI - Authors' Response: Expression of CD56 in patients with adenomyosis and its
correlation with dysmenorrhea.
PMID- 27889196
TI - Impact of molecular subtypes classification concordance between preoperative core
needle biopsy and surgical specimen on early breast cancer management: Single
institution experience and review of published literature.
AB - BACKGROUND: Core needle biopsy (CNB) plays a crucial role as diagnostic tool for
breast cancer (BC). The characterization of biomarkers status before surgical
treatment is crucial when primary systemic therapy is a therapeutic option. The
aim of this analysis was to report concordance between preoperative CNB and
surgical specimen (SS) in evaluating biomarkers and molecular subtypes. METHODS:
Data have been collected from a cohort of 101 patients affected by early BC
treated at Careggi Florence University Hospital, between January 2014 and March
2015. The conformity between molecular subtype classification was tested using
kappa (kappa) test. RESULTS: Mean age was 57.5 years (range 29-86). There was
concordance between the estrogen receptor (ER) assessment on CNB and SS in 95
cases (94.1%). Concordance of the progesterone receptor (PgR) assessment was
observed in 89 cases (88.1%). Concordance for detecting immunohistochemistry
assessed BC molecular subtypes was 87.1% (kappa = 0.78). Concerning Ki-67
evaluation, we report a concordance rate of 88.1% (kappa = 0.68). The evaluation
of luminal A plus luminal B/HER negative subgroup showed a kappa-value of 0.65.
CONCLUSIONS: CNB showed good accuracy in evaluating hormonal receptors status,
HER2, and BC molecular subtypes. Evaluation of Ki67 status was less accurate than
other biomarkers; therefore, we recommend that it should be detected both on CNB
and SS samples, especially in hormonal positive HER2 negative tumors, in order to
avoid a misclassification of tumor subtypes that could lead to an omission of
potential effective systemic therapy.
PMID- 27889197
TI - Complication-related removal of totally implantable venous access port systems:
Does the interval between placement and first use and the neutropenia-inducing
potential of chemotherapy regimens influence their incidence? A four-year
prospective study of 4045 patients.
AB - BACKGROUND: Totally implantable venous access port systems are widely used in
oncology, with frequent complications that sometimes necessitate device removal.
The aim of this study is to investigate the impact of the time interval between
port placement and initiation of chemotherapy and the neutropenia-inducing
potential of the chemotherapy administered upon complication-related port
removal. PATIENTS AND METHODS: Between January 2010 and December 2013, 4045
consecutive patients were included in this observational, single-center
prospective study. The chemotherapy regimens were classified as having a low
(<10%), intermediate (10-20%), or high (>20%) risk for inducing neutropenia.
RESULTS: The overall removal rate due to complications was 7.2%. Among them, port
related infection (2.5%) and port expulsion (1%) were the most frequent. The
interval between port insertion and its first use was shown to be a predictive
factor for complication-related removal rates. A cut-off of 6 days was
statistically significant (p = 0.008), as the removal rate for complications was
9.4% when this interval was 0-5 days and 5.7% when it was >=6 days. Another
factor associated with port complication rate was the neutropenia-inducing
potential of the chemotherapy regimens used, with removal for complications
involved in 5.5% of low-risk regimens versus 9.4% for the intermediate- and high
risk regimens (p = 0.003). CONCLUSION: An interval of 6 days between placement
and first use of the port reduces the removal rate from complications. The
intermediate- and high-risk for neutropenia chemotherapy regimens are related to
higher port removal rates from complications than low-risk regimens.
PMID- 27889199
TI - Use of a laparoscopic specimen retrieval bag for delivery of an entrapped head in
a breech fetus at 21 weeks gestation.
PMID- 27889200
TI - Oral tuberculosis.
PMID- 27889201
TI - Expression of Fibroblast Activating Protein and Correlation with Histological
Grade, Mitotic Index and Ki67 Expression in Canine Mast Cell Tumours.
AB - Fibroblast activating protein (FAP) is a membrane serine protease expressed by
activated fibroblasts, particularly tumour associated fibroblasts (TAFs). FAP
expression has not been reported in canine mast cell tumours (MCTs). The
objective of this study was to evaluate the expression of FAP in TAFs and its
correlation with histological grade, mitotic index and Ki67 expression in canine
MCTs. FAP expression was evaluated by immunohistochemistry (IHC) in 30 canine
MCTs. Twenty-eight (90%) of the MCTs expressed FAP in the stroma, 16 cases showed
low to intermediate FAP score and 14 cases had a high FAP score. FAP was
correlated positively with both Patnaik (P = 0.007) and Kiupel (P = 0.008)
grading systems, mitotic index (P = 0.0008) and Ki67 expression (P = 0.009). High
stromal FAP expression could be a potential negative prognostic factor in canine
MCTs.
PMID- 27889202
TI - Analysis of the Elective Treatment Process for Critical Limb Ischaemia with
Tissue Loss: Diabetic Patients Require Rapid Revascularisation.
AB - OBJECTIVES: The number of elderly people is increasing; inevitably, the result
will be more patients with critical limb ischaemia (CLI) in the future. Tissue
loss in CLI is related to a high risk of major amputation. The aim of this study
was to analyze the treatment process from referral to revascularisation, to
discover possible delays and reasons behind them, and to distinguish patients
benefitting the most from early revascularisation. METHODS: A retrospective
analysis was performed of 394 consecutive patients with a combined 447 affected
limbs, referred to the outpatient clinic during 2010-2011 for tissue loss of
suspected ischaemic origin. RESULTS: For 246 limbs revascularisation was
scheduled. After changes in the initial treatment strategy, endovascular
treatment (ET) was performed on 221 and open surgery (OS) on 45 limbs. Notably
there was crossover after ET in 17.0% of the procedures, and re
revascularisations were required in 40.1% after ET and 31.1% after OS. The median
time from referral to revascularisation was 43 days (range 1-657 days) with no
significant difference between ET and OS. For 29 (11.8%) patients the ischaemic
limb required an emergency operation scheduled at the first visit to the
outpatient clinic. For 25 (10.2%) patients the situation worsened while waiting
for elective revascularisation and an emergency procedure was performed. Diabetic
patients formed the majority of the study population, with 159 diabetic feet
undergoing revascularisation. In multivariate analysis, diabetes was associated
with poor limb salvage. When revascularisation was achieved within 2 weeks, no
difference was seen in limb salvage. However, when the delay from first visit to
revascularisation exceeded 2 weeks, limb salvage was significantly poorer in
diabetic patients. CONCLUSIONS: Diabetic ulcers always require vascular
evaluation, and when ischaemia is suspected the diagnostics should be organised
rapidly to ensure revascularisation without delay, according to this study within
2 weeks from the first evaluation.
PMID- 27889203
TI - Changes in Renal Anatomy After Fenestrated Endovascular Aneurysm Repair.
AB - OBJECTIVE: To assess short- and long-term movement of renal arteries after
fenestrated endovascular aortic repair (FEVAR). METHODS: Consecutive patients who
underwent FEVAR at one institution with a custom-made device designed with
fenestrations for the superior mesenteric (SMA) and renal arteries, a millimetric
computed tomography angiography (CTA), and a minimum of 2 years' follow-up were
included. Angulation between renal artery trunk and aorta, clock position of the
origin of the renal arteries, distance between renal arteries and SMA, and target
vessel occlusion were retrospectively collected and compared between the pre
operative, post-operative (<6 months), and last (>12 months) CTA. RESULTS: From
October 2004 to January 2014, 100 patients met the inclusion criteria and 86% of
imaging was available for accurate analysis. Median follow-up was 27.3 months
(22.7-50.1). There were no renal occlusions. A significant change was found in
the value of renal trunk angulation of both renal arteries on post-operative
compared with pre-operative CTA (17 degrees difference upward [7.5-29], p <
.001), but no significant change thereafter (p = .5). Regarding renal clock
positions (7.5 degrees of change equivalent to 15 min of renal ostial movement):
significant anterior change was found between post-operative and pre-operative
CTA (15 min [0-30], p = .03 on the left and 15 min [15-30], p < .001 on the
right), without significant change thereafter (15 min [0-30], p = .18 on the left
and 15 min [0-15] on the right, p = .28). No changes were noted on the distance
between renal and SMA ostia (difference of 1.65 mm [1-2.5], p = .63). CONCLUSION:
The renal arteries demonstrate tolerance to permanent changes in angulation after
FEVAR of approximately 17 degrees upward trunk movement and of 15-30 min ostial
movement without adverse consequences on patency after a median of more than 2
years' follow-up. The distance between the target vessels remained stable over
time. These results may suggest accommodation to sizing errors and thus a
compliance with off the shelf devices in favourable anatomies.
PMID- 27889205
TI - Structural Analysis of Multi-component Amyloid Systems by Chemometric SAXS Data
Decomposition.
AB - Formation of amyloids is the hallmark of several neurodegenerative pathologies.
Structural investigation of these complex transformation processes poses
significant experimental challenges due to the co-existence of multiple species.
The additive nature of small-angle X-ray scattering (SAXS) data allows for
probing the evolution of these mixtures of oligomeric states, but the
decomposition of SAXS data into species-specific spectra and relative
concentrations is burdened by ambiguity. We present an objective SAXS data
decomposition method by adapting the multivariate curve resolution alternating
least squares (MCR-ALS) chemometric method. The approach enables rigorous and
robust decomposition of synchrotron SAXS data by simultaneously introducing these
data in different representations that emphasize molecular changes at different
time and structural resolution ranges. The approach has allowed the study of
fibrillogenic forms of insulin and the familial mutant E46K of alpha-synuclein,
and is generally applicable to any macromolecular mixture that can be probed by
SAXS.
PMID- 27889204
TI - Tauroursodeoxycholic Acid Attenuates Angiotensin II Induced Abdominal Aortic
Aneurysm Formation in Apolipoprotein E-deficient Mice by Inhibiting Endoplasmic
Reticulum Stress.
AB - OBJECTIVE/BACKGROUND: Abdominal aortic aneurysm (AAA) is characterised by the
infiltration of smooth muscle cell (SMC) apoptosis, inflammatory cells,
neovascularisation, and degradation of the extracellular matrix. Previous work
has shown that endoplasmic reticulum (ER) stress and SMC apoptosis were increased
both in a mouse model and human thoracic aortic aneurysm. However, whether the ER
stress is activated in AAA formation and whether suppressing ER stress attenuates
AAA is unknown. METHODS: Human AAA and control aorta samples were collected.
Expression of ER stress chaperones glucose-regulated protein (GRP)-78 and GRP-94
was detected by immunohistochemical staining. The effect of ER stress inhibitor
tauroursodeoxycholic acid (TUDCA) on AAA formation in angiotensin (Ang) II
induced apolipoprotein E-/- mice was explored. Elastin staining was used to
observe the rupture of elastic fragmentation. Immunohistochemistry and Western
blot analysis were performed, to detect the protein expression of ER stress
chaperones and apoptosis molecules. RESULTS: There was significant upregulation
of GRP-78 and GRP-94 in aneurysmal areas of human AAA and Ang II induced ApoE-/-
mice (p < .05). TUDCA significantly attenuated the maximum diameters of abdominal
aortas in Ang II induced ApoE-/- mice (p < .05). TUDCA significantly reduced
expression of ER stress chaperones and the apoptotic cell numbers (p < .05).
Furthermore, TUDCA significantly reduced expression of apoptosis molecules, such
as caspase-3, caspase-12, C/EBP homologous protein, c-Jun N-terminal kinase
activating transcription factor 4, X-box binding protein, and eukaryotic
initiation factor 2alpha in Ang II induced ApoE-/- mice (p < .05). CONCLUSION:
The results suggest that ER stress is involved in human and Ang II induced AAA
formation in ApoE-/- mice. TUDCA attenuates Ang II induced AAA formation in ApoE
/- mice by inhibiting ER stress mediated apoptosis.
PMID- 27889206
TI - ProtLID, a Residue-Based Pharmacophore Approach to Identify Cognate Protein
Ligands in the Immunoglobulin Superfamily.
AB - Members of the extracellular immunoglobulin superfamily (IgSF) play a key role in
immune regulation through the control of the co-stimulatory pathway, and have
emerged as potent drug targets in cancers, infectious diseases, and autoimmunity.
Despite the difficult experimental access to this class of proteins, single
structures of ectodomains of IgSF proteins are solved with regularity. However,
the most biologically critical challenge for this class of proteins is the
identification of their cognate ligands that communicate intercellular signals.
We describe a conceptually novel method, protein-ligand interface design
(ProtLID), to identify cognate ligands from a subproteome for a given target
receptor protein. ProtLID designs an optimal protein interface for a given
receptor by running extensive molecular dynamics simulations of single-residue
probes. The type and location of residue preferences establish a residue-based
pharmacophore, which is subsequently used to find potential matches among
candidate ligands within a subproteome.
PMID- 27889208
TI - The BID Domain of Type IV Secretion Substrates Forms a Conserved Four-Helix
Bundle Topped with a Hook.
AB - The BID (Bep intracellular delivery) domain functions as secretion signal in a
subfamily of protein substrates of bacterial type IV secretion (T4S) systems. It
mediates transfer of (1) relaxases and the attached DNA during bacterial
conjugation, and (2) numerous Bartonella effector proteins (Beps) during protein
transfer into host cells infected by pathogenic Bartonella species. Furthermore,
BID domains of Beps have often evolved secondary effector functions within host
cells. Here, we provide crystal structures for three representative BID domains
and describe a novel conserved fold characterized by a compact, antiparallel four
helix bundle topped with a hook. The conserved hydrophobic core provides a rigid
scaffold to a surface that, despite a few conserved exposed residues and
similarities in charge distribution, displays significant variability. We propose
that the genuine function of BID domains as T4S signal may primarily depend on
their rigid structure, while the plasticity of their surface may facilitate
adaptation to secondary effector functions.
PMID- 27889207
TI - Dual Site Phosphorylation of Caspase-7 by PAK2 Blocks Apoptotic Activity by Two
Distinct Mechanisms.
AB - Caspases, the cysteine proteases that execute apoptosis, are tightly regulated
via phosphorylation by a series of kinases. Although all apoptotic caspases work
in concert to promote apoptosis, different kinases regulate individual caspases.
Several sites of caspase-7 phosphorylation have been reported, but without
knowing the molecular details, it has been impossible to exploit or control these
complex interactions, which normally prevent unwanted proliferation. During
dysregulation, PAK2 kinase plays an alternative anti-apoptotic role,
phosphorylating caspase-7 and promoting unfettered cell growth and
chemotherapeutic resistance. PAK2 phosphorylates caspase-7 at two sites,
inhibiting activity using two different molecular mechanisms, before and during
apoptosis. Phosphorylation of caspase-7 S30 allosterically obstructs its
interaction with caspase-9, preventing intersubunit linker processing, slowing or
preventing caspase-7 activation. S239 phosphorylation renders active caspase-7
incapable of binding substrate, blocking later events in apoptosis. Each of these
mechanisms is novel, representing new opportunities for synergistic control of
caspases and their counterpart kinases.
PMID- 27889209
TI - Structural Basis for the Subversion of MAP Kinase Signaling by an Intrinsically
Disordered Parasite Secreted Agonist.
AB - The causative agent of toxoplasmosis, the intracellular parasite Toxoplasma
gondii, delivers a protein, GRA24, into the cells it infects that interacts with
the mitogen-activated protein (MAP) kinase p38alpha (MAPK14), leading to
activation and nuclear translocation of the host kinase and a subsequent
inflammatory response that controls the progress of the parasite. The
purification of a recombinant complex of GRA24 and human p38alpha has allowed the
molecular basis of this activation to be determined. GRA24 is shown to be
intrinsically disordered, binding two kinases that act independently, and is the
only factor required to bypass the canonical mitogen-activated protein kinase
activation pathway. An adapted kinase interaction motif (KIM) forms a highly
stable complex that competes with cytoplasmic regulatory partners. In addition,
the recombinant complex forms a powerful in vitro tool to evaluate the
specificity and effectiveness of p38alpha inhibitors that have advanced to
clinical trials, as it provides a hitherto unavailable stable and highly active
form of p38alpha.
PMID- 27889211
TI - Takotsubo cardiomyopathy associated with epinephrine use: A systematic review and
meta-analysis.
AB - BACKGROUND: Takotsubo cardiomyopathy is a syndrome of transient cardiac
dysfunction that is frequently associated with sudden emotional or physical
stress. Epinephrine use has been implicated in precipitating Takotsubo
cardiomyopathy in multiple case reports and case series. We sought to
systematically review the current English literature on this association.
METHODS: We searched relevant articles on Takotsubo cardiomyopathy associated
with epinephrine administration and extracted data on demographic
characteristics, clinical features, investigations and clinical outcomes.
RESULTS: We identified total of 41 cases from 36 articles. The mean age of
presentation was (47.07+/-15.73years) with strong female preponderance (83%,
P=0.0001). The most common symptom at presentation was chest pain (82%). Mean
peak troponin I level was (7.12+/-11.22ng/ml). The most common EKG abnormality
was ST elevation, seen in 40% of patients. The most common finding on
echocardiography was apical hypokinesis, seen in 48.78% cases. Patients younger
than 45 were less likely to have apical cardiomyopathy (n=5/20, 25%) compared to
patients with age >45 (n=14/21, 66%, p value 0.001, OR 0.17). The most common
route of administration of epinephrine was intravenous (65.85%). All patients
except one survived with complete recovery of systolic function reported in most
cases within an average of 14.7days. CONCLUSION: Exposure to epinephrine in
clinical practice can trigger Takotsubo cardiomyopathy, which is rapidly
reversible with good prognosis in most cases. This review further supports the
notion that both exogenous and endogenous catecholamines are associated with the
pathogenesis of Takotsubo cardiomyopathy.
PMID- 27889210
TI - Relations between circulating microRNAs (miR-21, miR-26, miR-29, miR-30 and miR
133a), extracellular matrix fibrosis and serum markers of fibrosis in dilated
cardiomyopathy.
PMID- 27889212
TI - Study of the effect of the bacterial and fungal communities present in real
wastewater effluents on the performance of fungal treatments.
AB - The use of the ligninolytic fungi Trametes versicolor for the degradation of
micropollutants has been widely studied. However, few studies have addressed the
treatment of real wastewater containing pharmaceutically active compounds (PhAC)
under non-sterile conditions. The main drawback of performing such treatments is
the difficulty for the inoculated fungus to successfully compete with the other
microorganisms growing in the bioreactor. In the present study, several fungal
treatments were performed under non-sterile conditions in continuous operational
mode with two types of real wastewater effluent, namely, a reverse osmosis
concentrate (ROC) from a wastewater treatment plant and a veterinary hospital
wastewater (VHW). In all cases, the setup consisted of two parallel reactors: one
inoculated with T. versicolor and one non-inoculated, which was used as the
control. The main objective of this work was to correlate the operational
conditions and traditional monitoring parameters, such as laccase activity, with
PhAC removal and the composition of the microbial communities developed inside
the bioreactors. For that purpose a variety of biochemical and molecular biology
analyses were performed: phospholipid fatty acids analysis (PLFA), quantitative
PCR (qPCR) and denaturing gradient gel electrophoresis (DGGE) followed by
sequencing. The results show that many indigenous fungi (and not only bacteria,
which were the focus of the majority of previously published research) can
successfully compete with the inoculated fungi (i.e., Trichoderma asperellum
overtook T. versicolor in the ROC treatment). We also showed that the wastewater
origin and the operational conditions had a stronger impact on the diversity of
microbial communities developed in the bioreactors than the inoculation or not
with T. versicolor.
PMID- 27889213
TI - Spatiotemporal estimation of air temperature patterns at the street level using
high resolution satellite imagery.
AB - Although meteorological monitoring stations provide accurate measurements of Air
Temperature (AT), their spatial coverage within a given region is limited and
thus is often insufficient for exposure and epidemiological studies. In many
applications, satellite imagery measures energy flux, which is spatially
continuous, and calculates Brightness Temperature (BT) that used as an input
parameter. Although both quantities (AT-BT) are physically related, the
correlation between them is not straightforward, and varies daily due to
parameters such as meteorological conditions, surface moisture, land use,
satellite-surface geometry and others. In this paper we first investigate the
relationship between AT and BT as measured by 39 meteorological stations in
Israel during 1984-2015. Thereafter, we apply mixed regression models with daily
random slopes to calibrate Landsat BT data with monitored AT measurements for the
period 1984-2015. Results show that AT can be predicted with high accuracy by
using BT with high spatial resolution. The model shows relatively high accuracy
estimation of AT (R2=0.92, RMSE=1.58 degrees C, slope=0.90). Incorporating
meteorological parameters into the model generates better accuracy (R2=0.935)
than the AT-BT model (R2=0.92). Furthermore, based on the relatively high model
accuracy, we investigated the spatial patterns of AT within the study domain. In
the latter we focused on July-August, as these two months are characterized by
relativity stable synoptic conditions in the study area. In addition, a temporal
change in AT during the last 30years was estimated and verified using available
meteorological stations and two additional remote sensing platforms. Finally, the
impact of different land coverage on AT were estimated, as an example of future
application of the presented approach.
PMID- 27889214
TI - Stable hydrogen isotope values of lignin methoxyl groups of four tree species
across Germany and their implication for temperature reconstruction.
AB - Stable hydrogen isotope ratios of lignin methoxyl groups (delta2HLM values) in
wood have been shown to mirror the delta2H signatures of precipitation
(delta2Hprecip values). Thus, delta2HLM values were suggested to serve as a
potential paleotemperature proxy since delta2Hprecip values are dominantly
controlled by air temperature in the mid-latitudes. A recent study where a
significant delta2HLM-temperature relationship was found for a European transect
with mean annual temperatures ranging from -4 to 17 degrees C strengthened this
assumption. However, using delta2HLM values as a paleotemperature proxy requires
quantification of noise from site-, species- and biosynthetic-specific influences
to determine the significance of recording smaller temperature changes. Here, we
measured delta2HLM values of tree-ring sections covering 1981-1990 and 1991-2011
of four different tree species (European beech, English oak, Scots pine, Norway
spruce) at 15 sampling sites across Germany. The maximum difference in mean
annual temperature between sample sites was 5 degrees C and all sites showed
small temperature increases from 1981 to 1990 to 1991-2011 (mean Delta=0.7
degrees C). For all species investigated, the maximum difference of delta2HLM
within the tree was <10mUr or 0/00 (median values) and between trees at a single
site was <=28mUr (median values). The general pattern of the spatial delta2HLM
temperature relationship found for the European transect was confirmed here
although a significant correlation was lacking. This can be explained by the
lower spatial delta2Hprecip-temperature correlation (R2=0.39) found for sampling
sites in this study and the delta2HLM differences between trees. Nevertheless,
the temporal changes in delta2HLM values of European beech trees correctly
reflected within +/-2 degrees C the temperature change at every sampling site.
Therefore, we suggest that delta2HLM values of European beech trees have
considerable potential for reconstructing temperature changes when applied on
tree-ring chronologies and consider this approach particularly suited for Late
Holocene climate studies.
PMID- 27889215
TI - Benzotriazole-type ultraviolet stabilizers and antioxidants in plastic marine
debris and their new products.
AB - Ultraviolet stabilizers (UVSs) and antioxidants are the most widely used
additives in plastics to enhance the lifetime of polymeric materials. There is
growing interest in the roles of plastic marine debris and microplastics as
source or vector of toxic substances to marine environment and organisms.
However, there is limited information available on plastic associated chemicals,
particularly additive chemicals. Therefore, to evaluate their extent of exposure
from plastics to the marine environment, we determined UVSs and antioxidants in
plastic debris (n=29) collected from beaches along with their corresponding new
plastic products in markets (n=27) belonging to food, fisheries, and general use.
Antioxidants were present at higher concentrations than UVSs in both plastic
debris and new plastics, indicative of their high use over UVSs. Irganox 1076 and
Irganox 1010 were more commonly used than other chemicals investigated. The
irregular use with high concentration of additive chemicals was observed in short
term use plastic products. Except for Irganox 1076 and UV 326, most antioxidants
and UVSs were relatively high in new plastics compared to corresponding plastic
marine debris, implying their potential leaching or degradation during use or
after disposal. The present study provides quantitative information about
additive chemicals contained in plastic marine debris and their new products.
These results could be useful for better understanding of environmental exposure
to hazardous chemicals through plastic pollution.
PMID- 27889216
TI - Carbon nanotube amendment for treating dichlorodiphenyltrichloroethane and
hexachlorocyclohexane remaining in Dong-ting Lake sediment - An implication for
in-situ remediation.
AB - Organochlorine pesticides (OCPs) were largely sprayed on the floodplain soils
before the project of Returning Farmland to Lake in China, which caused
contamination of sediment in Dong-ting Lake with dichlorodiphenyltrichloroethane
(DDT) and hexachlorocyclohexane (HCH) and posed threats to human health and other
organisms. In this study, single-walled carbon nanotubes (SWCNTs) and multi
walled carbon nanotubes (MWCNTs) at different concentrations of 0.058, 0.145 and
0.29wt% were used to manage residual DDTs and HCHs in Dong-ting Lake sediment.
The efficacy was assessed by DDTs and HCHs deriving from the aqueous equilibrium
experiment and uptake in semipermeable membrane devices (SPMDs). Desorption
experiment and the quiescent flux experiment were conducted as well. The results
showed that DDTs and HCHs were released from sediment. The p, p'-DDT was desorbed
less readily than its metabolites and similarly alpha-HCH was desorbed less
easily than other HCH isomers from sediment. Carbon nanotubes had great effects
on treating DDTs and HCHs. The effectiveness of carbon nanotube amendment was
dependent on type, dose and sediment-sorbent contact time In addition, carbon
nanotubes being sprinkled on the surface of sediment as a cap and being injected
into sediment as a mixture were considered as two effective ways to prevent DDTs
and HCHs being released from sediment. Carbon nanotubes can be potentially useful
as sorbents in in-situ remediation.
PMID- 27889217
TI - The SIGHT questionnaire: A novel assessment tool for Satisfaction In Genital
Hypospadias Treatment.
AB - PURPOSE: Psychosexual development is currently underrepresented in hypospadias
outcome research. The aim of this study was to develop and validate a
questionnaire addressing psychosexual long-term satisfaction, specifically of
adolescent patients, after hypospadias repair. MATERIALS AND METHODS: In a
multistep participative design we identified key interests of adolescent patients
with hypospadias. Next, a questionnaire addressing specifically the psychosexual
satisfaction of adolescents after hypospadias repair was established. A
population of 109 former patients with hypospadias was then assessed using this
questionnaire. Furthermore, functional and cosmetic aspects, behavioural
anomalies, and sexual activity were investigated. Age-matched patients undergoing
circumcision served as control patients. Possible influence factors on patient
satisfaction were investigated. Clinical trial registry site: German Registry of
Clinical Trials DRKS, Freiburg, Germany (Reference: DRKS00003432). RESULTS: Key
interests of adolescent patients were "normal appearance of the penis", "normal
function of the penis regarding voiding and sexual activity", "no limitations
regarding cosmetic appearance to others", "no limitations to sexual activity",
and an "unimpaired masculine identity". The "Satisfaction In Genital Hypospadias
Treatment" (SIGHT) questionnaire was developed using these items and using
previously published evaluation systems. Nine questions address psychosexual
aspects and two additional questions address current sexual activity. Internal
consistency was high and retest reliability acceptable. The patient population
showed a normal strength and difficulties score (SDQ). Overall satisfaction was
high and similar to that of the control group. In a Spearman correlation a high
SDQ value, erectile problems, and complications correlated negatively with
satisfaction. CONCLUSION: To date, few studies have examined patients'
satisfaction and psychosexuality. To our knowledge, the SIGHT questionnaire is
the first to be developed participatively and in a stepwise fashion in
collaboration with a paediatric psychologist and an open approach to determine
items specifically important for adolescents. The SIGHT questionnaire can thus
offer a relevant assessment of patients' psychosexual satisfaction. It is most
suitable to supplement current strategies that so far mostly neglect the impact
on psychosexual wellbeing.
PMID- 27889218
TI - Single stage urethroplasty for perineal hypospadias in a horse: A case report.
AB - INTRODUCTION: Within the veterinary world, data regarding the surgical management
of hypospadias is lacking. Reports within equines have documented resective
phallectomy procedures rather than urethral reconstruction. This case report
documents the first ever urethroplasty for an equine hypospadias, performed by a
consultant paediatric surgeon. The urethroplasty was achieved by applying the
same surgical principles mastered from paediatric urology to a horse. The
indication for surgery was contact dermatitis of the hind-leg, which impaired the
thoroughbred foal's racing potential. OPERATION: A single stage urethroplasty was
performed, during which the wide urethral plate was tubularised in two layers and
the urethral meatus was successfully relocated distally to open upon the glans.
The urethroplasty was covered with dartos fascia and the penile shaft skin and
prepuce were reconstructed. Post-operatively, urine flowed cranially and the
contact dermatitis resolved. Minor superficial wound dehiscence was observed
which was managed conservatively and self-resolved. Follow-up at 3 years
confirmed the horse continues to void from the re-sited meatus without
complication and went on to have an illustrious career within horseracing.
CONCLUSION: We have documented the first reconstructive urethroplasty for
hypospadias within a horse, achieved by adopting the surgical techniques normally
implemented within paediatric urology with good functionality.
PMID- 27889219
TI - Join the discussion on Twitter!
PMID- 27889220
TI - Editorial.
PMID- 27889222
TI - Long-term follow-up of composite bladder augmentation incorporating stomach in a
multi-institutional cohort of patients with cloacal exstrophy.
AB - INTRODUCTION: Composite bladder augmentation, incorporating gastric and bowel
segments, has the theoretical advantage of metabolic neutrality while potentially
avoiding the morbidities of gastrocystoplasty, such as hematuria-dysuria
syndrome. The most common indication for this operation is a paucity of bowel,
such as in cloacal exstrophy. Despite several early descriptive studies of this
technique, there are no reports, to date, of long-term follow-up in this
population. OBJECTIVE: To describe the outcomes of composite bladder augmentation
utilizing stomach in a cohort of cloacal exstrophy patients. MATERIALS AND
METHODS: A retrospective review of cloacal exstrophy patients who underwent
composite bladder augmentation from 1984 to 2006 at two institutions was
performed. The incidence of mortality and morbidities related to augmentation was
evaluated. RESULTS: Eleven patients with cloacal exstrophy underwent composite
bladder augmentation. Median age at initial augmentation was 6.4 years
(interquartile range (IQR) 4.4-9.1). Median follow-up was 13.2 years (IQR 11.2
24.6). The Summary table describes the types of composite bladder augmentations.
Of the three patients with pre-operative metabolic acidosis, two improved with
composite bladder augmentation and one developed metabolic alkalosis. Three
developed hematuria-dysuria syndrome: one improved with staged ileocystoplasty,
and two had persistent symptoms successfully treated with H2 receptor blockers.
Two of 11 developed symptomatic bladder stones. There were no reported bladder
perforations, bladder malignancies, conversions to incontinent urinary
diversions, or deaths. CONCLUSION: With long-term follow-up, very few patients
developed metabolic acidosis/alkalosis after composite bladder augmentation. The
composite bladder augmentation will continue to be used in patients with cloacal
exstrophy, in order to minimize the impact on the pre-existing short gut in these
patients.
PMID- 27889221
TI - The Swedish infant high-grade reflux trial: Study presentation and vesicoureteral
reflux outcome.
AB - INTRODUCTION: High-grade vesicoureteral reflux (VUR) in infants is associated
with congenital renal abnormalities, recurrent UTI, and bladder dysfunction.
Endoscopic treatment (ET) is a well-established method in children with low to
moderate reflux grades, but there is a lack of randomised controlled trials
regarding the use of ET versus continuous antibiotic prophylaxis in infants with
high-grade VUR. OBJECTIVE: This study aimed to determine whether high-grade VUR
in infants can be treated with endoscopic injection and whether ET is superior to
antibiotic prophylaxis in the treatment of VUR. MATERIALS AND METHODS: This
prospective, randomised, controlled, multicentre, 1-year follow-up trial
comprised 77 infants (55 boys, 22 girls) <8 months of age with VUR grade 4-5 (n =
30/n = 47). Of the infants, 52 (68%) had bilateral VUR. Thirty-nine were
randomised to antibiotic prophylaxis and 38 to ET (with prophylaxis until
resolution). Voiding cystourethrogram, ultrasound, renal scintigraphy, and free
voiding observation were performed at study entry and after 1 year to evaluate
VUR grade, and renal and bladder function. RESULTS: VUR grade <=2 was seen in 22
(59%) infants in the endoscopy group and eight (21%) in the prophylaxis group at
follow-up (p = 0.0014). The success rate in the endoscopy group was 100% in
unilateral grade 4, falling to 31% in bilateral grade 5 (p = 0.0094).
Correspondingly, the results in the prophylaxis group were 40% in grade 4 down to
0% in bilateral grade 5 (p = 0.037) (Table). Logistic regression analyses
identified ET, VUR grade 4, unilaterality, and low residual urine at baseline as
positive predictors of VUR down-grading to <=2 (area under ROC curve 0.88). In
four patients with reflux resolution after one injection, dilating reflux
recurred at the 1-year follow-up. One patient had a UTI possibly related to ET.
In our material four patients required re-implantation, of whom one was
obstructive after injection. DISCUSSION: The opportunity to offer even small
infants with high-grade VUR an alternative, minimally invasive treatment option
is a great advance in paediatric urology. In this high-risk group, bilateral VUR
grade 5 stands out with its poor bladder function and low chance of resolution.
The recurrence rate of dilating VUR after successful ET is consistent with
previous studies. The limitations are the relatively small number of patients and
the short follow-up. CONCLUSION: High-grade VUR in infants can be treated with
injection therapy and the resolution rate is higher than that of prophylaxis
treatment. The complication rate is low and VUR grade 4, unilaterality, and low
residual urine are favourable for the resolution and down-grading of VUR.
PMID- 27889223
TI - Laparoscopic pyeloplasty: Initial experience with 3D vision laparoscopy and
articulating shears.
AB - INTRODUCTION: Laparoscopic reconstructive surgery is associated with a steep
learning curve related to the use of two-dimensional (2D) vision and rigid
instruments. With the advent of robotic surgery, three-dimensional (3D) vision,
and articulated instruments, this learning curve has been facilitated. We present
a hybrid alternative to robotic surgery, using laparoscopy with 3D vision and
articulated shears. OBJECTIVE: To compare outcomes of children undergoing
pyeloplasty using 3D laparoscopy with articulated instruments with those
undergoing the same surgery using standard laparoscopy with 2D vision and rigid
instruments. STUDY DESIGN: Medical charts of 33 consecutive patients with
ureteropelvic junction obstruction who underwent laparoscopic pyeloplasty by a
single surgeon from 2006 to 2013 were reviewed in a retrospective manner. The
current 3D cohort was compared with the previous 2D cohort. Data on age, weight,
gender, side, operative time, dimension (2D = 19 patients, 3D = 8 patients),
presence of a crossing vessel, length of hospital stay, and complication rate
were compared between the two groups. Articulating shears were used for pelvotomy
and spatulation of the ureter in the 3D group. Statistical tests included linear
regression models and chi square tests for trends using STATA software. RESULTS:
Operative time per case was decreased by an average of 48 min in the group
undergoing 3D laparoscopic pyeloplasty compared with the group undergoing 2D
laparoscopic pyeloplasty (p = 0.02) (Figure). Complication rate and length of
hospital stay were not significantly affected by the use of 3D laparoscopy.
DISCUSSION: These favorable results are in accordance with previous literature
emphasizing the importance of 3D vision in faster and more precise execution of
complex surgical maneuvers. The use of flexible instruments has also helped
overcome the well-described delicate step of a dismembered pyeloplasty, namely
the pelvotomy and ureteral spatulation. Limitations of this study are those
inherent to the retrospective study design. CONCLUSION: The use of 3D vision
endoscopy with articulating instruments blurs the distinction between current
robotic-assisted and conventional laparoscopic technology, and provides a hybrid
alternative deserving further attention.
PMID- 27889224
TI - Fetal megacystis: A systematic review.
AB - : Fetal megacystis is variably defined and understood. The literature on fetal
megacystis was systematically reviewed, focusing on prenatal diagnosis,
associations and outcomes. This yielded a total of 18 primary references and
eight secondary references. Fetal megacystis has an estimated first-trimester
prevalence of between 1:330 and 1:1670, with a male to female ratio of 8:1. In
the first trimester, megacystis is most commonly defined as a longitudinal
bladder dimension of >=7 mm. Later in pregnancy, a sagittal dimension (in mm)
greater than gestational age (in weeks) + 12 is often accepted. Megacystis can be
associated with a thickened bladder wall, which has been objectively defined as
>3 mm. Oligohydramnios is present in approximately half of all cases. The most
common underlying diagnosis is posterior urethral valves (57%), followed by
urethral atresia/stenosis (7%), prune belly syndrome (4%), megacystis-microcolon
intestinal-hypoperistalsis syndrome (MMIHS) (1%), and cloacal anomalies (0.7%).
Karyotype anomalies are found in 15%, and include trisomy 18, trisomy 13 and
trisomy 21. Ultrasound imaging alone is often insufficient to enable a definitive
diagnosis, although it may indicate that a specific diagnosis is more likely.
Overall, about 50% of reported fetuses with megacystis are terminated, but this
proportion varies considerably between countries and over time. Prognostic
stratification is evolving, with the most important factors being
oligohydramnios, gestational age at diagnosis, degree of bladder enlargement,
renal hyperechogenicity, karyotype, and sex. CONCLUSIONS: This review
demonstrated some consensus on the ultrasound criteria for defining fetal
megacystis, and illustrated the spectrum of pathologies and their relative
frequencies that can cause this condition. It also underlined important
associated karyotype anomalies. To progress understanding of the natural history
of enlarged fetal bladders, more accurate diagnostics are required, and risk
stratification needs to be refined to facilitate prenatal counseling.
PMID- 27889226
TI - Editorial: Toxins 2017.
PMID- 27889225
TI - Cost-estimate and proposal for a development impact bond for canine rabies
elimination by mass vaccination in Chad.
AB - Close to 69,000 humans die of rabies each year, most of them in Africa and Asia.
Clinical rabies can be prevented by post-exposure prophylaxis (PEP). However, PEP
is commonly not available or not affordable in developing countries. Another
strategy besides treating exposed humans is the vaccination of vector species. In
developing countries, the main vector is the domestic dog, that, once infected,
is a serious threat to humans. After a successful mass vaccination of 70% of the
dogs in N'Djamena, we report here a cost-estimate for a national rabies
elimination campaign for Chad. In a cross-sectional survey in four rural zones,
we established the canine : human ratio at the household level. Based on human
census data and the prevailing socio-cultural composition of rural zones of Chad,
the total canine population was estimated at 1,205,361 dogs (95% Confidence
interval 1,128,008-1,736,774 dogs). Cost data were collected from government
sources and the recent canine mass vaccination campaign in N'Djamena. A Monte
Carlo simulation was used for the simulation of the average cost and its
variability, using probability distributions for dog numbers and cost items.
Assuming the vaccination of 100 dogs on average per vaccination post and a
duration of one year, the total cost for the vaccination of the national Chadian
canine population is estimated at 2,716,359 Euros (95% CI 2,417,353-3,035,081)
for one vaccination round. A development impact bond (DIB) organizational
structure and cash flow scenario were then developed for the elimination of
canine rabies in Chad. Cumulative discounted cost of 28.3 million Euros over ten
years would be shared between the government of Chad, private investors and
institutional donors as outcome funders. In this way, the risk of the investment
could be shared and the necessary investment could be made available upfront - a
key element for the elimination of canine rabies in Chad.
PMID- 27889228
TI - Cardioprotective effect of Vitex negundo on isoproterenol-induced myocardial
necrosis in wistar rats: A dual approach study.
AB - Cardiovascular diseases (CVDs) are becoming the major cause of deaths worldwide,
and their treatment demands novel therapeutic strategies. In this connection, we
have identified p21 activated kinase 1 (PAK1) as a novel therapeutic target for
the treatment of myocardial infarction (MI), where its expression is decreased
when MI is induced with isoproterenol (ISO), which was brought back normal with
pretreatment of Vitex negundo leaf ethanolic extract (VNE). These results were
also supported by histopathological studies, cardiac markers, antioxidants, and
inflammatory cytokines (NF-kappaB and IL-1beta). Further studies with GC-MS
analysis of VNE and in silico experiments confirmed 5,7-dihydroxy-6,4',-dimethoxy
flavonone and 3',5-dihydroxy-6,7,4',-trimethoxyflavone are responsible for either
maintaining or inducing the expression of PAK1 to protect from MI. Our findings
for the first time revealed the use of phytoconstituents in the treatment of MI.
PMID- 27889229
TI - Brown propolis attenuates cerebral ischemia-induced oxidative damage via
affecting antioxidant enzyme system in mice.
AB - Oxidative stress plays a critical role in ischemic brain injury. Superoxide
dismutase (SOD) and glutathione peroxidase (GPx) are the enzymes underlying the
endogenous antioxidant mechanisms affected by stroke and are considered as
oxidative stress biomarkers. Brown propolis (BP) is a bioactive natural product
with a set of biological activities that in turn may differ depending on the area
from which the substance is originated. The aim of this study was to investigate
the effect of water-extracted brown propolis (WEBPs), from two regions of Iran,
against cerebral ischemia-induced oxidative injury in a mouse model of stroke.
Experimentally, the chemical characterization and total polyphenol content were
determined using GC/MS and Folin-Ciocalteu assay respectively. Seventy-two adult
male mice were randomly divided into the surgical sham group, control group
(treated with vehicle), and four groups of WEBPs-treated animals. The WEBPs were
administered at the doses of 100 and 200mg/kg IP, during four different time
points. Oxidative stress biomarkers (SOD and GPx activity, SOD/GPx ratio), lipid
peroxidation (LPO) index (malondialdehyde content) and infarct volume were
measured 48h post stroke. Behavioral tests were evaluated 24 and 48h after
stroke. WEBPs treatment resulted in significant restoration of antioxidant
enzymes activity and a subsequent decrease in LPO as well as the infarct volume
compared to the control group. Sensory-motor impairment and neurological deficits
were improved significantly as well. These results indicate that Iranian BP
confers neuroprotection on the stroke-induced neuronal damage via an antioxidant
mechanism which seems to be mediated by the endogenous antioxidant system.
PMID- 27889227
TI - GPCR Signaling and Trafficking: The Long and Short of It.
AB - Emerging findings disclose unexpected components of G protein-coupled receptor
(GPCR) signaling and cell biology. Select GPCRs exhibit classical signaling, that
is restricted to cell membranes, as well as newly described persistent signaling
that depends on internalization of the GPCR bound to beta-arrestins. Termination
of non-canonical endosomal signaling requires intraluminal acidification and
sophisticated protein trafficking machineries. Recent studies reveal the
structural determinants of the trafficking chaperones. This review summarizes
advances in GPCR signaling and trafficking with a focus on the parathyroid
hormone receptor (PTHR) as a prototype, and on the actin-sorting nexin 27 (SNX27)
retromer tubule (ASRT) complex, an endosomal sorting hub responsible for
recycling and preservation of cell surface receptors. The findings are integrated
into a model of PTHR trafficking with implications for signal transduction, bone
growth, and mineral ion metabolism.
PMID- 27889230
TI - Anticancer effects of novel thalidomide analogs in A549 cells through inhibition
of vascular endothelial growth factor and matrix metalloproteinase-2.
AB - Lung cancer is one of the major causes of cancer-related mortality worldwide, and
non-small-cell lung cancer is the most common form of lung cancer. Several
studies had shown that thalidomide has potential for prevention and therapy of
cancer. Therefore, the current study aimed to investigate the antitumor effects
of two novel thalidomide analogs in human lung cancer A549 cells. The
antiproliferative, antimigratory, and apoptotic effects in A549 cells induced by
thalidomide analogs were examined. In addition, their effects on the expression
of mRNAs encoding vascular endothelial growth factor165 (VEGF165) and matrix
metalloproteinase-2 (MMP-2) were evaluated. Their influence on the tumor volume
in nude mice was also determined. Results revealed that thalidomide analogs
exhibited antiproliferative, antimigratory, and apoptotic activities with more
pronounced effect than thalidomide drug. Furthermore, analogs 1 and 2 suppressed
the expression levels of VEGF165 by 42% and 53.2% and those of MMP-2 by 45% and
52%, respectively. Thalidomide analogs 1 and 2 also reduced the tumor volume by
30.11% and 53.52%, respectively. Therefore, this study provides evidence that
thalidomide analogs may serve as a new therapeutic option for treating lung
cancer.
PMID- 27889231
TI - Nimbolide inhibits androgen independent prostate cancer cells survival and
proliferation by modulating multiple pro-survival signaling pathways.
AB - BACKGROUND: Prostate cancer is the most prominent cancer in men, experiencing a
relapse in disease often express high serum TNF-alpha levels. It has been
correlated with increased cell survival and proliferation of prostate cancer
cells. Previous studies reported that nimbolide, a terpenoid derived from the
leaves and flowers of neem tree inhibits cancer growth through selective
modulation of cell signaling pathways linked to inflammation, survival,
proliferation, angiogenesis and metastasis. METHODS: The present study aimed to
examine the effect of nimbolide at 1 and 2MUM concentrations on TNF-alpha/TNFR1
mediated signaling molecules involved in cell survival and proliferation in PC-3
cell line via NF-kappaB and MAPK pathways by real time PCR and western blot.
Protein and compound interaction were performed by Molecular docking analysis.
RESULTS: Our results indicate that nimbolide treatment suppressed expression of
TNF-alpha, SODD, Grb2, SOS mRNA and modulated TNF-alpha/TNFR1 regulated NF-kappaB
and MAPK signaling molecules in PC-3 cells. Additional molecular dynamics
simulation studies confirmed the stability of nimbolide and signaling molecules
binding interactions. Binding pose analysis revealed the significance of hydrogen
bond interactions for effective stabilization of virtual ligand protein
complexes. CONCLUSION: Nimbolide inhibited prostate cancer cell survival and
proliferation via NF-kappaB and MAPK pathways.
PMID- 27889232
TI - l-Methionine and silymarin: A comparison of prophylactic protective capabilities
in acetaminophen-induced injuries of the liver, kidney and cerebral cortex.
AB - We compared the relative protective abilities of silymarin and l-methionine pre
treatment in acetaminophen overdose injuries of the liver, kidney and cerebral
cortex by assessing behaviours, antioxidant status, tissue histological changes
and biochemical parameters of hepatic/renal function. Rats were divided into six
groups of ten each; animals in five of these groups were pre-treated with oral
distilled water, silymarin (25mg/kg) or l-methionine (2.5, 5 and 10mg/kg body
weight) for 14days; and then administered intraperitoneal (i.p.) acetaminophen at
800mg/kg/day for 3days. Rats in the sixth group (normal control) received
distilled water orally for 14days and then i.p. for 3days. Neurobehavioural tests
were conducted 7days after last i.p treatment, and animals sacrificed on the 8th
day. Plasma was assayed for biochemical markers of liver/kidney function; while
sections of the liver, kidney and cerebral cortex were either homogenised for
assay of antioxidant status or processed for histology. Acetaminophen overdose
resulted in locomotor retardation, excessive self-grooming, working-memory
impairment, anxiety, derangement of liver/kidney biochemistry, antioxidant
imbalance, and histological changes in the liver, kidney and cerebral cortex.
Administration of silymarin or increasing doses of l-methionine counteracted the
behavioural changes, reversed biochemical indices of liver/kidney injury, and
improved antioxidant activity. Silymarin and l-methionine also conferred variable
degrees of tissue protection, on histology. Either silymarin or l-methionine can
protect vulnerable tissues from acetaminophen overdose injury; however, each
offers variable protection to different tissues. This study highlights an
obstacle to seeking the 'ideal' protective agent against acetaminophen overdose.
PMID- 27889235
TI - Prevalence and topographic distribution of spinal inflammation on MR imaging in
patients recently diagnosed with axial spondyloarthritis.
AB - OBJECTIVE: The primary goal of this study was to determine the prevalence and
topographic distribution of spinal lesions in lower thoracic and lumbar spine on
magnetic resonance imaging (MRI) in patients with recently diagnosed with
spondyloarthritis. The secondary goal was to identify variables associated with
vertebral patterns consistent with spondyloarthritis on MRI. PATIENTS AND
METHODS: A total of 112 HLA-B27 positive patients with recently diagnosed
spondyloarthritis were retrospectively included. There were 70 women and 42 men,
with a mean age of 41 years+/-12 (SD) (range: 17-70years). Mean symptom duration
was 1year (range: 0-7years). MRI examinations of sacroiliac joints and
thoracolumbar spine were reviewed for the presence of bone marrow edema, chronic
structural abnormalities, and vertebral patterns consistent with
spondyloarthritis. Age, gender and disease duration of patients with vertebral
patterns on MRI consistent with spondyloarthritis were compared with those
without MRI signs of spondyloarthritis. RESULTS: Thirty-six patients (32.1%)
showed spinal patterns of spondyloarthritis, including 16 patients (14.3%) with
no associated inflammatory sacroiliitis. Posterior inflammatory lesions were
present in 20.5% of patients. Posterior spinal inflammatory lesions were
significantly associated with vertebral corner inflammatory lesions (P=0.03).
There were no differences in age, sex or mean duration of symptoms between the
two groups of patients. CONCLUSION: Spinal involvement is observed in 32.1% of
HLA-B27 positive patients with recently diagnosed spondyloarthritis and is not
associated with sacroiliitis in 14.3%. Age, gender or symptom duration are not
associated with spinal involvement on MRI.
PMID- 27889234
TI - In-silico and in-vitro anti-cancer potential of a curcumin analogue (1E, 6E)-1, 7
di (1H-indol-3-yl) hepta-1, 6-diene-3, 5-dione.
AB - PURPOSE: Previously we showed that BDMC, an analogue of curcumin suppresses
growth of human breast and laryngeal cancer cell line by causing apoptosis. Here,
we demonstrate the enhanced anti-cancer activity of a heterocyclic ring (indole)
incorporated curcumin analogue ((1E, 6E)-1, 7-di (1H-indol-3-yl) hepta-1, 6-diene
3, 5-Dione), ICA in short, in comparison to curcumin. METHOD: ICA was synthesized
by a one pot condensation reaction. Anti-cancer potential of ICA was assessed in
three human cancer cell lines of different origin (Lung adenocarcinoma (A549),
leukemia (K562) and colon cancer (SW480)) by MTT assay. Mode of cell death was
determined by acridine orange-ethidium bromide (Ao-Eb) staining. Putative
cellular targets of ICA were investigated by molecular docking studies. Cell
cycle analysis following curcumin or ICA treatment in SW480 cell line was carried
out by flow cytometry. Expression levels of Cyclin D1 and apoptotic markers, such
as Caspase 3, 8 and 9 were studied by western blot analysis in SW480 cell line
treated with or without ICA and curcumin. RESULTS: The yield of ICA synthesis was
found to be 69% with a purity of 98%. ICA demonstrated promising anti-cancer
activity compared to curcumin alone, as discerned by MTT assay. ICA was non-toxic
to the cell line of normal origin. We further observed that ICA is ~2 fold more
potent than curcumin in inhibiting the growth of SW480 cells. Ao-Eb staining
revealed that ICA could induce apoptosis in all the cell lines tested. Molecular
docking studies suggest that ICA may possibly exhibit its anticancer effect by
inhibiting EGFR in A549, Bcr-Abl in K562 and GSK-3beta kinase in SW480 cell line.
Moreover, ICA showed strong binding avidity for Bcl-2 protein in silico, which
could result in induction of apoptosis. Cell cycle analysis revealed that both
curcumin and ICA induced concomitant cell cycle arrest at G0/G1 and G2/M phase.
Western blot shows that ICA could effectively down regulate the expression of
cell cycle protein cyclin D1, while promoting the activation of Caspase 3, 8 and
9 when compared to curcumin in human colon cancer cell line SW480. CONCLUSION:
The result of this study indicates that ICA could hold promise to be a potential
anti-cancer agent. Since ICA has shown encouraging results in terms of its anti
cancer activity compared to curcumin, further research is necessary to fully
delineate the underlying molecular mechanism of its anticancer potential.
PMID- 27889233
TI - Icaritin induces mitochondrial apoptosis by up-regulating miR-124 in human oral
squamous cell carcinoma cells.
AB - AIM OF THE STUDY: The present study is aimed to investigate the apoptosis
inducing effect of icaritin in human oral squamous cell carcinoma (OSCC) cells
and the associated mechanisms. MATERIALS AND METHODS: KB and SCC9 cell lines were
used as model cell lines. Effect of icaritin on apoptosis was analyzed by flow
cytometry. The effect of icaritin on mitochondrial apoptotic pathway was
demonstrated by loss of mitochondrial membrane potential and release of cytocrome
C from mitochondria. MiR-124 mimic and miR-124 inhibitor were used to manipulate
the expression of miR-124 in OSCC cells. SiRNA targeting Sp1 and DNMT1 as well as
Sp1 and DNMT1 overexpressing vector were utilized to confirm their roles in the
apoptosis-inducing effect of icaritin in OSCC cells. Activation of relevant
signaling pathway by icaritin and effect of icaritin on expression of targeting
molecules were determined by western blots or qRT-PCR. RESULTS: Our results
showed that icaritin inhibited tumor cell viability in a dose- and time-dependent
manner, and induced cell apoptosis via intrinsic mitochondrial pathway by
upregulating miR-124. Moreover, our results showed that the icaritin exerted
regulatory effect on miR-124 through suppressing Sp1/DNMT1 signaling. CONCLUSION:
Our data provide the first experimental evidence that icaritin induces
mitochondrial apoptosis in OSCC cells by upregulating miR-124 and suggest a new
mechanism to explain its anti-tumor effects.
PMID- 27889236
TI - Pacemakers and MRI: A protocol in line with international guidelines and approved
by the SFICV (French Society of Cardiovascular Imaging).
PMID- 27889237
TI - Universal prevention of eating disorders: A concept analysis.
AB - The definition of universal prevention is important for theoretical, research,
and policy-related reasons. The present article provides an etymological and
historical look at the concept of universal prevention, in and of itself and in
terms of its position on the mental health intervention spectrum involving mental
health promotion, selective prevention, indicated prevention, case
identification, and treatment. Following a summary of the features commonly
associated with universal prevention, these characteristics are fashioned into a
family resemblance model for defining the construct. This model is applied to
four of the articles constituting the journal Eating Behaviors' special issue on
the universal prevention of eating disorders. It is argued that this family
resemblance approach captures the diversity of current universal approaches to
reducing risk factors and/or preventing eating disorders. This type of
definition, coupled with Foxcroft's (2014) tripartite functional analysis of
universal prevention, has the potential to improve evaluations of universal
prevention, as well as large-scale collaborative projects that seek to integrate
programs across the mental health intervention spectrum.
PMID- 27889240
TI - A balanced motor primitive framework can simultaneously explain motor learning in
unimanual and bimanual movements.
AB - Certain theoretical frameworks have successfully explained motor learning in
either unimanual or bimanual movements. However, no single theoretical framework
can comprehensively explain motor learning in both types of movement because the
relationship between these two types of movement remains unclear. Although our
recent model of a balanced motor primitive framework attempted to simultaneously
explain motor learning in unimanual and bimanual movements, this model focused
only on a limited subset of bimanual movements and therefore did not elucidate
the relationships between unimanual movements and various bimanual movements.
Here, we extend the balanced motor primitive framework to simultaneously explain
motor learning in unimanual and various bimanual movements as well as the
transfer of learning effects between unimanual and various bimanual movements;
these phenomena can be simultaneously explained if the mean activity of each
primitive for various unimanual movements is balanced with the corresponding mean
activity for various bimanual movements. Using this balanced condition, we can
reproduce the results of prior behavioral and neurophysiological experiments.
Furthermore, we demonstrate that the balanced condition can be implemented in a
simple neural network model.
PMID- 27889238
TI - Insights into Nucleosome Organization in Mouse Embryonic Stem Cells through
Chemical Mapping.
AB - Nucleosome organization influences gene activity by controlling DNA accessibility
to transcription machinery. Here, we develop a chemical biology approach to
determine mammalian nucleosome positions genome-wide. We uncovered surprising
features of nucleosome organization in mouse embryonic stem cells. In contrast to
the prevailing model, we observe that for nearly all mouse genes, a class of
fragile nucleosomes occupies previously designated nucleosome-depleted regions
around transcription start sites and transcription termination sites. We show
that nucleosomes occupy DNA targets for a subset of DNA-binding proteins,
including CCCTC-binding factor (CTCF) and pluripotency factors. Furthermore, we
provide evidence that promoter-proximal nucleosomes, with the +1 nucleosome in
particular, contribute to the pausing of RNA polymerase II. Lastly, we find a
characteristic preference for nucleosomes at exon-intron junctions. Taken
together, we establish an accurate method for defining the nucleosome landscape
and provide a valuable resource for studying nucleosome-mediated gene regulation
in mammalian cells.
PMID- 27889239
TI - Structural Mechanism for Cargo Recognition by the Retromer Complex.
AB - Retromer is a multi-protein complex that recycles transmembrane cargo from
endosomes to the trans-Golgi network and the plasma membrane. Defects in retromer
impair various cellular processes and underlie some forms of Alzheimer's disease
and Parkinson's disease. Although retromer was discovered over 15 years ago, the
mechanisms for cargo recognition and recruitment to endosomes have remained
elusive. Here, we present an X-ray crystallographic analysis of a four-component
complex comprising the VPS26 and VPS35 subunits of retromer, the sorting nexin
SNX3, and a recycling signal from the divalent cation transporter DMT1-II. This
analysis identifies a binding site for canonical recycling signals at the
interface between VPS26 and SNX3. In addition, the structure highlights a network
of cooperative interactions among the VPS subunits, SNX3, and cargo that couple
signal-recognition to membrane recruitment.
PMID- 27889241
TI - Natural and Synthetic Coral Biomineralization for Human Bone Revitalization.
AB - Coral skeletons can regenerate replacement human bone in nonload-bearing
excavated skeletal locations. A combination of multiscale, interconnected pores
and channels and highly bioactive surface chemistry has established corals as an
important alternative to using healthy host bone replacements. Here, we highlight
how coral skeletal systems are being remolded into new calcified structures or
synthetic corals by biomimetic processes, as places for the organized permeation
of bone tissue cells and blood vessels. Progressive technologies in coral
aquaculture and self-organization inorganic chemistry are helping to modify
natural corals and create synthetic coral architectures able to accelerate bone
regeneration with proper host integration at more skeletal locations, adapted to
recent surgical techniques and used to treat intrinsic skeletal deformities and
metabolic conditions.
PMID- 27889242
TI - Benign mesial temporal lobe epilepsy: A clinical cohort and literature review.
AB - OBJECTIVE: We present a single-center retrospective study of benign mesial
temporal lobe epilepsy (bMTLE) between 1995 and 2014. METHODS: Hospital records
and clinic charts were reviewed. The clinical, Eelectroencephalographic (EEG),
imaging features, and response to treatment with antiepileptic drugs (AEDs) were
documented. Patients were included in this study if they were seizure-free for a
minimum of 24months with or without an AED. RESULTS: Twenty-seven patients were
identified. There were 19 (70%) females, mean age at first seizure was 32.2
(range: 15-80years). In all patients, seizures were mild, and seizure freedom was
readily achieved with the initiation of AED therapy. Sixteen patients (59%) had
mesial temporal sclerosis (MTS). In three patients, we attempted to discontinue
AED therapy after a prolonged period of remission (5-8years), but all had seizure
recurrence within 2 to 4weeks. SIGNIFICANCE: Not all temporal lobe epilepsy is
refractory to medication, despite the presence of MTS. Until clinical trials
indicate otherwise, surgery is not indicated but life-long medical treatment is
advocated.
PMID- 27889243
TI - Antiprotozoal and antioxidant alkaloids from Alternanthera littoralis.
AB - Five alkaloids, in addition to hydroxytyrosol and uridine, were isolated from
aerial parts of Alternanthera littoralis P. Beauv. Among the isolated compounds,
alternamide A was an unusual tricyclic alkaloid with a bridged benzoazepine core.
All isolated alkaloids have a catechol moiety, indicating a possible common
biosynthetic route. Their structures were established by 1D and 2D NMR
spectroscopy in combination with extensive tandem MS experiments by collisional
induced dissociation (CID). The antiprotozoal activity of the isolated compounds
was assayed against trypomastigote forms of Trypanosoma cruzi and amastigotes of
Leishmania amazonensis. Alternamine A was the most active compound, reducing
markedly the viability of both parasites. Antioxidant capacities evaluated by
ORACFL assay showed that the isolated alkaloids (mainly alternamide B)
contributed to the high activity recorded for the ethanolic crude extract;
possibly, the catechol moiety present in all structures plays a central role in
this result.
PMID- 27889244
TI - Medicinal uses, chemistry and pharmacology of Dillenia species (Dilleniaceae).
AB - The genus Dillenia is comprised of about 100 species of evergreen and deciduous
trees or shrubs of disjunct distribution in the seasonal tropics of Madagascar
through South and South East Asia, Malaysia, North Australia, and Fiji. Species
from this genus have been widely used in medicinal folklore to treat cancers,
wounds, jaundice, fever, cough, diabetes mellitus, and diarrhea as well as hair
tonics. The plants of the genus also produce edible fruits and are cultivated as
ornamental plants. Flavonoids, triterpenoids, and miscellaneous compounds have
been identified in the genus. Their extracts and pure compounds have been
reported for their antimicrobial, anti-inflammatory, cytotoxic, antidiabetes,
antioxidant, antidiarrheal, and antiprotozoal activities. Mucilage from their
fruits is used in drug formulations.
PMID- 27889245
TI - Selagintriflavonoids with BACE1 inhibitory activity from the fern Selaginella
doederleinii.
AB - Eight triflavonoids, selagintriflavonoids A-H, were isolated from whole herbs of
Selaginella doederleinii. The structures of compounds selagintriflavonoids A-C
consisted of three naringenin units, whereas those of selagintriflavonoids D-H
consisted of apigenin and two naringenin moieties. The structures and absolute
configurations of the compounds were determined based on NMR, HRESIMS, and
experimental and calculated electronic circular dichroism (ECD) data. The ability
of the compounds to inhibit beta-secretase (BACE1) was also evaluated. All
compounds exhibited BACE1 inhibition with IC50 values ranging from 0.75 to 46.99
MUM. Selagintriflavonoid A exhibited the strongest inhibition (0.75 MUM) and is
thus a promising compound for treating Alzheimer's disease.
PMID- 27889246
TI - Risk factors for Propionibacterium acnes infection after neurosurgery: A case
control study.
AB - Propionibacterium acnes is increasingly known as a causative organism for post
neurosurgical infection; however, no clinical studies have examined the risk
factors associated with P. acnes infections. Clinical data obtained from 14 cases
of P. acnes infection and 28 controls infected with other pathogens were
analyzed. Craniotomy, malignancy, and prolonged duration of operation were
significantly associated with the onset of P. acnes infection. No fatal cases
were reported.
PMID- 27889247
TI - Cutaneous invasive aspergillosis in a patient with glioblastoma receiving long
term temozolomide and corticosteroid therapy.
AB - Glioblastoma is an aggressive brain tumor that requires multidisciplinary
treatment including adjuvant radiotherapy, chemotherapy, and adjunct
corticosteroids. Temozolomide is a commonly used chemotherapy drug and frequently
causes lymphocytopenia. We describe the case of a 67-year-old woman with
cutaneous invasive aspergillosis who had received long-term temozolomide and
corticosteroid therapy for glioblastoma. She presented with multiple indurations,
erythema, and purpura, some of which produced purulent discharge, in the anterior
abdomen. Extensive intra- or inter-muscular abscesses of the right anterior
abdominal wall were also observed. Her absolute lymphocyte counts were 156/MUL on
admission. Cultures obtained from the wound yielded Aspergillus fumigatus. She
was diagnosed with secondary cutaneous invasive aspergillosis, which likely
resulted from hematogenous dissemination. Although rare, this case illustrates
that temozolomide-induced lymphocytopenia, especially in cases of concomitant
corticosteroid use, can be associated with severe invasive aspergillosis.
PMID- 27889248
TI - Comprehensive study to investigate the role of various aminoglycoside resistance
mechanisms in clinical isolates of Acinetobacter baumannii.
AB - Therapeutic resistance towards most of the current treatment regime by
Acinetobacter baumannii has reduced the prescribing antibiotic pattern and option
is being re-shifted towards more toxic agents including aminoglycosides. The
present investigation aimed at to study various mechanisms towards aminoglycoside
non-susceptibility in clinical isolates of A. baumannii. The bacteria were
subjected to genetic basis assessment for the presence of aminoglycoside
modifying enzymes (AME), 16S rRNA methylase encoding genes and relative
expression of AdeABC and AbeM efflux pumps in relation to their susceptibility to
five aminoglycosides. When isolates were subjected to typing by repetitive
extragenic palindromic (REP) PCR, isolates could be separated into thirteen
definite clones. The majority of isolates (94%) were positive for AME encoding
genes. Possession of ant(2')-Ia correlated with non-susceptibility towards
gentamicin, amikacin, kanamycin, tobramycin; while, presence of aph(3')-VIa
attributed to resistance towards amikacin, kanamycin; possession of aac(3')-Ia
allied with non-susceptibility to amikacin, tobramycin and presence of aac(3')IIa
correlated with kanamycin non-susceptibility. Presence of armA was detected in
34.4%, 34.2%, 29.2%, 40.3%, and 64.2% of isolates showing non-susceptibility to
gentamicin, amikacin, kanamycin, tobramycin and netilmicin, respectively. No
isolates were found to carry rmtB or rmtC. Amikacin non-susceptibility in
comparison to other aminoglycosides correlated with over production of adeB.
Overall, the results represented a definitive correlation between presence of AME
encoding genes as well as armA and resistance of A. baumannii towards
aminoglycosides. On the other hand, the up-regulation of AdeABC and AbeM systems
was found to have only the partial role in development of aminoglycoside
resistance.
PMID- 27889249
TI - Analysis of Streptococcus pneumoniae and Haemophilus influenzae isolated from
middle ear fluid before and after the introduction of government subsidies for
pneumococcal and H. influenzae type b vaccines in Japan.
AB - This study aimed to identify trends in frequency, serotype, and antimicrobial
susceptibility of Streptococcus pneumoniae and Haemophilus influenzae isolated
from middle ear fluid specimens of children aged<=15 years (mean, 2 years),
before and after the introduction of the 7-valent pneumococcal conjugate vaccine
(PCV7) and the H. influenzae type b vaccine, at a pediatric facility in Japan.
Sixty-six S. pneumoniae and 88 H. influenzae strains were isolated from 820
middle ear fluid samples. Serotyping and antimicrobial susceptibility testing
were performed. The study time-frame was divided into period 1 (2007-2010) and
period 2 (2011-2014), according to the availability of vaccine public funding.
The S. pneumoniae detection rate decreased from 9.6% in period 1-6.1% in period 2
(p = 0.042). PCV7 serotypes decreased from 56.8% to 9.1% (p = 0.0002). No
significant change was observed for the 13-valent pneumococcal conjugate vaccine
(PCV13) serotypes: 72.7% in period 1 and 59.1% in period 2. Penicillin-resistant
strains (penicillin G-MIC >=2 MUg/mL) decreased from 25% to 4.5% (p = 0.038).
Detection rates for H. influenzae did not change significantly: 10.3% in period 1
and 11.3% in period 2. Serotypes were mostly non-typeable: 97.9% in period 1 and
90.2% in period 2, and only one serotype b strain was isolated in each period.
The frequency of ampicillin-resistant strains (MIC >=4 MUg/mL) did not change.
These results show a preventative effect of PCV7 on otitis media due to S.
pneumoniae. PCV7 was replaced with PCV13 in 2013 in Japan; therefore, a further
decrease in pneumococcal otitis media is anticipated in the future.
PMID- 27889250
TI - Positive end-expiratory pressure does not decrease cardiac output during
laparoscopic liver surgery: A prospective observational evaluation.
AB - BACKGROUND: Positive end-expiratory pressure (PEEP) has beneficial pulmonary
effects but may worsen the hemodynamic repercussions induced by pneumoperitoneum
(PNP) in patients undergoing laparoscopic liver resection. However, by increasing
intraluminal vena cava (VC) pressures, PEEP may prevent PNP-induced VC collapse.
The aim of this study was to test the validity of this hypothesis. METHODS: After
IRB approval and written informed consent, 20 patients were evaluated
prospectively. Measurements were performed before and after the application of 10
cmH2O PEEP on patients without PNP (Control group) and during a 12 cmH20 PNP.
Results are provided as means [95%CI]. Comparison used paired-sample t test.
RESULTS: PEEP induced a decrease in CI in Control subgroup (2.3 [2.0-2.6] and 2.1
[1.8-2.4] l min-1 m-2 before and after PEEP. P < 0.05). In contrast, PEEP on a
pre-established PNP did not significantly modify cardiac index (CI). Transmural
pressure on the abdominal vena cava decreased with PNP but was partly reversed by
the addition of PEEP. CONCLUSION: The application of PEEP on a pre-established
PNP during laparoscopic liver resection in normovolemic patients did not decrease
CI. Analysis of transmural VC pressure variations confirms that the addition of
PEEP may prevent the vena caval collapse induced by PNP.
PMID- 27889251
TI - Modified protocol for drug susceptibility testing of MGIT cultures of
Mycobacterium tuberculosis by the MGIT 960.
AB - A rapid detection of resistance in Mycobacterium tuberculosis is crucial for
management and control of tuberculosis. This study evaluated a more rapid and
cost-effective drug susceptibility testing (DST) protocol using primary isolates
of M. tuberculosis in mycobacteria growth indicator tube (MGIT). Ninety-four M.
tuberculosis isolates in MGIT were subjected to DST by the manufacturer's method,
i.e., primary isolates were subcultured and DST was performed from positive
cultures for a maximum of 5days; and by our modified method, i.e., DST was
performed directly from primary MGIT cultures positive for more than 5days.
Results were concordant for 76 (81%) isolates. Agreement between both methods was
92.0%, 98.9%, 97.7%, and 95.5% for streptomycin, isoniazid, rifampicin, and
ethambutol, respectively. Six isolates failed to grow on the recommended method,
including 3 resistant isolates. Not performing subculture of primary M.
tuberculosis isolates yields reliable results, decreasing the turnaround time and
the cost of the test.
PMID- 27889252
TI - Peripartum maternal transmission of extended-spectrum beta-lactamase organism to
newborn infants.
AB - The aim of this study was to determine whether the route of extended-spectrum
beta-lactamase (ESBL) transmission to hospitalized newborns was from their
mothers during delivery. Neonatal intensive care unit (NICU) hospitalized
newborns were sampled for ESBL presence by stool cultures on the first and fourth
days of life. Mothers of ESBL-positive newborns were sampled for possible
correlation detection. Bacteria isolates were molecularly identified and
susceptibility tests for antibiotic agents were performed. Of the 225 newborns,
14 (6.2%) were ESBL positive, 10 (4.4%) were Escherichia coli positive, and 4
(1.7%) were Klebsiella pneumoniae positive. Among the 14 mothers of positive
newborns, 13 (92.8%) were found ESBL positive and one mother of a newborn with E.
coli carriage was found ESBL negative. Genes encoding for ESBL resistance were
identified. Antibiotic sensitivity and resistance were tested. This study
demonstrated that ESBL bacteria carrier neonates hospitalized in NICU may be a
result of transmission from mother to baby during delivery.
PMID- 27889253
TI - Blood and tissue distribution of posaconazole in a rat model of invasive
pulmonary aspergillosis.
AB - Posaconazole is the recommended prophylactic agent in patients at high risk of
invasive fungal infection, since adequate drug levels seem to be reached in
target sites despite the relatively low levels detected in blood. The objective
of this study is to obtain pharmacokinetic (PK) information associated to blood
and tissue distribution of posaconazole in an animal model of invasive pulmonary
aspergillosis. The PK parameters in lung samples were systematically higher than
in serum. After multiple-dose administration of posaconazole, a significant
accumulation of the drug was evident in lung tissue. The PK behavior of
posaconazole in this particular experimental model is similar to that observed in
humans. Thus, we believe this model could be a valid tool to evaluate
posaconazole exposure-response relationship.
PMID- 27889254
TI - The utility of direct specimen detection by Sanger sequencing in hospitalized
pediatric patients.
AB - Direct microbial DNA detection from clinical specimens by polymerase chain
reaction and Sanger sequencing has been developed to address the innate
limitations of traditional culture-based work-up. We report our institution's
experience with direct specimen sequencing, its clinical utility, and barriers to
effective clinical implementation.
PMID- 27889255
TI - Antigenic variation of LaSota and genotype VII Newcastle disease virus (NDV) and
their efficacy against challenge with velogenic NDV.
AB - Continued monitoring and evaluation of vaccine efficacy against prevalent or
newly isolated strains has great importance in advising Newcastle disease (ND)
immunization strategy. In this study, we systematically analysed the antigenic
variation between genotype VII NDV aSG10 and the commercial vaccine strain
LaSota, and assessed their efficacy against challenge with velogenic NDV by
serological analysis and animal testing. We show that these two viruses are
antigenically distinguishable; anti-NDV aSG10 hyper-immune sera demonstrated
higher haemagglutination inhibition (HI) titres (11.13+/-0.30log2) against the
aSG10 virus, compared with titres against LaSota (9.53+/-0.50log2). Conversely,
the hyper-immune sera from LaSota showed higher HI titres against LaSota virus
(9.73+/-0.36log2), but 2-fold lower HI titre against aSG10 (8.87+/-0.38log2).
Each serum neutralised heterologous virus, but neutralisation titres were always
3- to 6-fold higher against its homologous strain than heterologous virus. The
cross-reactivity R value between aSG10 and LaSota was 0.23, indicating that they
are loosely related with major antigenic differences within a single serotype.
The results of animal tests revealed that the aSG10 vaccine had a significantly
higher protection rate than the LaSota vaccine against genotype VII NDV,
regardless of intramuscular (IM) or eye drop/intranasal (ED/IN) route of SG10
challenge. Compared with IM administration, chicken flocks needed higher HI
antibody levels to obtain sufficient protection when challenged by the natural
ED/IN route. These results are highly informative for better control of ND in the
poultry industry.
PMID- 27889256
TI - Controlled human infection with RSV: The opportunities of experimental challenge.
AB - Despite the recent explosion in RSV vaccine development, there remain substantial
hurdles to overcome before licensing of effective vaccines will allow widespread
use, particularly in high-risk populations. Incomplete understanding of
mechanisms and correlates of protection against RSV mean that, for the time
being, successful RSV vaccines must directly demonstrate efficacy, which
necessitates large and costly clinical trials in naturally infected patients. To
mitigate the risks inherent in progressing to these late-stage trials,
experimental human RSV infection studies have recently been re-established,
representing the interface between pre-clinical models and observational studies
of patients. Not only can they be used for early proof-of-concept clinical trials
to test vaccine efficacy, but human challenge studies also offer the potential to
better understand protective immunity against RSV infection to improve vaccine
design and delivery. In the past, controlled human infection studies with RSV
have been instrumental in elucidating the influence of factors such as route of
infection and type of inoculum on the course of disease. Recently, efficacy
trials of novel RSV antiviral drugs have also been successfully undertaken. Now,
with advances in technology, detailed investigations of human mucosal immunity in
the RSV-infected airway are possible. These have indicated defects in RSV-induced
humoral and CD8+ T cell immunity that may contribute to the recurrent symptomatic
infection that occurs throughout life and should be circumvented by optimal
vaccines. Here, we discuss the insights derived from RSV human challenge models;
the major impediments to their more widespread uptake; and their potential
benefit in accelerating vaccine development, including future directions to
further enhance the relevance of these models to at-risk patient populations.
PMID- 27889258
TI - Unilateral collaboration: The practices and understandings of traditional birth
attendants in southeastern Nigeria.
AB - BACKGROUND: Despite the promotion of hospital-based maternity care as the safest
option, for less developed countries, many women particularly those in the rural
areas continue to patronise indigenous midwives or traditional birth attendants.
Little is known about traditional birth attendants' perspectives regarding their
pregnancy and birth practices. AIM: To explore traditional birth attendants'
discourses of their pregnancy and birthing practices in southeast Nigeria.
METHOD: Hermeneutic phenomenology guided by poststructural feminism was the
methodological approach. Individual face to face semi-structured interviews were
conducted with five traditional birth attendants following consent. FINDINGS:
Participants' narratives of their pregnancy and birth practices are organised
into two main themes namely: 'knowing differently,' and 'making a difference.'
Their responses demonstrate evidence of expertise in sustaining normal birth,
safe practice including hygiene, identifying deviation from the normal,
willingness to refer women to hospital when required, and appropriate use of both
traditional and western medicines. Inexpensive, culturally sensitive, and
compassionate care were the attributes that differentiate traditional birth
attendants' services from hospital-based maternity care. CONCLUSION: The
participants provided a counter-narrative to the official position in Nigeria
about the space they occupy. They responded in ways that depict them as committed
champions of normal birth with ability to offer comprehensive care in accordance
with the individual needs of women, and respect for cultural norms. Professional
midwives are therefore challenged to review their ways of practice. Emphasis
should be placed on what formal healthcare providers and traditional birth
attendants can learn from each other.
PMID- 27889257
TI - Translation and cultural adaptation of the Shame and Stigma Scale (SSS) into
Portuguese (Brazil) to evaluate patients with head and neck cancer.
AB - INTRODUCTION: Head and neck cancer is the sixth leading cause of death from
cancer worldwide and its treatment may involve surgery, chemotherapy and/or
radiation therapy. The surgical procedure may cause mutilating sequelae, that can
alter patient self-image. Thus, head and neck cancer is often connected to the
negative stigma with decreased quality of life. Few studies assess the social
stigma and shame perceived by patients with head and neck cancer. OBJECTIVE: To
perform the translation and cultural adaptation of the Shame and Stigma Scale
(SSS) into Portuguese (Brazil). METHODS: Two independent translations (English
into Portuguese) were carried out by two professionals fluent in the English
language. After the synthesis of the translations, two independent back
translations (from Portuguese into English) were performed by two translators
whose native language is English. All translations were critically assessed by a
committee of experts consisting of five members. A sample of 15 patients answered
the Brazilian Portuguese version of the SSS to carry out the pretest. At this
step, the patients were able to suggest modifications and evaluate the
understanding of the items. RESULTS: There was no need to change the scale after
this step. Based on the previous steps, we obtained the Portuguese (Brazil)
version of the SSS, which was called "Escala de Vergonha e Estigma". CONCLUSION:
The Portuguese (Brazil) version of the SSP was shown to be adequate to be applied
to the population with HNC and, therefore, the psychometric properties of the
tool will be evaluated during following steps.
PMID- 27889259
TI - Episiotomy and severe perineal trauma among Eastern African immigrant women
giving birth in public maternity care: A population based study in Victoria,
Australia.
AB - BACKGROUND: Eastern African immigrants from countries affected by female genital
mutilation have resettled in many developed countries, including Australia.
Although possibly at risk of perineal trauma and episiotomy, research
investigating their perineal status post-migration is sparse. AIM: To investigate
variations in episiotomy use and incidence of severe perineal tear for women born
in Eritrea, Ethiopia, Somalia and Sudan compared with Australian-born women.
METHODS: A population-based study of 203,206 Australian-born and 3502 Eastern
African immigrant women admitted as public patients, with singleton vaginal
births between 1999 and 2007, was conducted using the Victorian Perinatal Data
Collection. Descriptive and multivariable logistic regression analysis adjusting
for confounders selected a priori, were performed to compute incidence and
adjusted odds ratios. FINDINGS: Overall, 30.5% Eastern African immigrants had
episiotomy compared to 17.2% Australian-born women. Severe perineal trauma
occurred in 2.1% of Eastern African immigrants and 1.6% of Australian-born women.
While the odds of severe perineal trauma was significantly elevated only during
non-instrumental vaginal births for Eastern African immigrants {ORadj1.56
95%CI(1.17, 2.12)}; that of episiotomy was increased during both non-instrumental
{ORadj4.47 95%CI(4.10, 4.88)} and instrumental {ORadj2.51 95%CI(1.91, 3.29)}
vaginal births. CONCLUSIONS: Overall, Eastern African immigrant women experienced
elevated odds of episiotomy and severe perineal tear. Health care providers need
to be mindful of the increased risk of severe perineal tear in these women and
enhance efforts in identification and treatment of severe perineal trauma to
minimise associated short and long term morbidity. Strategies to reduce unneeded
episiotomy and ways of enhancing perineal safety are also needed.
PMID- 27889260
TI - Two Bistable Switches Govern M Phase Entry.
AB - The abrupt and irreversible transition from interphase to M phase is essential to
separate DNA replication from chromosome segregation. This transition requires
the switch-like phosphorylation of hundreds of proteins by the cyclin-dependent
kinase 1 (Cdk1):cyclin B (CycB) complex. Previous studies have ascribed these
switch-like phosphorylations to the auto-activation of Cdk1:CycB through the
removal of inhibitory phosphorylations on Cdk1-Tyr15 [1, 2]. The positive
feedback in Cdk1 activation creates a bistable switch that makes mitotic
commitment irreversible [2-4]. Here, we surprisingly find that Cdk1 auto
activation is dispensable for irreversible, switch-like mitotic entry due to a
second mechanism, whereby Cdk1:CycB inhibits its counteracting phosphatase
(PP2A:B55). We show that the PP2A:B55-inhibiting Greatwall (Gwl)-endosulfine
(ENSA) pathway is both necessary and sufficient for switch-like phosphorylations
of mitotic substrates. Using purified components of the Gwl-ENSA pathway in a
reconstituted system, we found a sharp Cdk1 threshold for phosphorylation of a
luminescent mitotic substrate. The Cdk1 threshold to induce mitotic
phosphorylation is distinctly higher than the Cdk1 threshold required to maintain
these phosphorylations-evidence for bistability. A combination of mathematical
modeling and biochemical reconstitution show that the bistable behavior of the
Gwl-ENSA pathway emerges from its mutual antagonism with PP2A:B55. Our results
demonstrate that two interlinked bistable mechanisms provide a robust solution
for irreversible and switch-like mitotic entry.
PMID- 27889261
TI - NIK/MAP3K14 Regulates Mitochondrial Dynamics and Trafficking to Promote Cell
Invasion.
AB - Although the role of NF-kappaB-inducing kinase (NIK) in immunity is well
established, its relevance in cancer is just emerging. Here we describe novel
functions for NIK in regulating mitochondrial dynamics and motility to promote
cell invasion. We show that NIK is localized to mitochondria in cancer cell
lines, ex vivo tumor tissue, and mouse embryonic fibroblasts (MEFs). NIK promotes
mitochondrial fission, velocity, and directional migration, resulting in
subcellular distribution of mitochondria to the periphery of migrating cells.
Moreover, NIK is required for recruitment of Drp1 to mitochondria, forms a
complex with Drp1, and regulates Drp1 phosphorylation at Ser-616 and
dephosphorylation at Ser-637. Consistent with a role for NIK in regulating
mitochondrial dynamics, we demonstrate that Drp1 is required for NIK-dependent,
cytokine-induced invasion. Importantly, using MEFs, we demonstrate that the
established downstream mediators of NIK signaling, IkappaB kinase alpha/beta
(IKKalpha/beta) and NF-kappaB, are not required for NIK to regulate cell
invasion, Drp1 mitochondrial localization, or mitochondrial fission. Our results
establish a new paradigm for IKK-independent NIK signaling and significantly
expand the current dogma that NIK is predominantly cytosolic and exclusively
regulates NF-kappaB activity. Overall, these findings highlight the importance of
NIK in tumor pathogenesis and invite new therapeutic strategies that attenuate
mitochondrial dysfunction through inhibition of NIK and Drp1.
PMID- 27889262
TI - Injections of Predatory Bacteria Work Alongside Host Immune Cells to Treat
Shigella Infection in Zebrafish Larvae.
AB - Bdellovibrio bacteriovorus are predatory bacteria that invade and kill a range of
Gram-negative bacterial pathogens in natural environments and in vitro [1, 2]. In
this study, we investigated Bdellovibrio as an injected, antibacterial treatment
in vivo, using zebrafish (Danio rerio) larvae infected with an antibiotic
resistant strain of the human pathogen Shigella flexneri. When injected alone,
Bdellovibrio can persist for more than 24 hr in vivo yet exert no pathogenic
effects on zebrafish larvae. Bdellovibrio injection of zebrafish containing a
lethal dose of Shigella promotes pathogen killing, leading to increased zebrafish
survival. Live-cell imaging of infected zebrafish reveals that Shigella undergo
rounding induced by the invasive predation from Bdellovibrio in vivo.
Furthermore, Shigella-dependent replication of Bdellovibrio was captured inside
the zebrafish larvae, indicating active predation in vivo. Bdellovibrio can be
engulfed and ultimately eliminated by host neutrophils and macrophages, yet have
a sufficient dwell time to prey on pathogens. Experiments in immune-compromised
zebrafish reveal that maximal therapeutic benefits of Bdellovibrio result from
the synergy of both bacterial predation and host immunity, but that in vivo
predation contributes significantly to the survival outcome. Our results
demonstrate that successful antibacterial therapy can be achieved via the host
immune system working together with bacterial predation by Bdellovibrio. Such
cooperation may be important to consider in the fight against antibiotic
resistant infections in vivo.
PMID- 27889263
TI - Shade Promotes Phototropism through Phytochrome B-Controlled Auxin Production.
AB - Phototropism is an asymmetric growth response enabling plants to optimally
position their organs. In flowering plants, the phototropin (phot) blue light
receptors are essential to detect light gradients. In etiolated seedlings, the
phototropic response is enhanced by the red/far-red (R/FR)-sensing phytochromes
(phy) with a predominant function of phyA. In this study, we analyzed the
influence of the phytochromes on phototropism in green (de-etiolated) Arabidopsis
seedlings. Our experiments in the laboratory and outdoors revealed that, in open
environments (high R/FR ratio), phyB inhibits phototropism. In contrast, under
foliar shade, where access to direct sunlight becomes important, the phototropic
response was strong. phyB modulates phototropism, depending on the R/FR ratio, by
controlling the activity of three basic-helix-loop-helix (bHLH) transcription
factors of the PHYTOCHROME INTERACTING FACTORs (PIFs) family. Promotion of
phototropism depends on PIF-mediated induction of several members of the YUCCA
gene family, leading to auxin production in the cotyledons. Our study identifies
PIFs and YUCCAs as novel molecular players promoting phototropism in
photoautotrophic, but not etiolated, seedlings. Moreover, our findings reveal
fundamental differences in the phytochrome-phototropism crosstalk in etiolated
versus green seedlings. We propose that in natural conditions where the light
environment is not homogeneous, the uncovered phytochrome-phototropin co-action
is important for plants to adapt their growth strategy to optimize photosynthetic
light capture.
PMID- 27889264
TI - Recall of Others' Actions after Incidental Encoding Reveals Episodic-like Memory
in Dogs.
AB - The existence of episodic memory in non-human animals is a debated topic that has
been investigated using different methodologies that reflect diverse theoretical
approaches to its definition. A fundamental feature of episodic memory is
recalling after incidental encoding, which can be assessed if the recall test is
unexpected [1]. We used a modified version of the "Do as I Do" method [2],
relying on dogs' ability to imitate human actions, to test whether dogs can rely
on episodic memory when recalling others' actions from the past. Dogs were first
trained to imitate human actions on command. Next, they were trained to perform a
simple training exercise (lying down), irrespective of the previously
demonstrated action. This way, we substituted their expectation to be required to
imitate with the expectation to be required to lie down. We then tested whether
dogs recalled the demonstrated actions by unexpectedly giving them the command to
imitate, instead of lying down. Dogs were tested with a short (1 min) and a long
(1 hr) retention interval. They were able to recall the demonstrated actions
after both intervals; however, their performance declined more with time compared
to conditions in which imitation was expected. These findings show that dogs
recall past events as complex as human actions even if they do not expect the
memory test, providing evidence for episodic-like memory. Dogs offer an ideal
model to study episodic memory in non-human species, and this methodological
approach allows investigating memory of complex, context-rich events. VIDEO
ABSTRACT.
PMID- 27889265
TI - Integration of Phytochrome and Cryptochrome Signals Determines Plant Growth
during Competition for Light.
AB - Plants in dense vegetation perceive their neighbors primarily through changes in
light quality. Initially, the ratio between red (R) and far-red (FR) light
decreases due to reflection of FR by plant tissue well before shading occurs.
Perception of low R:FR by the phytochrome photoreceptors induces the shade
avoidance response [1], of which accelerated elongation growth of leaf-bearing
organs is an important feature. Low R:FR-induced phytochrome inactivation leads
to the accumulation and activation of the transcription factors PHYTOCHROME
INTERACTING FACTORs (PIFs) 4, 5, and 7 and subsequent expression of their growth
mediating targets [2, 3]. When true shading occurs, transmitted light is
especially depleted in red and blue (B) wavelengths, due to absorption by
chlorophyll [4]. Although the reduction of blue wavelengths alone does not occur
in nature, long-term exposure to low B light induces a shade avoidance-like
response that is dependent on the cryptochrome photoreceptors and the
transcription factors PIF4 and PIF5 [5-7]. We show in Arabidopsis thaliana that
low B in combination with low R:FR enhances petiole elongation similar to
vegetation shade, providing functional context for a low B response in plant
competition. Low B potentiates the low R:FR response through PIF4, PIF5, and
PIF7, and it involves increased PIF5 abundance and transcriptional changes. Low B
attenuates a low R:FR-induced negative feedback loop through reduced gene
expression of negative regulators and reduced HFR1 levels. The enhanced response
to combined phytochrome and cryptochrome inactivation shows how multiple light
cues can be integrated to fine-tune the plant's response to a changing
environment.
PMID- 27889266
TI - The effect anticoagulation status on geriatric fall trauma patients.
AB - BACKGROUND: This research study aims to identify the effect of anticoagulation
status on hospital course, complications, and outcomes among geriatric fall
trauma patients. METHODS: The study design is a retrospective cohort study,
looking at fall trauma among patients aged 60 to 80 years from 2009 to 2013 at a
university hospital in the United States. The statistical analysis, conducted
with SPSS software with a threshold for statistical significance of P < .05, was
stratified by anticoagulation status and then further by type of anticoagulation
(aspirin, warfarin, clopidogrel, enoxaparin, and dipyridamole). Outcomes
variables include mortality, length of stay (LOS), intensive care unit (ICU)
admission, and complications. RESULTS: The total number of patients included in
this study was 1,121. Compared with patients not on anticoagulation, there was a
higher LOS among patients on anticoagulation (6.3 +/- 6.2 vs 4.9 +/- 5.2, P =
.001). A higher LOS (7.2 +/- 6.8 vs 5.0 +/- 5.3, P = .001) and days in the ICU
(2.1 +/- 5.4 vs 1.1 +/- 3.8, P = .010) was observed in patients on warfarin. A
higher mortality (7.1% vs 2.8%, P = .013), LOS (6.3 +/- 6.2 vs 5.1 +/- 5.396, P =
.036), and complication rate (49.1 vs 36.7, P = .010) was observed among patients
on clopidogrel. CONCLUSIONS: In this study, a higher mortality and complication
rate were seen among clopidogrel, and a greater LOS and number of days in the ICU
were seen in patients on warfarin. These differences are important, as they can
serve as a screening tool for triaging the severity of a geriatric trauma
patient's condition and complication risk. For patients on clopidogrel, it is
essential that these patients are recognized early as high-risk patients who will
need to be monitored more closely. For patients on clopidogrel or warfarin,
bridging a patient's anticoagulation should be initiated as soon as possible to
prevent unnecessary increased LOS. At last, these data also provide support
against prescribing patients clopidogrel when other anticoagulation options are
available.
PMID- 27889267
TI - Attribution 2.0: whose complication is it?
AB - BACKGROUND: Payment models aimed at improving quality and curbing costs are being
deployed, and hospitals are evaluating complications more closely. To decrease
complications, hospitals must first "attribute" them to a responsible party. Our
study uses a rigorous approach to attribution in the trauma population. METHODS:
Twelve months of complications were reviewed by a multidisciplinary panel.
Physicians, patients, nursing, and the hospital were all incorporated into the
model. A point system was developed for each complication. Fractional points were
given when multiple parties were involved. RESULTS: One hundred twenty-five
complications were analyzed. Complications were attributed as follows: 30%
neurosurgery, 22% trauma surgery (100% using the traditional method), 17%
orthopedic surgery, 14% nursing, 9.6% plastics, 3.8% hospital, 1.6% patient, 1.4%
urology, and .6% vascular. CONCLUSIONS: Up to 78% of complications were
incorrectly ascribed using the traditional method. Almost 20% of complications
resulted from factors outside the physician's control. Before complications can
be reduced, their most proximate cause must be identified. Surgeons should own
these data and lead the effort to improve quality and decrease complications.
PMID- 27889268
TI - Evaluating surgical management and outcomes of colovaginal fistulas.
AB - BACKGROUND: Colovaginal fistula is a rare condition associated with significant
morbidity. The literature characterizing colovaginal fistula repair is sparse. We
present our institution's experience treating colovaginal fistulas. METHODS: A
retrospective review of all patients surgically treated for colovaginal fistula
between 2005 and 2015 was performed. Patient demographics, intra-operative
details, and post-operative outcomes were reviewed. RESULTS: We identified 27
patients with a mean age of 71 (+/-13) and BMI of 30 (+/-9). The most common
etiology for fistula was diverticulitis (n = 24, 89%). A laparoscopic approach
was initiated in 19 patients (70%) and an open approach for 8 (30%) with 8
patients converted from laparoscopy to open (42%). At a mean follow-up of 18
months (+/-21), there were no recurrences. CONCLUSION: We present one of the
largest series of the surgical management of colovaginal fistulas. Although our
conversion rate was high, we recommend a laparoscopic approach be utilized when
feasible.
PMID- 27889269
TI - Medical student expectations from surgical education: A two-year institutional
experience.
AB - BACKGROUND: The third-year surgical rotation is the first exposure medical
students have to the fundamentals of surgical education. It is known that medical
students come to the surgical clerkship with preconceived ideas, many of them
negative and based on prior student feedback and hearsay. METHODS: An anonymous
survey was conducted of third-year medical students while on the surgical
clerkship. We sought to quantify student's experiences and expectations by
assessing the students' confidence levels before and after the rotation. RESULTS:
Over a 26 month period from July 2013-August 2015, 250 surveys were conducted. In
terms of confidence gained on the surgical rotation, students reported a
statistically significant (p < 0.01) increase in confidence in fifteen different
areas of interest. However, in terms of expectations, students reported
discordance between anticipated experience and actual experience. Students'
responses indicate that students felt confident with their knowledge of diseases;
however, they desire more involvement in complex patient care and procedural
skills. CONCLUSIONS: The third-year clerkship is the first exposure to surgery
for many medical students. Surgical educators are tasked with providing a
foundation for clinical medicine; however, students have expressed an expectation
to be more involved with complex patient care and management.
PMID- 27889270
TI - Does implementing a general surgery residency program and resident involvement
affect patient outcomes and increase care-associated charges?
AB - BACKGROUND: Variable results regarding general surgery residency program (GSRP)
impact on patient outcomes and charges are reported. The aim of this study was to
determine any significant differences in patient outcomes and cost with a new
GSRP. METHODS: We analyzed all laparoscopic appendectomies (lap-ap),
cholecystectomies (lap-chole), and inguinal hernia repairs (IHR) performed before
and after implementing a GRSP. RESULTS: Operative time significantly increased
for lap-ap (p < 0.0001), lap-chole (p < 0.0001) and IHR (p = 0.03). Time to close
the incision significantly increased for lap-ap (p < 0.0001), lap-chole (p =
0.006) and IHR (p = 0.03). Length of stay only increased for lap-ap (p = 0.04).
Complication rates did not increase for any procedure. However, charges
significantly increased for lap-ap (p < 0.0001), lap-chole (p < 0.0001), and IHR
(p = 0.03). CONCLUSIONS: Although a newly implemented GSRP caused increases in
overall operative times, times to close incisions, and charges, it did not
negatively impact patient outcomes.
PMID- 27889271
TI - Assessment of perioperative nutrition practices and attitudes-A national survey
of colorectal and GI surgical oncology programs.
AB - BACKGROUND: Implementation of evidence-based peri-operative nutrition in the U.S.
is poorly described and hypothesized to be suboptimal. This study broadly
describes practices and attitudes regarding nutrition screening/intervention in
U.S. gastrointestinal and oncologic surgeons. METHODS: Nationwide nutritional
practice survey of GI/Oncologic surgical faculty. RESULTS: Program response rates
were 57% and 81% for colorectal and oncology fellowships, respectively. Only 38%
had formal nutritional screening processes in place. Average estimated percent of
patients malnourished, receiving nutritional screening, and receiving nutritional
supplementation preoperatively were 28%, 43%, and 21%, respectively. University
affiliation (p = 0.0371) and a formal screening process (p = 0.0312) predicted
higher preoperative nutritional screening rates. Controversy existed regarding
routine use of perioperative immunonutrition, but strong consensus emerged that
lack of awareness regarding positive data for immunonutrition impedes usage.
CONCLUSION: U.S. surgeons recognize importance of perioperative nutritional
screening and benefits of basic nutrition therapy. However, limited formal
nutrition screening programs currently exist indicating a significant need for
implementation of nutrition screening and basic nutrition intervention. Further
work on education, implementation and identifying clinical research needs for
immunonutrition interventions is also vitally needed. SUMMARY: This study broadly
describes nutritional practices and attitudes of gastrointestinal and oncologic
surgeons across the U.S. Surgeons recognize both the importance of proper
perioperative surgical nutritional support and the potential value to their
practice in terms of outcomes, but this study confirms poor implementation of
evidence-based nutrition practices in GI and oncologic surgery programs. This
study describes a significant opportunity to capitalize on current favorable
surgeon beliefs (and positive published data) regarding the benefit of
perioperative nutrition to improve surgical nutrition practice and patient
outcomes in the U.S.
PMID- 27889272
TI - Can muscle coordination explain the advantage of using the standing position
during intense cycling?
AB - OBJECTIVES: When compared to seated, the standing position allows the production
of higher power outputs during intense cycling. We hypothesized that muscle
coordination could explain this advantage. To test this hypothesis, we assessed
muscle activity over a wide range of power outputs for both seated and standing
cycling positions. DESIGN: Nine lower limb muscle activities from seventeen
untrained volunteers were recorded during cycling sequences performed in the
seated and the standing positions at power outputs ranging from ~100 to 700W at
90+/-5 revolutions-per-minute (RPM). METHODS: Integrated electromyography
activity (iEMG), temporal patterns of the EMGs, and muscle synergies were
analyzed. RESULTS: Muscle activity was underlain by four muscle synergies in both
positions. Muscle synergies were similar in the two positions (Pearson's
r=0.929+/-0.125). The activation patterns of knee and ankle extensor muscles and
their associated synergies had different timings in the two positions
(differences of ~2-10% of cycle). No major timing changes were observed with
power output (<2% of cycle). Differences in iEMG between the two positions
depended strongly on power output in all but the calf muscle (medial
gastrocnemius). CONCLUSIONS: The number and structure of the muscle synergies
play a minor role in the advantage of using the standing position when cycling at
high power-outputs. However, the standing position is favorable in terms of iEMG
at power outputs ?500-600W due to position-dependent modulations of muscle
activation levels. These data are important for understanding the determinants of
the seat-stand transition in cycling.
PMID- 27889274
TI - A tale of 2 HIV outbreaks caused by unsafe injections in Cambodia and the United
States, 2014-2015.
PMID- 27889273
TI - Is subscapularis recruited in a similar manner during shoulder internal rotation
exercises and belly press and lift off tests?
AB - OBJECTIVES: Although the belly press and lift off tests are recommended to assess
subscapularis function, shoulder internal rotation (IR) exercises performed in
other shoulder positions are more commonly used to restore subscapularis
function. It is not known if shoulder IR exercises specifically activate
subscapularis to the same degree as the lift off and belly press tests, and thus
have the potential to effect subscapularis strength gains. Therefore, the aim was
to compare subscapularis activation levels with those of other shoulder internal
rotator muscles during the belly press and lift off tests and shoulder IR
exercise positions. DESIGN: Original research. METHODS: Twenty asymptomatic
volunteers performed maximal isometric contractions during the belly press and
lift off tests and shoulder IR performed at 90 degrees and 0 degrees abduction
in an upright position and supported at 90 degrees abduction in supine. Muscle
activation levels were recorded using a combination of indwelling and surface
electrodes. Data were normalized to maximum voluntary contractions and averaged.
RESULTS: Moderate average subscapularis activation levels were recorded during
all shoulder IR tasks examined with no significant difference between tasks
(p=0.18). The belly press test was the only IR task in which subscapularis
activation levels were significantly higher than all other shoulder internal
rotator muscles (p<0.05). CONCLUSIONS: Shoulder IR exercises activate
subscapularis to similar moderate levels as the belly press and lift off tests
and therefore, have similar potential to strengthen subscapularis. However, the
belly press test, with significantly higher subscapularis activation than other
shoulder internal rotators, more specifically targets subscapularis.
PMID- 27889275
TI - Preconceptions about the infection process among prenursing students.
AB - Two hundred seven prenursing students in a large university-based nursing college
participated in a study about their preconceptions concerning the infection
process. Most students had a poor understanding of the distinction between
bacteria and viruses and had little knowledge about the immune system or the
mechanism of action of antibiotics. Instructors can use knowledge of student
preconceptions to create more relevant learning experiences.
PMID- 27889276
TI - Perceived effectiveness of messages promoting hand hygiene.
AB - Eighty-six infection control specialists evaluated 24 messages promoting hand
hygiene (HH). Brief, simple messages using appeals to social situations and to
ego (self-efficacy) were rated as most likely to increase HH compliance.
PMID- 27889278
TI - Safety and efficacy of NEPA, an oral fixed combination of netupitant and
palonosetron, in older patients.
AB - OBJECTIVES: Prevention of chemotherapy-induced nausea and vomiting is critical in
older patients with cancer. NEPA is an oral fixed combination of netupitant
300mg, a new NK1 receptor antagonist (RA), and palonosetron 0.5mg, a
pharmacologically distinct 5-HT3 RA. This retrospective analysis evaluated the
efficacy and safety of NEPA in older patients. METHODS: Patients aged >=65 and
>=70years from one phase II and two phase III trials were considered.
Chemotherapy-naive patients with malignant tumors were treated with anthracycline
cyclophosphamide (AC), non-AC-based moderately emetogenic chemotherapy (non-AC
MEC), or highly emetogenic chemotherapy (HEC). Following single-dose NEPA,
patients received oral dexamethasone on day 1 (AC and non-AC MEC) or days 1-4
(HEC). Efficacy was evaluated through complete response (CR) in cycle 1. Safety
was evaluated by AEs and ECGs. Data were summarized by descriptive statistics.
RESULTS: Overall, 214 patients were >=65years and 80 were >=70years. A higher CR
was observed in older patients versus the total population; in the acute phase
>90% of patients >=65years experienced CR. Efficacy was maintained over multiple
cycles of chemotherapy. No significant nausea rates were generally higher in the
older patients versus total population. Similar rates of AEs in the first
treatment cycle were reported for patients >=65years, >=70years, and total
population (72.9% vs 67.5% vs 70.0%, respectively). No cardiac safety concerns
were raised. CONCLUSION: NEPA is highly effective in older patients receiving MEC
or HEC regimens. NEPA is also well tolerated, demonstrating suitability for use
in older patients who may have comorbidities.
PMID- 27889277
TI - Evaluating the Four Kallikrein Panel of the 4Kscore for Prediction of High-grade
Prostate Cancer in Men in the Canary Prostate Active Surveillance Study.
AB - BACKGROUND: Diagnosis of Gleason 6 prostate cancer can leave uncertainty about
the presence of undetected aggressive disease. OBJECTIVE: To evaluate the utility
of a four kallikrein (4K) panel in predicting the presence of high-grade cancer
in men on active surveillance. DESIGN, SETTING, AND PARTICIPANTS: Plasma
collected before the first and subsequent surveillance biopsies was assessed for
718 men prospectively enrolled in the multi-institutional Canary PASS trial.
Biopsy data were split 2:1 into training and test sets. We developed statistical
models that included clinical information and either the 4Kpanel or serum
prostate-specific antigen (PSA). OUTCOME MEASUREMENTS AND STATISTICAL ANALYSIS:
The endpoint was reclassification to Gleason >=7. We used receiver operating
characteristic (ROC) curve analyses and area under the curve (AUC) to assess
discriminatory capacity, and decision curve analysis (DCA) to report clinical net
benefit. RESULTS AND LIMITATIONS: Significant predictors for reclassification
were 4Kpanel (odds ratio [OR] 1.54, 95% confidence interval [CI] 1.31-1.81) or
PSA (OR 2.11, 95% CI 1.53-2.91), >=20% cores positive (OR 2.10, 95% CI 1.33
3.32), two or more prior negative biopsies (OR 0.19, 95% CI 0.04-0.85), prostate
volume (OR 0.47, 95% CI 0.31-0.70), and body mass index (OR 1.09, 95% CI 1.04
1.14). ROC curve analysis comparing 4K and base models indicated that the 4Kpanel
improved accuracy for predicting reclassification (AUC 0.78 vs 0.74) at the first
surveillance biopsy. Both models performed comparably for prediction of
reclassification at subsequent biopsies (AUC 0.75 vs 0.76). In DCA, both models
showed higher net benefit compared to biopsy-all and biopsy-none strategies.
Limitations include the single cohort nature of the study and the small numbers;
results should be validated in another cohort before clinical use. CONCLUSIONS:
The 4Kpanel provided incremental value over routine clinical information in
predicting high-grade cancer in the first biopsy after diagnosis. The 4Kpanel did
not add predictive value to the base model at subsequent surveillance biopsies.
PATIENT SUMMARY: Active surveillance is a management strategy for many low-grade
prostate cancers. Repeat biopsies monitor for previously undetected high-grade
cancer. We show that a model with clinical variables, including a panel of four
kallikreins, indicates the presence of high-grade cancer before a biopsy is
performed.
PMID- 27889279
TI - Vitamin K epoxide reductase expression and prostate cancer risk.
AB - PURPOSE: Increasing evidence has demonstrated that men taking the anticoagulant
warfarin have a lower risk of developing prostate cancer. This phenomenon is not
observed in other cancers. We sought to determine if the target of warfarin,
vitamin K epoxide reductase (VKOR), is expressed in benign and cancerous prostate
tissues and if a functional single nucleotide polymorphism (SNP) in the VKOR gene
is associated with prostate cancer risk. MATERIALS AND METHODS: The expression of
VKOR was quantified by immunohistochemistry in an institutional series of 54
radical prostatectomy samples and metastatic biopsies, as well as in 40 other
cancers and matched benign tissues on a tissue microarray. Genotyping of SNP
rs2359612 was performed in a prospective series of 57 patients. RESULTS: VKOR is
highly expressed in benign human prostate epithelial cells but is not expressed
or expressed at very low levels in cancerous cells. This expression pattern is
unique to prostate cancer. Additionally, the proportion of the carrier C allele
of rs2359612 in the patients with prostate cancer was significantly higher than
in the population, suggesting an association between this allele and the risk of
having a diagnosis of prostate cancer. CONCLUSIONS: The expression of VKOR in
benign prostate epithelial cells, along with the association between a functional
VKOR SNP and prostate cancer risk, suggests a possible role for VKOR in mediating
the effect of warfarin on prostate cancer risk. Larger multi-institutional cohort
studies are warranted, as are molecular studies on the role of VKOR in prostate
cancer development.
PMID- 27889280
TI - Percutaneous biopsy in large, locally advanced or metastatic renal tumors.
AB - INTRODUCTION: The role of percutaneous biopsy to characterize large, locally
advanced and metastatic primary renal tumors has not been well described. The
goal of this article is to describe the potential advantages of biopsy for
preoperative evaluation of patients with large renal tumors and advanced disease.
METHODS: Literature was reviewed for percutaneous biopsy and for locally advanced
and metastatic renal tumors. RESULTS: Multiple studies have confirmed that
percutaneous biopsy is safe, and the cost is minimal relative to the cost of
surgical operation. Biopsy of large masses should obtain multiple core samples
from several sites with tumors to decrease error from sampling heterogeneous
tumors. CONCLUSIONS: Potential advantages of biopsy for large renal masses
include identification of patients for retroperitoneal lymph node dissection who
may have occult lymph node metastasis. In patients with metastatic renal cell
carcinoma, biopsy characterizes tumors for patients who may not benefit from
cytoreductive surgical operation. The role of biopsy is likely to expand in the
future with the development of advanced molecular tools for risk stratification.
PMID- 27889281
TI - Is structural stigma's effect on the mortality of sexual minorities robust? A
failure to replicate the results of a published study.
AB - BACKGROUND: The study of stigma's influence on health has surged in recent years.
Hatzenbuehler et al.'s (2014) study of structural stigma's effect on mortality
revealed an average of 12 years' shorter life expectancy for sexual minorities
who resided in communities thought to exhibit high levels of anti-gay prejudice,
using data from the 1988-2002 administrations of the US General Social Survey
linked to mortality outcome data in the 2008 National Death Index. METHODS: In
the original study, the key predictor variable (structural stigma) led to results
suggesting the profound negative influence of structural stigma on the mortality
of sexual minorities. Attempts to replicate the study, in order to explore
alternative hypotheses, repeatedly failed to generate the original study's key
finding on structural stigma. Efforts to discern the source of the disparity in
results revealed complications in the multiple imputation process for missing
values of the components of structural stigma. This prompted efforts at
replication using 10 different imputation approaches. RESULTS: Efforts to
replicate Hatzenbuehler et al.'s (2014) key finding on structural stigma's
notable influence on the premature mortality of sexual minorities, including a
more refined imputation strategy than described in the original study, failed. No
data imputation approach yielded parameters that supported the original study's
conclusions. Alternative hypotheses, which originally motivated the present
study, revealed little new information. CONCLUSION: Ten different approaches to
multiple imputation of missing data yielded none in which the effect of
structural stigma on the mortality of sexual minorities was statistically
significant. Minimally, the original study's structural stigma variable (and
hence its key result) is so sensitive to subjective measurement decisions as to
be rendered unreliable.
PMID- 27889282
TI - Neuromodulation Treatments for Geriatric Mood and Cognitive Disorders.
AB - There is increasing evidence for the efficacy of neuromodulation in the treatment
of resistant mood disorders and emerging data supporting the use of
neuromodulation in cognitive disorders. A significant minority of depressed
elders do not respond to pharmacotherapy and/or psychotherapy. This has led
clinicians to recommend the increasing use of electroconvulsive therapy (ECT) in
the treatment of medication-resistant or life-threatening geriatric depression.
Multiple studies have supported the safety and efficacy of ECT in the elderly,
yet ECT is associated with side effects including cardiovascular and cognitive
side effects. Neuromodulation therapies have the potential for providing
effective treatment for treatment-resistant older adults with reduced side
effects and this review will outline the risks and benefits of neuromodulation
treatment in geriatric psychiatry. There is also emerging evidence of the
efficacy of neuromodulation devices in the treatment of cognitive disorders.
Pharmacotherapy has been largely ineffective in changing the course of
neurodegenerative diseases causing dementia and other treatments are clearly
needed. This review will outline the available evidence for neuromodulation in
the treatment of mood and cognitive disorders in the elderly.
PMID- 27889283
TI - Suicidal Ideation and Social Capital: Community Matters.
PMID- 27889284
TI - Perceived Stress: A Mechanism for Cognitive Decline Among Minorities?
PMID- 27889285
TI - Aberrant left vertebral artery transposition and concomitant carotid-subclavian
bypass for treatment of acute intramural hematoma with thoracic endovascular
aortic repair.
AB - Aberrant left vertebral artery (LVA) origin off the aortic arch is an uncommon
anatomic variant. Treatment of the thoracic aortic pathology that necessitates
its coverage has not been described. We present a patient with an acute
intramural hematoma with a dominant LVA originating from the aortic arch. A LVA
to-carotid artery transposition with shunt placement, carotid-to-subclavian
bypass, and thoracic endovascular aortic repair were performed. The patient
recovered uneventfully, without any evidence of stroke. This case study shows
that aberrant left vertebral anatomy presents a unique and interesting challenge
and that vertebral shunt techniques during revascularization can be performed
without stroke.
PMID- 27889286
TI - Computational fluid analysis of symptomatic chronic type B aortic dissections
managed with the Streamliner Multilayer Flow Modulator.
AB - OBJECTIVE: Managing symptomatic chronic type B aortic dissection (SCTBAD) by the
Streamliner Multilayer Flow Modulator (SMFM) stent (Cardiatis, Isnes, Belgium) is
akin to provisional structural support to induce complete attachment of the
dissection flap, but with the ability of aortic remolding. This study
investigated the SMFM's capability to enact healing of SCTBAD. METHODS: Clinical
data for 12 cases comprising preoperative and postoperative treatment of SCTBAD
were obtained from a multicenter database hosted by the Multilayer Flow Modulator
Global Registry, Ireland. A biomechanical analysis, by means of computational
fluid dynamics modeling, of the hemodynamic effects and branch patency associated
with the use of the SMFM was performed for all cases. The mean length of the
dissections was 30.23 +/- 13.3 cm. There were 30 SMFMs used, which covered 69
aortic branches. RESULTS: At 1-year follow-up, the true lumen volume increased
from 175.74 +/- 98.83 cm3 to 209.87 +/- 128.79 cm3; the false lumen decreased
from 135.2 +/- 92.03 cm3 to 123.19 +/- 110.11 cm3. The false lumen index
decreased from 0.29 +/- 0.13 (preoperatively) to 0.21 +/- 0.15 (postoperatively).
The primary SMFM treatment of SCTBAD increased carotid perfusion by 35% +/- 21%
(P = .0216) and suprarenal perfusion by 78% +/- 32% (P = .001). The wall pressure
distribution blended along the newly enlarged true lumen, whereas the false lumen
wall pressure decreased by 6.23% +/- 4.81% for the primary group (cases 1-7) and
by 3.84% +/- 2.59% for the secondary group (cases 8-12). CONCLUSIONS: SMFM
reduces the false lumen wall pressure through flow modulation. It preserves
patency of all branches, minimizing the incidence of short-term complications.
The SMFM is a valuable option in managing primary SCTBAD, without midterm
complications.
PMID- 27889287
TI - Structure-activity relationship study of a small cyclic peptide H-c[Lys-Pro-Glu]
Arg-OH: a potent inhibitor of Vascular Endothelial Growth Factor interaction with
Neuropilin-1.
AB - Inhibition of angiogenesis is one of the most promising approaches in anticancer
therapy. It was recently suggested that Neuropilin-1 (NRP-1) in tumour cells may
serve as a separate receptor for Vascular Endothelial Growth Factor-165 (VEGF165)
which is one of the main pro-angiogenic agents in the organism. Therefore
molecules inhibiting VEGF165 binding to NRP-1 could be potential candidates for
new antiangiogenic and anticancer drugs. Here we present a structure-activity
relationship study of the peptide H-c[Lys-Pro-Glu]-Arg-OH which showed high
inhibitory effect on VEGF165/NRP-1 binding (IC50=0.18MUM) in our previous study.
We report the design, synthesis, in vitro assays and docking analysis of four
small cyclic peptides (14-,15-membered ring) and one bigger cyclic compound (30
membered ring). Our study shows that both the ring size and configuration of
amino acid residues present in the structure are crucial for high inhibitory
effect.
PMID- 27889288
TI - Quiet dissent: The attitudes, beliefs and behaviours of UK osteopaths who reject
low back pain guidance - A qualitative study.
AB - INTRODUCTION: Clinical guidelines are derived from best research evidence and aim
to: improve quality of non-specific low back pain (nsLBP) management and identify
patients at risk of suffering chronic pain. However, guideline discordant
attitudes and beliefs have been identified in healthcare students and
practitioners, including osteopaths. DESIGN: A qualitative approach with elements
of grounded theory was used to explore underlying attitudes and beliefs of
practitioners/students working in a British osteopathic education institution.
All participants rejected guideline recommendations for managing nsLBP. A
constant comparative method was used to code and analyse emergent themes from
transcript data. SUBJECTS: Purposive sampling identified 5 clinic tutors and 7
students; all participated in semi-structured interviews. INTERPRETATION: Our
central theme was a 'Precedence of Osteopathy' over medicine and other manual
therapies. Three subthemes were: 1) beliefs about self; 2) perceptions of others;
3) attitudes to guidelines and research. CONCLUSION: Participants possess a
strong professional identity fostered by their education. This bestows autonomy,
authority and distinctness upon them. The central theme was modelled as a lens
through which participants viewed research: the evidence pyramid appears
inverted, explaining why participants value expert opinion above all other
evidence. Guidelines and research are perceived to threaten professional
identity. In contractual situations that oblige practitioners to follow
guidelines management, perhaps reflecting a pragmatic response to health-care
market forces, clinical practice is modified. Developing further understanding of
osteopaths' attitudes and beliefs and behaviour in respect of evidence-based
guidance in education is important to enhance the quality of clinical practice in
osteopathy.
PMID- 27889289
TI - Increasing prevalence and incidence of multiple sclerosis in Poland.
AB - Epidemiologic data on multiple sclerosis (MS) in Poland are limited. Our
objectives were to assess a mean annual incidence rate, and MS prevalence on
December 31, 2015 in the Swietokrzyskie province (central Poland). We analyzed
data of 1525 patients, collected in the Polish Multiple Sclerosis Registry. On
December 31, 2015, overall crude prevalence of MS was not less than 121.3/100,000
(95% CI, 114.6-128.4). Significantly higher prevalence was recorded in females
(167.1; 95% CI, 155.6-179.1) than in males (73.2; 95% CI, 64.2-82.6; P<0.001).
The age-adjusted prevalence standardized to the European standard population was
114.2/100,000 (95% CI, 106.2-121.5). The female-male ratio was 2.4:1. The mean
annual incidence was 4.5/100,000 (95% CI, 3.8-5.2). Increased MS prevalence and
incidence compared to 2010 and previous studies from Poland confirmed that
central Poland is a high risk area for MS.
PMID- 27889290
TI - Randomized, split-body, single-blinded clinical trial of topical broccoli sprout
extract: Assessing the feasibility of its use in keratin-based disorders.
AB - BACKGROUND: Epidermolysis bullosa simplex is a skin-blistering disorder caused by
mutations in keratin (K)14 or K5. Treatment with nuclear factor (erythroid
derived 2)-like 2 inducer sulforaphane ameliorated skin blistering in Krt14-null
mice, correlating with induction of K17. To be therapeutically useful for
epidermolysis bullosa simplex, topical broccoli sprout extract (BSE), enriched
for sulforaphane, would ideally induce the expression of homologous keratins (eg,
K6, K17, K16) in the basal layer of human epidermis without impacting expression
of defective keratins (K5/K14). OBJECTIVE: The purpose of this 1-week,
randomized, split-body, single-blinded, placebo-controlled trial was to assess
the impact of BSE on keratin expression. METHODS: Five subjects (34-71 years old)
applied BSE (500 nmol of sulforaphane/mL) or vehicle alone to the inner aspect of
the arm daily. Expression of keratin, nuclear factor (erythroid-derived 2)-like
2, and other markers was assessed using reverse transcription-polymerase chain
reaction and indirect immunofluorescence. RESULTS: One subject (age 71 years) was
excluded a posteriori because of poor tissue quality. Topical BSE activated
nuclear factor (erythroid-derived 2)-like 2 and up-regulated K17 in the epidermis
of all subjects, had variable effects on K16 and K6 expression, and did not alter
expression of K14 or K5. LIMITATIONS: Small sample size is a limitation.
CONCLUSION: BSE represents an attractive therapeutic candidate for K14-associated
epidermolysis bullosa simplex.
PMID- 27889291
TI - Acantholytic squamous cell carcinoma is usually associated with hair follicles,
not acantholytic actinic keratosis, and is not "high risk": Diagnosis,
management, and clinical outcomes in a series of 115 cases.
AB - BACKGROUND: Acantholytic squamous cell carcinoma (aSCC) is regarded as a high
risk variant of cutaneous squamous cell carcinoma (SCC). Acantholytic actinic
keratosis (aAK) has been regarded as a precursor risk factor for aSCC. However,
supporting evidence is limited. OBJECTIVE: We sought to document clinical
features, histologic features, management, and outcomes in a series of aSCC
cases. METHODS: Definitions of aSCC, aAK, and aSCC arising in association with
aAK were applied to a consecutive series of aSCC cases. Clinical characteristics
and outcomes were obtained from electronic medical records. RESULTS: Of 115 aSCC
cases (103 patients, mean age 71.8 years), actinic keratosis was present in 23%
(27/115) but only 7.8% (9/115) exhibited associated aAK. Ten cases (10/115, 9%)
fulfilled strict histologic criteria for follicular SCC as previously defined,
but 50 of 115 (43%) of our aSCC cases exhibited predominant involvement of
follicular epithelium rather than epidermis. Clinical outcome (median follow-up,
36 months) was available in 106 of 115 (92%). One patient experienced regional
extension (parotid), and 1 patient experienced a local recurrence (nose). No
disease-related metastases or deaths were documented. LIMITATIONS: This was a
single-institution retrospective study from the United States. CONCLUSIONS: The
presence of acantholysis in cutaneous SCC does not specifically confer aggressive
behavior, a finding that may inform clinical practice guidelines.
PMID- 27889292
TI - Short- and long-term safety outcomes with ixekizumab from 7 clinical trials in
psoriasis: Etanercept comparisons and integrated data.
AB - BACKGROUND: Safety of biologics is important when treating patients with
psoriasis. OBJECTIVE: We sought to determine the safety of ixekizumab in
psoriasis. METHODS: Integrated safety data are presented from a 12-week induction
period, a 12- to 60-week maintenance period, and from all ixekizumab-treated
patients from 7 clinical trials. Exposure-adjusted incidence rates (IRs) per 100
patient-years are reported. RESULTS: Overall, 4209 patients received ixekizumab
(total exposure: 6480 patient-years). During the induction period, the IRs of
patients experiencing 1 or more treatment-emergent adverse event (AE) were 251
and 236 among ixekizumab- and etanercept-treated patients, respectively, and for
serious AEs was 8.3 in both groups. During maintenance, for ixekizumab, the IRs
of treatment-emergent AEs and serious AEs were 100.4 and 7.8, respectively. Among
all ixekizumab-treated patients from 7 trials, the IR of Candida infections was
2.5. The IRs of treatment-emergent AEs of special interest (including serious
infections, malignancies, major adverse cardiovascular events) were comparable
for ixekizumab and etanercept during the induction period. LIMITATIONS:
Additional long-term data are required. CONCLUSION: Ixekizumab had an acceptable
safety profile with no unexpected safety findings during ixekizumab maintenance
in psoriasis.
PMID- 27889293
TI - Stability behaviour of antiretroviral drugs and their combinations. 5:
Characterization of novel degradation products of abacavir sulfate by mass and
nuclear magnetic resonance spectrometry.
AB - In the present study, degradation behaviour of abacavir sulfate was evaluated in
solution and solid stress conditions. Solution state studies resulted in
formation of eleven degradation products; of which two were also formed on solid
stress. The same were separated by high performance liquid chromatography. They
were characterized using liquid chromatography-high resolution mass spectrometry,
liquid chromatography-multistage mass spectrometry and hydrogen/deuterium
exchange mass spectrometry data. Additionally, seven degradation products were
isolated and subjected to 1D and 2D nuclear magnetic resonance studies for their
structural confirmation.
PMID- 27889294
TI - Increasing the Detection of Familial Hypercholesterolaemia Using General Practice
Electronic Databases.
AB - BACKGROUND: Familial hypercholesterolaemia (FH) is a common autosomal co-dominant
condition that causes premature cardiovascular disease. Awareness of FH is poor
and only 10-15% of the affected population is identified. Electronic health
records provide an opportunity to increase detection and awareness in general
practice OBJECTIVE: To determine whether a simple electronic extraction tool can
increase detection of FH in general practice. METHOD: An extraction tool applied
to general practice electronic health records (EHR) to screen for FH, total
cholesterol and low density lipoprotein cholesterol (LDL-c) levels in association
with entered diagnostic criteria and demographic data in five general practices.
RESULTS: Of 157,290 active patients examined, 0.7% (n=1081) had an LDL-c>5.0
mmol/L representing 1 in 146 of active patients. An additional 0.8% (n=1276)
patients were at possible risk of FH. Of those with an LDL-c>5.0 mmol/L 43.7% of
patients had no record of being prescribed statins. Twenty patients (0.013%) had
a clinical diagnosis of FH entered in the EHR. CONCLUSIONS: Patients at high risk
of FH can be identified by a simple electronic screening method in general
practice. Clinical data entry is variable in general practice. Targeted screening
enables clinical assessment of patients at risk of cardiovascular disease and
using the DLCNS will enable primary care to increase identification of FH.
Approximately one in five patients extracted using this method, are likely to
have phenotypically probable FH, making it a useful screening tool.
PMID- 27889295
TI - Novel T7-like expression systems used for Halomonas.
AB - To engineer non-model organisms, suitable genetic parts must be available.
However, biological parts are often host strain sensitive. It is therefore
necessary to develop genetic parts that are functional regardless of host
strains. Here we report several novel phage-derived expression systems used for
transcriptional control in non-model bacteria. Novel T7-like RNA polymerase
promoter pairs were obtained by mining phage genomes, followed by in vivo
characterization in non-model strains Halomonas spp TD01 and Pseudomonas
entomophila. Three expression systems, namely, MmP1, VP4, and K1F, were developed
displaying orthogonality (crosstalk<0.7%), tight regulation (3085-fold
induction), and high efficiency (2.5-fold of Ptac) in Halomonas sp. TD01, a
chassis strain with a high industrial value. The expression under the
corresponding T7-like promoter libraries persisted with striking correlations (R2
>0.94) between Escherichia coli and Halomonas sp. TD01, implying suitability of
broad-host range. Three Halomonas TD strains were then constructed based upon
these expression systems that enabled interchangeable and controllable gene
expression. One of the strains termed Halomonas TD-MmP1 was used to express the
cell-elongation cassette (minCD genes) and polyhydroxybutyrate (PHB) biosynthetic
pathway, resulting in a 100-fold increase in cell lengths and high levels of PHB
production (up to 92% of cell dry weight), respectively. We envision these T7
like expression systems to benefit metabolic engineering in other non-model
organisms.
PMID- 27889296
TI - Donor and Recipient Views on Their Relationship in Living Kidney Donation:
Thematic Synthesis of Qualitative Studies.
AB - BACKGROUND: Many donors and recipients report an improved relationship after
transplantation; however, tension, neglect, guilt, and proprietorial concern over
the recipient can impede donor and recipient well-being and outcomes. We aimed to
describe donor and recipient expectations and experiences of their relationship
in the context of living kidney donation. STUDY DESIGN: Thematic synthesis of
qualitative studies. SETTING & POPULATION: Living kidney donors and recipients.
SEARCH STRATEGY & SOURCES: Electronic databases were searched to October 2015.
ANALYTICAL APPROACH: Thematic synthesis. RESULTS: From 40 studies involving 1,440
participants (889 donors and 551 recipients) from 13 countries, we identified 6
themes. "Burden of obligation" described the recipient's perpetual sense of duty
to demonstrate gratitude to the donor. "Earning acceptance" was the expectation
that donation would restore relationships. "Developing a unique connection"
reflected the inexplicable bond that donor-recipient dyads developed
postdonation. "Desiring attention" was expressed by donors who wanted recognition
for the act of donation and were envious and resentful of the attention the
recipient received. "Retaining kidney ownership" reflected the donor's
inclination to ensure that the recipient protected "their" kidney. "Enhancing
social participation" encompassed relieving both the caregiver from the
constraints of dialysis and the recipient from increased involvement and
contribution in family life. LIMITATIONS: Non-English articles were excluded.
CONCLUSIONS: Living kidney donation can strengthen donor-recipient relationships
but may trigger or exacerbate unresolved angst, tension, jealousy, and
resentment. Facilitating access to pre- and posttransplantation psychological
support that addresses potential relationship changes may help donors and
recipients better adjust to changes in the relationship dynamics, which in turn
may contribute to improved psychosocial and transplantation outcomes following
living kidney donation.
PMID- 27889297
TI - Does an Aspirin a Day Keep the Doctor Away?
PMID- 27889298
TI - Digging Deeper: Hidden Messages Beyond the Overall Prevalence of Diabetic Kidney
Disease.
PMID- 27889299
TI - Safety and Efficacy Outcomes 3 Years After Switching to Belatacept From a
Calcineurin Inhibitor in Kidney Transplant Recipients: Results From a Phase 2
Randomized Trial.
AB - BACKGROUND: In a phase 2 study, kidney transplant recipients of low immunologic
risk who switched from a calcineurin inhibitor (CNI) to belatacept had improved
kidney function at 12 months postconversion versus those continuing CNI therapy,
with a low rate of acute rejection and no transplant loss. STUDY DESIGN: 36-month
follow-up of the intention-to-treat population. SETTING & PARTICIPANTS: CNI
treated adult kidney transplant recipients with stable transplant function
(estimated glomerular filtration rate [eGFR], 35-75mL/min/1.73m2). INTERVENTIONS:
At 6 to 36 months posttransplantation, patients were randomly assigned to switch
to belatacept-based immunosuppression (n=84) or continue CNI-based therapy
(n=89). OUTCOMES: Safety was the primary outcome. eGFR, acute rejection,
transplant loss, and death were also assessed. MEASUREMENTS: Treatment exposure
adjusted incidence rates for safety, repeated-measures modeling for eGFR, Kaplan
Meier analyses for efficacy. RESULTS: Serious adverse events occurred in 33 (39%)
belatacept-treated patients and 36 (40%) patients in the CNI group. Treatment
exposure-adjusted incidence rates for serious infections (belatacept vs CNI,
10.21 vs 9.31 per 100 person-years) and malignancies (3.01 vs 3.41 per 100 person
years) were similar. More patients in the belatacept versus CNI group had any
grade viral infections (14.60 vs 11.00 per 100 person-years). No
posttransplantation lymphoproliferative disorder was reported. Belatacept-treated
patients had a significantly greater estimated gain in mean eGFR (1.90 vs
0.07mL/min/1.73m2 per year; P for time-by-treatment interaction effect = 0.01).
The probability of acute rejection was not significantly different for belatacept
(8.38% vs 3.60%; HR, 2.50 [95% CI, 0.65-9.65; P=0.2). HR for the comparison of
belatacept to the CNI group for time to death or transplant loss was 1.00 (95%
CI, 0.14-7.07; P=0.9). LIMITATIONS: Exploratory post hoc analysis with a small
sample size. CONCLUSIONS: Switching patients from a CNI to belatacept may
represent a safe approach to immunosuppression and is being further explored in
an ongoing phase 3b trial.
PMID- 27889300
TI - Tofacitinib Versus Biologic Treatments in Patients With Active Rheumatoid
Arthritis Who Have Had an Inadequate Response to Tumor Necrosis Factor
Inhibitors: Results From a Network Meta-analysis.
AB - PURPOSE: Tofacitinib is an oral Janus kinase inhibitor for the treatment of
rheumatoid arthritis (RA). This analysis compared the efficacy and safety of
tofacitinib with biologic disease-modifying antirheumatic drugs in patients with
RA and a prior inadequate response (IR) to tumor necrosis factor inhibitors
(TNFi). METHODS: A systematic literature review identified 5 randomized placebo
controlled trials that evaluated tofacitinib or biologic disease-modifying
antirheumatic drugs (bDMARDs) against placebo in patient populations with RA with
a prior IR to TNFi. The definition of TNFi-IR varied across studies, and included
patients with an IR or who had failed treatment with TNFi for any reason. A
network meta-analysis was conducted comparing study data with regard to American
College of Rheumatology response rates and Health Assessment Questionnaire
Disability Index improvement at weeks 12 and 24, rates of treatment withdrawal
due to all causes; adverse events (AEs) and lack of efficacy; and rates of AEs,
serious AEs, and serious infections. FINDINGS: The 5 trials included a total of
2136 patients. Tofacitinib 5 mg twice daily combined with methotrexate was found
to have relative risk estimates of American College of Rheumatology responses and
change from baseline in Health Assessment Questionnaire-Disability Index score
comparable with abatacept, golimumab, rituximab, and tocilizumab combined with
conventional synthetic disease-modifying antirheumatic drugs. Withdrawal rates
from trials due to all causes and AEs were comparable between treatments, and
tofacitinib had a lower rate of withdrawals due to lack of efficacy. Rates of AEs
and HAQ-DI were comparable between tofacitinib, other active treatments, and
placebo. No serious infections were reported with tofacitinib during the placebo
controlled period (up to week 12) in this study population; rates of serious
infection with other active treatments were generally low and similar to placebo.
IMPLICATIONS: During a 24-week period, tofacitinib had efficacy and rates of AEs
comparable with currently available bDMARDs in the treatment of patients with RA
who had a prior IR to TNFi. ClinicalTrials.gov identifiers: ORAL Step,
NCT00960440; ATTAIN, NCT00124982; GO-AFTER, NCT00299546; RADIATE, NCT00106522;
REFLEX, NCT00462345.
PMID- 27889301
TI - Glycemic Control and Weight Outcomes for Exenatide Once Weekly Versus Liraglutide
in Patients with Type 2 Diabetes: A 1-Year Retrospective Cohort Analysis.
AB - PURPOSE: Data comparing real-world effectiveness of the glucagon-like peptide-1
receptor agonists (GLP-1RAs) exenatide once weekly (QW) and liraglutide in the
treatment of type 2 diabetes (T2D) are limited. Furthermore, there is limited
information on exenatide QW or liraglutide response by glycemic control and
insulin use status. This study identifies 1-year glycosylated hemoglobin (HbA1c)
and weight outcomes with exenatide QW and liraglutide in the real-world setting
overall and in insulin-naive patients with uncontrolled T2D. METHODS: This
retrospective cohort study using national electronic medical record data compared
1-year HbA1c and weight outcomes in patients with T2D prescribed exenatide QW or
liraglutide. Included patients were adults (>=18 years old) with T2D who were GLP
1RA naive when newly prescribed exenatide QW or liraglutide between January 1,
2012, and March 31, 2013 (index date). Outcomes were reported descriptively
overall and in subsets of insulin-naive patients with baseline HbA1c >=7.0% or
>=9.0%. Multivariable linear regression analyses were performed to estimate
adjusted change in HbA1c and weight. FINDINGS: The study included 808 exenatide
QW and 4333 liraglutide patients. Mean (SD) age was 57 (11) years in both groups.
Mean baseline HbA1c was 8.3% (1.5%) in exenatide QW patients and 8.4% (1.6%) in
liraglutide patients (P = 0.66); 16 (2%) of the exenatide QW and 1099 (25.4%) of
the liraglutide patients were newly prescribed insulin on the index date (P <
0.001). Adjusted mean HbA1c change at 1 year was -0.37% (95% CI, -0.53% to
0.21%) for exenatide QW and -0.37% (95% CI, -0.55% to -0.18%) for liraglutide.
Adjusted HbA1c reduction was more pronounced in insulin-naive patients with
baseline HbA1c >=7.0% (-0.71% and -0.80% for the exenatide QW and liraglutide
patients, respectively, P > 0.05) and >=9.0% (-1.73% and -1.57% for exenatide QW
and liraglutide patients, respectively, P > 0.05). Mean (adjusted) weight loss
was -2.22 kg (95% CI, -3.06 to -1.37 kg) with exenatide QW and -2.21 kg (95% CI,
3.18 to -1.23 kg) with liraglutide. IMPLICATIONS: Exenatide QW and liraglutide
lead to similar HbA1c and weight reductions at 1 year in the real-world setting.
Greater HbA1c reductions occurred in insulin-naive patients with baseline HbA1c
>=7.0%. Both agents are appropriate options for patients needing antidiabetes
therapy to lower HbA1c while promoting weight loss.
PMID- 27889302
TI - Preschool-Age Chinese Children's Weight Status: WHO Classification, Parent
Ratings, Child/Family Characteristics.
AB - PURPOSE: We aimed to compare preschool-age Chinese children's weight status based
on the WHO guidelines with parental ratings on their children's body type, and
child/family demographic characteristics. METHOD: The sample included 171
preschool-age children (M=60.5months, SD=6.7; boys: 46.8%) randomly selected from
23 classrooms. Based on BMIs from their height and weight from physical
examinations, the children were divided into three groups using the 2006 WHO
guidelines: underweight (n=46), normal weight (n=65), and overweight (n=60). Data
on the parental ratings of children's current body type, ideal body type and
child/family demographic characteristics were collected with surveys. RESULTS:
Parents' accurately classified 91.1% of the underweight children, 52.3% of the
normal weight children, and 61.7% of the overweight children. In terms of ideal
body shape for their children, parents typically wanted their children to have
normal weight or to remain underweight. Most of the child and family demographic
characteristics were not different across children who were underweight, had
normal weight, and were overweight. CONCLUSION: Because parents tended to
underestimate their children's weight status, it is important to increase Chinese
parents' knowledge on what constitutes healthy weight, as well as the potential
harm of overweight status for children's development. Training healthcare
providers in kindergartens and pediatric clinics to work with parents to
recognize unhealthy weight status in children is valuable.
PMID- 27889303
TI - PGLa-H tandem-repeat peptides active against multidrug resistant clinical
bacterial isolates.
AB - Antimicrobial peptides (AMPs) are promising candidates for new antibiotic classes
but often display an unacceptably high toxicity towards human cells. A naturally
produced C-terminal fragment of PGLa, named PGLa-H, has been reported to have a
very low haemolytic activity while maintaining a moderate antibacterial activity.
A sequential tandem repeat of this fragment, diPGLa-H, was designed, as well as
an analogue with a Val to Gly substitution at a key position. These peptides
showed markedly improved in vitro bacteriostatic and bactericidal activity
against both reference strains and multidrug resistant clinical isolates of Gram
negative and Gram-positive pathogens, with generally low toxicity for human cells
as assessed by haemolysis, cell viability, and DNA damage assays. The glycine
substitution analogue, kiadin, had a slightly better antibacterial activity and
reduced haemolytic activity, which may correlate with an increased flexibility of
its helical structure, as deduced using molecular dynamics simulations. These
peptides may serve as useful lead compounds for developing anti-infective agents
against resistant Gram-negative and Gram-positive species.
PMID- 27889305
TI - Invasive prenatal diagnosis of fetal thalassemia.
AB - Thalassemia is the most common monogenic inherited disease worldwide, affecting
individuals originating from many countries to various extents. As the disease
requires long-term care, prevention of the homozygous state presents a
substantial global disease burden. The comprehensively preventive programs
involve carrier detections, molecular diagnostics, genetic counseling, and
prenatal diagnosis. Invasive prenatal diagnosis refers to obtaining fetal
material by chorionic villus sampling (CVS) at the first trimester, and by
amniocentesis or cordocentesis at the second trimester. Molecular diagnosis,
which includes multiple techniques that are aimed at the detection of mutations
in the alpha- or beta-globin genes, facilitates prenatal diagnosis and definitive
diagnosis of the fetus. These are valuable procedures for couples at risk, so
that they can be offered options to have healthy offspring. According to local
practices and legislation, genetic counseling should accompany the invasive
diagnostic procedures, DNA testing, and disclosure of the results. The most
critical issue in any type of prenatal molecular testing is maternal cell
contamination (MCC), especially when a fetus is found to inherit a particular
mutation from the mother. The best practice is to perform MCC studies on all
prenatal samples. The recent successful studies of fetal DNA in maternal plasma
may allow future prenatal testing that is non-invasive for the fetus and result
in significant reduction of invasive diagnostic procedures.
PMID- 27889304
TI - Distinct membrane properties are differentially influenced by cardiolipin content
and acyl chain composition in biomimetic membranes.
AB - Cardiolipin (CL) has a critical role in maintaining mitochondrial inner membrane
structure. In several conditions such as heart failure and aging, there is loss
of CL content and remodeling of CL acyl chains, which are hypothesized to impair
mitochondrial inner membrane biophysical organization. Therefore, this study
discriminated how CL content and acyl chain composition influenced select
properties of simple and complex mitochondrial mimicking model membranes. We
focused on monolayer excess area/molecule (a measure of lipid miscibility),
bilayer phase transitions, and microdomain organization. In monolayer compression
studies, loss of tetralinoleoyl [(18:2)4] CL content decreased the excess
area/molecule. Replacement of (18:2)4CL acyl chains with tetraoleoyl [(18:1)4] CL
or tetradocosahexaenoyl [(22:6)4] CL generally had little influence on monolayer
excess area/molecule; in contrast, replacement of (18:2)4CL acyl chains with
tetramyristoyl [(14:0)4] CL increased monolayer excess area/molecule. In
bilayers, calorimetric studies showed that substitution of (18:2)4CL with
(18:1)4CL or (22:6)4CL lowered the phase transition temperature of
phosphatidylcholine vesicles whereas (14:0)4CL had no effect. Finally,
quantitative imaging of giant unilamellar vesicles revealed differential effects
of CL content and acyl chain composition on microdomain organization, visualized
with the fluorescent probe Texas Red DHPE. Notably, microdomain areas were
decreased by differing magnitudes upon lowering of (18:2)4CL content and
substitution of (18:2)4CL with (14:0)4CL or (22:6)4CL. Conversely, exchanging
(18:2)4CL with (18:1)4CL increased microdomain area. Altogether, these data
demonstrate that CL content and fatty acyl composition differentially target
membrane physical properties, which has implications for understanding how CL
regulates mitochondrial activity and the design of CL-specific therapeutics.
PMID- 27889306
TI - Return to Sporting Activity After Total Hip Arthroplasty-A Survey of Members of
the British Hip Society.
AB - BACKGROUND: Total hip arthroplasty is increasingly performed in younger, more
active patients. Thirty-five percent of patients are involved in sports
preoperatively, and a desire to return to sporting activity is now a major
expectation. Sporting activity potentially risks premature failure of the
implant, and there is no consensus or guidelines among British or European
surgeons performing total hip arthroplasty in sporting patients. METHODS: The
current practice of British Hip Society members was explored through a Web-based
survey. Of the 260 members surveyed, 109 responded. RESULTS: The majority of
respondents (33%) would perform uncemented, 29.1% would perform hybrid, 15.5%
would perform fully cemented, and 11.7% would perform a resurfacing hip
arthroplasty for sporting patients. The preferred approach is the standard
posterior (68.9%) and preferred bearing couples are ceramic-on-ceramic (39.8%)
and ceramic-on-polyethylene (36.9%). Half of respondents would opt for a femoral
head smaller than 36 mm, whereas 22.3% would use a head 36 mm or larger. A third
would allow patients to return to sports between 6 and 12 weeks after surgery,
whereas 43.7% advise patients to wait until 3 months postoperatively. All
respondents allow patients to return to low-impact activities, but significant
caution is exercised with regard to taking part in high-impact activities.
CONCLUSION: We report the practice of a specialist group of hip surgeons, the
majority of which consider themselves young adult hip specialists. We believe
that these data may be of use to help inform the practice of our colleagues when
performing arthroplasty in sporting patients and may serve to inform future
consensus guidelines.
PMID- 27889307
TI - Efficacy of a Single Dose and an Additional Dose of Tranexamic Acid in Reduction
of Blood Loss in Total Knee Arthroplasty.
AB - BACKGROUND: This study aimed at evaluating the efficacy and safety of different
regimens with a single dose and an additional postoperative dose of tranexamic
acid (TA) in reducing blood loss in total knee arthroplasty (TKA). METHODS: A
total of 180 patients who were scheduled to undergo primary unilateral TKA in our
level I trauma center were recorded and divided into groups A, B, C, and D
randomly. Preoperative dose, an additional postoperative dose, and 2
postoperative additional doses of TA were infused intravenously in groups A (30
mg/kg), B (15 mg/kg), and C (10 mg/kg), respectively, and TA was not infused in
group D. Blood loss (intraoperative, postoperative, and total blood loss), blood
transfusion rate and volume, hemoglobin level, and incidence of deep vein
thrombosis were analyzed. RESULTS: The total blood loss and postoperative blood
loss were significantly lower in groups B and C without any difference between
them. As for intraoperative blood loss, there was no significant difference
between groups A and B and between groups B and C with superior efficacy than
group D. CONCLUSION: With the same total dose of TA, the preoperative dose and an
additional dose of TA were superior to a single preoperative dose of TA in
reducing blood loss in TKA. Besides, 1 additional dose was comparable to 2
additional doses of TA in reducing blood loss.
PMID- 27889308
TI - Letter to the Editor on "Bilateral Total Hip Arthroplasty: 1-Stage or 2-Stage? A
Meta-Analysis".
PMID- 27889309
TI - Response to Letter to the Editor on "Bilateral Total Hip Arthroplasty: One-Stage
or Two-Stage? A Meta-Analysis".
PMID- 27889310
TI - Barriers for transradial coronary angiography and interventions in 2016.
PMID- 27889311
TI - Structural evaluation of a nanobody targeting complement receptor Vsig4 and its
cross reactivity.
AB - Vsig4 is a recently identified immune regulatory protein related to the B7 family
with dual functionality: a negative regulator of T cell activation and a receptor
for the complement components C3b and C3c. Here we present a structural
evaluation of a nanobody, Nb119, against the extracellular IgV domain protein of
both mouse and human recombinant Vsig4, which have a high degree of sequence
identity. Although mouse and human Vsig4 bind to Nb119 with a 250 times
difference in dissociation constants, the interaction results in a highly
identical assembly with a RMSD of 0.4A. The molecular determinants for Vsig4
recognition and cross reactivity unveiled by the atomic structure of Nb119 in
complex with mVsig4 and hVsig4 afford new insights useful for the further
optimization of the nanobody for potential use in humans. Additionally,
structural analysis of the Vsig4-Nb119 complexes indicates that Nb119 occupies
the interface on Vsig4 recognized by the macroglobulin-like domains MG4 and MG5
of C3b. Thus an affinity-improved Nb119 may have the potential to influence the
activation of both T cells and complement.
PMID- 27889312
TI - Efficacy, safety, and tolerability of lacosamide monotherapy versus controlled
release carbamazepine in patients with newly diagnosed epilepsy: a phase 3,
randomised, double-blind, non-inferiority trial.
AB - BACKGROUND: Further options for monotherapy are needed to treat newly diagnosed
epilepsy in adults. We assessed the efficacy, safety, and tolerability of
lacosamide as a first-line monotherapy option for these patients. METHODS: In
this phase 3, randomised, double-blind, non-inferiority trial, patients from 185
epilepsy or general neurology centres in Europe, North America, and the Asia
Pacific region, aged 16 years or older and with newly diagnosed epilepsy were
randomly assigned in a 1:1 ratio, via a computer-generated code, to receive
lacosamide monotherapy or controlled-release carbamazepine (carbamazepine-CR)
twice daily. Patients, investigators, and trial personnel were masked to
treatment allocation. From starting doses of 100 mg/day lacosamide or 200 mg/day
carbamazepine-CR, uptitration to the first target level of 200 mg/day and 400
mg/day, respectively, took place over 2 weeks. After a 1-week stabilisation
period, patients entered a 6-month assessment period. If a seizure occurred, the
dose was titrated to the next target level (400 or 600 mg/day for lacosamide and
800 or 1200 mg/day for carbamazepine-CR) over 2 weeks with a 1-week stabilisation
period, and the 6-month assessment period began again. Patients who completed 6
months of treatment and remained seizure-free entered a 6-month maintenance
period on the same dose. The primary efficacy outcome was the proportion of
patients remaining free from seizures for 6 consecutive months after
stabilisation at the last assessed dose. The predefined non-inferiority criteria
were -12% absolute and -20% relative difference between treatment groups. This
trial is registered with ClinicalTrials.gov, number NCT01243177. FINDINGS: The
trial was done between April 27, 2011, and Aug 7, 2015. 888 patients were
randomly assigned treatment. 444 patients taking lacosamide and 442 taking
carbamazepine-CR were included in the full analysis set (took at least one dose
of study treatment), and 408 and 397, respectively, were included in the per
protocol set. In the full analysis set, 327 (74%) patients in the lacosamide
group and 308 (70%) in the carbamazepine-CR group completed 6 months of treatment
without seizures. The proportion of patients in the full analysis set predicted
by the Kaplan-Meier method to be seizure-free at 6 months was 90% taking
lacosamide and 91% taking carbamazepine-CR (absolute treatment-difference: -1.3%,
95% CI -5.5 to 2.8 relative treatment difference: -6.0%). Kaplan-Meier estimates
results were similar in the per-protocol set (92% and 93%; -1.3%, -5.3 to 2.7;
5.7%). Treatment-emergent adverse events were reported in 328 (74%) patients
receiving lacosamide and 332 (75%) receiving carbamazepine-CR. 32 (7%) patients
taking lacosamide and 43 (10%) taking carbamazepine-CR had serious treatment
emergent adverse events, and 47 (11%) and 69 (16%), respectively, had treatment
emergent adverse events that led to withdrawal. INTERPRETATION: Treatment with
lacosamide met the predefined non-inferiority criteria when compared with
carbamazepine-CR. Therefore, it might be useful as first-line monotherapy for
adults with newly diagnosed epilepsy. FUNDING: UCB Pharma.
PMID- 27889313
TI - Lacosamide monotherapy for newly diagnosed epilepsy.
PMID- 27889315
TI - School-Based Obesity-Prevention Policies and Practices and Weight-Control
Behaviors among Adolescents.
AB - BACKGROUND: The promotion of healthy eating and physical activity within school
settings is an important component of population-based strategies to prevent
obesity; however, adolescents may be vulnerable to weight-related messages, as
rapid development during this life stage often leads to preoccupation with body
size and shape. OBJECTIVE: This study examines secular trends in secondary school
curricula topics relevant to the prevention of unhealthy weight-control
behaviors; describes cross-sectional associations between weight-related
curricula content and students' use of weight-control behaviors; and assesses
whether implementation of school-based obesity-prevention policies/practices is
longitudinally related to students' weight-control behaviors. DESIGN: The
Minnesota School Health Profiles and Minnesota Student Survey (grades 9 and 12)
data were used along with National Center for Education Statistics data to
examine secular trends, cross-sectional associations (n=141 schools), and
longitudinal associations (n=42 schools). MAIN OUTCOME MEASURES: Students self
reported their height and weight along with past-year use of healthy (eg,
exercise), unhealthy (eg, fasting), and extreme (eg, use laxatives) weight
control behaviors. STATISTICAL ANALYSES PERFORMED: Descriptive statistics,
generalized estimating equations, and generalized linear regression models
accounting for school-level demographics. RESULTS: There was no observable
pattern during the years 2008 to 2014 in the mean number of curricula topics
addressing unhealthy weight-control behaviors, despite an increase in the
prevalence of curricula addressing acceptance of body-size differences. Including
three vs fewer weight-control topics and specifically including the topic of
eating disorders in the curricula was related to a lower school-level percent of
students using any extreme weight-control behaviors. In contrast, an overall
measure of implementing school-based obesity-prevention policies/practices (eg,
prohibited advertising) was unrelated to use of unhealthy or extreme behaviors.
CONCLUSIONS: Results suggest obesity-prevention policies/practices do not have
unintended consequences for student weight-control behaviors and support the
importance of school-based health education as part of efforts to prevent
unhealthy behaviors.
PMID- 27889314
TI - Dietary Patterns during Adulthood among Lesbian, Bisexual, and Heterosexual Women
in the Nurses' Health Study II.
AB - BACKGROUND: Lesbian and bisexual women are at greater risk of being obese than
heterosexual women; however, there is little research on dietary intake among
lesbian and bisexual women. OBJECTIVE: This study estimated differences in
dietary quality and intake during adulthood comparing heterosexual women to
lesbian and bisexual women. DESIGN: Biennial mailed questionnaires were used to
collect data from a cohort between 1991 and 2011. Heterosexual-identified women
were the reference group. PARTICIPANTS/SETTING: More than 100,000 female
registered nurses in the United States, aged 24 to 44 years, were recruited in
1989 to participate in the Nurses' Health Study II. More than 90% of the original
sample are currently active in the study. About 1.3% identified as lesbian or
bisexual. MAIN OUTCOME MEASURES: Dietary measures were calculated from a 133-item
food frequency questionnaire administered every 4 years. Measures included diet
quality (Alternative Healthy Eating Index-2010 and Dietary Approaches to Stop
Hypertension); calorie, fat, and fiber intake; and glycemic load and index.
STATISTICAL ANALYSES: Multivariable adjusted repeated measures linear regression
models were fit. RESULTS: On average, lesbian and bisexual women reported better
diet quality (P<0.001) and diets lower in glycemic index (P<0.001) than
heterosexual women. In the whole cohort, diet quality scores increased as
participants aged, and were lower among women living in rural compared to urban
regions. Comparisons in dietary intake across sexual orientation groups were
generally similar across age and rurality status. However, differences between
lesbian and heterosexual women in Alternative Healthy Eating Index-2010 were
larger during younger compared to older ages, suggesting that diet quality
estimates among sexual orientation groups converged as women aged. CONCLUSIONS:
Lesbian and bisexual women reported higher diet quality than heterosexuals. More
research examining how diet affects risk for chronic conditions, such as
diabetes, among sexual minorities is needed. Physical activity, sedentary
behavior, disordered eating behaviors, and psychosocial and minority stress
should be explored as potential contributors to higher rates of obesity among
sexual minority women.
PMID- 27889316
TI - Antimony content of inorganic gunshot residue (IGSR) produced by 0.22 caliber
rimfire ammunition having free-antimony primer.
AB - In a recent paper published in Forensic Science International (FSI), Lucas et al.
(2016) claimed that muzzle discharge of 0.22 caliber rimfire ammunition is more
likely to incorporate particles from the bullet surface than those retained on
the firearm and that characteristic particles containing Pb, Ba and Sb formed
from known Sb-free primers are likely to be more prevalent in muzzle discharge.
It seems that this claim is not based on sufficient experimental results.
PMID- 27889317
TI - The p53 Family Coordinates Wnt and Nodal Inputs in Mesendodermal Differentiation
of Embryonic Stem Cells.
AB - In this study, we outline a regulatory network that involves the p53 tumor
suppressor family and the Wnt pathway acting together with the TGF-beta pathway
in mesendodermal differentiation of mouse and human embryonic stem cells.
Knockout of all three members, p53, p63, and p73, shows that the p53 family is
essential for mesendoderm specification during exit from pluripotency in embryos
and in culture. Wnt3 and its receptor Fzd1 are direct p53 family target genes in
this context, and induction of Wnt signaling by p53 is critical for activation of
mesendodermal differentiation genes. Globally, Wnt3-activated Tcf3 and nodal
activated Smad2/3 transcription factors depend on each other for co-occupancy of
target enhancers associated with key differentiation loci. Our results therefore
highlight an unanticipated role for p53 family proteins in a regulatory network
that integrates essential Wnt-Tcf and nodal-Smad inputs in a selective and
interdependent way to drive mesendodermal differentiation of pluripotent cells.
PMID- 27889318
TI - Neurogenic Radial Glia-like Cells in Meninges Migrate and Differentiate into
Functionally Integrated Neurons in the Neonatal Cortex.
AB - Whether new neurons are added in the postnatal cerebral cortex is still debated.
Here, we report that the meninges of perinatal mice contain a population of
neurogenic progenitors formed during embryonic development that migrate to the
caudal cortex and differentiate into Satb2+ neurons in cortical layers II-IV. The
resulting neurons are electrically functional and integrated into local
microcircuits. Single-cell RNA sequencing identified meningeal cells with
distinct transcriptome signatures characteristic of (1) neurogenic radial glia
like cells (resembling neural stem cells in the SVZ), (2) neuronal cells, and (3)
a cell type with an intermediate phenotype, possibly representing radial glia
like meningeal cells differentiating to neuronal cells. Thus, we have identified
a pool of embryonically derived radial glia-like cells present in the meninges
that migrate and differentiate into functional neurons in the neonatal cerebral
cortex.
PMID- 27889319
TI - Cell-Type-Specific Chromatin States Differentially Prime Squamous Cell Carcinoma
Tumor-Initiating Cells for Epithelial to Mesenchymal Transition.
AB - Epithelial to mesenchymal transition (EMT) in cancer cells has been associated
with metastasis, stemness, and resistance to therapy. Some tumors undergo EMT
while others do not, which may reflect intrinsic properties of their cell of
origin. However, this possibility is largely unexplored. By targeting the same
oncogenic mutations to discrete skin compartments, we show that cell-type
specific chromatin and transcriptional states differentially prime tumors to EMT.
Squamous cell carcinomas (SCCs) derived from interfollicular epidermis (IFE) are
generally well differentiated, while hair follicle (HF) stem cell-derived SCCs
frequently exhibit EMT, efficiently form secondary tumors, and possess increased
metastatic potential. Transcriptional and epigenomic profiling revealed that IFE
and HF tumor-initiating cells possess distinct chromatin landscapes and gene
regulatory networks associated with tumorigenesis and EMT that correlate with
accessibility of key epithelial and EMT transcription factor binding sites. These
findings highlight the importance of chromatin states and transcriptional priming
in dictating tumor phenotypes and EMT.
PMID- 27889320
TI - Optimizing effectivity of tranexamic acid in bilateral knee arthroplasty - A
prospective randomized controlled study.
AB - INTRODUCTION: Tranexamic acid (TEA) is used in reducing surgical blood loss.
Literature shows no optimal regimen recommended for Bilateral Total Knee
Arthroplasty (TKA). We evaluated three TEA regimens differing in dosage, timing
and mode of administration in bilateral TKA to identify the most effective
regimen to reduce blood loss. METHODS: We prospectively studied three TEA
regimens (25 patients each) as follows: (1) two intraoperative, intravenous doses
(IOIO), (2) two intraoperative local applications (LALA), and (3) one
preoperative plus two intraoperative, intravenous doses (POIOIO). Two independent
parameters of drain loss and total blood loss, calculated by the hemoglobin
balance method were statistically evaluated. RESULTS: Mean drain loss was least
(412.9ml) in the POIOIO group, greatest (607.2ml) in the IOIO group and LALA
group in between (579.4ml), with a statistically significant difference among
them (p=0.0022). On paired evaluation, the drain loss in the POIOIO group was
significantly less as compared to the other two groups, whereas the difference
between IOIO and LALA was not significant. Mean total blood loss was least in the
POIOIO group (1207ml) and greatest in LALA group (1270ml). The difference among
the groups was not statistically significant (p=0.80). There was no incidence of
any thromboembolic phenomenon. On correlation with our study on Most Effective
Regimen in Unilateral TKA, both results were found to substantiate each other.
PMID- 27889321
TI - Rapid antigen detection test for respiratory syncytial virus diagnosis as a
diagnostic tool.
AB - OBJECTIVE: The aim of this study was to evaluate the QuickVue(r) RSV Test Kit
(QUIDEL Corp, CA, USA) as a screening tool for respiratory syncytial virus in
children with acute respiratory disease in comparison with the indirect
immunofluorescence assay as gold standard. In Brazil, rapid antigen detection
tests for respiratory syncytial virus are not routinely utilized as a diagnostic
tool, except for the diagnosis of dengue and influenza. METHODS: The authors
retrospectively analyzed 486 nasopharyngeal aspirate samples from children under
age 5 with acute respiratory infection, between December 2013 and August 2014,
the samples were analyzed by indirect immunofluorescence assay and QuickVue(r)
RSV Test kit. Samples with discordant results were analyzed by real time PCR and
nucleotide sequencing. RESULTS: From 313 positive samples by immunofluorescence
assays, 282 (90%) were also positive by the rapid antigen detection test, two
were positive only by rapid antigen detection test, 33 were positive only by
immunofluorescence assays, and 171 were positive by both methods. The 35 samples
with discordant results were analyzed by real time PCR; the two samples positive
only by rapid antigen detection test and the five positive only by
immunofluorescence assays were also positive by real time PCR. There was no
relation between the negativity by QuickVue(r) RSV Test and viral load or
specific strain. The QuickVue(r) RSV Test showed sensitivity of 90%, specificity
of 98.8%, predictive positive value of 99.3%, and negative predictive value of
94.6%, with accuracy of 93.2% and agreement kappa index of 0.85 in comparison to
immunofluorescence assay. CONCLUSIONS: This study demonstrated that the
QuickVue(r) RSV Test Kit can be effective in early detection of Respiratory
syncytial virus in nasopharyngeal aspirate and is reliable for use as a
diagnostic tool in pediatrics.
PMID- 27889322
TI - Landmark reading alterations in patients with gastro-oesophageal reflux symptoms
undergoing diagnostic gastroscopy.
AB - BACKGROUND AND STUDY AIMS: There is still a debate about the exact measurement of
the oesophagogastric junction and the diaphragmatic hiatus among clinicians. The
aim of this study was to investigate the differences between landmark readings of
gastroscopy on intubation and extubation, and to correlate these readings with a
gastro-oesophageal reflux questionnaire. PATIENTS AND METHODS: 116 cases who
underwent diagnostic gastroscopy between January 2013 and June 2013 were included
in this study. Landmark measurements were noted while withdrawing the endoscope
and were also evaluated after the gastric air was fully emptied. We first used a
frequency scale for the gastro-oesophageal reflux disease symptoms (FSSG)
questionnaire in order to investigate dysmotility and acid reflux symptoms in the
study population and correlated the FSSG questionnaire with intubation and
extubation measurements at endoscopic examination. RESULTS: Mean age of included
subjects was 49.41+/-17.7 (19-82) years. Males and females were equally
represented. On FSSG scores, the total dysmotility score was 7.99+/-5.06 and the
total score was 15.18+/-10.11. The difference between intubation and extubation
measurements ranged from -3cm to +2cm (mean: -0.4). When an FSSG score of 30 was
accepted as a cut-off value, we detected a significant difference between the
measurements (p<0.05; t: 0.048). CONCLUSION: Accuracy of landmark measurements
during gastroscopy is clearly affected from insertion or withdrawal of the
endoscope. When differences in measurements between insertion and withdrawal were
evident, comparable with the FSSG scores, the results became significantly
different. In conclusion, according to FSSG scores, these measurements should be
performed at the end of the endoscopy.
PMID- 27889323
TI - [Primary immunodeficiency and autoimmunity].
AB - Many evidences highlight that immunodeficiency and autoimmunity are two sides of
a same coin. Primary immune deficiencies (PIDs), which are rare mono- or
multigenic defects of innate or adaptative immunity, frequently associate with
autoimmunity. Analyses of single-gene defects in immune pathways of families with
PIDs, by new tools of molecular biology (next genome sequencing technologies),
allowed a better understanding of the ways that could both drive immune defect
with immune deficiency and autoimmunity. Moreover, genes implicated in rare
single-gene defects are now known to be also involved in polygenic conventional
autoimmune diseases. Here, we describe the main autoimmune symptoms occurring in
PIDs and the underlying mechanisms that lead to autoimmunity in immunodeficiency.
We review the links between autoimmunity and immunodeficiency and purpose some
principles of care for patients with PIDs and autoimmunity.
PMID- 27889324
TI - [An abnormal tongue].
PMID- 27889325
TI - [Langerhans cell histiocytosis and Erdheim-Chester disease, a continuity?]
AB - INTRODUCTION: Erdheim-Chester disease and langerhans cell histiocytosis are two
rare diseases separate on clinical, radiological and histological aspects.
However, several cases involving both entities have been described. OBSERVATION:
A 70-year-old man had a central diabetes insipidus, xanthelasmas, retroperitoneal
fibrosis and osteosclerosis of the legs suggestive of Erdheim-Chester disease.
Bone biopsy showed langerhans cell histiocytosis CD1a positive with the presence
of BRAF V600E mutation. The patient was treated with vemurafenib with a good
clinical course. CONCLUSION: The literature review finds forty observations
linking the two diseases that may suggest a pathophysiological link, especially
with the hematopoietic myeloid stem cell CD34+. The term inflammatory myeloid
neoplasm was advanced.
PMID- 27889326
TI - [Inventory of training of internal medicine in France: Results of a national
survey].
AB - OBJECTIVE: To make an inventory of training of Internal Medicine in France.
METHOD: This study was conducted between May and September 2015 with coordinators
(interviews of 45minutes) of local Internal Medicine training and fellows (online
questionnaire). RESULTS: All coordinators (n=28) responded to the interviews.
Local training of Internal Medicine exists in 86% of regions (3.1+/
3.1hours/month) and an interregional training in all interregions (34.7+/
13.9hours/year). When excluding Ile-de-France, no correlation between the number
of teachers and the amount of lessons was noted (P=0.61). Of the 550 fellows in
Internal Medicine in 2014-2015, 223 (41%) responded to the online questionnaire.
Mean level was 5.5+/-2.7 semesters. The rate of satisfaction (1=very dissatisfied
and 5=very satisfied) was 3.0+/-1.0 and 3.8+/-0.8 for regional and interregional
teaching, respectively (P<0.0001). Regional teaching satisfaction was correlated
with the perceived expanse of diseases covered into the program (P<0.0001). In
addition, 89% of fellows wish to evaluate themselves online, 66% wish to have a
practical evaluation at the bedside and 70% in simulation centers. Finally, 91%
of fellows support the establishment of a national program for the training of
Internal Medicine. CONCLUSION: This survey states for the first time an inventory
of training of Internal Medicine dedicated to fellows in France. This report
highlights that fellows wish to have a national program, be further evaluated and
have access to more interactive approach of teaching.
PMID- 27889327
TI - Epigenetics in non-alcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease (NAFLD), a common hepatic disorder ranging from
simple steatosis through steatohepatitis to fibrosis and cirrhosis, is an
emerging health concern. NAFLD is a pathologic condition characterized by the
buildup of extra fat in liver cells that is not caused by alcohol consumption.
Excess hepatic fat accumulation results from increased delivery of triglycerides
(TG) to the liver or conversion of surplus carbohydrates to TG. Importantly, a
subgroup of NAFLD results in hepatocellular injury and inflammation, which is
referred to as non-alcoholic steatohepatitis (NASH), and may progress to
irreversible cirrhosis and hepatocellular carcinoma (HCC). NAFLD shares, in part,
the common pathogenesis of metabolic syndrome including obesity, hyperlipidemia,
insulin resistance, mitochondrial damage, oxidative stress response, and the
release of inflammatory cytokines. Epigenetics, an inheritable phenomenon that
affects gene expression without altering the DNA sequence, provides a new
perspective on the pathogenesis of NAFLD. Reversible epigenetic changes take
place at the transcriptional level and provide a phenotypic connection between
the host and environment. An accumulating body of evidence suggests the
importance of epigenetic roles in NAFLD, which in turn can be identified as
potential therapeutic targets and non-invasive biomarkers of NAFLD. It is
anticipated that the epigenetic modifiers in NAFLD may provide novel molecular
indicators that can determine not only the initial risk but also the disease
progression and prognosis. In the present review, we update the roles of
epigenetics as pathologic mechanisms, therapeutic targets and biomarkers in
NAFLD.
PMID- 27889328
TI - Multiple Spontaneous Coronary Artery Dissections: An Uncommon Cause of Acute
Coronary Syndrome in a Syrian Refugee.
AB - Spontaneous coronary artery dissection is an uncommon nonatherosclerotic cause of
acute coronary syndrome. It usually occurs in young women and is often associated
with fibromuscular dysplasia, connective tissue diseases, and pregnancy or
postpartum states. We present a case of a Syrian woman with a history of grand
multiparity and recent miscarriage who presented with non-ST-elevation myocardial
infarction and was found to have multivessel spontaneous coronary artery
dissection and severe left ventricular dysfunction.
PMID- 27889330
TI - Use of biologics to treat acute exacerbations and manage disease in asthma, COPD
and IPF.
AB - A common feature of chronic respiratory disease is the progressive decline in
lung function. The decline can be indolent, or it can be accelerated by acute
exacerbations, whereby the patient experiences a pronounced worsening of disease
symptoms. Moreover, acute exacerbations may also be a marker of insufficient
disease management. The underlying cause of an acute exacerbation can be due to
insults such as pathogens or environmental pollutants, or the cause can be
unknown. For each acute exacerbation, the patient may require medical
intervention such as rescue medication, or in more severe cases, hospitalization
and ventilation and have an increased risk of death. Biologics, such as
monoclonal antibodies, are being developed for chronic respiratory diseases
including asthma, COPD and IPF. This therapeutic approach is particularly well
suited for chronic use based on the route and frequency of delivery and
importantly, the potential for disease modification. In recent clinical trials,
the frequency of acute exacerbation has often been included as an endpoint, to
help determine whether the investigational agent is impacting disease. Therefore
the significance of acute exacerbations in driving disease, and their potential
as a marker of disease activity and progression, has recently received much
attention. There is also now a need to standardize the definition of an acute
exacerbation in specific disease settings, particularly as this endpoint is
increasingly used in clinical trials to also assess therapeutic efficacy.
Moreover, specifically targeting exacerbations may offer a new therapeutic
approach for several chronic respiratory diseases.
PMID- 27889329
TI - Perivascular cells and tissue engineering: Current applications and untapped
potential.
AB - The recent development of tissue engineering provides exciting new perspectives
for the replacement of failing organs and the repair of damaged tissues.
Perivascular cells, including vascular smooth muscle cells, pericytes and other
tissue specific populations residing around blood vessels, have been isolated
from many organs and are known to participate to the in situ repair process and
angiogenesis. Their potential has been harnessed for cell therapy of numerous
pathologies; however, in this Review we will discuss the potential of
perivascular cells in the development of tissue engineering solutions for
healthcare. We will examine their application in the engineering of vascular
grafts, cardiac patches and bone substitutes as well as other tissue engineering
applications and we will focus on their extensive use in the vascularization of
engineered constructs. Additionally, we will discuss the emerging potential of
human pericytes for the development of efficient, vascularized and non
immunogenic engineered constructs.
PMID- 27889331
TI - Portulaca oleracea L. prevents lipopolysaccharide-induced passive avoidance
learning and memory and TNF-alpha impairments in hippocampus of rat.
AB - There is a growing body of evidence that neuroinflammation can impair memory. It
has been indicated that Portulaca oleracea Linn. (POL), possess anti-inflammatory
activity and might improve memory disruption caused by inflammation. In this
study the effect of pre-treatment with the hydro-alcoholic extract of POL on
memory retrieval investigated in lipopolysaccharide (LPS) treated rats. Male
Wistar rats (200-220g) received either a control diet or a diet containing of POL
(400mg/kg, p.o.) for 14days. Then, they received injections of either saline or
LPS (1mg/kg, i.p.). In all the experimental groups, 4h following the last
injection, passive avoidance learning (PAL) and memory test was performed. The
retention test was done 24h after the training and then the animals were
sacrificed. Hippocampal TNF-alpha levels measured using ELISA as one criteria of
LPS-induced neuroinflammation. The results indicated that LPS significantly
impaired PAL and memory and increased TNF-alpha levels in hippocampus tissue. Pre
treatment with POL improved memory in control rats and prevented memory and TNF
alpha deterioration in LPS treated rats. Taken together, the results of this
study suggest that the hydro-alcoholic extract of POL may improve memory deficits
in LPS treated rats, possibly via inhibition of TNF-alpha and anti-inflammatory
activity.
PMID- 27889332
TI - Effect of an aerobic exercise intervention on cardiac autonomic regulation: A
worksite RCT among cleaners.
AB - OBJECTIVE: The aim of this randomized controlled trial (RCT) was to determine
whether aerobic exercise during work hours affects cardiac autonomic regulation
in cleaners characterized by high levels of occupational physical activity and
poor cardiorespiratory fitness. METHOD: Eligible cleaners (n=116) were randomized
to an aerobic exercise group (n=59) or a reference group (n=57) with lectures.
The intervention group received two 30-min sessions per week of supervised
aerobic exercise over 4months. Diurnal measurements of heart rate variability
(HRV) and physical activity (accelerometry) were obtained at baseline and at 4
month follow-up. Time and frequency domain indices of HRV were derived during
work, leisure time and sleep to evaluate cardiac autonomic regulation. Linear
mixed models were used to determine the effect of the intervention on HRV
indices, with adjustment for age, gender and daily use of antihypertensive and/or
heart medication. RESULTS: Compared with the reference group, the exercise group
increased all HRV indices apart from a reduction in LF/HF ratio from baseline to
follow-up both during work (p<0.05) and leisure (p<0.05). In contrast, during
sleep, the HRV indices tended to decrease in the exercise group compared with the
reference group from baseline to follow-up, being significant for the HF spectral
component (p=0.03). CONCLUSION: Among cleaners, a worksite aerobic exercise
intervention improved cardiac autonomic regulation during work and leisure, but
not during sleep. The health effect of this contrasting change in autonomic
regulation needs further investigation.
PMID- 27889333
TI - Magnetoencephalography (MEG): Past, current and future perspectives for improved
differentiation and treatment of epilepsies.
AB - In addition to visual analysis digital computerized recording of electrical and
magnetic fields by using EEG and MEG opened a new window for research concerning
improved understanding of pathophysiology, diagnosis and treatment of epilepsies.
In the last 25 years MEG was used more and more in clinical studies concerning
localization of focal epileptic activity, functional cortex and network analysis.
Simultaneous MEG/EEG recording and analysis offer the use of complimentary
information increasing the sensitivity for tracing primary epileptic activity.
Combined MEG/Stereo-EEG recordings showed that MEG noninvasively identified
regional interictal networks. The current role of MEG for presurgical evaluation
with regard to noninvasive localization in MRI normal patients, guiding of
invasive electrode implantation and correlation to postoperative outcome after
epilepsy surgery is stressed. Challenges and future opportunities for MEG in
clinical epileptology are discussed.
PMID- 27889334
TI - Leukocytes in expressed breast milk of asthmatic mothers.
AB - OBJECTIVE: Infants are born immunologically immature. However, breastfeeding
mothers retain an immunological link to their infants. While it is generally
accepted that infants are at an immunological advantage when compared with
formula-fed infants, the benefit of long-term exclusive breastfeeding by atopic
mothers remains controversial. Inconsistency in the conferral of benefit may be
due to differences in the immunological constituents passed to the recipient
infant. The aim of this investigation was to examine the profile of human milk
cells and cytokines from asthmatic compared to non-asthmatic mothers. METHODS:
Twenty-five exclusively breastfeeding mothers with a clinical diagnosis of asthma
were postpartum age matched in a double-control 2:1 design with 50 non-asthmatic
controls. Each mother provided a single milk sample which was assayed for cell
differential by flow cytometry, for ex vivo cytokine production in culture and
for aqueous phase cytokines. RESULTS: Milks from asthmatic mothers differed from
non-asthmatics in that they contained a higher proportion of polymorphonuclear
(PMN) cells and lower proportion of lymphocytes, predominantly CD3+/CD4+ T helper
cells, reflected by a decrease in the chemokine CCL5 in the milk aqueous phase.
More PMN and lymphocytes from asthmatic mothers expressed the adhesion molecule
CD11b and lymphocytes the IgE receptor CD23, than those from non-asthmatic
mothers. CONCLUSIONS: Changes to human milk leucocyte prevalence, activation
state and cytokines due to maternal asthma may result in changes to immunological
priming in the infant. Consequently, the protective effect of long-term
breastfeeding may be altered in these mother-infant pairs.
PMID- 27889335
TI - Knowledge, perspectives and attitudes about allergen-specific immunotherapy for
respiratory allergic disease among paediatricians in Turkey.
AB - BACKGROUND: Allergen-specific immunotherapy (ASI) is the only effective treatment
for allergic respiratory diseases which has the potential to change the natural
course of the disease. In this present study we aimed to evaluate the
paediatricians' knowledge, perspectives and attitudes about ASI for allergic
respiratory diseases. MATERIALS AND METHODS: The study was conducted between
September 2014 - January 2015. A survey of 25 questions assessing paediatricians'
knowledge, perceptions and attitudes about ASI was developed by an expert panel
and applied by physicians in hospitals in Izmir, Turkey, where the paediatricians
work. Data were recorded in SPSS for Windows v.16. Descriptive statistics, chi
square analysis was used. P<0.05 was considered as significant. RESULTS: Fully
completed surveys from 180 paediatricians were analysed. The respondent
paediatricians had an age of 37+/-8.2 years, and 56 of them were male. The
majority of the respondents (n: 146) were working fewer than five years as a
paediatric specialist. 93.9% of the paediatricians believed that ASI was
effective for the treatment of allergic respiratory diseases. There was
satisfactory knowledge of the characteristics, aims, effects and limits of ASI.
CONCLUSION: ASI is generally well-known and accepted among paediatricians. A
better synergy between paediatricians and paediatric allergy specialists can
provide more use of this treatment method for allergic respiratory diseases in
childhood.
PMID- 27889336
TI - Characterization of natural polymers from jackfruit pulp, calendula flowers and
tara seeds as mucoadhesive and controlled release components in buccal tablets.
AB - Identification and physiochemical parameters such as solubility, loss on drying,
viscosity, pH, swelling index, starch and gum constituents were determined in
natural polymers and showed satisfactory results. Spectral studies established
the compatibility of natural polymers. The drug release kinetics in preliminary
trial batches showed that tablets containing natural mucilages and gum showed a
prolonged drug release comparable to Carbopol 974P and Methocel K4M. Also, all
tablets showed a satisfactory drug permeability flux. Acute toxicity studies
confirmed the safety of natural polymers. Using response surface method supported
by 23 factorial design, the optimized buccoadhesive tablets (C1) with drug
release at 8h (R8h, %) of 53.48+/-0.048% showed controlled release over >=8h and
followed the Korsmeyer-Peppas model with anomalous (non-Fickian) diffusion
mechanism. Mucoadhesive strength was found to be 42.71+/-0.49g. Comparative
dissolution study between prepared and marketed formulation showed that there was
no significant difference in drug release profile having similarity factor 82.97.
In vivo study for optimized formulation of the buccoadhesive tablets showed the
better absolute bioavailability (71.26%) against the oral solution (51.22%).
Histological study confirmed non-irritant nature and stability study indicated
stability of the formulation.
PMID- 27889337
TI - Characterization and analysis of binding of Thioflavin T with partially folded
and native states of alpha-lactalbumin protein by calorimetric and spectroscopic
techniques.
AB - We have analysed binding of Thioflavin T (ThT) with molten globule (MG) and
native (N) states of alpha-lactalbumin (alpha-LA) by using calorimetry and
spectroscopy. ThT has been widely used for detection of amyloid fibrils from
enhancement of its fluorescence emission intensity. Instead of the spectral
changes of ThT, we, rather, monitored the changes occurring in the spectral
properties of the MG and N states upon interaction with ThT, from fluorescence,
absorbance and circular dichroism (CD) spectroscopy. Our novel and most important
observation is non-fluorescent mode of binding of ThT to the MG state of alpha-LA
suggesting that the mechanism of binding is distinctly different from that of
association with the protein fibrils. CD and DLS (Dynamic Light Scattering)
results show the absence of any major structural and size changes in the protein
upon ThT binding. The thermodynamic parameters of binding of ThT with the MG and
N states of alpha-LA obtained from Isothermal Titration Calorimetry (ITC)
experiments show the formation of stable complex between ThT and alpha-LA (both
MG and N states) and also provide insights on the probable mode of interaction of
ThT with the MG and N states of alpha-LA.
PMID- 27889338
TI - Self-assembling of poly(aspartic acid) with bovine serum albumin in aqueous
solutions.
AB - Macromolecular co-assemblies built up in aqueous solutions, by using a linear
polypeptide, poly(aspartic acid) (PAS), and a globular protein, bovine serum
albumin (BSA), have been studied. The main interest was to identify the optimum
conditions for an interpenetrated complex formation in order to design materials
suitable for biomedical applications, such as drug delivery systems. BSA surface
possesses several amino- and carboxylic groups available for covalent
modification, and/or bioactive substances attachment. In the present study,
mixtures between PAS and BSA were investigated at 37 degrees C in dilute aqueous
solution by viscometry, dynamic light scattering and zeta potential
determination, as well as in solid state by AFM microscopy and dielectric
spectroscopy. The experimental data have shown that the interpolymer complex
formation occurs for a PAS/BSA molar ratio around 0.541.
PMID- 27889339
TI - Preparation and copper ions adsorption properties of thiosemicarbazide chitosan
from squid pens.
AB - Chitosan was prepared by alkaline N-deacetylation of beta-chitin from squid pens.
Thiosemicarbazide group was introduced to chitosan via formaldehyde-derived
linkages, and thiosemicarbazide chitosan (TSFCS) with different degrees of
substitution (DS) was synthesized. The DS values of TSFCS calculated by elemental
analysis were 0.19, 0.36 and 0.63. The structure of the TSFCS was confirmed by
elemental analysis, FTIR, XRD, TGA and SEM. The adsorption capacity of Cu(II)
ions by TSFCS showed good correlation with the DS and pH (pH range 2.2-5.8). The
maximum Cu(II) ions adsorption capacity of all three TSFCS samples reached
134.0mgg-1 at pH 3.6, but chitosan showed no adsorption at this pH. The
adsorption equilibrium process of Cu(II) ions onto TSFCS was better described by
the Langmuir model than the Freundlich isotherm model. Cu(II) ions adsorbed by
TSFCS could be released using 0.01M Na2EDTA and the adsorption capacity could
retain above 80% after five adsorption-desorption cycles. TSFCS exhibited good
potential for heavy metal removal because of its high adsorption capacity at the
low pH.
PMID- 27889340
TI - Purification and characterization of an antibacterial and anti-inflammatory
polypeptide from Arca subcrenata.
AB - A polypeptide coded as PGC was isolated from Arca subcrenata muscle using ion
exchange, Sephadex G-50 gel chromatography and RP-HPLC. PGC was identified to be
a homogeneous compound by Native-PAGE and the purity was more than 98.9% measured
by HPLC. The isoelectric point of PGC was determined to be 9.76 by IEF-PAGE. The
molecular weight was determined to be 15,973.0Da by ESI-MS/MS. The conformational
structure of PGC was characterized by UV-vis, FT-IR and CD spectroscopy. N
terminal amino acid sequence of PGC was shown as
PSVYDAAAQLTADVKKDLRDSWKVIGGDKKGNGVA by Edman degradation. The results
demonstrated that there is a high degree of homology between PGC and the subunit
from hemoglobin, and proposed that PGC is the depolymerized polypeptide of
Hemoglobin I (HbI) from A. subcrenata. The evaluation of biological activities
showed that the diameters of the inhibitory ring of PGC on Escherichia coli and
Staphylococcus aureus were 14.5+/-0.44mm and 16.5+/-1.15mm, respectively. The
IC50 of inhibition rate for PGC on NO production was 9.60+/-0.71MUg/mL.
Therefore, PGC might be developed as one of potential antibacterial and anti
inflammatory agents.
PMID- 27889341
TI - Facile synthesis of glucoamylase embedded metal-organic frameworks (glucoamylase
MOF) with enhanced stability.
AB - The self-assembled glucoamylase metal-organic framework (glucoamylase-MOF) was
synthesized by facile one-step method within 20min by simply mixing aqueous
solution of 2-methylimidazole (160mM), glucoamylase (5mg/mL) and zinc acetate
(40mM) at room temperature (28+/-2 degrees C). The prepared glucoamylase-MOF was
characterized by using FT-IR, confocal scanning laser microscopy, XRD and SEM.
The robustness and thermal stability of glucoamylase embedded MOF was evaluated
in terms of half-life (in the range of 60-80 degrees C) which showed 6 folds
increment as against free form. Further, in Michaelis-Menten kinetics studies,
glucoamylase entrapped MOF exhibited higher Km value and lower Vmax value as
compared to native enzyme. Moreover, the immobilized glucoamylase exhibited up to
57% of residual activity after six consecutive cycles of reuse, whereas it
retained 91% of residual activity till 25days of storage. Finally, the
conformational changes occurred after the encapsulation of glucoamylase in the
interior of MOF, which was analyzed by using FT-IR data analysis tools.
PMID- 27889342
TI - A glycosyltransferase gene responsible for pullulan biosynthesis in Aureobasidium
melanogenum P16.
AB - In this study, one of the glucosyltransferase genes for pullulan production was
cloned from Aureobasidum melanogenum P16 and charaterized. It was found that the
UGT1 gene had 4774bp with four introns (47, 52, 54 and 46bp). The N-terminal part
of the protein displayed a conserved sequence controlling both sugar donor and
accepter for substrate specificity whereas its C-terminal part carried a DXD
motif that coordinated donor sugar binding. After complete removal of the gene
UGT1, the mutant 1152-3 still produced 27.7+/-3.1g/L of pullulan and 4.6U/g of
the specific glucosyltransferase activity while its wild type strain P16 yielded
63.38+/-2.0g/L of pullulan and 5.7U/g of the specific glucosyltransferase
activity. However, after overexpression of the gene UGT1, the transformant G63
could produce 78.0+/-3.01g/L of pullulan and 19.0U/g of the specific
glucosyltransferase activity. It is interesting to note that the molecular weight
of the produced pullulan by the wild type strain was 4.6*105 while that of the
produced pullulan by the transformant G63 was 6.2*105. During the 10-Litter
fermentation, the pullulan titer produced by the transformant G63 reached 80.2+/
2.0 g/L within 132h.
PMID- 27889343
TI - An efficient removal of crystal violet dye from waste water by adsorption onto
TLAC/Chitosan composite: A novel low cost adsorbent.
AB - A composite of Typha latifolia activated carbon (TLAC) (a novel, low cost
absorbent) and chitosan (TLAC/Chitosan composite) was prepared. The composite was
characterised using IR spectra, XRD, FESEM and Pore size studies. Its effectivity
was tested for the removal of crystal violet dye from aqueous solutions. The
effect of pH, dose rate and initial dye concentration was evaluated. The
adsorption isotherm, kinetics and thermodynamic parameters were studied. Langmuir
and Freundlich isotherm models were found fit effectively for the dye adsorption
data in the present study. The adsorption followed pseudo-second order kinetics.
The evaluated thermodynamic parameters show a spontaneous and exothermic
reaction. Overall, this study indicates TLAC/Chitosan composite as an effective
adsorbent for the removal of crystal violet dye from aqueous solutions.
PMID- 27889344
TI - Malabsorption of a Bioresorbable Vascular Scaffold System Leading to Very Late In
Scaffold Restenosis More Than 3.5 Years After Implantation: Assessment by Optical
Coherence Tomography.
PMID- 27889345
TI - Histopathological Differential Diagnosis of Optical Coherence Tomographic Image
Interpretation After Stenting.
AB - OBJECTIVES: The aim of this study was to identify histological features that
correlate with terms commonly used to describe optical coherence tomographic
(OCT) and optical frequency-domain imaging (OFDI) images of stented vessels, by
means of a histopathological validation study using stented human coronary
arteries. BACKGROUND: OCT imaging and OFDI are used to evaluate vascular
responses to stent implantation. Descriptive terms such as "peristrut low
attenuation" and "heterogeneous" have been used to describe neointimal
characteristics that may have clinical relevance. However, only limited
histopathological correlations are available. METHODS: Using the CVPath stent
registry, 19 cases were identified in whom implantation duration was >30 days and
OCT imaging or OFDI and histological findings were available. Consecutive OCT or
OFDI frames (n = 1,063) of stented coronary arteries were categorized according
to their predominant imaging features in 1-mm intervals. Coregistration of OCT or
OFDI frames and histopathological cross sections was performed in 111 frames.
RESULTS: Seven distinct OCT or OFDI patterns were found: homogenous (45%),
layered (15%), high intensity with high attenuation (14%), intraluminal
protruding masses (8%), peristrut low attenuation (7%), heterogeneous (2%), and
honeycomb (1%). Histopathologically, the homogenous pattern correlated most often
with smooth muscle cells within collagenous/proteoglycan matrix and less often
with organized thrombus. The layered pattern correlated with healed neointimal
rupture or erosion, peristrut neovascularization, or smooth muscle cells within
collagen/proteoglycan matrix. High intensity with high attenuation correlated
with superficial macrophage accumulation in the majority of cases, but with other
histological findings in 30% of cases. The diagnostic accuracy was greater in
restenotic lesions. The only OCT or OFDI finding that had a single histological
feature was the honeycomb pattern. CONCLUSIONS: This study suggests a lack of
correlation between OCT image patterns and distinct histological tissue
characteristics.
PMID- 27889346
TI - A Marble in the Heart.
PMID- 27889347
TI - How Accurate Is Optical Coherence Tomography?
PMID- 27889348
TI - Percutaneous Stenting of a Left Ventricular Assist Device Outflow Kink.
PMID- 27889349
TI - Acquired Aseptic Intracardiac Shunts Following Transcatheter Aortic Valve
Replacement: A Systematic Review.
AB - OBJECTIVES: The aim of this study was to describe the incidence, mechanisms,
features, and management of aseptic intracardiac shunts (AICS). BACKGROUND: AICS
following transcatheter aortic valve replacement (TAVR) are an uncommon and
barely described complication. METHODS: A systematic review was performed of all
published cases of AICS following TAVR, and the incidence, predictors, main
features, management, and related outcomes were analyzed. RESULTS: A total of 31
post-TAVR AICS were gathered. After excluding transapical fistulas, the 28
remaining cases corresponded with an incidence of about 0.5%. Mean age and mean
logistic European System for Cardiac Operative Risk Evaluation score were 80 +/-
11 years and 19.2 +/- 8.3%, respectively. Prior radiation therapy for cancer was
present in 14.3%, and 42.8% had severe calcification of the aortic valve. TAVR
was undertaken using balloon-expandable prostheses in the majority of cases
(85.7%), via the transapical approach in one-third. Median time from TAVR to
diagnosis was 21 days (interquartile range: 7 to 30 days), with intraprocedural
suspicion in 12 cases (42.9%) and a mean Qp/Qs ratio of 1.8 +/- 0.6. The most
common location for AICS was the interventricular septum (60.7%). Heart failure
was frequent (46.4%), but 14 patients (50%) remained asymptomatic. Medical
treatment (71.4%) was associated with poor outcomes (30-day mortality rate 25%),
especially in symptomatic patients (35% vs. 0%; p = 0.020) and in those with
higher Qp/Qs ratios (1.9 +/- 0.6 vs. 1.4 +/- 0.1), while cardiac surgery (3.6%),
and percutaneous closure (25%) led to good outcomes after a median follow-up
period of 3 months (interquartile range: 1 to 9 months). CONCLUSIONS: Post-TAVR
AICS are uncommon but have high 30-day mortality if left untreated, especially in
symptomatic patients. Percutaneous closure was feasible and safe in symptomatic
patients but remains controversial in asymptomatic subjects.
PMID- 27889350
TI - Intracardiac Shunts Following Transcatheter Aortic Valve Replacement: Not So Rare
as to Be Ignored?
PMID- 27889351
TI - Impella 5.0 Fracture and Transcatheter Retrieval.
PMID- 27889352
TI - Oxidized glutathione and uric acid as biomarkers of early cystic fibrosis lung
disease.
AB - BACKGROUND: In cystic fibrosis (CF) there is an urgent need for earlier diagnosis
of pulmonary infections and inflammation using blood- and urine-based biomarkers.
METHODS: Using mass spectrometry, oxidation products of glutathione and uric acid
were measured in matched samples of bronchoalveolar lavage (BAL), serum and urine
from 36 infants and children with CF, and related to markers of neutrophilic
inflammation and infection in BAL. RESULTS: Oxidation products of glutathione
(glutathione sulfonamide, GSA) and uric acid (allantoin), were elevated in BAL of
children with pulmonary infections with Pseudomonas aeruginosa (PsA) compared to
those without (p<0.05) and correlated with other markers of neutrophilic
inflammation. Serum GSA was significantly elevated in children with PsA
infections (p<0.01). Urinary GSA correlated with pulmonary GSA (r=0.42, p<0.05)
and markers of neutrophilic inflammation. CONCLUSIONS: This proof-of-concept
study demonstrates that urinary GSA but not allantoin shows promise as a non
invasive marker of neutrophilic inflammation in early CF lung disease.
PMID- 27889353
TI - Review on fate and mechanism of removal of pharmaceutical pollutants from
wastewater using biological approach.
AB - Due to research advancement and discoveries in the field of medical science,
maintains and provides better human health and safer life, which lead to high
demand for production of pharmaceutical compounds with a concomitant increase in
population. These pharmaceutical (biologically active) compounds were not fully
metabolized by the body and excreted out in wastewater. This micro-pollutant
remains unchanged during wastewater treatment plant operation and enters into the
receiving environment via the discharge of treated water. Persistence of
pharmaceutical compounds in both surface and ground waters becomes a major
concern due to their potential eco-toxicity. Pharmaceuticals (emerging micro
pollutants) deteriorate the water quality and impart a toxic effect on living
organisms. Therefore, from last two decades, plenty of studies were conducted on
the occurrence, impact, and removal of pharmaceutical residues from the
environment. This review provides an overview on the fate and removal of
pharmaceutical compounds via biological treatment process.
PMID- 27889354
TI - Influence of ozonolysis time during sugarcane pretreatment: Effects on the fiber
and enzymatic saccharification.
AB - Modifications in sugarcane bagasse (SCB) from ozonolysis (O) NaOH (B) and
ultrasound (U) (OBU) treatment for cellulosic ethanol production by enzymatic
hydrolysis, were evaluated when increasing the exposure time of SCB to ozone. The
lignin, cellulose, and hemicellulose after treatment were quantified: lignin
removal and a consequent increase in cellulose content were shown using an
infrared spectroscopic technique (ATR-FTIR) and chemical characterization. X-ray
diffraction analysis (XRD) proved that OBU treatment does not affect the
crystalline cellulose portion and electron microscopy techniques established that
the fiber region most affected by the OBU treatment was the secondary cell wall,
where the greatest lignin content is located. For OBU-60 treatment the lignin
content was reduced and consequently there was a significant increase in
cellulose content. After enzymatic hydrolysis, this pretreated SCB released
418mgglucose/g, corresponding to six times more than untreated SCB and a yield of
93% of the cellulose available.
PMID- 27889355
TI - Cervical sagittal alignment in adult hyperkyphosis treated by posterior
instrumentation and in situ bending.
AB - BACKGROUND: In the normal adult spine, a link between thoracolumbar and cervical
sagittal alignment exists, suggesting adaptive cervical positional changes
allowing horizontal gaze. In patients with thoracic hyperkyphosis, cervical
adaptation to sagittal global alignment might be different from healthy
individuals. However, this relationship has not clearly been reported in
hyperkyphotic deformity. PURPOSE: The purpose of this study was to identify
cervical sagittal alignment types observed on radiographs in young adults with
thoracic hyperkyphosis. The relationship between cervical and thoracolumbar
alignment as well as the effect of posterior instrumentation and adaptive
positional changes of the mobile cervical segment were retrospectively analyzed.
PATIENTS AND METHODS: Twenty-three patients (32.7 years; 5-year follow-up) were
included. Full spine radiographic measurements were: T1 slope, T1-T4 kyphosis, T4
T12 kyphosis, L1-S1 lordosis, pelvic incidence, pelvic tilt, sacral slope, SVA
C7, SVA C2, lordosis between C0-C2, C2-C7, C2-C4 and C4-C7. A Bayesian model and
Spearman correlation were used. RESULTS: Two alignment types existed: cervical
lordosis (group A) and cervical kyphosis (group B). Preoperatively, T4-T12
kyphosis and L1-S1 lordosis were significantly higher in group A: 76.6 degrees
versus 59.4 degrees and -72.8 degrees versus -65.8 degrees (probability of>5
degrees difference P (beta>5)>0.95). Pelvic incidence was higher in group A
(49.8 degrees versus 44.2 degrees ) and C0-C2 lordosis in group B (-29.4 degrees
versus -21.6 degrees ). A significant correlation existed between: T4-T12
kyphosis and C2-C7 lordosis, L1-S1 lordosis and pelvic incidence, C2-C7 lordosis
and T1 slope, C2-C7 lordosis and T1-T4 kyphosis. Postoperatively, T4-T12 kyphosis
decreased by 33.1 degrees P (beta>5)=0.9995), L1-S1 lordosis decreased by 17.7
degrees (P (beta>5)=0.961), T1-T4 kyphosis increased by 14.1 degrees (P
(beta>5)=0.973). SVA C2 (translation) increased by 13.8mm. C0-C2 lordosis (head
rotation) remained unchanged. Six patients changed cervical alignment. PJK
occurred in 15 patients, unrelated to cervical alignment or proximal
instrumentation level. DISCUSSION: Two cervical alignment types, lordotic or
kyphotic, were observed thoracic hyperkyphosis patients. This alignment was
mainly triggered by the amount of thoracic kyphosis and lumbar lordosis, linked
to pelvic incidence. Moreover, the inclination of the C7-T1 junctional area plays
a key role in the amount of cervical lordosis. The correction of T4-T12 kyphosis
induced compensatory modifications at adjacent segments: T1-T4 kyphosis increase
(PJK) and L1-S1 lordosis decrease. Global spino-pelvic alignment and head
position did not change in the sagittal plane. The cervical spine tented to keep
in its preoperative position in most patients. LEVEL OF EVIDENCE: Level IV.
PMID- 27889356
TI - Role of PTX3 in corneal epithelial innate immunity against Aspergillus fumigatus
infection.
AB - Pentraxin3 (PTX3), a member of long pentraxin family, plays a non-redundant role
in human humoral innate immunity. However, whether PTX3 is expressed by corneal
epithelial cells and its role during corneal fungi infection has not yet been
investigated. To identify the presence of PTX3 in cornea, the possible mechanisms
involved in its expression, and also the effects on corneal anti-fungi innate
immune response, clinic human corneal tissues and cultured human corneal
epithelial cells (HCECs) were resorted. PTX3 mRNA and protein were detected in
corneal samples and cultured HCECs, which was significantly up-regulated after
exposing to Aspergillus fumigatus (A. fumigatus). Pretreated with specific
inhibitors, only Syk contributed to the regulation of PTX3 expression in Dectin
1/Syk signal axis. Furthermore, among the MAPK members (p38 MAPK, ERK1/2 and
JNK), only ERK1/2 and JNK were responsible for A. fumigatus induced PTX3
production. Blocking of endogenous PTX3 by siRNA down-regulated the production of
IL-1beta at both mRNA and protein levels. Meanwhile, blocking of PTX3 also
inhibited the phosphorylation of ERK1/2 and JNK, but not p38 MAPK. These findings
demonstrate that PTX3 is expressed in human corneal epithelial cells and Syk,
ERK1/2, JNK signaling pathways play an important role in the regulation of PTX3
induction. PTX3 plays a proinflammatory role in corneal epithelial anti-fungi
immune response by affecting the production of IL-1beta and activation of some
proinflammatory signaling pathways (ERK1/2 and JNK).
PMID- 27889357
TI - The impact of overweight/obesity duration and physical activity on telomere
length: An application of the WATCH paradigm.
AB - INTRODUCTION: Physical activity may protect against health consequences
associated with obesity, yet less is known on how the duration of obesity alters
this association, specifically as it relates to leukocyte telomere length.
METHODS: The 1999-2002 NHANES was used to place individuals into 6 mutually
exclusive groups based on physical activity status and weight status/duration.
RESULTS: All active individuals, except for those overweight/obese for longer
durations, were associated with longer telomeres in comparison to sedentary
individuals. CONCLUSIONS: Physical activity may attenuate the decline in telomere
length associated with obesity, but this protective effect may be negated by
prolonged periods of overweight/obesity.
PMID- 27889358
TI - The hypertriglyceridemic waist and waist-to-height ratio phenotypes and chronic
kidney disease: Cross-sectional and prospective investigations.
AB - AIMS: We investigated the association between two hypertriglyceridemic waist (HW)
and waist-to height ratio (HWHtR) phenotypes and chronic kidney disease (CKD)
using cross sectional and prospective analysis. METHODS: Data of 12,012
individuals (44% men) of the Tehran Lipid and Glucose Study (TLGS) at two phases
[(1999-2001) and (2002-2005)], were used for cross-sectional analysis. This
population was followed until 2014 with median follow-up 12.4 years (95%
confidence interval (CI): 11.8; 12.4). The data of 8225 individuals (45% men)
were used for prospective analysis. The outcome was the development of CKD
defined as estimated glomerular filtration rate (eGFR) <60mL/min/1.73m2. The HW
phenotype was defined as waist circumference (WC) >90cm in men and >85cm in
women, along with TGs >2.0mmol/L. The HWHtR phenotype was defined as waist-to
height ratio (WHtR) >0.5 and TGs >2mmol/L. Multivariable logistic and Cox
regression were used to statistical analysis. RESULTS: Cross sectional analysis
showed that in women, both HW and HWHtR phenotypes were associated with CKD after
controlling for confounders [(OR: 1.37, CI: 1.01-1.86, p<0.05) and (OR: 1.58, CI:
1.03-2.41, p<0.05)], respectively. Among men, HW and HWHtR were associated with
prevalent CKD in unadjusted and age adjusted models; these associations were not
significant after further adjustment for confounders. In prospective analysis,
neither HW nor HWHtR phenotypes were significant predictor for CKD progression.
CONCLUSION: HW and HWHtR phenotypes were associated with prevalent CKD in cross
sectional setting. In prospective analysis HW and HWHtR did not show significant
effect in prediction of CKD.
PMID- 27889359
TI - Elevated Liver Enzymes: Emergency Department-Focused Management.
AB - BACKGROUND: Liver function test (LFT) abnormalities are a common problem faced by
emergency physicians. This has become more common with the introduction of
laboratory panels and automated routine laboratory testing. Fortunately, not all
patients with irregularities in liver enzymes possess underlying pathology. This
emergency medicine focused review provides a discussion of the various
biochemical tests, their underlying biological basis, and an algorithmic approach
to the interpretation of abnormalities. OBJECTIVE: Our aim was to provide
emergency physicians with an overview of the evaluation and management of
patients with elevated LFTs. DISCUSSION: The liver is a complex organ with
multiple roles. The key biochemical markers of hepatic function can be organized
into the groupings of hepatocellular, cholestatic, or functioning liver, based on
underlying enzymatic roles. Pathologic alterations to these markers can be
algorithmically assessed by separating disease processes of these groupings,
followed by assessment of the magnitude of enzymatic elevation. This review
conducts an in-depth evaluation of the differential diagnosis and emergency
department-centered clinical response of elevated LFTs based on subcategories of
mild, moderate, and severe transaminase elevation. CONCLUSIONS: By understanding
the biochemical basis of each LFT, it is possible to correlate laboratory
findings to a patient's clinical presentation. An algorithmic approach can be
taken to help narrow the spectrum of a differential diagnosis. This may assist
providers in ensuring appropriate management and evaluation of the patient with
elevated LFTs.
PMID- 27889360
TI - Validation of the Exhaled Breath Temperature Measure: Reference Values in Healthy
Subjects.
AB - BACKGROUND: Exhaled breath temperature (EBT) is a new noninvasive method for the
study of inflammatory respiratory diseases with a potential to reach clinical
practice. However, few studies are available regarding the validation of this
method, and they were mainly derived from small, pediatric populations; thus, the
range of normal values is not well established. The aim of this study was to
measure EBT values in an Italian population of 298 subjects (mean age, 45.2 +/-
15.5 years; 143 male subjects; FEV1, 97.2% +/- 5.8%; FVC, 98.4% +/- 3.9%)
selected from 867 adult volunteers to define reference values in healthy subjects
and to analyze the influence of individual and external variables on this
parameter. METHODS: EBT was measured with an X-halo PRO device to different
ambient temperature ranging from 0 degrees C to 38 degrees C. RESULTS: We report
reference values of EBT in healthy white subjects who had never smoked. EBT
values were strongly influenced by the external temperature and to a lesser
extent according to sex. CONCLUSIONS: In a large population of healthy subjects
who never smoked, these data provide reference values for measuring EBT as a
basis for future studies. Our results are contribute to the promotion of EBT from
"bench" to "bedside."
PMID- 27889362
TI - Erratum to "Spatiotemporal dynamics of cortical perfusion in response to thalamic
deep brain stimulation" [NeuroImage 126 (2016) 131-139].
PMID- 27889363
TI - New tools for the hydromorphological assessment and monitoring of European
streams.
AB - Hydromorphological stream assessment has significantly expanded over the last
years, but a need has emerged from recent reviews for more comprehensive, process
based methods that consider the character and dynamics of the river with greater
accuracy. With this as a focus, a series of hydromorphological tools have been
developed and/or further extended in Europe within the context of the REFORM
(REstoring rivers FOR effective catchment Management) project. The aim of this
paper is to present the set of REFORM hydromorphological assessment methods and,
based on some examples of their application, to illustrate and discuss their
synergic use, specific features, limitations and strengths. This assessment and
monitoring includes three tools: the Morphological Quality Index (MQI), the
Morphological Quality Index for monitoring (MQIm), and the Geomorphic Units
survey and classification System (GUS). These tools constitute the assessment
phase of an overall multi-scale, process-based hydromorphological framework
developed in REFORM. The MQI is aimed at an assessment, classification and
monitoring of the current morphological state; the MQIm aims at monitoring the
tendency of morphological conditions (enhancement or deterioration); the GUS
provides a characterization, classification and monitoring of geomorphic units. A
series of examples are used to illustrate the potential range of application,
including: (i) an assessment of morphological conditions; (ii) an assessment of
the morphological effects of restoration projects; (iii) an evaluation of the
geomorphic impacts of interventions for risk mitigation; and (iv) an integrated
use of MQI and GUS to assess and characterise morphological conditions. Finally,
some of the main features, strengths and peculiarities of the three
hydromorphological tools are discussed with the support of examples of their
application.
PMID- 27889361
TI - Adult Patients With Bronchiectasis: A First Look at the US Bronchiectasis
Research Registry.
AB - OBJECTIVES: We sought to describe the characteristics of adult patients with
bronchiectasis enrolled in the US Bronchiectasis Research Registry (BRR).
METHODS: The BRR is a database of patients with non-cystic-fibrosis
bronchiectasis (NCFB) enrolled at 13 sites in the United States. Baseline
demographic, spirometric, imaging, microbiological, and therapeutic data were
entered into a central Internet-based database. Patients were subsequently
analyzed by the presence of NTM. RESULTS: We enrolled 1,826 patients between 2008
and 2014. Patients were predominantly women (79%), white (89%), and never smokers
(60%), with a mean age of 64 +/- 14 years. Sixty-three percent of the patients
had a history of NTM disease or NTM isolated at baseline evaluation for entry
into the BRR. Patients with NTM were older, predominantly women, and had
bronchiectasis diagnosed at a later age than those without NTM. Gastroesophageal
reflux disease (GERD) was more common in those with NTM, whereas asthma, primary
immunodeficiency, and primary ciliary dyskinesia were more common in those
without NTM. Fifty-one percent of patients had spirometric evidence of airflow
obstruction. Patients with NTM were more likely to have diffusely dilated airways
and tree-in-bud abnormalities. Pseudomonas and Staphylococcus aureus isolates
were cultured less commonly in patients with NTM. Bronchial hygiene measures were
used more often in those with NTM, whereas antibiotics used for exacerbations,
rotating oral antibiotics, steroid use, and inhaled bronchodilators were more
commonly used in those without NTM. CONCLUSIONS: Adult patients with
bronchiectasis enrolled in the US BRR are described, with differences noted in
demographic, radiographic, microbiological, and treatment variables based on
stratification of the presence of NTM.
PMID- 27889364
TI - Metapopulation modelling of riparian tree species persistence in river networks
under climate change.
AB - Floodplain landscapes are highly fragmented by river regulation resulting in
habitat degradation and flood regime perturbation, posing risks to population
persistence. Climate change is expected to pose supplementary risks in this
context of fragmented landscapes, and especially for river systems adaptation
management programs are developed. The association of habitat quality and
quantity with the landscape dynamics and resilience to human-induced disturbances
is still poorly understood in the context of species survival and colonization
processes, but essential to prioritize conservation and restoration actions. We
present a modelling approach that elucidates network connectivity and landscape
dynamics in spatial and temporal context to identify vital corridors and
conservation priorities in the Loire river and its tributaries. Alteration of
flooding and flow regimes is believed to be critical to population dynamics in
river ecosystems. Still, little is known of critical levels of alteration both
spatially and temporally. We applied metapopulation modelling approaches for a
dispersal-limited tree species, white elm; and a recruitment-limited tree
species, black poplar. In different model steps the connectivity and natural
dynamics of the river landscape are confronted with physical alterations
(dams/dykes) to species survival and then future scenarios for climatic changes
and potential adaptation measures are entered in the model and translated in
population persistence over the river basin. For the two tree species we
highlighted crucial network zones in relation to habitat quality and
connectivity. Where the human impact model already shows currently restricted
metapopulation development, climate change is projected to aggravate this
persistence perspective substantially. For both species a significant drawback to
the basin population is observed, with 1/3 for elm and 1/4 for poplar after 25
years already. But proposed adaptation measures prove effective to even bring
metapopulation strength and persistence up to a level above the current level.
PMID- 27889365
TI - Characterizing and modelling river channel migration rates at a regional scale:
Case study of south-east France.
AB - An increased awareness by river managers of the importance of river channel
migration to sediment dynamics, habitat complexity and other ecosystem functions
has led to an advance in the science and practice of identifying, protecting or
restoring specific erodible corridors across which rivers are free to migrate.
One current challenge is the application of these watershed-specific goals at the
regional planning scales (e.g., the European Water Framework Directive). This
study provides a GIS-based spatial analysis of the channel migration rates at the
regional-scale. As a case study, 99 reaches were sampled in the French part of
the Rhone Basin and nearby tributaries of the Mediterranean Sea (111,300 km2). We
explored the spatial correlation between the channel migration rate and a set of
simple variables (e.g., watershed area, channel slope, stream power, active
channel width). We found that the spatial variability of the channel migration
rates was primary explained by the gross stream power (R2 = 0.48) and more
surprisingly by the active channel width scaled by the watershed area. The
relationship between the absolute migration rate and the gross stream power is
generally consistent with the published empirical models for freely meandering
rivers, whereas it is less significant for the multi-thread reaches. The
discussion focused on methodological constraints for a regional-scale modelling
of the migration rates, and the interpretation of the empirical models. We
hypothesize that the active channel width scaled by the watershed area is a
surrogate for the sediment supply which may be a more critical factor than the
bank resistance for explaining the regional-scale variability of the migration
rates.
PMID- 27889366
TI - Intranasal Lidocaine in Acute Treatment of Migraine: A Randomized Controlled
Trial.
AB - STUDY OBJECTIVE: The study aims to evaluate the efficacy and safety of intranasal
lidocaine administration for migraine treatment. METHODS: This single-center,
double-blind, randomized, controlled trial was conducted in a tertiary care
emergency department. Included patients met the migraine criteria of the
International Headache Society. Patients were randomized to intranasal lidocaine
or saline solution; all participants received 10 mg of intravenous
metoclopramide. Patient pain intensity was assessed with an 11-point numeric
rating scale score. The primary outcome measure was the change in pain scores at
15 minutes; secondary outcomes were changes in pain intensity after pain onset
and need for rescue medication. RESULTS: Patients (n=162) were randomized into 2
groups with similar baseline migraine characteristics and numeric rating scale
scores. The median reduction in numeric rating scale score at 15 minutes was 3
(interquartile range [IQR] 2 to 5) for the lidocaine group and 2 (IQR 1 to 4) for
the saline solution group (median difference=1.0; 95% confidence interval 0.1 to
2.1). The reduction in pain score at 30 minutes was 4 (IQR 3 to 7) for the
lidocaine group and 5 (IQR 2 to 7) for the saline solution group (median
difference=1.0; 95% confidence interval 0.1 to 2.1). Need for rescue medication
did not differ between the groups, and local irritation was the most common
adverse event in the lidocaine group. CONCLUSION: Although intranasal lidocaine
was found no more efficacious than normal saline solution in our study, future
studies should focus on patients who present earlier after headache onset.
PMID- 27889367
TI - Use or Abuse? A Qualitative Study of Emergency Physicians' Views on Use of
Observation Stays at Three Hospitals in the United States and England.
AB - STUDY OBJECTIVE: Accumulating evidence has shown increasing use of observation
stays for patients presenting to emergency departments and requiring diagnostic
evaluation or time-limited treatment plans, but critics suggest that this
expansion arises from hospitals' concerns to maximize revenue and shifts costs to
patients. Perspectives of physicians making decisions to admit, observe, or
discharge have been absent from the debate. We examine the views of emergency
physicians in the United States and England on observation stays, and what
influences their decisions to use observation services. METHODS: We undertook in
depth, qualitative interviews with a purposive sample of physicians in 3
hospitals across the 2 countries and analyzed these using an approach based on
the constant-comparison method. Limitations include the number of sites, whose
characteristics are not generalizable to all institutions, and the reliance on
self-reported interview accounts. RESULTS: Physicians used observation status for
the specific presentations for which it is well evidenced but acknowledged
administrative and financial considerations in their decisionmaking. They also
highlighted an important role for observation not described in the literature: as
a "safe space," relatively immune from the administrative gaze, where diagnostic
uncertainties, sociomedical problems, and medicolegal challenges could be
contained. CONCLUSION: Observation status increases the options available to
admitting physicians in a way that they valued for its potential benefits to
patient safety and quality of care, but some of these have been neglected in the
literature to date. Reform to observation status should address these important
but previously unacknowledged functions.
PMID- 27889368
TI - Graph Quality in Top Medical Journals.
AB - STUDY OBJECTIVE: Well-designed graphs can portray complex data and relationships
in ways that are easier to interpret and understand than text and tables.
Previous investigations of reports of clinical research showed that graphs are
underused and, when used, often depict summary statistics instead of the data
distribution. This descriptive study aims to evaluate the quantity and quality of
graphs in the current medical literature across a broad range of better journals.
METHODS: We performed a cross-sectional survey of 10 randomly selected original
research articles per journal from the 2012 issues of 20 highly cited journals.
We identified which figures were data graphs and limited analysis to a maximum of
5 randomly selected data graphs per article. We then described the graph type,
data density, completeness, visual clarity, special features, and dimensionality
of each graph in the sample. RESULTS: We analyzed 342 data graphs published in 20
journals. Our sample had a geometric mean data density index across all graphs of
1.18 data elements/cm2. More than half (54%) of the data graphs were simple
univariate displays such as line or bar graphs. When analyzed by journal,
excellence in one domain (completeness, visual clarity, or special features) was
not strongly predictive of excellence in the other domains. CONCLUSION: Despite
that graphs can efficiently and effectively convey complex study findings, we
found their infrequent use and low data density to be the norm. The majority of
graphs were univariate ones that failed to display the overall distribution of
data.
PMID- 27889369
TI - Alemtuzumab and de novo pulmonary arterial hypertension: A potential association?
PMID- 27889370
TI - Malaria Parasites Distribute Subversive Messages across Enemy Lines.
AB - During its life cycle, the malaria parasite must cope with a set of diverse
environments and institute strategies to alter its host's responses. A recent
study remarkably demonstrates how these parasites exploit red blood cell
products, loading them into 'armed' secreted vesicles sent to manipulate their
host's 'endothelium battlefront', thereby promoting malaria infection.
PMID- 27889371
TI - Identification and molecular characterization of Escherichia coli blaSHV genes in
a Chinese teaching hospital.
AB - Escherichia coli (E. coli) commonly reside in human intestine and most E. coli
strains are harmless, but some serotypes cause serious food poisoning. This study
identified and molecularly characterized blaSHV genes from 490 E. coli strains
with multi-drug resistance in a hospital population. PCR and molecular cloning
and southern blot were performed to assess functions and localizations of this
resistant E. coli gene and the pulsed-field gel electrophoresis (PFGE) was
utilized to demonstrate the clonal relatedness of the positive E. coli strains.
The data showed that 4 of these 490 E. coli strains (4/499, 0.8%) carried blaSHV
genes that included EC D2485 (blaSHV-5), EC D2487 (blaSHV-5), EC D2684 (blaSHV
11) and EC D2616 (blaSHV-195, a novel blaSHV). Analysis of blaSHV open-reading
frame showed that blaSHV-5 had a high hydrolysis activity to the broad-spectrum
penicillin (ampicillin or piperacillin), ceftazidime, ceftriaxone, cefotaxime and
aztreonam. blaSHV-195 and blaSHV-11 had similar resistant characteristics with
high hydrolysis activities to ampicillin and piperacillin, but low activities to
cephalosporins. Moreover, the two blaSHV-5 genes were located on a transferable
plasmid (23kb), whereas the other two blaSHV variants (blaSHV-11 and blaSHV-195)
seemed to be located in the chromosomal material. Both EC D2485 and EC D2487
clones isolated in 2010 had the same DNA finger printing profile and they might
be the siblings of clonal dissemination. The data from the current study suggest
that the novel blaSHV and clonal dissemination may be developed, although blaSHV
genes were infrequently identified in this hospital population. The results of
the work demonstrate the necessity for molecular surveillance in tracking blaSHV
producing strains in large teaching hospital settings and emphasize the need for
epidemiological monitoring.
PMID- 27889372
TI - [Non-invasive ventilation in children: Do we need more evidence?]
AB - Respiratory failure is the leading cause of hospital admissions in the pediatric
intensive care unit (PICU) and is associated with significant morbidity and
mortality. Mechanical ventilation, preferentially delivered by a non-invasive
route (NIV), is currently the first-line treatment for respiratory failure since
it is associated with a reduction in the intubation rate. This ventilatory
support is increasingly used in the PICU, but its wider use contrasts with the
paucity of studies in this field. This review aims to describe the main
indications of NIV in acute settings: (i) bronchiolitis; (ii) postextubation
respiratory failure; (iii) acute respiratory distress syndrome; (iv) pneumonia;
(v) status asthmaticus; (vi) acute chest syndrome; (vii) left heart failure;
(viii) exacerbation of chronic respiratory failure; (ix) upper airway obstruction
and (x) end-of-life care. Most of these data are based on descriptive studies and
expert opinions, and few are from randomized trials. While the benefit of NIV is
significant in some indications, such as bronchiolitis, it is more questionable
in others. Monitoring these patients for the occurrence of NIV failure markers is
crucial.
PMID- 27889373
TI - [Infant respiratory distress revealing Jeune syndrome].
AB - Jeune syndrome (asphyxiating thoracic dystrophy) is a rare autosomal recessive
osteochondrodysplasia with a variable degree of severity, clinically
characterized by respiratory distress with a narrow chest and limb shortness. The
reported incidence is one to five in 500,000 live births. Most patients develop
severe respiratory failure during the first 2 years of life, leading to death in
60-80 % of cases. Survivors may suffer from renal, hepatic, or pancreatic
complications. Expanding thoracic surgery can be used for severe cases. We
describe the case of an 18-month-old boy who developed mild respiratory distress.
The patient showed typical radiological features of Jeune syndrome: narrow thorax
with short ribs and trident appearance of the pelvis. This case underscores the
value of the right interpretation of the chest radiograph of the infant with a
respiratory distress syndrome.
PMID- 27889374
TI - [Consumption of cannabis in adolescents].
AB - Over the past 10 years, the consumption of cannabis among adolescents has
dramatically increased. Today, adolescent cannabis use is a major public health
problem. Two forms of cannabis are commonly smoked: herb (marijuana) and resin.
These forms have a high concentration of tetrahydrocannabinol, the active
molecule of cannabis. Recent research has helped understand how the cannabinoid
system works. This system combines specific receptors and specific molecules: the
endocannabinoids. The effects of cannabis use are now well documented. Some
adolescents report subjective positive effects. They use it not only on a
recreational basis, but also to deal with their emotions. Over the long term,
cannabis increases the risk of depression and schizophrenia for those adolescents
who are at risk. Use, misuse, and dependence are frequently associated with heavy
psychopathologic problems such as vulnerability and depression. Many cannabis
dependence psychotherapies have shown their efficacy and efficiency. Motivational
interviews, cognitive behavioral therapy, multidimensional family therapy (MDFT),
and residential treatment have proved highly effective. MDFT seems very
effective, especially in cases of heavy use.
PMID- 27889375
TI - Integrin alphaMbeta2 is differently expressed by subsets of human osteoclast
precursors and mediates adhesion of classical monocytes to bone.
AB - Bone-degrading osteoclasts are formed through fusion of their monocytic
precursors. In the population of human peripheral blood monocytes, three distinct
subsets have been identified: classical, intermediate and non-classical
monocytes. We have previously shown that when the monocyte subsets are cultured
on bone, significantly more osteoclasts are formed from classical monocytes than
from intermediate or non-classical monocytes. Considering that this difference
does not exist when monocyte subsets are cultured on plastic, we hypothesized
that classical monocytes adhere better to the bone surface compared to
intermediate and non-classical monocytes. To investigate this, the different
monocyte subsets were isolated from human peripheral blood and cultured on slices
of human bone in the presence of the cytokine M-CSF. We found that classical
monocytes adhere better to bone due to a higher expression of the integrin
alphaMbeta2 and that their ability to attach to bone is significantly decreased
when the integrin is blocked. This suggests that integrin alphaMbeta2 mediates
attachment of osteoclast precursors to bone and thereby enables the formation of
osteoclasts.
PMID- 27889376
TI - The cytoplasmic domain of MT1-MMP is dispensable for migration augmentation but
necessary to mediate viability of MCF-7 breast cancer cells.
AB - Membrane-type-1 Matrix Metalloproteinase (MT1-MMP) is a multifunctional protease
that regulates ECM degradation, proMMP-2 activation, and varied cellular
processes including migration and viability. MT1-MMP is believed to be a central
mediator of tumourigenesis whose role is dictated by its functionally distinct
protein domains. Both the localization and signal transduction capabilities of
MT1-MMP are dependent on its cytoplasmic domain, exemplifying diverse regulatory
functions. To further our understanding of the multifunctional contributions of
MT1-MMP to cellular processes, we overexpressed cytoplasmic domain altered
constructs in MCF-7 breast cancer cells and analyzed migration and viability in
2D culture conditions, morphology in 3D Matrigel culture, and tumorigenic ability
in vivo. We found that the cytoplasmic domain was not needed for MT1-MMP mediated
migration promotion, but was necessary to maintain viability during serum
depravation in 2D culture. Similarly, during 3D Matrigel culture the cytoplasmic
domain of MT1-MMP was not needed to initiate a protrusive phenotype, but was
necessary to prevent colony blebbing when cells were serum deprived. We also
tested in vivo tumorigenic potential to show that cells expressing cytoplasmic
domain altered constructs demonstrated a reduced ability to vascularize tumours.
These results suggest that the cytoplasmic domain regulates MT1-MMP function in a
manner required for cell survival, but is dispensable for cell migration.
PMID- 27889377
TI - Retinoid acid-induced microRNA-27b-3p impairs C2C12 myoblast proliferation and
differentiation by suppressing alpha-dystrobrevin.
AB - We previously reported that excess retinoic acid (RA) resulted in hypoplastic and
derangement of myofilaments in embryonic tongue by inhibiting myogenic
proliferation and differentiation through CamKIID pathway. Our further studies
revealed that the expression of a series of miRNAs was altered by RA
administration in embryonic tongue as well as in C2C12 cells. Thus, if excess RA
impairs myogenic proliferation and differentiation through miRNAs is taken into
account. In present study, miR-27b-3p was found up-regulated in RA-treated C2C12
cells as in embryonic tongue, and predicted to target the 3'UTR of alpha
dystrobrevin (DTNA). Luciferase reporter assays confirmed the direct interaction
between miR-27b-3p and the 3'UTR of DTNA. MiR-27b-3p mimics recapitulated the RA
repression on DTNA expression, C2C12 proliferation and differentiation, while the
miR-27b-3p inhibitor circumvented these defects resulting from excess RA. As
expected, the effects of siDTNA on C2C12 were coincided with those by RA
treatment or miR-27b-3p mimics. Therefore, these findings indicated that excess
RA inhibited the myoblast proliferation and differentiation by up-regulating miR
27b-3p to target DTNA, which implied a new mechanism in myogenic hypoplasia.
PMID- 27889378
TI - A Rare Cause of Painful Skin Rash in Crohn's Disease.
PMID- 27889379
TI - Abdominopelvic CT in a Patient With Seizure, Anemia, and Hypocalcemia.
PMID- 27889380
TI - A Rare Cause of Generalized Edema.
PMID- 27889381
TI - Do developmental milestones at 4, 8, 12 and 24 months predict IQ at 5-6 years
old? Results of the EDEN mother-child cohort.
AB - RATIONALE: The present study aims: (i) to determine how well developmental
milestones at 4, 8, 12 and 24 months may predict IQ at 5-6 years old, (ii) to
identify cognitive domains during the first two years that best predict later IQ
and (iii) to determine whether children with IQ in the normal range at 5-6 years
old may differ from disabled (IQ < 70) and gifted children (IQ > 130) with regard
to their early cognitive development. METHOD: The main developmental milestones
were collected through self-administered questionnaires rated by parents at 4, 8,
12 and 24 months and through parental questionnaires administered by a trained
interviewer and questionnaires completed following a medical examination at 12
months. These questionnaires were derived from the Brunet-Lezine Psychomotor
Development Scale and they addressed several cognitive domains (gross and fine
motor skills, language and socialization). RESULTS: (i) Developmental milestones
predict a substantial part of the later IQ variance from 24 months (R2 ~ 20%).
(ii) Early language skills more strongly predict later IQ than the other
cognitive domains. (iii) Several cognitive domains, but particularly language
skills, predict disabled children at 5-6 years old (from the age of 8 months) and
gifted children (from the age of 12 months). DISCUSSION: The present study
provides valuable information for early developmental assessment and could
contribute to a better understanding of intellectual development.
PMID- 27889382
TI - Rare and common variants at 16p11.2 are associated with schizophrenia.
AB - Recent studies suggest that both common and rare variants are involved in the
genetic risk of schizophrenia. Using a Cochran-Mantel-Haenszel (CMH) adjusted
meta-analysis in 36,676 schizophrenia patients and 48,331 healthy controls from
24 independent samples, we identify the microduplications at 16p11.2 locus (29.6
30.2Mb, hg19) to be strongly associated with the illness (P value<2.2*10-16, CHM
adjusted OR=10.79). The frequency of this microduplication is significantly
higher in schizophrenia patients (0.267%) comparing to healthy controls (0.025%).
Further, using the largest published genome-wide association study (GWAS) data
(36,989 cases and 113,075 controls), we show that common variants at the 16p11.2
locus are also significantly associated with schizophrenia (e.g., rs12691307, P
value=4.55*10-11, OR=1.073). These results confirm the link between 16p11.2
genomic region and genetic risk of schizophrenia.
PMID- 27889383
TI - A systematic review examining factors predicting favourable outcome in cognitive
behavioural interventions for psychosis.
AB - Psychosis is a debilitating mental health condition affecting approximately 4
persons per 1000. Cognitive behavioural therapy for psychosis (CBTp) has been
shown to be an effective treatment for psychosis and is recommended by several
national guidelines. CBTp does not work equally well with everyone, however, with
some 50% of clients receiving little benefit. This review sets out to
systematically assess the literature and methodological quality of a number of
studies, which examine factors predicting successful outcome in CBTp. The
databases CINAHL, Cochrane, EBSCO, EMBASE, ISI Web of Science, MEDLINE (Ovid),
PsycARTICLES, PsycINFO, PubMed, and Scopus were electronically searched. English
language articles in peer reviewed journals were reviewed. Search terms
"psychosis", "psychotic disorder", "cognitive behavioural therapy", "cognitive
therapy", "randomised controlled trial", "predictor", and "treatment outcome" in
various combinations were used as needed. Only randomised controlled trials
(RCTs) were included. Results suggest that female gender, older age, and higher
clinical insight at baseline, each predicted better outcome in CBT interventions
with psychotic patients, as did a shorter duration of the illness, and higher
educational attainment. Several other factors, such as higher symptom severity at
baseline, were suggestive of predictive capacity but further research to clarify
was indicated. Providers of mental healthcare should consider these findings when
offering CBTp. The onus is also on healthcare providers to better equip non
responders to CBTp. Further investigation into a limited number of predictive
factors, with an agreed set of outcome measures, would allow future researchers
more direct comparisons between studies.
PMID- 27889384
TI - Reduced superoxide dismutase-1 (SOD1) in cerebrospinal fluid of patients with
early psychosis in association with clinical features.
AB - Oxidative stress is implicated in the underlying pathophysiology of psychosis
from studies of animal models and of tissues obtained from patients. Superoxide
dismutase 1 (SOD1) is an antioxidant responsible for reducing free radicals. SOD1
levels in cerebrospinal fluid (CSF) reportedly correlate with those in brain. We
hypothesized that patients in early-stages of psychotic disease may have altered
SOD1 in CSF compared to healthy controls. We previously reported in a pilot study
that SOD1 levels in CSF of patients with recent onset schizophrenia (SZ) were
lower compared to healthy controls. Building on that work, in the present study
we examined SOD1 levels in CSF acquired from two additional cohorts.
Specifically, we studied SOD1 levels in CSF from a cohort of 15 patients with
recent-onset psychosis and 18 healthy controls, as well as the second cohort of
18 antipsychotic-naive patients with SZ and 20 healthy controls. In the first
cohort, recent onset of illness was defined as within five years of onset of
psychotic symptoms, and performance on neuropsychological testing as well as
symptom severity were assessed. We observed 26.5% lower SOD1 in CSF from patients
across both cohorts compared to controls (P=0.045) that was consistent with our
previous report (30%). Among the cohort of patients with recent onset of SZ, SOD1
in CSF was positively correlated with composite performance on neuropsychological
testing. Our results support further study of the relationship between cognitive
deficits and oxidative stress in the central nervous system of patients with
psychosis, including through study of SOD1.
PMID- 27889385
TI - Recurrent urinary tract infections in children and adolescents with acute
psychosis.
PMID- 27889386
TI - Protein disulfide isomerases: Redox connections in and out of the endoplasmic
reticulum.
AB - Protein disulfide isomerases are thiol oxidoreductase chaperones from thioredoxin
superfamily. As redox folding catalysts from the endoplasmic reticulum (ER),
their roles in ER-related redox homeostasis and signaling are well-studied. PDIA1
exerts thiol oxidation/reduction and isomerization, plus chaperone effects. Also,
substantial evidence indicates that PDIs regulate thiol-disulfide switches in
other cell locations such as cell surface and possibly cytosol. Subcellular PDI
translocation routes remain unclear and seem Golgi-independent. The list of
signaling and structural proteins reportedly regulated by PDIs keeps growing, via
thiol switches involving oxidation, reduction and isomerization, S
(de)nytrosylation, (de)glutathyonylation and protein oligomerization. PDIA1 is
required for agonist-triggered Nox NADPH oxidase activation and cell migration in
vascular cells and macrophages, while PDIA1-dependent cytoskeletal regulation
appears a converging pathway. Extracellularly, PDIs crucially regulate thiol
redox signaling of thrombosis/platelet activation, e.g., integrins, and PDIA1
supports expansive caliber remodeling during injury repair via
matrix/cytoskeletal organization. Some proteins display regulatory PDI-like
motifs. PDI effects are orchestrated by expression levels or post-translational
modifications. PDI is redox-sensitive, although probably not a mass-effect redox
sensor due to kinetic constraints. Rather, the "all-in-one" organization of its
peculiar redox/chaperone properties likely provide PDIs with precision and
versatility in redox signaling, making them promising therapeutic targets.
PMID- 27889387
TI - Diet-Microbiome Interactions in Health Are Controlled by Intestinal Nitrogen
Source Constraints.
AB - Diet influences health and patterns of disease in populations. How different
diets do this and why outcomes of diets vary between individuals are complex and
involve interaction with the gut microbiome. A major challenge for predicting
health outcomes of the host-microbiome dynamic is reconciling the effects of
different aspects of diet (food composition or intake rate) on the system. Here
we show that microbial community assembly is fundamentally shaped by a dichotomy
in bacterial strategies to access nitrogen in the gut environment. Consequently,
the pattern of dietary protein intake constrains the host-microbiome dynamic in
ways that are common to a very broad range of diet manipulation strategies. These
insights offer a mechanism for the impact of high protein intake on metabolic
health and form the basis for a general theory of the impact of different diet
strategies on host-microbiome outcomes.
PMID- 27889388
TI - Cellular Aging Contributes to Failure of Cold-Induced Beige Adipocyte Formation
in Old Mice and Humans.
AB - Cold temperatures induce progenitor cells within white adipose tissue to form
beige adipocytes that burn energy and generate heat; this is a potential anti
diabesity therapy. However, the potential to form cold-induced beige adipocytes
declines with age. This creates a clinical roadblock to potential therapeutic use
in older individuals, who constitute a large percentage of the obesity epidemic.
Here we show that aging murine and human beige progenitor cells display a
cellular aging, senescence-like phenotype that accounts for their age-dependent
failure. Activating the senescence pathway, either genetically or
pharmacologically, in young beige progenitors induces premature cellular
senescence and blocks their potential to form cold-induced beige adipocytes.
Conversely, genetically or pharmacologically reversing cellular aging by
targeting the p38/MAPK-p16Ink4a pathway in aged mouse or human beige progenitor
cells rejuvenates cold-induced beiging. This in turn increases glucose
sensitivity. Collectively, these data indicate that anti-aging or senescence
modalities could be a strategy to induce beiging, thereby improving metabolic
health in aging humans.
PMID- 27889390
TI - Safety assessment of 4-week oral intake of proanthocyanidin-rich grape seed
extract in healthy subjects.
AB - A clinical study was conducted to assess the safety and tolerability of oral
doses of proanthocyanidin-rich grape seed extract (GSE) in healthy Japanese adult
volunteers. In an open-label, 4-week toxicity test, 29 subjects daily received
1000, 1500, or 2500 mg GSE orally. Serum Fe levels of two subjects in the 2500 mg
GSE group decreased to 61 and 60 MUg/100 mL from 205 and 182 MUg/100 mL at
baseline respectively, at second week of GSE consumption; these values are low
but within the normal range for the Japanese population. Two weeks after
completing the 4-week course of GSE ingestion, the serum Fe levels of both
subjects returned to near baseline levels (210 and 189 MUg/100 mL). No subject
discontinued the study. Oral intake of GSE up to 2500 mg for 4 weeks was found to
be generally safe and well tolerated in humans. Research with a larger number of
subjects is required to confirm these findings.
PMID- 27889389
TI - Exercise Mimetics: Impact on Health and Performance.
AB - The global epidemic of obesity and its associated chronic diseases is largely
attributed to an imbalance between caloric intake and energy expenditure. While
physical exercise remains the best solution, the development of muscle-targeted
"exercise mimetics" may soon provide a pharmaceutical alternative to battle an
increasingly sedentary lifestyle. At the same time, these advances are fueling a
raging debate on their escalating use as performance-enhancing drugs in high
profile competitions such as the Olympics.
PMID- 27889391
TI - Review of fall detection techniques: A data availability perspective.
AB - A fall is an abnormal activity that occurs rarely; however, missing to identify
falls can have serious health and safety implications on an individual. Due to
the rarity of occurrence of falls, there may be insufficient or no training data
available for them. Therefore, standard supervised machine learning methods may
not be directly applied to handle this problem. In this paper, we present a
taxonomy for the study of fall detection from the perspective of availability of
fall data. The proposed taxonomy is independent of the type of sensors used and
specific feature extraction/selection methods. The taxonomy identifies different
categories of classification methods for the study of fall detection based on the
availability of their data during training the classifiers. Then, we present a
comprehensive literature review within those categories and identify the approach
of treating a fall as an abnormal activity to be a plausible research direction.
We conclude our paper by discussing several open research problems in the field
and pointers for future research.
PMID- 27889392
TI - Transcranial magnetic stimulation to dorsolateral prefrontal cortex affects
conflict-induced behavioural adaptation in a Wisconsin Card Sorting Test
analogue.
AB - A substantial body of literature has proposed a role for dorsolateral prefrontal
cortex (dlPFC) in supporting behavioural adaptation during conflict tasks. The
vast majority of the evidence in support of this interpretation comes from
neuroimaging studies. However, in order to unequivocally ascribe such a role to
dlPFC, it is important to determine whether or not it is essential for this
mechanism, and this can only be achieved by lesioning the area or interfering
with its activity. In this study, we investigated the effects of repeated
Transcranial Magnetic Stimulation (rTMS) to dlPFC on performance on a conflict
version of a Wisconsin Card Sorting Test analogue (used previously in
circumscribed lesion studies in monkeys) in neurologically healthy human
participants. Our results supported the view of dlPFC as a fundamental structure
for optimal conflict-induced behavioural adaptation, as stimulation cancelled out
the adaptation effect normally observed on control trials. We show that there is
some indication of differential modulation of trial types by stimulation and we
hypothesize that this might suggest a role for dlPFC in conflict-induced
adaptation that is more specifically concerned with the maintenance of conflict
history information online across trials.
PMID- 27889393
TI - Triple-amiRNA VEGFRs inhibition in pancreatic cancer improves the efficacy of
chemotherapy through EMT regulation.
AB - Pancreatic ductal adenocarcinoma (PDAC) is a devastating disease with dismal
outcome. Both novel prognostic markers and therapeutic targets are needed to
improve the overall outcome of patients. Although single or double VEGFRs have
been studied in PDAC, little is known about the role of triple combination of
VEGFRs (VEGFR1, 2, and 3) in prognosis and therapy. We determined VEGFRs protein
expression in 241 pancreatic tissues by tissue microarray immunohistochemistry
(TMA-IHC), and correlated with patients' clinical characteristics and overall
survival. Subsequently, we inactivated VEGFRs expression using artificial
microRNAs (amiRNAs) in vitro. Triple combination of amiRNAs to VEGFRs reduced
cell proliferation, increased apoptosis, and reduced cell migration and invasion
in pancreatic cancer cell lines. In the mouse xenograft pancreatic cancer model,
triple VEGFRs silencing significantly reduced tumor growth, had synergistic
effect with standard chemotherapy, and was associated with inhibition of
epithelial mesenchymal transition (EMT). We conclude that triple combination of
VEGFRs is a prognostic marker for PDAC, and inhibition of VEGFRs expression via
amiRNA represents a novel targeted therapy in PDAC through regulating EMT.
PMID- 27889394
TI - Nanotechnology-based drug delivery systems for Alzheimer's disease management:
Technical, industrial, and clinical challenges.
AB - Alzheimer's disease (AD) is a neurodegenerative disease with high prevalence in
the rapidly growing elderly population in the developing world. The currently FDA
approved drugs for the management of symptomatology of AD are marketed mainly as
conventional oral medications. Due to their gastrointestinal side effects and
lack of brain targeting, these drugs and dosage regiments hinder patient
compliance and lead to treatment discontinuation. Nanotechnology-based drug
delivery systems (NTDDS) administered by different routes can be considered as
promising tools to improve patient compliance and achieve better therapeutic
outcomes. Despite extensive research, literature screening revealed that clinical
activities involving NTDDS application in research for AD are lagging compared to
NTDDS for other diseases such as cancers. The industrial perspectives,
processability, and cost/benefit ratio of using NTDDS for AD treatment are
usually overlooked. Moreover, active and passive immunization against AD are by
far the mostly studied alternative AD therapies because conventional oral drug
therapy is not yielding satisfactorily results. NTDDS of approved drugs appear
promising to transform this research from 'paper to clinic' and raise hope for AD
sufferers and their caretakers. This review summarizes the recent studies
conducted on NTDDS for AD treatment, with a primary focus on the industrial
perspectives and processability. Additionally, it highlights the ongoing clinical
trials for AD management.
PMID- 27889395
TI - [Analysis of direct medical costs of type 2 diabetes in Mali].
AB - BACKGROUND: Type 2 Diabetes (T2D) is rapidly increasing in Africa, but it is
still rather neglected. Demonstrating the medical costs for treating type 2
diabetes would be useful for improving awareness and proposing solutions. The
purpose of this study was to compare the estimated medical costs for basic
chronic treatment and the actual expenditures of diabetic patients, and to
identify determinants of these expenditures. METHODS: The estimated medical costs
were based on price data collected from three public hospitals and their
pharmacies (one university and two district hospitals), as well as from three
private clinics and three private pharmacies, in Bamako. A standard treatment
protocol for diabetes care, with and without complications, was first established
by a working group prior to pricing of consultations, medication, care devices
and specialized tests and treatments. Costs were computed using an Excel(r)
software program. We calculated actual expenditures for medical care and examined
some determinants using the data from a cross-sectional survey on 500 adult
diabetic subjects in Mali. Participants were randomly selected from registries of
known diabetics. RESULTS: The estimated costs for basic medical care of
uncomplicated diabetes ranged from 108 to 298 ? per year in the public sector,
and 325 to 756 ? in the private sector. Median annual expenditures of survey
subjects without complications for chronic care amounted to 178 ? (range: 98-331)
and were therefore in the estimated range in the public sector. Total median
expenditures of all survey subjects, including 78% with complications, reached
241 ? per year (142-386). Additional expenditures for the treatment of
complications were lower than the estimated costs, except for retinopathy.
Independent predictors of higher expenditures were insulin treatment, residence
in Bamako, and the number of complications. The minimum estimated cost of medical
treatment for uncomplicated diabetes in the public sector represented 29% of GNP
per capita. Total medical expenditures as reported by survey respondents amounted
to an average of 24% of their income. CONCLUSION: Treatment of T2D is expensive
and beyond reach for many patients in Mali, particularly when there are
complications. Prevention of diabetes is an urgent challenge in Africa, along
with early screening in order to delay and reduce the occurrence of
complications.
PMID- 27889396
TI - Functional recovery of regional myocardial deformation in patients with takotsubo
cardiomyopathy.
AB - BACKGROUND: Takotsubo cardiomyopathy (TC) is acute, but completely reversible in
the absence of significant coronary artery disease. This study aims to assess the
functional recovery of regional myocardial deformation in patients with TC using
2-dimensional (2D) speckle tracking echocardiography. METHODS: Thirty-three
patients diagnosed with TC (mean age 63 years, 26 female) prospectively underwent
serial 2D echocardiography on day 1 (initial presentation), day 4 [the middle,
interquartile range (IQR), 2-5 days], and day 21 (recovery, IQR 13-32 days).
Twenty-one (64%) patients showed classical type of TC with akinesis of mid-left
ventricular (LV) and apical segments and 12 (36%) of patients presented with mid
LV variant with apical sparing. Myocardial deformations were serially assessed
using 2D strain analysis. All echocardiographic values on day 21 were compared
with the corresponding values from 30 controls of similar age and gender.
RESULTS: LV ejection fraction (EF) gradually improved at follow-up (32+/-8% on
day 1 vs. 62+/-4% on day 21, p<0.001). Despite no difference in LVEF between the
patients with complete recovery (LVEF >60% on day 21) and controls, the patients
showed significantly lower global longitudinal strain than controls. On regional
analysis of the mid-LV segments, both longitudinal and circumferential strains of
patients with TC were similarly diminished on day 1. During recovery,
longitudinal strain showed more delayed recovery than circumferential strain
compared to the values of controls. In LV apex of controls, circumferential
strain normally presented higher value than longitudinal strain. In LV apex of
patients with classical TC, the reduced circumferential strain on day 1 rapidly
increased with a wide variation to maintain augmented circumferential shortening.
CONCLUSIONS: Quantifying LV myocardial deformation in patients with TC is
informative in the detection of persistent subtle LV dysfunction and improves our
understanding of regional myocardial mechanics during recovery.
PMID- 27889397
TI - Comparative effectiveness of dabigatran and rivaroxaban versus warfarin for the
treatment of non-valvular atrial fibrillation.
AB - BACKGROUND: Effectiveness data on novel oral anticoagulants (NOACs) versus
warfarin for stroke prevention in non-valvular atrial fibrillation (NVAF) by
prior warfarin use are limited. METHODS: We used data from the US MarketScan
databases from 2009 to 2012. NVAF patients initiating dabigatran or rivaroxaban
were matched with up to 5 warfarin users. Propensity score-adjusted Cox
regression was used to calculate hazard ratios (HR) and 95% confidence intervals
(95% CI) for relevant endpoints in NOACs versus warfarin users. Separate analyses
were conducted to compare anticoagulant-naive users of NOACs and those switching
from warfarin. RESULTS: Among 32,918 dabigatran, 3301 rivaroxaban, and 109,447
warfarin users with NVAF, 225 intracranial bleeds, 1035 ischemic strokes, 958
myocardial infarctions, and 1842 gastrointestinal bleeds were identified.
Compared to warfarin users, patients initiating NOACs had similar ischemic stroke
rates and lower intracranial bleeding rates, while the gastrointestinal bleeding
rate was higher in dabigatran users than warfarin users. Associations of
dabigatran with ischemic stroke risk differed between anticoagulant-naive
initiators and patients switching from warfarin; dabigatran was associated with
lower ischemic stroke rates in naive users (HR 0.65, 95% CI 0.52-0.82) but not in
switchers (HR 1.20, 95% CI 0.95-1.51), compared to warfarin. Risk of stroke and
bleeding was not different between rivaroxaban and warfarin users. CONCLUSIONS:
Real-world effectiveness of NOACs (compared to warfarin) for diverse outcomes was
comparable to efficacy reported in published clinical trials. However, harms and
benefits of switching from warfarin to dabigatran need to be evaluated.
PMID- 27889398
TI - Recognition of Mycobacterial Lipids by Immune Receptors.
AB - Mycobacterium tuberculosis (Mtb), the causative agent of tuberculosis (TB),
infects one-third of the world's population and causes 1.5 million deaths each
year. The cell envelopes of mycobacteria comprise a wealth of unique glycolipids,
including trehalose-6,6'-dimycolate (TDM), lipoarabinomannan (LAM), lipomannan
(LM), and phosphatidylinositol (PI) mannosides (PIMs). These lipids are important
modulators of the host immune responses during infection and in some cases have
been used as adjuvants [e.g., complete Freund's adjuvant (CFA)]. Despite this
abundant basic knowledge, the identities of the host immune receptors for
mycobacterial lipids have long been elusive. Here we review and summarize our
current state of knowledge regarding innate immune receptors for mycobacteria,
focusing particularly on immunoreceptor tyrosine-based activation motif (ITAM)
coupled C-type lectin receptors (CLRs), which have been shown to recognize
mycobacteria-derived glycolipids.
PMID- 27889399
TI - A Natural Language Processing-based Model to Automate MRI Brain Protocol
Selection and Prioritization.
AB - RATIONALE AND OBJECTIVES: Incorrect imaging protocol selection can contribute to
increased healthcare cost and waste. To help healthcare providers improve the
quality and safety of medical imaging services, we developed and evaluated three
natural language processing (NLP) models to determine whether NLP techniques
could be employed to aid in clinical decision support for protocoling and
prioritization of magnetic resonance imaging (MRI) brain examinations. MATERIALS
AND METHODS: To test the feasibility of using an NLP model to support clinical
decision making for MRI brain examinations, we designed three different medical
imaging prediction tasks, each with a unique outcome: selecting an examination
protocol, evaluating the need for contrast administration, and determining
priority. We created three models for each prediction task, each using a
different classification algorithm-random forest, support vector machine, or k
nearest neighbor-to predict outcomes based on the narrative clinical indications
and demographic data associated with 13,982 MRI brain examinations performed from
January 1, 2013 to June 30, 2015. Test datasets were used to calculate the
accuracy, sensitivity and specificity, predictive values, and the area under the
curve. RESULTS: Our optimal results show an accuracy of 82.9%, 83.0%, and 88.2%
for the protocol selection, contrast administration, and prioritization tasks,
respectively, demonstrating that predictive algorithms can be used to aid in
clinical decision support for examination protocoling. CONCLUSIONS: NLP models
developed from the narrative clinical information provided by referring
clinicians and demographic data are feasible methods to predict the protocol and
priority of MRI brain examinations.
PMID- 27889400
TI - Facilitators and Barriers to Implementing Church-Based Adolescent Sexual Health
Programs in Baltimore City.
AB - PURPOSE: Black churches are an important community resource and a potentially
powerful actor in adolescent health promotion. However, limited research exists
describing the factors that may influence the successful implementation of
evidence-based adolescent sexual health programs in churches. In the present
study, a multi-informant approach was used to identify facilitators and barriers
to implementing adolescent sexual health programs in black churches. METHODS:
Nine Black churches located in Baltimore, MD, were recruited to participate in
this study. The senior pastor and youth minster from each congregation
participated in an in-depth interview (N = 18). A total of 45 youth (ages 13-19
years) and 38 parents participated in 15 focus groups. Qualitative data were
transcribed verbatim and analyzed using a qualitative content analytic approach.
RESULTS: Participants agreed that comprehensive adolescent sexual health
education should be available for youth in black churches. They also believed
that abstaining from sex should be discussed in all adolescent sexual health
programs. Three facilitators were discussed: widespread endorsement of church
based adolescent sexual health education, positive influence of youth ministers
on youth, and life lessons as teaching tools. Four barriers are described:
perceived resistance from congregants, discomfort among youth, lack of financial
resources, and competing messages at home about sexual health. CONCLUSIONS: Our
findings suggest that churches are a preferred place for adolescent sexual health
education among some parents and youth. Study findings also reinforce the
feasibility and desirably of church-based adolescent sexual health programs.
PMID- 27889401
TI - Clinical and Psychosocial Outcomes of a Structured Transition Program Among Young
Adults With Type 1 Diabetes.
AB - PURPOSE: We identified and treated young adults with type 1 diabetes who had been
lost to follow-up during their transfer from pediatric to adult care, comparing
their clinical, psychosocial, and health care utilization outcomes to
participants receiving continuous care (CC) throughout the transition to adult
care. METHODS: Individuals in their last year of pediatric care (CC group, n =
51) and individuals lost to follow-up in the transfer to adult care ("lapsed
care" [LC] group, n = 24) were followed prospectively for 12 months. All
participants were provided developmentally tailored diabetes education, case
management, and clinical care through a structured transition program. RESULTS:
At baseline, LC participants reported lapses in care of 11.6 months. Compared
with CC participants, they had higher hemoglobin A1C (A1C; p = .005), depressive
symptoms (p = .05), incidence of severe hypoglycemia (p = .005), and emergency
department visits (p = .004). At 12-month follow-up, CC and LC participants did
not differ on the number of diabetes care visits (p = .23), severe hypoglycemia
(no events), or emergency department visits (p = .22). Both groups' A1C improved
during the study period (CC: p = .03; LC: p = .02). LC participants' depressive
symptoms remained elevated (p = .10), and they reported a decline in life
satisfaction (p = .007). There was greater loss to follow-up in the LC group (p =
.04). CONCLUSIONS: Our study suggests that, for young adults with a history of
lapses in care, a structured transition program is effective in lowering A1C,
reducing severe hypoglycemia and emergency department utilization, and improving
uptake of routine diabetes care. Loss to follow-up and psychosocial concerns
remain significant challenges in this population.
PMID- 27889402
TI - The Inter-relationship of Adolescent Unhappiness and Parental Mental Distress.
AB - PURPOSE: Substantial evidence supports the hypothesis that parental well-being
impacts upon child well-being and that this relationship is bidirectional. Here
we explore how, in a large, nationally representative sample, both parents'
mental distress relates over time to each other's mental distress and to their
adolescent child's unhappiness, and vice versa. METHODS: Analyses were conducted
using data from waves one to five (2009/10-2014/15) of Understanding Society, the
UK Household Longitudinal Study. Understanding Society collects data on adults'
mental distress (General Health Questionnaire), and on youths' (age: 10-15 years)
unhappiness in relation to their school work, appearance, family, friends,
school, and life as a whole. We use repeated-measures structural equation models
to investigate the reciprocal relationships between both parents' distress and
their child's unhappiness, using both longitudinal cross-lagged and nonrecursive
contemporaneous specifications. The analytic sample is 1,883 triads (adolescent
child, mother, and father) with data at two or more consecutive time points.
Analyses are stratified by adolescent gender. RESULTS: Our results show that
parental mental distress predicts unhappiness of girls but not that of boys.
Reciprocal associations of maternal and paternal mental distress are evident in
families with an adolescent daughter. Unhappiness of adolescents does not predict
their parents' mental distress. Results are similar whether examined
contemporaneously or over time. CONCLUSIONS: Our findings support the suggestion
that the family should be considered as a dynamic system, for instance when
planning clinical interventions. This is particularly pertinent in families with
an adolescent daughter present.
PMID- 27889403
TI - Home Food Rules in Relation to Youth Eating Behaviors, Body Mass Index, Waist
Circumference, and Percent Body Fat.
AB - PURPOSE: To investigate agreement and associations between parent and youth
acknowledgment of home food rules, youth eating behaviors, and measures of body
composition and excess weight. METHODS: Parent-youth dyads (N = 413) completed
the "rules for eating at home" scale (Active Where Survey) and reported dietary
intake. Trained research staff obtained anthropometric data. Linear regression
analyses separately evaluated relationships between youth and parent
acknowledgment of rules and youth-reported eating behaviors and anthropometric
outcomes. Food rules were evaluated as a 12-item scale and individually. RESULTS:
Score on the food rule scale was positively associated with fruit and vegetable
servings by youth acknowledgment only (beta = .09, p = .006), and not with
anthropometric outcomes. The rule "no desserts except fruit" was positively
associated with fruit and vegetable servings by youth (beta = .72, p = .002) and
parent (beta = .53, p = .03) acknowledgment. The rules "no second helpings at
meals" and "limited fast food" were positively associated with body mass index z
score by youth (beta = .38, p = .002; beta = .32, p = .02, respectively) and
parent (beta = .74, p < .001; beta = .41, p = .006, respectively) acknowledgment,
with similar results for waist circumference z-score and percent body fat.
CONCLUSIONS: Inverse associations between specific food rules and healthful
eating behaviors but positive associations with anthropometric outcomes suggest
potentially bidirectional relationships between food rule implementation and
youth weight. Future studies should disentangle how food rules guide youth
behavior in the context of youth weight status.
PMID- 27889404
TI - Adolescent Suicidal Ingestion: National Trends Over a Decade.
AB - PURPOSE: Suicide attempts by adolescents most commonly involve the overdose of
medications. To date, there has been little information on the over-the-counter
or prescription medicines that adolescents ingest for self-harm. Identification
of medications chosen in suicide attempts may help guide anticipatory guidance to
parents by primary care providers and Poison Centers in prevention programs.
METHODS: This was a retrospective observational study using the American
Association of Poison Control Center's National Poison Data System. Data were
collected on patients aged 13-19 years old at the time of their substance
ingestion, between the years 2004 and 2013 and that were coded as reason for
ingestion of "intentional-suspected suicide." RESULTS: During the 10-year study
period, there were 390,560 poison center calls for intentional-suspected suicide
in the United States between 2004 and 2013, accounting for 80.3% of all
"intentional" ingestion calls in the adolescent population. Over the entire age
range, the most common substance ingested included acetaminophen (10.9%),
ibuprofen (9%), selective serotonin reuptake inhibitors (7.7%), atypical
antipsychotic (6%), and antihistamines (5%). The most common medications coded as
resulting in major clinical effects or death were antidepressants and atypical
antipsychotics. CONCLUSIONS: Adolescent ingestion choices for suicide attempts
have remained relatively consistent over the past 10 years. However, there was a
recent decrease in selective serotonin reuptake inhibitor ingestions. The most
common medications used in an overdose attempt were ibuprofen and acetaminophen.
Further preventative efforts are needed in this at-risk population from multiple
providers at various levels.
PMID- 27889405
TI - Primary total knee arthroplasty for elderly complex tibial plateau fractures.
AB - OBJECTIVE: The aim of this study is to evaluate the clinical and radiologic
results of primary Total Knee Arthroplasty (TKA) for elderly complex tibial
plateau fractures. MATERIALS AND METHODS: Between November 2010 and February
2012, six cases of elderly complex tibial plateau fractures were treated with
primary TKA using the standard medial parapatellar approach. All six patients
were available at follow up with mean duration of 32.3 months (range 25-41
months). There were 3 women and 3 men with an average age of 69.5 years (58-78
years) at the time of the arthroplasty. RESULTS: The mean Hospital for Special
Surgery (HSS) knee score was 89.8 (range 85-94): 6/6 excellent. The mean knee
flexion was 119.2 degrees (105-130 degrees ). No significant postoperative
complications were noted. None of these patients had significant postoperative
knee pain required revision surgery, or had radiographic loosening of the
components at the latest follow-up. CONCLUSIONS: TKA is a suitable solution for
the treatment of elderly patients with complex tibial plateau fractures. LEVEL OF
EVIDENCE: Level IV, Therapeutic study.
PMID- 27889406
TI - Is surgeons' experience important on intra- and inter-observer reliability of
classifications used for adult femoral neck fracture?
AB - PURPOSE: To evaluate whether surgeons' experience affect inter- and intra
observer reliability among mostly used classification systems for femoral neck
fractures. MATERIAL AND METHODS: A power point presentation was prepared with 107
slides which were antero-posterior radiographs of each femoral neck fracture.
Five residents, 5 orthopaedic surgeons and 5 senior orthopaedic surgeons reviewed
this presentation and classified the fractures according to Garden, Pauwels and
AO classifications. The order of the slides was changed and reviews were repeated
after 3 months. Fleiss kappa and intraclass correlation coefficient values were
calculated to evaluate inter and intra-observer reliability. RESULTS: Garden and
AO classifications' inter-observer reliabilities were similar and higher than
Pauwels classification. Among three experience groups, the inter-observer
reliability for Garden classification was highest in senior surgeon group, the
interobserver reliability for AO classification was highest in surgeon group, and
interobserver reliability of Pauwels classification was highest in low
experienced groups (residents and surgeons). Intra-observer reliability was
highest for Garden and lowest for Pauwels classifications. Surgical experience
was found to be not effective for intraobserver reliability. CONCLUSION: Both
Garden and AO classifications were more reliable than Pauwels classification.
Surgical experience was not significantly important on these three classification
systems' evaluation. LEVEL OF EVIDENCE: Level IV, Diagnostic study.
PMID- 27889407
TI - Whole-Cell Cancer Vaccines Induce Large Antibody Responses to Carbohydrates and
Glycoproteins.
AB - Whole-cell cancer vaccines are a promising strategy for treating cancer, but the
characteristics of a favorable immune response are not fully understood. New
insights could enable development of better vaccines, discovery of new antigens,
and identification of biomarkers of efficacy. Using glyco-antigen microarrays, we
demonstrate that GVAX Pancreas (a granulocyte macrophage colony-stimulating
factor-modified whole-cell tumor vaccine) induces large immunoglobulin G and
immunoglobulin M responses to many antigens, including tumor-associated
carbohydrates, blood group antigens, alpha-Gal, and bovine fetuin. Antibody
responses to alpha-Gal, a glycan found in fetal bovine serum (FBS) used to
produce the vaccine, correlated inversely with overall survival and appear to
compete with productive responses to the vaccine. H1299 lysate vaccine, produced
with FBS, also induced responses to alpha-Gal and fetuin but not K562-GM, which
is produced in serum-free medium. Our results provide new potential biomarkers to
evaluate productive/unproductive immune responses and suggest that
removal/reduction of FBS could improve the efficacy of whole-cell vaccines.
PMID- 27889408
TI - An RNA-Based Fluorescent Biosensor for High-Throughput Analysis of the cGAS-cGAMP
STING Pathway.
AB - In mammalian cells, the second messenger (2'-5',3'-5') cyclic guanosine
monophosphate-adenosine monophosphate (2',3'-cGAMP), is produced by the cytosolic
DNA sensor cGAMP synthase (cGAS), and subsequently bound by the stimulator of
interferon genes (STING) to trigger interferon response. Thus, the cGAS-cGAMP
STING pathway plays a critical role in pathogen detection, as well as
pathophysiological conditions including cancer and autoimmune disorders. However,
studying and targeting this immune signaling pathway has been challenging due to
the absence of tools for high-throughput analysis. We have engineered an RNA
based fluorescent biosensor that responds to 2',3'-cGAMP. The resulting "mix-and
go" cGAS activity assay shows excellent statistical reliability as a high
throughput screening (HTS) assay and distinguishes between direct and indirect
cGAS inhibitors. Furthermore, the biosensor enables quantitation of 2',3'-cGAMP
in mammalian cell lysates. We envision this biosensor-based assay as a resource
to study the cGAS-cGAMP-STING pathway in the context of infectious diseases,
cancer immunotherapy, and autoimmune diseases.
PMID- 27889409
TI - Bioactive Compound Screen for Pharmacological Enhancers of Apolipoprotein E in
Primary Human Astrocytes.
AB - Pharmacological screening in physiologically relevant brain cells is crucial for
identifying neuroactive compounds that better translate into in vivo biology and
efficacious therapeutics. Pharmacological enhancement of apolipoprotein E (apoE),
a cholesterol-transporting apolipoprotein, has been proposed as a promising
therapeutic approach for Alzheimer's disease. Several nuclear receptor agonists
were initially shown to increase brain apoE levels together with ATP-binding
cassette transporter 1 (ABCA1), but their underlying mechanisms remain unclear.
To gain an insight on brain apoE regulation, we performed an unbiased high
throughput screening of known drugs and bioactive compounds in cultured human
primary astrocytes, the major apoE-producing cell type in the brain. We have
identified several small molecules that increase apoE secretion via previously
unknown mechanisms, including those not co-inducing ABCA1. These newly identified
compounds are active preferentially in human astrocytes but not in an astrocytoma
cell line, furnishing new tools for investigating biological pathways underlying
brain apoE production.
PMID- 27889410
TI - Multiple states of environmental regulation in well-mixed model biospheres.
AB - The Gaia hypothesis postulates that life influences Earth's feedback mechanisms
to form a self regulating system. This provokes the question: how can global self
regulation evolve? Most models demonstrating environmental regulation involving
life have relied on alignment between local selection and global regulation. In
these models environment-improving individuals or communities spread to
outcompete environment degrading individuals/communities, leading to global
regulation, but this depends on local differences in environmental conditions. In
contrast, well-mixed components of the Earth system, such as the atmosphere, lack
local environmental differentiation. These previous models do not explain how
global regulation can emerge in a system with no well defined local environment,
or where the local environment is overwhelmed by global effects. We present a
model of self-regulation by 'microbes' in an environment with no spatial
structure. These microbes affect an abiotic 'temperature' as a byproduct of
metabolism. We demonstrate that global self-regulation can arise in the absence
of spatial structure in a diverse ecosystem without localised environmental
effects. We find that systems can exhibit nutrient limitation and two temperature
limitation regimes where the temperature is maintained at a near constant value.
During temperature regulation, the total temperature change caused by the
microbes is kept near constant by the total population expanding or contracting
to absorb the impacts of new mutants on the average affect on the temperature per
microbe. Dramatic shifts between low temperature regulation and high temperature
regulation can occur when a mutant arises that causes the sign of the temperature
effect to change. This result implies that self-regulating feedback loops can
arise without the need for spatial structure, weakening criticisms of the Gaia
hypothesis that state that with just one Earth, global regulation has no
mechanism for developing because natural selection requires selection between
multiple entities.
PMID- 27889411
TI - Modelling three-dimensional fungal growth in response to environmental stimuli.
AB - Most fungi grow by developing complex networks that enable the translocation of
nutrients over large distances. Spatially explicit mathematical models are able
to capture both the complexity of the fungal network and the biomass evolution,
as such providing a powerful alternative to classical modelling paradigms.
Unfortunately, most of these models restrict growth to two dimensions or confine
it to a lattice, thereby resulting in unrealistic representations of fungal
networks. In addition, interactions between fungi and their environment are often
neglected. In response, this work presents a lattice-free three-dimensional
fungal growth model that accounts for the interactions between the in silico
fungus and different substrates and media. A sensitivity analysis was carried out
to identify the key model parameters for future calibration. Finally, a scenario
analysis covering a variety of growth conditions was conducted to illustrate the
broad scope of the model and its ability to replicate in situ growth scenarios.
PMID- 27889412
TI - Cannabidiol reduces neuroinflammation and promotes neuroplasticity and functional
recovery after brain ischemia.
AB - This study investigated the effects of cannabidiol (CBD), a non-psychotomimetic
phytochemical present in Cannabis sativa, on the cognitive and emotional
impairments induced by bilateral common carotid artery occlusion (BCCAO) in mice.
Using a multi-tiered behavioral testing battery during 21days, we found that
BCCAO mice exhibited long-lasting functional deficits reflected by increase in
anxiety-like behavior (day 9), memory impairments (days 12-18) and despair-like
behavior (day 21). Short-term CBD 10mg/kg treatment prevented the cognitive and
emotional impairments, attenuated hippocampal neurodegeneration and white matter
(WM) injury, and reduced glial response that were induced by BCCAO. In addition,
ischemic mice treated with CBD exhibited an increase in the hippocampal brain
derived neurotrophic factor (BDNF) protein levels. CBD also stimulated
neurogenesis and promoted dendritic restructuring in the hippocampus of BCCAO
animals. Collectively, the present results demonstrate that short-term CBD
treatment results in global functional recovery in ischemic mice and impacts
multiple and distinct targets involved in the pathophysiology of brain ischemic
injury.
PMID- 27889413
TI - Molecular diagnosis of bloodstream infections in onco-haematology patients with
PCR/ESI-MS technology.
AB - OBJECTIVES: Onco-haematological patients are prone to develop infections, and
antibiotic prophylaxis may lead to negative blood cultures. Thus, the
microbiological diagnosis and subsequent administration of a targeted
antimicrobial therapy is often difficult. The goal of this study was to evaluate
the usefulness of IRIDICA (PCR/ESI-MS technology) for the molecular diagnosis of
bloodstream infections in this patient group. METHODS: A total of 463 whole blood
specimens from different sepsis episodes in 429 patients were analysed using the
PCR/ESI-MS platform, comparing the results with those of blood culture and other
clinically relevant information. RESULTS: The sensitivity of PCR/ESI-MS by
specimen (excluding polymicrobial infections, n = 25) in comparison with blood
culture was 64.3% overall, 69.0% in oncological patients, and 59.3% in
haematological patients. When comparing with a clinical infection criterion,
overall sensitivity rose to 74.7%, being higher in oncological patients (80.0%)
than in haematological patients (67.7%). Thirty-one microorganisms isolated by
culture were not detected by IRIDICA, whereas 42 clinically relevant pathogens
not isolated by culture were detected moleculary. CONCLUSIONS: PCR/ESI-MS offers
a reliable identification of pathogens directly from whole blood. While
additional studies are needed to confirm our findings, the system showed a lower
sensitivity in onco-haematological patients in comparison with previously
reported results in patients from the Intensive Care Unit.
PMID- 27889414
TI - Changes in glucose-induced plasma active glucagon-like peptide-1 levels by co
administration of sodium-glucose cotransporter inhibitors with dipeptidyl
peptidase-4 inhibitors in rodents.
AB - We investigated whether structurally different sodium-glucose cotransporter
(SGLT) 2 inhibitors, when co-administered with dipeptidyl peptidase-4 (DPP4)
inhibitors, could enhance glucagon-like peptide-1 (GLP-1) secretion during oral
glucose tolerance tests (OGTTs) in rodents. Three different SGLT inhibitors-1
(beta-d-Glucopyranosyl)-4-chloro-3-[5-(6-fluoro-2-pyridyl)-2
thienylmethyl]benzene (GTB), TA-1887, and canagliflozin-were examined to assess
the effect of chemical structure. Oral treatment with GTB plus a DPP4 inhibitor
enhanced glucose-induced plasma active GLP-1 (aGLP-1) elevation and suppressed
glucose excursions in both normal and diabetic rodents. In DPP4-deficient rats,
GTB enhanced glucose-induced aGLP-1 elevation without affecting the basal level,
whereas metformin, previously reported to enhance GLP-1 secretion, increased both
the basal level and glucose-induced elevation. Oral treatment with canagliflozin
and TA-1887 also enhanced glucose-induced aGLP-1 elevation when co-administered
with either teneligliptin or sitagliptin. These data suggest that structurally
different SGLT2 inhibitors enhance plasma aGLP-1 elevation and suppress glucose
excursions during OGTT when co-administered with DPP4 inhibitors, regardless of
the difference in chemical structure. Combination treatment with DPP4 inhibitors
and SGLT2 inhibitors having moderate SGLT1 inhibitory activity may be a promising
therapeutic option for improving glycemic control in patients with type 2
diabetes mellitus.
PMID- 27889415
TI - Controlled extended octenidine release from a bacterial nanocellulose/Poloxamer
hybrid system.
AB - Although bacterial nanocellulose (BNC) has been widely investigated in the last
10years as drug delivery system, up to now no long-term controlled release of
drugs could be realized. Therefore, the aim of the present work was the
development of a BNC-based drug delivery system that provides prolonged retention
time for the antiseptic octenidine up to one week with improved mechanical and
antimicrobial properties as well as a high biocompatibility. BNC was modified by
incorporation of differently concentrated Poloxamers 338 and 407 as micelles and
gels that were extensively investigated regarding size, surface charge, and
dynamic viscosity. Depending on type and concentration of the Poloxamer, a
retarded octenidine release up to one week could be accomplished. Additionally,
superior material properties such as high compression stability and water binding
could be achieved. The antimicrobial activity of octenidine against
Staphylococcus aureus and Pseudomonas aeruginosa was not changed by the use of
Poloxamers. Excellent biocompatibility of the Poloxamer loaded BNC could be
demonstrated after local administration in a shell-less hen's egg model. In
conclusion, a long-term delivery system consisting of BNC and Poloxamer could be
developed for octenidine as a ready-to-use system e.g. for long-term dermal wound
treatment.
PMID- 27889416
TI - Reducing spontaneous recovery and reinstatement of operant performance through
extinction-cues.
AB - It has been argued that the response recovery effects share a common mechanism. A
possible way to test it is evaluating whether the techniques that impaired
renewal would impair the other recovery effects as well. Two experiments with
rats used a free operant procedure to explore whether an extinction-cue could
prevent the spontaneous recovery and reinstatement of an extinguished lever
pressing. Both experiments consisted of four phases: Acquisition, Extinction and
Test 1 and Test 2. First, all rats were trained to perform one instrumental
response (R1) for food in context A, and a different instrumental response (R2)
for food in context B. Then, responses were extinguished within the same context:
R1 in context A and R2 in context B. Throughout this phase all rats received
brief presentations of a tone (extinction-cue). In both experiments animals were
tested twice. The first test was conducted immediately after the last extinction
session. In this test, rats received the extinction-cue for both responses.
During the second test, rats experienced the tone only for R1. In Experiment 1
rats were tested after 5days, while for Experiment 2 test 2 took place after a
single session of re-exposure to the food. Both experiments showed a recovery
effect (spontaneous recovery in Experiment 1 and reinstatement in Experiment 2)
for both responses. However, a cue featured in extinction attenuated recovery of
R1 in both experiments when presented on the test. The findings suggest that
spontaneous recovery, reinstatement and renewal might share a common mechanism.
In addition, the present data shows that using an extinction-cue could help to
reduces relapsing of voluntary behaviors.
PMID- 27889417
TI - Dietary Zinc and Incident Calcium Kidney Stones in Adolescence.
AB - PURPOSE: We determined the association between dietary zinc intake and incident
calcium kidney stones in adolescents. We also examined the relationship between
dietary zinc intake and urinary zinc excretion between cases and controls.
MATERIALS AND METHODS: We conducted a nested case-control study within a large
pediatric health care system. Three 24-hour dietary recalls and spot urine
chemistry analyses were obtained for 30 participants 12 to 18 years old with a
first idiopathic calcium based kidney stone and 30 healthy controls matched for
age, sex, race and month of enrollment. Conditional logistic regression models
were used to estimate the association between daily zinc intake and incident
calcium kidney stones, adjusting for dietary phytate, protein, calcium, sodium
and oxalate. Multivariable linear regression was used to estimate the association
between dietary and urine zinc, adjusting for urine creatinine and dietary
phytate and calcium. RESULTS: Cases had lower daily zinc intake (8.1 mg) than
controls (10 mg, p = 0.029). Daily zinc intake of boys and girls with calcium
stones was 2 mg and 1.2 mg less, respectively, than the daily intake recommended
by the Institute of Medicine. Odds of incident stones were reduced by 13% for
every 1 mg increase in daily zinc intake (OR 0.87, 95% CI 0.75-0.99). There was
an estimated 4.5 MUg/dl increase in urine zinc for every 1 mg increase in dietary
zinc (p = 0.009), with weak evidence of a smaller increase in urine zinc in cases
than in controls (interaction p = 0.08). CONCLUSIONS: Decreased dietary zinc
intake was independently associated with incident calcium nephrolithiasis in this
population of adolescents.
PMID- 27889418
TI - A Statewide Intervention Improves Appropriate Imaging in Localized Prostate
Cancer.
AB - PURPOSE: We implemented a statewide intervention to improve imaging utilization
for the staging of patients with newly diagnosed prostate cancer. MATERIALS AND
METHODS: MUSIC (Michigan Urological Surgery Improvement Collaborative) is a
quality improvement collaborative comprising 42 diverse practices representing
approximately 85% of the urologists in Michigan. MUSIC has developed imaging
appropriateness criteria (prostate specific antigen greater than 20 ng/ml,
Gleason score 7 or higher and clinical stage T3 or higher) which minimize
unnecessary imaging with bone scan and computerized tomography. After baseline
rates of radiographic staging were established in 2012 and 2013, we used
multidimensional interventions to deploy these criteria in 2014. Imaging
utilization was then remeasured in 2015 to evaluate for changes in practice
patterns. RESULTS: A total of 10,554 newly diagnosed patients with prostate
cancer were entered into the MUSIC registry from January 1, 2012 through December
31, 2013 and January 1, 2015 through December 31, 2015. Of these patients 7,442
(79%) and 7,312 (78%) met our criteria to avoid bone scan and computerized
tomography imaging, respectively. The use of bone scan imaging when not indicated
decreased from 11.0% at baseline to 6.5% after interventions (p <0.0001). The use
of computerized tomography when not indicated decreased from 14.7% at baseline to
7.7% after interventions (p <0.0001). Variability among practices decreased
substantially after the interventions as well. The use of recommended imaging
remained stable during these periods. CONCLUSIONS: An intervention aimed at
appropriate use of imaging was associated with decreased use of bone scans and
computerized tomography among men at low risk for metastases.
PMID- 27889419
TI - Validation and Reliability of the Wisconsin Stone Quality of Life Questionnaire.
AB - PURPOSE: WISQOL (Wisconsin Stone Quality of Life questionnaire) is a disease
specific, health related quality of life measure designed for patients who form
kidney stones. The purpose of this study was to demonstrate the external and
convergent validity of WISQOL and assess its psychometric properties. MATERIALS
AND METHODS: At the WISQOL creation site (development sample) and at 8
geographically diverse centers in the United States and Canada (consortium
sample) patients with a history of kidney stones were recruited. Item response
option variability, correlation patterns and internal consistency were compared
between samples. Convergent validity was assessed by patients who completed both
WISQOL and SF-36v2(r) (36-Item Short Form Health Survey, version 2). RESULTS:
Results were analyzed in 1,609 patients, including 275 in the development sample
and 1,334 in the consortium sample. Response option variability patterns of all
items were acceptable. Internal WISQOL consistency was acceptable. Intersample
score comparisons revealed few differences. For both samples the domain-total
WISQOL score correlations exceeded 0.86. Item level analyses demonstrated
suitable variation, allowing for discriminatory scoring. At the time that they
completed WISQOL, patients with stones and stone related symptoms scored lowest
for health related quality of life. Patients with stones but no symptoms and
those with no stones scored higher. The convergent validity substudy confirmed
the ability of WISQOL to identify stone specific decrements in health related
quality of life that were not identified on SF-36v2. CONCLUSIONS: WISQOL is
internally consistent and discriminates among patients with different stone
statuses and symptoms. WISQOL is externally valid across the North American
population. It may be used for multicenter health related quality of life studies
in kidney stone disease.
PMID- 27889420
TI - Correlation of phenotypic tests with the presence of the blaZ gene for detection
of beta-lactamase.
AB - Staphylococcus aureus and Staphylococcus saprophyticus are the most common and
most important staphylococcal species associated with urinary tract infections.
The objective of the present study was to compare and to evaluate the accuracy of
four phenotypic methods for the detection of beta-lactamase production in
Staphylococcus spp. Seventy-three strains produced a halo with a diameter <=28mm
(penicillin resistant) and all of them were positive for the blaZ gene. Among the
28 susceptible strain (halo >=29mm), 23 carried the blaZ gene and five did not.
The zone edge test was the most sensitive (90.3%), followed by MIC determination
(85.5%), but the specificity of the former was low (40.0%). The nitrocefin test
was the least sensitive (28.9%). However, the nitrocefin test together with the
disk diffusion method showed the highest specificity (100%). The present results
demonstrated that the zone edge test was the most sensitive phenotypic test for
detection of beta-lactamase, although it is still not an ideal test to detect
this type of resistance since its specificity was low. However, the inhibition
halo diameter of the penicillin disk can be used together with the zone edge test
since the same disk is employed in the two tests. Combined analysis of the two
tests shows a sensitivity of 90.3% and specificity of 100%, proving better
sensitivity, especially for S. saprophyticus. This is a low-cost test of easy
application and interpretation that can be used in small and medium-sized
laboratories where susceptibility testing is usually performed by the disk
diffusion method.
PMID- 27889421
TI - Production of native arbuscular mycorrhizal fungi inoculum under different
environmental conditions.
AB - In order to obtain an arbuscular mycorrhizal fungi (AMF) native inoculum from
Sierra de Moa and determine the most appropriate conditions for its big scale
production, four light and temperature combinations were tested in three plant
species (Calophyllum antillanum, Talipariti elatum and Paspalum notatum). Growth
and development parameters, as well as the mycorrhizal functioning of the
seedlings were evaluated. The natural light treatment under high temperatures (L
H) was the most suitable for the growth and development of the three plant
species, showing the highest total biomass values, mainly of root, and a positive
root-shoot ratio balance. This treatment also promoted higher values of root
mycorrhizal colonization, external mycelium and AMF spore density. A total of 38
AMF species were identified among the plants and environmental conditions tested.
Archaeospora sp.1, Glomus sp.5, Glomus brohultii and G. glomerulatum were
observed in all the treatments. The L-H condition can be recommended for native
inoculum production, as it promotes a better expression of the AM symbiosis and
an elevated production of mycorrhizal propagules.
PMID- 27889422
TI - Symptomatic pancreatic duct stones in the disconnected bile duct: A case series.
AB - BACKGROUND: Pancreaticobiliary maljunction (PBM) refers to the union of the
pancreatic and biliary ducts outside of the duodenal wall. Patients are at
increased risk of bile duct and gallbladder cancer, likely secondary to
pancreatic juice refluxing into the biliary tree, and it is recommended that they
undergo biliary diversion. METHODS: This is a case series of all patients in our
institution with PBM and bilioenteric anastomosis who presented with symptomatic
pancreatic duct stones in a disconnected bile duct. IRB approval was obtained
prior to the initiation of the study. RESULTS: We describe eight cases of this
finding. All patients underwent ERCP, with stones successfully removed from the
disconnected bile duct in seven patients and from the pancreatic duct in one
patient. CONCLUSION: This novel finding has not been described in the medical
literature, and may become more prevalent as more patients with PBM undergo
bilioenteric anastomosis.
PMID- 27889423
TI - TRPV4 ION Channel Is Associated with Scleroderma.
PMID- 27889424
TI - HIV Vaccines: One Step Closer.
AB - Currently there is no effective vaccine against human immunodeficiency virus
(HIV). Four recently published studies in Cell and Immunity now show that using
planned sequential boosting with antigens to guide the humoral response towards
broadly neutralizing antibodies could provide a solution to achieving vaccination
against HIV-1.
PMID- 27889425
TI - 'Yoga for Lifestyle Diseases': Conference held on 2nd International Day of Yoga
2016 at Kolar, India.
PMID- 27889426
TI - A selective review of dharana and dhyana in healthy participants.
AB - Attention is an important part of the process of meditation. Traditional Yoga
texts describe two stages of meditation which follow each other in sequence.
These are meditative focusing (dharana in Sanskrit) and effortless meditation
(dhyana in Sanskrit). This review evaluated eight experimental studies conducted
on participants in normal health, who practiced dharana and dhyana. The studies
included evaluation of autonomic and respiratory variables, eLORETA and sLORETA
assessments of the EEG, evoked potentials, functional magnetic resonance imaging,
cancellation task performance and emotional intelligence. The studies differed in
their sample size, design and the method of practicing dharana and dhyana. These
factors have been detailed. The results revealed differences between dharana and
dhyana, which would have been missed if the two stages of meditation had not been
studied separately.
PMID- 27889427
TI - Piper betel leaves induces wound healing activity via proliferation of
fibroblasts and reducing 11beta hydroxysteriod dehydrogenase-1 expression in
diabetic rat.
AB - BACKGROUND: Increased oxidative stress and stress enzyme 11beta hydroxysteriod
dehydrogenase-1 (11beta HSD-1) served as the major contributing factors for
delayed wound healing in diabetes mellitus (DM). Piper betel (PB) leaves are
reported to possess anti-diabetic, anti-oxidant and anti-microbial properties.
OBJECTIVE: The objective was to investigate the effectiveness of topical
application of PB leaves extract on oxidative stress and 11beta hydroxysteriod
dehydrogenase-1 (11beta HSD-1) expression in diabetic wounds. MATERIALS AND
METHODS: A total 64 male Sprague-Dawley rats were randomly chosen. The
experimental rats received a single intramuscular injection of streptozotocin (45
mg/kg). Four full thickness (6 mm) wounds were created on the dorsum of each rat.
The animals were equally divided (n = 8) into four groups based on the days of
treatment (i.e. days 3 and 7): Control (Ctrl), diabetic untreated (DM-Ctrl),
diabetic treated with 1% silver nitrate cream (DM-SN) and diabetic treated with
50 mg/kg of P. betel leaves extract (DM-PB). The rats were sacrificed on day 3
and 7 of post wound creations. RESULTS: Following day 7 wound creation, topical
application of PB extract showed significant increase in hydroxyproline content,
superoxide dismutase (SOD) level and decreased malondialdehyde (MDA) level,
11beta-HSD-1 enzyme expression in the diabetic wounds compared to untreated
diabetic wounds. The results were supported by the observations based on
histological and ultrastructural features of the wound tissue applied with PB
extract. CONCLUSION: PB leaves extract improved the delayed wound healing in
diabetes mellitus by decreasing the oxidative stress markers and 11beta HSD-1
expression.
PMID- 27889428
TI - Spectroscopic and E-tongue evaluation of medicinal plants: A taste of how rasa
can be studied.
AB - BACKGROUND: The use of medicinal plants in Ayurveda is based on rasa, generally
taken to represent taste as a sensory perception. This chemosensory parameter
plays an important role in Ayurvedic pharmacology. OBJECTIVE: The aim is to
explore the use of structuro-functional information deduced from analytical
techniques for the rasa-based classification of medicinal plants in Ayurveda.
MATERIALS AND METHODS: Methods of differential sensing and spectroscopic
metabolomics have been used in select medicinal plants from three different taste
categories (sweet, pungent and multiple taste): Tribulus terrestris, Vitis
vinifera and Glycyrrhiza glabra from sweet category; Piper longum, Cuminum
cyminum and Capsicum annum from pungent group; Emblica officinalis with five
tastes. While Electronic tongue was used for evaluation of the sensorial property
of taste, the chemical properties were studied with Nuclear Magnetic Resonance
(NMR), Fourier Transform InfraRed (FTIR) and Laser Induced Breakdown Spectroscopy
(LIBS). RESULTS: In terms of taste and phytochemical profiles, all samples were
unique but with similarities within each group. While the sensor response in E
tongue showed similarities within the sweet and pungent categories, NMR spectra
in the aromatic region showed close similarities between the plants in the sweet
category. The sensory, phytochemical and phytoelemental profiles of E.
officinalis (with five rasa) in particular, were unique. CONCLUSION: A
combination of sensorial and chemical descriptors is a promising approach for a
comprehensive evaluation and fingerprinting of the Ayurvedic pharmacological
parameter rasa.
PMID- 27889429
TI - Plasmatic presepsin (sCD14-ST) concentrations in acute pyelonephritis in adult
patients.
AB - INTRODUCTION: Presepsin (sCD14-ST) is an emerging biomarker for infection. We
hypothesized that presepsin could specifically increase during acute
pyelonephritis and correlate with severity. METHODS: We compared presepsin values
in patients with acute pyelonephritis and controls, and we assessed its capacity
to predict bacteraemia and admission in patients. RESULTS: In 312 patients with
acute pyelonephritis (median age 33years), presepsin concentrations were higher
than in controls (476 vs 200ng/L, p<0.001). ROC curve indicated an AUC at 0.90
[for presepsin (vs. 0.99 and 0.98 for CRP and PCT, respectively; p<0.05) and an
optimal threshold at 340ng/L (74% sensitivity, 94% specificity). Presepsin
concentrations increased in acute pyelonephritis patients with bacteraemia (614
vs. 461ng/L, p,=0.001) and in those requiring admission (614ng/L vs. 320ng/L,
p<0.001). Performance of presepsin to predict bacteraemia [AUC=0.63, 95%CI: 0.55
0.72] was similar to CRP (AUC=0.64, p=0.87) and less accurate than PCT (AUC=0.78,
p<0.001). AUC for presepsin to detect the need for admission was 0.67, and
comparable to CRP (p=0.26) and PCT (p=0.18). CONCLUSION: Presepsin is a valuable
biomarker to detect patients with acute pyelonephritis. However, it presents mild
performance to predict bacteraemia and the need for admission, and offers no
advantage as compared to CRP and PCT.
PMID- 27889430
TI - Classification of teeth in cone-beam CT using deep convolutional neural network.
AB - Dental records play an important role in forensic identification. To this end,
postmortem dental findings and teeth conditions are recorded in a dental chart
and compared with those of antemortem records. However, most dentists are
inexperienced at recording the dental chart for corpses, and it is a physically
and mentally laborious task, especially in large scale disasters. Our goal is to
automate the dental filing process by using dental x-ray images. In this study,
we investigated the application of a deep convolutional neural network (DCNN) for
classifying tooth types on dental cone-beam computed tomography (CT) images.
Regions of interest (ROIs) including single teeth were extracted from CT slices.
Fifty two CT volumes were randomly divided into 42 training and 10 test cases,
and the ROIs obtained from the training cases were used for training the DCNN.
For examining the sampling effect, random sampling was performed 3 times, and
training and testing were repeated. We used the AlexNet network architecture
provided in the Caffe framework, which consists of 5 convolution layers, 3
pooling layers, and 2 full connection layers. For reducing the overtraining
effect, we augmented the data by image rotation and intensity transformation. The
test ROIs were classified into 7 tooth types by the trained network. The average
classification accuracy using the augmented training data by image rotation and
intensity transformation was 88.8%. Compared with the result without data
augmentation, data augmentation resulted in an approximately 5% improvement in
classification accuracy. This indicates that the further improvement can be
expected by expanding the CT dataset. Unlike the conventional methods, the
proposed method is advantageous in obtaining high classification accuracy without
the need for precise tooth segmentation. The proposed tooth classification method
can be useful in automatic filing of dental charts for forensic identification.
PMID- 27889431
TI - Multiclass cancer classification using a feature subset-based ensemble from
microRNA expression profiles.
AB - Cancer classification has been a crucial topic of research in cancer treatment.
In the last decade, messenger RNA (mRNA) expression profiles have been widely
used to classify different types of cancers. With the discovery of a new class of
small non-coding RNAs; known as microRNAs (miRNAs), various studies have shown
that the expression patterns of miRNA can also accurately classify human cancers.
Therefore, there is a great demand for the development of machine learning
approaches to accurately classify various types of cancers using miRNA expression
data. In this article, we propose a feature subset-based ensemble method in which
each model is learned from a different projection of the original feature space
to classify multiple cancers. In our method, the feature relevance and redundancy
are considered to generate multiple feature subsets, the base classifiers are
learned from each independent miRNA subset, and the average posterior probability
is used to combine the base classifiers. To test the performance of our method,
we used bead-based and sequence-based miRNA expression datasets and conducted 10
fold and leave-one-out cross validations. The experimental results show that the
proposed method yields good results and has higher prediction accuracy than
popular ensemble methods. The Java program and source code of the proposed method
and the datasets in the experiments are freely available at
https://sourceforge.net/projects/mirna-ensemble/.
PMID- 27889432
TI - Decrease of high voltage Ca2+ currents in the dentate gyrus granule cells by
entorhinal amyloidopathy is reversed by calcium channel blockade.
AB - In the Alzheimer's disease (AD), entorhinal-hippocampal circuit is one of the
earliest affected networks. There are some evidences indicating abnormal neuronal
excitability and impaired synaptic plasticity in the dentate gyrus (DG) of AD
animal model. However, the underlying mechanism leading to DG dysfunction
particularly in the early phase of AD is not known. Since calcium dyshomeostasis
has a critical role in the etiology of AD, it is possible that this phenomenon
precedes electrophysiological alteration in the DG. Here, the effect of the
amyloid pathogenesis in the entorhinal cortex (EC) on high activated Ca2+
currents in the DG granule cells was investigated. One week after bilaterally
injection of amyloid beta (Abeta) 1-42 into the EC, Ca2+ currents in the DG
granule cells were assessed by whole cell patch clamp. Voltage clamp recording
showed the amplitude of high voltage calcium currents in the DG granule cells was
decreased following EC amyloidopathy. However, the Ca2+ current decay was slower
than control. Double-pulse recording revealed that Ca2+-dependent inactivation of
calcium current (CDI) was more pronounced in the EC-Abeta group compared to the
control group. However, chronic treatment by calcium channel blocker (CCBs),
isradipine or nimodipine, reverse the Ca2+ currents toward the control level. On
the other hand, there was no significant difference in the calbindin level in the
DG of different groups. In conclusion, our results suggest that Abeta in the EC
independent of calbindin level triggers a decreased Ca2+ currents along with
increased CDI in the DG granule cells which may lead to further
electrophysiological alterations in these cells, and treatment by CCBs could
preserve normal calcium current and may ultimately normal function against the
Abeta toxicity.
PMID- 27889433
TI - The protective effect of curcumin in Olfactory Ensheathing Cells exposed to
hypoxia.
AB - Curcumin, a phytochemical component derived from the rhizomes of Curcuma longa,
has shown a great variety of pharmacological activities, such as anti
inflammatory, anti-tumor, anti-depression and anti-oxidant activity. Therefore,
in the last years it has been used as a therapeutic agent since it confers
protection in different neurodegenerative diseases, cerebral ischemia and
excitotoxicity. Olfactory Ensheathing Cells (OECs) are glial cells of the
olfactory system. They are able to secrete several neurotrophic growth factors,
promote axonal growth and support the remyelination of damaged axons. OEC
transplantation has emerged as a possible experimental therapy to induce repair
of spinal cord injury, even if the functional recovery is still limited. Since
hypoxia is a secondary effect in spinal cord injury, this in vitro study
investigates the protective effect of curcumin in OECs exposed to hypoxia.
Primary OECs were obtained from neonatal rat olfactory bulbs and placed both in
normal and hypoxic conditions. Furthermore, some cells were grown with basic
Fibroblast Growth Factor (bFGF) and/or curcumin at different concentration and
times. The results obtained through immunocytochemical procedures and MTT test
show that curcumin stimulates cell viability in OECs grown in normal and hypoxic
conditions. Furthermore, the synergistic effect of curcumin and bFGF is the most
effective exerting protection on OECs. Since spinal cord injury is often
accompanied by secondary insults, such as ischemia or hypoxia, our results
suggest that curcumin in combination with bFGF might be considered a possible
approach for restoration in injuries.
PMID- 27889434
TI - Effects of contingent and noncontingent nicotine on lever pressing for liquids
and consumption in water-deprived rats.
AB - Nicotine has been proposed to be a primary reinforcer and a reinforcement
enhancer. To date, no studies have examined whether nicotine enhances
consummatory behaviors or only operant responding (appetitive behaviors).
Experiments were designed to test whether contingent and noncontingent nicotine
enhance lever pressing for and consumption of fluids in water-deprived rats.
Animals were water-deprived throughout all experiments. They were trained to
press two levers under a variable interval (VI-20, 1-35s). Their lever pressing
and water consumption were measured after noncontingent subcutaneous (s.c.)
injection of nicotine (1mg/kg), and in 3 choice conditions (water and quinine
solution (18ug/ml); water and nicotine (32ug/ml) solution; quinine (18ug/ml) and
nicotine (32ug/ml) solutions) where nicotine was thus delivered contingently upon
lever pressing. The effects of nicotine (1mg/kg; s.c.) on the consumption of
water in a time-limited free access (1h) paradigm were assessed. Nicotine
significantly increased lever pressing and the number of earned reinforcements on
both levers in the two choice conditions and when administered s.c. compared to
all groups that did not receive nicotine. However, under no condition did animals
consume more fluids than baseline. Under the time-limited free access condition
nicotine reduced water consumption. Although our findings do not support a
reinforcing effect for nicotine, they are consistent with the incentive
amplification hypothesis. Its relevance for human smoking is yet unclear.
PMID- 27889435
TI - Role of HMGB1 translocation to neuronal nucleus in rat model with septic brain
injury.
AB - High-mobility Group Box-1 (HMGB1) is a central late proinflammatory cytokine that
triggers the inflammatory response during sepsis. However, whether HMGB1 is
involved in the pathogenesis of septic brain damage is unknown. In this study, we
investigated the role of HMGB1 in regulating brain injury in a rat model of
sepsis. Wistar rats were subjected to cecal ligation and puncture (CLP) to induce
septic brain injury. Hematoxylin and eosin staining was used to detect
pathological changes in the cortex. The cellular localization of HMGB1 was
determined using immunostaining. Cortical levels of HMGB1, its receptor for
advanced glycation end-products (RAGE), and downstream effecter, nuclear factor
kappa-B (NF-kappaB) subunit p65, were detected via western blot.HMGB1was
increased in the cytoplasm via translocation from the nucleus predominantly in
neurons. Moreover, RAGE and NF-kappaB p65 were upregulated after septic brain
injury. Ethyl pyruvate, an inhibitor of HMGB1, down-regulated the expression of
RAGE and NF-kappaB p65via inhibiting HMGB1 expression in the cytoplasm.
Collectively, our findings suggest that HMGB1 and its signaling transduction have
critical roles in the pathogenesis of septic brain injury. HMGB1 inhibition might
be a potential new therapeutic target for septic brain injury.
PMID- 27889436
TI - Wide Variation Found in Care of Opioid-Exposed Newborns.
AB - OBJECTIVE: Standardized practices for the management of neonatal abstinence
syndrome (NAS) are associated with shorter lengths of stay, but optimal protocols
are not established. We sought to identify practice variations for newborns with
in utero chronic opioid exposure among hospitals in the Better Outcomes Through
Research for Newborns (BORN) network. METHODS: Nursery site leaders completed a
survey about hospitals' policies and practices regarding care for infants with
chronic opioid exposure (>=3 weeks). RESULTS: The 76 (80%) of 95 respondent
hospitals were in 34 states, varied in size (<500 to >8000 births and <10 to >200
opioid-exposed infants per year), with most affiliated with academic centers
(89%). Most (80%) had protocols for newborn drug exposure screening; 90% used
risk-based approaches. Specimens included urine (85%), meconium (76%), and
umbilical cords (10%). Of sites (88%) with NAS management protocols, 77%
addressed medical management, 72% nursing care, 72% pharmacologic treatment, and
58% supportive care. Morphine was the most common first-line pharmacotherapy
followed by methadone. Observation periods for opioid-exposed newborns varied;
57% observed short-acting opioid exposure for 2 to 3 days, while 30% observed for
>=5 days. For long-acting opioids, 71% observed for 4 to 5 days, 19% for 2 to 3
days, and 8% for >=7 days. Observation for NAS occurred mostly in level 1
nurseries (86%); however, most (87%) transferred to NICUs when pharmacologic
treatment was indicated. CONCLUSIONS: Most BORN hospitals had protocols for the
care of opioid-exposed infants, but policies varied widely and characterized
areas of needed research. Identification of variation is the first step toward
establishing best practice standards to improve care for this rapidly growing
population.
PMID- 27889437
TI - Hypofractionation Is an Acceptable Alternative to Conventional Fractionation in
the Treatment of Postlumpectomy Ductal Carcinoma In Situ With Radiotherapy.
AB - PURPOSE: The standard dose of postlumpectomy radiotherapy (RT) for ductal
carcinoma in situ (DCIS) is 50 Gy in 25 fractions using conventional
fractionation (CF). However, in invasive carcinoma, hypofractionation (HF) with
40 to 42.6 Gy in 15 to 16 fractions has largely become a standard of care. The
purpose of this study was to review the management of postlumpectomy DCIS in
terms of RT dose-fractionation and its impact on local recurrence (LR), in one of
the largest Canadian academic centers. METHODS AND MATERIALS: Between 2003 and
2008, a total of 348 women with DCIS were treated with postlumpectomy RT. Patient
characteristics, histopathology, dose-fractionation, use of endocrine therapy,
local, regional, contralateral breast recurrences, and cause of death were
collected. Local recurrence-free survival was determined. Univariate and
multivariate analyses were performed to identify risk factors for LR. RESULTS:
The median age of the cohort was 59 years. Two hundred two (58%) patients
received CF and 146 (42%) HF. Initially, the yearly proportion of HF was 34%, but
increased up to 68% since 2007. Estrogen receptor was positive in 195 patients,
and 43% of those received endocrine therapy. With a median follow-up of 64.8
months, 36 LRs were detected. The 5-year local recurrence-free survival rate was
94% for the HF group versus 91% for the CF group (P = .80). On multivariate
analysis, only the use of endocrine therapy showed a trend towards decreasing LR
(hazard ratio, 0.44; 95% confidence interval, 0.18-1.08; P = .07). CONCLUSIONS:
The utilization of HF for DCIS postlumpectomy has increased over time and is a
valid option as it results in similar rates of local control.
PMID- 27889438
TI - Disparities in Surgical Treatment of Early-Stage Breast Cancer Among Female
Residents of Texas: The Role of Racial Residential Segregation.
AB - INTRODUCTION: Early-stage breast cancer can be surgically treated by using
mastectomy or breast-conserving surgery and adjuvant radiotherapy, also known as
breast-conserving therapy (BCT). Little is known about the association between
racial residential segregation, year of diagnosis, and surgical treatment of
early-stage breast cancer, and whether racial residential segregation influences
the association between other demographic characteristics and disparities in
surgical treatment. METHODS: This was a retrospective study using data from the
Texas Cancer Registry composed of individuals diagnosed with breast cancer
between 1995 and 2012. The dependent variable was treatment using mastectomy or
BCT (M/BCT) and the independent variables of interest (IVs) were racial
residential segregation and year of diagnosis. The covariates were race,
residence, ethnicity, tumor grade, census tract (CT) poverty level, age at
diagnosis, stage at diagnosis, and year of diagnosis. Bivariate and multivariable
multilevel logistic regression models were estimated. The final sample size was
69,824 individuals nested within 4335 CTs. RESULTS: Adjusting for the IVs and all
covariates, there were significantly decreased odds of treatment using M/BCT, as
racial residential segregation increased from 0 to 1 (odds ratio [OR] 0.47; 95%
confidence interval [CI], 0.41-0.54). There was also an increased likelihood of
treatment using M/BCT with increasing year of diagnosis (OR 1.14; 95% CI, 1.13
1.16). A positive interaction effect between racial residential segregation and
race was observed (OR 0.56; 95% CI, 0.36-0.88). CONCLUSION: Residents of areas
with high indices of racial residential segregation were less likely to be
treated with M/BCT. Racial disparities in treatment using M/BCT increased with
increasing racial residential segregation.
PMID- 27889439
TI - When a gold standard isn't so golden: Lack of prediction of subjective sleep
quality from sleep polysomnography.
AB - BACKGROUND: Reports of subjective sleep quality are frequently collected in
research and clinical practice. It is unclear, however, how well polysomnographic
measures of sleep correlate with subjective reports of prior-night sleep quality
in elderly men and women. Furthermore, the relative importance of various
polysomnographic, demographic and clinical characteristics in predicting
subjective sleep quality is not known. We sought to determine the correlates of
subjective sleep quality in older adults using more recently developed machine
learning algorithms that are suitable for selecting and ranking important
variables. METHODS: Community-dwelling older men (n=1024) and women (n=459), a
subset of those participating in the Osteoporotic Fractures in Men study and the
Study of Osteoporotic Fractures study, respectively, completed a single night of
at-home polysomnographic recording of sleep followed by a set of morning
questions concerning the prior night's sleep quality. Questionnaires concerning
demographics and psychological characteristics were also collected prior to the
overnight recording and entered into multivariable models. Two machine learning
algorithms, lasso penalized regression and random forests, determined variable
selection and the ordering of variable importance separately for men and women.
RESULTS: Thirty-eight sleep, demographic and clinical correlates of sleep quality
were considered. Together, these multivariable models explained only 11-17% of
the variance in predicting subjective sleep quality. Objective sleep efficiency
emerged as the strongest correlate of subjective sleep quality across all models,
and across both sexes. Greater total sleep time and sleep stage transitions were
also significant objective correlates of subjective sleep quality. The amount of
slow wave sleep obtained was not determined to be important. CONCLUSIONS:
Overall, the commonly obtained measures of polysomnographically-defined sleep
contributed little to subjective ratings of prior-night sleep quality. Though
they explained relatively little of the variance, sleep efficiency, total sleep
time and sleep stage transitions were among the most important objective
correlates.
PMID- 27889441
TI - Corrections.
PMID- 27889440
TI - Non-heat shock responsive roles of HSF1 in Candida albicans are essential under
iron deprivation and drug defense.
AB - Recently, we have reported that the conditional mutant of the heat shock factor-1
(HSF1) in Candida albicans displays enhanced susceptibility not only towards a
plant alkaloid, berberine, but also to diverse antifungal drugs. The present
study attempts to identify additional phenotypes highlighting the non-heat shock
responsive roles of HSF1 that could be correlated with the enhanced drug
susceptibility. We uncover an intricate relationship between cellular iron and
HSF1 mediated drug susceptibility of C. albicans. Interestingly, at 30 degrees C,
the conditional deletion of HSF1 while presented no growth defect, exhibited low
intracellular iron. Notably, exogenous supplementation of iron reversed growth
defects of HSF1 mutant when grown at 37 degrees C. We provide evidence that the
HSF1 mutant presents interesting phenotypes at basal conditions and are
implicated in enhanced drug susceptibilities, dysfunctional mitochondria,
decreased resistance towards oxidative stress and compromised cell wall
integrity, all of which could be fully reversed upon iron supplementation. The
HSF1 mutant also displayed defective filamentation at basal conditions under
various solid hypha inducing media. Further, chelation of iron of HSF1 mutant
cells led to severe growth defects and apparently triggers an iron starvation
signal in the cell thus, demonstrating that HSF1 is essential for C. albicans
cells to tolerate the iron deprivation stress. Together, apart from the well
established roles of HSF1 in reciprocation of thermal stress, this study extends
its role under basal conditions and provides molecular insights into the role of
HSF1 in iron deprivation and drug defense of C. albicans.
PMID- 27889442
TI - Current perspectives in the interpretation of gunshot residues in forensic
science: A review.
AB - The traces produced when a firearm is discharged can provide important
information in cases when questions regarding a possible association of the
firearm with a person of interest (POI), time since discharge or shooting
distance are raised. With advances in technology, the forensic challenges
presented by these traces, known as gunshot residues (GSR), are moving from the
analytical domain to the interpretation of the analytical results. Different
interpretation frameworks are currently competing. Formal classification of
particles, using standards such as that produced by ASTM, focusses only on
evaluation of evidence at the sub-source level. Another approach, based on the
application of Bayesian reasoning - namely the case-by-case approach - has been
proposed that allows evaluation of evidence in regards to activity-related
questions. This alternative approach allows an evaluation of the evidence that is
more closely aligned to judicial and investigative aims. This paper critically
presents the state of the art in regards to GSR interpretation in a holistic
manner.
PMID- 27889443
TI - The application of silicon sol-gel technology to forensic blood substitute
development: Mimicking aspects of whole human blood rheology.
AB - Solution-gelation chemistry has promising applications in forensic synthetic
blood substitute development. This research offers a silicon-based sol-gel
approach to creating stable materials that share similar rheological properties
to that of whole human blood samples. Room temperature, high water content,
silicon sol-gels were created using the organosilane precursors 3
glycidoxypropyltrimethoxysilane and tetraethylorthosilicate along with various
concentrations of filler and pigment. Shear-thinning non-Newtonian properties
were observed within most formulations of the presented materials. The effects of
colloidal concentration, temperature, age and filler addition on the viscosity of
the sol-gels were investigated. SEM-EDS analysis was used to identify the
behavior of the fillers within the film and support their inclusion for basic
bloodstain pattern simulation. A final proposed candidate sol-gel was assessed
using a previously reported passive drip simulation test on a hard, dry surface
and passed. This works represents encouraging development in providing safe
material alternatives to using whole human blood for forensic training and
research.
PMID- 27889444
TI - The Effectiveness of Emotional Freedom Techniques in the Treatment of
Posttraumatic Stress Disorder: A Meta-Analysis.
AB - BACKGROUND: Over the past two decades, growing numbers of clinicians have been
utilizing emotional freedom techniques (EFT) in the treatment of posttraumatic
stress disorder (PTSD), anxiety, and depression. Randomized controlled trials
(RCTs) have shown encouraging outcomes for all three conditions. OBJECTIVE: To
assess the efficacy of EFT in treating PTSD by conducting a meta-analysis of
existing RCTs. METHODS: A systematic review of databases was undertaken to
identify RCTs investigating EFT in the treatment of PTSD. The RCTs were evaluated
for quality using evidence-based standards published by the American
Psychological Association Division 12 Task Force on Empirically Validated
Therapies. Those meeting the criteria were assessed using a meta-analysis that
synthesized the data to determine effect sizes. While uncontrolled outcome
studies were excluded, they were examined for clinical implications of treatment
that can extend knowledge of this condition. RESULTS: Seven randomized controlled
trials were found to meet the criteria and were included in the meta-analysis. A
large treatment effect was found, with a weighted Cohen's d = 2.96 (95% CI: 1.96
3.97, P < .001) for the studies that compared EFT to usual care or a waitlist. No
treatment effect differences were found in studies comparing EFT to other
evidence-based therapies such as eye movement desensitization and reprocessing
(EMDR; 1 study) and cognitive behavior therapy (CBT; 1 study). CONCLUSIONS: The
analysis of existing studies showed that a series of 4-10 EFT sessions is an
efficacious treatment for PTSD with a variety of populations. The studies
examined reported no adverse effects from EFT interventions and showed that it
can be used both on a self-help basis and as a primary evidence-based treatment
for PTSD.
PMID- 27889445
TI - Mindfulness Training for Health Profession Students-The Effect of Mindfulness
Training on Psychological Well-Being, Learning and Clinical Performance of Health
Professional Students: A Systematic Review of Randomized and Non-randomized
Controlled Trials.
AB - BACKGROUND: High levels of stress have been identified in medical students and
increasingly in other health profession student population groups. As stress can
affect psychological well-being and interfere with learning and clinical
performance, there is a clear argument for universities to include health
professional student well-being as an outcome in core curriculum. Mindfulness
training is a potential construct to manage stress and enhance academic success.
OBJECTIVES: The aims of this systematic review were to assess the effectiveness
of mindfulness training in medical and other health professional student
population groups and to compare the effectiveness of the different mindfulness
based programs. DATA SOURCES: A literature search was completed using The
Cochrane library, Medline, Cinahl, Embase, Psychinfo, and ERIC (proquest)
electronic databases from inception to June 2016. Randomized and non-randomized
controlled trials were included. Of the potential 5355 articles, 19 met the
inclusion criteria. STUDY SELECTION PARTICIPANTS AND INTERVENTIONS: Studies
focused on medical (n = 10), nursing (n = 4), social work (n = 1), psychology (n
= 1), and medical plus other health (n = 3) students. Interventions were based on
mindfulness. DATA EXTRACTION: The 19 studies included 1815 participants. Meta
analysis was performed evaluating the effect of mindfulness training on
mindfulness, anxiety, depression, stress, mood, self-efficacy, and empathy. The
effect of mindfulness on academic performance was discussed. DATA SYNTHESIS AND
CONCLUSIONS: Mindfulness-based interventions decrease stress, anxiety, and
depression and improve mindfulness, mood, self-efficacy, and empathy in health
profession students. Due to the range of presentation options, mindfulness
training can be relatively easily adapted and integrated into health professional
training programs.
PMID- 27889446
TI - Fear, Courage and Finding the Way Forward.
PMID- 27889447
TI - Dietary Supplement Use Among Adults Remains Consistent Over 12 Years.
PMID- 27889448
TI - Inhibition of Ras/Raf/MEK/ERK Pathway Signaling by a Stress-Induced Phospho
Regulatory Circuit.
AB - Ras pathway signaling plays a critical role in cell growth control and is often
upregulated in human cancer. The Raf kinases selectively interact with GTP-bound
Ras and are important effectors of Ras signaling, functioning as the initiating
kinases in the ERK cascade. Here, we identify a route for the phospho-inhibition
of Ras/Raf/MEK/ERK pathway signaling that is mediated by the stress-activated JNK
cascade. We find that key Ras pathway components, the RasGEF Sos1 and the Rafs,
are phosphorylated on multiple S/TP sites in response to JNK activation and that
the hyperphosphorylation of these sites renders the Rafs and Sos1 unresponsive to
upstream signals. This phospho-regulatory circuit is engaged by cancer
therapeutics, such as rigosertib and paclitaxel/Taxol, that activate JNK through
mitotic and oxidative stress as well as by physiological regulators of the JNK
cascade and may function as a signaling checkpoint to suppress the Ras pathway
during conditions of cellular stress.
PMID- 27889449
TI - Phosphorylated CtIP Functions as a Co-factor of the MRE11-RAD50-NBS1 Endonuclease
in DNA End Resection.
AB - To repair a DNA double-strand break (DSB) by homologous recombination (HR), the
5'-terminated strand of the DSB must be resected. The human MRE11-RAD50-NBS1
(MRN) and CtIP proteins were implicated in the initiation of DNA end resection,
but the underlying mechanism remained undefined. Here, we show that CtIP is a co
factor of the MRE11 endonuclease activity within the MRN complex. This function
is absolutely dependent on CtIP phosphorylation that includes the key cyclin
dependent kinase target motif at Thr-847. Unlike in yeast, where the Xrs2/NBS1
subunit is dispensable in vitro, NBS1 is absolutely required in the human system.
The MRE11 endonuclease in conjunction with RAD50, NBS1, and phosphorylated CtIP
preferentially cleaves 5'-terminated DNA strands near DSBs. Our results define
the initial step of HR that is particularly relevant for the processing of DSBs
bearing protein blocks.
PMID- 27889450
TI - RPA Mediates Recruitment of MRX to Forks and Double-Strand Breaks to Hold Sister
Chromatids Together.
AB - The Mre11-Rad50-Xrs2 (MRX) complex is related to SMC complexes that form rings
capable of holding two distinct DNA strands together. MRX functions at stalled
replication forks and double-strand breaks (DSBs). A mutation in the N-terminal
OB fold of the 70 kDa subunit of yeast replication protein A, rfa1-t11, abrogates
MRX recruitment to both types of DNA damage. The rfa1 mutation is functionally
epistatic with loss of any of the MRX subunits for survival of replication fork
stress or DSB recovery, although it does not compromise end-resection. High
resolution imaging shows that either the rfa1-t11 or the rad50Delta mutation lets
stalled replication forks collapse and allows the separation not only of opposing
ends but of sister chromatids at breaks. Given that cohesin loss does not provoke
visible sister separation as long as the RPA-MRX contacts are intact, we conclude
that MRX also serves as a structural linchpin holding sister chromatids together
at breaks.
PMID- 27889451
TI - Diet-Microbiota Interactions Mediate Global Epigenetic Programming in Multiple
Host Tissues.
AB - Histone-modifying enzymes regulate transcription and are sensitive to
availability of endogenous small-molecule metabolites, allowing chromatin to
respond to changes in environment. The gut microbiota produces a myriad of
metabolites that affect host physiology and susceptibility to disease; however,
the underlying molecular events remain largely unknown. Here we demonstrate that
microbial colonization regulates global histone acetylation and methylation in
multiple host tissues in a diet-dependent manner: consumption of a "Western-type"
diet prevents many of the microbiota-dependent chromatin changes that occur in a
polysaccharide-rich diet. Finally, we demonstrate that supplementation of germ
free mice with short-chain fatty acids, major products of gut bacterial
fermentation, is sufficient to recapitulate chromatin modification states and
transcriptional responses associated with colonization. These findings have
profound implications for understanding the complex functional interactions
between diet, gut microbiota, and host health.
PMID- 27889452
TI - An RB-EZH2 Complex Mediates Silencing of Repetitive DNA Sequences.
AB - Repetitive genomic regions include tandem sequence repeats and interspersed
repeats, such as endogenous retroviruses and LINE-1 elements. Repressive
heterochromatin domains silence expression of these sequences through mechanisms
that remain poorly understood. Here, we present evidence that the retinoblastoma
protein (pRB) utilizes a cell-cycle-independent interaction with E2F1 to recruit
enhancer of zeste homolog 2 (EZH2) to diverse repeat sequences. These include
simple repeats, satellites, LINEs, and endogenous retroviruses as well as
transposon fragments. We generated a mutant mouse strain carrying an F832A
mutation in Rb1 that is defective for recruitment to repetitive sequences. Loss
of pRB-EZH2 complexes from repeats disperses H3K27me3 from these genomic
locations and permits repeat expression. Consistent with maintenance of H3K27me3
at the Hox clusters, these mice are developmentally normal. However,
susceptibility to lymphoma suggests that pRB-EZH2 recruitment to repetitive
elements may be cancer relevant.
PMID- 27889453
TI - Simultaneous Real-Time Imaging of Leading and Lagging Strand Synthesis Reveals
the Coordination Dynamics of Single Replisomes.
AB - The molecular machinery responsible for DNA replication, the replisome, must
efficiently coordinate DNA unwinding with priming and synthesis to complete
duplication of both strands. Due to the anti-parallel nature of DNA, the leading
strand is copied continuously, while the lagging strand is produced by repeated
cycles of priming, DNA looping, and Okazaki-fragment synthesis. Here, we report a
multidimensional single-molecule approach to visualize this coordination in the
bacteriophage T7 replisome by simultaneously monitoring the kinetics of loop
growth and leading-strand synthesis. We show that loops in the lagging strand
predominantly occur during priming and only infrequently support subsequent
Okazaki-fragment synthesis. Fluorescence imaging reveals polymerases remaining
bound to the lagging strand behind the replication fork, consistent with Okazaki
fragment synthesis behind and independent of the replication complex. Individual
replisomes display both looping and pausing during priming, reconciling divergent
models for the regulation of primer synthesis and revealing an underlying
plasticity in replisome operation.
PMID- 27889454
TI - The use of molecular dynamics simulations to evaluate the DNA sequence
selectivity of G-A cross-linking PBD-duocarmycin dimers.
AB - The pyrrolobenzodiazepine (PBD) and duocarmycin families are DNA-interactive
agents that covalently bond to guanine (G) and adenine (A) bases, respectively,
and that have been joined together to create synthetic dimers capable of cross
linking G-G, A-A, and G-A bases. Three G-A alkylating dimers have been reported
in publications to date, with defined DNA-binding sites proposed for two of them.
In this study we have used molecular dynamics simulations to elucidate preferred
DNA-binding sites for the three published molecular types. For the PBD-CPI dimer
UTA-6026 (1), our simulations correctly predicted its favoured binding site
(i.e., 5'-C(G)AATTA-3') as identified by DNA cleavage studies. However, for the
PBD-CI molecule ('Compound 11', 3), we were unable to reconcile the results of
our simulations with the reported preferred cross-linking sequence (5'-ATTTTCC(G)
3'). We found that the molecule is too short to span the five base pairs between
the A and G bases as claimed, but should target instead a sequence such as 5'
ATTTC(G)-3' with two less base pairs between the reacting G and A residues. Our
simulation results for this hybrid dimer are also in accord with the very low
interstrand cross-linking and in vitro cytotoxicity activities reported for it.
Although a preferred cross-linking sequence was not reported for the third hybrid
dimer ('27eS', 2), our simulations predict that it should span two base pairs
between covalently reacting G and A bases (e.g., 5'-GTAT(A)-3').
PMID- 27889455
TI - In vivo evaluation of [18F]FECIMBI-36, an agonist 5-HT2A/2C receptor PET
radioligand in nonhuman primate.
AB - We recently reported the radiosynthesis and in vitro evaluation of [18F]-2-(4
bromo-2,5-dimethoxyphenyl)-N-(2-(2-fluoroethoxy)benzyl)ethanamine, ([18F]FECIMBI
36) or ([18F]1), an agonist radioligand for 5HT2A/2C receptors in postmortem
samples of human brain. Herein we describe the in vivo evaluation of [18F]FECIMBI
36 in vervet/African green monkeys by PET imaging. PET images show that
[18F]FECIMBI-36 penetrates the blood-brain barrier and a low retention of
radioactivity is observed in monkey brain. Although the time activity curves
indicate a somehow heterogeneous distribution of the radioligand in the brain,
the low level of [18F]FECIMBI-36 in brain may limit the use of this tracer for
quantification of 5-HT2A/2C receptors by PET.
PMID- 27889456
TI - Synthesis of new morpholine-connected pyrazolidine derivatives and their
antimicrobial, antioxidant, and cytotoxic activities.
AB - A simple and convenient one-pot four-component synthesis of morpholine-connected
pyrazolidine derivatives 2a-f and 4a-f was developed using direct metal-free
catalysis, with the identities of the synthesized compounds confirmed by IR, NMR
(1H and 13C), mass spectrometry, and elemental analysis. The prepared compounds
were inspected for antimicrobial, antioxidant, and cytotoxic activities.
Antimicrobial and antifungal activities against five bacterial and four fungal
pathogens, respectively, were investigated using the disc diffusion technique. In
antibacterial activity, compounds 2d and 2f (MIC=2MUg/mL) exhibited significantly
higher activity than the standard ciprofloxacin. The results of antifungal assay
showed that the activity of compound 4a (MIC=0.5MUg/mL) was significantly higher
than the standard clotrimazole. Antioxidant activity was screened based on ABTS+
radical scavenging and linoleic acid peroxidation performance. Compound 4a showed
substantial antioxidant (91.3%) activities, as compared with the Trolox standard.
Cytotoxicity was evaluated using HepG2 (liver), HeLa (cervical), and MCF-7
(breast) cancer cell lines, with high toxicities observed for 2b (GI50=12.2MUm)
and 4a (GI50=07.8MUm).
PMID- 27889457
TI - A study of oxidative stress and the newer antiepileptic drugs in epilepsy
associated with severe motor and intellectual disabilities.
AB - BACKGROUND: Patients with severe motor and intellectual disabilities (SMID) are
those who have both severe intellectual disabilities and severe physical
disabilities. Intractable epilepsy is often associated with SMID. The purpose of
this study was to elucidate the relationship between epilepsy associated with
SMID and oxidative stress, and to clarify the safety and efficacy of the newer
antiepileptic drugs (newer AEDs), lamotrigine and levetiracetam. METHODS: This
study was conducted in 27 SMID patients with epilepsy who were treated with the
newer AEDs. The patient characteristics and the safety and efficacy of the newer
AEDs were investigated. The reactive oxygen metabolite (d-ROM) and biological
antioxidant potential (BAP) levels were measured as indicators of the degree of
oxidative stress. The relationship between the investigation results (the patient
characteristics, and the safety and efficacy of the newer AEDs) and the results
of measurements of the d-ROMs/BAP were analyzed. RESULTS: All the patients who
discontinued the newer AEDs had abnormal plasma d-ROM levels. In addition, all
the patients who developed adverse events also had abnormal d-ROM levels.
Furthermore, there was a trend toward a lower response rate in patients with
higher plasma d-ROM levels. CONCLUSION: The results of this study suggested that
d-ROM levels are useful for predicting the safety and efficacy of the newer AEDs
(lamotrigine, levetiracetam) in SMID patients with intractable epilepsy.
Therefore, d-ROMs could be important biomarkers for determining the safety and
efficacy of drug therapy in SMID patients with epilepsy.
PMID- 27889458
TI - Transcatheter device closure of postmyocardial infarction ventricular septal
defect.
AB - BACKGROUND: Transcatheter device closure of postmyocardial infarction ventricular
septal defect (PMIVSD) is less invasive than surgical repair. However, its
feasibility, timing, outcome, and prognostic factors remain unclear. METHODS:
This was a multicenter, retrospective cohort study. Between February 2012 and
July 2015, a total of 10 (8 male and 2 female) patients with PMIVSD undergoing
attempted device closure were enrolled retrospectively. The procedures were
performed under general anesthesia with fluoroscopic and transesophageal
echocardiographic guidance. RESULTS: The patients enrolled in the study were in
the age range 50-85 years (median age of 76.5 years). The interval from
infarction to device closure ranged from 6-147 days, with the median of 12 days.
A total of 13 devices were implanted in 10 patients. There were five Amplatzer
muscular ventricular septal defect occluders, four Amplatzer septal occluders,
three Amplatzer PMIVSD occluders and one Amplatzer vascular plug II.
Complications included transient ventricular tachycardia in three patients,
device embolization in one patient, and tracheal bleeding in one patient. No
procedure-related death, stroke, or cardiac tamponade was noted. During follow
up, two patients died of heart failure and two patients died of sepsis. Overall,
subjects with age >= 80 years, systolic blood pressure <= 90 mmHg, and procedure
time >=180 minutes were significant predictor factors for mortality. All patients
with the interval of infarction to device closure >12 days survived. CONCLUSION:
Our findings indicate that transcatheter device closure of PMIVSD is technically
feasible, safe, and effective to reduce the shunt. The crucial prognostic factors
were ascertained to be age >= 80 years, systolic blood pressure <= 90 mmHg, and
procedure time >=180 minutes.
PMID- 27889460
TI - Evaluation of time lapse for establishing distal tubal occlusion diagnosis during
hysterosalpingography procedure performed by using water soluble contrast media.
AB - BACKGROUND: Hysterosalpingography (HSG) is the most frequently used diagnostic
measure for simultaneously determining uterine abnormalities and tubal status
among subfertile women. Despite several broader advantages such as availability
and increased experience, the subjectivity involved during administration of the
HSG procedure itself, and necessary imaging review, decreases the reliability and
accuracy of HSG. In this study, we evaluated the time intervals between X-ray
imaging during HSG procedure to establish the presence of distal tubal occlusion.
METHODS: Our study evaluated the HSG records of 89 women who underwent diagnostic
laparoscopy for infertility work-up. Patients who were diagnosed with distal
tubal occlusion upon receiving HSG and patients who demonstrated tubal patency on
HSG were included in the study, to compare the time intervals in seconds from the
tubal visualization view to the last fluoroscopic X-ray shot during the HSG
procedure with tubal patency on diagnostic laparoscopy. RESULTS: A statistically
significant correlation regarding tubal patency between HSG procedures and
diagnostic laparoscopy chromopertubation procedures was demonstrated. Although
nearly statistically significant, the interval in seconds between the first HSG
imaging and distal tubal filling was shorter for patients with patent tubes on
diagnostic laparoscopy than patients with bilateral tubal occlusion (8.4+/-31.9
and 12.0+/-19.7, respectively; p=0.057). Time period intervals between the first
and the last HSG, and between distal tubal filling to the last HSG of patients
with patent tubes on diagnostic laparoscopy and patients with bilateral distal
tubal occlusion were found to be statistically similar. CONCLUSION: Although a
trend exists towards shorter time period intervals between the first uterine
visualization and distal tubal filling graphy among patients with tubal patency,
rather than patients with distal tubal occlusion confirmed by diagnostic
laparoscopy, clinically reliable objective time period intervals for finalizing
the HSG procedure and proceeding with diagnostic laparoscopy due to distal tubal
occlusion diagnosis on HSG could not be detected.
PMID- 27889459
TI - Effect of music on level of anxiety in patients undergoing colonoscopy without
sedation.
AB - BACKGROUND: Listening to music can be a noninvasive method for reducing the
anxiety level without any adverse effects. The aim of this study was to explore
whether music can reduce anxiety and to compare two different styles of music,
informal classical music and light music, to ascertain the more effective style
of music in reducing anxiety in patients undergoing colonoscopy without sedation.
METHODS: This study enrolled 138 patients who underwent colonoscopy without
sedation during a general health examination from February 2009 to January 2015.
The patients were randomly assigned to a group that did not listen to music, a
group that listened to music by David Tolley, or a group that listened to music
by Kevin Kern. The State-Trait Anxiety Inventory was used to evaluate the status
of anxiety. RESULTS: A trend test for mild anxiety was performed on the patients
in the three groups, and a significant trend was noted (p=0.017 for all patients;
p=0.014 for analysis by sex). Multivariate analysis for mild anxiety on the
patients in each group was also performed in this study, and music by Kevin Kern
was found to have the lowest odds ratio (Odds ratio=0.34, p=0.045). CONCLUSION:
Listening to music, especially music by Kevin Kern, reduced the level of anxiety
in patients undergoing colonoscopy examination without sedation.
PMID- 27889461
TI - Intralesional curettage of central low-grade chondrosarcoma: A midterm follow-up
study.
AB - BACKGROUND: The aim of this study was to review the experience of surgical
treatment of low-grade chondrosarcoma and to assess the long-term oncological and
functional outcomes between intralesional curettage and wide excision. METHODS:
We included 11 patients with central low-grade chondrosarcoma lesions treated
with intralesional curettage or wide excision from 1998 to 2013. Seven patients
were treated with intralesional curettage and local adjuvant treatment (Group A),
and four patients were treated with wide excision and reconstructive surgery
(Group B). The mean age of patients was 43.8+/-17.6 years (range, 20-71 years),
and the mean duration of follow-up was 84.4+/-47.6 months (range, 48-194 months).
RESULTS: Group A had a significantly lower complication rate than Group B; three
complications were documented in Group B (0% vs. 75%, p=0.024). The operative
time (177.1 hours vs. 366.3 hours, p=0.010) and the hospital stay (6.6 days vs.
12.5 days, p=0.010) were significantly shorter in Group A. There was one local
recurrence in Group A without statistical significance. Also, there were no
differences between intralesional curettage and wide excision with respect to the
blood loss. No metastasis disease occurred in either group during the follow-up
period. The Musculoskeletal Tumor Society (MSTS) scores in Groups A and B were
99.0+/-2.5 and 94.2+/-4.2, respectively, with statistically significant
difference (p=0.048). CONCLUSION: Extended intralesional curettage has the
benefits of good MSTS score, shorter operative time, shorter hospital stay, and
lower complication rate without increasing local recurrence in central low-grade
chondrosarcoma. For central low-grade chondrosarcoma, we suggest extended
curettage to decrease soft tissue damage and surgical risk.
PMID- 27889462
TI - Maternal and umbilical cord blood levels of mercury, manganese, iron, and copper
in southern Taiwan: A cross-sectional study.
AB - BACKGROUND: The effect of maternal exposure to essential minerals and heavy
metals on fetus is an important issue, which affects women around the world. Few
data are available on the concentration of both essential minerals and heavy
metals in maternal/fetal medicine. The aims of this study were to (1) assess the
correlation of mercury (Hg), manganese (Mn), iron (Fe), and copper (Cu) in paired
maternal/fetal blood samples, and (2) study potential confounding factors during
pregnancy. METHODS: Our study recruited 145 healthy pregnant women with a mean
age of 28.06 years, gathering information by collecting interviewer-administered
questionnaires. Paired maternal/fetal blood samples were collected by delivery.
RESULTS: There was a positive correlation of Hg (r = 0.78, p<0.001), Mn (r =
0.31, p<0.001), Fe (r = 0.17, p = 0.038), and Cu (r = 0.21, p = 0.010) in paired
maternal/fetal samples. Prenatal vitamin use (>3 times/wk) was significantly
associated with lower maternal Hg (adjusted odds ratio 0.272, p = 0.005) and
lower maternal Cu (adjusted odds ratio 0.267, p = 0.004) levels. Median fetal Hg,
Mn, and Fe levels were higher than corresponding maternal levels, while median
fetal Cu level was lower than maternal Cu level. CONCLUSION: There was a positive
correlation of Hg, Fe, Cu, and Mn in paired maternal/fetal samples in this
series. Our findings have raised the possibility of reducing maternal Hg and Cu
by way of prenatal vitamin supplementation.
PMID- 27889463
TI - Noncanonical Fungal Autophagy Inhibits Inflammation in Response to IFN-gamma via
DAPK1.
AB - Defects in a form of noncanonical autophagy, known as LC3-associated phagocytosis
(LAP), lead to increased inflammatory pathology during fungal infection. Although
LAP contributes to fungal degradation, the molecular mechanisms underlying LAP
mediated modulation of inflammation are unknown. We describe a mechanism by which
inflammation is regulated during LAP through the death-associated protein kinase
1 (DAPK1). The ATF6/C/EBP-beta/DAPK1 axis activated by IFN-gamma not only
mediates LAP to Aspergillus fumigatus but also concomitantly inhibits Nod-like
receptor protein 3 (NLRP3) activation and restrains pathogenic inflammation. In
mouse models and patient samples of chronic granulomatous disease, which exhibit
defective autophagy and increased inflammasome activity, IFN-gamma restores
reduced DAPK1 activity and dampens fungal growth. Additionally, in a cohort of
hematopoietic stem cell-transplanted patients, a genetic DAPK1 deficiency is
associated with increased inflammation and heightened aspergillosis
susceptibility. Thus, DAPK1 is a potential drugable player in regulating the
inflammatory response during fungal clearance initiated by IFN-gamma.
PMID- 27889464
TI - Enterocyte Purge and Rapid Recovery Is a Resilience Reaction of the Gut
Epithelium to Pore-Forming Toxin Attack.
AB - Besides digesting nutrients, the gut protects the host against invasion by
pathogens. Enterocytes may be subjected to damage by both microbial and host
defensive responses, causing their death. Here, we report a rapid epithelial
response that alleviates infection stress and protects the enterocytes from the
action of microbial virulence factors. Intestinal epithelia exposed to hemolysin,
a pore-forming toxin secreted by Serratia marcescens, undergo an evolutionarily
conserved process of thinning followed by the recovery of their initial thickness
within a few hours. In response to hemolysin attack, Drosophila melanogaster
enterocytes extrude most of their apical cytoplasm, including damaged organelles
such as mitochondria, yet do not lyse. We identify two secreted peptides, the
expression of which requires CyclinJ, that mediate the recovery phase in which
enterocytes regain their original shape and volume. Epithelial thinning and
recovery constitute a fast and efficient response to intestinal infections, with
pore-forming toxins acting as alarm signals.
PMID- 27889465
TI - The role of oxytocin in modulating interpersonal space: A pharmacological fMRI
study.
AB - Interpersonal space is a nonverbal indicator of affiliation and closeness. In
this study we investigated the effects of oxytocin (OT), a neuropeptide known for
its social role in humans, on interpersonal space. In a double blind placebo
controlled study we measured the effect of intranasal OT on the personal distance
preferences of different familiar (friend) and unfamiliar (stranger)
protagonists. Behavioral results showed that participants preferred to be closer
to a friend than to a stranger. Intranasal OT was associated with an overall
distancing effect, but this effect was significant for the stranger and not for
the friend. The imaging results showed interactions between treatment (OT,
placebo) and protagonist (friend, stranger) in regions that mediate social
behavior including the dorsomedial prefrontal cortex (dmPFC), a region associated
with the mentalizing system. Specifically, OT increased activity in the dmPFC
when a friend approached the participants but not when a stranger approached. The
results indicate that the effect of OT on interpersonal space greatly depends on
the participant's relationship with the protagonist. This supports the social
salience theory, according to which OT increases the salience of social cues
depending on the context.
PMID- 27889466
TI - Lower cortisol level in response to a psychosocial stressor in young females with
self-harm.
AB - BACKGROUND: Self-harm is highly prevalent in adolescence, often serving an
emotion regulation function. Social stressors such as bullying are associated
with self-harm. The neurobiological background of the relationship between social
stressors and self-harm needs to be further understood to inform prevention and
therapy. METHODS: Participants were members of an epidemiological cohort study.
130 female participants underwent the Trier Social Stress Test (TSST) at age 19.
Of them, 21 reported a history of self-harm as assessed by the Youth Self Report.
Psychiatric diagnoses were recorded. RESULTS: Participants with a history of self
harm showed significantly lower blood cortisol levels throughout the TSST. Early
psychosocial adversity did not significantly differ between groups with and
without self-harm, with self-harming participants reporting more childhood
adversities. CONCLUSION: These results add to the limited field of studies
showing an altered HPA axis activity in females with self-harm. Future studies
need to address the causal mechanisms behind this association.
PMID- 27889467
TI - Treadmill exercise decreases amyloid-beta burden possibly via activation of SIRT
1 signaling in a mouse model of Alzheimer's disease.
AB - Accumulation of amyloid-beta (Abeta) correlates significantly with progressive
cognitive deficits, a main symptom of Alzheimer's disease (AD). Although
treadmill exercise reduces Abeta levels, the molecular mechanisms underlying the
effects are not fully understood. We hypothesize that treadmill exercise
decreases Abeta production and alleviates cognitive deficits by activating the
non-amyloidogenic pathway via SIRT-1 signaling. Treadmill exercise improved
cognitive deficits and alleviated neurotoxicity. Most importantly, treadmill
exercise increased SIRT-1 level, which subsequently resulted in increased ADAM-10
level by down-regulation of ROCK-1 and upregulation of RARbeta, ultimately
facilitating the non-amyloidogenic pathway. Treadmill exercise-induced activation
in SIRT-1 level also elevated PGC-1alpha level and reduced BACE-1 and C-99 level,
resulting in inhibition of the amyloidogenic pathway. Treadmill exercise may thus
inhibit Abeta production via upregulation of SIRT-1, which biases amyloid
precursor protein processing toward the non-amyloidogenic pathway. This study
provides novel and valuable insight into the molecular mechanisms possibly by
which treadmill exercise reduces Abeta production.
PMID- 27889468
TI - Mitochondrial cristae remodelling is associated with disrupted OPA1
oligomerisation in the Huntington's disease R6/2 fragment model.
AB - There is evidence of an imbalance of mitochondrial fission and fusion in patients
with Huntington's disease (HD) and HD animal models. Fission and fusion are
important for mitochondrial homeostasis including mitochondrial DNA (mtDNA)
maintenance and may be relevant for the selective striatal mtDNA depletion that
we observed in the R6/2 fragment HD mouse model. We aimed to investigate the
fission/fusion balance and the integrity of the mitochondrial membrane system in
cortex and striatum of end-stage R6/2 mice and wild-type animals. Mitochondrial
morphology was determined using electron microscopy, and transcript and protein
levels of factors that play a key role in fission and fusion, including DRP1,
mitofusin 1 and 2, mitofilin and OPA1, and cytochrome c and caspase 3 were
assessed by RT-qPCR and immunoblotting. OPA1 oligomerisation was evaluated using
blue native gels. In striatum and cortex of R6/2 mice, mitochondrial cristae
morphology was abnormal. Mitofilin and the overall levels of the fission and
fusion factors were unaffected; however, OPA1 oligomerisation was abnormal in
striatum and cortex of R6/2 mice. Mitochondrial and cytoplasmic cytochrome c
levels were similar in R6/2 and wild-type mice with no significant increase of
activated caspase 3. Our results indicate that the integrity of the mitochondrial
cristae is compromised in striatum and cortex of the R6/2 mice and that this is
most likely caused by impaired OPA1 oligomerisation.
PMID- 27889469
TI - Changes in neural circuitry associated with depression at pre-clinical, pre-motor
and early motor phases of Parkinson's disease.
AB - Although Parkinson's Disease (PD) is mostly considered a motor disorder, it can
present at early stages as a non-motor pathology. Among the non-motor clinical
manifestations, depression shows a high prevalence and can be one of the first
clinical signs to appear, even a decade before the onset of motor symptoms. Here,
we review the evidence of early dysfunction in neural circuitry associated with
depression in the context of PD, focusing on pre-clinical, pre-motor and early
motor phases of the disease. In the pre-clinical phase, structural and functional
changes in the substantia nigra, basal ganglia and limbic structures are already
observed. Some of these changes are linked to motor compensation mechanisms while
others correspond to pathological processes common to PD and depression and thus
could underlie the appearance of depressive symptoms during the pre-motor phase.
Studies of the early motor phase (less than five years post diagnosis) reveal an
association between the extent of damage in different monoaminergic systems and
the appearance of emotional disorders. We propose that the limbic loop of the
basal ganglia and the lateral habenula play key roles in the early genesis of
depression in PD. Alterations in the neural circuitry linked with emotional
control might be sensitive markers of the ongoing neurodegenerative process and
thus may serve to facilitate an early diagnosis of this disease. To take
advantage of this, we need to improve the clinical criteria and develop
biomarkers to identify depression, which could be used to determine individuals
at risk to develop PD.
PMID- 27889470
TI - Delayed administration of dopaminergic drugs is not associated with prolonged
length of stay of hospitalized patients with Parkinson's disease.
AB - BACKGROUND: Punctual delivery of dopaminergic medication to Parkinson's disease
(PD) patients may be important in optimizing disease control. We tested the
hypothesis that prompt delivery of l-dopa medications to emergency hospital
inpatients was associated with a decreased length of stay in hospital. METHODS:
The study population consisted of all urgent hospitalizations for patients with a
diagnosis of PD to the Royal Derby Hospital over a two-year period. Data were
extracted on timing of delivery of drugs, number of co-morbidities and length of
stay. Statistical analysis used linear regression adjusting for within admission
clustering. RESULTS: 431 individuals provided data from a total of 737
admissions. 39% of scheduled l-dopa doses were either not given or administered
over 30 min later than the scheduled time. There was no association between the
omission or timing of a dose of PD medication and length of stay in hospital. The
number of coded diagnoses was strongly associated with length of stay with a dose
response association (pTREND<0.001). Those with 10 concurrent diagnoses had a 11
day longer stay (95% confidence intervals: +2 to +21) than those with no
comorbidities. CONCLUSIONS: Delayed administration of dopaminergic drugs is not
associated with prolonged length of stay of in patients with PD who were admitted
to hospital as an emergency. However, the number of co-existing medical diagnoses
was associated with length of stay, and early attention to these has the
potential to improve patient care and decrease length of stay in hospital.
PMID- 27889471
TI - Functional characterization of two naturally occurring mutations V221G and T449N
in the follicle stimulating hormone receptor.
AB - Naturally occurring mutations in follicle stimulating hormone receptor (FSHR)
affect the receptor function. Here, we characterized two such previously reported
mutations, V221G and T449N, in the extracellular domain and transmembrane helix
3, of FSHR, respectively. Functional studies with the V221G mutant demonstrated
an impairment in FSH binding and signaling. Validation of X-ray crystallography
data indicating the contribution of FSHR specific residues in the vicinity of
V221 to contribute to FSH-FSHR interaction was carried out. In vitro mutational
studies showed that these residues are determinants of both FSH binding and FSH
induced signaling. Analysis of the T449N mutation revealed that it results in an
increase in FSH binding and high cAMP response at lower doses of FSH. A marginal
hCG induced and no TSH induced cAMP production was also observed. These findings
corroborated with the clinical manifestations of primary amenorrhea (V221G) and
spontaneous ovarian hyperstimulation syndrome (T449N) in women harbouring these
mutations.
PMID- 27889473
TI - Endothelial cells regulate beta-catenin activity in adrenocortical cells via
secretion of basic fibroblast growth factor.
AB - Endothelial cell-derived products influence the synthesis of aldosterone and
cortisol in human adrenocortical cells by modulating proteins such as
steroidogenic acute-regulatory (StAR) protein, steroidogenic factor (SF)-1 and
CITED2. However, the potential endothelial cell-derived factors that mediate this
effect are still unknown. The current study was perfomed to look into the control
of beta-catenin activity by endothelial cell-derived factors and to identify a
mechanism by which they affect beta-catenin activity in adrenocortical NCIH295R
cells. Using reporter gene assays and Western blotting, we found that endothelial
cell-conditioned medium (ECCM) led to nuclear translocation of beta-catenin and
an increase in beta-catenin-dependent transcription that could be blocked by
U0126, an inhibitor of the mitogen-activated protein kinase pathway. Furthermore,
we found that a receptor tyrosin kinase (RTK) was involved in ECCM-induced beta
catenin-dependent transcription. Through selective inhibition of RTK using
Su5402, it was shown that receptors responding to basic fibroblast growth factor
(bFGF) mediate the action of ECCM. Adrenocortical cells treated with bFGF showed
a significant greater level of bFGF mRNA. In addition, HUVECs secrete bFGF in a
density-dependent manner. In conclusion, the data suggest that endothelial cells
regulate beta-catenin activity in adrenocortical cells also via secretion of
basic fibroblast growth factor.
PMID- 27889472
TI - Comprehensive assessment of estrogen receptor beta antibodies in cancer cell line
models and tissue reveals critical limitations in reagent specificity.
AB - Estrogen Receptor-beta (ERbeta) has been implicated in many cancers. In prostate
and breast cancer its function is controversial, but genetic studies implicate a
role in cancer progression. Much of the confusion around ERbeta stems from
antibodies that are inadequately validated, yet have become standard tools for
deciphering its role. Using an ERbeta-inducible cell system we assessed commonly
utilized ERbeta antibodies and show that one of the most commonly used
antibodies, NCL-ER-BETA, is non-specific for ERbeta. Other antibodies have
limited ERbeta specificity or are only specific in one experimental modality.
ERbeta is commonly studied in MCF-7 (breast) and LNCaP (prostate) cancer cell
lines, but we found no ERbeta expression in either, using validated antibodies
and independent mass spectrometry-based approaches. Our findings question
conclusions made about ERbeta using the NCL-ER-BETA antibody, or LNCaP and MCF-7
cell lines. We describe robust reagents, which detect ERbeta across multiple
experimental approaches and in clinical samples.
PMID- 27889474
TI - The Von Willebrand Factor A1-Collagen III Interaction Is Independent of
Conformation and Type 2 Von Willebrand Disease Phenotype.
AB - The blood von Willebrand factor (VWF) mediates platelet adhesion to injured
vessels by sequestering platelets from blood flow and depositing them to collagen
and other exposed subendothelial matrix proteins. This process of capturing
platelets to facilitate formation of platelet plugs occurs through transient
interactions with platelet glycoprotein Ibalpha via the VWF A1 domain which also
binds collagen. Using a conformationally diverse collection of natively folded
and mutation-induced misfolded von Willebrand disease (VWD) variants, we test a
recently proposed affinity up-regulation hypothesis which states that collagen
binding changes the conformation of the A1 domain to a high-affinity GPIbalpha
binding competent state. With surface plasmon resonance (SPR), we present this
diversified collection to collagen and quantify the kinetics of association and
dissociation to ascertain the conformational selectivity of collagen. With
analytical rheology, we quantify real-time platelet pause times and translocation
velocities across a Cu2+ HisTag-chelated and collagen-bound A1 single domain and
A1A2A3 tridomain fragment of VWF under shear stress in an ex vivo shear flow
microfluidic chamber. In contrast to expected hypothetical outcomes, collagen has
limited conformational selectivity for binding A1. A1-collagen binding is
independent of gain- or loss-of-function phenotype and under shear stress,
platelet translocation pause times on collagen-bound A1A2A3 are either normal or
shorter depending on whether A1 is concertedly bound with the A3 domain to
collagen. With respect to A1, collagen has an inhibitory role that provides an
explanation for the lack of thrombosis in patients with gain-of-function VWD.
PMID- 27889475
TI - Reaction engineering analysis of Scenedesmus ovalternus in a flat-plate gas-lift
photobioreactor.
AB - Microalgal strains of the genus Scenedesmus are a promising resource for
commercial biotechnological applications. The temperature-, pH- and light
dependent growth of Scenedesmus ovalternus has been investigated on a laboratory
scale. Best batch process performance was obtained at 30 degrees C, pH 8.0 and an
incident photon flux density of 1300MUmolphotonsm-2s-1 using a flat-plate gas
lift photobioreactor. Highest growth rate (0.11h-1) and space-time yield (1.7+/
0.1gCDWL-1d-1) were observed when applying these reaction conditions. Biomass
concentrations of up to 7.5+/-0.1gCDWL-1 were achieved within six days (25.0+/
0.5gCDWm-2d-1). The light-dependent growth kinetics of S. ovalternus was
identified using Schuster's light transfer model and Andrews' light inhibition
model (KS=545MUmolphotonsm-2s-1; KI=2744MUmolphotonsm-2s-1; MUmax=0.21h-1). The
optimal mean integral photon flux density for growth of S. ovalternus was
estimated to be 1223MUmolphotonsm-2s-1.
PMID- 27889476
TI - Yeast and carbon nanotube based biocatalyst developed by synergetic effects of
covalent bonding and hydrophobic interaction for performance enhancement of
membraneless microbial fuel cell.
AB - Membraneless microbial fuel cell (MFC) employing new microbial catalyst formed as
yeast cultivated from Saccharomyces cerevisiae and carbon nanotube (yeast/CNT) is
suggested. To analyze its catalytic activity and performance and stability of
MFC, several characterizations are performed. According to the characterizations,
the catalyst shows excellent catalytic activities by facile transfer of electrons
via reactions of NAD, FAD, cytochrome c and cytochrome a3, while it induces high
maximum power density (MPD) (344mW.m-2). It implies that adoption of yeast
induces increases in catalytic activity and MFC performance. Furthermore, MPD is
maintained to 86% of initial value even after eight days, showing excellent MFC
stability.
PMID- 27889477
TI - Levulinic acid production by two-step acid-catalyzed treatment of Quercus
mongolica using dilute sulfuric acid.
AB - The objectives of this research were to produce a levulinic acid by two-step acid
catalyzed treatment of Quercus mongolica and to investigate the effect of
treatment parameter (reaction temperature range: 100-230 degrees C; sulfuric acid
(SA) concentration range: 0-2%) on the levulinic acid yield. After 1st step acid
catalyzed treatment, most of the hemicellulosic C5 sugars (15.6gg/100gbiomass)
were released into the liquid hydrolysate at the reaction temperature of 150
degrees C in 1% SA; the solid fraction, which contained 53.5% of the C6 sugars,
was resistant to further loss of C6 sugars. Subsequently, 2nd step acid-catalyzed
treatment of the solid fractions was performed under more severe conditions.
Finally, 16.5g/100g biomass of levulinic acid was produced at the reaction
temperature of 200 degrees C in 2% SA, corresponding to a higher conversion rate
than during single-step treatment.
PMID- 27889478
TI - Sequential enzymatic saccharification and fermentation of ionic liquid and
organosolv pretreated agave bagasse for ethanol production.
AB - Agave bagasse (AGB) has gained recognition as a drought-tolerant biofuel
feedstock with high productivity in semiarid regions. A comparative analysis of
ionic liquid (IL) and organosolv (OV) pretreatment technologies in AGB was
performed using a sequential enzymatic saccharification and fermentation (SESF)
strategy with cellulolytic enzymes and the ethanologenic Escherichia coli strain
MS04. After pretreatment, 86% of xylan and 45% of lignin were removed from OV
AGB, whereas IL-AGB reduced lignin content by 28% and xylan by 50% when compared
to the untreated biomass. High glucan (>90%) and xylan (>83%) conversion was
obtained with both pretreated samples. During the fermentation stage (48h), 12.1
and 12.7kg of ethanol were produced per 100kg of untreated AGB for IL and OV,
respectively. These comparative analyses showed the advantages of SESF using IL
and OV in a biorefinery configuration where a better understanding of AGB
recalcitrance is key for future applications.
PMID- 27889479
TI - IDO1 is an Integral Mediator of Inflammatory Neovascularization.
AB - The immune tolerogenic effects of IDO1 (indoleamine 2,3-dioxygenase 1) have been
well documented and genetic studies in mice have clearly established the
significance of IDO1 in tumor promotion. Dichotomously, the primary inducer of
IDO1, the inflammatory cytokine IFNgamma (interferon-gamma), is a key mediator of
immune-based tumor suppression. One means by which IFNgamma can exert an anti
cancer effect is by decreasing tumor neovascularization. We speculated that IDO1
might contribute to cancer promotion by countering this anti-neovascular effect
of IFNgamma, possibly through IDO1-potentiated elevation of the pro-tumorigenic
inflammatory cytokine IL6 (interleukin-6). In this study, we investigated how
genetic loss of IDO1 affects neovascularization in mouse models of oxygen-induced
retinopathy and lung metastasis. Neovascularization in both models was
significantly reduced in mice lacking IDO1, was similarly reduced with loss of
IL6, and was restored in both cases by concomitant loss of IFNgamma. Likewise,
the lack of IDO1 or IL6 resulted in reduced metastatic tumor burden and increased
survival, which the concomitant loss of IFNgamma abrogated. This insight into
IDO1's involvement in pro-tumorigenic inflammatory neovascularization may have
important ramifications for IDO1 inhibitor development, not only in cancer where
clinical trials are currently ongoing, but in other disease indications
associated with neovascularization as well.
PMID- 27889480
TI - Therapeutic Manipulation of Ageing: Repurposing Old Dogs and Discovering New
Tricks.
AB - Ageing is a leading risk factor for many debilitating diseases. While age-related
diseases have been the subject of over a century of intense investigation, until
recently, physiological ageing was considered unavoidable. Pharmacological and
genetic studies have since shown that ageing is a malleable process and that its
abrogation can prevent its associated diseases. This review summarises a sample
of the most promising efforts to deliver the products of ageing research to the
clinic. Current efforts include the use of clinically approved drugs that have
since been repurposed, as well as the development of novel therapeutics, to
target ageing. Furthermore, ongoing research has sought reliable biomarkers of
ageing that will accelerate the development of such therapeutics. Development of
these technologies will improve quality of late-life and help relieve the
enormous stress placed on state healthcare systems by a rapidly ageing global
population. Thus, for both medical and socioeconomic reasons, it is imperative
that ageing is made to yield to intervention.
PMID- 27889481
TI - Mitotic Nuclear Envelope Breakdown and Spindle Nucleation Are Controlled by
Interphase Contacts between Centromeres and the Nuclear Envelope.
AB - Faithful genome propagation requires coordination between nuclear envelope (NE)
breakdown, spindle formation, and chromosomal events. The conserved linker of
nucleoskeleton and cytoskeleton (LINC) complex connects fission yeast centromeres
and the centrosome, across the NE, during interphase. During meiosis, LINC
connects the centrosome with telomeres rather than centromeres. We previously
showed that loss of telomere-LINC contacts compromises meiotic spindle formation.
Here, we define the precise events regulated by telomere-LINC contacts and
address the analogous possibility that centromeres regulate mitotic spindle
formation. We develop conditionally inactivated LINC complexes in which the
conserved SUN-domain protein Sad1 remains stable but severs interphase centromere
LINC contacts. Strikingly, the loss of such contacts abolishes spindle formation.
We pinpoint the defect to a failure in the partial NE breakdown required for
centrosome insertion into the NE, a step analogous to mammalian NE breakdown.
Thus, interphase chromosome-LINC contacts constitute a cell-cycle control device
linking nucleoplasmic and cytoplasmic events.
PMID- 27889483
TI - Classification, surgical management and outcomes of patients with gastrogastric
fistula after Roux-En-Y gastric bypass.
AB - BACKGROUND: Gastrogastric fistula (GGF) is a known complication after Roux-en-Y
gastric bypass that can lead to marginal ulceration (MU) and failure of weight
loss. OBJECTIVES: To describe our experience with GGF management and propose a
classification of GGF based on its anatomic location. SETTING: University
hospital, France. METHODS: After internal review board approval, data from all
patients with a GGF were reviewed. GGF was classified as type 1 when located in
the proximal part of the gastric pouch and type 2 when located near the
gastrojejunostomy. RESULTS: Nine patients developed a GGF (.5%). GGF symptoms
included epigastric pain (78%), vomiting (11%), gastrointestinal bleeding (11%),
and weight regain (44%). Upper contrast study identified GGF in all patients.
Upper endoscopy confirmed GGF in 6 patients, all with type 2. Eight patients
required revisional surgery. Patients with type 1 GGF (n = 3) had excision of the
fistulous tract. Patients with type 2 GGF (n = 5) had associated revision of the
gastrojejunostomy. Mean operative time was significantly longer for type 2 GGF.
The mean follow-up was 43 months, with no patient lost. One patient developed a
recurrent MU requiring iterative revision. After that, all revisional patients
were symptom free and the mean body mass index was 35.3+/-9.5 kg/m2. CONCLUSION:
Weight regain and epigastric pain with or without associated MU are the most
common signs of GGF. Combining upper gastrointestinal endoscopy and contrast
study is the best method to confirm the diagnosis. Surgical treatment should be
tailored to both GGF location and status of the gastrojejunostomy. Based on its
anatomic location, GGF classification could serve as a working basis to compare
different surgical approaches.
PMID- 27889482
TI - The Red Light Receptor Phytochrome B Directly Enhances Substrate-E3 Ligase
Interactions to Attenuate Ethylene Responses.
AB - Plants germinating under subterranean darkness assume skotomorphogenesis, a
developmental program strengthened by ethylene in response to mechanical pressure
of soil. Upon reaching the surface, light triggers a dramatic developmental
transition termed de-etiolation that requires immediate termination of ethylene
responses. Here, we report that light activation of photoreceptor phyB results in
rapid degradation of EIN3, the master transcription factor in the ethylene
signaling pathway. As a result, light rapidly and efficiently represses ethylene
actions. Specifically, phyB directly interacts with EIN3 in a light-dependent
manner and also physically associates with F box protein EBFs. The light
activated association of phyB, EIN3, and EBF1/EBF2 proteins stimulates robust
EIN3 degradation by SCFEBF1/EBF2 E3 ligases. We reveal that phyB manipulates
substrate-E3 ligase interactions in a light-dependent manner, thus directly
controlling the stability of EIN3. Our findings illustrate a mechanistic model of
how plants transduce light information to immediately turn off ethylene signaling
for de-etiolation initiation.
PMID- 27889484
TI - Refractory pseudoachalasia secondary to laparoscopically placed adjustable
gastric band successfully treated with Heller myotomy.
PMID- 27889485
TI - Safety and effectiveness of anterior fundoplication sleeve gastrectomy in
patients with severe reflux.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy has become a popular bariatric
surgery in recent years. However, it has been linked to worsening or newly
developed gastroesophageal reflux disease (GERD) in the postoperative period.
OBJECTIVES: The purpose of this study is to determine the safety and
effectiveness of anterior fundoplication sleeve gastrectomy in patients with
reflux. SETTING: Academic hospital, United States. METHODS: We prospectively
collected data on 31 sleeve gastrectomy patients who concurrently underwent
anterior fundoplication between July 2014 and March 2016. Patients were selected
when they reported severe reflux before the procedure. Each patient was
interviewed using the GERD score questionnaire (scaled severity and frequency of
heartburn, regurgitation, epigastric pain, epigastric fullness, dysphagia, and
cough) before and 4 months after the procedure. RESULTS: Our patients comprised
27 females and 4 males with a mean age of 49.9+/-9.6 years (range, 29-63 yr).
They had a mean preoperative body mass index of 42.8+/-5.6 kg/m2 (range, 33.3
58.4 kg/m2), and 67.7% (n = 21) of these patients underwent hiatal hernia repair
as well. Preoperatively, patients had a mean heartburn score of 7.4+/-3.6 (range,
1-12), regurgitation score of 5.4+/-4.1 (range, 0-12), epigastric pain score of
2.1+/-3.2 (range, 0-12), epigastric fullness score of 2.7+/-3.9 (range, 0-12),
dysphagia score of 1.3+/-2.2 (range, 0-9), and cough score of .9+/-1.8 (range, 0
6). Mean preoperative GERD score was 18.9+/-9.8 (range, 6-36) in these patients.
Patients were interviewed with the same questionnaire approximately 4 months
postoperative. Patients had a mean heartburn score of 1.5+/-3.2 (range, 0-12),
regurgitation score of .9+/-1.7 (range, 0-8), epigastric pain score of .4+/-1.1
(range, 0-4), epigastric fullness score of 1.1+/-2.4 (range, 0-8), dysphagia
score of .3+/-1.1 (range, 0-6), and cough score of 0. Mean postoperative GERD
score dropped down to 4.1+/-5.8 (range, 0-28), and the difference was
statistically significant (P<.01). One patient was readmitted 28 days later for a
staple line leakage, and was treated conservatively. No patient required a
reoperation due to the procedure within 30 days. CONCLUSION: Anterior
fundoplication sleeve gastrectomy may be a safe and effective alternative in
obese patients with severe reflux who want to undergo sleeve gastrectomy.
PMID- 27889486
TI - Abdominoplasty with Scarpa fascia preservation - comparative study in a bariatric
population.
AB - BACKGROUND: Abdominoplasty techniques using a more superficial plane of
dissection with Scarpa fascia preservation have been shown to improve recovery
and reduce complications in nonbariatric patients. Patients who have experienced
massive weight loss frequently need body contour procedures and represent a high
risk group. OBJECTIVE: To evaluate the effect of this technique in patients with
massive weight loss after bariatric surgery. SETTING: University hospital,
Portugal. METHODS: This was a single-center retrospective study of 51
postbariatric patients who had been undergone either a classic full
abdominoplasty (group A) or a similar procedure except for the preservation of
Scarpa fascia below the umbilicus (group B). General characteristics of both
groups were analyzed, and recorded outcomes were total and daily volume of drain
output, time until drain removal, time until hospital discharge, and local and
systemic complications. RESULTS: There were no statistically significant
differences between groups regarding general characteristics or complications.
The Scarpa fascia preservation group had a highly significant reduction of 79% on
the total drain output, 7 days until drain removal, and 5 days' hospital stay.
Long drainers (7 days or more with drains) were eliminated (reduction from 52% in
group A to 3% in group B) and seroma had a 65% reduction. CONCLUSION: Preserving
Scarpa fascia during a full abdominoplasty in postbariatric patients improves
recovery by reducing total drain output and hospital stay, allowing earlier drain
removal, eliminating long periods with suction drains, and reducing seroma
incidence. Clear benefits for the patient were obtained.
PMID- 27889487
TI - ADHD severity as it relates to comorbid psychiatric symptomatology in children
with Autism Spectrum Disorders (ASD).
AB - Comorbid diagnoses identified in pediatric samples have been correlated with a
range of outcomes, including greater levels of emotional, behavioral, and
educational impairment and the need for more intensive treatment. Given that
previous research has documented high levels of comorbid Attention
Deficit/Hyperactivity Disorder (ADHD) in children with Autism Spectrum Disorders
(ASD), this study closely examines the relationship between parent-reported ADHD
symptoms (i.e., Conners' Parent Rating Scale, Revised [CPRS-R]) and the
prevalence of additional comorbid psychiatric diagnoses in a pediatric ASD sample
(n=99). Regression analyses revealed that greater severity of ADHD symptomatology
was significantly related to a greater number of comorbid psychiatric diagnoses,
as identified using the Diagnostic Interview for Children and adolescents, 4th
Edition (DICA-IV). Additionally, more severe ADHD symptoms were also associated
with higher levels of symptom severity on Child Behavior Checklist (CBCL)
syndrome subscales. Interestingly, increasing severity of ASD symptomatology, as
measured by the Autism Diagnostic Interview, Revised (ADI-R), was not associated
with a higher prevalence of comorbid psychiatric diagnoses or CBCL syndrome
severity. Our study concluded that higher levels of ADHD severity-not ASD
severity-were associated with a higher prevalence of comorbid psychiatric
symptomatology in school-age children with ASD. These findings may encourage
clinicians to thoroughly assess ADHD symptomatology in ASD children to better
inform treatment planning.
PMID- 27889488
TI - Enhanced LTP in aged rats: Detrimental or compensatory?
AB - Age-dependent memory deterioration has been well documented and yet an increase
in rat hippocampal LTP upon aging has been reported. This poses the question of
whether the enhanced LTP is a cause or an attempt to compensate the memory
deficits described in aged rats. Hippocampal slices from young, adult and aged
Wistar rats were pre-incubated, with an NMDA receptor (NMDAR) antagonist,
memantine (1 MUM, 4 h), and hippocampal LTP was evaluated. The results show that
memantine significantly decreases the larger LTP magnitude recorded in
hippocampal slices from aged rats without compromising LTP recorded in slices
from young and adult animals. To unveil the impact of in vivo administration of
memantine, different doses (1, 5 and 10 mg/kg/day) or saline vehicle solution
were intraperitoneally administered, for 15-20 days, to both young and aged
animals. Memantine did not significantly affect neither the place learning of
young animals, evaluated by Morris Water Maze, nor LTP recorded from hippocampal
slices from the same group of animals. However, memantine (5 and 10 mg/kg/day)
significantly decreased the large LTP recorded in hippocampal slices from aged
animals. Moreover, aged animals treated with memantine (10 mg/kg/day) showed a
significantly compromised place learning when compared to aged control animals.
Overall, these results suggest that the larger LTP observed in aged animals is a
compensatory phenomenon, rather than pathological. The finding that age-dependent
blockade of LTP by a NMDAR antagonist leads to learning deficits, implies that
the increased LTP observed upon aging may be playing an important role in the
learning process.
PMID- 27889489
TI - The drug candidate, ADX71441, is a novel, potent and selective positive
allosteric modulator of the GABAB receptor with a potential for treatment of
anxiety, pain and spasticity.
AB - Positive allosteric modulation of the GABAB receptor is a promising alternative
to direct activation of the receptor as a therapeutic approach for treatment of
addiction, chronic pain, anxiety, epilepsy, autism, Fragile X syndrome, and
psychosis. Here we describe in vitro and in vivo characterization of a novel,
potent and selective GABAB positive allosteric modulator (PAM) N-(5-(4-(4-chloro
3-fluorobenzyl)-6-methoxy-3,5-dioxo-4,5-dihydro-1,2,4-triazin-2(3H)-yl)-2
fluorophenyl)acetamide (ADX71441). In vitro, Schild plot and reversibility tests
at the target confirmed PAM properties of the compound. In mice and rats ADX71441
is bioavailable after oral administration and is brain penetrant. A single dose
of ADX71441 had an anxiolytic-like profile in the mouse marble burying test
(minimum effective dose; MED 3 mg/kg) as well as in the elevated plus maze test
in mice and rats (both MED 3 mg/kg). Also, in mice, acute administration of
ADX71441 reduced visceral pain-associated behaviors in the acetic acid-induced
writhing test. ADX71441 dose-dependently reduced time on rotarod in rats (MED 10
mg/kg) indicative of muscle-relaxant qualities. ADX71441 reduced locomotor
activity in mice (10 mg/kg) and rats (3 mg/kg) after single dose; however,
following sub-chronic administration in mice, 30 mg/kg ADX71441 was associated
with normal locomotor activity. While acute administration of ADX71441 reduced
body temperature in rats and mice (both MED 10 mg/kg), the effect in the former
was transient, rapidly returning to normal levels despite high concentrations of
the compound remaining in plasma. Thus, the GABAB PAM ADX71441 represents a valid
therapeutic approach for development of novel treatment of anxiety, pain and
spasticity.
PMID- 27889490
TI - Inhibition of cathepsin X reduces the strength of microglial-mediated
neuroinflammation.
AB - Inflammation plays a central role in the processes associated with
neurodegeneration. The inflammatory response is mediated by activated microglia
that release inflammatory mediators to the neuronal environment. Microglia
derived lysosomal cathepsins, including cathepsin X, are increasingly recognized
as important mediators of the inflammation involved in lipopolysaccharide (LPS)
induced neuroinflammation. The current study was undertaken to investigate the
role of cathepsin X and its molecular target, gamma-enolase, in neuroinflammation
and to elucidate the underlying mechanism. We determined that the exposure of
activated BV2 and EOC 13.31 cells to LPS led to increased levels of cathepsin X
protein and activity in the culture supernatants in a concentration- and time
dependent manner. In contrast, LPS stimulation of these two cells reduced the
release of active gamma-enolase in a manner regulated by the cathepsin X
activity. Cathepsin X inhibitor AMS36 significantly reduced LPS-induced
production of nitric oxide, reactive oxygen species and the pro-inflammatory
cytokines interleukin-6 and tumor necrosis factor-alpha from BV2 cells.
Inhibition of cathepsin X suppressed microglial activation through the reduced
caspase-3 activity, together with diminished microglial cell death and apoptosis,
and also through inhibition of the activity of the mitogen-activated protein
kinases. Further, SH-SY5Y treatment with culture supernatants of activated
microglial cells showed that cathepsin X inhibition reduces microglia-mediated
neurotoxicity. These results indicate that up-regulated expression and increased
release and activity of microglial cathepsin X leads to microglia activation
mediated neurodegeneration. Cathepsin X inhibitor caused neuroprotection via its
inhibition of the activation of microglia. Cathepsin X could thus be a potential
therapeutic target for neuroinflammatory disorders.
PMID- 27889491
TI - Pramipexole enhances disadvantageous decision-making: Lack of relation to changes
in phasic dopamine release.
AB - Pramipexole (PPX) is a high-affinity D2-like dopamine receptor agonist, used in
the treatment of Parkinson's disease (PD) and restless leg syndrome. Recent
evidence indicates that PPX increases the risk of problem gambling and impulse
control disorders in vulnerable patients. Although the molecular bases of these
complications remain unclear, several authors have theorized that PPX may
increase risk propensity by activating presynaptic dopamine receptors in the
mesolimbic system, resulting in the reduction of dopamine release in the nucleus
accumbens (NAcc). To test this possibility, we subjected rats to a probability
discounting task specifically designed to capture the response to disadvantageous
options. PPX enhanced disadvantageous decision-making at a dose (0.3 mg/kg/day,
SC) that reduced phasic dopamine release in the NAcc. To test whether these
modifications in dopamine efflux were responsible for the observed neuroeconomic
deficits, PPX was administered in combination with the monoamine-depleting agent
reserpine (RES), at a low dose (1 mg/kg/day, SC) that did not affect baseline
locomotor and operant responses. Contrary to our predictions, RES surprisingly
exacerbated the effects of PPX on disadvantageous decision-making, even though it
failed to augment PPX-induced decreases in phasic dopamine release. These results
collectively suggest that PPX impairs the discounting of probabilistic losses and
that the enhancement in risk-taking behaviors secondary to this drug may be
dissociated from dynamic changes in mesolimbic dopamine release.
PMID- 27889492
TI - Surgical Complications Following Early Genitourinary Reconstructive Surgery for
Congenital Adrenal Hyperplasia-Interim Analysis at 6 Years.
AB - OBJECTIVE: To review the intermediate- to long-term surgical complications
following feminizing reconstructive surgery for patients with congenital adrenal
hyperplasia (CAH) at a single tertiary center. Genitourinary reconstructive
surgery is pivotal to favorable cosmetic and functional outcomes for patients
with CAH. MATERIALS AND METHODS: We conducted a retrospective review identifying
26 patients from April 2003 to April 2015 who underwent genitourinary
reconstructive surgeries. Demographic data and surgical postoperative
complications (per Unplanned Postoperative Morbidity in Children [UPMC] and
Clavien-Dindo classification) were evaluated. RESULTS: The average age at the
time primary surgery was done at our institute was 17 +/- 20 (5-87) months; the
average length of follow-up was 72.56 +/- 36.95 (4.5-142) months. The average
length of the common urogenital sinus was 4.5 +/- 1.9 (2.5-6.4) cm, and 15 out of
22 (68%) patients had high confluence. A total of 7 complications were observed
in 7 (27%) patients, 3 patients had Clavien grade I and UPMC 0 (dysuria, stitch
dehiscence, wound separation), and 2 had Clavien grade II and UPMC 1 (urinary
tract infection). Two (7.6%) patients required revision surgery, and both of them
had Clavien grade IIIB. One patient had UPMC score 5 (suprapubic tube insertion
for urinary retention secondary to cicatrization of the surgical site).
CONCLUSION: Genitourinary reconstructive surgery for CAH patients is well
tolerated and is successful in toddlers, with low (7.6%) complication rate.
Revision surgery has successful outcome both functionally and cosmetically. In
toilet-trained girls, urinary continence can be achieved in all patients
following feminizing genitoplasty.
PMID- 27889494
TI - Maternal history of eating disorders: Diet quality during pregnancy and infant
feeding.
AB - We studied associations of maternal history of eating disorders (EDs) with diet
quality of pregnant women and their infants, and breastfeeding practices. We
included 6196 mother-child pairs from Generation R, a population-based cohort in
the Netherlands. Maternal history of lifetime EDs was assessed during pregnancy
with a questionnaire. Dietary intake during pregnancy and in infancy was assessed
with food-frequency questionnaires and diet quality scores were calculated,
reflecting adherence to dietary guidelines. Breastfeeding practices were assessed
with questionnaires at 2, 6, and 12 months. We observed that, after adjustment
for socioeconomic and lifestyle factors, women with a history of EDs had a higher
diet quality than women without a history of EDs (B = 0.24 SD, 95%CI: 0.15;
0.33). Mothers with a history of EDs were less likely to breastfeed (unadjusted
OR = 0.68, 95%CI: 0.51; 0.93), although no longer statistically significant after
adjustment (OR = 0.75, 95%CI: 0.55; 1.03). These findings suggest that mothers
with a history of EDs seem slightly less likely to initiate breastfeeding,
however, this warrants further investigation. At the age of 1 year, infants of
mothers with a history of EDs had a higher diet quality (B = 0.15 SD, 95%CI:
0.02; 0.27). We conclude that mothers with a history of EDs and their infants
have a relative good diet quality, although follow-up studies are needed to
assess long-term associations with diet in later childhood and adolescence.
PMID- 27889493
TI - Partial vs Radical Nephrectomy for T1-T2 Renal Masses in the Elderly: Comparison
of Complications, Renal Function, and Oncologic Outcomes.
AB - OBJECTIVE: To compare outcomes of partial nephrectomy (PN) and radical
nephrectomy (RN) in patients 65 years and older. MATERIALS AND METHODS: Our
institutional renal mass registry was queried for patients 65 and older with
solitary cT1-T2 renal mass resected by PN or RN. Clinicopathologic features and
perioperative outcomes were compared between groups. Renal function outcomes
measured by change in estimated glomerular filtration rate (eGFR) and freedom
from eGFR< 45 mL/min/1.73 m2 were analyzed. Multivariate Cox proportional hazard
models for overall survival and cancer-specific survival were analyzed. RESULTS:
Overall, 787 patients met inclusion criteria. Of these, 437 (55.5%) underwent PN
and 350 (44.5%) underwent RN. Median follow-up was 36 months. Patients in the PN
cohort were younger (median age 70.3 years vs 71.9 years, P < .001), had lower
American Society of Anesthesiologists scores (2.6 vs 2.8, P = .001), smaller
tumors (tumor diameter 2.8 cm vs 5.0 cm, P < .001), and lower proportion of renal
cell carcinoma (76.7% vs 87.4%, P < .001). Perioperative outcomes were similar
between PN and RN groups as were complications (37.8% vs 38.9%). Estimated change
in eGFR was less in PN vs RN (6.4 vs 19.7, P < .001) at last follow-up. Overall
survival and cancer-specific survival were equivalent between modalities.
CONCLUSION: Because the renal functional benefit of PN is realized over many
years and the procedure has a higher historical complication rate than RN, some
suspected elderly patients might benefit more from RN over PN. However, these
data suggest that elderly patients are not harmed and may potentially benefit
from PN. Age alone should not be a contraindication to nephron-sparing surgery.
PMID- 27889495
TI - Health status, physical activity, and orthorexia nervosa: A comparison between
exercise science students and business students.
AB - Orthorexia nervosa is described as an exaggerated fixation on healthy food. It is
unclear whether students in health-oriented academic programs, highly focused on
physical exercise, are more prone to develop orthorexia nervosa than students in
other educational areas. The aim was to compare health status, physical activity,
and frequency of orthorexia nervosa between university students enrolled in an
exercise science program (n = 118) or a business program (n = 89). The students
completed the Short Form-36 Health Survey (SF-36), the International Physical
Activity Questionnaire (IPAQ), and ORTO-15, which defines orthorexia nervosa as a
sensitive and obsessive behavior towards healthy nutrition. The SF-36 showed that
exercise science students scored worse than business students regarding bodily
pain (72.8 vs. 82.5; p = 0.001), but better regarding general health (83.1 vs.
77.1; p = 0.006). Of 188 students, 144 (76.6%) had an ORTO-15 score indicating
orthorexia nervosa, with a higher proportion in exercise science students than in
business students (84.5% vs. 65.4%; p = 0.002). Orthorexia nervosa in combination
with a high level of physical activity was most often seen in men in exercise
science studies and less often in women in business studies (45.1% vs. 8.3%; p <
0.000). A high degree of self-reporting of pain and orthorexia nervosa in
exercise science students may cause problems in the future, since they are
expected to coach others in healthy living. Our findings may be valuable in the
development of health-oriented academic programs and within student healthcare
services.
PMID- 27889496
TI - How parents describe picky eating and its impact on family meals: A qualitative
analysis.
AB - Children are frequently described as being picky eaters. However, this term has
been inconsistently defined in prior research. There is limited qualitative
research investigating how parent's define picky eating, how they respond to it,
or how they see picky eating impacting their child's dietary intake or the family
meal. For this study, parents (n = 88) of siblings (ages 2-18 years old) were
interviewed in their homes. The semi-structured interviews focused on parent
feeding practices and child eating behaviors. A qualitative content analysis
approach was used to analyze the data; themes regarding picky eating emerged.
Results of this study show that the majority of parents (94% female; mean age 35
years) were from minority and low income homes. The following themes regarding
picky eating were identified: 1) children were frequently described as being
picky eaters; 2) parents defined picky eating in a variety of ways (i.e., not
liking a few foods; limited intake; resisting texture or appearance of foods;
resistance to new foods); 3) picky eating impacted the family meal (i.e.,
promotes meal-related parent stress; impacts meal preparation); and 4) parents
responded to picky eating in a variety of ways (i.e., require child tries food;
allow child to make separate meal; allow child not to eat; parent makes a
separate meal; allows child to choose only food he/she likes; requires child to
eat anyway). This study demonstrates that many parents experience child picky
eating and report that it impacts family meals. Additionally, study results
provide information on the specific ways pickiness impacts the family meal and
how parents respond to pickiness. This study also provides guidance for future
studies wishing to define picky eating or evaluate the prevalence of child
pickiness.
PMID- 27889497
TI - In vivo effect of borneol on rat hepatic CYP2B expression and activity.
AB - CYP2B subfamily accounts for 2-10% of total hepatic CYP450 enzymes and
participate in the metabolism of around 8% of clinical drugs. Borneol has been
widely used in traditional Chinese medicine for thousands of years. There are
many studies about borneol-induced promoting penetration role for a number of
drugs through various physiologic barriers, whereas there is no report involved
the effect of borneol on hepatic CYP2B. The present work studied the in vivo
effect of borneol on the expression and activity of rat hepatic CYP2B. The
results indicated that the oral administration of borneol (33, 100 and 300
mg/kg/d) to rats for consecutive 7 days increased the hepatic CYP2B1/2 activity
by 1.4-, 1.7- and 2.8-fold, hepatic CYP2B1 mRNA expression by 6.3-, 8.7- and 18.1
fold, and hepatic CYP2B1/2 protein expression by 1.2-, 1.9- and 2.6-fold,
respectively compared to the control. Additionally, in the borneol pre-dosing
(300 mg/kg/d for consecutive 7 days) rats, the increased Clint and decreased AUC0
24 of bupropion were observed as compared to the control. Moreover, there were no
obvious effects on CAR protein level in rat liver microsome and nucleus following
the borneol treatment. Taken together, our observations indicate that borneol is
an in vivo inducer of rat hepatic CYP2B with different regulatory mechanism from
phenobarbital-like inducers which caused CYP2B induction with CAR activation.
PMID- 27889499
TI - The OsAMT1.1 gene functions in ammonium uptake and ammonium-potassium homeostasis
over low and high ammonium concentration ranges.
AB - Rice (Oryza sativa) grown in paddy fields is an ammonium (NH4+)-preferring crop;
however, its AMT-type NH4+ transporters that mediate root N acquisition have not
been well characterized yet. In this study, we analyzed the expression pattern
and physiological function of the OsAMT1.1 gene of the AMT1 subfamily in rice.
OsAMT1.1 is located in the plasma membrane and is mainly expressed in the root
epidermis, stele and mesophyll cells. Disruption of the OsAMT1.1 gene decreased
the uptake of NH4+, and the growth of roots and shoots under both low NH4+ and
high NH4+ conditions. OsAMT1.1 contributed to the short-term (5 min) 15NH4+
influx rate by approximately one-quarter, irrespective of the NH4+ concentration.
Knockout of OsAMT1.1 significantly decreased the total N transport from roots to
shoots under low NH4+ conditions. Moreover, compared with the wild type, the
osamt1.1 mutant showed an increase in the potassium (K) absorption rate under
high NH4+ conditions and a decrease under low NH4+ conditions. The mutants
contained a significantly high concentration of K in both the roots and shoots at
a limited K (0.1 mmol/L) supply when NH4+ was replete. Taken together, the
results indicated that OsAMT1.1 significantly contributes to the NH4+ uptake
under both low and high NH4+ conditions and plays an important role in N-K
homeostasis in rice.
PMID- 27889498
TI - BLOC-2 subunit HPS6 deficiency affects the tubulation and secretion of von
Willebrand factor from mouse endothelial cells.
AB - Hermansky-Pudlak syndrome (HPS) is a recessive disorder with bleeding diathesis,
which has been linked to platelet granule defects. Both platelet granules and
endothelial Weibel-Palade bodies (WPBs) are members of lysosome-related
organelles (LROs) whose formation is regulated by HPS protein associated
complexes such as BLOC (biogenesis of lysosome-related organelles complex) -1,
2, -3, AP-3 (adaptor protein complex-3) and HOPS (homotypic fusion and protein
sorting complex). Von Willebrand factor (VWF) is critical to hemostasis, which is
stored in a highly-multimerized form as tubules in the WPBs. In this study, we
found the defective, but varying, release of VWF into plasma after desmopressin
(DDAVP) stimulation in HPS1 (BLOC-3 subunit), HPS6 (BLOC-2 subunit), and HPS9
(BLOC-1 subunit) deficient mice. In particular, VWF tubulation, a critical step
in VWF maturation, was impaired in HPS6 deficient WPBs. This likely reflects a
defective endothelium, contributing to the bleeding tendency in HPS mice or
patients. The differentially defective regulated release of VWF in these HPS
mouse models suggests the need for precise HPS genotyping before DDAVP
administration to HPS patients.
PMID- 27889500
TI - Enhancing phosphorus uptake efficiency through QTL-based selection for root
system architecture in maize.
AB - Root system architecture (RSA) plays an important role in phosphorus (P)
acquisition, but enhancing P use efficiency (PUE) in maize via genetic
manipulation of RSA has not yet been reported. Here, using a maize recombinant
inbred line (RIL) population, we investigated the genetic relationships between
PUE and RSA, and developed P-efficient lines by selection of quantitative trait
loci (QTLs) that coincide for both traits. In low-P (LP) fields, P uptake
efficiency (PupE) was more closely correlated with PUE (r = 0.48-0.54), and RSA
in hydroponics was significantly related to PupE (r = 0.25-0.30) but not to P
utilization efficiency (PutE). QTL analysis detected a chromosome region where
two QTLs for PUE, three for PupE and three for RSA were assigned into two QTL
clusters, Cl-bin3.04a and Cl-bin3.04b. These QTLs had favorable effects from
alleles derived from the large-rooted and high-PupE parent. Marker-assisted
selection (MAS) identified nine advanced backcross-derived lines carrying Cl
bin3.04a or Cl-bin3.04b that displayed mean increases of 22%-26% in PUE in LP
fields. Furthermore, a line L224 pyramiding Cl-bin3.04a and Cl-bin3.04b showed
enhanced PupE, relying mainly on changes in root morphology, rather than root
physiology, under both hydroponic and field conditions. These results highlight
the physiological and genetic contributions of RSA to maize PupE, and provide a
successful study case of developing P-efficient crops through QTL-based
selection.
PMID- 27889501
TI - Endophthalmitis After Clear Corneal Cataract Surgery: Outcomes Over Two Decades.
AB - PURPOSE: To report the clinical features, causative organisms, and visual acuity
(VA) outcomes associated with acute-onset endophthalmitis after clear corneal
cataract surgery over the past 2 decades. DESIGN: Retrospective case series.
METHODS: Clinical and microbiology records were reviewed for 63 eyes of 63
patients who presented to a tertiary referral center between 2006 and 2015 with
culture-positive endophthalmitis occurring within 6 weeks of clear corneal
cataract surgery. RESULTS: The mean time between surgery and diagnosis of
endophthalmitis was 8 days (median 6 days). The initial treatment included
intravitreal vancomycin and ceftazidime in 59 of 63 (94%) eyes and intravitreal
vancomycin and amikacin in 4 of 63 (6%) eyes. Intravitreal dexamethasone was used
in 50 of 63 (79%) eyes. A vitreous tap and injection with antibiotics was
performed as the initial treatment in 57 of 63 (90%) eyes and pars plana
vitrectomy in 6 of 63 (10%) eyes. Coagulase-negative Staphylococcus was isolated
in 39 of 63 (62%) eyes, Staphylococcus aureus in 7 of 63 (11%) eyes, and
Streptococcus species in 7 of 63 (11%) eyes. A VA of >=20/40 was achieved in 24
of 63 (38%) eyes. None of the gram-positive isolates were vancomycin resistant.
Twenty-four of 49 isolates (49%) were sensitive to cephalothin, cefazolin, and
cefuroxime. Sensitivity to fluoroquinolones included 22 of 52 (42%) to
levofloxacin, 20 of 54 (37%) to ciprofloxacin, 16 of 47 (34%) to moxifloxacin,
and 3 of 13 (23%) to gatifloxacin. CONCLUSION: Causative organisms and visual
outcomes are similar to those reported in the prior decade. In the current study,
a number of isolates were resistant to cephalosporins and fluoroquinolones.
PMID- 27889502
TI - Role of Lamellar Hole-Associated Epiretinal Proliferation in Lamellar Macular
Holes.
AB - PURPOSE: To compare the morphologic and functional characteristics and response
to surgery of lamellar macular holes (LMHs) with and without lamellar hole
associated epiretinal proliferation (LHEP) and standard epiretinal membrane
(ERM). DESIGN: Retrospective observational case series. METHODS: Setting:
Vitreoretinal clinical practice. STUDY POPULATION: Eigthy-four eyes of 84
patients. The included eyes must present an irregular foveal contour and schitic
or cavitated lamellar separation of neurosensory retina on spectral-domain
optical coherence tomography (SDOCT) and an area of increased autofluorescence on
blue fundus autofluorescence (B-FAF). Twenty-six eyes underwent pars plana
vitrectomy (PPV). MAIN OUTCOME MEASURES: Logarithm of minimum angle of resolution
(logMAR) best-corrected visual acuity (BCVA) and evolution of morphologic
characteristics. RESULTS: Standard ERM alone, LHEP alone, and concomitant ERM and
LHEP were found in 51.2%, 13.1%, and 35.7% of the cases, respectively. A
substantial stability of functional and morphologic parameters throughout the
follow-up period was observed in the eyes that did not undergo surgery
indipendently from the associated epiretinal material. The most significant
change, observed in the preoperative period, in the eyes that underwent surgery,
was the thinning of the central foveal thickness (CFT, P < .001). In the operated
eyes, logMAR BCVA continuosly improved during the postoperative period (P =
.006), CFT was found increased, and diameters of the hole were found reduced
since the first month after operation (P < .001). CONCLUSIONS: In eyes with LMHs,
presence of LHEP without any trace of standard ERM is rare. The presence of LHEP
does not seem to influence the natural course of the disease and the response to
surgery.
PMID- 27889503
TI - Gamma Knife Radiosurgery for Uveal Metastases: Report of Three Cases and a Review
of the Literature.
AB - PURPOSE: Uveal metastases are ophthalmologic tumors that have historically been
treated by fractionated external beam radiation therapy or invasive
brachytherapy. The need for rapid response and less invasive management options
led the authors to explore the use of Gamma Knife stereotactic radiosurgery (SRS)
for this common problem. DESIGN: Interventional case series. METHODS: To prevent
eye movement during the procedure, all 3 patients underwent a retrobulbar
anesthetic block followed by magnetic resonance imaging to detect the target. All
tumors were treated in a single procedure using the 4C or Perfexion Gamma Knife.
The tumors received a minimal tumor dose of 14-20 Gy. Two patients also underwent
SRS for additional intracranial metastases. RESULTS: At follow-up, performed
between 4 and 15 months after SRS, all 3 patients demonstrated a reduction in
uveal tumor volumes. One patient developed decreased visual acuity secondary to
radiation retinopathy. CONCLUSION: In this early experience, SRS was found to be
an effective management option for uveal metastases associated with systemic
cancer. Patients can be screened and treated effectively early after diagnosis
using a joint approach between ophthalmologists and neurosurgeons. Systemic
oncologic care can continue without interruption.
PMID- 27889504
TI - Responses of the sea anemone, Exaiptasia pallida, to ocean acidification
conditions and zinc or nickel exposure.
AB - Ocean acidification, caused by increasing atmospheric carbon dioxide (CO2), is a
growing concern in marine environments. Land-based sources of pollution, such as
metals, have also been a noted problem; however, little research has addressed
the combined exposure of both pollutants to coral reef organisms. In this study
we examined tissue metal accumulation and physiological effects (activity of anti
oxidant enzymes, catalase and glutathione reductase) in the sea anemone,
Exaiptasia pallida after exposure to increased CO2, as well as zinc (Zn) or
nickel (Ni). After exposure to four concentrations (nominal values=control, 10,
50, 100MUg/L) of Zn or Ni over 7days, both metals accumulated in the tissues of
E. pallida in a concentration-dependent manner. Anemones exposed to elevated CO2
(1000ppm) accumulated significant tissue burdens of Zn or Ni faster (by 48h) than
those exposed to the same metal concentrations at ambient CO2. No differences
were observed in catalase activity due to Zn exposure; however, 50MUg/L Ni caused
a significant increase in catalase activity at ambient CO2. No significant effect
on catalase activity from CO2 exposure alone was observed. Glutathione reductase
activity was affected by increased Zn or Ni exposure and those effects were
influenced by increased CO2. Results of this study provide insight into the toxic
mechanisms and environmental implications of CO2 and Zn or Ni exposure to the
cnidarian E. pallida.
PMID- 27889505
TI - Candesartan ameliorates arsenic-induced hypertensive vascular remodeling by
regularizing angiotensin II and TGF-beta signaling in rats.
AB - Arsenic exposure can cause several cardiovascular diseases, including
hypertension, atherosclerosis and microvascular disease. Earlier, we reported
that arsenic-mediated enhancement of angiotensin II (AngII) signaling can impair
vascular physiology. Here, we investigated whether the AT1 receptor (AT1R)
blocker candesartan can ameliorate the arsenic-induced hypertensive vascular
remodeling in rats and whether the amelioration could relate to attenuation in
vascular AngII and TGF-beta signaling. Rats were exposed to sodium arsenite
(50ppm) through drinking water for 90 consecutive days. Candesartan (1mg/kg bw,
orally) was administered once daily during the last 30days of arsenic exposure.
Non-invasive blood pressure was recorded weekly in conscious rats, while AngII
induced change in mean arterial pressure in anaesthetized rats was measured by
invasive method on the 91st day. On this day, blood was collected from other
animals for measuring AngII level. Western blot of AT1, AT2 and TbetaRII
receptors; ELISA of PTK, RasGAP, ERK-1/2, TGF-beta and CTGF; immunohistochemistry
of phosphorylated Smad3, Smad4 and collagen III, hydroxyproline/total collagen
estimation, collagen deposition by Masson's trichrome staining and
histomorphometry were carried out in thoracic aorta. Arsenic increased non
invasive systolic, diastolic and mean arterial pressure. Further, AngII caused
concentration-dependent incremental change in mean arterial pressure in the
arsenic-exposed rats. Arsenic upregulated AT1 and TbetaRII receptor proteins;
elevated the levels of PTK, ERK-1/2, TGF-beta and CTGF, decreased RasGAP level
and augmented the immunoreactivities of Smad3, Smad4 and collagen III. Arsenic
also increased hydroxyproline/total collagen level, proliferation of collagen
fibres and thickness of aortic wall and collagenous adventitia. Candesartan
normalized blood pressure, regularized receptor expressions, MAP kinase and TGF
beta signaling, restored collagen deposition and regressed aortic thickness. Our
results demonstrate that candesartan can ameliorate the arsenic-mediated systemic
hypertension and vascular remodeling in rats by regularizing the signaling
pathways of AngII and TGF-beta.
PMID- 27889506
TI - Genetic and transcriptional study of glutathione metabolism in Oenococcus oeni.
AB - Although Oenococcus oeni is the main species that is responsible for malolactic
fermentation (MLF), harsh wine conditions can limit its performance. Although
several mechanisms underlying the response to stress have been studied in this
species, little is known regarding the cellular systems that protect against
oxidative stress in other bacteria, such as glutathione (GSH). O. oeni cannot
synthesize GSH but contains several genes related to its utilization. In this
study, the relative expression (RE) of the seven genes involved in the GSH redox
system found in O. oeni PSU-1 (gshR, gpo, three glutaredoxin-like genes and two
subunits of an hypothetical transporter) has been measured. The study was
performed using three strains, with each exhibiting a different GSH uptake
capacity. The strains were grown in a stress-adaptation medium supplemented with
5mM GSH and under different adaptation stress conditions (pH4 and 6% ethanol).
The RE showed that only some of these genes, including one for a possible
glutaredoxin (OEOE_RS04215) and cydC for a subunit of a putative GSH transporter
(OEOE_RS1995), responded to the addition of GSH. The presence of ethanol had a
relevant effect on gene expression. Among the studied genes, the one for a NrdH
redoxin (OEOE_RS00645) showed a common response to ethanol in the strains, being
over-expressed when grown with GSH. In most cases, the transcriptional changes
were more evident for the strain with a higher capacity of GSH uptake. Malolactic
performance of the three strains after pre-adaptation was evaluated in wine-like
media (12% ethanol and pH3.4). It was observed that the addition of GSH during
pre-adaptation growth had a protective role in the cells exposed to low pH and
ethanol, resulting in a quicker MLF.
PMID- 27889507
TI - CYP2C9 Genotypes Modify Benzodiazepine-Related Fall Risk: Original Results From
Three Studies With Meta-Analysis.
AB - OBJECTIVE: To investigate whether the CYP2C9*2 and *3 variants modify
benzodiazepine-related fall risk. DESIGN: Three prospective studies; the
Rotterdam Study, B-PROOF, and LASA. SETTING: Community-dwelling individuals
living in or near five Dutch cities. PARTICIPANTS: There were 11,485 participants
aged >=55 years. MEASUREMENTS: Fall incidents were recorded prospectively.
Benzodiazepine use was determined using pharmacy dispensing records or
interviews. Cox proportional hazard models adjusted for age and sex were applied
to determine the association between benzodiazepine use and fall risk stratified
for CYP2C9 genotype and comparing benzodiazepine users to nonusers. The results
of the three studies were combined applying meta-analysis. Within benzodiazepine
users, the association between genotypes and fall risk was also assessed.
RESULTS: Three thousand seven hundred five participants (32%) encountered a fall
during 91,996 follow-up years, and 4% to 15% (depending on the study population)
used benzodiazepines. CYP2C9 variants had frequencies of 13% for the *2 allele
and 6% for the *3 allele. Compared to nonusers, current benzodiazepine use was
associated with an 18% to 36% increased fall risk across studies with a combined
hazard ratio (HR) = 1.26 (95% confidence interval [CI], 1.13; 1.40). CYP2C9*2 or
*3 allele variants modified benzodiazepine-related fall risk. Compared to
nonusers, those carrying a CYP2C9*2 or *3 allele and using benzodiazepines had a
45% increased fall risk (HR, 1.45 95% CI, 1.21; 1.73), whereas CYP2C9*1
homozygotes using benzodiazepines had no increased fall risk (HR, 1.14; 95% CI,
0.90; 1.45). Within benzodiazepine users, having a CYP2C9*2 or *3 allele was
associated with an increased fall risk (HR, 1.35; 95% CI, 1.06; 1.72).
Additionally, we observed an allele dose effect; heterozygous allele carriers had
a fall risk of (HR = 1.30; 95% CI, 1.05; 1.61), and homozygous allele carriers of
(HR = 1.91 95% CI, 1.23; 2.96). CONCLUSIONS: CYP2C9*2 and *3 allele variants
modify benzodiazepine-related fall risk. Those using benzodiazepines and having
reduced CYP2C9 enzyme activity based on their genotype are at increased fall
risk. In clinical practice, genotyping might be considered for elderly patients
with an indication for benzodiazepine use. However, because the exact role of
CYP2C9 in benzodiazepine metabolism is still unclear, additional research is
warranted.
PMID- 27889508
TI - Physiological responses and changes in gene expression in the large yellow
croaker Larimichthys crocea following exposure to hypoxia.
AB - Organisms at all levels of evolutionary complexity react to hypoxic stress. To
clarify the effects of acute hypoxia on physiological and biochemical responses
of Larimichthys crocea, we measured the activity levels of the antioxidant
enzymes superoxide dismutase and catalase, hemoglobin concentration, functional
indices of the liver (aspartate transaminase, alanine transaminase), heart
(phosphocreatine kinase), and immune system (alkaline phosphatase), as well as
mRNA expression levels of the immunity-related genes Hsp70 and HIF-1alpha at
different time points of hypoxic. In addition, liver, gill, and kidney samples
were histologically analyzed. We found that hemoglobin concentration and all
enzyme activities increased during hypoxia, although these effects were transient
and most indices returned to basal levels thereafter. The extent of the increase
in the parameter values was inversely proportional to the dissolved oxygen
content. Hsp70 and HIF-1alpha mRNA expression levels increased significantly in
the blood, liver, gills, and kidneys following exposure to hypoxia, which may
play an important role in protecting fish against oxidative damage. However, we
found histological evidence of hypoxia-induced injuries to the gills, liver, and
kidneys, which are involved in breathing, detoxification, and osmotic balance
maintenance, respectively. Thus, despite the upregulation of defensive
mechanisms, acute hypoxia still caused irreversible damage of organs. In
conclusion, we observed that, in response to acute hypoxic stress, L. crocea
enhances immune defensive function and antioxidant capacity. A better
understanding of the regulation of the molecular anti-hypoxia mechanisms can help
speeding up the selective breeding of hypoxia-tolerant L. crocea.
PMID- 27889509
TI - Ultrastructural and developmental evidence of phytotoxicity on cos lettuce
(Lactuca sativa) associated with nonylphenol exposure.
AB - It has long been understood that the presence of endocrine disrupter chemicals
(EDCs) in water can affect the reproductive, behavioural and regulatory systems
of different types of mammals. Thus far, only a handful of studies have examined
its impact on plant systems. Present research is limited to the potential uptake
of these chemicals by plants and the general phytotoxic effects it can elicit.
The aim of this study was to determine what effect an EDC has on developing plant
and cell organelles and how it affects it. In this study, cos lettuce plants were
exposed to different concentrations of nonylphenol (NP), an EDC, in a static
hydroponic system. Changes in plant morphology, mass and length, chlorophyll
content, as well as electrolyte leakage were examined. Furthermore an in-depth
investigation of the plant cell ultrastructure was carried out with transmission
electron microscopy. Results indicated that cos lettuce growth was severely
restricted, chlorophyll content was reduced, leakage of electrolytes increased
and roots were stunted especially after >=3200 MUg/l NP exposures. The structure
of the rough endoplasmic reticulum, vacuole and chloroplast were also changed.
This study emphasizes the importance of water quality management, since the
presence of an EDC, like NP, can negatively impact the yield and internal
structure of one of the world's most significant salad crops, namely lettuce.
PMID- 27889510
TI - Amine-functionalized, multi-arm star polymers: A novel platform for removing
glyphosate from aqueous media.
AB - We describe a novel method for efficiently removing glyphosate from aqueous media
via adsorption onto highly functionalized star-shaped polymeric particles. These
particles have a polystyrene core with more than 35 attached methacrylate polymer
arms, each containing a plurality of pendant amines (poly(dimethylamino ethyl
methacrylate): PDMAEMA) that are partially protonated in water. Kinetic studies
demonstrate that these star-polymers successfully remove up to 93% of glyphosate
present in aqueous solution (feed concentration: 5 ppm), within 10 min contact
time, outperforming activated carbon, which removed 33% after 20 min. On these
star-polymers, glyphosate adsorption closely follows the Langmuir model
indicating monolayer coverage at most. Ionic interaction between the protonated
amines and glyphosate's dissociated carboxylic and phosphoric acid groups lead to
effective glyphosate capture even at feed concentrations below 1 ppm. Surface
charge of these star polymers and dissociation of glyphosate are both influenced
by pH, thus glyphosate removal efficiency increases from 63% to 93% when pH
increases from 4.2 to 7.7. NMR studies conducted with butylamine as a proxy for
these polymeric particles confirm that the amine group binds with both
glyphosate's carboxylic and phosphoric acid groups when its concentrations are in
a 2:1 or higher molar ratio with glyphosate.
PMID- 27889511
TI - Historical sources of polychlorinated biphenyls to the sediment of the New
York/New Jersey Harbor.
AB - Using dated sediment cores, polychlorinated biphenyl (PCB) congener
concentrations in the New York/New Jersey Harbor and Lower Hudson River were
investigated using Positive Matrix Factorization. Of the seven factors resolved,
six represent Aroclors in various stages of weathering. Factor 1 resembles
Aroclor 1242 and is consistent with the Upper Hudson River PCB signal associated
with the General Electric capacitor plants near Hudson Falls, NY. This factor is
the dominant source of PCBs in the upper layers of the sediment core collected in
the Lower Hudson River. Factor 2 (Aroclor 1248) was the dominant PCB component in
the core depths corresponding with around 1970, but it has decreased more rapidly
since its peak (estimated half-life of about 5 years) than factor 1 (half-life of
about 14 years), suggesting that PCBs from the Upper Hudson have delayed the
recovery of the Harbor from PCB contamination. The seventh factor, comprised of
PCBs 206, 208, and 209, was greatest in concentration in the deepest core slices
and is thought be associated with inadvertent production of PCBs during the
manufacture of titanium dioxide and/or with foundry waxes containing PCBs. PCB
11, which is thought to be associated with the use of color organic pigments, was
examined separately and was detected in sediment throughout the Harbor. Its
maximum concentrations generally occurred at the same depth as the maximum total
PCB concentrations, suggesting that PCB 11 concentrations decreased after the mid
1970s.
PMID- 27889512
TI - Genotoxicity and growth inhibition effects of aniline on wheat.
AB - Aniline is a synthetic compound widely used in industrial and pesticide
production, which can lead to environmental pollution. Its high concentration in
rivers and lakes is hazardous to aquatic species. Although the mechanism of
aniline toxicity has been studied extensively in animals and algae, little is
known about its genotoxicity in plants. In this study, we investigated the
genotoxicity effects of aniline on wheat root tip cells. The mitotic index of
wheat root tip cells decreased when the aniline test concentration was higher
than 10 mg L-1. The frequency of micronucleus and chromosomal aberrations
increased at aniline concentrations ranging between 5 and 100 mg L-1, and reached
23.30/00 +/- 0.30/00 and 8.90/00 +/- 0.680/00, respectively, at an aniline
concentration of 100 mg L-1. These values were sevenfold higher than those in the
control group. The wheat seedlings showed various growth toxicity effects under
different concentrations of aniline. The shoot height, root length, fresh weight,
and dry weight of wheat seedlings decreased at aniline test concentrations
ranging between 25 and 200 mg L-1. At 200 mg L-1 aniline, the dry weight was only
one-third that of the control group. Overall, the findings of this study provide
evidence that aniline is a serious environmental pollutant causing deleterious
genotoxic effects on wheat root tip cells and growth toxic effects on wheat
seedlings. However, understanding the mechanisms that underlie aniline
genotoxicity in plants needs further study.
PMID- 27889513
TI - By-product identification and phytotoxicity of biodegraded Direct Yellow 4 dye.
AB - Citrus limon peroxidase mediated decolourization of Direct Yellow 4 (DY4) was
investigated. The process variables (pH, temperature, incubation time, enzyme
dose, H2O2 amount, dye concentration, co-metal ions and surfactants) were
optimized for maximum degradation of dye. Maximum dye decolourization of 89.47%
was achieved at pH 5.0, temperature 50 degrees C, enzyme dose 24 U/mL, H2O2
concentration 0.25 mM and DY4 concentration 18.75 mg/L and incubation time 10
min. The co-metal ions and surfactants did not affect the dye decolourization
significantly. Response surface analysis revealed that predicted values were in
agreement with experimentally determined responses. The degradation products were
identified by UPLC/MS analysis and degradation pathway was proposed. Besides,
phytotoxicity assay revealed a considerable detoxification in response of
biodegradation of DY4 dye. C. limon showed promising efficiency for DY4
degradation and could possibly be used for the remediation of textile effluents.
PMID- 27889514
TI - Cadmium alters the expression of small heat shock protein genes in the aquatic
midge Chironomus riparius.
AB - Cadmium (Cd) is a widespread and highly toxic heavy metal of particular
ecotoxicological relevance for aquatic ecosystems. It occurs naturally in the
environment but is also an industrial pollutant with extensively researched
carcinogenic potentials. Heat shock proteins (HSPs) are chaperones that play an
important role in maintaining protein homeostasis under stress conditions. Small
heat shock proteins (sHSPs) comprise the most diverse group of the HSPs family.
They are expressed both constitutively and by stress-induction. The midge
Chironomus riparius is widely used as a test species in aquatic toxicology. In
the present study, Reverse Transcription Polymerase Chain Reaction (RT-PCR) was
used to evaluate the effects of acute Cd exposure to the expression profile of
seven shsp genes (hsp17, hsp21, hsp22, hsp23, hsp24, hsp27, and hsp34) in C.
riparius larvae. Results show a specific pattern of response with a rapid
response by hsp27, which was downregulated at 2-6 h, while the rest of the shsp
genes remained unaltered except for hsp17 at 2 h, which was upregulated. However,
at 24 h of exposure are observed high levels of hsp23, hsp24, hsp27, and hsp34
transcription while hsp22 mRNA levels were downregulated and hsp17 and hsp21
remained unaltered. These changes in gene expression suggest a functional
diversity between the sHSPs in the cellular response to heavy metal stress. The
differential pattern in comparison with heat shock supports a specific profile
depending on the stress supporting the use of shsp genes as suitable biomarkers
for ecotoxicological studies on aquatic systems.
PMID- 27889515
TI - Quality assessment of Traditional Chinese Medicine using HPLC-PAD combined with
Tchebichef image moments.
AB - Traditional Chinese medicines have gotten growing attention and the product
quality also became uneven. In this paper, Tchebichef image moments coupled with
high performance liquid chromatography with photodiode array detector were
proposed to the similarity analysis of the fourteen batches of Pudilan Xiaoyan
tablets (Chinese medicine complex), which were based on the grayscale images of
three dimensional (3D) fingerprint spectra. Hierarchical cluster analysis was
used for displaying the classification results. As a comparison, traditional
principal component analysis (PCA) method based on the chromatograms under single
fixed wavelength was carried out on the same samples. The analytical results
indicated that the proposed approach could provide more accurate and reasonable
results owing to its powerful invariant and multi-resolution capability of image
moments.
PMID- 27889516
TI - Lenalidomide enhances the function of dendritic cells generated from patients
with multiple myeloma.
AB - Lenalidomide (LEN) has been used as an immunomodulatory drug with direct and
indirect anti-tumor effects. In this study, we evaluated the effect of LEN on the
differentiation, maturation, and function of dendritic cells (DCs) in patients
with multiple myeloma in vitro. Various doses of LEN were added after the
monocytes had differentiated into immature DCs and were activated into mature
DCs. LEN (5 MUg/mL) was the optimal concentration to promote differentiation and
maturation of DCs. Immature DCs treated with LEN exhibited enhanced endocytic
capacity. Mature DCs treated with LEN produced higher levels of interleukin
12p70, possessed stronger allogeneic T-cell stimulation capacity, reduced the
number of suppressor cells, and generated antigen-specific cytotoxic T
lymphocytes more potently compared with control DCs. These results suggest that
LEN enhanced the function of DCs generated from patients with multiple myeloma by
stimulating the capacity of allogeneic T cells, inhibiting the generation of
immunosuppressive cells, inducing naive T cells toward Th1 polarization, and
generating potent myeloma-specific cytotoxic T lymphocytes.
PMID- 27889517
TI - Rice pectin methylesterase inhibitor28 (OsPMEI28) encodes a functional PMEI and
its overexpression results in a dwarf phenotype through increased pectin
methylesterification levels.
AB - Pectin methylesterases (PMEs, EC 3.1.1.11) belonging to carbohydrate esterase
family 8 cleave the ester bond between a galacturonic acid and an methyl group
and the resulting change in methylesterification level plays an important role
during the growth and development of plants. Optimal pectin methylesterification
status in each cell type is determined by the balance between PME activity and
post-translational PME inhibition by PME inhibitors (PMEIs). Rice contains 49
PMEIs and none of them are functionally characterized. Genomic sequence analysis
led to the identification of rice PMEI28 (OsPMEI28). Recombinant OsPMEI28
exhibited inhibitory activity against commercial PME protein with the highest
activities detected at pH 8.5. Overexpression of OsPMEI28 in rice resulted in an
increased level of cell wall bound methylester groups and differential changes in
the composition of cell wall neutral monosaccharides and lignin content in culm
tissues. Consequently, transgenic plants overexpressing OsPMEI28 exhibited dwarf
phenotypes and reduced culm diameter. Our data indicate that OsPMEI28 functions
as a critical structural modulator by regulating the degree of pectin
methylesterification and that an impaired status of pectin methylesterification
affects physiochemical properties of the cell wall components and causes abnormal
cell extensibility in rice culm tissues.
PMID- 27889518
TI - Proteomic analysis provides insights into changes in the central metabolism of
the cambium during dormancy release in poplar.
AB - Seasonal cycling of growth and dormancy is an important feature for the woody
plants growing in temperate zone, and dormancy is an effective strategy for
surviving the winter stress. But the mechanisms of dormancy maintenance and its
release are still not clear, especially little information is available with
regard to the changes of proteome during the process. A better understanding in
the function of proteins and their related metabolic pathways would expand our
knowledge of the mechanisms of dormancy maintenance and its release in trees. In
this study, we employed the isobaric tags for relative and absolute
quantification (iTRAQ) approach with LC-MS/MS analysis to investigate the protein
profile changes during dormancy release in poplar. In addition, the change of
lipid, total insoluble carbohydrates and starch granules in the cambium was
investigated by histochemical methods. A total of 3789 proteins were identified
in poplar cambial tissues, 1996 of them were significantly altered during the
dormancy release. Most of the altered proteins involved in signaling,
phytohormone, energy metabolism, stress and secondary metabolism by functional
analysis. Our data shows that the lipid metabolism proteins changed significantly
both in the release stage of eco- and endodormancy, while the changes of
carbohydrate metabolism proteins were mainly in endo-dormancy release stage.
Moreover, histochemical results were consistent with the proteomic data. Our
results reveal diverse stage-specific metabolism changes during the dormancy
release process induced by chilling in poplar, which provided new information
regarding the regulation mechanisms of dormancy maintenance and its release in
trees.
PMID- 27889519
TI - Iron-induced nitric oxide leads to an increase in the expression of ferritin
during the senescence of Lotus japonicus nodules.
AB - Iron is an essential nutrient for legume-rhizobium symbiosis and accumulates
abundantly in the nodules. However, the concentration of free iron in the cells
is strictly controlled to avoid toxicity. It is known that ferritin accumulates
in the cells as an iron storage protein. During nodule senescence, the expression
of the ferritin gene, Ljfer1, was induced in Lotus japonicus. We investigated a
signal transduction pathway leading to the increase of Ljfer1 in the nodule. The
Ljfer1 promoter of L. japonicus contains a conserved Iron-Dependent Regulatory
Sequence (IDRS). The expression of Ljfer1 was induced by the application of iron
or sodium nitroprusside, which is a nitric oxide (NO) donor. The application of
iron to the nodule increased the level of NO. These data strongly suggest that
iron-induced NO leads to increased expression of Ljfer1 during the senescence of
L. japonicus nodules.
PMID- 27889520
TI - Profiling of volatile organic compounds released from individual intact juvenile
and mature citrus leaves.
AB - Plants release volatiles to communicate with each other and to attract or repel
insects. The methods used to collect volatiles are varied. Here, we describe a
simple solvent-less, solid phase microextraction-based method to collect the
volatiles released from intact citrus leaves. We were able to collect up to 39
volatiles from both juvenile and mature leaves. Our results indicated that
juvenile leaves produced both monoterpenes and sesquiterpenes, and while mature
leaves continued to produce a variety of monoterpenes, their release of
sesquiterpenes decreased dramatically. The finding that juvenile leaves emitted
higher levels of sesquiterpenes while mature leaves released mostly monoterpenes
suggests that younger leaves of plants may be involved in a more complex chemical
communication system.
PMID- 27889521
TI - Azacytidine and miR156 promote rooting in adult but not in juvenile Arabidopsis
tissues.
AB - Poor adventitious root (AR) formation is a major obstacle in micropropagation and
conventional vegetative propagation of many crops. It is affected by many
endogenous and exogenous factors. With respect to endogenous factors, the phase
change from juvenile to adult has a major influence on AR formation and rooting
is usually much reduced or even fully inhibited in adult tissues. It has been
reported that the phase change is characterized by an increase in DNA-methylation
and a decrease in the expression of microRNA156 (miR156). In this paper, we
examined the effect of azacytidine (AzaC) and miR156 on AR formation in adult and
juvenile Arabidopsis tissues. To identify the ontogenetic state researchers have
used flowering or leaf morphology. We have used the rootability which allows - in
contrast with both other characteristics- to examine the ontogenetic state at the
cellular level. Overexpression of miR156 promoted only the rooting of adult
tissues indicating that the phase change-associated loss in tissues' competence
to develop ARs is also under the control of miR156. Azacytidine inhibits DNA
methylation during DNA replication. Azacytidine treatment also promoted AR
formation in nonjuvenile tissues but had no or little effect in juvenile tissues.
Its addition during seedling growth (by which all tissues become hypomethylated)
or during the rooting treatment (by which only those cells become hypomethylated
that are generated after taking the explant) are both effective in the promotion
of rooting. An AzaC treatment may be useful in tissue culture for crops that are
recalcitrant to root.
PMID- 27889522
TI - Increased sink strength offsets the inhibitory effect of sucrose on sugarcane
photosynthesis.
AB - Spraying sucrose inhibits photosynthesis by impairing Rubisco activity and
stomatal conductance (gs), whereas increasing sink demand by partially darkening
the plant stimulates sugarcane photosynthesis. We hypothesized that the
stimulatory effect of darkness can offset the inhibitory effect of exogenous
sucrose on photosynthesis. Source-sink relationship was perturbed in two
sugarcane cultivars by imposing partial darkness, spraying a sucrose solution
(50mM) and their combination. Five days after the onset of the treatments, the
maximum Rubisco carboxylation rate (Vcmax) and the initial slope of A-Ci curve
(k) were estimated by measuring leaf gas exchange and chlorophyll fluorescence.
Photosynthesis was inhibited by sucrose spraying in both genotypes, through
decreases in Vcmax, k, gs and ATP production driven by electron transport (Jatp).
Photosynthesis of plants subjected to the combination of partial darkness and
sucrose spraying was similar to photosynthesis of reference plants for both
genotypes. Significant increases in Vcmax, gs and Jatp and marginal increases in
k were noticed when combining partial darkness and sucrose spraying compared with
sucrose spraying alone. Our data also revealed that increases in sink strength
due to partial darkness offset the inhibition of sugarcane photosynthesis caused
by sucrose spraying, enhancing the knowledge on endogenous regulation of
sugarcane photosynthesis through the source-sink relationship.
PMID- 27889523
TI - Identification of target genes and processes involved in erucic acid accumulation
during seed development in the biodiesel feedstock Pennycress (Thlaspi arvense
L.).
AB - We studied erucic acid accumulation in the biodiesel feedstock Pennycress
(Thlaspi arvense L.) as a first step towards the development of a sustainable
strategy for biofuel production in the EU territory. To that end, two inbred
Pennycress lines of European origin, "NASC" and "French," were cultivated in a
controlled chamber and in experimental field plots, and their growth, seed
production and seed oil characteristics analyzed. Differences in some agronomical
traits like vernalization (winter-French versus spring-NASC), flowering time
(delayed in the French line) and seed production (higher in the French line) were
detected. Both lines showed a high amount (35-39%) of erucic acid (22:1Delta13)
in their seed oil. Biochemical characterization of the Pennycress seed oil
indicated that TAG was the major reservoir of 22:1Delta13. Incorporation of
22:1Delta13 to TAG occurred very early during seed maturation, concomitant with a
decrease of desaturase activity. This change in the acyl fluxes towards
elongation was controlled by different genes at different levels. TaFAE1 gene,
encoding the fatty acid elongase, seemed to be controlled at the transcriptional
level with high expression at the early stages of seed development. On the
contrary, the TaFAD2 gene that encodes the Delta12 fatty acid desaturase or
TaDGAT1 that catalyzes TAG biosynthesis were controlled post-transcriptionally.
TaWRI1, the master regulator of seed-oil biosynthesis, showed also high
expression at the early stages of seed development. Our data identified genes and
processes that might improve the biotechnological manipulation of Pennycress
seeds for high-quality biodiesel production.
PMID- 27889524
TI - Early responses of mature Arabidopsis thaliana plants to reduced water potential
in the agar-based polyethylene glycol infusion drought model.
AB - Drought is one of the most important environmental stressors resulting in
increasing losses of crop plant productivity all over the world. Therefore,
development of new approaches to increase the stress tolerance of crop plants is
strongly desired. This requires precise and adequate modeling of drought stress.
As this type of stress manifests itself as a steady decrease in the substrate
water potential (psiw), agar plates infused with polyethylene glycol (PEG) are
the perfect experimental tool: they are easy in preparation and provide a
constantly reduced psiw, which is not possible in soil models. However,
currently, this model is applicable only to seedlings and cannot be used for
evaluation of stress responses in mature plants, which are obviously the most
appropriate objects for drought tolerance research. To overcome this limitation,
here we introduce a PEG-based agar infusion model suitable for 6-8-week-old A.
thaliana plants, and characterize, to the best of our knowledge for the first
time, the early drought stress responses of adult plants grown on PEG-infused
agar. We describe essential alterations in the primary metabolome (sugars and
related compounds, amino acids and polyamines) accompanied by qualitative and
quantitative changes in protein patterns: up to 87 unique stress-related proteins
were annotated under drought stress conditions, whereas further 84 proteins
showed a change in abundance. The obtained proteome patterns differed slightly
from those reported for seedlings and soil-based models.
PMID- 27889525
TI - Nano-scale elastic-plastic properties and indentation-induced deformation of
single crystal 4H-SiC.
AB - The nanoscale elastic-plastic response of single crystal 4H-SiC has been
investigated by nanoindentationwith a Berkovich tip. The hardness (H) and elastic
modulus (E) determined in the load-independent region were 36+/-2GPa and 413+/
8GPa, respectively. The indentation size effect (ISE) of hardness within an
indentation depth of 60nm was systematically analyzed by the Nix-Gao model. Pop
in events occurring at a depth of ~23nm with indentation loads of 0.60-0.65mN
were confirmed to indicate the elastic-plastic transition of the crystal, on the
basis of the Hertzian contact theory and Johnson's cavity model. Theoritically
calculated maximum tensile strength (13.5GPa) and cleavage strength (33GPa) also
affirms the deformation due to the first pop-in rather than tensile stresses.
Further analyses of deformation behavior across the indent was done in 4H-SiC by
a combined technique of focused ion beam and transmission electron microscope,
revealing that slippage occurred in the (0001) plane after indentation.
PMID- 27889526
TI - Strain shielding in trabecular bone at the tibial cement-bone interface.
AB - Aseptic loosening of the tibial component remains the leading cause for revision
surgery in total knee arthroplasty (TKA). Understanding the mechanisms leading to
loss of fixation can offer insight into preventative measures to ensure a longer
survival rate. In cemented TKA, loosening occurs at the cement-trabecular
interface probably due to a stress-shielding effect of the stiffer implant
material in comparison with bone. Using finite element models of lab-prepared
tibial cement-trabeculae interface specimens (n=4) based on micro-CT images, this
study aims to investigate the micromechanics of the interlock between cement and
trabecular bone. Finite element micromotion between cement and trabeculae and
bone strain were compared in the interdigitated trabeculae as well as strain in
the bone distal to the interface. Lab-prepared specimens and their FE models were
assumed to represent the immediate post-operative situation. The cement layer was
removed in the FE models while retaining the loading conditions, which resulted
in FE models that represented the pre-operative situation. Results showed that
micromotion and bone strain decrease when interdigitation depth increases. Bone
cement micromotion and bone strain at the distal interdigitated region showed a
dependence on bone volume fraction. Comparing the immediate post-operative and
pre-operative situations, trabeculae embedded deep within the cement generally
showed the highest level of strain-shielding. Strain shielding of interdigitated
bone, in terms of reduction in compressive strains, was found to be between 35
and 61 % for the four specimens. Strain adaptive remodeling could thus be a
plausible mechanism responsible for loss of interdigitated bone.
PMID- 27889527
TI - 177Lu-DOTATATE treatment in neuroendocrine tumours. A preliminary study.
AB - Therapy with radiolabelled somatostatin analogue peptides is a promising new
therapy to treat neuroendocrine tumours. The aim of this preliminary study is to
present our experience with 177Lu-DOTATATE therapy, and evaluate tolerability and
short-term efficacy in patients with tumours expressing somatostatin receptors. A
total of 7 patients with metastatic neuroendocrine tumours were treated, each
with 4 doses of 177Lu-DOTATATE. The treatment response was evaluated in the form
of biochemical response (tumour markers), imaging methods (somatostatin receptor
scintigraphy, computed tomography, and magnetic resonance), and functional and
quality of life responses using the Karnofsky performance status scale. Treatment
toxicity was also evaluated. The results obtained were as follows: Biochemical
response: 60% of patients showed tumour marker levels returning to normal, while
they decreased significantly in the remaining 40%. Imaging response: 85.7% had a
partial response, while 14.3% showed stable disease. All (100%) patients showed a
significant improvement in quality of life, with increased Karnofsky scale
scores. No patient had acute or chronic toxicity, and subacute transient
haematological toxicity was observed in 42.8% of patients. Despite being a
preliminary study, it was found that treatment with 177Lu-DOTATATE is a safe
treatment with few side effects, and an objective response was achieved in most
patients.
PMID- 27889528
TI - Tailoring shape and size of biogenic silver nanoparticles to enhance
antimicrobial efficacy against MDR bacteria.
AB - Spherical, rectangular, penta, and hexagonal silver nanoparticles of different
dimensions were biosynthesized in an eco-friendly manner by biocontrol agent,
Trichoderma viride by manipulating physical parameters, pH, temperature, and
reaction time. The particles were characterized by UV-vis spectroscopy; Dynamic
Light Scattering (DLS), Transmission Electron Microscopy (TEM) and Fourier
Transform Infra-red Spectroscopy (FTIR). Shape and size dependent antimicrobial
activity of nanoparticles against human pathogens was observed. Maximum
inhibition was found with spherical nanoparticles (2-5 nm) showing 40, 51, 43,
53.9 and 55.8% against Shigella sonnei, Escherichia coli, Serratia marcescens,
Staphylococcus. aureus and Pseudomonas aeruginosa respectively, where as
pentagonal and hexagonal nanoparticles (50-100 nm) demonstrated 32, 41, 31, 42.84
and 42.80% of inhibition as compared to control. Nanoparticles of different
geometry and dimension established enhanced antagonistic activity against
pathogens with all the tested antibiotics. Excellent antimicrobial efficacy was
obtained with spherical nanoparticles of 2-5 nm with ampicillin and penicillin.
Shape and size played major role in enhancing antimicrobial potential of silver
nanoparticles, both singly and synergistically with antibiotics which can be
exploited to combat the spread of multidrug resistant pathogens.
PMID- 27889529
TI - Antiviral activities of selected antimalarials against dengue virus type 2 and
Zika virus.
AB - In a previous study, twelve antimalarial compounds, amodiaquine (AQ) and
derivatives, were shown to have potent anti-dengue viral (DENV) activity by using
the stable DENV2 Renilla luciferase reporter replicon expressing BHK-21 cells,
infectivity (plaque), and the qRT-PCR assays. In this study, we performed
molecular modeling on these compounds to determine their stereo-electronic
properties required for optimal antiviral activity. Based on the similarity of
calculated stereo-electronic profiles, specifically the electrostatic potential
profiles of the compounds, and in silico screening of related compounds from
literature, we identified three additional compounds, Quinacrine (QC), Mefloquine
(MQ), and GSK369796. Analysis of their antiviral activities indicated that all
three compounds have high anti-DENV activity in the DENV2 replicon expressing
cells with EC50 values of 5.30 +/- 1.31 MUM (QC), 3.22 +/- 0.37 MUM (MQ), and
5.06 +/- 0.86 MUM (GSK369796). The infectivity assays revealed the EC50 values of
7.09 +/- 1.67 MUM (QC), 4.36 +/- 0.31 MUM (MQ) and 3.03 +/- 0.35 MUM (GSK369796).
The mode of action of these compounds is through inhibition of autophagy, thereby
affecting DENV2 replication. Moreover, these compounds also showed antiviral
activity against the rapidly emerging Zika virus (ZIKV) with EC50 values of 2.27
+/- 0.14 MUM (QC), 3.95 +/- 0.21 MUM (MQ), and 2.57 +/- 0.09 MUM (GSK369796).
PMID- 27889530
TI - Identification of proximal biomarkers of PKC agonism and evaluation of their role
in HIV reactivation.
AB - DESIGN: The HIV latent CD4+ T cell reservoir is broadly recognized as a barrier
to HIV cure. Induction of HIV expression using protein kinase C (PKC) agonists is
one approach under investigation for reactivation of latently infected CD4+ T
cells (Beans et al., 2013; Abreu et al., 2014; Jiang et al., 2014; Jiang and
Dandekar, 2015). We proposed that an increased understanding of the molecular
mechanisms of action of PKC agonists was necessary to inform on biological
signaling and pharmacodynamic biomarkers. RNA sequencing (RNA Seq) was applied to
identify genes and pathways modulated by PKC agonists. METHODS: Human CD4+ T
cells were treated ex vivo with Phorbol 12-myristate 13-acetate, prostatin or
ingenol-3-angelate. At 3 h and 24 h post-treatment, cells were harvested and RNA
Seq was performed on RNA isolated from cell lysates. The genes differentially
expressed across the PKC agonists were validated by quantitative RT-PCR (qPCR). A
subset of genes was evaluated for their role in HIV reactivation using siRNA and
CRISPR approaches in the Jurkat latency cell model. RESULTS: Treatment of primary
human CD4+ T cells with PKC agonists resulted in alterations in gene expression.
qPCR of RNA Seq data confirmed upregulation of 24 genes, including CD69, Egr1,
Egr2, Egr3, CSF2, DUSP5, and NR4A1. Gene knockdown of Egr1 and Egr3 resulted in
reduced expression and decreased HIV reactivation in response to PKC agonist
treatment, indicating a potential role for Egr family members in latency
reversal. CONCLUSION: Overall, our results offer new insights into the mechanism
of action of PKC agonists, biomarkers of pathway engagement, and the potential
role of EGR family in HIV reactivation.
PMID- 27889531
TI - SIRT1 protects cardiac cells against apoptosis induced by zearalenone or its
metabolites alpha- and beta-zearalenol through an autophagy-dependent pathway.
AB - Zearalenone (ZEN) is a non-steroidal estrogenic mycotoxin produced by several
species of Fusarium in cereals and agricultural products. The major ZEN
metabolites are alpha-zearalenol (alpha-ZOL) and beta-zearalenol (beta-ZOL). In
the present study, we investigated the underlying mechanism of the toxicity
induced by ZEN, alpha-ZOL and beta-ZOL in cardiac cells (H9c2). We show that
treatment with ZEN or its metabolites induces the activation of the mitochondrial
pathway of apoptosis as characterized by an increase in ROS generation, a loss of
mitochondrial transmembrane potential (DeltaPsim) and an activation of caspases.
Besides, we demonstrate that these mycotoxins promote the activation of autophagy
before the onset of apoptosis. Indeed, we observed that a short-time (6h)
treatment with ZEN, alpha-ZOL or beta-ZOL, increased the level of Beclin-1 and
LC3-II and induced the accumulation of the CytoID(r) autophagy detection probe.
Moreover, the inhibition of autophagy by Chloroquine significantly increased cell
death induced by ZEN, alpha-ZOL or beta-ZOL, suggesting that the activation of
autophagy serves as a cardioprotective mechanism against these mycotoxins. In
addition, we found that the inhibition (EX527) or the knockdown of SIRT1 (siRNA)
significantly increased apoptosis induced by ZEN or its derivatives, whereas
SIRT1 activation with RSV greatly prevents the cytotoxic effects of these
mycotoxins. By contrast, when autophagy was inhibited by CQ, the activation of
SIRT1 by RSV had no protection against the cardiotoxicity of ZEN or its
metabolites, suggesting that SIRT1 protects cardiac cells by an autophagy
dependent pathway.
PMID- 27889533
TI - Temporomandibular Joint Disorder Management in Oral and Maxillofacial Surgery.
AB - PURPOSE: This article discusses why the management of temporomandibular joint
disorder (TMD) cases leads to some oral and maxillofacial surgeons to actively
avoid attracting such patients to their practices, offers some evidence-based
explanations, and provides recommendations for resolution that will benefit not
only the specialty, but more importantly the patients it serves. MATERIALS AND
METHODS: A review of the reasons some surgeons state they do not wish to manage
TMD cases is presented, followed by an updated review of the TMD and orthopedic
literature discussing not only the importance of a proper diagnosis but also the
impact of comorbid conditions, genetics, clinical experience, and patient
expectations important to achieving good TMD management outcomes. RESULTS: The
literature shows that the frustration clinicians and TMD and orthopedic patients
have had in the past are related to initial misdiagnosis leading to multiple
failed procedures, failed materials and devices, failure to understand the impact
of comorbid conditions and genetic features on outcomes, clinicians' experience
in complex cases, and unrealistic outcomes expectations by the clinician and the
patient. CONCLUSION: Although it is not reasonable to believe that every graduate
of an oral and maxillofacial surgery residency will have an interest in
management of TMD cases in their future practices, those who will must understand
the importance of the issues of proper diagnosis, the relation of TMD patient
comorbidities and prior management to final outcomes, honest awareness of their
experience and ancillary support to manage complex cases, and how essential a
realistic prognosis is to a successful outcome for the clinician and the patient.
PMID- 27889532
TI - Characterisation of ZBTB46 and DC-SCRIPT/ZNF366 in rainbow trout, transcription
factors potentially involved in dendritic cell maturation and activation in fish.
AB - ZBTB46 and DC-SCRIPT/ZNF366 are two zinc finger transcription factors that play
important roles in regulating differentiation of dendritic cells in mammals. In
this study, the ZBTB46 and DC-SCRIPT/ZNF366 homologues were identified in rainbow
trout Oncorhynchus mykiss and their expression analysed in vivo and in vitro. As
transcription factors, they are well conserved in sequence, genomic organisation
and gene synteny. Their expression was differentially modulated by bacterial and
viral PAMPs in the monocyte/macrophage-like cell line RTS-11, in primary head
kidney (HK) macrophages, and in HK macrophages cultured with IL-4/13A. In the RTS
11 cells and primary HK macrophages, all the ZBTB46 and DC-SCRIPT/ZNF366
homologues were down-regulated by interferon gamma (type II IFN) but unaffected
by IFN2 (type I IFN), administered as recombinant proteins to cell cultures. In
fish gills, infection with amoebae (Paramoebae perurans) resulted in reduction of
ZBTB46 and DC-SCRIPT/ZNF366 expression in Atlantic salmon Salmo salar, whilst
infection with Yersinia ruckeri induced gene expression in rainbow trout.
PMID- 27889534
TI - Serum Annexin A2 Level Is Associated With Diagnosis and Prognosis in Patients
With Oral Squamous Cell Carcinoma.
AB - PURPOSE: In several human cancer types, serum annexin A2 levels are increased,
but little is known regarding oral squamous cell carcinoma (OSCC). This study
aimed to measure serum annexin A2 levels in OSCC patients and assess the
association with diagnosis and prognosis. MATERIALS AND METHODS: This case
control study compared serum annexin A2 concentrations in a group of OSCC
patients and a control group. The predictor variable was the presence or absence
of OSCC, and the outcome variable was the level of serum annexin A2. Annexin A2
concentrations were measured with an enzyme-linked immunosorbent assay, and
correlations with clinicopathologic characteristics of OSCC were further
evaluated. Receiver operating characteristic (ROC) curves, Kaplan-Meier curves,
log-rank analyses, and a Cox proportional hazards model were used to evaluate the
diagnostic and prognostic value of annexin A2. RESULTS: Serum samples were taken
from 399 individuals: 126 patients with OSCC (aged 62.7 +/- 10.6 years, 79 men
and 47 women); 115 patients with benign oral disease (aged 63.9 +/- 10.8 years,
73 men and 42 women); and 158 healthy controls (aged 65.4 +/- 12.8 years, 92 men
and 66 women). The annexin A2 level was significantly higher in OSCC patients
than in patients with benign disease and controls (27.1 +/- 9.81 ng/mL vs 15.9 +/
6.97 ng/mL and 15.0 +/- 6.69 ng/mL, respectively). To distinguish OSCC patients
from the other 2 groups, ROC curve-area under the ROC curve (AUC) analysis for
serum annexin A2 levels provided an AUC of 0.80 (sensitivity, 0.62; specificity,
0.87) and an AUC of 0.77 (sensitivity, 0.57; specificity, 0.89). Furthermore,
OSCC patients with high annexin A2 levels had poorer overall survival.
CONCLUSIONS: This study suggested that an elevated serum annexin A2 level might
be a novel diagnostic and prognostic biomarker for OSCC patients.
PMID- 27889535
TI - Does Temporomandibular Joint Pathology With or Without Surgical Management Affect
the Stability of Counterclockwise Rotation of the Maxillomandibular Complex in
Orthognathic Surgery? A Systematic Review and Meta-Analysis.
AB - PURPOSE: This study was designed to determine the stability of counterclockwise
rotation (CCWR) of the maxillomandibular complex (MMC) in orthognathic surgery
with or without surgical correction of coexisting temporomandibular joint (TMJ)
pathology. MATERIALS AND METHODS: The authors implemented a systematic review and
meta-analysis. A search of major databases through PubMed, EMBASE, and Cochrane
CENTRAL was performed. Inclusion criteria were studies that analyzed CCWR of the
MMC in regard to skeletal stability after orthognathic surgery in patients with
or without pre-existing TMJ pathology that was or was not surgically corrected
concomitantly. The predictor variables were patients who underwent CCWR of the
MMC in the following subgroups: 1) healthy TMJs (presumed healthy based on
history, clinical evaluation, and radiographic analysis but without magnetic
resonance imaging [MRI] confirmation), 2) no TMJ assessment, 3) untreated TMJ
disc displacement (confirmed by MRI), 4) TMJ disc displacement (confirmed by MRI)
repositioned using Mitek anchors, and 5) reconstruction with TMJ total joint
prosthesis. Outcome variables were surgical relapse for angular and linear
measurements. Postsurgical mean changes for the occlusal plane (OP) and linear
measurements using a fixed-effects model with a 95% confidence interval were
analyzed. RESULTS: A total of 345 patients enrolled in 12 studies were included
in this study. There was significant OP relapse and horizontal relapse at the B
point and menton for studies with untreated TMJ disc displacement and studies
without TMJ assessment (P < .005). There was significant horizontal relapse at
the A point for studies with healthy TMJs, without assessment of the TMJs, and
with TMJ reconstruction with total joint prostheses (P < .005). There was no
significant vertical relapse at the B and A points for all subgroup analyses (P <
.005). CONCLUSION: The result of the meta-analysis suggests that CCWR of the MMC
is a stable procedure for patients with healthy TMJs, patients undergoing
concomitant TMJ disc repositioning with Mitek anchors, and patients with TMJ
patient-fitted total joint prostheses but unstable in the presence of untreated
TMJ disc displacements and when TMJ status is not assessed.
PMID- 27889536
TI - Compact Integration of Multi-Network Topology for Functional Analysis of Genes.
AB - The topological landscape of molecular or functional interaction networks
provides a rich source of information for inferring functional patterns of genes
or proteins. However, a pressing yet-unsolved challenge is how to combine
multiple heterogeneous networks, each having different connectivity patterns, to
achieve more accurate inference. Here, we describe the Mashup framework for
scalable and robust network integration. In Mashup, the diffusion in each network
is first analyzed to characterize the topological context of each node. Next, the
high-dimensional topological patterns in individual networks are canonically
represented using low-dimensional vectors, one per gene or protein. These vectors
can then be plugged into off-the-shelf machine learning methods to derive
functional insights about genes or proteins. We present tools based on Mashup
that achieve state-of-the-art performance in three diverse functional inference
tasks: protein function prediction, gene ontology reconstruction, and genetic
interaction prediction. Mashup enables deeper insights into the structure of
rapidly accumulating and diverse biological network data and can be broadly
applied to other network science domains.
PMID- 27889537
TI - Response to Letter to the Editor: Regarding "The dosimetric impact of image
guided radiation therapy by intratumoral fiducial markers".
PMID- 27889539
TI - Pharmacodynamics of S-dimethylarsino-glutathione, a putative metabolic
intermediate of inorganic arsenic, in mice.
AB - Inorganic arsenicals are well-known carcinogens, whereas arsenite (iAsIII)
compounds are now recognized as potent therapeutic agents for several leukemias,
and arsenic trioxide has been used for the treatment of recurrent acute
promyelocytic leukemia (APL). However, recent clinical trials revealed that
arsenite is not always effective for non-APL malignancies. Another arsenical, S
dimethylarsino-glutathione ([DMAIII(GS)]), which is a putative metabolic
intermediate in the hepatic metabolism of iAsIII, shows promise for treating
several types of lymphoma. However, the metabolism of [DMAIII(GS)] has not been
well investigated, probably because [DMAIII(GS)] is not stable in biological
fluids where the concentration of glutathione is low. In the present study, we
injected [DMAIII(GS)] intravenously into mice and compared the tissue
distribution and metabolic dynamics of [DMAIII(GS)] with those of sodium arsenite
(NaAsO2). We found a unique organ preference for the distribution of [DMAIII(GS)]
to the lung and brain in comparison to NaAsO2. Furthermore, [DMAIII(GS)] appeared
to bind to serum albumin by exchanging its glutathione moiety quickly after
administration, providing novel insights into the longer retention of
[DMAIII(GS)] in plasma.
PMID- 27889540
TI - Seladoeflavones A-F, six novel flavonoids from Selaginella doederleinii.
AB - Six new flavonoids, seladoeflavones A-F (1-6), were isolated from the whole herbs
of Selaginella doederleinii, together with one known flavonoid (7). Their
structures including absolute configuration were characterized on the basis of
extensive spectroscopic methods including NMR, HRMS, and electronic circular
dichroism (ECD). All compounds consist of an aryl substituent at the C-3'
position of naringenin or apigenin skeletons, and compounds 1 and 6 were
identified as R configurations, which are uncommonly encountered in nature. A
possible biosynthetic pathway was postulated. In addition, bioassay of the
isolates revealed that 5-7 exhibited moderate cytotoxicity against three human
cancer cell lines NCI-H460, A549, and K562 in vitro with IC50 values ranging from
8.17 to 18.66MUM.
PMID- 27889541
TI - New monoterpenoid oxindole alkaloid derivatives from the stems of Uncaria hirsuta
Havil. and their cytotoxicity and tandem mass spectrometric fragmentation.
AB - Four new alkaloids, comprising three 3-oxo-3,7-seco-oxindole alkaloids
(hirsutanine D-F, 1-3) and one oxindole alkaloid N-oxide (uncarine B N-oxide, 4),
together with four known heteroyohimbine-type oxindole alkaloids, were isolated
from the stems of Uncaria hirsuta Havil. Structures of 1-4 were elucidated by
extensive NMR and HR-ESIMS data analyses. Compound 3 is the first 3-oxo-3,7-seco
oxindole alkaloid with ring B opened and degraded isolated from the Uncaria
genus. Compounds 1-3 exhibited slight inhibition effect on the proliferation of
the breast cancer cell MDA-MB-231. The positive mode collision-induced
dissociation of the 3-oxo-3,7-seco-oxindole alkaloids (1-3) was featured by the
beta-cleavage and alpha-cleavage of the amido bond, while the N-oxide (4) showed
characteristic neutral eliminations of .OH and H2O.
PMID- 27889542
TI - a-Glucosidase inhibitors extracted from the roots of Polygonum multiflorum Thunb.
AB - A novel stilbene glucoside, polygonumnolide D (1), and a novel dianthrone
glycoside, polygonumnolide E (2), were isolated from a 70% EtOH extract of the
dried roots of Polygonum multiflorum Thunb., together with six known compounds (3
8). Their structures were elucidated by 1D and 2D NMR as well as mass
spectroscopy data. The isolated compounds were evaluated for their a-glucosidase
inhibitory activities in vitro. Compounds 1, 2 and 5 showed the inhibitory
activity against a-glucosidase with the IC50 values of 2.4, 2.7 and 0.3MUM,
respectively.
PMID- 27889544
TI - Role of EUS in patients with suspected Barrett's esophagus with high-grade
dysplasia or early esophageal adenocarcinoma: impact on endoscopic therapy.
AB - BACKGROUND AND AIMS: Endoscopic therapy is the standard treatment for high-grade
dysplasia and some cases of T1a esophageal adenocarcinoma (EAC), but it is not
appropriate for deeply invasive disease. Data on the value of EUS for patient
selection for endoscopic or surgical resection are conflicting. We investigated
the outcome of esophageal EUS for the staging and treatment selection of patients
with treatment-naive, premalignant Barrett's esophagus (BE) and suspected
superficial EAC. METHODS: We retrospectively reviewed consecutive patients who
underwent EUS for staging of treatment-naive, suspected premalignant BE and
superficial EAC from January 2006 to June 2014. All patients referred for
endoscopic therapy routinely underwent EUS. Patients with esophageal masses,
squamous cell cancers, previous neoadjuvant therapy, or unrelated pathologies
were excluded. Each patient's final diagnosis was verified by EMR, esophagectomy,
or forceps biopsy sampling. Test characteristics of EUS were calculated. RESULTS:
Three hundred thirty-five patients (mean age, 68 years; 86% male) with BE, a
Prague C mean of 2.8 cm, and a Prague M mean of 4.5 cm were staged (pT0, 78% [6%
nondysplastic, 24% low-grade dysplasia, 42% high-grade dysplasia]; pT1a, 14%;
pT1b, 7%; and pT2, 1%). Sensitivity, specificity, positive predictive value,
negative predictive value, and accuracy for patient selection to endoscopic
(T1aN0 or less) or surgical therapy with EUS TN staging were 50%, 93%, 40%, 95%,
and 90%, respectively. Comparable rates were achieved for patients with nodular
BE. Overstaging occurred in 7% of patients, and EUS selected 11% for incorrect
treatment modalities compared with pathologic staging. CONCLUSIONS: This study
confirms the limited value of EUS suggested in the latest American College of
Gastroenterology guidelines for BE management.
PMID- 27889543
TI - EUS-guided fine-needle injection of gemcitabine for locally advanced and
metastatic pancreatic cancer.
AB - BACKGROUND AND AIMS: Among the greatest hurdles to pancreatic cancer (PC) therapy
is the limited tissue penetration of systemic chemotherapy because of tumor
desmoplasia. The primary study aim was to determine the toxicity profile of EUS
guided fine-needle injection (EUS-FNI) with gemcitabine. Secondary endpoints
included the ability to disease downstage leading to an R0 resection and overall
survival (OS) at 6 months, 12 months, and 5 years after therapy. METHODS: In a
prospective study from a tertiary referral center, gemcitabine (38 mg/mL) EUS-FNI
was performed in patients with PC before conventional therapy. Initial and
delayed adverse events (AEs) were assessed within 72 hours and 4 to 14 days after
EUS-FNI, respectively. Patients were followed for >=5 years or until death.
RESULTS: Thirty-six patients with stage II (n = 3), stage III (n = 20), or stage
IV (n = 13) disease underwent gemcitabine EUS-FNI with 2.5 mL (.7-7.0 mg) total
volume of injectate per patient. There were no initial or delayed AEs reported.
Thirty-five patients (97.2%) were deceased at the time of analysis with a median
10.3 months of follow-up (range, 3.1-63.9). OS at 6 months and 12 months was 78%
and 44%, respectively. The median OS was 10.4 months (range, 2.7-68). Among
patients with stage III unresectable disease, 4 (20%) were downstaged and
underwent an R0 resection. CONCLUSIONS: Our study suggests the feasibility,
safety, and potential efficacy of gemcitabine EUS-FNI for PC. Additional data are
needed to verify these observations and to determine the potential role relative
to conventional multimodality therapy.
PMID- 27889545
TI - Comparison of carbon dioxide and air insufflation during consecutive EGD and
colonoscopy in moderate-sedation patients: a prospective, double-blind,
randomized controlled trial.
AB - BACKGROUND AND AIMS: Endoscopy is performed with air insufflation and is usually
associated with abdominal pain. It is well recognized that carbon dioxide (CO2)
is absorbed more quickly into the body than air; however, to date, few studies
have investigated the use of CO2 insufflation during consecutive EGD and
colonoscopy (CEC). Thus, this study evaluated the efficacy of CO2 insufflation
compared with air insufflation in CEC. METHODS: From March 2014 to April 2016, a
total of 215 consecutive patients were randomly assigned to receive CO2
insufflation (CO2 group, n = 108) or air insufflation (air group, n = 107).
Abdominal pain after CEC was recorded on a visual analogue scale (VAS). The
amount of sedatives administered, use of analgesics, polyp detection rate (PDR),
adenoma detection rate (ADR), abdominal circumference, and adverse events were
also analyzed. RESULTS: Baseline patient characteristics were not significantly
different between the groups. Abdominal pain on the VAS in the CO2 group and air
group 1 hour after CEC was, respectively, 13.8 and 20.1 (P = .010), 3 hours after
CEC was 8.3 and 12.5 (P = .056), 6 hours after CEC was 3.5 and 5.3 (P = .246),
and 1 day after CEC was 1.8 and 3.4 (P = .192). The dose of sedative
administered, analgesic usage, PDR, ADR, and adverse events were not
statistically different between the groups. However, the increase in abdominal
circumference was significantly higher in the air group than in the CO2 group.
CONCLUSIONS: CO2 insufflation was superior to air insufflation with regard to the
pain score on the VAS in the hour after CEC. (Clinical trial registration number:
KCT0001491.).
PMID- 27889546
TI - Comparison of a split-dose bowel preparation with 2 liters of polyethylene glycol
plus ascorbic acid and 1 liter of polyethylene glycol plus ascorbic acid and
bisacodyl before colonoscopy.
AB - BACKGROUND AND AIMS: Recently, a low-volume polyethylene glycol formulation
containing ascorbic acid (PEG-Asc) has proven as safe and effective as
traditional 4-L PEG solutions for colonoscopy preparation. However, currently
available aqueous purgative formulations are poorly tolerated. The aim of this
study was to compare a split-dose 2-L PEG-Asc formulation and a 1-L PEG-Asc
formulation with bisacodyl (10 mg) to determine the quality of bowel cleansing
and patient tolerability. METHODS: A single-center, randomized, observer-blinded
study was performed between May 2015 and September 2015. Two hundred outpatients
referred for colonoscopy were prospectively enrolled and assigned to either the
split-dose 2-L PEG-Asc group or the 1-L PEG-Asc with bisacodyl 10-mg group. The
Boston Bowel Preparation Scale (BBPS) and Aronchick Bowel Preparation Scale
(ABPS) were used to evaluate bowel cleansing. The tolerability of the regimens
and satisfaction of patients was determined based on a questionnaire. RESULTS:
Two hundred patients received either 2-L PEG-Asc or 1-L PEG-Asc with bisacodyl.
Regarding colon cleansing outcome (BBPS and ABPS), the 1-L PEG-Asc with bisacodyl
group showed similar but non-inferior results compared with the 2-L PEG-Asc group
on both BBPS (6.92 +/- 1.63 vs 6.57 +/- 1.37; P = .103) and ABPS (96% vs 95%; P =
1.000) scales. Tolerability was similar for both 1-L PEG-Asc with bisacodyl and 2
L PEG-Asc. CONCLUSIONS: 1-L PEG-Asc is a suitable alternative to low-volume bowel
preparation for colonoscopy. Our study showed that the 1-L PEG-Asc plus bisacodyl
preparation has comparable tolerability and results in adequate colon cleansing.
Bowel preparation with bisacodyl and 1-L PEG-Asc is a suitable alternative to low
volume bowel preparation for colonoscopy. (Clinical trial registration number:
NCT02980562.).
PMID- 27889547
TI - Clinical outcomes of non-curative endoscopic submucosal dissection with negative
resected margins for gastric cancer.
AB - BACKGROUND AND AIMS: There has been little information about the long-term
outcomes of patients with early gastric cancer (EGC) treated by non-curative
endoscopic submucosal dissection (ESD) with negative resected margins (R0
resection). We aimed to compare the clinical outcomes of non-curative ESD with R0
resection between patients who underwent additional gastrectomy and those who did
not. METHODS: Among EGC patients treated by ESD from 2002 to 2010, 66 patients
were treated by non-curative ESD with R0 resection. Patients received either
additional gastrectomy (group A, n = 45) or were followed up without gastrectomy
(group B, n = 21). The clinicopathologic findings and the subsequent clinical
course were compared between the 2 groups. RESULTS: Patients in group A were
younger than those in group B (68.0 vs 71.0 years, P = .006). The follow-up
period was longer in group A than in group B (7.8 vs 5.9 years, P = .011). The
percentage of patients who died of any cause was not statistically lower in group
A than in group B (13.3% vs 33.3%, P = .06). Although the overall survival rate
was higher in group A than in group B (93.3% vs 76.2%, P = .028), disease
specific survival rates did not differ between the 2 groups (97.8% vs 100%, P =
.495). A Cox proportional hazards model showed that gastrectomy was not an
independent factor associated with overall survival. CONCLUSIONS: Careful follow
up may be an alternative strategy to gastrectomy for a subgroup of patients
treated by non-curative ESD with R0 resection.
PMID- 27889548
TI - Impact of physician compliance with colonoscopy surveillance guidelines on
interval colorectal cancer.
AB - BACKGROUND AND AIMS: Interval colorectal cancer (iCRC) incidence is the criterion
standard benchmark for measuring the effectiveness of colonoscopy. Colonoscopy
surveillance guidelines are designed to minimize iCRC cases. Our aims were to
describe characteristics of iCRC patients and to assess whether development of
iCRC is related to colonoscopy surveillance guideline intervals. METHODS: We
performed a retrospective cohort study of postcolonoscopy iCRC cases in a large
healthcare system. Guideline-based colonoscopy intervals were calculated based on
the 2012 U.S. Multi-Society Task Force for Colorectal Cancer colonoscopy
surveillance guidelines. Backward stepwise linear regression was used to
determine predictors of iCRC before guideline-recommended follow-up intervals.
RESULTS: We identified 245 iCRC cases (mean age, 69.4 years; 56.3% male) out of
5345 colon cancers evaluated for a prevalence of 4.60%. On index colonoscopy,
75.1% had an adequate preparation, 93.0% reached the cecum, and 52.5% had polyps.
iCRC developed before the guideline-recommended interval in 59.1% of patients
(94/159). Independent predictive factors of this finding were inadequate
preparation (OR, .012; 95% CI, .003-.06; P < .0001) and >=3 polyps on index
colonoscopy (OR, .2; 95% CI, .078-.52; P = .0009). An endoscopist-recommended
follow-up interval past the guideline-recommended interval was seen in 23.9% of
cases (38/159). Most (34/38, 89.5%) of these iCRCs had inadequate preparation and
were diagnosed after the guideline-based follow-up interval. CONCLUSIONS: Current
colonoscopy surveillance guidelines may be inadequate to prevent many iCRC cases.
Physician noncompliance with guideline-based surveillance intervals may increase
in iCRC cases, especially in patients with an initially inadequate bowel
preparation.
PMID- 27889549
TI - Assessing arsenic and selenium in a single nail clipping using portable X-ray
fluorescence.
AB - The feasibility of measuring arsenic and selenium contents in a single nail
clipping was investigated using a small-focus portable X-ray fluorescence (XRF)
instrument with monochromatic excitation beams. Nail clipping phantoms
supplemented with arsenic and selenium to produce materials with 0, 5, 10, 15,
and 20ug/g were used for calibration purposes. In total, 10 different clippings
were analyzed at two different measurement positions. Energy spectra were fit
with detection peaks for arsenic Kalpha, selenium Kalpha, arsenic Kbeta, selenium
Kbeta, and bromine Kalpha characteristic X-rays. Data analysis was performed
under two distinct conditions of fitting constraint. Calibration lines were
established from the amplitude of each of the arsenic and selenium peaks as a
function of the elemental contents in the clippings. The slopes of the four
calibration lines were consistent between the two conditions of analysis. The
calculated minimum detection limit (MDL) of the method, when considering the
Kalpha peak only, ranged from 0.210+/-0.002ug/g selenium under one condition of
analysis to 0.777+/-0.009ug/g selenium under another. Compared with previous
portable XRF nail clipping studies, MDLs were substantially improved for both
arsenic and selenium. The new measurement technique had the additional benefits
of being short in duration (~3min) and requiring only a single nail clipping. The
mass of the individual clipping used did not appear to play a major role in
signal strength, but positioning of the clipping is important.
PMID- 27889550
TI - To infinity and beyond: Children generalize the successor function to all
possible numbers years after learning to count.
AB - Recent accounts of number word learning posit that when children learn to
accurately count sets (i.e., become "cardinal principle" or "CP" knowers), they
have a conceptual insight about how the count list implements the successor
function - i.e., that every natural number n has a successor defined as n+1
(Carey, 2004, 2009; Sarnecka & Carey, 2008). However, recent studies suggest that
knowledge of the successor function emerges sometime after children learn to
accurately count, though it remains unknown when this occurs, and what causes
this developmental transition. We tested knowledge of the successor function in
100 children aged 4 through 7 and asked how age and counting ability are related
to: (1) children's ability to infer the successors of all numbers in their count
list and (2) knowledge that all numbers have a successor. We found that children
do not acquire these two facets of the successor function until they are about
51/2 or 6years of age - roughly 2years after they learn to accurately count sets
and become CP-knowers. These findings show that acquisition of the successor
function is highly protracted, providing the strongest evidence yet that it
cannot drive the cardinal principle induction. We suggest that counting
experience, as well as knowledge of recursive counting structures, may instead
drive the learning of the successor function.
PMID- 27889551
TI - Endothelial dysfunction and cardiovascular risk factors in childhood acute
lymphoblastic leukemia survivors.
AB - BACKGROUND: Childhood acute lymphoblastic leukemia (ALL) survivors have increased
risk of obesity, metabolic alterations and cardiovascular disease (CVD). Vascular
endothelial function has been studied in adult cancers. Limited data exist
regarding CVD risk factors among childhood ALL survivors. We aimed to assess
endothelial function, metabolic and cardiovascular risk factors in young
survivors of childhood ALL. METHODS: Auxological parameters, blood pressure,
glucose, lipid profile, hemostatic markers (total adiponectin and high-molecular
weight subfraction, endothelin-1, von Willebrand factor antigen, thrombin
antithrombin complex, D-dimers, fibrinogen), high sensitive C-reactive protein
and ultrasound parameters of endothelial function (flow-mediated dilation-FMD,
common carotid intima-media thickness-C-IMT, and antero-posterior diameter of
infra-renal abdominal aorta-APAO) were assessed in 52 ALL survivors and 34 sex
and age-matched controls. RESULTS: ALL patients and controls were not
statistically different as regards body mass index and waist circumference. Blood
pressure, glucose, total and LDL-cholesterol, triglycerides, high sensitive C
reactive protein were statistically higher in ALL than in controls, while HDL
cholesterol was lower in ALL than in controls. Patients showed statistically
lower high-molecular-weight adiponectin and thrombin-antithrombin complex
(p=0.003 and p<0.001, respectively) and higher vonWillebrand factor antigen
(p=0.002) than controls. FMD was lower in patients than in controls (p<0.001).
Biomarkers of endothelial function, systolic blood pressure and waist
circumference were correlated to FMD. CONCLUSIONS: ALL survivors showed
derangement of endothelial function, which likely occurs during chemotherapy and
lasts till follow up. They showed metabolic alterations even though obesity was
not documented. Endothelial vascular parameters should be evaluated earlier
during follow-up to detect preclinical onset of CVD.
PMID- 27889552
TI - Relation of red blood cell distribution width with CHADS2 and CHA2DS2-VASc score
in Chinese patients with non-valvular atrial fibrillation.
AB - BACKGROUND: Higher red cell distribution width (RDW) predicts adverse events in
patients with cardiovascular diseases. However, there are limited data regarding
the relationship between RDW and thromboembolism risk in the patients with atrial
fibrillation (AF). We aimed to investigate the association between RDW and
CHADS2, CHA2DS2-VASc scores used for the evaluation of thromboembolic risk in
patients with non-valvular atrial fibrillation (AF). METHODS: Our study included
99 patients with AF (68 paroxysmal AF; 31 persistent AF). We calculated CHADS2
and CHA2DS2-VASc risk scores for each patient, and we carefully recorded clinical
characteristics as well as laboratory and echocardiographic parameters. According
to CHADS2 and CHA2DS2-VASc scores, we divided the AF patients into 2 groups (low
intermediate risk and high risk group). Subsequently, we compared the
aforementioned parameters between the 2 groups, and we evaluated the relationship
between RDW and CHADS2/CHA2DS2-VASc score. Finally, multivariate logistic
regression analysis was performed to find independent predictors of high CHADS2,
CHA2DS2-VASc scores. RESULTS: Patients with high CHADS2, CHA2DS2-VASc scores had
older age, higher RDW, and creatinine levels, increased left atrial diameter and
lower left ventricular ejection fraction, compared to the low CHADS2, CHA2DS2
VASc score group. Multivariate logistic regression analysis showed that RDW was
an independent predictor for high CHADS2, CHA2DS2-VASc scores(OR: 2.184 and OR:
5.748; all P<0.05). CONCLUSION: RDW is significantly correlated with CHADS2 and
CHA2DS2-VASc score in the patients with AF, while is an independent predictor for
high CHADS2 and CHA2DS2-VASc score.
PMID- 27889553
TI - Long term outcomes from catheter ablation of very longstanding persistent atrial
fibrillation.
AB - INTRODUCTION: Success rates for catheter ablation of longstanding persistent
atrial fibrillation (AF) are significantly poorer than for recently persistent or
paroxysmal forms. We report on single centre long term outcomes from ablation of
very longstanding (> 2years) persistent AF. MATERIAL AND METHODS: A retrospective
analysis of outcomes for patients undergoing catheter ablation for symptomatic
very longstanding persistent AF between 2008 and 2013 was performed. RESULTS:
Twenty-nine patients were followed for a mean of 61+/-15months following the
index ablation procedure. The mean duration of persistent AF prior was 64+/
51months (range 24-200), mean age 61+/-6years and mean CHA2DS2-VASc score 1.1+/
1.2. Antral pulmonary vein electrical isolation only was performed in 14 (48%)
with the remainder having additional lines and/or CFAE ablation also. At last
follow-up 24 (83%) were in sinus rhythm but only 9 (31%) remained free of
detectable arrhythmia, 25 (86%) were taking antiarrhythmic therapy and 18 (62%)
required intermittent DC cardioversions. The mean time to first AF recurrence was
14+/-14months (range 2-48). Redo ablation was required in 13 (45%) at a mean
follow-up time of 15+/-12months. The mean EHRA score improved from 3.5+/-0.5 to
1.4+/-0.4 (p<0.0001). CONCLUSIONS: The vast majority (83%) of very longstanding
persistent AF patients maintained sinus rhythm at a mean follow-up time of 5years
following catheter ablation, associated with a significant improvement in symptom
scores. Adjunctive therapies including antiarrhythmics, DC cardioversions and
redo ablation were required in most patients.
PMID- 27889554
TI - Familial dilated cardiomyopathy: A multidisciplinary entity, from basic screening
to novel circulating biomarkers.
AB - Idiopathic dilated cardiomyopathy has become one of the most prevalent inherited
cardiomyopathies over the past decades. Genetic screening of first-degree
relatives has revealed that 30-50% of the cases have a familial origin. Similar
to other heart diseases, familial dilated cardiomyopathy is characterized by a
high genetic heterogeneity that complicates family studies. Cli'nical screening,
12-lead electrocardiogram and transthoracic echocardiogram are recommended for
patients and first-degree family members. Magnetic resonance also needs to be
considered. Genetic technologies have become fundamental for the clinical
management of this disease. New generation sequencing methods have made genetic
testing feasible for extensive panels of genes related to the disease. Recently,
new imaging modalities such as speckle-tracking, strain and strain rate or
magnetic resonance, and circulating biomarkers such as non-coding RNAs, have
emerged as potential strategies to help cardiologists in their clinical practice.
Imaging, genetic and blood-based techniques should be considered together in the
evaluation and testing of familial dilated cardiomyopathy. Here, we discuss the
current procedures and novel approaches for the clinical management of familial
dilated cardiomyopathy.
PMID- 27889555
TI - Correlation between microvascular dysfunction and B-type natriuretic peptide
levels in non-ischemic heart failure patients with cardiac fibrosis.
AB - BACKGROUNDS: The relationship between microvascular dysfunction and plasma B-type
natriuretic peptide (BNP) levels remains unclear in heart failure (HF) patients
with cardiac fibrosis. METHODS: This study evaluated 55 consecutive non-ischemic
HF patients in an effort to determine the relationship between endothelial
independent coronary microvascular dysfunction and plasma BNP levels, as well as
whether each measure is correlated with myocardial fibrosis. We evaluated plasma
BNP levels in patients with stable HF. We used cardiac catheterization to measure
trans-cardiac BNP release levels, measuring from the coronary sinus and the
aortic root, and coronary flow reserve (CFR). Patients also underwent cardiac
magnetic resonance imaging to evaluate for the presence of late gadolinium
enhancement (LGE), as an indicator of cardiac fibrosis. RESULTS: CFR in cardiac
catheterization was significantly and inversely correlated with plasma BNP levels
(r=0.336, p=0.012) and trans-cardiac BNP release levels (r=0.347, p=0.041).
Thirty-three patients were LGE-positive. CFR was significantly correlated with
plasma BNP levels in the LGE-positive group (r=0.349, p=0.046), but this
correlation was not significant in the LGE-negative group. (r=0.338, p=0.125).
Multivariate logistic regression analysis revealed that a plasma BNP levels
>180pg/ml at stable HF condition was significant and independent predictor of
CFR<2.5 in all patients (p=0.035, odds ratio: 5.2, 95% confidence interval: 1.1
29.0), and in the LGE-positive group (p=0.040, odds ratio: 5.4, 95% confidence
interval: 1.1-27.2). CONCLUSIONS: In non-ischemic HF patients especially those
with cardiac fibrosis, endothelial independent microvascular dysfunction is
closely correlated with plasma BNP levels, and ventricular wall tension.
PMID- 27889556
TI - Compact bone-derived mesenchymal stem cells attenuate nonalcoholic
steatohepatitis in a mouse model by modulation of CD4 cells differentiation.
AB - Increasing evidence has accrued which indicates that mesenchymal stem cells
(MSCs) have a potential clinical value in the treatment of certain diseases.
Globally, nonalcoholic steatohepatitis (NASH) is a widespread disorder. In the
present study, MSCs were isolated successfully from compact bone and a mouse
model of NASH was established as achieved with use of a methionine-choline
deficient (MCD) diet. Compact bone-derived MSCs transplantation reduced MCD diet
induced weight loss, hepatic lipid peroxidation, steatosis, ballooning, lobular
inflammation and fibrogenesis. It was shown that MSCs treatment hampered MCD diet
induced proliferation of CD4+ IFN-gamma+ and CD4+IL-6+ T spleen cells. In
addition, CD4+IL-17+ lymphocytes that associated with anti-inflammation show
little change in MCD as well as in MCD+MSCs splenocytes. We conclude that MSCs
may have a potential clinical value upon NASH, through their capacity to suppress
activation of CD4+ IFN-gamma+ and CD4+IL-6+ lymphocytes.
PMID- 27889557
TI - Identification of a hemolin protein from Actias selene mediates immune response
to pathogens.
AB - Hemolins play an important role in development and innate immunity in insects. In
this study, a hemolin cDNA of 1412bp in Actias selene (As-HEM) was isolated and
its open reading frames (ORFs) were 420 amino acid residues. Sequence analysis
indicated As-HEM was homologous to those hemolins from other insects species. The
recombinant protein of As-HEM was expressed in Escherichia coli, and anti-As-HEM
antibodies were prepared. Real-time quantitative PCR (RT-qPCR) and western blot
results revealed that mRNA and protein levels of As-HEM were mostly detected in
hemocytes and hemolymph. Immune challenge assays showed that both the mRNA and
protein levels of As-HEM could be induced significantly post Beauveria bassiana,
E. coli, Micrococcus luteus and nuclear polyhedrosis virus challenges.
Agglutination assays revealed that recombinant As-HEM could promote the
agglutination of E. coli in the presence of calcium. Our results suggested that
As-HEM was involved in the innate immunity of A. selene.
PMID- 27889558
TI - The periosteal microcirculation in health and disease: An update on clinical
significance.
AB - Apart from its nutritive functions, the periosteum critically affects bone
regeneration via its stem/osteoprogenitor cell content. Normal healing after bone
fractures, trauma-orthopedic interventions and invasive dental procedures is
critically linked to the reestablishment of the periosteal microcirculation, but
the reconstruction, replacement or repair of lost tissues may also be performed
with autologous periosteum. Besides the initiation of cell differentiation during
bone repair and remodeling processes, the periosteum together with the endosteum
plays significant roles in the pathogenesis of both hormone-related and trauma
induced osteoporotic alterations in the bone metabolism. Nevertheless, the axial
bones, and in particular the jawbones, and the appendicular bones display
differences not only in their blood supply and fracture healing characteristics,
but also in respect of the development of osteoporosis and their reactions to
treatment modalities (i.e. bisphosphonates). These reactions may also be linked
to the differences in periosteal microcirculatory reactions. The present overview
summarizes the relevant data of microcirculatory studies focusing on the
periosteal reactions in different anatomical locations together with the optimal
background methodologies, study models and the most significant observations.
PMID- 27889559
TI - Plasma endothelial microparticles reflect the extent of capillaroscopic
alterations and correlate with the severity of skin involvement in systemic
sclerosis.
AB - INTRODUCTION AND AIM: Endothelial microparticles (EMPs) are membrane-coated
vesicles shed from endothelial cells and are considered markers of the
endothelial state. It has been shown that total numbers of circulating EMPs are
increased in patients with systemic sclerosis (SSc), but their clinical
correlations have not yet been investigated in detail. We aimed to assess
possible relationships between circulating EMPs and clinical as well as
laboratory features among SSc patients with special attention to possible
association with alteration in microvascular morphology objectified on nailfold
videocapillaroscopy and clinical signs of microvascular complications. MATERIALS
AND METHODS: The study included 47 SSc patients and 27 age- and sex-matched
healthy controls. EMPs were identified with flow cytometry after staining
platelet-poor plasma with combinations of fluorescent cell-specific monoclonal
antibodies (anti-CD31, -51, -42b, -62E and Annexin V). The following types of
EMPs were evaluated: total EMPs (CD31+/CD42b-), activated EMPs (CD62E+/AnnV-,)
and apoptotic EMPs (CD62E+/AnnV+ or CD51+). Clinical evaluation of patients was
obtained, including nailfold videocapillaroscopy. RESULTS: All types of EMPs were
significantly elevated in SSc patients as compared with healthy controls. We
found significant inverse correlation between severity of skin involvement and
values of total EMPs (r=-0.32; p=0.02) and their levels tended to be lower in SSc
patients with digital ulcers when compared to those without ischaemic skin
lesions (p=0.09). Total EMPs and activated EMPs showed correlations with the
number of ramified capillaries (r=-0.40 and r=0.37, respectively, p<0.05 for
both). Moreover, total EMPs inversely correlated with the severity of capillary
loss (r=-0.35, p<0.05) and their levels were significantly lower in patients with
late NVC pattern with respect to those with early microangiopathy (p<0.05). On
the other hand, active NVC pattern was characterized by strongly elevated levels
of activated EMPs when compared to an early vascular alteration (p<0.05).
CONCLUSIONS: Our results suggest that quantity and phenotype of circulating EMPs
might indicate on molecular vascular damage with endothelial dysfunction and to
reflect progressive loss of capillaries consequencing in microvascular
insufficiency in SSc patients.
PMID- 27889560
TI - MicroRNA let-7g inhibited hypoxia-induced proliferation of PASMCs via G0/G1 cell
cycle arrest by targeting c-myc.
AB - AIMS: Pulmonary hypertension (PH) is a proliferative disorder characterized by
enhanced proliferation and suppressed apoptosis of intrapulmonary vascular smooth
muscle cells. Recently, network-based bioinformatics have identified let-7
family, a tumor suppressive microRNA, regulate multiple interacting targets
relevant to PH. However, the role of let-7 in vascular homeostasis in PH remains
unknown. Thus, we wanted to investigate the role of let-7 in hypoxia-induced
PASMCs proliferation and the underlying mechanism in hypoxic pulmonary
hypertension (HPH). MAIN METHODS: The male Sprague-Dawley (SD) rats were exposed
to hypoxia (10% O2) for 21days to induce HPH. The expression of let-7 was
determined by quantitative real-time polymerase chain reaction (qRT-PCR) and in
situ hybridization. Primary rat PASMCs were exposed to hypoxia (3% O2). MTS and
EDU were performed to evaluate PASMCs proliferation. The mRNA and protein
expression of c-myc, Bmi-1 and p16 were determined by qRT-PCR and Western
blotting, respectively. The functions of let-7g on PASMCs proliferation, c-myc,
Bmi-1 and p16 expression were assessed by let-7g mimic and inhibitor
transfection. KEY FINDINGS: Among let-7 family members, only let-7b and let-7g
were significantly down-regulated in remodeled pulmonary artery in HPH rats.
Furthermore, only let-7g level was decreased in hypoxic PASMCs. Either hypoxia or
let-7g inhibitor stimulated proliferation of PASMCs, let-7g mimic inhibited
hypoxia-induced PASMCs proliferation. C-myc was the target of let-7g in PASMCs.
Transfect of let-7g mimic inhibited hypoxia-induced c-myc, Bmi-1 up-regulation
and p16 down-regulation, which ultimately controls cell cycle progression.
SIGNIFICANCE: Loss of inhibition on c-myc-Bmi-1-p16 signaling pathway by let-7g
may lead to PASMCs proliferation and vascular remodeling in HPH.
PMID- 27889561
TI - Inhibition of interleukin-5 induced false positive anti-drug antibody responses
against mepolizumab through the use of a competitive blocking antibody.
AB - Mepolizumab, a humanized IgG1 monoclonal antibody that blocks native homodimeric
interleukin-5 (IL-5) from binding to the IL-5 receptor, has recently been
approved for treatment of severe eosinophilic asthma. Our initial immunogenicity
assay method for phase I and II studies utilized a bridging
electrochemiluminescence format with biotin and ruthenium-labelled mepolizumab
linked by anti-drug antibodies (ADA). We discovered that IL-5 significantly
increased in dosed subjects from a phase II study and that the increased IL-5 was
in the form of a drug-bound complex. We demonstrated that the elevated drug-bound
IL-5 produced false-positive response in the in vitro ADA assay, in which drug
bound IL-5 dissociated and then bridged mepolizumab conjugates to yield positive
signal. To eliminate the IL-5 interference, we compared two strategies: a solid
phase immunodepletion of IL-5 and an in-solution IL-5 immunocompetition. We
identified the best competitive antibody for each purpose. We found both methods
demonstrated similar effectiveness in reducing the false positive signal in IL-5
spiked samples; however, the in-solution immunocompetition for IL-5 had fewer
false positives in study samples. Additionally, the in-solution immunocompetition
method was experimentally simpler to execute. We modified the ADA assay by adding
a pre-treatment step with a mepolizumab competitive anti- IL-5 antibody. Using
this new method, we retested clinical samples from two phase II studies
(MEA112997 and MEA114092). The confirmed ADA positive incidence was reduced from
29% and 61% to 1% and 8% with the modified in-solution immune inhibition method.
Target interference is a fairly common problem facing immunogenicity testing, and
target-induced false positive cannot be distinguished from true ADA response by
the commonly used drug competitive confirmation assay. The approach and method
used here for resolving target interference in ADA detection will be useful for
differentiating between a true ADA response and target induced false positive as
well as similar challenges in other programs.
PMID- 27889562
TI - Systematic evaluation of monoclonal antibodies and immunoassays for the detection
of Interferon-gamma and Interleukin-2 in old and new world non-human primates.
AB - Non-human primates (NHP) provide important animal models for studies on immune
responses to infections and vaccines. When assessing cellular immunity in NHP,
cytokines are almost exclusively analyzed utilizing cross-reactive anti-human
antibodies. The functionality of antibodies has to be empirically established for
each assay/application as well as NHP species. A rational approach was employed
to identify monoclonal antibodies (mAb) cross-reactive with many NHP species.
Panels of new and established mAbs against human Interferon (IFN)-gamma and
Interleukin (IL)-2 were assessed for reactivity with eukaryotically expressed
recombinant IFN-gamma and IL-2, respectively, from Old (rhesus, cynomolgus and
pigtail macaques, African green monkey, sooty mangabey and baboon) and New World
NHP (Ma's night monkey, squirrel monkey and common marmoset). Pan-reactive mAbs,
recognizing cytokines from all NHP species, were further analyzed in capture
assays and flow cytometry with NHP peripheral blood mononuclear cells (PBMC). Pan
reactive mAb pairs for IFN-gamma well as IL-2 were identified and used in ELISA
to measure IFN-gamma and IL-2, respectively, in Old and New World NHP PBMC
supernatants. The same mAb pairs displayed high functionality in ELISpot and
FluoroSpot for the measurement of antigen-specific IFN-gamma and IL-2 responses
using cynomolgus PBMC. Functionality of pan-reactive mAbs in flow cytometry was
also verified with cynomolgus PBMC. The development of well-defined immunoassays
functional with a panel of NHP species facilitates improved analyses of cellular
immunity and enables inclusion in multiplex cytokine assays intended for a
variety of NHP.
PMID- 27889563
TI - Parent and peer pathways linking childhood experiences of abuse with marijuana
use in adolescence and adulthood.
AB - INTRODUCTION: The social developmental processes by which child maltreatment
increases risk for marijuana use are understudied. This study examined
hypothesized parent and peer pathways linking preschool abuse and sexual abuse
with adolescent and adult marijuana use. METHODS: Analyses used data from the
Lehigh Longitudinal Study. Measures included child abuse (physical abuse,
emotional abuse, domestic violence, and neglect) in preschool, sexual abuse up to
age 18, adolescent (average age=18years) parental attachment and peer marijuana
approval/use, as well as adolescent and adult (average age=36years) marijuana
use. RESULTS: Confirming elevated risk due to child maltreatment, path analysis
showed that sexual abuse was positively related to adolescent marijuana use,
whereas preschool abuse was positively related to adult marijuana use. In support
of mediation, it was found that both forms of maltreatment were negatively
related to parental attachment, which was negatively related, in turn, to having
peers who use and approve of marijuana use. Peer marijuana approval/use was a
strong positive predictor of adolescent marijuana use, which was a strong
positive predictor, in turn, of adult marijuana use. CONCLUSIONS: Results support
social developmental theories that hypothesize a sequence of events leading from
child maltreatment experiences to lower levels of parental attachment and, in
turn, higher levels of involvement with pro-marijuana peers and, ultimately, to
both adolescent and adult marijuana use. This sequence of events suggests
developmentally-timed intervention activities designed to prevent maltreatment as
well as the initiation and progression of marijuana use among vulnerable
individuals.
PMID- 27889564
TI - Interactive pathways to substance abuse.
PMID- 27889565
TI - A terminal antibody method based on multiple factors that influence ELISA results
for measurement of antibody affinity in clinical specimens.
AB - OBJECTIVE: To establish a new method for the measurement of antibody affinity in
clinical samples. METHODS: Serial dilutions of antiserum samples were prepared to
find the threshold concentration of antibody separating detectable from negative
ELISA results. This threshold concentration was defined as the terminal antibody
(TA) concentration, and a new method for measuring antibody affinity based on the
effect of multiple factors that influence ELISA results at TA concentration was
established, which we called the TA method. The TA method was used to measure low
and high-affinity antiserum samples to verify the validity of the method, and
then was used to measure the affinity of the antibody to Hepatitis B surface
antigen and affinity of antibody to Hepatitis C Virus in clinical serum
specimens. RESULTS: Low- and high-affinity antiserum samples could be clearly
distinguished by TA method. The antibody affinity in anti-HBe positive group was
significantly higher than that of anti-HBe negative group; the affinity of
antibody to HCV in HCV-RNA negative group was significantly higher than that of
HCV-RNA positive group. CONCLUSIONS: The TA method for measuring antibody
affinity in clinical specimens displayed its validation and that may have
potential application value in clinical settings.
PMID- 27889566
TI - Pitfalls in the detection of N-methyl-d-aspartate-receptor (NMDA-R) antibodies.
PMID- 27889567
TI - Prognostic value of plasma apelin concentrations at admission in patients with ST
segment elevation acute myocardial infarction.
AB - BACKGROUND: The use of plasma biomarkers is relevant for the prognosis of ST
segment elevation myocardial infarction (STEMI) patients. Apelin, an
adipocytokine, plays a pivotal role in the pathophysiology of both
ischemia/reperfusion injury and its potential subsequent heart failure. We
evaluated apelin concentrations at admission as a biomarker to assess risk of 6
month mortality. METHODS: Consecutive patients with STEMI were recruited from
January 2012 to January 2013 (n=250). Plasma apelin, brain natriuretic peptide
(BNP) and sensitive troponin I (sTnI) were assessed in EDTA-plasma samples
obtained at admission. Clinical, hemodynamic and other laboratory variables were
also registered. All-cause mortality was assessed at 6-month follow-up. RESULTS:
Increased plasma apelin concentrations at admission were predictive of 6- month
mortality, after adjustment for age, diabetes, systolic blood pressure, heart
rate, glomerular filtration rate, Killip class, left ventricular ejection
fraction, BNP and sTnI. The combination of apelin with BNP and sTnI further
improved the apelin predictive value. Finally, apelin concentrations were
associated with markers of ischemic heart failure severity, but not with markers
of ischemic insult severity. CONCLUSIONS: Increased plasma concentrations of
apelin at admission in patients with STEMI were associated with a higher risk of
mortality at 6months, adding prognostic value to the provided by BNP. Moreover,
apelin levels were also related to markers of ischemic heart failure severity,
but not markers of ischemia severity.
PMID- 27889568
TI - FosB regulates expression of miR-22 during PMA induced differentiation of K562
cells to megakaryocytes.
AB - Expression of many miRNAs is altered in different cancers and these changes are
thought to play a key role in formation and progression of cancer. In chronic
myelogenous leukemia (CML) a number of miRNAs are known to be down regulated as
compared to normal cells. In this report we have investigated the mechanism of
this down regulation by using PMA induced differentiation of CML cell line K562
to megakaryocytes as an experimental system. On treatment with PMA, expression of
many down regulated miRNAs including miR-22 is induced. PMA also induces
expression of several transcription factors, including FosB, EGR1 and EGR2. Our
results using a number of approaches, such as promoter reporter assay, FosB knock
down and Chip assay, suggest that the expression of miR-22 is regulated
transcriptionally by FosB.
PMID- 27889569
TI - An evaluation of transit procurement training.
AB - We evaluated a training course called "Orientation to Transit Procurement",
designed and conducted by the National Transit Institute. This course is designed
to provide Federal Transit Administration (FTA) grantees an overview of
regulations and best practices related to the procurement process. Our objective
in conducting the evaluation was to understand how transit agency staff made
changes in procurement practices in response to the course training. The
evaluation was mixed mode: an Internet survey followed by in-depth interviews
with a small group of respondents. Survey respondents were also provided with an
open-ended question providing us with additional context for our evaluation.
Results show that the training is substantially successful at meeting the goal of
improving procurement practices at transit agencies; indeed, most respondents
report making changes at their agencies as the proximate result of the training.
This was at odds with our exploration of knowledge of procurement topics, as most
respondents gave inaccurate answers on multiple-choice "knowledge questions".
This may have been due to question structure or, more likely, the nature of
online surveys. Suitable training on the procurement of information technology
was also a main concern. The lack of training in this area is indicative of the
broader challenge facing public transit agencies in how to incorporate new forms
of technology into their existing practices and bureaucratic structures.
PMID- 27889571
TI - "New" Cancer Genes and Inherited Colorectal Cancer Risk: Caveat Emptor.
PMID- 27889570
TI - Inhibitor of Apoptosis Protein-1 Regulates Tumor Necrosis Factor-Mediated
Destruction of Intestinal Epithelial Cells.
AB - BACKGROUND AND AIMS: Tumor necrosis factor (TNF) is a cytokine that promotes
inflammation and contributes to pathogenesis of inflammatory bowel diseases.
Unlike other cells and tissues, intestinal epithelial cells undergo rapid cell
death upon exposure to TNF, by unclear mechanisms. We investigated the roles of
inhibitor of apoptosis proteins (IAPs) in the regulation of TNF-induced cell
death in the intestinal epithelium of mice and intestinal organoids. METHODS: RNA
from cell lines and tissues was analyzed by quantitative polymerase chain
reaction, protein levels were analyzed by immunoblot assays. BIRC2 (also called
cIAP1) was expressed upon induction from lentiviral vectors in young adult mouse
colon (YAMC) cells. YAMC cells, the mouse colon carcinoma cell line MC38, the
mouse macrophage cell line RAW 264.7, or mouse and human organoids were incubated
with second mitochondrial activator of caspases (Smac)-mimetic compound LCL161 or
recombinant TNF-like weak inducer of apoptosis (TNFSF12) along with TNF, and cell
death was quantified. C57BL/6 mice with disruption of Xiap, Birc2 (encodes
cIAP1), Birc3 (encodes cIAP2), Tnfrsf1a, or Tnfrsf1b (Tnfrsf1a and b encode TNF
receptors) were injected with TNF or saline (control); liver and intestinal
tissues were collected and analyzed for apoptosis induction by cleaved caspase 3
immunohistochemistry. We also measured levels of TNF and alanine aminotransferase
in serum from mice. RESULTS: YAMC cells, and mouse and human intestinal
organoids, died rapidly in response to TNF. YAMC and intestinal crypts expressed
lower levels of XIAP, cIAP1, cIAP2, and cFLIP than liver tissue. Smac-mimetics
reduced levels of cIAP1 and XIAP in MC38 and YAMC cells, and Smac-mimetics and
TNF-related weak inducer of apoptosis increased TNF-induced cell death in YAMC
cells and organoids-most likely by sequestering and degrading cIAP1. Injection of
TNF greatly increased levels of cell death in intestinal tissue of cIAP1-null
mice, compared with wild-type C57BL/6 mice, cIAP2-null mice, or XIAP-null mice.
Excessive TNF-induced cell death in the intestinal epithelium was mediated TNF
receptor 1. CONCLUSIONS: In a study of mouse and human cell lines, organoids, and
tissues, we found cIAP1 to be required for regulation of TNF-induced intestinal
epithelial cell death and survival. These findings have important implications
for the pathogenesis of TNF-mediated enteropathies and chronic inflammatory
diseases of the intestine.
PMID- 27889572
TI - SMAD About Hepatitis C Virus Cell Entry and Liver Disease.
PMID- 27889573
TI - How to Prepare for and Write a Grant: Personal Perspectives.
PMID- 27889574
TI - Budesonide Oral Suspension Improves Symptomatic, Endoscopic, and Histologic
Parameters Compared With Placebo in Patients With Eosinophilic Esophagitis.
AB - BACKGROUND & AIMS: Pharmacologic treatment of eosinophilic esophagitis (EoE) is
limited to off-label use of corticosteroids not optimized for esophageal
delivery. We performed a randomized, controlled phase 2 trial to assess the
ability of budesonide oral suspension (BOS), a novel muco-adherent topical
steroid formulation, to reduce symptoms and esophageal eosinophilia in
adolescents and adults with EoE. METHODS: In this multicenter, randomized, double
blind, placebo-controlled, parallel-group trial, 93 EoE patients between the ages
of 11 and 40 years with dysphagia and active esophageal eosinophilia were
randomized to receive either BOS 2 mg or placebo twice daily for 12 weeks. Co
primary outcomes were change in Dysphagia Symptom Questionnaire (DSQ) score from
baseline, and proportion of patients with a histologic response (<=6
eosinophils/high-power field) after treatment. Endoscopic severity scores and
safety parameters were assessed. RESULTS: At baseline, mean DSQ scores were 29.3
and 29.0, and mean peak eosinophil counts were 156 and 130 per hpf in the BOS and
placebo groups, respectively. After treatment, DSQ scores were 15.0 and 21.5, and
mean peak eosinophil counts were 39 and 113 per high-power field, respectively (P
< .05 for all). For BOS vs placebo, change in DSQ score was -14.3 vs -7.5 (P =
.0096), histologic response rates were 39% vs 3% (P < .0001), and change in
endoscopic severity score was -3.8 vs 0.4 (P < .0001). Adverse events were
similar between groups. CONCLUSIONS: Treatment with BOS was well tolerated in
adolescent and young adult patients with EoE and resulted in improvement in
symptomatic, endoscopic, and histologic parameters using validated outcome
instruments. ClinicalTrials.gov ID NCT01642212.
PMID- 27889575
TI - Isthmus Time Is Here: Runx1 Identifies Mucosal Stem Cells in the Gastric Corpus.
PMID- 27889576
TI - Incidence of Hepatocellular Carcinoma in All 50 United States, From 2000 Through
2012.
AB - BACKGROUND & AIMS: The incidence and mortality of hepatocellular carcinoma (HCC)
have been reported to be plateauing in the United States. The United States has
large racial, ethnic, and regional variation; we collected data from all 50
states to better analyze changes in HCC incidence in the entire United States.
METHODS: We collected data from the US Cancer Statistics registry, which covers
97% of the population, and calculated adjusted incidence rates. We assessed
annual trends among sociodemographic and geographic subgroups using joinpoint
analysis. RESULTS: HCC incidence increased from 4.4/100,000 in 2000 to
6.7/100,000 in 2012, increasing by 4.5% (95% confidence interval [CI], 4.3%-4.7%)
annually between 2000 and 2009, but only by 0.7% annually (95% CI, -0.2% to 1.6%)
from 2010 through 2012. The average annual percentage change (AAPC) between 2000
and 2012 was higher in men (increase, 3.7%) than in women (increase, 2.7%), and
highest in 55- to 59-year-old individuals (AAPC, 8.9%; 95% CI, 7.1%-10.7%) and 60
to 64-year-old individuals (AAPC, 6.4%; 95% CI, 4.7%-8.2%). By 2012, rates in
Hispanics surpassed those in Asians, and rates in Texas surpassed those in Hawaii
(9.71/100,000 vs 9.68/100,000). Geographic variation within individual race and
ethnic groups was observed, but rates were highest in all major race and ethnic
groups in Texas. CONCLUSIONS: In an analysis of the incidence of HCC in all 50 US
states, we found the rate of increase in HCC to have slowed from 2010 through
2012. However, incidence is increasing in subgroups such as men ages 55 to 64
years old-especially those born in the peak era of hepatitis C virus infection
and among whites/Caucasians. Rates in Hispanics have surpassed those in Asian
Americans. We observed geographic differences, with Texas having the highest age
adjusted HCC rates nationwide.
PMID- 27889577
TI - Brain uptake and metabolism of the endocannabinoid anandamide labeled in either
the arachidonoyl or ethanolamine moiety.
AB - INTRODUCTION: Anandamide (N-arachidonoylethanolamine) is a retrograde
neuromodulator that activates cannabinoid receptors. The concentration of
anandamide in the brain is controlled by fatty acid amide hydrolase (FAAH), which
has been the focus of recent drug discovery efforts. Previous studies in C57BL/6
mice using [3H-arachidonoyl]anandamide demonstrated deposition of tritium in
thalamus and cortical areas that was blocked by treatment with an FAAH inhibitor
and that was not seen in FAAH-knockout mice. This suggested that long chain fatty
acid amides radiolabeled in the fatty acid moiety might be useful as ex vivo and
in vivo radiotracers for FAAH, since labeled fatty acid released by hydrolysis
would be rapidly incorporated into phospholipids with long metabolic turnover
periods. METHODS: Radiotracers were administered intravenously to conscious Swiss
Webster mice, and radioactivity concentrations in brain areas was quantified and
radiolabeled metabolites determined by radiochromatography. RESULTS:
[14C]Arachidonic acid, [14C-arachidonoyl]anandamide and [14C
ethanolamine]anandamide, and also [14C]myristic acid, [14C
myristoyl]myristoylethanolamine and [14C-ethanolamine]myristoyl-ethanolamine all
had very similar distribution patterns, with whole brain radioactivity
concentrations of 2-4% injected dose per gram. Pretreatment with the potent
selective FAAH inhibitor URB597 did not significantly alter distribution patterns
although radiochromatography demonstrated that the rate of incorporation of label
from [14C]anandamide into phospholipids was decreased. Pretreatment with the
muscarinic agonist arecoline which increases cerebral perfusion increased brain
uptake of radiolabel from [14C]arachidonic acid and [14C-ethanolamine]anandamide,
and (in dual isotope studies) from the unrelated tracer [125I]RTI-55.
CONCLUSIONS: Together with our previously published study with [18F-palmitoyl]16
fluoro-palmitoylethanolamine, the data show that the primary determinant of brain
uptake for these tracers in Swiss-Webster mice is initial distribution according
to blood flow. It is possible that recently reported strain differences in long
chain fatty acid trafficking between C57BL/6 and Swiss-Webster mice are
responsible for the differences between our results using [14C]anandamide and the
earlier studies using [3H]anandamide.
PMID- 27889578
TI - Molecular neurobiology of mTOR.
AB - Mammalian/mechanistic target of rapamycin (mTOR) is a serine-threonine kinase
that controls several important aspects of mammalian cell function. mTOR activity
is modulated by various intra- and extracellular factors; in turn, mTOR changes
rates of translation, transcription, protein degradation, cell signaling,
metabolism, and cytoskeleton dynamics. mTOR has been repeatedly shown to
participate in neuronal development and the proper functioning of mature neurons.
Changes in mTOR activity are often observed in nervous system diseases, including
genetic diseases (e.g., tuberous sclerosis complex, Pten-related syndromes,
neurofibromatosis, and Fragile X syndrome), epilepsy, brain tumors, and
neurodegenerative disorders (Alzheimer's disease, Parkinson's disease, and
Huntington's disease). Neuroscientists only recently began deciphering the
molecular processes that are downstream of mTOR that participate in proper
function of the nervous system. As a result, we are gaining knowledge about the
ways in which aberrant changes in mTOR activity lead to various nervous system
diseases. In this review, we provide a comprehensive view of mTOR in the nervous
system, with a special focus on the neuronal functions of mTOR (e.g., control of
translation, transcription, and autophagy) that likely underlie the contribution
of mTOR to nervous system diseases.
PMID- 27889579
TI - Protective effects of high Tryptophan diet on aging-induced passive avoidance
impairment and hippocampal apoptosis.
AB - In our previous work we have shown that L-Tryptophan (TrP) enriched diet prevents
the age-induced decline of hippocampal Serotonin (5-HT) production. Considering
that loss or reduction in 5-HT neurotransmission may contribute to age-related
cognitive decline, here we have investigated the effect of such diet on passive
avoidance (PA) behavior, cell death, pro- and anti- apoptotic molecules (BAX, Bcl
2 and Caspase-3) and an important transcription factor involved in synaptic
plasticity and memory (CREB). The increase in 5-HT neurotransmission in the
Hippocampus (Hp) of aged rats was induced by 1 month of high TrP administration.
In the first phase of our study we found that high TrP diet improves PA behaviour
of aged rats and this correlated with a decrease of TUNEL positive cells in all
hippocampal regions tested (CA1, CA2, CA3, DG). Interestingly, the Hp of aged
animals fed with high TrP diet showed a significant downregulation of
proapoptotic proteins, caspase-3 and BAX, and an increase of antiapoptotic
molecules Bcl-2 as indicated by Western Blot and immunohistochemical analyses.
Also, high TrP diet partially rescued the age-induced inhibition of hippocampal
CREB phosphorylation. Altogether, our data suggest that enhanced TrP intake, and
in consequence a potential increase in 5-HT neurotransmission, might be
beneficial in preventing age-related detrimental features by inhibition of
hippocampal apoptosis.
PMID- 27889580
TI - Methylphenidate modulates dorsal raphe neuronal activity: Behavioral and neuronal
recordings from adolescent rats.
AB - Methylphenidate (MPD) is a widely prescribed psychostimulants used for the
treatment of attention deficit hyperactive disorder (ADHD). Unlike the
psychostimulants cocaine and amphetamine, MPD does not exhibit direct actions on
the serotonin transporter, however there is evidence suggesting that the
therapeutic effects of MPD may be mediated in part by alterations in serotonin
transmission. This study aimed to investigate the role of the dorsal raphe (DR)
nucleus, one of the major sources of serotonergic innervation in the mammalian
brain, in the response to MPD exposure. Freely behaving adolescent rats
previously implanted bilaterally with permanent electrodes were used. An open
field assay and a wireless neuronal recording system were used to concomitantly
record behavioral and DR electrophysiological activity following acute and
chronic MPD exposure. Four groups were used: one control (saline) and three
experimental groups treated with 0.6, 2.5, and 10.0mg/kg MPD respectively.
Animals received daily MPD or saline injections on experimental days 1-6,
followed by 3 washout days and MPD rechallenge dose on experimental day (ED)10.
The same chronic dose of MPD resulted in either behavioral sensitization or
tolerance, and we found that neuronal activity recorded from the DR neuronal
units of rats expressing behavioral sensitization to chronic MPD exposure
responded significantly differently to MPD rechallenge on ED10 compared to the DR
unit activity recorded from animals that expressed behavioral tolerance. This
correlation between behavioral response and DR neuronal activity following
chronic MPD exposure provides evidence that the DR is involved in the acute
effects as well as the chronic effects of MPD in adolescent rats.
PMID- 27889581
TI - Handling of hazardous drugs - Effect of an innovative teaching session for
nursing students.
AB - BACKGROUND: Imparting knowledge and practical skills in hazardous drug handling
in nursing students' education is essential to prevent hazardous exposure and to
preserve nurses' health. OBJECTIVES: This study aimed at comparing routine
nursing education with an additional innovative teaching session. DESIGN: A
prospective controlled study in nursing students was conducted in two study
periods: (i) a status-quo period (routine education on handling hazardous drugs)
followed by (ii) an intervention period (additional innovative teaching session
on handling hazardous drugs). SETTINGS/PARTICIPANTS: Nursing students at a
vocational school were invited to participate voluntarily. METHODS: In both study
periods (i) and (ii), the following factors were analysed: (a) knowledge of
hazardous drug handling by questionnaire, (b) practical skills in hazardous drug
handling (e.g. cleaning) by a simulated handling scenario, (c) contamination with
drug residuals on the work surface by fluorescent imaging. RESULTS: Fifty-three
nursing students were enrolled. (a) Median knowledge improved from status-quo
(39% right answers) to intervention (65%, p<0.001), (b) practical skills improved
from status-quo (53% of all participants cleaned the work surface) to
intervention (92%, p<0.001). (c) Median number of particles/m2 decreased from
status-quo to intervention (932/97, p<0.001). CONCLUSIONS: Compared with routine
education, knowledge and practical skills in hazardous drug handling were
significantly improved after an innovative teaching session. Additionally, the
amount of residuals on the work surface decreased. This indicates a lower risk
for hazardous drug exposure.
PMID- 27889582
TI - The Chinese version of Instrument of Professional Attitude for Student Nurses
(IPASN): Assessment of reliability and validity.
AB - BACKGROUND: Professional attitude is of great importance for nursing talents in
the modern society. To develop an effective educational program for student
nurses in China, an appropriate instrument is required for the assessment of
their professional attitude. OBJECTIVE: To assess the validity and reliability of
the Instrument of Professional Attitude for Student Nurses (IPASN) in Chinese
version. METHODS: The original version of IPASN was translated through Brislin
model (translation, back translation, culture adaption and pilot study) with the
authorization from the developer. A total of 681 nursing students were chosen by
stratified convenience sampling to assess construct validity using exploratory
factor analysis (EFA). Besides, item analysis, Cronbach's alpha coefficients,
test-retest reliability were conducted to test the psychometric properties in
this part. A total of 204 nursing undergraduate trainees were selected by cluster
convenience sampling to confirm the structure using confirmatory factor analysis
(CFA) in another time. RESULTS: Corrected item-total correlations, alpha if item
deleted were between 0.33 and 0.69, 0.906 and 0.913, respectively, indicating no
item should be deleted. Cronbach alpha value was 0.91 for the total scale and
Cronbach alpha coefficient for subscales ranged from 0.67 to 0.89. Test-retest
reliability estimated from intraclass correlation coefficient (ICC) was 0.74
(P<0.05). Differences in item scores between the high-score group (the first 27%)
and low-score group (the last 27%) were significant (P<0.001), indicating that
the item discrimination ability was good. Seven subscales (contribution to
increase of scientific information load, autonomy, community service, continuous
education, to promote professional development, cooperation and theory guiding
practice) were identified in EFA and confirmed in CFA, and explained 65.5% of the
total variance. CONCLUSION: It indicated that the Chinese version of IPASN was
valid and reliable for the evaluation of nursing students' professional attitude.
PMID- 27889583
TI - Development and evaluation of a clinical simulation for new graduate nurses: A
multi-site pilot study.
AB - BACKGROUND: New nursing graduates have revealed that they perceive a gap between
theory and practice with reference to their education and the real workplace
setting. Additionally, many nurses experience a reality shock when they
participate in clinical practice. PURPOSE: The purpose of this study was to
develop and test the effects of a scenario-based simulation training program on
new graduate nurses' competency, critical thinking dispositions, and
interpersonal communication skills. METHOD: This pilot multi-site study used a
pretest-posttest control group design. It was conducted at four sites of a
university-affiliated simulation center in Korea. Participants were recruited
utilizing a convenience sample from four tertiary hospitals in Korea. Twenty-four
new graduate nurses participated in this study. RESULTS: At the three-month
follow-up, the levels of communication skills used in practice among the
intervention group were statistically significantly higher than those of the
control group participants (U=151.50, p=.005). However, there were no significant
differences between the groups in changes in nursing competency (U=287.50,
p=.992) or critical thinking disposition scores (U=269.50, p=.702). The
participants' mean rating scores concerning the objectives, intentions, and
recommendations for other nurses were positive and high. CONCLUSION: The
involvement of current practicing of nursing in certain scenarios and the
implementation of simulation learning could enhance the readiness of new graduate
nurses.
PMID- 27889584
TI - Stress, resilience and psychological well-being in Chinese undergraduate nursing
students.
AB - BACKGROUND: Globally, stress is a well-recognized feature of the life of
undergraduate nursing students. However, there currently is little evidence to
suggest what role resilience plays in this issue. OBJECTIVES: To examine the
relationship between stress and resilience on psychological well-being in a
cohort of Chinese undergraduate student nurses. DESIGN/PARTICIPANTS: A cross
sectional study was conducted using multivariate logistic regression and
descriptive statistical analysis in three Chinese nursing schools. A total of
1538 nursing students participated in the study, completing three validated self
administered questionnaires. RESULTS: Nursing students in their final year
reported the highest mean General Health Questionnaire 12 (GHQ-12) scores (Mean
4.50 SD 2.89) and Stress in Nursing Student (SINS CN) scores (Mean 105.11 SD
25.37), Moderate levels of resilience were noted across all four years of nursing
training programmes (Mean 121.59 SD 21.49). Resilience scale (RS) scores were
negatively correlated with mean total score for stress (r=-0.236, P<0.01) and
negatively correlated with psychological well-being (r=-0.411, P<0.01).
CONCLUSION: Psychological well-being appears impaired in Chinese nursing
students, particularly final year students, prior to registration. Globally, more
attention could be given to the potential role of resilience training and other
forms effective coping strategies to deal with the inevitable sources of stress
in student nurse training.
PMID- 27889585
TI - Devices and dressings to secure peripheral venous catheters: A Cochrane
systematic review and meta-analysis.
AB - BACKGROUND: Peripheral venous catheterisation is the most frequent invasive
procedure performed in hospitalised patients; yet over 30% of peripheral venous
catheters fail before treatment ends. OBJECTIVES: To assess the effects of
peripheral venous catheter dressings and securement devices on the incidence of
peripheral venous catheter failure. DATA SOURCES: We searched the Cochrane Wounds
Group Register, The Cochrane Central Register of Controlled Trials, MEDLINE;
EMBASE and CINAHL for any randomised controlled trials comparing different
dressings or securement devices used to stabilise peripheral venous catheters.
The reference lists of included studies were also searched for any previously
unidentified studies. RESULTS: We included six randomised controlled trials (1539
participants) that compared various dressings and securement devices (transparent
dressings versus gauze; bordered transparent dressings versus a securement
device; bordered transparent dressings versus tape; and transparent dressing
versus sticking plaster). Trial sizes ranged from 50 to 703 participants. The
quality of evidence ranged from low to very low. Catheter dislodgements or
accidental removals were lower with transparent dressings compared with gauze
(two studies, 278 participants, risk ratio (RR) 0.40; 95% confidence interval
(CI) 0.17-0.92, P=0.03%). However, the relative effects of transparent dressings
and gauze on phlebitis (RR 0.89; 95% CI 0.47-1.68) and infiltration (RR 0.80; 95%
CI 0.48-1.33) are unclear. A single study identified less frequent dislodgement
or accidental catheter removal with bordered transparent dressings compared to a
securement device (RR 0.14, 95% CI 0.03-0.63) but more phlebitis with bordered
dressings (RR 8.11, 95% CI 1.03-64.02). A comparison of a bordered transparent
dressing and tape found more peripheral venous catheter failure with the bordered
dressing (RR 1.84, 95% CI 1.08-3.11) but the relative effect on dislodgement was
unclear. CONCLUSIONS: There is no strong evidence to suggest that any one
dressing or securement product for preventing peripheral venous catheter failure
is more effective than any other product. All of the included trials were small,
had high or unclear risk of bias for one or more of the quality elements we
assessed, and wide confidence intervals, indicating that further randomised
controlled trials are necessary. There is a need for suitably powered, high
quality trials to evaluate the newer, high use products and novel - but expensive
- securement methods, such as surgical grade glue.
PMID- 27889586
TI - Surfactant effect on the physicochemical characteristics of cationic solid lipid
nanoparticles.
AB - Solid lipid nanoparticles (SLNs) may be considered as a new approach for
therapeutics for many diseases. In addition to drug delivery, their use as non
viral vectors for gene delivery can be obtained by including cationic lipids,
which provide a positive surface potential that favors binding to the nucleic
acids as DNA, siRNA, miRNA, etc. In fact, the addition of cationic surfactants is
indispensable for obtaining nanoparticles with surface positive charge. In this
study, three different cationic lipids (dioctadecyl dimethyl ammonium bromide,
cetyltrimethyl ammonium bromide, cetylpyridinium chloride) and Brij 76 as
nonionic surfactant were employed to formulate Precirol ATO 5 based cSLN using
pEGFP-LUC as model plasmid. The physicochemical properties of cSLN were
influenced by both type and amount of surfactants. Thermal analyses of bulk cSLN
showed endothermic peaks significantly different from the ones of the single pure
components, hinting a complete entanglement of the lipid matrix with the
surfactants and justifying the different behavior of the cSLN in the ability to
interact with the plasmid DNA. Finally, the biocompatibility of cSLN was
demonstrated by hemolytic assays. These results may give an insight into the
choice of surfactants in order to obtain non-toxic and highly effective delivery
systems for gene therapy.
PMID- 27889587
TI - Pharmaceutical technology can turn a traditional drug, dexamethasone into a first
line ocular medicine. A global perspective and future trends.
AB - Dexamethasone is one of the most prescribed glucocorticoids. It is effective and
safe in the treatment of a wide variety of ocular conditions, including anterior
and posterior segment inflammation. However, its half-life in the vitreous humor
is very short, which means that it typically requires frequent administrations,
thus reducing patient adherence and causing therapeutic failure. Innovative
dexamethasone delivery systems have been designed in an attempt to achieve
sustained release and targeting. The FDA has approved dexamethasone implants for
the treatment of macular edema secondary to retinal vein occlusion and posterior
segment noninfectious uveitis. Lenses, micro- and nanoparticles, liposomes,
micelles and dendrimers are also proving to be adequate systems for maintaining
optimal dexamethasone levels in the site of action. Pharmaceutical technology is
turning a classical drug, dexamethasone, into a fashionable medicine.
PMID- 27889588
TI - Preparation and properties evaluation of a novel pH-sensitive liposomes based on
imidazole-modified cholesterol derivatives.
AB - As a new kind of drug carries, pH-sensitive liposomes have been widely studied in
tumor therapy for their advantages of target ability and sustained-release. Here,
we synthesized a pH-sensitive material, N-(3-Aminopropyl)imidazole-cholesterol
(IM-Chol) and prepared a novel pH-sensitive liposomes using IM-Chol and
phosphatidylcholine. IM-Chol was synthesized through amidation reaction between
the amino group of N-(3-Aminopropyl)imidazole and acyl chloride group of
cholesteryl chloroformate in a weak base solution. Optimal conditions to prepare
liposomes were obtained by the orthogonal experiment with the higher
encapsulation efficiency as the evaluation indicator. The properties of
liposomes, such as particle size, zeta potential, morphology, encapsulation
efficiency, drug release behavior and in vitro cell toxicity were evaluated by
transmission electron microscopy (TEM), dynamic light scattering (DLS) and MTT
assay respectively. The results showed that the average particle size of IM-Chol
liposomes was 141nm (PDI 0.323). Liposomes can assemble into uniform spheres at
pH 7.4, but under the condition of pH 5.0, the spherical structure of IM-Chol
liposomes was broken, exhibiting pH-sensitive property. In vitro drug releasing
studies demonstrated the controlled-release behavior of the curcumin (CUR) in the
IM-Chol liposomes. The cumulative release of CUR reached to 72.5% in the first
24h at pH 5.0, faster than that at pH 7.4, which confirmed that the drug carrier
displayed pH-sensitive release behaviors. In addition, the MTT assay was employed
to test the cytotoxicity of IM-Chol liposomes and CUR IM-Chol liposomes. All cell
viabilities were greater than 80% after incubating for 24h, even up to the
highest dose of 500mg/L, indicating that IM-Chol liposomes had good
biocompatibility. The tumor inhibitory results towards EC109 cells of free CUR
and CUR-loaded IM-Chol liposomes indicated that IM-Chol liposomes indeed enhanced
the cell killing effect of CUR. These results showed that the novel IM-Chol
liposomes prepared in this paper had pH-sensitive property and were expected to
play a huge potential in tumor treatment.
PMID- 27889589
TI - Higher morale is associated with lower risk of depressive disorders five years
later among very old people.
AB - OBJECTIVE: The aim of this study was to investigate whether higher morale, i.e.
future-oriented optimism, at baseline was associated with lower risk of
depressive disorders five years later among very old people.Methods The
Umea85+/GErontological Regional Database, a population-based study with a
longitudinal design, recruited participants in Sweden and Finland aged 85, 90 and
>=95 years. The sample in the present study included 647 individuals (89.1+/-4.4
years (Mean+/-SD), range 85-103). After five years, 216 were alive and agreed to
a follow-up (92.6+/-3.4 years, range 90-104). The Philadelphia Geriatric Center
Morale Scale (PGCMS) was used to assess morale. The depressive disorder diagnosis
was determined according to DSM-IV based on medical records and interview data
including assessment scales for depressive disorders. A number of
sociodemographic, functional and health-related variables were analysed as
possible confounders.Results For those with no depressive disorders at baseline,
the only baseline variable significantly associated with depressive disorders
five years later was the PGCMS score. A logistic regression model showed lower
risk of depressive disorders five years later with higher baseline PGCMS scores
(odds ratio 0.779 for one point increase in PGCMS, p<0.001). The association
remained after adjusting for social isolation (p<0.1 association with depressive
disorders five years later).Conclusion Our results indicate that the higher the
morale, the lower the risk of depressive disorders five years later among very
old people. The PGCMS seems to identify those very old individuals at increased
risk of depressive disorders five years later. Preventive measures could
befocused on this group.
PMID- 27889590
TI - Perceived and objective entrance-related environmental barriers and daily out-of
home mobility in community-dwelling older people.
AB - PURPOSE: We studied whether entrance-related environmental barriers, perceived
and objectively recorded, were associated with moving out-of-home daily in older
people with and without limitations in lower extremity performance. METHODS:
Cross-sectional analyses of the "Life-space mobility in old age" cohort including
848 community-dwelling 75-90-year-old of central Finland. Participants reported
their frequency of moving out-of-home (daily vs. 0-6 times/week) and perceived
entrance-related environmental barriers (yes/no). Lower extremity performance was
assessed (Short Physical Performance Battery) and categorized as poorer (score 0
9) or good (score 10-12). Environmental barriers at entrances and in exterior
surroundings were objectively registered (Housing Enabler screening tool) and
divided into tertiles. Logistic regression analyses were adjusted for age, sex,
number of chronic diseases, cognitive function, month of assessment, type of
neighborhood, and years lived in the current home. RESULTS: At home entrances a
median of 6 and in the exterior surroundings 5 environmental barriers were
objectively recorded, and 20% of the participants perceived entrance-related
barriers. The odds for moving out-of-home less than daily increased when
participants perceived entrance-related barrier(s) or when they lived in homes
with higher numbers of objectively recorded environmental barriers at entrances.
Participants with limitations in lower extremity performance were more
susceptible to these environmental barriers. Objectively recorded environmental
barriers in the exterior surroundings did not compromise out-of-home mobility.
CONCLUSION: Entrance-related environmental barriers may hinder community-dwelling
older people to move out-of-home daily especially when their functional capacity
is compromised. Potentially, reducing entrance-related barriers may help to
prevent confinement to the home.
PMID- 27889591
TI - Adverse events and treatment discontinuations of antimuscarinics for the
treatment of overactive bladder in older adults: A systematic review and meta
analysis.
AB - INTRODUCTION: Antimuscarinics should be used with caution in older adults with
overactive bladder (OAB) due to anticholinergic adverse events (AEs). Systematic
reviews and meta-analyses (SRMAs) have analyzed safety-related outcomes but have
not specified risk in the elderly, the population at highest risk for AEs. The
aim of this review is to explore and evaluate AEs and treatment discontinuations
in adults 65 or older taking antimuscarinics for OAB. METHODS: Keywords were
searched in MEDLINE, EMBASE, SCOPUS, and Cochrane Central Register for Controlled
Trials. Randomized controlled trials (RCTs) along with sub-analyses and pooled
analyses that compared antimuscarinics to placebo or another antimuscarinic were
performed in February 2015. Studies assessing AEs or treatment discontinuations
in a population of adults 65 or older were included. The Jadad Criteria and
McHarm Tool were used to assess the quality of the trials. RESULTS: A total of 16
studies met the inclusion criteria. Eighty AEs and 27 reasons for treatment
discontinuation were described in the included studies and further explored.
Anticholinergic AEs were more common in antimuscarinics compared to placebo.
Incidence of dizziness, dyspepsia, and urinary retention with fesoterodine,
headache with darifenacin, and urinary tract infections with solifenacin were
significantly higher compared to placebo. Treatment discontinuation due to AEs
and dry mouth were higher in the antimuscarinics when compared to placebo in
older adults. CONCLUSIONS: Treatment for overactive bladder using antimuscarinics
in adults aged 65 or older resulted in significant increases in risk for several
AEs compared to placebo including anticholinergic and non-anticholinergic AEs.
PMID- 27889592
TI - Marijuana effects on changes in brain structure and cognitive function among HIV+
and HIV- adults.
AB - BACKGROUND: The current study examined the independent and interactive effects of
HIV and marijuana (MJ) use on brain structure and cognitive function among a
sample of HIV-positive (HIV+) and HIV-negative (HIV-) individuals. METHODS:
Participants (HIV+, n=48; HIV-, n=29) individuals underwent cognitive testing,
questionnaires about substance use, and brain MRI. The HIV+ group was clinically
stable based upon current plasma CD4 count, 50% had undetectable viral load
(i.e.,<20 copies/mL), and all were on a stable regimen of cART. RESULTS: For HIV+
and HIV- participants, higher levels of MJ use were associated with smaller
volumes in the entorhinal cortex and fusiform gyrus. HIV status (but not MJ use)
was associated with cingulate thickness, such that HIV+ participants evidenced
smaller thickness of the cingulate, as compared to HIV- controls. Regarding
neurocognitive functioning, there was a HIV*MJ interactive effect on global
cognition, such that when the amount of MJ use was less than 1.43g per week, the
HIV- group displayed significantly better neurocognitive performance than the
HIV+ group (t=3.14, p=0.002). However, when MJ use reached 1.43g per week, there
were no significant HIV group differences in global cognitive performance
(t=1.39, p=0.168). CONCLUSIONS: Our results show independent and interactive
effects of HIV and MJ on brain structure and cognition. However, our results do
not support that HIV+ MJ users are at greater risk for adverse brain or cognitive
outcomes compared to HIV- MJ users.
PMID- 27889593
TI - Prevalence and patterns of cigarette smoking among patients co-infected with
human immunodeficiency virus and tuberculosis in Tanzania.
AB - INTRODUCTION: Cigarette smoking is one of the major risk factors for non-AIDS
related morbidities and is highly prevalent among HIV infected people. However,
no reports exist from Tanzania, one of the countries highly affected by the HIV
pandemic and one of Africa's biggest tobacco producer. METHODS: We examined the
patterns and prevalence of cigarette smoking among HIV and TB co-infected adult
patients in Dar es Salaam using a cross sectional study design. Proportions were
used to describe the pattern of cigarette smoking. Logistic regression was used
to assess the association of various participant characteristics with smoking.
RESULTS: Out of the 518 participants, 17 (3.3%) were current smokers, 96 (18.5%)
were ex-smokers and the rest (78.2%) denied ever smoking. Male sex (p<0.001),
alcohol (p<0.001), and illicit substance use (p<0.001) were significantly
associated with cigarette smoking. CONCLUSIONS: The study indicates that, the
level of current cigarette smoking among HIV/TB co-infected patients in Dar es
Salaam is low. Nevertheless, the preponderance of cigarette smoking among men,
alcohol drinkers, and those who use illicit substances provides a unique
opportunity for targeting such population with smoking cessation interventions;
HIV care and treatment clinics are uniquely positioned to provide such
interventions.
PMID- 27889594
TI - Racial/ethnic differences in 30-year trajectories of heavy drinking in a
nationally representative U.S. sample.
AB - BACKGROUND: Racial/ethnic minorities bear a disproportionate burden of alcohol
related problems in the U.S. It is unknown whether this reflects harmful patterns
of lifecourse heavy drinking. Prior research shows little support for the latter
but has been limited to young samples. We examine racial/ethnic differences in
heavy drinking trajectories from ages 21 to 51. METHODS: Data on heavy drinking
(6+ drinks/occasion) are from the 1979 National Longitudinal Survey of Youth
(N=9468), collected between 1982 and 2012. Sex-stratified, generalized estimating
equations (GEE) were used to model heavy drinking frequency trajectories as a
function of age with a cubic curve, and interactions of race with age terms were
tested to assess racial/ethnic differences. Models adjusted for time-varying
socioeconomic status and marital and parenting status; predictors of trajectories
were examined in race- and sex-specific models. RESULTS: White men and women had
similarly steep declines in heavy drinking frequency throughout the 20s,
contrasting with slower declines (and lower peaks) in Black and Hispanic men and
women. During the 30s there was a Hispanic-White crossover in men's heavy
drinking curves, and a Black-White female crossover among lifetime heavy
drinkers; by age 51, racial/ethnic group trajectories converged in both sexes.
Greater education was protective for all groups. CONCLUSION: Observed
racial/ethnic crossovers in heavy drinking frequency following young adulthood
might contribute to disparities in alcohol-related problems in middle adulthood,
and suggest a need for targeted interventions during this period. Additionally,
interventions that increase educational attainment may constitute an important
strategy for reducing heavy drinking in all groups.
PMID- 27889595
TI - Is there a role for salvage radiotherapy in locally advanced breast cancer
refractory to neoadjuvant chemotherapy?
AB - INTRODUCTION: Locally advanced breast cancer (LABC) is a major problem,
especially in developing countries. The standard treatment for LABC is
neoadjuvant chemotherapy, with or without anti-Her2 therapy, followed by surgery,
radiotherapy, and adjuvant systemic treatment if appropriate. However, there are
few data in the literature addressing alternatives when neoadjuvant chemotherapy
fails to reduce the tumour for surgery. MATERIALS AND METHODS: We conducted a
retrospective study including all patients who had non-metastatic LABC treated
with neoadjuvant chemotherapy and who were not eligible for surgical resection;
these patients were submitted to salvage radiotherapy (RTX) between January 2000
and December 2012 at the Brazilian National Cancer Institute. RESULTS: Fifty
seven patients were included, with a median age of 51 (23-72) years. The most
frequent clinical stages were IIIA and IIIB, corresponding to 19.3% and 70.2%,
respectively; mean tumour size was 8.74 (3-18) cm, and 44 patients (77.2%) had
nodal involvement. Chemotherapeutic regimens containing anthracyclines were
prescribed to 98.2% of the patients. Fifteen patients (26.3%) received taxanes
and anthracyclines. Radiation dose was 50 Gy divided into 25 fractions; 43
patients (75.4%) had their tumours downsized by RTX and underwent mastectomy.
Overall survival (OS) was 38 (23-52) months. Patients who were submitted to
surgery had an OS of 49 (28-70) months and those who were not eligible for
mastectomy after radiotherapy had an OS of 18 (9-27) months. CONCLUSION: This
retrospective study confirms that RTX is an effective treatment to downsize LABC
tumours with low or no response to chemotherapy, thereby enabling surgical
resection which may improve overall patient outcome.
PMID- 27889596
TI - Using ultrasound and palpation for predicting axillary lymph node status
following neoadjuvant chemotherapy - Results from the multi-center SENTINA trial.
AB - BACKGROUND: With the growing importance of neoadjuvant systemic therapy (NST) the
assessment of post neoadjuvant axillary status is of increasing importance
especially in patients who presented initially with suspicious nodes (cN1). This
study aims to investigate the predictive value of palpation and axillary
ultrasound of formerly cN1 patients following NST. PATIENTS AND METHODS: The
SENTINA trial (SENTinel NeoAdjuvant) is a 4-arm prospective multicenter study
designed to evaluate the role of sentinel node biopsy (SLNB) in the context of
neoadjuvant systemic treatment (NST) of breast cancer patients. RESULTS: 1240
patients from 103 institutions entered the trial. 715 (arm C n = 592; arm D n =
123) patients, who presented initially cN1 underwent clinical evaluation of lymph
node status following NST. Palpation alone demonstrated a sensitivity of 8.3%,
specifity of 94.8% and a negative predictive value (NPV) of 46.6%. Ultrasound
alone revealed a sensitivity of 23.9%, specificity 91.7%, and a NPV of 50.3%.The
investigators combined classification (palpation and ultrasound) resulted in a
sensitivity of 24.4%, specificity 91.4%, and a NPV of 50.3%. Investigators
classified the axilla nodes as being unsuspicious (cN0) following NST in 592/715
patients; of them 298 (50.3%) were pN0, 151 (25.5%) had 1-2 histologically
involved nodes and 143 (24.2%) had >2 histologically involved nodes. CONCLUSION:
The diagnostic accuracy of ultrasound and palpation following NST is unacceptably
low and additional tools for evaluation of the axillary lymph node status
following NST are urgently needed.
PMID- 27889597
TI - Is there any association between Toxoplasma gondii infection and bipolar
disorder? A systematic review and meta-analysis.
AB - BACKGROUND: The relationship between Toxoplasma gondii infection and the
development of bipolar disorder (BD) has long been investigated, yet to date it
is still poorly understood and documented. The aim of this review is to derive a
summary estimate of the strength of the association between infection with T.
gondii and BD from the available published studies. METHODS: A systematic review
was performed using PubMed, LILACS, PsycINFO, and Embase databases. Studies which
included a proportion of seropositive BD patients and controls were further
examined in a meta-analysis. RESULTS: One hundred eighteen citations were
initially retrieved. Thirteen studies were included in our systematic review.
Eight out of these thirteen studies were included in our meta-analysis.
Statistical analyses showed that T. gondii infection is associated with with BD
(OR=1.26). LIMITATIONS: Small sample size was the major limitation among the
studies that carried out serological analyses. In addition, the available studies
did not have enough information on disease status/severity or type of bipolar
disorder. Also, it was not possible to analyze pregnancy status or perinatal
infection. Future studies addressing the aforementioned topics are clearly
needed. CONCLUSIONS: Despite heterogeneous results, patients with BD are more
likely to be infected by T. gondii than controls. Early T. gondii infection might
predispose the development of BD. T.gondii infection is becoming clinically
relevant in psychiatric disorders and future mechanistic studies are required to
elucidate the underlying pathophysiological mechanisms.
PMID- 27889598
TI - Association between antipsychotic drug use and cataracts in patients with bipolar
disorder: A population-based, nested case-control study.
AB - BACKGROUND: No previous study has focused on the association between use of
antipsychotic drugs and the development of cataracts in patients with bipolar
disorder (BD); hence, we aimed to examine this association in the present study.
METHODS: We conducted a retrospective nested case-control study using data from
the National Health Insurance Database of Taiwan between 2000 and 2011. A total
of 3292 BD patients, 1684 with cataracts and 1608 controls matched for age, sex,
and index date, were included. Antipsychotic drug exposure was categorized by
type of drug and duration of use. A conditional logistic regression analysis was
used to analyze the association. RESULTS: Among BD patients, we found
significantly reduced odds ratio (OR) of cataract development among past
(adjusted OR (AOR), 0.74; 95% confidence interval (CI), 0.62-0.89; p=0.001) and
continuous users (AOR, 0.71; 95% CI, 0.59-0.85; p<0.001) of atypical
antipsychotics. No association was found between the odds of cataract development
and typical antipsychotics. Besides, concomitant use of antidepressants (AOR,
1.23; 95% CI, 1.06-1.43; p=0.007) and mood stabilizers (AOR, 1.23; 95% CI, 1.06
1.42; p=0.007) were associated with increased odds of cataract development.
LIMITATIONS: Some important contributors to cataract development such as family
history of cataract, smoking and alcohol exposure could not be measured from the
claims data and this may confound the results. CONCLUSIONS: Reduced odds of
cataract were found in patients with BD taking atypical antipsychotics. However,
given that BD patients often have risk factors for developing cataract, regular
ocular evaluations are recommended for those treated with antipsychotics drugs.
PMID- 27889599
TI - Patatin-like phospholipase domain-containing protein 3 (PNPLA3): A potential role
in the association between liver disease and bipolar disorder.
AB - OBJECTIVE: Due to the increased prevalence of liver disease in patients with
bipolar disorder, we examined the potential role of the patatin-like
phospholipase domain-containing protein 3 (PNPLA3) variant among individuals with
bipolar disorder and those with no mood disorder. METHODS: We used the National
Health and Nutrition Examination Survey (NHANES) database (aged 15-39 years) to
identify a group of individuals with a bipolar diagnosis and a control group of
individuals with no mood disorder. A total of 1931 individuals were randomly
selected, one from each family containing information on the PNPLA3 genotype to
be used in the analysis. RESULTS: Analyses revealed individuals with the
recessive variant genotype (MM) had an adjusted odds ratio for bipolar disorder
of about 4.6 compared to individuals with either IM or II genotypes of the PNPLA3
variant. LIMITATIONS AND CONCLUSIONS: Limitations of this study include the use
of a lay-administered survey in for diagnosis of bipolar disorder in NHANES. The
association between the PNPLA3 variant and bipolar disorder may help guide
further work on medication effectiveness, treatment options, prevention
approaches, and understanding potential medication side effects among specific
subgroups of individuals with the MM genotype.
PMID- 27889600
TI - Comparative proteomic analysis of female and male venoms from the Mexican
scorpion Centruroides limpidus: Novel components found.
AB - Venom from male and female scorpions of the species Centruroides limpidus were
separated by HPLC and their molecular masses determined by mass spectrometry. The
relative concentration of components eluting in equivalent retention times from
the HPLC column shows some differences. A new peptide with 29 amino acids, cross
linked by three disulfide bonds was found in male scorpions and its structure
determined. Another unknown peptide present in female venom, with sequence
identity similar to K+-channel blocking peptide was isolated. This peptide
contains 39 amino acid residues linked by three disulfide bonds. Due to sequence
similarities, a systematic number (alphaKTx2.18) was assigned. Venom from male
and female scorpions was separated by Sephadex G-50 gel filtration. Components of
fraction I of this chromatogram were analyzed by two-dimensional gel
electrophoresis and 41 spots were selected (20 from female and 21 from male). The
spots were excised from the gel, enzymatically digested and sequenced by LC
MS/MS. This procedure allowed the identification of several proteins containing
similar amino acid sequence of other known proteins registered on UniProt
database. Among these proteins the presence of metalloproteinases (proteolytic
enzymes), hyaluronidases and phosphatases were experimentally determined and
shown to be present in both venom samples. The results shown here should help
further work aimed at fully identification of the structure and function of venom
components form C. limpidus male and female scorpions.
PMID- 27889602
TI - Toxicity evaluation and initial characterization of the venom of a Colombian
Latrodectus sp.
AB - The genus Latrodectus has not been studied in Colombia even though it is
medically important worldwide; there are three species for the country, this
study focused on a non-identified species found in the Tatacoa Desert in the
Huila Department. This research is the first approximation to the extraction,
composition analysis and toxicity evaluation of the venom of a species of the
genus Latrodectus in Colombia; and aims to evaluate the toxicity by the initial
characterization of its venom. The venom extraction was accomplished with
electrostimulation and total protein concentration was determined by the Lowry
method and BCA assays from crude venom; with these methods, high protein
concentration of the samples was measured. Bioassays on mice were also made to
evaluate the toxicity and compare the symptoms produced by this Colombian spider
to the Latrodectism Syndrome. Finally, an SDS-PAGE electrophoresis was used to
separate the main components of high molecular weight from the samples and
compared to a control of the venom of Latrodectus mactans to determine if the
venom composition is different between these two species.
PMID- 27889601
TI - Microcystin-LR induced liver injury in mice and in primary human hepatocytes is
caused by oncotic necrosis.
AB - Microcystins are a group of toxins produced by freshwater cyanobacteria. Uptake
of microcystin-leucine arginine (MC-LR) by organic anion transporting polypeptide
1B2 in hepatocytes results in inhibition of protein phosphatase 1A and 2A, and
subsequent cell death. Studies performed in primary rat hepatocytes demonstrate
prototypical apoptosis after MC-LR exposure; however, no study has directly
tested whether apoptosis is critically involved in vivo in the mouse, or in human
hepatocytes. MC-LR (120 MUg/kg) was administered to C57BL/6J mice and cell death
was evaluated by alanine aminotransferase (ALT) release, caspase-3 activity in
the liver, and histology. Mice exposed to MC-LR had increases in plasma ALT
values, and hemorrhage in the liver, but no increase in capase-3 activity in the
liver. Pre-treatment with the pan-caspase inhibitor z-VAD-fmk failed to protect
against cell death measured by ALT, glutathione depletion, or hemorrhage.
Administration of MC-LR to primary human hepatocytes resulted in significant
toxicity at concentrations between 5 nM and 1 MUM. There were no elevated caspase
3 activities and pretreatment with z-VAD-fmk failed to protect against cell death
in human hepatocytes. MC-LR treated human hepatocytes stained positive for
propidium iodide, indicating membrane instability, a marker of necrosis. Of note,
both increases in PI positive cells, and increases in lactate dehydrogenase
release, occurred before the onset of complete actin filament collapse. In
conclusion, apoptosis does not contribute to MC-LR-induced cell death in the in
vivo mouse model or in primary human hepatocytes in vitro. Thus, targeting
necrotic cell death mechanisms will be critical for preventing microcystin
induced liver injury.
PMID- 27889603
TI - Two symbiotic bacteria of the entomopathogenic nematode Heterorhabditis spp.
against Galleria mellonella.
AB - The entomopathogenic nematode Heterorhabditis spp. is considered a promising
agent in the biocontrol of injurious insects of agriculture. However, different
symbiotic bacteria associated with the nematode usually have different
specificity and virulence toward their own host. In this study, two symbiotic
bacteria, LY2W and NK, were isolated from the intestinal canals of two
entomopathogenic nematode Heterorhabditis megidis 90 (PDSj1 and PDSj2) from
Galleria mellonela, separately. To determine their species classification, we
carried out some investigations on morphology, culture, biochemistry, especially
16S rDNA sequence analyses. As a result, both of them belong to Enterobacter
spp., showing the closest relatedness with Enterobacter gergoviae (LY2W) and
Enterobacter cloacae (NK), respectively. Moreover, the toxicity to Galleria
mellonella was examined using both the metabolites and washed cells (primary and
secondary) of these two strains. The results indicated both metabolites and cells
of the primary-type bacteria could cause high mortalities (up to 97%) to Galleria
mellonella, while those of the primary-type bacteria only killed 20%. These
findings would provide new symbiotic bacteria and further references for
biological control of the agricultural pest.
PMID- 27889604
TI - Suicidal ideation, plans and attempts among medical college students in china:
The effect of their parental characteristics.
AB - Medical college students are a high-risk population of suicidal ideation, plan
and attempt. However, few studies discuss the effect of parental characteristics
on suicidal ideation, plans and attempts among medical college students in China.
A total of 2198 respondents answered the questionnaires referring social
demographic characteristics, psychological conditions, parental characteristics,
suicidal ideation, plan and attempt. The prevalence of suicidal ideation, plan
and attempt among the subjects were 17.9%, 5.2% and 4.3%, respectively. The
results of multiple logistic regression showed that male, mother's education
level, mother's parenting style, relationship in parents and psychological
condition were associated with lifetime suicidal ideation. Male, mother's
vocation, mother's parenting style, relationship in parents and psychological
conditions were associated with suicide plan. Male, relationship in parents and
psychological condition were associated with suicide attempt. Those imply that
mother may play more roles on suicidal ideation and plan than father among
medical college students in China. Psychological condition has a very strong
association with suicidal ideation, plan and attempt.
PMID- 27889605
TI - Posterior composites: Update on cavities and filling techniques.
AB - OBJECTIVES: The aim of this paper is to examine cavity design for posterior resin
composite restorations and to discuss various resin composite filling techniques.
DATA: Literature with regard to cavity preparation for amalgam and resin
composite restorations has been reviewed. An overview of available bulkfill resin
composite systems is provided and a categorization of these systems according to
their clinical application and their intended use is outlined. SOURCES: A
literature search was carried out by the authors in Medline. STUDY SELECTION: Pre
defined inclusion criteria based on keywords were included and reviewed.
CONCLUSIONS: Minimum cavity preparations are advised for posterior resin
composite restorations, preserving the greatest amount of healthy tooth
structure. For resin composite restorations only the lesion of caries needs to be
removed with all remaining tooth structure protected for the bonding process. The
anticipated outcome of this philosophy will result in increased survival of
teeth. Newer bulkfill restorative resins offer many advantages such as reduces
time for placement.
PMID- 27889606
TI - Re-interventions after restoring teeth-Mining an insurance database.
AB - OBJECTIVES: The aim of this study was to examine re-interventions after
restorative treatment. METHODS: The data was collected from the digital database
of a major German national health insurance company. Only permanent teeth were
observed. Placing a permanent restoration other than a crown regardless of
involved surfaces and material was the study intervention. The data did not allow
for a differentiation between fillings and inlays that were estimated only a very
small portion of the restorations. Success was defined as not undergoing any
restorative re-intervention with fillings or inlays on the same tooth (primary
outcome) and assessed with Kaplan-Meier survival analyses over four years. An
additional analysis was conducted rating "crowning" and "extraction" of
respective teeth as target events. Differences were tested with the Log-Rank
test. A multivariate Cox regression analyses was carried out. RESULTS: A total of
17,024,344 restorations placed in 4,825,408 anterior teeth and 9,973,177
posterior teeth could be traced. Focussing on the primary outcome re
intervention, the cumulative four-year success rate was 69.9% for one surface
restorations, 74.8% for two surface restorations, 66.6% for three surface
restorations and 61.0% for four surface and more extended restorations. These
differences were significant (p<0.0001). Focussing on all three target events re
intervention, crowning and extraction, the cumulative four-year success rate was
66.1% for one surface restorations, 67.5% for two surface restorations, 63.0% for
three surface restorations and 55.8% for four surface and more extended
restorations. The number of restoration surfaces as well as the tooth position
remained significant in the multivariate Cox regression. CONCLUSIONS: The
sustainability of restorative dental treatment under the terms and conditions of
the German national health insurance system shows room for improvement. From a
public health perspective, special focus should be laid on primary and secondary
prevention to minimize the restorative treatment need. CLINICAL SIGNIFICANCE
STATEMENT: This study shows that re-interventions are observed regularly after
restorative treatment. Therefore, preventive and restorative strategies should be
revisited and optimised.
PMID- 27889607
TI - Leptin/OB-R signaling is elevated in mice with Sjogren's syndrome and is
implicated in disease pathogenesis.
AB - Sjogren's syndrome (SjS) is a systemic autoimmune disease resulting in a severe
dry mouth and dry eyes. Currently, care for patients with SjS is palliative, as
no established therapeutics target the disease directly, and its pathogenetic
mechanisms are uncertain. Leptin activates B cells to induce the secretion of
proinflammatory and anti-inflammatory cytokines and is elevated in several
autoimmune diseases. In this study, we found the expression of leptin and its
receptor OB-R in mouse models of SjS are elevated both locally and systemically
during SjS progression. Recombinant serotype 2 adeno-associated viral (rAAV2)
vectors expressing either OB-R shRNA (rAAV2-shOB-R) or none (rAAV2-null) were
injected into 4 or 16 week-old BALB/c NOD/LtJ (NOD) mice and resulted in a modest
reduction in glandular inflammation in the SjS model. In conclusion, Leptin/OB-R
signaling may be pathogenically involved in SjS and may serve as a new marker and
a potential therapeutic target.
PMID- 27889608
TI - SPOCK1 is up-regulated and promotes tumor growth via the PI3K/AKT signaling
pathway in colorectal cancer.
AB - SPOCK1 encodes a Ca2+-binding matricellular glycoprotein which plays an oncogenic
role in cancer cells. However, the role of SPOCK1 in the pathogenesis of
colorectal cancer (CRC) has not been determined. Here, SPOCK1 was found higher
expressed in CRC tissues than that of adjacent normal tissues. Furthermore, up
regulated expression of SPOCK1 in CRC patients was associated with tumor size and
TNM stage. In addition, we observed that the depletion of SPOCK1 inhibited
proliferation in vitro and tumorigenicity in vivo and promoted apoptosis in cell
culture. Our data suggest that inactivation of PI3K/Akt signaling pathway was
involved in down-regulation of SPOCK1-mediated suppression of tumor cell
proliferation. These results suggest that SPOCK1 expression is correlated with
malignant features of CRC and may serve as potential therapeutic and preventive
strategies for CRC.
PMID- 27889609
TI - Aquaporin-2 Ser-261 phosphorylation is regulated in combination with Ser-256 and
Ser-269 phosphorylation.
AB - Aquaporin-2 (AQP2) is a water channel in collecting duct principal cells in the
kidney. Vasopressin catalyzes AQP2 phosphorylation at several serine sites in its
C-terminus: Ser-256, Ser-261, and Ser-269. Upon stimulation by vasopressin, Ser
269 phosphorylation increases and Ser-261 phosphorylation decreases. Ser-256
phosphorylation is relatively constant. However, whether these types of phospho
regulation occur independently in distinct AQP2 populations or sequentially in
the same AQP2 population is unclear. Especially, the manner of vasopressin
mediated Ser-261 phospho-regulation has been in controversy. In this study, we
established phospho-specific AQP2 immunoprecipitation assays and investigated how
pS256-positive AQP2 and pS269-positive AQP2 are catalyzed by forskolin or
vasopressin, focusing on their Ser-261 phosphorylation status in polarized Madin
Darby canine kidney (MDCK) cells and in mice. In forskolin-treated MDCK cells,
Ser-269 phosphorylation preceded Ser-261 dephosphorylation and Ser-256
phosphorylation was constant. In both MDCK cells and mouse kidney, phospho
specific immunoprecipitation revealed that the regulated Ser-269 phosphorylation
occurred in the pS256-positive AQP2 population. Importantly, basal-state Ser-261
phosphorylation and its regulated dephosphorylation occurred in the pS256- and
pS269-positive AQP2 population. These results provide the direct evidence that
the Ser-261 dephosphorylation is involved in the pS256- and pS269-related AQP2
regulation.
PMID- 27889610
TI - CACUL1/CAC1 attenuates p53 activity through PML post-translational modification.
AB - Promyelocytic leukaemia (PML) is a tumor suppressor protein covalently conjugated
with SUMO family proteins, leading to the formation of PML nuclear bodies (NBs).
PML-NBs provide a platform for efficient posttranslational modification of
targets and protein-protein interaction, contributing to the adjustment of gene
expression and chromatin integrity. Although PML SUMOylation is thought to play
important roles in diverse cellular functions, the control mechanisms of adequate
modification levels have remained unsolved. Here, we report that Cullin-related
protein CACUL1/CAC1 (CACUL1) inhibits PML posttranslational modification. CACUL1
interacts with PML and suppresses PML SUMOylation, leading to the regulation of
PML-NB size in the nucleus. We also found that Ubc9, a SUMO-conjugating enzyme,
binds to CACUL1 and antagonizes the interaction between CACUL1 and PML.
Furthermore, CACUL1 attenuates p53 transcriptional activity. These data suggest
that CACUL1 is a novel regulator that negatively controls p53 activity through
the regulation of PML SUMOylation.
PMID- 27889611
TI - Anti-apoptotic quinolinate phosphoribosyltransferase (QPRT) is a target gene of
Wilms' tumor gene 1 (WT1) protein in leukemic cells.
AB - Wilms' tumor gene 1 (WT1) is a zinc finger transcription factor that has been
implicated as an oncogene in leukemia and several other malignancies. When
investigating possible gene expression network partners of WT1 in a large acute
myeloid leukemia (AML) patient cohort, one of the genes with the highest
correlation to WT1 was quinolinate phosphoribosyltransferase (QPRT), a key enzyme
in the de novo nicotinamide adenine dinucleotide (NAD+) synthesis pathway. To
investigate the possible relationship between WT1 and QPRT, we overexpressed WT1
in hematopoietic progenitor cells and cell lines, resulting in an increase of
QPRT expression. WT1 knock-down gave a corresponding decrease in QPRT gene and
protein expression. Chromatin-immunoprecipitation revealed WT1 binding to a
conserved site in the first intron of the QPRT gene. Upon overexpression in
leukemic K562 cells, QPRT conferred partial resistance to the anti-leukemic drug
imatinib, indicating possible anti-apoptotic functions, consistent with previous
reports on glioma cells. Interestingly, the rescue effect of QPRT overexpression
was not correlated to increased NAD + levels, suggesting NAD + independent
mechanisms. We conclude that QPRT, encoding a protein with anti-apoptotic
properties, is a novel and direct target gene of WT1 in leukemic cells.
PMID- 27889612
TI - TET1-GPER-PI3K/AKT pathway is involved in insulin-driven endometrial cancer cell
proliferation.
AB - Large amount of clinical evidence has demonstrated that insulin resistance is
closely related to oncogenesis of endometrial cancer (EC). Despite recent studies
showed the up-regulatory role of insulin in G protein-coupled estrogen receptor
(GPER/GPR30) expression, GPER expression was not decreased compared to control
when insulin receptor was blocked even in insulin treatment. The purpose of this
study was to explore the possible mechanism by which insulin up-regulates GPER
that drives EC cell proliferation. For this purpose, we first investigated the
GPER expression in tissues of endometrial lesions, further explored the effect of
GPER on EC cell proliferation in insulin resistance context. Then we analyzed the
role of Ten-Eleven Translocation 1 (TET1) in insulin-induced GEPR expression and
EC cell proliferation. The results showed that GPER was highly expressed in
endometrial atypical hyperplasia and EC tissues. Mechanistically, insulin up
regulated TET1 expression and the latter played an important role in up
regulating GPER expression and activating PI3K/AKT signaling pathway. TET1
mediated GPER up-regulation was another mechanism that insulin promotes EC cell
proliferation.
PMID- 27889613
TI - Two approaches, one problem: Cultural constructions of type II diabetes in an
indigenous community in Yucatan, Mexico.
AB - The emerging epidemic of obesity and type II diabetes in Mexico has recently
propelled the nation into the public health spotlight. In the state of Yucatan,
the experience of diabetes is greatly impacted by two cultural constructions of
disease. In this setting, elements of Yucatec Mayan health practices as well as
the biomedical model affect the approach to type II diabetes. Both frameworks
offer unique understandings of the etiology of diabetes and recommend different
ways to manage the condition. Based on in-depth and semi-structured interviews
with both community members and clinicians, the present study seeks to understand
how diabetes is understood and treated in indigenous settings in rural Yucatan.
We explore the context in which community members navigate between locally
available healthcare options, choose one over the other, or incorporate
strategies from both into their diabetes care regimens. The tension between
indigenous community members and their biomedical healthcare providers, the
changing food environment of this community, and the persistence of traditional
gender constructions affect the management of type II diabetes and its associated
symptoms.
PMID- 27889614
TI - Competitive replication kinetics and pathogenicity in pigs co-infected with
historical and newly invading classical swine fever viruses.
AB - Classical swine fever (CSF), an economically important and highly contagious
disease of pigs, is caused by classical swine fever virus (CSFV). In Taiwan,
CSFVs from field outbreaks belong to two distinct genotypes. The historical
genotype 3.4 dominated from the 1920s to 1996, and since 1996, the newly invading
genotype 2.1 has dominated. To explain the phenomenon of this virus shift in the
field, representative viruses belonging to genotypes 2.1 and 3.4 were either
inoculated alone (single infection) or co-inoculated (co-infection), both in vivo
and in vitro, to compare the virus replication and pathogenesis. In pigs co
infected with the genotype 2.1 TD/96/TWN strain and the genotype 3.4
94.4/IL/94/TWN strain, the newly invading genotype 2.1 was detected earlier in
the blood, oral fluid, and feces, and the viral loads were consistently and
significantly higher than that of the historical genotype 3.4. In cell cultures,
the ratio of secreted virus to cell-associated virus of the genotype 2.1 strain
was higher than that of the genotype 3.4 strain. This study is the first to
demonstrate a possible explanation of virus shift in the field, wherein the newly
invading genotype 2.1 replicates more efficiently than did genotype 3.4 and
outcompetes the replication and pathogenicity of genotype 3.4 in pigs in the
field.
PMID- 27889615
TI - Inactivation of Zika virus in human breast milk by prolonged storage or
pasteurization.
AB - Zika virus infection during pregnancy poses a serious risk for pregnant women as
it can cause severe birth defects. Even though the virus is mainly transmitted
via mosquitos, human-to-human transmission has been described. Infectious viral
particles have been detected in breast milk of infected women which raised
concerns regarding the safety of breastfeeding in areas of Zika virus
transmission or in case of a suspected or confirmed Zika virus infection. In this
study, we show that Zika virus is effectively inactivated in human breast milk
after prolonged storage or upon pasteurization of milk.
PMID- 27889617
TI - Aquatic viral metagenomics: Lights and shadows.
AB - Viruses are the most abundant biological entities on Earth, exceeding bacteria in
most of the ecosystems. Specially in oceans, viruses are thought to be the major
planktonic predators shaping microorganism communities and controlling ocean
biological capacity. Plankton lysis by viruses plays an important role in ocean
nutrient and energy cycles. Viral metagenomics has emerged as a powerful tool to
uncover viral diversity in aquatic ecosystems through the use of Next Generation
Sequencing. However, many of the commonly used viral sample preparation steps
have several important biases that must be considered to avoid a
misinterpretation of the results. In addition to biases caused by the
purification of virus particles, viral DNA/RNA amplification and the preparation
of genomic libraries could also introduce biases, and a detailed knowledge about
such protocols is required. In this review, the main steps in the viral
metagenomic workflow are described paying special attention to the potential
biases introduced by each one.
PMID- 27889618
TI - Cell death mechanistic study of photodynamic therapy against breast cancer cells
utilizing liposomal delivery of 5,10,15,20-tetrakis(benzo[b]thiophene) porphyrin.
AB - 5,10,15,20-Tetrakis(benzo[b]thiophene) porphyrin (BTP) is a newly synthesized
hydrophobic photosensitizer with fluorescence quantum yield in toluene:
PhiF=0.062. Previously, its limitations in solubility had hindered scientific
experimentation regarding its photodynamic effects on cancer cells. By utilizing
various compositions of liposomes in order to alter the solubility of BTP, the
photocytotoxicity, reactive oxygen species generation, and subcellular
localization of the liposomal BTP were identified in this work. DNA fragmentation
and high content screening assays were performed in order to shed light on the
tumoricidal mechanism of the liposomal photosensitizer. The MTT assay results
showed promising results in the irradiation specific PDT activity against MCF-7
cells in all liposomal compositions. Production of ROS was confirmed in the
liposomal BTP treated MCF-7 cells after irradiation in a concentration dependent
manner. The subcellular localization assays revealed that the localization of BTP
was dependent on both the photosensitizer's chemical properties and the
properties of the delivery agent encapsulating aforesaid substance. Significant
DNA fragmentation was observed in both nucleus localizing liposomal BTP, BTP
encapsulated DOPC and DOPE (DOPC-BTP and DOPE-BTP), treated MCF-7 cells. All
liposomal-BTPs were successful in inducing mitochondrial permeability transition,
an increase in the permeability of the mitochondrial membrane, and activating
caspase-3/7. ER localizing BTP were able to significantly increase the cytosolic
calcium levels by photodynamic therapy, confirming the photodynamic ability of ER
localized BTP to damage the ER membrane. The application of liposomes in
delivering a novel hydrophobic photosensitizer, BTP, and photodynamic therapy
treatment against MCF-7 cells were successful. It was confirmed that the MCF-7
cell death pathway via photodynamic therapy was altered in a controlled manner by
controlling the intracellular localization of the photosensitizer through lipid
composition adjustment.
PMID- 27889619
TI - Selective motor control correlates with gait abnormality in children with
cerebral palsy.
AB - Children with bilateral cerebral palsy (CP) commonly have limited selective motor
control (SMC). This affects their ability to complete functional tasks. The
impact of impaired SMC on walking has yet to be fully understood. Measures of SMC
have been shown to correlate with specific characteristics of gait, however the
impact of SMC on overall gait pattern has not been reported. This study explored
SMC data collected as part of routine gait analysis in children with bilateral
CP. As part of their clinical assessment, SMC was measured with the Selective
Control Assessment of the Lower Extremities (SCALE) in 194 patients with
bilateral cerebral palsy attending for clinical gait analysis at a single centre.
Their summed SCALE score was compared with overall gait impairment, as measured
by Gait Profile Score (GPS). Score on SCALE showed a significant negative
correlation with GPS (rs=-0.603, p<0.001). Cerebral injuries in CP result in
damage to the motor tracts responsible for SMC. Our results indicate that this
damage is also associated with changes in the development of walking pattern in
children with CP.
PMID- 27889616
TI - Developments in L2-based human papillomavirus (HPV) vaccines.
AB - Infections with sexually transmitted high-risk Human Papillomavirus (hrHPV), of
which there are at least 15 genotypes, are responsible for a tremendous disease
burden by causing cervical, and subsets of other ano-genital and oro-pharyngeal
carcinomas, together representing 5% of all cancer cases worldwide. HPV subunit
vaccines consisting of virus-like particles (VLP) self-assembled from major
capsid protein L1 plus adjuvant have been licensed. Prophylactic vaccinations
with the 2-valent (HPV16/18), 4-valent (HPV6/11/16/18), or 9-valent
(HPV6/11/16/18/31/33/45/52/58) vaccine induce high-titer neutralizing antibodies
restricted to the vaccine types that cause up to 90% of cervical carcinomas, a
subset of other ano-genital and oro-pharyngeal cancers and 90% of benign ano
genital warts (condylomata). The complexity of manufacturing multivalent L1-VLP
vaccines limits the number of included VLP types and thus the vaccines' spectrum
of protection, leaving a panel of oncogenic mucosal HPV unaddressed. In addition,
current vaccines do not protect against cutaneous HPV types causing benign skin
warts, or against beta-papillomavirus (betaPV) types implicated in the
development of non-melanoma skin cancer (NMSC) in immunosuppressed patients. In
contrast with L1-VLP, the minor capsid protein L2 contains type-common epitopes
that induce low-titer yet broadly cross-neutralizing antibodies to heterologous
PV types and provide cross-protection in animal challenge models. Efforts to
increase the low immunogenicity of L2 (poly)-peptides and thereby to develop
broader-spectrum HPV vaccines are the focus of this review.
PMID- 27889620
TI - The Fli-1 transcription factor is a critical regulator for controlling the
expression of chemokine C-X-C motif ligand 2 (CXCL2).
AB - Mammalian cells produce inflammatory cytokines and chemokines in response to
innate immune signals and their expression is tightly regulated. Chemokine (C-X-C
motif) ligand 2 (CXCL2), also known as macrophage inflammatory protein 2-alpha
(MIP2-alpha), is an inflammatory chemokine belonging to the CXC chemokine family.
CXCL2 is chemotactic for neutrophils and elevated expression of CXCL2 is
associated with many inflammatory and autoimmune diseases. The Fli-1 gene belongs
to the large Ets transcription factor family, whose members regulate a wide
variety of cellular functions including the immune response. In this study, we
demonstrate that endothelial cells transfected with Fli-1 specific siRNA produce
significantly less CXCL2 compared to cells transfected with control siRNA after
stimulation by the Toll-like receptor (TLR) 4 ligands, lipopolysaccharide (LPS)
and tumor necrosis factor alpha (TNF-alpha). The production of CXCL2 in
endothelial cells stimulated with LPS stimulation is dose-dependent. We found
that Fli-1 binds to the CXCL2 promoter as established by Chromatin
immunoprecipitation (ChIP) assay. Transient transfection assays show that Fli-1
drives transcription from the CXCL2 promoter in a dose-dependent manner and Fli-1
regulates the expression of CXCL2 largely by directly binding to the promoter.
Targeted knockdown and transient transfection experiments suggest that both Fli-1
and the p65 subunit of NF-kappaB affect the activation of CXCL2 in an additive
manner. These results indicate that Fli-1 is a novel, critical transcription
factor that regulates the expression of the inflammatory chemokine CXCL2.
PMID- 27889621
TI - Identifying non-toxic doses of manganese for manganese-enhanced magnetic
resonance imaging to map brain areas activated by operant behavior in trained
rats.
AB - Manganese-enhanced magnetic resonance imaging (MEMRI) offers unique advantages
such as studying brain activation in freely moving rats, but its usefulness has
not been previously evaluated during operant behavior training. Manganese in a
form of MnCl2, at a dose of 20mg/kg, was intraperitoneally infused. The
administration was repeated and separated by 24h to reach the dose of 40mg/kg or
60mg/kg, respectively. Hepatotoxicity of the MnCl2 was evaluated by determining
serum aspartate aminotransferase, alanine aminotransferase, total bilirubin,
albumin and protein levels. Neurological examination was also carried out. The
animals were tested in visual cue discriminated operant task. Imaging was
performed using a 3T clinical MR scanner. T1 values were determined before and
after MnCl2 administrations. Manganese-enhanced images of each animal were
subtracted from their baseline images to calculate decrease in the T1 value
(DeltaT1) voxel by voxel. The subtracted T1 maps of trained animals performing
visual cue discriminated operant task, and those of naive rats were compared. The
dose of 60mg/kg MnCl2 showed hepatotoxic effect, but even these animals did not
exhibit neurological symptoms. The dose of 20 and 40mg/kg MnCl2 increased the
number of omissions and did not affect the accuracy of performing the visual cue
discriminated operant task. Using the accumulated dose of 40mg/kg, voxels with a
significant enhanced DeltaT1 value were detected in the following brain areas of
the visual cue discriminated operant behavior performed animals compared to those
in the controls: the visual, somatosensory, motor and premotor cortices, the
insula, cingulate, ectorhinal, entorhinal, perirhinal and piriform cortices,
hippocampus, amygdala with amygdalohippocampal areas, dorsal striatum, nucleus
accumbens core, substantia nigra, and retrorubral field. In conclusion, the MEMRI
proved to be a reliable method to accomplish brain activity mapping in
correlation with the operant behavior of freely moving rodents.
PMID- 27889622
TI - Evaluating UV-C LED disinfection performance and investigating potential dual
wavelength synergy.
AB - A dual-wavelength UV-C LED unit, emitting at peaks of 260 nm, 280 nm, and the
combination of 260|280 nm together was evaluated for its inactivation efficacy
and energy efficiency at disinfecting Escherichia coli, MS2 coliphage, human
adenovirus type 2 (HAdV2), and Bacillus pumilus spores, compared to conventional
low-pressure and medium-pressure UV mercury vapor lamps. The dual-wavelength unit
was also used to measure potential synergistic effects of multiple wavelengths on
bacterial and viral inactivation and DNA and RNA damage. All five UV sources
demonstrated similar inactivation of E. coli. For MS2, the 260 nm LED was most
effective. For HAdV2 and B. pumilus, the MP UV lamp was most effective. When
measuring electrical energy per order of reduction, the LP UV lamp was most
efficient for inactivating E. coli and MS2; the LP UV and MP UV mercury lamps
were equally efficient for HAdV2 and B. pumilus spores. Among the UV-C LEDs,
there was no statistical difference in electrical efficiency for inactivating
MS2, HAdV2, and B. pumilus spores. The 260 nm and 260|280 nm LEDs had a
statistical energy advantage for E. coli inactivation. For UV-C LEDs to match the
electrical efficiency per order of log reduction of conventional LP UV sources,
they must reach efficiencies of 25-39% or be improved on by smart reactor design.
No dual wavelength synergies were detected for bacterial and viral inactivation
nor for DNA and RNA damage.
PMID- 27889623
TI - Training needs of clinical nurses at an university hospital in Turkey.
AB - The learning needs of clinical nurses should be determined and evaluated at
regular intervals for evaluate the continuity and the efficiency of education.
The descriptive study was conducted to determine the training needs of nurses
working in an educational hospital between February 1st 2012 and May 1st 2012. It
was determined that, among the training topics related to patient care, those
demanded the most were cardio-pulmonary resuscitation, fluid-electrolyte balance,
safe drug administration and wound Care. As for the topics related to management
skills, the nurses stated that they needed training especially on stress and
crisis management. The results of the present study suggest that in-service
training is necessary in the clinical field and training programs, which should
be aimed to ensure the participation of; nurses in in-service training sessions
to the maximum extent possible, are needed.
PMID- 27889624
TI - Stress and anxiety among nursing students: A review of intervention strategies in
literature between 2009 and 2015.
AB - Undergraduate nursing students experience significant stress and anxiety,
inhibiting learning and increasing attrition. Twenty-six intervention studies
were identified and evaluated, updating a previous systematic review which
categorized interventions targeting: (1) stressors, (2) coping, or (3) appraisal.
The majority of interventions in this review aimed to reduce numbers or intensity
of stressors through curriculum development (12) or to improve students' coping
skills (8). Two studies reported interventions using only cognitive reappraisal
while three interventions combined reappraisal with other approaches. Strength of
evidence was limited by choice of study design, sample size, and lack of
methodological rigor. Some statistically significant support was found for
interventions focused on reducing stressors through curriculum development or
improving students' coping skills. No statistically significant studies using
reappraisal, either alone or in combination with other approaches, were
identified, although qualitative findings suggested the potential benefits of
this approach do merit further study. Progress was noted since 2008 in the
increased number of studies and greater use of validated outcome measures but the
review concluded further methodologically sound, adequately powered studies,
especially randomized controlled trials, are needed to determine which
interventions are effective to address the issue of excessive stress and anxiety
among undergraduate nursing students.
PMID- 27889625
TI - Cortical interneuron specification: the juncture of genes, time and geometry.
AB - A fundamental question in developmental neuroscience is how hundreds of diverse
cell types are generated to form specialized brain regions. The ganglionic
eminences (GEs) are embryonic brain structures located in the ventral
telencephalon that produce many inhibitory GABA (gamma-Aminobutyric acid)-ergic
cell types, including long-range projection neurons and local interneurons (INs),
which disperse widely throughout the brain. While much has been discovered about
the origin and wiring of these cells, a major question remains: how do neurons
originating in the GEs become specified during development as one differentiated
subtype versus another? This review will cover recent work that has advanced our
knowledge of the mechanisms governing cortical interneuron subtype specification,
particularly progenitors' spatial origin, birthdates, lineage, and mode of
division.
PMID- 27889627
TI - Subthalamic oscillations and phase amplitude coupling are greater in the more
affected hemisphere in Parkinson's disease.
AB - OBJECTIVE: Determine the incidence of resting state oscillations in alpha/beta,
high frequency (HFO) bands, and their phase amplitude coupling (PAC) in a large
cohort in Parkinson's disease (PD). METHODS: Intra-operative local field
potentials (LFPs) from subthalamic nucleus (STN) were recorded from 100 PD
subjects, data from 74 subjects were included in the analysis. RESULTS:
Alpha/beta oscillations were evident in >99%, HFO in 87% and PAC in 98% of cases.
Alpha/beta oscillations (P<0.01) and PAC were stronger in the more affected (MA)
hemisphere (P=0.03). Alpha/beta oscillations were primarily found in 13-20Hz (low
beta). Beta and HFO frequencies with the greatest coupling, were positively
correlated (P=0.001). Tremor attenuated alpha (P=0.002) and beta band
oscillations (P<0.001). CONCLUSIONS: STN alpha/beta band oscillations and PAC
were evident in ?98% cases and were greater in MA hemisphere. Resting tremor
attenuated underlying alpha/beta band oscillations. SIGNIFICANCE: Beta band LFP
power may be used to drive adaptive deep brain stimulation (aDBS), augmented by a
kinematic classifier in tremor dominant PD.
PMID- 27889626
TI - Absence of kynurenine 3-monooxygenase reduces mortality of acute viral
myocarditis in mice.
AB - Infection of the encephalomyocarditis virus (EMCV) in mice is an established
model for viral myocarditis. Previously, we have demonstrated that indoleamine
2,3-dioxygenase (IDO), an L-tryptophan - kynurenine pathway (KP) enzyme, affects
acute viral myocarditis. However, the roles of KP metabolites in EMCV infection
remain unclear. Kynurenine 3-monooxygenase (KMO) is one of the key regulatory
enzymes, which metabolizes kynurenine to 3-hydroxykynurenine in the KP.
Therefore, we examined the role of KMO in acute viral infection by comparing
between KMO-/- mice and KMO+/+ mice. KMO deficiency resulted in suppressed
mortality after EMCV infection. The number of infiltrating cells and F4/80+ cells
in KMO-/- mice was suppressed compared with those in KMO+/+ mice. KMO-/- mice
showed significantly increased levels of serum KP metabolites, and induction of
KMO expression upon EMCV infection was involved in its effect on mortality
through EMCV suppression. Furthermore, KMO-/- mice showed significantly
suppression of CCL2, CCL3 and CCL4 on day 2 and CXCL1 on day 4 after infection.
These results suggest that increased KP metabolites reduced chemokine production,
resulting in suppressed mortality upon KMO knockdown in EMCV infection. KP
metabolites may thus provide an effective strategy for treating acute viral
myocarditis.
PMID- 27889628
TI - Effect of betel nut chewing on the otolithic reflex system.
AB - OBJECTIVE: This study investigated the effect of betel nut chewing on the
otolithic reflex system. METHODS: Seventeen healthy volunteers without any
experience of chewing betel nut (fresh chewers) and 17 habitual chewers underwent
vital sign measurements, ocular vestibular-evoked myogenic potential (oVEMP), and
cervical VEMP (cVEMP) tests prior to the study. Each subject then chewed two
pieces of betel nut for 2min (dosing). The same paradigm was repeated
immediately, 10min, and 20min after chewing. On a different day, 10 fresh chewers
masticated chewing gum as control. RESULTS: Fresh chewers exhibited significantly
decreased response rates of oVEMP (53%) and cVEMP (71%) after dosing compared
with those from the predosing period. These abnormal VEMPs returned to normal
20min after dosing. In contrast, 100% response rates of oVEMP and cVEMP were
observed before and after masticating chewing gum. In habitual chewers, the
response rates of oVEMP and cVEMP were 32% and 29%, respectively, 20min after
dosing. CONCLUSION: Chewing betel nuts induced a transient loss of the otolithic
reflexes in fresh chewers but may cause permanent loss in habitual chewers.
SIGNIFICANCE: Chewing betel nuts can cause a loss of otholitic reflex function.
This creates a risk for disturbed balance and malfunction, for instance, during
driving.
PMID- 27889629
TI - Terminal functionalized thiourea-containing dipeptides as multidrug-resistance
reversers that target 20S proteasome and cell proliferation.
AB - A series of inhibitors of 20S proteasome based on terminal functionalized
dipeptide derivatives containing the thiourea moiety were synthesized and
evaluated for inhibition of 20S proteasome and the effects of multidrug
resistance reversers. These compounds exhibited significant selectivity to the
beta5-subunit of the human 20S proteasome with IC50 values at submicromolar
concentrations. A docking study of the most active compound 6i revealed key
interactions between 6i and the active site of the 20S proteasome in which the
thiourea moiety and a nitro group were important for improving activity. In
particular, compound 6i appeared to be the most potent compound against the NCI
H460 cell line, and displayed similar efficiency in drug-sensitive versus drug
resistant cancer cell lines, at least partly, by inhibition of the activity of
20S proteasome and induce apoptosis. In addition, 6i-induced apoptosis was
significantly facilitated in NCI-H460/DOX cells that had been pretreated with
inhibitors of P-gp. Mechanistically, compound 6i might trigger apoptotic
signalling pathway. Thus, we conclude that dipeptide derivatives containing the
thiourea moiety may be the potential inhibitors of proteasome with the ability to
reverse multidrug resistance.
PMID- 27889630
TI - 1,2,3-Triazolyl ester of Ketorolac: A "Click Chemistry"-based highly potent PAK1
blocking cancer-killer.
AB - An old anti-inflammatory/analgesic drug called Toradol is a racemic form of
Ketorolac (50% R-form and 50% S-form) that blocks the oncogenic RAC-PAK1-COX-2
(cyclooxygenase-2) signaling, through the direct inhibition of RAC by the R-form
and of COX-2 by the S-form, eventually down-regulating the production of
prostaglandins. However, due to its COOH moiety which is clearly repulsive to
negatively-charged phospholipid-based plasma membrane, its cell-permeability is
rather poor (the IC50 against the growth of human cancer cells such as A549 is
around 13 MUM). In an attempt to boost its anti-cancer activity, hopefully by
increasing its cell-permeability through abolishing the negative charge, yet
keeping its water-solubility, here we synthesized a 1,2,3-triazolyl ester of
Toradol through "Click Chemistry". The resultant water-soluble "azo" derivative
called "15K" was found to be over 500 times more potent than Toradol with the
IC50 around 24 nM against the PAK1-dependent growth of A549 cancer cells,
inactivating PAK1 in cell culture with the apparent IC50 around 65 nM, and
inhibiting COX-2 in vitro with the IC50 around 6 nM. Furthermore, the Click
Chemistry boosts the anti-cancer activity of Ketorolac by 5000 times against the
PAK1-independent growth of B16F10 melanoma cells. Using a multi-drug-resistant
(MDR) cancer cell line (EMT6), we found that the esterization of Ketorolac boosts
its cell-permeability by at least 10 folds. Thus, the Click Chemistry
dramatically boosts the anti-cancer activity of Ketorolac, at least in three
ways: increasing its cell-permeability, the anti-PAK1 activity of R-form and anti
COX-2 activity of S-form. The resultant "15K" is so far among the most potent
PAK1-blockers, and therefore would be potentially useful for the therapy of many
different PAK1-dependent diseases/disorders such as cancers.
PMID- 27889631
TI - Design, synthesis and cytotoxicity studies of novel pyrazolo[1, 5-a]pyridine
derivatives.
AB - Copper-mediated synthesis of various pyrazolo[1, 5-a]pyridine-3-carboxylates has
been described. The biological activities of these molecules have been evaluated
against various human cancer cell lines A549 (Lung adenocarcinoma cell line), MCF
7 (Breast carcinoma cell line), HCT-116 (Colon cancer cell line), and PC-3
(Prostate cancer cell line) through SRB assay. Compound 247 led to accumulation
MCF-7 cells in G1-phase and revealed its important role in mitotic cell cycle
progression.
PMID- 27889632
TI - Synthesis, biological evaluation and in silico molecular modeling of pyrrolyl
benzohydrazide derivatives as enoyl ACP reductase inhibitors.
AB - In efforts to develop lead anti-TB compounds, a novel series of 19 pyrrolyl
benzohydrazides were synthesized and screened to target enoyl-ACP reductase
enzyme, which is one of the important enzymes involved in type II fatty acid
biosynthetic pathway of M. tuberculosis. Pharmacophores were constructed using
GALAHAD to generate alignment of data sets and calculated by Pareto ranking. The
pharmacophore features were then filtered by Surflex-dock study using enoyl ACP
reductase from M. tuberculosis. Compounds 5b and 5d showed H-bonding interactions
with Tyr158, Thr196 and co-factor NAD+ that fitted well within the binding pocket
of InhA. All the synthesized compounds were screened for preliminary
antibacterial activities against Gram-positive S. aureus and Gram-negative E.
coli and M. tuberculosis H37Rv to evaluate their antitubercular activities. Some
representative compounds were further tested for mammalian cell toxicity using
human lung cancer cell-line (A549) that was found to be nontoxic. These compounds
exhibited moderate inhibition activities against InhA.
PMID- 27889633
TI - Effects of state contraceptive insurance mandates.
AB - Using U.S. Natality data for 1996 through 2009 and an event analysis
specification, we investigate the dynamics of the effects of state insurance
contraceptive mandates on births and measures of parental investment: prenatal
visits, non-marital childbearing, and risky behaviors during pregnancy. We
analyze outcomes separately by age, race, and ethnicity. Among young Hispanic
women, we find a 4% decline in the birth rate. There is evidence of a decrease in
births to single mothers, consistent with increased wantedness. We also find
evidence of selection into motherhood, which could explain the lack of a
significant effect on birth outcomes.
PMID- 27889634
TI - Obesity: A major problem for Spanish minors.
PMID- 27889635
TI - Plasmodesmata enable multicellularity: new insights into their evolution,
biogenesis, and functions in development and immunity.
AB - Plant cells are connected by plasmodesmata (PD), cytosolic bridges that allow
molecules to freely move across the cell wall. Recently resolved relationships
among land plants and their algal relatives reveal that land plants evolved PD
independently from algae. Proteomic and genetic screens illuminate new dimensions
of the structural and regulatory pathways that control PD biogenesis. Biochemical
studies demonstrate that immunological signals induce systemic defenses by moving
from diseased cells through PD; subsequently, PD transport is restricted to
quarantine diseased cells. Here, we review our expanding knowledge of the roles
of PD in plant development, physiology, and immunity.
PMID- 27889636
TI - Locating light and heavy atomic column positions with picometer precision using
ISTEM.
AB - Recently, imaging scanning transmission electron microscopy (ISTEM) has been
proposed as a promising new technique combining the advantages of conventional
TEM (CTEM) and STEM (Rosenauer et al., 2014 [1]). The ability to visualize light
and heavy elements together makes it a particularly interesting new, spatially
incoherent imaging mode. Here, we evaluate this technique in term of precision
with which atomic column locations can be measured. By using statistical
parameter estimation theory, we will show that these locations can be accurately
measured with a precision in the picometer range. Furthermore, a quantitative
comparison is made with HAADF STEM imaging to investigate the advantages of
ISTEM.
PMID- 27889637
TI - Cell membrane modulation as adjuvant in cancer therapy.
AB - Cancer is a complex disease involving numerous biological processes, which can
exist in parallel, can be complementary, or are engaged when needed and as such
can replace each other. This redundancy in possibilities cancer cells have, are
fundamental to failure of therapy. However, intrinsic features of tumor cells and
tumors as a whole provide also opportunities for therapy. Here we discuss the
unique and specific makeup and arrangement of cell membranes of tumor cells and
how these may help treatment. Interestingly, knowledge on cell membranes and
associated structures is present already for decades, while application of
membrane modification and manipulation as part of cancer therapy is lagging.
Recent developments of scientific tools concerning lipids and lipid metabolism,
opened new and previously unknown aspects of tumor cells and indicate possible
differences in lipid composition and membrane function of tumor cells compared to
healthy cells. This field, coined Lipidomics, demonstrates the importance of
lipid components in cell membrane in several illnesses. Important alterations in
cancer, and specially in resistant cancer cells compared to normal cells, opened
the door to new therapeutic strategies. Moreover, the ability to modulate
membrane components and/or properties has become a reality. Here, developments in
cancer-related Lipidomics and strategies to interfere specifically with cancer
cell membranes and how these affect cancer treatment are discussed. We
hypothesize that combination of lipid or membrane targeted strategies with
available care to improve chemotherapy, radiotherapy and immunotherapy will bring
the much needed change in treatment in the years to come.
PMID- 27889638
TI - Identification of caleosin and oleosin in oil bodies of pine pollen.
AB - Unique proteins including steroleosin, caleosin, oleosin-L, and oleosin-G have
been identified in seed oil bodies of pine (Pinus massoniana). In this study,
mature pollen grains with wing-like bladders were collected from pine (Pinus
elliottii). Ultrastructural studies showed that oil bodies were present in pollen
grains, but not the attached bladders, and the presence of oil bodies was further
confirmed by fluorescent staining with BODIPY 493/503. Stable oil bodies were
successfully purified from pine pollen grains, and analyzed to be mainly composed
of triacylglycerols. Putative oleosin and caleosin in pine pollen oil bodies were
detected by immunoassaying with antibodies against sesame seed caleosin and lily
pollen oleosin. Complete cDNA fragments encoding these two pollen oil-body
proteins were obtained by PCR cloning. Sequence alignment showed that pine pollen
caleosin (27 kDa) was highly homologous to pine seed caleosin (28 kDa) except for
the lack of an appendix of eight residues at the C-terminus in accord with the 1
kDa difference in their molecular masses. Pine pollen oleosin (15 kDa) was highly
homologous to pine seed oleosin-G (14 kDa) except for an insertion of eight
residues at the N-terminus in accord with the 1 kDa difference in their molecular
masses.
PMID- 27889639
TI - Sulforaphane is a Nrf2-independent inhibitor of mitochondrial fission.
AB - The KEAP1-Nrf2-ARE antioxidant system is a principal means by which cells respond
to oxidative and xenobiotic stresses. Sulforaphane (SFN), an electrophilic
isothiocyanate derived from cruciferous vegetables, activates the KEAP1-Nrf2-ARE
pathway and has become a molecule-of-interest in the treatment of diseases in
which chronic oxidative stress plays a major etiological role. We demonstrate
here that the mitochondria of cultured, human retinal pigment epithelial (RPE-1)
cells treated with SFN undergo hyperfusion that is independent of both Nrf2 and
its cytoplasmic inhibitor KEAP1. Mitochondrial fusion has been reported to be
cytoprotective by inhibiting pore formation in mitochondria during apoptosis, and
consistent with this, we show Nrf2-independent, cytoprotection of SFN-treated
cells exposed to the apoptosis-inducer, staurosporine. Mechanistically, SFN
mitigates the recruitment and/or retention of the soluble fission factor Drp1 to
mitochondria and to peroxisomes but does not affect overall Drp1 abundance. These
data demonstrate that the beneficial properties of SFN extend beyond activation
of the KEAP1-Nrf2-ARE system and warrant further interrogation given the current
use of this agent in multiple clinical trials.
PMID- 27889640
TI - Inhibition of autophagy with bafilomycin and chloroquine decreases mitochondrial
quality and bioenergetic function in primary neurons.
AB - Autophagy is an important cell recycling program responsible for the clearance of
damaged or long-lived proteins and organelles. Pharmacological modulators of this
pathway have been extensively utilized in a wide range of basic research and pre
clinical studies. Bafilomycin A1 and chloroquine are commonly used compounds that
inhibit autophagy by targeting the lysosomes but through distinct mechanisms.
Since it is now clear that mitochondrial quality control, particularly in
neurons, is dependent on autophagy, it is important to determine whether these
compounds modify cellular bioenergetics. To address this, we cultured primary rat
cortical neurons from E18 embryos and used the Seahorse XF96 analyzer and a
targeted metabolomics approach to measure the effects of bafilomycin A1 and
chloroquine on bioenergetics and metabolism. We found that both bafilomycin and
chloroquine could significantly increase the autophagosome marker LC3-II and
inhibit key parameters of mitochondrial function, and increase mtDNA damage.
Furthermore, we observed significant alterations in TCA cycle intermediates,
particularly those downstream of citrate synthase and those linked to
glutaminolysis. Taken together, these data demonstrate a significant impact of
bafilomycin and chloroquine on cellular bioenergetics and metabolism consistent
with decreased mitochondrial quality associated with inhibition of autophagy.
PMID- 27889641
TI - Increased mitochondrial superoxide in the brain, but not periphery, sensitizes
mice to angiotensin II-mediated hypertension.
AB - Angiotensin II (AngII) elicits the production of superoxide (O2*-) from
mitochondria in numerous cell types within peripheral organs and in the brain
suggesting a role for mitochondrial-produced O2*- in the pathogenesis of
hypertension. However, it remains unclear if mitochondrial O2*- is causal in the
development of AngII-induced hypertension, or if mitochondrial O2*- in the
absence of elevated AngII is sufficient to increase blood pressure. Further, the
tissue specific (i.e. central versus peripheral) redox regulation of AngII
hypertension remains elusive. Herein, we hypothesized that increased
mitochondrial O2*- in the absence of pro-hypertensive stimuli, such as AngII,
elevates baseline systemic mean arterial pressure (MAP), and that AngII-mediated
hypertension is exacerbated in animals with increased mitochondrial O2*- levels.
To address this hypothesis, we generated novel inducible knock-down mouse models
of manganese superoxide dismutase (MnSOD), the O2*- scavenging antioxidant enzyme
specifically localized to mitochondria, targeted to either the brain subfornical
organ (SFO) or peripheral tissues. Contrary to our hypothesis, knock-down of
MnSOD either in the SFO or in peripheral tissues was not sufficient to alter
baseline systemic MAP. Interestingly, when mice were challenged with chronic,
peripheral infusion of AngII, only the MnSOD knock-down confined to the SFO, and
not the periphery, demonstrated an increased sensitization and potentiated
hypertension. In complementary experiments, over-expressing MnSOD in the SFO
significantly decreased blood pressure in response to chronic AngII. Overall,
these studies indicate that mitochondrial O2*- in the brain SFO works in concert
with other AngII-dependent factors to drive an increase in MAP, as elevated
mitochondrial O2*- alone, either in the SFO or peripheral tissues, failed to
raise baseline blood pressure.
PMID- 27889643
TI - Multimodality Imaging Identifies Distinct Metabolic Profiles In Vitro and In
Vivo.
AB - The study of alterations of tumor metabolism should allow the identification of
new targets for innovative anticancer strategies. Metabolic alterations are
generally established in vitro, and conclusions are often extrapolated to the in
vivo situation without further tumor metabolic phenotyping. To highlight the key
role of microenvironment on tumor metabolism, we studied the response of
glycolytic and oxidative tumor models to metabolic modulations in vitro and in
vivo. MDA-MB-231 and SiHa tumor models, characterized in vitro as glycolytic and
oxidative, respectively, were studied. Theoretically, when passing from a hypoxic
state to an oxygenated state, a Warburg phenotype should conserve a glycolytic
metabolism, whereas an oxidative phenotype should switch from glycolytic to
oxidative metabolism (Pasteur effect). This challenge was applied in vitro and in
vivo to evaluate the impact of different oxic conditions on glucose metabolism.
18F-fluorodeoxyglucose uptake, lactate production, tumor oxygenation, and
metabolic fluxes were monitored in vivo using positron emission tomography,
microdialysis, electron paramagnetic resonance imaging, and 13C-hyperpolarizated
magnetic resonance spectroscopy, respectively. In vitro, MDA-MB-231 cells were
glycolytic under both hypoxic and oxygenated conditions, whereas SiHa cells
underwent a metabolic shift after reoxygenation. On the contrary, in vivo, the
increase in tumor oxygenation (induced by carbogen challenge) led to a similar
metabolic shift in glucose metabolism in both tumor models. The major discordance
in metabolic patterns observed in vitro and in vivo highlights that any
extrapolation of in vitro metabolic profiling to the in vivo situation should be
taken cautiously and that metabolic phenotyping using molecular imaging is
mandatory in vivo.
PMID- 27889644
TI - Delineating the Role of betaIV-Tubulins in Pancreatic Cancer: betaIVb-Tubulin
Inhibition Sensitizes Pancreatic Cancer Cells to Vinca Alkaloids.
AB - Pancreatic cancer (PC) is a lethal disease which is characterized by
chemoresistance. Components of the cell cytoskeleton are therapeutic targets in
cancer. betaIV-tubulin is one such component that has two isotypes-betaIVa and
betaIVb. betaIVa and betaIVb isotypes only differ in two amino acids at their C
terminus. Studies have implicated betaIVa-tubulin or betaIVb-tubulin expression
with chemoresistance in prostate, breast, ovarian and lung cancer. However, no
studies have examined the role of betaIV-tubulin in PC or attempted to identify
isotype specific roles in regulating cancer cell growth and chemosensitivity. We
aimed to determine the role of betaIVa- or betaIVb-tubulin on PC growth and
chemosensitivity. PC cells (MiaPaCa-2, HPAF-II, AsPC1) were treated with siRNA
(control, betaIVa-tubulin or betaIVb-tubulin). The ability of PC cells to form
colonies in the presence or absence of chemotherapy was measured by clonogenic
assays. Inhibition of betaIVa-tubulin in PC cells had no effect chemosensitivity.
In contrast, inhibition of betaIVb-tubulin in PC cells sensitized to vinca
alkaloids (Vincristine, Vinorelbine and Vinblastine), which was accompanied by
increased apoptosis and enhanced cell cycle arrest. We show for the first time
that betaIVb-tubulin, but not betaIVa-tubulin, plays a role in regulating vinca
alkaloid chemosensitivity in PC cells. The results from this study suggest
betaIVb-tubulin may be a novel therapeutic target and predictor of vinca alkaloid
sensitivity for PC and warrants further investigation.
PMID- 27889642
TI - Redox control of senescence and age-related disease.
AB - The signaling networks that drive the aging process, associated functional
deterioration, and pathologies has captured the scientific community's attention
for decades. While many theories exist to explain the aging process, the
production of reactive oxygen species (ROS) provides a signaling link between
engagement of cellular senescence and several age-associated pathologies.
Cellular senescence has evolved to restrict tumor progression but the
accompanying senescence-associated secretory phenotype (SASP) promotes pathogenic
pathways. Here, we review known biological theories of aging and how ROS
mechanistically control senescence and the aging process. We also describe the
redox-regulated signaling networks controlling the SASP and its important role in
driving age-related diseases. Finally, we discuss progress in designing
therapeutic strategies that manipulate the cellular redox environment to restrict
age-associated pathology.
PMID- 27889645
TI - Suppression of Tumor Growth and Muscle Wasting in a Transgenic Mouse Model of
Pancreatic Cancer by the Novel Histone Deacetylase Inhibitor AR-42.
AB - PURPOSE: Pancreatic ductal adenocarcinoma (PDAC) is the third leading cause of
cancer death in the United States. This study was aimed at evaluating the
efficacy of AR-42 (formerly OSU-HDAC42), a novel histone deacetylase (HDAC)
inhibitor currently in clinical trials, in suppressing tumor growth and/or cancer
induced muscle wasting in murine models of PDAC. EXPERIMENTAL DESIGN: The in
vitro antiproliferative activity of AR-42 was evaluated in six human pancreatic
cancer cell lines (AsPC-1, COLO-357, PANC-1, MiaPaCa-2, BxPC-3, SW1990). AsPC-1
subcutaneous xenograft and transgenic KPfl/flC (LSL-KrasG12D;Trp53flox/flox;Pdx-1
Cre) mouse models of pancreatic cancer were used to evaluate the in vivo efficacy
of AR-42 in suppressing tumor growth and/or muscle wasting. RESULTS: Growth
suppression in AR-42-treated cells was observed in all six human pancreatic
cancer cell lines with dose-dependent modulation of proliferation and apoptotic
markers, which was associated with the hallmark features of HDAC inhibition,
including p21 upregulation and histone H3 hyperacetylation. Oral administration
of AR-42 at 50 mg/kg every other day resulted in suppression of tumor burden in
the AsPC-1 xenograft and KPfl/flC models by 78% and 55%, respectively, at the end
of treatment. Tumor suppression was associated with HDAC inhibition, increased
apoptosis, and inhibition of proliferation. Additionally, AR-42 as a single agent
preserved muscle size and increased grip strength in KPfl/flC mice. Finally, the
combination of AR-42 and gemcitabine in transgenic mice demonstrated a
significant increase in survival than either agent alone. CONCLUSIONS: These
results suggest that AR-42 represents a therapeutically promising strategy for
the treatment of pancreatic cancer.
PMID- 27889648
TI - PB2 substitutions V598T/I increase the virulence of H7N9 influenza A virus in
mammals.
AB - PB2 is one of the subunits of the influenza A virus (IAV) polymerase complex. By
bioinformatics analysis we identified PB2 substitutions at positions 389 and 598
among IAV isolates from humans, which might associate with viral pathogenicity.
To evaluate the biological significance of these substitutions, PB2-K389R and
V598T/I mutant viruses of avian H7N9 IAVs were generated by reverse genetics.
Compared to the wild type, the mutant viruses displayed an enhanced growth
capacity in human and mammalian cells. Meanwhile, they presented increased
transcription and replication by producing higher levels of viral mRNA, cRNA and
vRNA. Minireplicon assays indicated that the polymerase activity was elevated by
these substitutions. Notably, the PB2-V598T/I substitutions substantially
increased virus replication and virulence in mice. Together, we demonstrated that
the substitutions PB2-V598T/I contributed to higher IAV replication and virulence
in mammals, which added to the knowledge of IAV virulence determinants and
benefited the surveillance of IAVs.
PMID- 27889646
TI - Mirvetuximab Soravtansine (IMGN853), a Folate Receptor Alpha-Targeting Antibody
Drug Conjugate, Potentiates the Activity of Standard of Care Therapeutics in
Ovarian Cancer Models.
AB - Elevated folate receptor alpha (FRalpha) expression is characteristic of
epithelial ovarian cancer (EOC), thus establishing this receptor as a candidate
target for the development of novel therapeutics to treat this disease.
Mirvetuximab soravtansine (IMGN853) is an antibody-drug conjugate (ADC) that
targets FRalpha for tumor-directed delivery of the maytansinoid DM4, a potent
agent that induces mitotic arrest by suppressing microtubule dynamics. Here,
combinations of IMGN853 with approved therapeutics were evaluated in preclinical
models of EOC. Combinations of IMGN853 with carboplatin or doxorubicin resulted
in synergistic antiproliferative effects in the IGROV-1 ovarian cancer cell line
in vitro. IMGN853 potentiated the cytotoxic activity of carboplatin via growth
arrest and augmented DNA damage; cell cycle perturbations were also observed in
cells treated with the IMGN853/doxorubicin combination. These benefits translated
into improved antitumor activity in patient-derived xenograft models in vivo in
both the platinum-sensitive (IMGN853/carboplatin) and platinum-resistant
(IMGN853/pegylated liposomal doxorubicin) settings. IMGN853 co-treatment also
improved the in vivo efficacy of bevacizumab in platinum-resistant EOC models,
with combination regimens causing significant regressions and complete responses
in the majority of tumor-bearing mice. Histological analysis of OV-90 ovarian
xenograft tumors revealed that concurrent administration of IMGN853 and
bevacizumab caused rapid disruption of tumor microvasculature and extensive
necrosis, underscoring the superior bioactivity profile of the combination
regimen. Overall, these demonstrations of combinatorial benefit conferred by the
addition of the first FRalpha-targeting ADC to established therapies provide a
compelling framework for the potential application of IMGN853 in the treatment of
patients with advanced ovarian cancer.
PMID- 27889647
TI - Activation of WNT/beta-Catenin Signaling Enhances Pancreatic Cancer Development
and the Malignant Potential Via Up-regulation of Cyr61.
AB - Pancreatic ductal adenocarcinoma (PDAC), a poor prognostic cancer, commonly
develops following activating mutations in the KRAS oncogene. Activation of WNT
signaling is also commonly observed in PDAC. To ascertain the impact of postnatal
activation of WNT-stimulated signaling pathways in PDAC development, we combined
the Elastase-tva-based RCAS-TVA pancreatic cancer model with the established LSL
KrasG12D, Ptf1a-cre model. Delivery of RCAS viruses encoding beta-cateninS37A and
WNT1 stimulated the progression of premalignant pancreatic intraepithelial
neoplasias (PanIN) and PDAC development. Moreover, mice injected with RCAS-beta
cateninS37A or RCAS-Wnt1 had reduced survival relative to RCAS-GFP-injected
controls (P<.05). Ectopic expression of active beta-catenin, or its DNA-binding
partner TCF4, enhanced transformation associated phenotypes in PDAC cells. In
contrast, these phenotypes were significantly impaired by the introduction of
ICAT, an inhibitor of the beta-catenin/TCF4 interaction. By gene expression
profiling, we identified Cyr61 as a target molecule of the WNT/beta-catenin
signaling pathway in pancreatic cancer cells. Nuclear beta-catenin and CYR61
expression were predominantly detected in moderately to poorly differentiated
murine and human PDAC. Indeed, nuclear beta-catenin- and CYR61-positive PDAC
patients demonstrated poor prognosis (P<.01). Knockdown of CYR61 in a beta
catenin-activated pancreatic cancer cell line reduced soft agar, migration and
invasion activity. Together, these data suggest that the WNT/beta-catenin
signaling pathway enhances pancreatic cancer development and malignancy in part
via up-regulation of CYR61.
PMID- 27889649
TI - Heavy metal burdens of public primary school children related to playground soils
and classroom dusts in Ibadan North-West local government area, Nigeria.
AB - Information about heavy metal burden of children in Nigeria related to playground
soils and classroom dusts is lacking. Playground soil, classroom dust, blood and
spot urine samples (n=253) were collected from 6 urban and 2 semi-rural public
schools in Ibadan North-West, Nigeria. Samples were analysed for Pb, Cu, Zn, Fe
and Mn. Mean blood Pb levels in urban area (male, 41.66+/-8.78MUg/dl vs. female,
40.64+/-5.46MUg/dl) were twice as high as those in semi-rural area (male, 19.71+/
3.73MUg/dl vs. female, 20.65+/-2.26MUg/dl). Concentrations of Pb, Cu, Zn, and Fe
in soil and dust samples in the urban schools were between 2- to 4-fold greater
than that of semi-rural schools. No correlation was observed between blood and
dust metals. A positive correlation (r=0.168, p=0.008) was observed between blood
Pb and playground soil Pb. Pb burden in the children might be from their schools'
playgrounds and other yet unidentified sources.
PMID- 27889650
TI - Large dose hyperpolarized water with dissolution-DNP at high magnetic field.
AB - We demonstrate a method for the preparation of hyperpolarized water by
dissolution Dynamic Nuclear Polarization at high magnetic field. Protons were
polarized at 6.7T and 1.1K to >70% with frequency modulated microwave irradiation
at 188GHz. 97.2+/-0.7% of the radical was extracted from the sample in the
dissolution in a two-phase system. 16+/-1mL of 5.0M 1H in D2O with a polarization
of 13.0+/-0.9% in the liquid state was obtained, corresponding to an enhancement
factor of 4000+/-300 compared to the thermal equilibrium at 9.4T and 293K. A
longitudinal relaxation time constant of 16+/-1s was measured. The sample was
polarized and dissolved in a fluid path compatible with clinical polarizers. The
volume of hyperpolarized water produced by this method enables angiography and
perfusion measurements in large animals, as well as NMR experiments for studies
of e.g. proton exchange and polarization transfer to other nuclei.
PMID- 27889651
TI - Effect of Rabi splitting on the low-temperature electron paramagnetic resonance
signal of anthracite.
AB - Specific distortions of the EPR signal of bulk anthracite are observed at low
temperatures. They are accompanied by variations in the microwave oscillator
frequency and are explained by the manifestation of the Rabi splitting due to the
strong coupling between electron spins and the cavity, combined with the use of
an automatic frequency-control (AFC) system. EPR signals are recorded at
negligible saturation in the temperature range of 4-300K with use of the AFC
system to keep the oscillator frequency locked to the resonant frequency of the
TM110 cylinder cavity loaded with the sample. For the sample with a mass of 3.6mg
the line distortions are observed below 50K and increase with temperature
lowering. The oscillator frequency variations are used to estimate the coupling
strength as well as the number of spins in the sample. It is shown that the spin
cavity coupling strength is inversely proportional to temperature and can be used
for the absolute determination of the number of spins in a sample. Our results
indicate that at low temperatures even 1016 spins of the anthracite sample, with
a mass of about 0.5mg, can distort the EPR line.
PMID- 27889652
TI - Cloud GPU-based simulations for SQUAREMR.
AB - Quantitative Magnetic Resonance Imaging (MRI) is a research tool, used more and
more in clinical practice, as it provides objective information with respect to
the tissues being imaged. Pixel-wise T1 quantification (T1 mapping) of the
myocardium is one such application with diagnostic significance. A number of
mapping sequences have been developed for myocardial T1 mapping with a wide range
in terms of measurement accuracy and precision. Furthermore, measurement results
obtained with these pulse sequences are affected by errors introduced by the
particular acquisition parameters used. SQUAREMR is a new method which has the
potential of improving the accuracy of these mapping sequences through the use of
massively parallel simulations on Graphical Processing Units (GPUs) by taking
into account different acquisition parameter sets. This method has been shown to
be effective in myocardial T1 mapping; however, execution times may exceed 30min
which is prohibitively long for clinical applications. The purpose of this study
was to accelerate the construction of SQUAREMR's multi-parametric database to
more clinically acceptable levels. The aim of this study was to develop a cloud
based cluster in order to distribute the computational load to several GPU
enabled nodes and accelerate SQUAREMR. This would accommodate high demands for
computational resources without the need for major upfront equipment investment.
Moreover, the parameter space explored by the simulations was optimized in order
to reduce the computational load without compromising the T1 estimates compared
to a non-optimized parameter space approach. A cloud-based cluster with 16 nodes
resulted in a speedup of up to 13.5 times compared to a single-node execution.
Finally, the optimized parameter set approach allowed for an execution time of
28s using the 16-node cluster, without compromising the T1 estimates by more than
10ms. The developed cloud-based cluster and optimization of the parameter set
reduced the execution time of the simulations involved in constructing the
SQUAREMR multi-parametric database thus bringing SQUAREMR's applicability within
time frames that would be likely acceptable in the clinic.
PMID- 27889653
TI - Remote detected Low-Field MRI using an optically pumped atomic magnetometer
combined with a liquid cooled pre-polarization coil.
AB - Superconducting quantum interference devices are widely used in basic and
clinical biomagnetic measurements such as low-field magnetic resonance imaging
and magnetoencephalography primarily because they exhibit high sensitivity at low
frequencies and have a wide bandwidth. The main disadvantage of these devices is
that they require cryogenic coolants, which are rather expensive and not easily
available. Meanwhile, with the advances in laser technology in the past few
years, optically pumped atomic magnetometers (OPAMs) have been shown to be a good
alternative as they can have adequate noise levels and are several millimeters in
size, which makes them significantly easier to use. In this study, we used an
OPAM module operating at a Larmor frequency of 5kHz to acquire NMR and MRI
signals. This study presents these initial results as well as our initial
attempts at imaging using this OPAM module. In addition, we have designed a
liquid-cooled pre-polarizing coil that reduces the measurement time
significantly.
PMID- 27889654
TI - Development of a sugar-binding residue prediction system from protein sequences
using support vector machine.
AB - Several methods have been proposed for protein-sugar binding site prediction
using machine learning algorithms. However, they are not effective to learn
various properties of binding site residues caused by various interactions
between proteins and sugars. In this study, we classified sugars into acidic and
nonacidic sugars and showed that their binding sites have different amino acid
occurrence frequencies. By using this result, we developed sugar-binding residue
predictors dedicated to the two classes of sugars: an acid sugar binding
predictor and a nonacidic sugar binding predictor. We also developed a
combination predictor which combines the results of the two predictors. We showed
that when a sugar is known to be an acidic sugar, the acidic sugar binding
predictor achieves the best performance, and showed that when a sugar is known to
be a nonacidic sugar or is not known to be either of the two classes, the
combination predictor achieves the best performance. Our method uses only amino
acid sequences for prediction. Support vector machine was used as a machine
learning algorithm and the position-specific scoring matrix created by the
position-specific iterative basic local alignment search tool was used as the
feature vector. We evaluated the performance of the predictors using five-fold
cross-validation. We have launched our system, as an open source freeware tool on
the GitHub repository (https://doi.org/10.5281/zenodo.61513).
PMID- 27889655
TI - A Prediction Model Based on Biomarkers and Clinical Characteristics for Detection
of Lung Cancer in Pulmonary Nodules.
AB - Lung cancer early detection by low-dose computed tomography (LDCT) can reduce the
mortality. However, LDCT increases the number of indeterminate pulmonary nodules
(PNs), whereas 95% of the PNs are ultimately false positives. Modalities for
specifically distinguishing between malignant and benign PNs are urgently needed.
We previously identified a panel of peripheral blood mononucleated cell (PBMC)
miRNA (miRs-19b-3p and -29b-3p) biomarkers for lung cancer. This study aimed to
evaluate efficacy of integrating biomarkers and clinical and radiological
characteristics of smokers for differentiating malignant from benign PNs. We
analyzed expression of 2 miRNAs (miRs-19b-3p and -29b-3p) in PBMCs of a training
set of 137 individuals with PNs. We used multivariate logistic regression
analysis to develop a prediction model based on the biomarkers, radiographic
features of PNs, and clinical characteristics of smokers for identifying
malignant PNs. The performance of the prediction model was validated in a testing
set of 111 subjects with PNs. A prediction model comprising the two biomarkers,
spiculation of PNs and smoking pack-year, was developed that had 0.91 area under
the curve of the receiver operating characteristic for distinguishing malignant
from benign PNs. The prediction model yielded higher sensitivity (80.3% vs 72.6%)
and specificity (89.4% vs 81.9%) compared with the biomarkers used alone (all
P<.05). The performance of the prediction model for malignant PNs was confirmed
in the validation set. We have for the first time demonstrated that the
integration of biomarkers and clinical and radiological characteristics could
efficiently identify lung cancer among indeterminate PNs.
PMID- 27889656
TI - Safety effects of the London cycle superhighways on cycle collisions.
AB - This paper evaluates the effects of the London Cycle Superhighways (CS) on cycle
collisions. A total of 45 CS segments and 375 control segments are observed for a
period of 8 years in London. Variables such as road characteristics, crash
history and socio-economic information are included in the data set. Traffic
characteristics including traffic volume, cycle volume and traffic speed are
obtained from Department for Transport. We first estimate the safety effects on
the CS routes using Empirical Bayes methods. Then propensity score matching
methods are also applied for comparison. The introduction of cycle superhighways
caused cycling traffic volumes to increase dramatically along CS routes with no
significant impacts on collision rates. Our models find that the increase in
traffic was associated with a rise in annual total cycle collisions of around 2.6
per km (38% in percentage). However, when we re-estimate the effects based on
cycle collision rates rather than levels, our results also show that the CS
routes are not more dangerous or safer than the control roads. Among the four CS
routes, CS3 performs the best in protecting cyclists with a large proportion of
segregated lanes whilst the cyclists have to share the lanes with motorists on
other routes. It is recommended that consistent safety designs should be applied
on all CS routes for a safer cycling environment.
PMID- 27889657
TI - Evaluation of fertilizer-drawn forward osmosis for sustainable agriculture and
water reuse in arid regions.
AB - The present study focused on the performance of the FDFO process to achieve
simultaneous water reuse from wastewater and production of nutrient solution for
hydroponic application. Bio-methane potential (BMP) measurements were firstly
carried out to determine the effect of osmotic concentration of wastewater
achieved in the FDFO process on the anaerobic activity. Results showed that 95%
water recovery from the FDFO process is the optimum value for further AnMBR
treatment. Nine different fertilizers were then tested based on their FO
performance (i.e. water flux, water recovery and reverse salt flux) and final
nutrient concentration. From this initial screening, ammonium phosphate monobasic
(MAP), ammonium sulfate (SOA) and mono-potassium phosphate were selected for long
term experiments to investigate the maximum water recovery achievable. After the
experiments, hydraulic membrane cleaning was performed to assess the water flux
recovery. SOA showed the highest water recovery rate, up to 76% while KH2PO4
showed the highest water flux recovery, up to 75% and finally MAP showed the
lowest final nutrient concentration. However, substantial dilution was still
necessary to comply with the standards for fertigation even if the recovery rate
was increased.
PMID- 27889658
TI - Chlorella vulgaris cultivation in sludge extracts from 2,4,6-TCP wastewater
treatment for toxicity removal and utilization.
AB - Chlorella vulgaris was cultivated in different proportions of activated sludge
extracts, which was from the treatment of the synthetic wastewater containing
2,4,6-trichlorophenol (2,4,6-TCP). The nutrients, total nitrogen (TN) and total
phosphorus (TP), were removed over 45% and 90%, respectively. The maximum
reduction amount of ecotoxicity and total organic carbon (TOC) occurred in the
100% sludge group on the 8th day (68%; 86.2 mg L-1). The variations of Excitation
emission matrix spectra (EEMs) and TOC indicated that extracellular organic
matters (EOM) produced by algae led to TOC increase in the medium. The cell
density was close to each other for groups with sludge extract proportion below
50%; sludge extracts (below 75% addition) had a stimulating effect on the
accumulation of chlorophyll-a in per unit algal cell. Superoxide dismutase (SOD)
variation demonstrated that C. vulgaris response positively to sludge extracts
addition. Lipid content in C. vulgaris was up to its maximum value on the 8th
day. Considering the performance on nutrients removal, toxicity reduction and
algal growth, the optimal cultivation period for C. vulgaris before harvesting
was around 8 days with sludge extracts proportion below 50%.
PMID- 27889659
TI - A national riparian restoration programme in New Zealand: Is it value for money?
AB - National scale initiatives are being attempted in New Zealand (NZ) to meet
important environmental goals following land-use intensification over recent
decades. Riparian restoration to filter agricultural spillover effects is
currently the most widely practised mitigation measure but few studies have
investigated the cumulative value of these practices at a national level. We use
an applied economic land use model the benefits (GHG emissions, N leaching, P
loss, sedimentation and biodiversity gain) and relevant costs (fencing,
alternative stock water supplies, restoration planting and opportunity costs) of
restoring riparian margins (5-50 m) on all streams in NZ flowing through current
primary sector land. Extensive sensitivity analysis reveals that depending on
margin width and cost assumptions, riparian margin restoration generates net
benefits of between NZ$1.7 billion - $5.2 billion/yr and benefit-cost ratios
ranging between 1.4 and 22.4. This suggests that even when not monetising the
increase in biodiversity or components of stream ecosystem health and other
benefits from planting riparian strips, the benefits to climate and freshwater
are significantly greater than the implementation costs of riparian restoration.
PMID- 27889660
TI - The pH-dependent leaching behavior of slags from various stages of a copper
smelting process: Environmental implications.
AB - The leaching behaviors of primary copper (Cu) slags originating from Ausmelt,
reverbatory, and converter furnaces operating under a single technological
process were compared to a residual slag tailing obtained by slag re-processing
via flotation and metal recovery. The EN 12457-2 leaching test, used for
assessment of the hazardous properties, was followed by the CEN/TS 14997 pH
static leaching test (pH range 3-12). Both leaching experiments were coupled with
a mineralogical investigation of the primary and secondary phases as well as
geochemical modeling. Metals (Cd, Cu, Pb, Zn) exhibit the highest leaching at low
pH. Under acidic conditions (pH 3-6), Ausmelt slag and slag tailing exhibited
higher metal leaching compared to other slag types. Very low leaching of metals
(far below EU limits for non-hazardous waste) was observed at natural pH (7.9
9.0) for all the studied slag samples. In contrast, relatively high leaching of
As was observed over the entire pH range, especially for Ausmelt slag (exceeding
the EU limit for hazardous waste by 1.7*). However, geochemical modeling and
scanning electron microscopy indicated that formation of stable Ca-Cu-Pb
arsenates and the binding of As to newly formed Fe (oxyhydr)oxides play an
important role in efficient As immobilization at the slag-water interface. In
contrast, no controls were predicted for Sb, whose leaching was almost pH
independent. Nevertheless Sb leached concentrations at natural pH were below EU
limit for hazardous waste. Re-processing of primary Cu slags for metal recovery,
and subsequent co-disposal of the resulting slag tailing with dolomite-rich mine
tailing and local laterite is suitable for stabilizing the remaining contaminants
(except Sb) and limiting their leaching into the environment.
PMID- 27889661
TI - What explains the differences between centres in the European screening trial? A
simulation study.
AB - BACKGROUND: The European Randomised study of Screening for Prostate Cancer
(ERSPC) is a multicentre, randomised screening trial on men aged 55-69 years at
baseline without known prostate cancer (PrCa) at randomisation to an intervention
arm invited to screening or to a control arm. The ERSPC has shown a significant
21% reduction in PrCa mortality at 13 years of follow-up. The effect of screening
appears to vary across centres, for which several explanations are possible. We
set to assess if the apparent differences in PrCa mortality reduction between the
centres can be explained by differences in screening protocols. METHODS: We
examined the centre differences by developing a simulation model and estimated
how alternative screening protocols would have affected PrCa mortality. RESULTS:
Our results showed outcomes similar to those observed, when the results by
centres were reproduced by simulating the screening regimens with PSA threshold
of 3 versus 4ng/ml, or screening interval of two versus four years. The findings
suggest that the differences are only marginally attributable to the different
screening protocols. CONCLUSION: The small screening impact in Finland was not
explained by the differences in the screening protocols. A possible reason for it
was the contamination of and the unexpectedly low PrCa mortality in the Finnish
control arm.
PMID- 27889662
TI - Pediatric pineal germinomas: Epigenetic and genomic approach.
AB - OBJECTIVE: We identify and correlate chromosomal alterations, methylation
patterns and gene expression in pediatric pineal germinomas. METHODS: CGH
microarray, methylation and gene expression were performed through the Agilent
platform. The results were analyzed with MatLab software, MapViewer, DAVID,
GeneCards and Hippie. RESULTS: Amplifications were found in 1q24.2, 1q31.3,
2p11.2, 3p22.2, 7p13, 7p15.2, 8p22, 12p13.2, 14q24.3 y 22q12; and deletions were
found in 1q21.2, 9p24.1, 10q11.22, 11q11, 15q11.2 and 17q21.31. In the
methylation analysis, we observed 10,428 CpG Islands with a modified methylation
status that may affect 11,726 genes. We identified 1260 overexpressed genes and
470 underexpressed genes. The genes RUNDC3A, CDC247, CDCA7L, ASAH1, TRA2A, LPL
and NPC2 were altered among the three levels. CONCLUSIONS: We identified the
1q24.2 and 1q31.3 amplified regions and the 1q21.3 and 11q11 deleted regions as
the most important aims. The genes NPC2 and ASAH1 may play an important role in
the development, progression and tumor maintenance. The ASAH1 gene is an ideal
candidate to identify drug responses. These genomic and epigenetic studies may
help to characterize the formation of pineal germ cell tumors to determine
prognostic markers and also to identify shared characteristics in gonadal and
extragonadal tumors.
PMID- 27889663
TI - Higher classification and phylogeny of Euglenozoa.
AB - Discoveries of numerous new taxa and advances in ultrastructure and sequence
phylogeny (including here the first site-heterogeneous 18S rDNA trees) require
major improvements to euglenozoan higher-level taxonomy. I therefore divide
Euglenozoa into three subphyla of substantially different body plans: Euglenoida
with pellicular strips; anaerobic Postgaardia (class Postgaardea) dependent on
surface bacteria and with uniquely modified feeding apparatuses; and new
subphylum Glycomonada characterised by glycosomes (Kinetoplastea, Diplonemea).
Euglenoida comprise two new infraphyla: Entosiphona with three feeding rods and
Dipilida ancestrally with two. Dipilida comprise basal superclass Rigimonada with
longitudinal rigid strips [i.e. new classes Stavomonadea (Petalomonadida,
Decastavida and new order Heterostavida) and Ploeotarea (Ploeotiida) with
contrasting oral cytoskeletons] and derived superclass Spirocuta with more
numerous spirally arranged, often slideable, strips (clade
Peranemea/Euglenophyceae) and a different, highly conserved microtubule pattern
at strip joints. Peranemea comprise four orders: Peranemida (anterior gliding,
protrusible rods), and three new, Anisonemida (posterior gliders), Natomonadida
(swimmers including phagotrophic new suborder Metanemina and osmotrophic suborder
Rhabdomonadina), and Acroglissida (anterior gliders with cytoproct). I establish
orders Entosiphonida, Rapazida, Bihospitida; and seven new euglenoid families
(Entosiphonidae, peranemean Neometanemidae, Rapazidae, two stavomonad, two
ploeotiid) and three new postgaardian, and three kinetoplastid families
(Neobodonidae, Rhynchomonadidae, Parabodonidae), plus new diplonemid family
Hemistasiidae for Hemistasia.
PMID- 27889665
TI - A three-dimensional spheroidal cancer model based on PEG-fibrinogen hydrogel
microspheres.
AB - Three-dimensional (3D) in vitro cancer models offer an attractive approach
towards the investigation of tumorigenic phenomena and other cancer studies by
providing dimensional context and higher degree of physiological relevance than
that offered by conventional two-dimensional (2D) models. The multicellular tumor
spheroid model, formed by cell aggregation, is considered to be the "gold
standard" for 3D cancer models, due to its ease and simplicity of use. Although
better than 2D models, tumor spheroids are unable to replicate key features of
the native tumor microenvironment, particularly due to a lack of surrounding
extracellular matrix components and heterogeneity in shape, size and aggregate
forming tendencies. In order to address this issue, we have developed a 3D "tumor
microsphere" model, formed by a dual-photoinitiator, aqueous-oil emulsion
technique, for the encapsulation of cancer cells within PEG-fibrinogen hydrogel
microspheres and for subsequent long-term 3D culture. In comparison to self
aggregated tumor spheroids, the tumor microspheres displayed a higher degree of
size and shape homogeneity throughout long-term culture. In sharp contrast to
cells in tumor spheroids, cells within tumor microspheres demonstrated
significant loss in apico-basal polarity and cellular architecture, cellular and
nuclear atypia, increased disorganization, elevated nuclear cytoplasmic ratio and
nuclear volume density and reduction in cell-cell junction length, all of which
are hallmarks of malignant transformation and tumorigenic progression.
Additionally, the tumor microsphere model was extended for the 3D encapsulation
and maintenance of a wide range of other cancer cell (metastatic and non
metastatic) types. Taken together, our results reinforce the importance of
incorporating a biomimetic matrix in the cellular microenvironment of 3D tumor
models and the influential effects of the matrix on the tumorigenic morphology of
3D cultured cells. The tumor microsphere system established in this study has the
potential to be used in future investigations of 3D cancer cell-cell and cell-ECM
interactions and in drug-testing applications.
PMID- 27889664
TI - Macrophage integrins modulate response to ultra-high molecular weight
polyethylene particles and direct particle-induced osteolysis.
AB - Aseptic loosening due to peri-prosthetic osteolysis is one of the primary causes
for failure of artificial joint replacements. Implant-derived wear particles,
often ultra-high molecular weight polyethylene (UHMWPE) microparticles, initiate
an inflammatory cascade upon phagocytosis by macrophages, which leads to
osteoclast recruitment and activation, ultimately resulting in osteolysis.
Investigation into integrin receptors, involved in cellular interactions with
biomaterial-adsorbed adhesive proteins, is of interest to understand and modulate
inflammatory processes. In this work, we investigate the role of macrophage
integrins Mac-1 and RGD-binding integrins in response to UHMWPE wear particles.
Using integrin knockout mice as well as integrin blocking techniques, reduction
in macrophage phagocytosis and inflammatory cytokine secretion is demonstrated
when these receptors are either absent or blocked. Along this line, various
opsonizing proteins are shown to differentially modulate microparticle uptake and
macrophage secretion of inflammatory cytokines. Furthermore, using a calvarial
osteolysis model it is demonstrated that both Mac-1 integrin and RGD-binding
integrins modulate the particle induced osteolysis response to UHMWPE
microparticles, with a 40% decrease in the area of osteolysis by the absence or
blocking of these integrins, in vivo. Altogether, these findings indicate Mac-1
and RGD-binding integrins are involved in macrophage-directed inflammatory
responses to UHMWPE and may serve as therapeutic targets to mitigate wear
particle induced peri-prosthetic osteolysis for improved performance of implanted
joints.
PMID- 27889668
TI - Drug-protein binding of Danhong injection and the potential influence of drug
combination with aspirin: Insight by ultrafiltration LC-MS and molecular
modeling.
AB - Danhong injection (DHI) is a widely used Chinese medicine injection (CMI) for the
clinical treatment of cardiovascular and cerebrovascular diseases. In this study,
a simple and efficient in vitro method based on ultrafiltration LC-MS and
molecular modeling has been developed to study the human serum albumin (HSA)
binding of the compounds in DHI. Seven major components including protocatechuic
aldehyde, p-coumaric acid, salvianolic acid D, rosmarinic acid, salvianolic acid
E, lithospermic acid and salvianolic acid B were identified as HSA ligands and
their binding degrees in the proposed non-saturated model were 26.17, 37.69,
99.77, 91.78, 96.91, 99.42 and 98.10%, respectively. Considering the drug-HSA
binding property of the compounds in DHI may change during drug combination
therapy, competitive binding assay was carried out to evaluate the influence of
aspirin on the DHI-HSA binding. Experimental results revealed that the
salvianolic acids in DHI had stronger binding ability to HSA than sodium
salicylate. To further verify the results above, molecular modeling and probe
displacement assay were conducted to investigate the optimum binding site and
binding affinity of the ligands on HSA. Our findings suggested that the
established method could be a powerful tool to study the drug-HSA binding
property of CMIs.
PMID- 27889667
TI - The impact of a pulmonary recruitment maneuver to reduce post-laparoscopic
shoulder pain: A randomized controlled trial.
AB - OBJECTIVE: A pulmonary recruitment maneuver (PRM) can effectively reduce post
laparoscopic shoulder pain (PLSP). However, a high-pressure PRM may cause
pulmonary barotrauma. This study aimed to evaluate the efficacy and safety of a
PRM using two different maximum inspiratory pressures (40 and 60cmH2O) for
reducing PLSP. STUDY DESIGN: Patients undergoing gynecologic laparoscopy were
randomly allocated to a control group (n=30), a 40 cmH2O PRM group (n=30), and a
60 cmH2O PRM group (n=30). In the control group, residual carbon dioxide was
removed by passive exsufflation through the port site. In the two intervention
groups, the PRM consisting of five manual pulmonary inflations was performed at
the end of surgery with a maximum pressure of 40 cmH2O or 60 cmH2O, respectively.
Shoulder pain and wound pain were recorded using a visual analogue scale at 24
and 48h postoperatively. RESULTS: Wound pain scores at 24 and 48h post-surgery
were not different between the three groups. The PLSP scores in the two
intervention groups were significantly lower than that seen in the control group
at 24 and 48h postoperatively (P=0.006 and P<0.001, respectively). However, there
were no statistically significant differences in the PLSP scores between the two
intervention groups. CONCLUSION: A low-pressure PRM (40cmH2O) is as effective as
a high-pressure PRM (60cmH2O) for removing residual gas from the peritoneal
cavity. PRM using a maximal inspiratory pressure of 40cmH2O is safe and
efficacious for the reduction of PLSP.
PMID- 27889666
TI - YAP-dependent mechanotransduction is required for proliferation and migration on
native-like substrate topography.
AB - Native vascular extracellular matrices (vECM) consist of elastic fibers that
impart varied topographical properties, yet most in vitro models designed to
study the effects of topography on cell behavior are not representative of native
architecture. Here, we engineer an electrospun elastin-like protein (ELP) system
with independently tunable, vECM-mimetic topography and demonstrate that
increasing topographical variation causes loss of endothelial cell-cell junction
organization. This loss of VE-cadherin signaling and increased cytoskeletal
contractility on more topographically varied ELP substrates in turn promote YAP
activation and nuclear translocation, resulting in significantly increased
endothelial cell migration and proliferation. Our findings identify YAP as a
required signaling factor through which fibrous substrate topography influences
cell behavior and highlights topography as a key design parameter for engineered
biomaterials.
PMID- 27889669
TI - Physicochemical analysis in the evaluation of reconstituted dry emulsion tablets.
AB - The aim of this study was to characterize the formation of emulsions by droplet
size analysis and turbidimetry during reconstitution from a solid dosage form,
namely from dry emulsion systems, which carry an oil phase for poorly soluble
active ingredients. For the dry emulsion systems tablets were prepared either
from oil-in-water systems using a freeze-drying process or through direct
compression containing the same oil and excipients. The ratios of oil to
emulgents and oil to xanthan gum were equal in both methods. In the preparation
methods applied, mannitol, erythritol and lactose were used as excipients and
mannitol was found to be the most effective excipient based on droplet size
reconstitution, turbidimetry and physical properties. Quality control involved
testing the physical properties of tablets and characterizing the reconstituted
emulsions.
PMID- 27889670
TI - Selective recognition of cis-trans-isomers of platinum drugs and the detection of
triplex DNA based on fluorescence reversible model of quantum dots.
AB - The identification of spatial structures of drugs and the researches on their
interaction mechanism with DNA are always attractive to the researchers. However,
their realization is lack of simple and fast method. This paper reports the
establishment of multiple-functional detection platform based on the "turn off
on" model of ZnCdSe quantum dots. In this system, ZnCdSe quantum dots work as the
fluorescent probe, platinum anti-cancer drugs as the quencher and triplex DNA as
the trapping agent. The seemingly similar cisplatin and transplatin exhibited
different fluorescent recovery behaviors due to their difference in structure,
and thus realized the selective detection of cisplatin and transplatin with the
reaction time set at 10min as well as the quantitation of cisplatin over the
range of 2.5*10-8-100*10-8M. Based on this, the interactions between platinum
anti-cancer drugs and ctDNA as well as polymorphic DNA were further studied, and
realized the recognition of triplex DNA. The multiple-functional detection
platform integrates the functions of the filtration of high-efficient platinum
anti-cancer drugs, the researches on interaction mechanism of drugs, and the
recognition of polymorphic DNA, meaningful to the future treatment of viral and
cancers based on antisense gene strategy.
PMID- 27889671
TI - European Journal of Cancer's Biennial report on soft tissue and visceral sarcomas
or the rapid evolution of treatment concepts in sarcomas.
AB - Soft tissue and visceral sarcoma gather a large group of rare to very rare
cancers and locally aggressive connective tissue tumours. Novel concepts on
histological and molecular classification, optimal management of patients,
systemic adjuvant and neoadjuvant treatment have been emerging in the last 5
years. In the present publication, we review and summarise significant changes
which impact on disease management in this group of rare cancers.
PMID- 27889672
TI - Spectroscopic investigation and direct comparison of the reactivities of iron
pyridyl oxidation catalysts.
AB - The growing interest in green chemistry has fueled attention to the development
and characterization of effective iron complex oxidation catalysts. A number of
iron complexes are known to catalyze the oxidation of organic substrates
utilizing peroxides as the oxidant. Their development is complicated by a lack of
direct comparison of the reactivities of the iron complexes. To begin to
correlate reactivity with structural elements, we compare the reactivities of a
series of iron pyridyl complexes toward a single dye substrate, malachite green
(MG), for which colorless oxidation products are established. Complexes with
tetradentate, nitrogen-based ligands with cis open coordination sites were found
to be the most reactive. While some complexes reflect sensitivity to different
peroxides, others are similarly reactive with either H2O2 or tBuOOH, which
suggests some mechanistic distinctions. [Fe(S,S-PDP)(CH3CN)2](SbF6)2 and
[Fe(OTf)2(tpa)] transition under the oxidative reaction conditions to a single
intermediate at a rate that exceeds dye degradation (PDP=bis(pyridin-2-ylmethyl)
bipyrrolidine; tpa=tris(2-pyridylmethyl)amine). For the less reactive
[Fe(OTf)2(dpa)] (dpa=dipicolylamine), this reaction occurs on a timescale similar
to that of MG oxidation. Thus, the spectroscopic method presented herein provides
information about the efficiency and mechanism of iron catalyzed oxidation
reactions as well as about potential oxidative catalyst decomposition and
chemical changes of the catalyst before or during the oxidation reaction.
PMID- 27889673
TI - Conformational equilibrium of phenylacetic acid and its halogenated analogues
through theoretical studies, NMR and IR spectroscopy.
AB - This paper presents a study on the conformational preferences of phenylacetic
acid (PA) and its halogenated analogues (FPA, CPA, BPA). To clarify the effects
that rule these molecules' behaviour, theoretical calculations were used, for
both the isolated phase and solution, combined with nuclear magnetic resonance
(NMR) and infrared (IR) spectroscopy. Most conformations of phenylacetic acid and
its halogenated derivatives are stabilized through the hyperconjugative effect,
which rules the conformational preference. NMR analyses showed that even with the
variation in medium polarity, there was no significant change in the conformation
population. Infrared spectroscopy showed similar results for all compounds under
study. In most spectra, two bands were found through the carbonyl deconvolution,
which is in accordance with the theoretical data. It was possible to prove that
variation in the nature of the substituent in the ortho position had no
significant influence on the conformational equilibrium.
PMID- 27889674
TI - Design, synthesis, characterization and cation sensing behavior of amino
naphthoquinone receptor: Selective colorimetric sensing of Cu(II) ion in nearly
aqueous solution with mimicking logic gate operation.
AB - An amino-naphthoquione receptor (R1) has been rationally designed, synthesized
and characterized using 1H and 13C NMR, LCMS and single crystal X-ray diffraction
studies. The receptor exhibits an instantaneous colour change from yellow to blue
selectively with Cu(II) ions in water-DMF (98:2% v/v) medium. The results of UV
Vis and fluorescence spectral studies indicates that the mechanism of sensing
involves formation of a 1:1 complex between R1 and Cu(II) ion. The proposed
mechanism has been confirmed through product analysis using FT-IR, UV-Vis, EPR
and HRMS studies in addition to magnetic moment and elemental analysis
measurements. The formed [Cu(R1)Cl2] possess a square planar geometry. The
binding constant for the interaction of Cu(II) ion with the present unsubstituted
quinone is found to be relatively higher than that with quinones containing
electron withdrawing chlorine atom and electron releasing methyl group reported
in literature. The detection limit of Cu(II) ion in aqueous solution by R1 is
observed to be 8.7nM. The detection of Cu(II) ion by R1 in aqueous solution
produces remarkable changes in the electronic and fluorescence spectra, which is
applied to construct logic gate at molecular level.
PMID- 27889675
TI - Solvatochromic fluorescence properties of phenothiazine-based dyes involving
thiazolo[4,5-b]quinoxaline and benzo[e]indole as strong acceptors.
AB - The present work describes the photophysical properties of two newly synthesized
compounds, namely (E)-10-butyl-3-(2-(thiazolo[4,5-b]quinoxalin-2-yl)vinyl)-10H
phenothiazine (PTQ) and (E)-10-butyl-3-(2-(1,1-dimethyl-1H-benzo[e]indol-2
yl)vinyl)-10H-phenothiazine (PBI). A strong intramolecular charge transfer (ICT)
is observed in both dyes as indicated from absorption and emission studies on
varying the solvent polarity. This can be concluded from the large Stokes shifts
among these dyes as PTQ exhibits large Stokes shift with >270nm and PBI around
200nm. The effect of increasing polarity caused drastic increase in the charge
transfer process leading to twisted intramolecular charge transfer (TICT) process
in both the dyes PTQ and PBI. Time-resolved emission studies and non-radiative
decay rate constant indicates that the excited states of both dyes behave
differently with respect to solvent polarity. The non-radiative decay constant
increases dramatically with the solvent polarity specifying change of ICT
emissive states in non-polar solvent while TICT emitting states in highly polar
solvent. On the other hand, PBI follows a general trend initially exhibiting
higher non-radiative decay constant in non-polar solvent like cyclohexane, lowest
in moderate polarity owing to the ICT emissive state but with increase in the
polarity, the non-radiative decay constant again increases indicating TICT
states.
PMID- 27889676
TI - Towards a threshold climate for emergency lower respiratory hospital admissions.
AB - Identification of 'cut-points' or thresholds of climate factors would play a
crucial role in alerting risks of climate change and providing guidance to
policymakers. This study investigated a 'Climate Threshold' for emergency
hospital admissions of chronic lower respiratory diseases by using a distributed
lag non-linear model (DLNM). We analysed a unique longitudinal dataset (10 years,
2000-2009) on emergency hospital admissions, climate, and pollution factors for
the Greater London. Our study extends existing work on this topic by considering
non-linearity, lag effects between climate factors and disease exposure within
the DLNM model considering B-spline as smoothing technique. The final model also
considered natural cubic splines of time since exposure and 'day of the week' as
confounding factors. The results of DLNM indicated a significant improvement in
model fitting compared to a typical GLM model. The final model identified the
thresholds of several climate factors including: high temperature (>=27 degrees
C), low relative humidity (<= 40%), high Pm10 level (>=70-ug/m3), low wind speed
(<= 2 knots) and high rainfall (>=30mm). Beyond the threshold values, a
significantly higher number of emergency admissions due to lower respiratory
problems would be expected within the following 2-3 days after the climate shift
in the Greater London. The approach will be useful to initiate 'region and
disease specific' climate mitigation plans. It will help identify spatial hot
spots and the most sensitive areas and population due to climate change, and will
eventually lead towards a diversified health warning system tailored to specific
climate zones and populations.
PMID- 27889678
TI - Early hippocampal hyperexcitability in PS2APP mice: role of mutant PS2 and APP.
AB - Alterations of brain network activity are observable in Alzheimer's disease (AD)
together with the occurrence of mild cognitive impairment, before overt
pathology. However, in humans as well in AD mouse models, identification of early
biomarkers of network dysfunction is still at its beginning. We performed in vivo
recordings of local field potential activity in the dentate gyrus of PS2APP mice
expressing the human amyloid precursor protein (APP) Swedish mutation and the
presenilin-2 (PS2) N141I. From a frequency-domain analysis, we uncovered network
hyper-synchronicity as early as 3 months, when intracellular accumulation of
amyloid beta was also observable. In addition, at 6 months of age, we identified
network hyperactivity in the beta/gamma frequency bands, along with increased
theta-beta and theta-gamma phase-amplitude cross-frequency coupling, in
coincidence with the histopathological traits of the disease. Although
hyperactivity and hypersynchronicity were respectively detected in mice
expressing the PS2-N141I or the APP Swedish mutant alone, the increase in cross
frequency coupling specifically characterized the 6-month-old PS2APP mice, just
before the surge of the cognitive decline.
PMID- 27889677
TI - Blood DNA methylation age is not associated with cognitive functioning in middle
aged monozygotic twins.
AB - The epigenetic clock, also known as DNA methylation age (DNAmAge), represents age
related changes of DNA methylation at multiple sites of the genome and is
suggested to be a biomarker for biological age. Elevated blood DNAmAge is
associated with all-cause mortality, with the strongest effects reported in a
recent intrapair twin study where epigenetically older twins had increased
mortality risk in comparison to their co-twins. In the study presented here, we
hypothesize that DNAmAge in blood is associated with cross-sectional and
longitudinal cognitive abilities in middle-aged individuals. In 486 monozygotic
twins, we investigated the association of DNAmAge, difference between DNAmAge and
chronological age and age acceleration with cognition. Despite using a powerful
paired twin design, we found no evidence for association of blood DNAmAge with
cognitive abilities. This observation was confirmed in unpaired analyses, where
DNAmAge initially correlated with cognitive abilities, until adjusting for
chronological age. Overall, our study shows that for middle-aged individuals
DNAmAge calculated in blood does not correlate with cognitive abilities.
PMID- 27889679
TI - Radiographic assessment of the postoperative knee.
AB - Radiologists often encounter postoperative knee radiographs lacking any adjunct
clinical data which might hinder accurate image interpretation. Surgical
techniques are constantly evolving with new devices being used which make it
sometimes challenging for the radiologist to deduce the performed procedure and
to look for associated complications. This article reviews commonly performed
surgical procedures of the knee, highlights their expected postoperative
radiographic appearance and describes the appearance of certain postoperative
complications.
PMID- 27889681
TI - Change over time in women's views and experiences of maternity care in England,
1995-2014: A comparison using survey data.
AB - BACKGROUND: there have been changes in maternity care policy over the last 20
years and women's experience, continuity and satisfaction with care have become
more prominent. However there has been no research examining changes over time in
women's reported experience. METHODS: this study used secondary analysis of data
collected in four postal surveys of maternity care experiences in 1995, 2006,
2010 and 2014. In each case women who had delivered in a specified time period in
England were randomly sampled and sent a questionnaire three months after the
birth. Women were excluded if they were aged less than 16 years or their infant
had died. The majority of questions were comparable over the different surveys.
Descriptive statistics and adjusted odds ratios are presented. FINDINGS: in the
antenatal period, an increasing proportion of women had early first contact with
a healthcare professional, screening for Down's syndrome, both dating and anomaly
scans and the total number of ultrasound scans increased over the period. The
proportion of women given explanations about screening and choice regarding
interventions during labour and birth both appear to have increased. In the
postnatal period, length of hospital stay declined over time but the proportion
of women who considered their length of stay too short remained constant. The
number of postnatal home visits also declined and there was a substantial
increase in the proportion of women who would have liked more visits. Overall
satisfaction with care remained high especially for care during pregnancy, labour
and birth. CONCLUSIONS: despite fewer antenatal checks, shorter hospital stays
and fewer postnatal home visits, women were generally very positive about their
care in pregnancy, labour and birth, and the postnatal period. Maternity care has
changed in many respects, with earlier contact with health professionals, more
scans and more information. However, reduced continuity of care and a need for
support in the early weeks with a new infant was expressed by many women and are
issues that may be contributing to some of the dissatisfaction expressed.
PMID- 27889680
TI - Development and measurement properties of the Chinese breastfeeding self
regulation questionnaire.
AB - BACKGROUND: although new mothers are aware of the benefits of breastfeeding, many
of them stop breastfeeding early in the postpartum period. Maternal psychosocial
factors have been shown to contribute to early breastfeeding cessation. According
to Self-Determination Theory (SDT) maternal self-determination is an
indispensable factor in studying motivation to breastfeed. There are no validated
instruments to assess maternal breastfeeding motivation and self-determination.
OBJECTIVE: our aim was to develop and assess the psychometric properties of the
Breastfeeding Self -Regulation Questionnaire (BSRQ) for Chinese pregnant women in
Hong Kong. METHOD: we reviewed the literature and devised items for a preliminary
version of the questionnaire. Thirty-five context-specific items in English were
generated. We translated the items into Chinese and then back translated them
into English following established translation procedures. We employed
exploratory factor analysis (EFA) and confirmatory factor analysis (CFA) to
assess the structure of the questionnaire. Predictive validity was measured by
assessing the relationship between the BRSQ score and actual duration of
breastfeeding. FINDINGS: we recruited 591 participants from three publicly funded
antenatal clinics. Of the 35 items in the preliminary BSRQ, 22 were retained by
EFA. CFA revealed that there were 5 factors including intrinsic, identified,
integrated, introjected and external regulation. The goodness of fit of the CFA
model was adequate. The Cronbach's alpha of the BSRQ was 0.86. For every one
point increase in the BSRQ score, participants had 15% higher odds of any
breastfeeding (OR=1.15, 95%CI 1.07-1.23) and 9% higher odds of exclusive
breastfeeding (OR=1.09, 95%CI 1.02-1.17) at 6 weeks postpartum. CONCLUSION: the
Chinese version of the BSRQ was a valid and reliable tool to measure maternal
self-determination towards breastfeeding.
PMID- 27889682
TI - 'Stress, anger, fear and injustice': An international qualitative survey of
women's experiences planning a vaginal breech birth.
AB - OBJECTIVE: the outcomes of the Term Breech Trial had a profound impact on women's
options for breech birth, with caesarean section now seen as the default method
for managing breech birth by many clinicians. Despite this, the demand for
planned vaginal breech birth from women does exist. This study aimed to examine
the experiences of women who sought a vaginal breech birth to increase
understanding as to how to care for women seeking this birth option. DESIGN: an
electronic survey was distributed to women online via social media. The survey
consisted of qualitative and quantitative questions, with the qualitative data
being the focus of this paper. Open ended questions sought information on the
ways in which woman sourced a clinician skilled in vaginal breech birth and the
level of support and quality of information provided from clinicians regarding
vaginal breech birth. Thematic analysis was used to analyse and code the
qualitative data into major themes. FINDINGS: in total, 204 women from over seven
countries responded to the survey. Written responses to the open ended questions
were categorised into seven themes: Seeking the chance to try for a VBB;
Encountering coercion and fear; Putting the birth before the baby?; Dealing with
emotional wounds; Searching for information and support; Traveling across
boundaries; Overcoming obstacles in the system. KEY CONCLUSIONS: for women
seeking vaginal breech birth, limited system and clinical support can impede
access to balanced information and options for care. Recognition of existing
evidence on the safety of vaginal breech birth, as well as the presence of
clinical guidelines that support it, may assist in promoting vaginal breech birth
as a legitimate option that should be available to women.
PMID- 27889683
TI - Biomechanics of simulated versus natural cross-country sit skiing.
AB - The purpose of this study was to investigate the biomechanics of cross-country
sit-skiing in simulated and natural skiing. Thirteen international level athletes
participated in a ski ergometer test (simulated conditions) and a test on snow in
a ski-tunnel (natural conditions) using their personal sit-ski. Tests in both
conditions were performed at individual maximal speed. When comparing the two
conditions the main results were: (1) maximal speed in simulated conditions was
lower (p<0.05) but correlated well with the natural condition (r=0.79, p<0.001);
(2) no differences in pole force variables were found; peak force (r=0.77,
p<0.01) and average force (r=0.78, p<0.01) correlated well; (3) recovery time and
time to peak did not differ and time to impact correlated with each other
(r=0.88, p<0.01); (4) no differences were found in peak electromyography (EMG)
and average EMG for Triceps, Pectoralis, and Erector Spinae; Rectus Abdominis did
not differ in peak. EMG peak and average EMG of all muscles were correlated
between the two conditions (r=0.65-0.94; p<0.05-0.01). Although some differences
were observed, this study demonstrated that technical skill proficiency in
natural and simulated cross-country skiing is comparable from a force production
and muscle activation perspective.
PMID- 27889684
TI - Human milk oligosaccharide effects on intestinal function and inflammation after
preterm birth in pigs.
AB - : Human milk oligosaccharides (HMOs) may mediate prebiotic and anti-inflammatory
effects in newborns. This is particularly important for preterm infants who are
highly susceptible to intestinal dysfunction and necrotizing enterocolitis (NEC).
We hypothesized that HMO supplementation of infant formula (IF) improves
intestinal function, bacterial colonization and NEC resistance immediately after
preterm birth, as tested in a preterm pig model. Mixtures of HMOs were
investigated in intestinal epithelial cells and in preterm pigs (n=112) fed IF
supplemented without (CON) or with a mixture of four HMOs (4-HMO) or >25 HMOs (25
HMO, 5-10 g/L given for 5 or 11 days). The 25-HMO blend decreased cell
proliferation and both HMO blends decreased lipopolysaccharide-induced
interleukin-8 secretion in IPEC-J2 cells, relative to control (P<.05). All HMOs
were found in urine and feces of HMO-treated pigs, and short-chain fatty acids in
the colon were higher in HMO vs. CON pigs (P<.05). After 5 days, NEC lesions were
similar between HMO and CON pigs and 25-HMO increased colon weights (P<.01).
After 11 days, the 4-HMO diet did not affect NEC (56 vs. 79%, P=.2) but increased
dehydration and diarrhea (P<.05) and expression of immune-related genes (IL10,
IL12, TGFbeta, TLR4; P<.05). Bacterial adherence and diversity was unchanged
after HMO supplementation. CONCLUSION: Complex HMO-blends affect intestinal
epithelial cells in vitro and gut gene expression and fermentation in preterm
pigs. However, the HMOs had limited effects on NEC and diarrhea when supplemented
to IF. Longer-term exposure to HMOs may be required to improve the immature
intestinal function in formula-fed preterm neonates.
PMID- 27889685
TI - A naturally occurring mixture of tocotrienols inhibits the growth of human
prostate tumor, associated with epigenetic modifications of cyclin-dependent
kinase inhibitors p21 and p27.
AB - Tocotrienols, members of the vitamin E family, have three unsaturated bonds in
their side chains. Recently, it has been suggested that the biological effects of
tocotrienols may differ from that of tocopherols. Several in vitro studies have
shown that tocotrienols have stronger anticancer effects than tocopherols. VCaP
cell line used in this study is from a vertebral bone metastasis from a patient
with prostate cancer. Eight-week-old male NCr(-/-) nude mice were subcutaneously
injected with VCaP-luc cells in matrigel and then administered a tocotrienol
mixture for 8 weeks. The tocotrienol mixture inhibited the growth of human
prostate tumor xenografts in a dose-dependent manner. The concentrations of
tocotrienols and their metabolites were significantly increased in treatment
groups. Tocotrienols inhibited prostate tumor growth by suppressing cell
proliferation, which was associated with the induction of the cyclin-dependent
kinase (CDK) inhibitors p21 and p27. In addition, tocotrienol treatment was
associated with elevated H3K9 acetylation levels at proximal promoter regions of
p21 and p27 and with decreased expression of histone deacetylases. Tocotrienols
inhibited human prostate tumor growth, associated with up-regulation of the CDK
inhibitors p21 and p27. Elevated expression of p21 and p27 could be partly due to
the suppressed expression of HDACs.
PMID- 27889687
TI - Role of inflammation in obesity-related breast cancer.
AB - Chronic inflammation associated with obesity is now recognized to be an important
condition in promoting carcinogenesis and progression in breast cancer patients,
mostly in postmenopausal women with tumors expressing estrogen and progesterone
receptors. In obese patients, altered levels of several inflammatory mediators
regulating aromatase and estrogen expression are one of the mechanisms
responsible of increase breast cancer risk. Growing attention has also been paid
to the local adipose inflammation and the role played by macrophages as
determinants of breast cancer risk recurrence and prognosis. The inflammation
obesity axis offers different molecular signaling pathways for therapeutic
interventions and potential pharmacological targets. The increasing rate of
obesity worldwide associated with the recent findings linking inflammation and
breast cancer urge further investigation.
PMID- 27889686
TI - MicroRNA-181 contributes to downregulation of SAMHD1 expression in CD4+ T-cells
derived from Sezary syndrome patients.
AB - Sezary syndrome (SS) is a rare subtype of cutaneous T-cell lymphoma (CTCL) that
is characterized by aggressive spread of neoplastic CD4+ T-cells from the skin
into the bloodstream with metastasis to visceral organs. The deoxynucleoside
triphosphohydrolase SAMHD1 is highly expressed in normal CD4+ T-cells, while its
expression is down-regulated in CD4+ T-cells from SS patients. MicroRNA (miR)
dysregulation is an important epigenetic mechanism in the pathogenesis and
progression of SS. MiR-181 has been shown to inhibit SAMHD1 expression in cell
lines and was identified as an important prognostic biomarker in CTCL. However,
whether SAMHD1 is down-regulated by miR-181 in primary CD4+ T-cells of SS
patients is unknown. Compared to normal CD4+ T-cells, SAMHD1 protein expression
is significantly reduced in transformed CD4+ T-cell lines and CD4+ T-cells from
SS patients, which inversely correlates with increased miR-181 levels in these
cells. Over-expression of miR-181b in primary CD4+ T-cells from healthy donors
significantly decreased SAMHD1 protein level, but not mRNA level. In contrast,
inhibition of miR-181 in a CD4+ T-cell line significantly increased the level of
SAMHD1 protein expression. Our results demonstrate that miR-181 is an important
regulator of SAMHD1 protein expression in neoplastic CD4+ T-cells, likely through
a mechanism of translational inhibition.
PMID- 27889688
TI - Management of distal deep vein thrombosis.
AB - Isolated distal deep vein thrombosis (DVT), also known as calf DVT, represents up
to 50% of all lower limb DVT in ultrasound series and is therefore a frequent
medical condition. Unlike proximal DVT and pulmonary embolism (PE), which have
been extensively studied and for which management is well standardized, much less
is known on the optimal management of isolated calf DVT. Recent data arising from
registries and non-randomized studies suggest that most distal DVTs do not extend
to the proximal veins and have an uneventful follow-up when left untreated. This
data had some impact on the international recommendations which recently stated
that ultrasound surveillance instead of systematic therapeutic anticoagulation
might be an option for selected low-risk patients. However, robust data arising
from randomized studies are scarce. Indeed, only five randomized trials assessing
the need for anticoagulation for calf DVT have been published. Many of these
trials had an open-label design and were affected by methodological limitations.
The only randomized placebo-controlled trial included low-risk patients
(outpatients without cancer or previous venous thromboembolic events (VTE)) and
was hampered by a limited statistical power. Nevertheless, data from this trial
tend to confirm that the use of therapeutic anticoagulation in low-risk patients
with symptomatic calf DVT is not superior to placebo in reducing VTE, but is
associated with a significantly higher risk of bleeding. Further randomized
studies are needed to define the best therapy for high-risk patients (inpatients,
patients with active cancer or previous VTE), and the optimal dose and duration
of treatment.
PMID- 27889689
TI - Osteoarthritis and type 2 diabetes mellitus: What are the links?
AB - Osteoarthritis (OA) is the most frequent joint disorder and one of the leading
cause of disability. During a long time, it was considered as the consequence of
aging and mechanical stress on cartilage. Recent advances in the knowledge of OA
have highlighted that it is a whole joint disease with early modifications of
synovium and subchondral bone but also that it is associated with obesity and
metabolic syndrome through systemic mechanisms. In the past year, type 2 diabetes
has been described in two meta-analyzes as an independent risk factor for OA. In
vivo models of diabetes corroborated epidemiological studies. Indeed, diabetic
rodents display a spontaneous and a more severe experimental OA than their non
diabetic counterparts, which can be partially prevented by diabetes treatment
(insulin, pioglitazone). The negative impact of diabetes on joints could be
explain by the induction of oxidative stress and pro-inflammatory cytokines but
also by advanced age products accumulation in joint tissues exposed to chronic
high glucose concentration. Insulin resistance might also impair joint tissue
because of a local insulin resistance of diabetic synovial membrane but also by
the systemic low grade inflammation state related to obesity and insulin
resistant state.
PMID- 27889690
TI - Sex differences in insulin sensitivity and insulin response with increasing age
in black South African men and women.
AB - AIMS: Black Africans are disproportionally affected by type 2 diabetes, but the
pathophysiology is poorly understood. The study aimed to examine the effect of
sex and age on insulin sensitivity and insulin response in black South African
adults. METHODS: This cross-sectional study included a random sample of 179 men
and 260 women aged 25-74years with normal glucose tolerance from 5 peri-urban
townships in Cape Town, SA. Insulin sensitivity (insulin sensitivity index,
ISI0,120) and response (insulinogenic index, IGI), and the disposition index (DI,
ISI0,120*IGI), derived from an oral glucose tolerance test, were measured.
RESULTS: Although men were older (median [interquartile range]: 39 [30-48] vs. 35
[29-44], P=0.021) and had significantly lower BMI than women (22.6 [20.0-25.3]
vs. 31.0 [25.9-35.7] kg/m2, P=0.001), DI was not different (P=0.740), but
ISI0,120 was higher (P=0.007) and IGI was lower (P=0.074) in men than women,
adjusting for age and BMI. With increasing age, DI (beta (95%CI): -24.4 (-36.3 to
-12.5), P<0.001) and IGI (beta (95%CI): -4.9 (-7.5 to -2.2), P<0.001) decreased
similarly in both sexes, but ISI0,120 did not change (beta (95%CI): 0.005 (-0.20
to 0.03), P=0.675). CONCLUSION: Black South African women with normal glucose
tolerance have lower insulin sensitivity than their male counterparts, but
increase their insulin response to maintain normoglycemia. With increasing age,
insulin sensitivity remains unchanged, but the insulin response decreases at a
similar rate in men and women.
PMID- 27889692
TI - Corrigendum.
PMID- 27889691
TI - Development of a Culturally Competent Service to Improve Academic Functioning for
Latino Survivors of Acute Lymphoblastic Leukemia: Methodological Considerations.
AB - Many survivors of childhood acute lymphoblastic leukemia (ALL) develop
neurocognitive deficits that compromise academic functioning, especially in the
presence of sociodemographic risk factors. The extent to which these risk factors
coexist for Latino ALL survivors is not well described, but with shifts in U.S.
demographics and improved survival in ALL, culturally competent interventions are
needed. The Achieving Best Cognitive Successes after Cancer service was designed
and implemented by a team representing nursing, medicine, psychology, and social
work. Service components include neurocognitve assessment and individualized
intervention for treatment-related risks and improving academic success for
school-aged ALL survivors. Interventions are child-focused and parent-directed,
recognizing that parents are major sources of support and advocates for their
children within school systems. The service was designed to be culturally
appropriate for the predominantly Latino patient population at our center, based
on (1) linguistic competency of children and parents; (2) multicultural and
ecological considerations for urban, low socioeconomic status, and migrant
populations; (3) literacy barriers; and (4) contextual factors. This report
describes methodological considerations and practice implications relevant to the
design and implementation of similar culturally competent services for Latino
pediatric cancer survivors.
PMID- 27889693
TI - Health inequalities: critical perspectives.
PMID- 27889696
TI - A Message from the Editor-in-Chief.
PMID- 27889697
TI - Characterization of plastic blends made from mixed plastics waste of different
sources.
AB - This paper studies the recyclability of construction and household plastic waste
collected from local landfills. Samples were processed from mixed plastic waste
by injection moulding. In addition, blends of pure plastics, polypropylene and
polyethylene were processed as a reference set. Reference samples with known
plastic ratio were used as the calibration set for quantitative analysis of
plastic fractions in recycled blends. The samples were tested for the tensile
properties; scanning electron microscope-energy-dispersive X-ray spectroscopy was
used for elemental analysis of the blend surfaces and Fourier transform infrared
(FTIR) analysis was used for the quantification of plastics contents.
PMID- 27889699
TI - Comparison of Clinical Factors Between Patients With Angiotensin-Converting
Enzyme Inhibitor-Induced Angioedema and Cough.
AB - BACKGROUND: Angioedema is a rare and serious adverse drug reaction (ADR) to
angiotensin-converting enzyme (ACE) inhibitor treatment. Dry cough is a common
side effect of ACE inhibitors and has been identified as a possible risk factor
for angioedema. OBJECTIVE: We compared characteristics between patients with ACE
inhibitor-induced angioedema and cough with the aim of identifying risk factors
that differ between these adverse events. METHODS: Data on patients with
angioedema or cough induced by ACE inhibitors were collected from the Swedish
database of spontaneously reported ADRs or from collaborating clinicians.
Wilcoxon rank sum test, Fisher's exact test, and odds ratios (ORs) with 95% CIs
were used to test for between-group differences. The significance threshold was
set to P <0.00128 to correct for multiple comparisons. RESULTS: Clinical
characteristics were compared between 168 patients with angioedema and 121 with
cough only. Smoking and concomitant selective calcium channel blocker treatment
were more frequent among patients with angioedema than cough: OR = 4.3, 95% CI =
2.1-8.9, P = 2.2 * 10-5, and OR = 3.7, 95% CI = 2.0-7.0, P = 1.7 * 10-5.
Angioedema cases were seen more often in male patients (OR = 2.2, 95% CI = 1.4
3.6, P = 1.3 * 10-4) and had longer time to onset and higher doses than those
with cough ( P = 3.2 * 10-10 and P = 2.6 * 10-4). A multiple model containing the
variables smoking, concurrent calcium channel blocker treatment, male sex, and
time to onset accounted for 26% of the variance between the groups. CONCLUSION:
Smoking, comedication with selective calcium channel blockers, male sex, and
longer treatment time were associated with ACE inhibitor-induced angioedema
rather than cough.
PMID- 27889700
TI - Clarence Borel.
AB - Borel v. Fibreboard Paper Products Corporation is the 1973 landmark case that
paved the way for successful litigation against the asbestos industry. Clarence
Borel's granddaughter shares recollections of the reluctant man behind the court
case.
PMID- 27889701
TI - Publishing interim results of randomised clinical trials in peer-reviewed
journals.
AB - BACKGROUND: Interim analyses of randomised controlled trials are sometimes
published before the final results are available. In several cases, the treatment
effects were noticeably different after patient recruitment and follow-up
completed. We therefore conducted a literature review of peer-reviewed journals
to compare the reported treatment effects between interim and final publications
and to examine the magnitude of the difference. METHODS: We performed an
electronic search of MEDLINE from 1990 to 2014 (keywords: 'clinical trial' OR
'clinical study' AND 'random*' AND 'interim' OR 'preliminary'), and we manually
identified the corresponding final publication. Where the electronic search
produced a final report in which the abstract cited interim results, we found the
interim publication. We also manually searched every randomised controlled trial
in eight journals, covering a range of impact factors and general medical and
specialist publications (1996-2014). All paired articles were checked to ensure
that the same comparison between interventions was available in both. RESULTS: In
all, 63 studies are included in our review, and the same quantitative comparison
was available in 58 of these. The final treatment effects were smaller than the
interim ones in 39 (67%) trials and the same size or larger in 19 (33%). There
was a marked reduction, defined as a >=20% decrease in the size of the treatment
effect from interim to final analysis, in 11 (19%) trials compared to a marked
increase in 3 (5%), p = 0.057. The magnitude of percentage change was larger in
trials where commercial support was reported, and increased as the proportion of
final events at the interim report decreased in trials where commercial support
was reported (interaction p = 0.023). There was no evidence of a difference
between trials that stopped recruitment at the interim analysis where this was
reported as being pre-specified versus those that were not pre-specified
(interaction p = 0.87). CONCLUSION: Published interim trial results were more
likely to be associated with larger treatment effects than those based on the
final report. Publishing interim results should be discouraged, in order to have
reliable estimates of treatment effects for clinical decision-making, regulatory
authority reviews and health economic analyses. Our work should be expanded to
include conference publications and manual searches of additional journal
publications.
PMID- 27889702
TI - Statins and the cholesterol mortality paradox.
AB - Large-scale randomised controlled trials, carried out in the context of secondary
cardiovascular prevention, have shown that statins are superior to placebo: these
drugs were shown to decrease cardiovascular events and total mortality. A further
set of clinical trials compared high intensity to low/standard intensity LDL
cholesterol lowering in the same setting (using either statins or a
statin/ezetimibe association). In this case, a decrease in LDL cholesterol and a
concomitant significant reduction in cardiovascular events were seen with
intensive therapy, however with no change in total mortality. This phenomenon we
may term the LDL cholesterol mortality paradox. It could be due either to the
prevention (by high-intensity therapy) of episodes not severe enough to lead to
the death of patients, or to high-intensity therapy leading to the death of some
patients at the same time as preventing the death of others, with a null
aggregate effect. Several types of adverse effects have been seen with statin
therapy, such as a possible increased incidence of Diabetes mellitus and of
myopathy. The decision to start high-intensity LDL cholesterol lowering (rather
than low- or moderate-intensity statin treatment) should be evaluated on a case
by-case basis, taking into consideration the overall aspects of each patient,
including the patient's preferences. High-intensity LDL cholesterol lowering, up
to the present moment, has failed to produce a change in overall prognosis (total
mortality), and should not therefore be mandatory in secondary cardiovascular
prevention. It remains to be seen if a similar LDL cholesterol mortality paradox
occurs with new drugs targeting plasma lipids.
PMID- 27889703
TI - The Santorini Conferences continue.
PMID- 27889704
TI - Pharmacogenetic studies: a tool to improve antidepressant therapy.
AB - The World Health Organization (WHO) predicts that major depressive disorder (MDD)
will be the second leading cause of death and disability by 2020. Nowadays,
approximately 60-70% of patients with this disorder have shown the lack of
effectiveness and tolerability of the therapy with antidepressants. The US Food
and Drug Administration (FDA) and the European Medicine Agency (EMA) are
including pharmacogenetic information in the labeling of several antidepressants.
The presence of this information represents the relevance of genetic
polymorphisms in drug response. These pharmacogenetic studies have been based on
the knowledge of genes involved in pharmacokinetic (CYP2D6, CYP2C19 and ABCB1)
and pharmacodynamic (SLC6A4, HTR2A, BDNF, GNB3 and FKBP5) processes of
antidepressant medications. The knowledge of the genotype of patients with MDD is
an important tool for personalized therapy that can improve their clinical
response to treatment. In this review, we highlight the most relevant genes
involved in the metabolism of antidepressants (ADs) or the genes related to the
presence of adverse reactions.
PMID- 27889705
TI - Effect Estimation in Point-Exposure Studies with Binary Outcomes and High
Dimensional Covariate Data - A Comparison of Targeted Maximum Likelihood
Estimation and Inverse Probability of Treatment Weighting.
AB - Inverse probability of treatment weighting (IPW) and targeted maximum likelihood
estimation (TMLE) are relatively new methods proposed for estimating marginal
causal effects. TMLE is doubly robust, yielding consistent estimators even under
misspecification of either the treatment or the outcome model. While IPW methods
are known to be sensitive to near violations of the practical positivity
assumption (e. g., in the case of data sparsity), the consequences of this
violation in the TMLE framework for binary outcomes have been less widely
investigated. As near practical positivity violations are particularly likely in
high-dimensional covariate settings, a better understanding of the performance of
TMLE is of particular interest for pharmcoepidemiological studies using large
databases. Using plasmode and Monte-Carlo simulation studies, we evaluated the
performance of TMLE compared to that of IPW estimators based on a point-exposure
cohort study of the marginal causal effect of post-myocardial infarction statin
use on the 1-year risk of all-cause mortality from the Clinical Practice Research
Datalink. A variety of treatment model specifications were considered, inducing
different degrees of near practical non-positivity. Our simulation study showed
that the performance of the TMLE and IPW estimators were comparable when the
dimension of the fitted treatment model was small to moderate; however, they
differed when a large number of covariates was considered. When a rich outcome
model was included in the TMLE, estimators were unbiased. In some cases, we found
irregular bias and large standard errors with both methods even with a correctly
specified high-dimensional treatment model. The IPW estimator showed a slightly
better root MSE with high-dimensional treatment model specifications in our
simulation setting. In conclusion, for estimation of the marginal expectation of
the outcome under a fixed treatment, TMLE and IPW estimators employing the same
treatment model specification may perform differently due to differential
sensitivity to practical positivity violations; however, TMLE, being doubly
robust, shows improved performance with richer specifications of the outcome
model. Although TMLE is appealing for its double robustness property, such
violations in a high-dimensional covariate setting are problematic for both
methods.
PMID- 27889706
TI - Using Relative Statistics and Approximate Disease Prevalence to Compare Screening
Tests.
AB - Schatzkin et al. and other authors demonstrated that the ratios of some
conditional statistics such as the true positive fraction are equal to the ratios
of unconditional statistics, such as disease detection rates, and therefore we
can calculate these ratios between two screening tests on the same population
even if negative test patients are not followed with a reference procedure and
the true and false negative rates are unknown. We demonstrate that this same
property applies to an expected utility metric. We also demonstrate that while
simple estimates of relative specificities and relative areas under ROC curves
(AUC) do depend on the unknown negative rates, we can write these ratios in terms
of disease prevalence, and the dependence of these ratios on a posited prevalence
is often weak particularly if that prevalence is small or the performance of the
two screening tests is similar. Therefore we can estimate relative specificity or
AUC with little loss of accuracy, if we use an approximate value of disease
prevalence.
PMID- 27889698
TI - Major involvement of bacterial components in rheumatoid arthritis and its
accompanying oxidative stress, systemic inflammation and hypercoagulability.
AB - We review the evidence that infectious agents, including those that become
dormant within the host, have a major role to play in much of the etiology of
rheumatoid arthritis and the inflammation that is its hallmark. This occurs in
particular because they can produce cross-reactive (auto-)antigens, as well as
potent inflammagens such as lipopolysaccharide that can themselves catalyze
further inflammagenesis, including via beta-amyloid formation. A series of
observables coexist in many chronic, inflammatory diseases as well as rheumatoid
arthritis. They include iron dysregulation, hypercoagulability, anomalous
morphologies of host erythrocytes, and microparticle formation. Iron
dysregulation may be responsible for the periodic regrowth and resuscitation of
the dormant bacteria, with concomitant inflammagen production. The present
systems biology analysis benefits from the philosophical idea of "coherence,"
that reflects the principle that if a series of ostensibly unrelated findings are
brought together into a self-consistent narrative, that narrative is thereby
strengthened. As such, we provide a coherent and testable narrative for the major
involvement of (often dormant) bacteria in rheumatoid arthritis.
PMID- 27889707
TI - Transgenerational programming of longevity through E(z)-mediated histone H3K27
trimethylation in Drosophila.
AB - Transgenerational effects on health and development of early-life nutrition have
gained increased attention recently. However, the underlying mechanisms of
transgenerational transmission are only starting to emerge, with epigenetics as
perhaps the most important mechanism. We recently reported the first animal model
to study transgenerational programming of longevity after early-life dietary
manipulations, enabling investigations to identify underlying epigenetic
mechanisms. We report here that post-eclosion dietary manipulation (PDM) with a
low-protein (LP) diet upregulates the protein level of E(z), an H3K27 specific
methyltransferase, leading to higher levels of H3K27 trimethylation (H3K27me3).
This PDM-mediated change in H3K27me3 corresponded with a shortened longevity of
F0 flies as well as their F2 offspring. Specific RNAi-mediated post-eclosion
knockdown of E(z) or pharmacological inhibition of its enzymatic function with
EPZ-6438 in the F0 parents improved longevity while rendering H3K27me3 low across
generations. Importantly, addition of EPZ-6438 to the LP diet fully alleviated
the longevity-reducing effect of the LP PDM, supporting the increased level of
E(z)-dependent H3K27me3 as the primary cause and immediate early-life period as
the critical time to program longevity through epigenetic regulation. These
observations establish E(z)-mediated H3K27me3 as one epigenetic mechanism
underlying nutritional programming of longevity and support the use of EPZ-6438
to extend lifespan.
PMID- 27889709
TI - Role of Mid-induction Peripheral Blood Minimal Residual Disease Detection in
Pediatric B-Lineage Acute Lymphoblastic Leukemia.
AB - OBJECTIVE: To study the role of mid-induction (day 15) peripheral blood minimal
residual disease (PB-MRD) detection in pediatric B- lineage acute lymphoblastic
leukemia (B-ALL). DESIGN: Prospective. SETTING: Tertiary-care center. PATIENTS:
40 consecutively-diagnosed treatment-naive, pediatric B-ALL patients.
INTERVENTION: National Cancer Institute (NCI) standard risk patients were given
three drug induction regimen comprising vincristine, L-asparginase and
prednisolone; NCI high-risk patients were supplemented with daunorubicin. MAIN
OUTCOME MEASURE: Day 15 PB-MRD and bone marrow MRD (BM-MRD) analyzed by six color
flow cytometry. RESULTS: The sensitivity of day 15 PB-MRD to identify concurrent
day 15 BM-MRD positivity was 64%, with 100% specificity. The positive and
negative predictive values were 100% and 62.5%, respectively. PB-MRD was positive
in 67% of relapsed patients. CONCLUSION: BM-MRD is a well-established prognostic
factor in B-ALL. We suggest, day 15 PB-MRD could be considered as an early,
minimally invasive and easily accessible MRD screening option.
PMID- 27889708
TI - miR-34a is a common link in both HIV- and antiretroviral therapy-induced vascular
aging.
AB - Both HIV and antiretroviral therapy could induce vascular aging with unclear
mechanisms. In this study, via microarray analysis, we identified, for the first
time, that miR-34a expression was significantly increased in both HIV-infected,
and antiretroviral agents-treated vessels and vascular endothelial cells (ECs)
from these vessels. In cultured ECs, miR-34a expression was significantly
increased by HIV-Tat protein and by the antiretroviral agents,
lopinavir/ritonavir. Both HIV-Tat protein and antiretroviral agents could induce
EC senescence, which was inhibited by miR-34a inhibition. In contrast, EC
senescence was exacerbated by miR-34a overexpression. In addition, the vascular
ECs isolated from miR-34a knockout mice were resistant to HIV and antiretroviral
agents-mediated senescence. In vivo, miR-34a expression in mouse vascular walls
and their ECs was increased by antiretroviral therapy and by HIV-1 Tat transgenic
approach. miR-34a inhibition could effectively inhibit both HIV-Tat protein and
antiretroviral therapy-induced vascular aging in mice. The increased miR-34a was
induced via p53, whereas Sirt1 was a downstream target gene of miR-34a in both
HIV-Tat protein and antiretroviral agents-treated ECs and vessels. The study has
demonstrated that miR-34a is a common link in both HIV and antiretroviral therapy
mediated vascular aging.
PMID- 27889710
TI - Effect of Withholding Phenobarbitone Maintenance in Neonatal Seizures: A
Randomized Controlled Trial.
AB - OBJECTIVE: To compare the effect of withholding maintenance phenobarbitone on
breakthrough seizures. DESIGN: A double blind randomized controlled trial.
SETTING: Level II neonatal intensive care unit (NICU) of a teaching hospital in
Northern India. PARTICIPANTS: 152 term and near term neonates (34 weeks of
gestation age) with admission weight >=2 kg with clinically apparent seizures who
received intravenous (IV) loading dose of 20 mg/kg of phenobarbitone.
INTERVENTIONS: After 12 hours of seizure free period of the initial loading dose
of phenobarbitone, one group received IV maintenance therapy and other 'no
maintenance' (saline as placebo). MAIN OUTCOME MEASURE: Breakthrough seizures
from randomization till discharge. RESULTS: Baseline variables were comparable in
the two groups. Breakthrough seizures occurred in 30 (40%) subjects in placebo
group and 24 (31.2%) in phenobarbitone group with RR (95% CI) of 1.28 (0.83-1.97)
(P=0.19). Seizure recurrence, re-hospitali-sation, mortality and abnormal
neurological assessment until 3 months were comparable in the two groups
(P>0.05). Babies in either group with breakthrough seizures were more likely to
be neurologically abnormal at 1 month than babies who did not have breakthrough
seizures, but this difference decreased by 3 months. CONCLUSION: In term and near
term neonates, those who respond to loading dose of phenobarbitone after a single
seizure episode, withholding of phenobarbitone maintenance may not significantly
increase the risk of breakthrough seizures.
PMID- 27889711
TI - Immunogenicity and Safety of a Liquid Hexavalent Vaccine in Indian Infants.
AB - OBJECTIVE: To evaluate the immunogenicity and safety of a fully liquid,
hexavalent diphtheria-tetanus-acellular pertussis-inactivated poliovirus
hepatitis B-Haemophilus influenzae type b (DTaP-IPV- HB-PRP~T) vaccine in Indian
infants. DESIGN: Phase III, single-arm study. SETTING: Two tertiary care
hospitals. PARTICIPANTS: 177 healthy, 6-week-old infants. INTERVENTION: All
participants received hepatitis B vaccine and Oral polio vaccine (OPV) at birth
and DTaP-IPV-HB-PRP~T at 6, 10, 14 weeks of age. MAIN OUTCOME MEASURES: Serum was
analyzed for immune responses to all antigens 1 month post- 3rd dose; safety was
assessed for 30 minutes post-vaccination, and for 7 days (solicited reactions)
and 30 days (unsolicited events). RESULTS: Seroprotection rates were 100% for
anti-HB (>10 mIU/mL), anti-PRP (>0.15 ug/mL), anti-T (>0.01 IU/mL), anti-polio 1,
2, and 3 (>8 [1/dil]), and 99.3% for diphtheria (>0.01 IU/mL). For the pertussis
antigens, vaccine response rate was 93.8% for anti-PT and 99.3% for anti-FHA.
37.9% and 54.6% of participants experienced at least one solicited injection site
and systemic reaction, respectively, and 20.3% of participants experienced at
least one unsolicited event (none of which was related to the vaccination). Four
serious adverse events (including one death) were reported, but none was related
to the vaccination. CONCLUSION: The fully liquid DTaP-IPV-HB-PRP~T vaccine is
highly immunogenic in infants in India when administered in a 6, 10, 14 week
schedule along with HB and OPV administered at birth, and was well tolerated.
PMID- 27889712
TI - Serum Procalcitonin for Predicting Significant Infections and Mortality in
Pediatric Oncology.
AB - OBJECTIVE: To evaluate the role of serum procalcitonin (PCT) level at admission
in predicting significant infections and deaths among children on chemotherapy
presenting with fever. METHODS: Children with clinically significant (CSI) and
microbiologically documented (MDI) infections were identified using standard
definitions. Association of PCT with CSI, MDI and mortality was analyzed.
RESULTS: We evaluated 821 febrile episodes in 316 children. CSI, MDI and deaths
were seen in 40.9%, 20.1% and 2.9%, respectively. PCT levels ranged from 0.05
560ng/mL. Median PCT was higher in episodes with CSI (0.80 vs. 0.28) and MDI
(0.71 vs. 0.34) (P<0.001). PCT >=0.7ng/mL optimally predicted CSI (AUC-0.740) and
MDI (AUC-0.636). Relative risk of mortality for PCT >=5ng/mL was 7.1. PCT
>=0.7ng/mL had poor sensitivity (45-55%) but good specificity and NPV (70-90%).
PCT was elevated in nearly half of documented viral and fungal infections.
CONCLUSION: PCT predicts significant infections and mortality in pediatric
oncology but it has poor sensitivity to guide clinical decisions.
PMID- 27889713
TI - Prognostic Value of Resistive Index in Neonates with Hypoxic Ischemic
Encephalopathy.
AB - OBJECTIVE: To evaluate the role of Resistive index measured by cranial doppler
ultrasonography in predicting the risk of death/ abnormal neurodevelopmental
outcomes in term neonates with hypoxic ischemic encephalopathy. METHODS: We
enrolled 50 term asphyxiated neonates with hypoxic ischemic encephalopathy and
measured resistive index within 72 hours from the anterior cerebral artery.
Participants underwent tone and developmental assessment at 6-12 months. RESULTS:
Among the 50 neonates, 25 (50%) had abnormal resistive index (<0.56 or >0.80).
Presence of abnormal resistive index increased the risk of death/ abnormal
neurological outcomes at 6-12 months [RR (95% CI): 7.5 (2.0,8.6), P<0.01].
CONCLUSION: An abnormal resistive index is associated with death/
neurodevelopmental impairment in neonatal hypoxic ischemic encephalopathy.
PMID- 27889714
TI - Neonatal Diabetes: A Case Series.
AB - BACKGROUND: Neonatal diabetes mellitusis a rare disorder with an incidence of 1
in 2,60,000 live births. METHODS: Retrospective analysis of clinical and genetic
profile of children admitted with neonatal diabetes mellitus in a tertiary-care
hospital in Chennai, India over 11 years. RESULTS: Ten children were diagnosed
with neonatal diabetes of whom 9 had permanent neonatal diabetes mellitus. The
age range at onset was from 3 days- 5 months. Of the 9 children, KCNJ11 gene
mutation was positive in one, and ABCC 8 and INS gene mutation in two children
each. Children with KCNJ11 and ABCC 8 gene mutations were switched over to oral
sulfonyl urea therapy. CONCLUSION: Few genotypes causing NDM can be managed
effectively with oral sulfonyl ureas.
PMID- 27889715
TI - Bedside Infant Manikins for Teaching Newborn Examination to Medical
Undergraduates.
AB - OBJECTIVE: To study whether using infant manikins during clinical posting could
help in teaching newborn examination to undergraduate medical students. METHODS:
111 final MBBS students were taught newborn examination either by the new method
which included practice on infant manikins at the bedside before examining babies
(Group 1) or by the traditional method which involved directly examining babies
(Group 2). They were tested the next day by validated OSCE stations on important
aspects of the newborn examination. Marking was done as 0 (completely incorrect),
1 (partially correct) or 2 (completely correct). Student feedback was also taken.
RESULTS: Scores were higher, with lesser variance, in Group 1. Student feedback
was positive, favoring the new method. CONCLUSION: Use of infant manikins at the
bedside during clinical posting improves the performance of undergraduate
students in newborn examination.
PMID- 27889716
TI - Association of Allergic Rhinitis and Sinusitis with Childhood Asthma.
AB - OBJECTIVE: To study the point prevalence of allergic rhinitis and sinusitis in
childhood asthma and to examine the relationship among them. METHODS: In 250
children (age <13 y) with mild-to-moderte asthma, allergic rhinitis was diagnosed
by clinical plus nasal eosinophilia criteria, and sinusitis was diagnosed
clinically plus confirmation by computerized tomography scan. RESULTS: The point
prevalence of allergic rhinitis was 13.6%, and of sinusitis was 2%. On
multivariate analysis, allergic rhinitis, sinusitis, and family history were
significantly associated with asthma severity. CONCLUSION: Allergic rhinitis is
common in childhood asthama, but sinusitis is rare.
PMID- 27889717
TI - Profile of Neonatal Sepsis due to Burkholderia capacia Complex.
AB - We report the result of retrospective record review of the clinical profile of 59
neonates who presented to a tertiary-care extramural neonatal unit with
Burkholderia cepacia complex infection. Among the 3265 admissions over 45 months,
incidence of Burkholderia sepsis was 18 per 1000 admissions. Case fatality rate
was 17%. Most (95%) isolates were sensitive to cotrimoxazole.
PMID- 27889718
TI - Parents' Evaluation of Developmental Status (PEDS) in Screening for Developmental
Delay in Thai Children Aged 18-30 Months.
AB - The PEDS-Thai is a developmental screening tool. We studied its diagnostic
performance among 137 Thai children (48.9%) aged 18-30 month. It had a
sensitivity of 92.8% and a specificity of 49.2%. The positive and negative
likelihood ratios were 1.82 and 0.14 when compared with clinical diagnosis and
diagnostic tool, the Mullen Scales of Early Learning.
PMID- 27889719
TI - Causes of Death among Children Aged >5 Years in a Public Hospital in New Delhi.
AB - Retrospective analysis was done for 3817 children aged 5-12 years admitted in a
tertiary-care, public hospital in New Delhi between January to December, 2015.
Mortality rate was 5.8%. About 47.1% deaths were due to central nervous system
involvement; viral meningoencephalitis being the predominant cause. Overall,
infectious diseases caused >80% of deaths. Public health interventions to reduce
child mortality need to review such data for effective measures.
PMID- 27889720
TI - Clearing Pediatric Airways.
PMID- 27889721
TI - Concept of Health Care Counseling for Pediatricians.
AB - Health care counseling (HCC) is a relatively new concept that amalgamates human
biology, human psychology and medical sociology principles, and applies the same
in real-time clinical situations. In India, there is a real paucity of trained
mental health personnel, and hence counseling services are restricted to few
departments. HCC is especially important for the child population, as the
pediatricians need to partner the parenting responsibilities in different illness
care settings covering the period from newborn to adolescence. This paper
proposes steps for further development of the concept, expertise and systematic
training program for health personnel, as an activity of Centre for Health Care
Counseling Studies under Kerala University of Health Sciences. Once the process
is documented, we hope that the same would be made available to other states in
India.
PMID- 27889722
TI - Vitamin D and its Role in the Management and Prevention of Lower Respiratory
Tract Infections in Infants and Young Children.
PMID- 27889723
TI - Vitamin D Supplementation for Treatment and Prevention of Pneumonia in Under-five
Children: A Randomized Double-blind Placebo Controlled Trial.
AB - OBJECTIVE: To evaluate the efficacy of single oral mega-dose of Vitamin D3 for
treatment and prevention of pneumonia in under-five children. DESIGN: Randomized,
double blind, placebo-controlled trial. SETTING: Tertiary-care hospital.
PARTICIPANTS: 324 children (of 980 assessed) between 6 mo-5 y age (median (IQR):
12 (7,19.8) mo) with WHO-defined severe pneumonia. Of these, 126 (39%) were
vitamin D deficient (serum 25(OH)D <12 ng/mL). INTERVENTION: 100,000 IU of oral
cholecalciferol (n= 162) or placebo (n= 162) in single dose, administered at
enrolment. Outcome variables: Primary: Time to resolution of severe pneumonia and
proportion of children having recurrence of pneumonia in next 6 months;
Secondary: Change in serum levels of 25(OH)D; immunoglobulins IgA, IgG, IgM, and
cathelicidin 2 weeks following supplementation; and time taken for overall
resolution of illness. OUTCOME VARIABLES: Primary: Time to resolution of severe
pneumonia and proportion of children having recurrence of pneumonia in next 6
months; Secondary: Change in serum levels of 25(OH)D; immunoglobulins IgA, IgG,
IgM, and cathelicidin 2 weeks following supplementation; and time taken for
overall resolution of illness. RESULTS: Median (95% CI) time for resolution of
severe pneumonia was 30 (29, 31) h in the vitamin D group as compared to 31
(29,33) h in the placebo group [adjusted hazard ratio (95% CI): 1.39 (1.11,
1.76); P = 0.005]. The risk of recurrence of pneumonia in next 6 months was
comparable in the two groups [placebo: 36/158 (22.8%); vitamin D: 39/156 (25%);
RR (95% CI): 1.13 (0.67,1.90); P 0.69]. Proportion of vitamin D deficient
children declined from 38% to 4% in the supplementation group, and from 41% to
33% in the placebo group, two weeks after supplementation. There was no
significant effect of vitamin D supplementation on serum levels of cathelicidin,
IgA and IgG. The time taken for complete recovery from pneumonia, duration of
hospitalization, and fever clearance time were comparable for the two groups. No
adverse event was noted related to the intervention. CONCLUSION: There is no
robust evidence of a definite biological benefit, either for therapy or
prevention, to suggest a routine megadose supplement of vitamin D3 for under-five
children with severe pneumonia.
PMID- 27889724
TI - Phenotype of Dent Disease in a Cohort of Indian Children.
AB - OBJECTIVE: To describe the clinical and genotypic features of Dent disease in
children diagnosed at our center over a period of 10 years. DESIGN: Case series.
SETTING: Pediatric Nephrology Clinic at a referral center in Northern India.
METHODS: The medical records of patients with Dent disease diagnosed and followed
up at this hospital from June 2005 to April 2015 were reviewed. The diagnosis of
Dent disease was based on presence of all three of the following: (i) low
molecular weight proteinuria, (ii) hypercalciuria and (iii) one of the following:
nephrolithiasis, hematuria, hypophosphatemia or renal insufficiency, with or
without mutation in CLCN5 or OCRL1 genes. RESULTS: The phenotype in 18 patients
diagnosed with Dent disease during this period was characterized by early age at
onset (median 1.8 y), and polyuria, polydipsia, salt craving, hypophosphatemic
rickets and night blindness. Rickets was associated with severe deformities,
fractures or loss of ambulation in six patients. Nephrocalcinosis was present in
three patients, while none had nephrolithiasis. Generalized aminoaciduria was
seen in 13 patients, two had glucosuria alone, and one had features of Fanconi
syndrome. Over a median follow up of 2.7 years, one patient developed renal
failure. Genetic testing (n=15) revealed 5 missense mutations and 3 nonsense
mutations in CLCN5 in 13 patients. Five of these variations (p.Met504Lys,
p.Trp58Cys, p.Leu729X, p.Glu527Gln and p.Gly57Arg) have not been reported outside
the Indian subcontinent. CONCLUSION: Our findings suggest a severe phenotype in a
cohort of Indian patients with Dent disease.
PMID- 27889725
TI - Fluid Supplementation versus No Fluid Supplementation in Late Preterm and Term
Neonates with Asymptomatic Polycythemia: A Randomized Controlled Trial.
AB - OBJECTIVE: To compare supplemental intravenous fluids with no supplementation in
asymptomatic polycythemic late preterm and term neonates. METHODS: 55 infants
with venous haematocrit of 65-75 were randomly allocated to receive either 25
mL/kg IV normal saline over 6-8 hours or routine fluids. They were followed up
for 48 hours. RESULTS: There was no significant difference between fluid
supplementation and control groups regarding need for partial exchange
transfusion [6/27 (22.2%) vs 8/28 (28.6%); P=0.59]. CONCLUSION: We did not find
any evidence of clinical benefit with IV fluid supplementation in late preterm
and term neonates with asymptomatic polycythemia (PCV 65-75).
PMID- 27889726
TI - Prolonged Infusion of Dexmedetomidine in Critically-ill Children.
AB - OBJECTIVE: To describe main indications, doses, length of infusion and side
effects related to dexmedetomidine infusion. METHODS: Observational and
retrospective study evaluating dexmedetomidine use in pediatric intensive care
unit. RESULTS: 77 children received dexmedetomidine infusion longer than 6 hours
for mechanical ventilation weaning (32.5%), post- neurosurgery and post-upper
airway surgery (24.7%), non-invasive ventilation (13%), refractory tachycardia
(6.5%) and other causes (23.3%). After 6 hours of infusion, significant decrease
in mean arterial pressure and heart rate was observed in all groups. Six children
(8%) required withdrawal of drug because of possible side effects: hypotension,
bradycardia and somnolence. CONCLUSION: Dexmedetomidine may be used as sedative
in critically ill children without much side effects.
PMID- 27889727
TI - Longitudinal Growth in Children and Adolescents with Type 1 Diabetes.
AB - OBJECTIVE: To study longitudinal growth in children with type 1 diabetes
mellitus. METHODS: Anthropometry, disease duration, insulin regimens and HbA1C
recorded from patients with diabetes enrolled in a specialty clinic. RESULTS: 160
children (75 boys; mean (SD) age 9.4 (3.3) y) were enrolled. 35% children had low
(<25th centile) height velocity. Disease duration and HbA1C affected height
velocity (adjusted for puberty). Children on basal-bolus had higher height
velocity Z scores than those on a split mix regimen [(0.5(1.6) vs. -0.3(1.4),
P<0.05)]. Children diagnosed before 5 years of age had lowest height velocity. Of
the children who reached final height, 53% remained below target height.
CONCLUSION: Children with type 1 diabetes mellitus have lower height velocity
compared to healthy children; those diagnosed at younger age were at higher risk
for growth failure.
PMID- 27889728
TI - Pediatric Inflammatory Bowel Disease.
AB - Background: The incidence of inflammatory bowel disease is increasing in the
pediatric population worldwide. NEED AND PURPOSE OF REVIEW: There is paucity of
high quality scientific data regarding pediatric inflammatory bowel disease. Most
of the guidelines are offshoots of work done in adults, which have been adapted
over time to diagnose and treat pediatric patients. This is in part related to
the small numbers in pediatric inflammatory bowel disease and less extensive
collaboration for multicentric trials both nationally and internationally.
METHODS: A literature search was performed using electronic databases i.e. Pubmed
and OVID, using keywords: pediatric, inflammatory bowel disease, Crohns disease,
Ulcerative colitis, epidemiology and guidelines. This article amalgamates the
broad principles of diagnosing and managing a child with suspected inflammatory
bowel disease. MAIN CONCLUSIONS: 25% of the patients with inflammatory bowel
disease are children and and young adolescents. The primary concern is its impact
on growth velocity, puberty and quality of life, including psychosocial issues.
Treatment guidelines are being re-defined as the drug armamentarium is
increasing. The emphasis will be to achieve mucosal healing and normal growth
velocity with minimal drug toxicity.
PMID- 27889729
TI - Accurate References Add to the Credibility.
PMID- 27889730
TI - Comparison of Lung Ultrasonography and Chest Radiography for Diagnosis of
Childhood Pneumonia: Evidence based Medicine Viewpoint.
PMID- 27889731
TI - Comparison of Lung Ultrasonography and Chest Radiography for Diagnosis of
Childhood Pneumonia: Pediatric Emergency Medicine Physicians Viewpoint.
PMID- 27889732
TI - Distal Renal Tubular Acidosis Associated with Celiac Disease and Thyroiditis.
AB - BACKGROUND: Association of distal renal tubular acidosis (RTA) with autoimmune
diseases is extremely rare in children. CASE CHARACTERISTICS: 12-year-old girl
with distal RTA. Despite resolution of acidosis on bicarbonate, she continued to
have poor growth and delayed puberty. Investigations revealed autoimmune
thyroiditis and celiac disease. OUTCOME: Levothyroxine and gluten-free diet were
initiated. Child gained height and weight and had onset of puberty after gluten
withdrawal. MESSAGE: Distal RTA in children may rarely be of autoimmune etiology.
PMID- 27889733
TI - Steroid Pulse Therapy for Kawasaki Disease Complicated with Myocarditis.
AB - BACKGROUND: The clinical management of intravenous immunoglobulin-resistant
Kawasaki disease shock syndrome (KDSS) is obscure. CASE CHARACTERISTICS: Three
children presented with intravenous immunoglobulin-resistant KDSS complicated
with myocarditis. OUTCOME: All cases were successfully managed with steroid pulse
therapy. MESSAGE: Steroid pulse therapy is effective in immunoglobulin-resistant
KDSS.
PMID- 27889734
TI - Retained Capsule Endoscope.
AB - BACKGROUND: Capsule endoscopy was invented to visualize the entire small
intestine in a non- invasive manner in adults. CASE CHARACTERISTICS: 1 y, 9 mo
old boy presented with generalized edema for last 3 months. His routine
investigations, including the upper gastrointestinal endoscopy, colonoscopy, and
contrast enhanced computed tomography scan (CECT) were normal. In view of
clinical suspicion of protein losing enteropathy, we planned capsule endoscopy.
OBSERVATION: The capsule was not passed even after 3 weeks. Laparoscopy revealed
impacted capsule in a dilated intestinal loop proximal to an ileal stricuture.
MESSAGE: Capsule endoscopy should be used judiciously in children.
PMID- 27889735
TI - A Novel Protein C Mutation Causing Neonatal Purpura Fulminans.
AB - BACKGROUND: Neonatal purpura fulminans due to congenital protein C deficiency is
a rare disorder. CASE CHARACTERISTICS: A four-day-old neonate presented with
multiple necrotic skin lesions with abnormal coagulation profile. INTERVENTION
AND OUTCOME: Skin lesions responded to repeated plasma transfusions but the
neonate developed bilateral retinal detachment. A novel homozygous PROC gene
mutation was noted in the neonate. MESSAGE: Molecular diagnosis and prenatal
counseling in neonatal purpura fulminans are vital considering the poor outcome.
PMID- 27889736
TI - Vitamin D and Metabolic Bone Parameters in Preterm Neonates.
AB - We measured serum levels of 25-hydroxy vitamin D (25(OH) D) in 79 preterm
neonates (?32 wk), and correlated it with serum ionized calcium (Ca++) levels at
48-72 h and serum phosphorus and alkaline phosphatase levels at 2-3 weeks of age.
The mean (SD) 25 (OH)D level was 14.8 (7.0) ng/mL. 25(OH)D levels had a weak
positive correlation with Ca++ (r=0.299) and phosphorus (r=0.186), and a negative
correlation with alkaline phosphatase (r=-0.523).
PMID- 27889737
TI - Immune Thrombocytopenic Purpura in Children of Eastern Henan Province, China.
AB - In this retrospective cohort study conducted in 63 children with idiopathic
thrombocytopenic purpura (ITP) in China; petechiae, bruises and bleeding were the
major presentations. Most cases required therapy with one/more treatment options.
PMID- 27889738
TI - Hackers Spy Scientists.
PMID- 27889739
TI - Concomitant Infections Should not Deter Clinicians from Diagnosing Kawasaki
Disease.
PMID- 27889740
TI - Pediatric Multiple Sclerosis.
PMID- 27889741
TI - Faculty Promotion Guidelines, Authorship and Indexing Issues Need More
Deliberations.
PMID- 27889742
TI - Faculty Promotion Guidelines: Authorship and Indexing Issues Need More
Deliberations: Authors Reply.
PMID- 27889743
TI - The Academy Should take up the Issue of Off label Prescriptions.
PMID- 27889744
TI - Non availability of Cloxacillin, A Deterrent for Rational Antimicrobial Practice.
PMID- 27889745
TI - Retinoblastoma Mimicking Orbital Cellulitis.
PMID- 27889746
TI - Distinct in vitro Complement Activation by Various Intravenous Iron Preparations.
AB - BACKGROUND: Intravenous (IV) iron preparations are widely used in the treatment
of anemia in patients undergoing hemodialysis (HD). All IV iron preparations
carry a risk of causing hypersensitivity reactions. However, the
pathophysiological mechanism is poorly understood. We hypothesize that a relevant
number of these reactions are mediated by complement activation, resulting in a
pseudo-anaphylactic clinical picture known as complement activation-related
pseudo allergy (CARPA). METHODS: First, the in-vitro complement-activating
capacity was determined for 5 commonly used IV iron preparations using functional
complement assays for the 3 pathways. Additionally, the preparations were tested
in an ex-vivo model using the whole blood of healthy volunteers and HD patients.
Lastly, in-vivo complement activation was tested for one preparation in HD
patients. RESULTS: In the in-vitro assays, iron dextran, and ferric
carboxymaltose caused complement activation, which was only possible under
alternative pathway conditions. Iron sucrose may interact with complement
proteins, but did not activate complement in-vitro. In the ex-vivo assay, iron
dextran significantly induced complement activation in the blood of healthy
volunteers and HD patients. Furthermore, in the ex-vivo assay, ferric
carboxymaltose and iron sucrose only caused significant complement activation in
the blood of HD patients. No in-vitro or ex-vivo complement activation was found
for ferumoxytol and iron isomaltoside. IV iron therapy with ferric carboxymaltose
in HD patients did not lead to significant in-vivo complement activation.
CONCLUSION: This study provides evidence that iron dextran and ferric
carboxymaltose have complement-activating capacities in-vitro, and
hypersensitivity reactions to these drugs could be CARPA-mediated.
PMID- 27889748
TI - MiR-146a Regulates Inflammatory Infiltration by Macrophages in
Polymyositis/Dermatomyositis by Targeting TRAF6 and Affecting IL-17/ICAM-1
Pathway.
AB - BACKGROUND/AIMS: The primary objective of this study was to investigate the role
of miR-146a in inducing the inflammatory infiltration of macrophages in
polymyositis/dermatomyositis (PM/DM) through targeting TNF receptor associated
factor 6 (TRAF6), which may further down-regulate the Interleukin-17 (IL
17)/Intercellular Adhesion Molecule 1 (ICAM-1) pathway. METHODS: Biopsies were
collected from PM/DM patients and healthy volunteers. PM/DM model establishment
and macrophage isolation were performed on Sprague Dawley (SD) rats. Model rats
and macrophages were treated with anti-IL-17, anti-ICAM-1, miR-146a mimics, miR
146a inhibitors, and TRAF6 siRNAs. Serum creatine phosphokinase (S-CK) expression
was assessed using double antibody sandwich enzyme-linked immunosorbent assay
(ELISA) assay, and immunohistochemistry assay was performed to analyze CD163
expression in muscle samples. Furthermore, we used transwell assay to test cell
migration; RT-PCR and western blot were carried out to determine the expression
of miR-146a, TRAF6, IL-17, and ICAM-1. RESULTS: The S-CK, TRAF6, IL-17 and ICAM-1
levels were higher in PM/DM patients compared with healthy controls and were down
regulated after the conventional treatment. Treatment with miR-146a mimics, anti
IL-17 and anti-ICAM-1 decreased the expression of IL-17 and ICAM-1, whereas miR
146a inhibitors exerted the opposite effects. The effects of miR-146a inhibitors
were suppressed by treatment with TRAF6 siRNA. In addition, the luciferase
reporter assay validated the targeting relationship between miR-146a and TRAF6.
CONCLUSIONS: MiR-146a regulates inflammatory macrophage infiltration in PM/DM by
targeting TRAF6 and affecting the IL-17/ICAM-1 pathway.
PMID- 27889747
TI - Alisol A 24-Acetate Prevents Hepatic Steatosis and Metabolic Disorders in HepG2
Cells.
AB - BACKGROUND: Non-alcoholic fatty liver disease (NAFLD) is closely associated with
metabolic disorders including hepatic lipid accumulation and inflammation. Alisol
A 24-acetate, a triterpene from Alismatis rhizome, has multiple biologic
activities such as hypolipidemic, anti-inflammatory and anti-diabetic. Thus we
hypothesized that Alisol A 24 -acetate would have effect on NAFLD. The present
study was conducted to investigate the therapeutic effects and potential
mechanisms of Alisol A 24-acetate against hepatic steatosis in a free fatty acids
(FFAs) induced NAFLD cell model. METHODS: This study was divided into four groups
including Control group, Model group (FFA group), Alisol A 24-acetate (FFA+A)
group, Fenofibrate (FFA+F) group. Preventive role of Alisol A 24-acetate was
evaluated using 10uM Alisol A 24-acetate plus 1 mM FFA (oleate:palmitate=2:1)
incubated with HepG2 cells for 24 h, which was determined by Oil Red O Staining,
Oil Red O based colorimetric assay and intracellular triglyceride (TG) content.
Besides, the inflammatory cytokines tumor necrosis factor (TNF)- alpha,
interleukin (IL)-6 levels as well as the protein and mRNA expressions that were
involved in fatty acid synthesis and oxidation including Adiponectin, AMP
activated protein kinase (AMPK) alpha, peroxisome proliferator-activated receptor
(PPAR) alpha, sterol regulatory element binding protein 1c (SREBP-1c), acetyl-CoA
carboxylase (ACC), fatty acid synthase (FAS), carnitine palmitoyltransferase 1
(CPT1) and acyl coenzyme A oxidase 1 (ACOX1) were detected. RESULTS: Alisol A 24
acetate significantly decreased the numbers of lipid droplets, Oil Red O lipid
content, and intracellular TG content. Besides, inflammatory cytokines TNF-alpha,
IL-6 levels were markedly inhibited by Alisol A 24-acetate. Furthermore, Alisol A
24-acetate effectively increased the protein and mRNA expressions of Adiponectin,
the phosphorylation of AMPKalpha, CPT1 and ACOX1, whereas decreased SREBP-1c, the
phosphorylation of ACC and FAS at both protein and mRNA levels. However, there
was no significant effect on the protein and mRNA expressions of PPARalpha by
Alisol A 24-acetate. CONCLUSIONS: These results demonstrated that Alisol A 24
acetate effectively ameliorated hepatic steatosis likely through Adiponectin,
which activated AMPKalpha signaling pathways via down-regulating SREBP-1c, ACC,
FAS and up-regulating CPT1 and ACOX1, and inhibited inflammation. Thereby, Alisol
A 24-acetate could be a promising candidate for the treatment of NAFLD.
PMID- 27889749
TI - Contribution of p38 MAPK to the Ameliorating Effect of Enriched Environment on
the Cognitive Deficits Induced by Chronic Cerebral Hypoperfusion.
AB - BACKGROUND/AIMS: An enriched environment (EE) ameliorates learning and memory
impairments induced by chronic cerebral hypoperfusion, and the p38 mitogen
activated protein kinase (p38 MAPK) signaling pathway exerts both beneficial and
deleterious effects on the nervous system during the progression of ischemia.
METHODS: The present study investigated whether p38 MAPK participates in the
process by which EE exposure ameliorates the cognitive deficits induced by
chronic cerebral hypoperfusion. RESULTS: EE exposure significantly enhanced the
cognitive performance of vascular dementia (VD) model rats, and p38 MAPK protein
decreased in parallel with cognitive improvements. Inhibition of p38 MAPK
function by its selective inhibitor SB203580 improved the cognition index of VD
rats and upregulated p38 MAPK expression with p38 MAPK antisense
oligodeoxynucleotides. This impaired cognition in VD rats could not be rescued by
EE exposure. CONCLUSION: p38 MAPK participates in the process by which EE
exposure ameliorates cognitive deficits induced by chronic cerebral
hypoperfusion.
PMID- 27889751
TI - Targeted Therapy of Head and Neck Cancer.
AB - Head and neck squamous cell carcinoma (HNSCC) is one of the most common solid
cancers worldwide. It is mainly caused by exposure to tobacco smoke and alcohol
as well as infection with the human papilloma virus (HPV). The prognosis is poor,
especially once it recurs or metastasizes. Current therapeutic options include
surgery, radio- and chemotherapy. Epidermal growth factor receptor (EGFR)
inhibitors are so far the only targeted agents that have been approved in head
and neck cancer. Primary or secondary resistance is frequent or will eventually
develop. Several driver mutations and other genomic aberrations have been
described in HNSCC including EGFR overexpression and amplification. Yet, no
predictive biomarkers for the application of EGFR inhibitors have been
identified. Further targeted agents are in development for HNSCC, of which
inhibitors of the PI3K pathway are the closest to clinical application. In recent
years, the incidence of HPV-driven HNSCC has risen in Western countries. HPV
positive and -negative HNSCC are distinct molecular tumor entities, and
consequences for targeted therapies have been discussed. This review looks at
approved and investigational targeted treatment strategies as well as potential
predictive biomarkers such as the HPV status to guide treatment.
PMID- 27889750
TI - Metformin Protects Neurons against Oxygen-Glucose Deprivation/Reoxygenation
Induced Injury by Down-Regulating MAD2B.
AB - BACKGROUND/AIMS: Metformin, the common medication for type II diabetes, has
protective effects on cerebral ischemia. However, the molecular mechanisms are
far from clear. Mitotic arrest deficient 2-like protein 2 (MAD2B), an inhibitor
of the anaphase-promoting complex (APC), is widely expressed in hippocampal and
cortical neurons and plays an important role in mediating high glucose-induced
neurotoxicity. The present study investigated whether metformin modifies the
expression of MAD2B and to exert its neuroprotective effects in primary cultured
cortical neurons during oxygen-glucose deprivation/reoxygenation (OGD/R), a
widely used in vitro model of ischemia/reperfusion. METHODS: Primary cortical
neurons were cultured, deprived of oxygen-glucose for 1 h, and then recovered
with oxygen-glucose for 12 h and 24 h. Cell viability was measured by detecting
the levels of lactate dehydrogenase (LDH) in culture medium. The levels of MAD2B,
cyclin B and p-histone 3 were measured by Western blot. RESULTS: Cell viability
of neurons was reduced under oxygen-glucose deprivation/reoxygenation (OGD/R).
The expression of MAD2B was increased under OGD/R. The levels of cyclin B1, which
is a substrate of APC, were also increased. Moreover, OGD/R up-regulated the
phosphorylation levels of histone 3, which is the induction of aberrant re-entry
of post-mitotic neurons. However, pretreatment of neurons with metformin
alleviated OGD/R-induced injury. Metformin further decreased the expression of
MAD2B, cyclin B1 and phosphorylation levels of histone 3. CONCLUSION: Metformin
exerts its neuroprotective effect through regulating the expression of MAD2B in
neurons under OGD/R.
PMID- 27889752
TI - Dietary and Alcohol Intake and Central Nervous System Tumors in Adults: Results
of the CERENAT Multicenter Case-Control Study.
AB - BACKGROUND: Little is known about the relationship between diet and central
nervous system (CNS) tumors, especially in terms of their histological subtypes.
This study investigated the overall associations between food groups, alcohol
intake and CNS tumors, and in particular about the associations between
neuroepithelial tumors and meningiomas. METHODS: Data were collected through the
CERENAT (CEREbral tumors: a NATional study) case-control study conducted in
France during the period 2004-2010. Data were available for 1,479 subjects (494
cases, including 201 neuroepithelial tumors, 193 meningiomas, 100 other CNS
tumors, and their 985 matched controls). Conditional logistic regressions for
matched sets were adjusted based on the participants' educational level,
occupation, smoking status and frequency of food group consumption. RESULTS: A
heavy consumption of grilled meat and poultry was associated with neuroepithelial
tumors in a dose-related relationship (ORQ4vsQ1 = 3.72, 95% CI 1.62-8.52, p =
0.005). Higher fruit and vegetable intake was inversely associated with
meningiomas (for fruits: ORQ4vsQ1 = 0.38, 95% CI 0.17-0.87, p = 0.06, for
vegetables ORQ4vsQ1 = 0.26, 95% CI 0.11-0.62, p = 0.007). Consumption of alcohol
on a daily basis was inversely associated with CNS tumors especially for
meningiomas (ORQ4vsQ1 = 0.33, 95% CI 0.18-0.61, p = 0.001). CONCLUSIONS: Results
obtained in terms of grilled meat, fruits and vegetables consumption were in line
with those published in epidemiological literature. Contradictions in results
between neuroepithelial tumors and meningiomas confirmed the need to analyze the
effects of dietary factors on the basis of the histological subtypes of CNS
tumors.
PMID- 27889753
TI - Renal Denervation Attenuates Multi-Organ Fibrosis and Improves Vascular
Remodeling in Rats with Transverse Aortic Constriction Induced Cardiomyopathy.
AB - BACKGROUND/AIMS: To investigate the effects of renal denervation (RDN) on multi
organ fibrosis and vascular remodeling in cardiomyopathy. METHODS: Thirty-six
male Sprague-Dawley rats underwent transverse aortic constriction (TAC). Five
weeks later, 28 surviving TAC rats were randomly assigned to three groups: (1)
RDN, (2) Sham, (3) Carvedilol. Six male Sham TAC rats served as the Control. Ten
weeks after TAC, samples were collected. RESULTS: TAC rats showed an increased
diastolic interventricular septal thickness at week 5. At 10 weeks, Masson
staining showed that left ventricular and renal glomerular fibrosis were
significantly reduced in RDN compared with Sham group. In comparison to Sham
group, hepatic perivascular fibrosis was attenuated in both RDN and Carvedilol
group, so were the media thickness and the media/lumen of aorta. The plasma
levels of B-type natriuretic peptide (BNP), Cystatin C (Cys-C), Alanine
Transaminase, angiotensin II (Ang II), transforming growth factor beta 1 (TGF
beta1), and malondialdehyde increased, and total superoxide dismutase (T-SOD)
decreased in Sham but not in RDN group, compared with Control group. Both RDN and
Carvedilol reduced the Cys-C and TGF-beta1 levels, and restored T-SOD
concentration, compared with Sham group. While only RDN lowered the plasma levels
of BNP and Ang II. No significant effects of RDN on blood pressure (BP) and heart
rate (HR) were oberved. CONCLUSIONS: RDN can attenuate multi-organ fibrosis and
improve vascular remodeling independent of BP and HR change in TAC-induced
cardiomyopathy. These effects of RDN may be associated with the direct inhibition
of renin-angiotensin-aldosterone system and oxidative stress.
PMID- 27889755
TI - Prevalence of the Janus Kinase 2 V617F Mutation in Patients with End-Stage Renal
Disease.
PMID- 27889754
TI - Serous Cystadenoma of the Pancreas: Potentials and Pitfalls of a Preoperative
Cytopathologic Diagnosis.
AB - OBJECTIVES: Pancreatic serous cystadenomas (SCAs) are benign tumors.
Technological advances in imaging have led to increased recognition of
asymptomatic pancreatic cysts, consequently increasing the demand for
cytomorphologic evaluations of cyst fluid. STUDY DESIGN: A retrospective search
through the pathology archives over an 11-year period was performed to identify
SCAs from pancreatectomy specimens with a presurgical pancreatic EUS-guided fine
needle aspiration (FNA). RESULTS: Fifty-one FNAs were identified. The average
patient age was 59.9 years and 34 (67%) were female. Thirty-five (69%) of the
SCAs were located in the body or tail of the pancreas. SCAs ranged in size from
1.3 to 8.0 cm (mean 4.9). On imaging, features suggestive of SCA were seen in 7
(14%) cases. The cytologic diagnoses were as follows: SCA in 5 (10%) cases,
suspicious for mucin-producing neoplastic cyst in 4 (8%), pseudocyst in 4 (8%),
and benign ductal and/or acinar epithelium, not otherwise specified in 24 (47%).
Additionally, 14 (27%) cases were deemed nondiagnostic. CONCLUSIONS: A
cytopathologic diagnosis of SCA on FNA is extremely difficult. The salient
cytomorphologic features for identifying SCAs included scant cellularity, a
mostly clear background, absence of extracellular mucin, hemosiderin-laden
macrophages, and loose fragments of cuboidal cells with a notable absence of
necrosis, atypia, and mitoses.
PMID- 27889756
TI - Liquid Chromatography/Mass Spectrometry Reveals the Effect of Lactobacillus
Treatment on the Faecal Metabolite Profile of Rats with Chronic Renal Failure.
AB - BACKGROUND/AIM: Chronic kidney disease is accompanied by changes in the gut
microbiome and by an increase in the number of gut pathogenic bacteria. The aim
of this study was to investigate the difference of the faecal metabolic profiles
in rats with uremia, and to determine whether the altered metabolites in the rats
with uremia can be restored by Lactobacillus. METHODS: Thirty rats were randomly
divided into 3 groups: sham, uremia and uremia + probiotic (UP) groups. The rats
in uremia and UP groups were prepared through surgical renal mass 5/6 ablation.
The rats in the UP group received Lactobacillus LB (1 ml, 109 CFU/ml) through
gavage every day for 4 weeks. The rats were fed with a standard diet. Faecal
samples were analysed through ultra performance liquid chromatography/mass
spectrometry. Statistical analyses were performed using MetaboAnalyst and MATLAB.
RESULTS: A total of 99, 324 and 177 significantly different ion peaks were
selected between sham and uremia groups; sham and UP groups; and uremia and UP
groups, respectively. In the 3 groups, 35 significantly altered metabolites were
identified; of the 35 metabolites, 27 initially increased and then decreased; by
contrast, 8 metabolites initially decreased and then increased. The 35
metabolites were subjected to pathway analysis in MetaboAnalyst. CONCLUSIONS:
Faecal metabolites were significantly altered in rats with uremia; these changes
were partially reversed by Lactobacillus.
PMID- 27889757
TI - Morphological and Metabolic Alteration of Cerebellum in Patients with Post-Stroke
Depression.
AB - BACKGROUND: To study morphological and metabolic changes of cerebellum with
multimodality magnetic resonance imaging (MRI) and proton magnetic resonance
spectroscopy (1H-MRS), respective, to explore correlation between cerebellum
alteration and severity of depression in patients with post-stroke depression.
METHODS: 60 subjects, including 40 stroke patients and 20 healthy volunteers were
enrolled. Depression of stroke patients was tested by Self-rating Depression
Scale (SDS) and Hamilton Depression Scale (HAMD), based on which stroke-patients
were grouped into post-stroke depression (PSD group) and without post-stroke
depression (CONT group). RESULTS: Volume of cerebellum decreased in PSD group and
CONT group compared with healthy volunteer (NORM) group. White matter of
cerebellum in PSD group and CONT group was disrupted; such disruption was
significantly in PSD group. In addition, there was correlation between cerebellum
volume and FA and HDRS scores (P<00.01). The Cho/Cr and Cho/NAA ratios in
cerebellum contralateral to stroke lesion in PSD were higher than those in NORM
group (P<0.05). Cho/Cr and Cho/NAA ratios in contralateral cerebellum and ratio
difference of Cho/Cr in bilateral cerebellum were positively correlated with HAMD
scales (P<0.05). CONCLUSION: Morphologic and metabolic alterations are evident in
patients with post-stroke depression, indicating possible involvement of
cerebellum in post-stroke-depression occurrence.
PMID- 27889758
TI - Stimulation of Suicidal Erythrocyte Death by Rottlerin.
AB - BACKGROUND/AIMS: The phytochemical polyphenol rottlerin is a potent activator of
diverse Ca2+ -sensitive K+ channels. Those channels play a decisive role in the
execution of eryptosis, the suicidal death of erythrocytes, which is
characterized by cell shrinkage and cell membrane scrambling with
phosphatidylserine translocation to the erythrocyte surface. Signaling involved
in the stimulation of eryptosis includes increase of cytosolic Ca2+ activity
([Ca2+]i) and ceramide. The present study explored, whether rottlerin induces
eryptosis and, if so, to test for the involvement of Ca2+ entry and ceramide.
METHODS: Flow cytometry was employed to estimate phosphatidylserine exposure at
the cell surface from annexin-V-binding, cell volume from forward scatter,
[Ca2+]i from Fluo3-fluorescence, and ceramide abundance utilizing specific
antibodies. Hemolysis was quantified by determination of haemoglobin
concentration in the supernatant. RESULTS: A 48 hours exposure of human
erythrocytes to rottlerin (1 - 5 uM) significantly increased the percentage of
annexin-V-binding cells, an effect paralleled by significant decrease of forward
scatter. Up to 5 uM rottlerin failed to significantly increase average Fluo3
fluorescence. Rottlerin (5 uM) did, however, significantly increase the ceramide
abundance. Rottlerin (5 uM) further significantly increased hemolysis. The effect
of rottlerin (5 uM) on annexin-V-binding was virtually abolished by removal of
extracellular Ca2+. CONCLUSIONS: Rottlerin stimulates eryptosis with erythrocyte
shrinkage and phospholipid scrambling of the erythrocyte cell membrane, an effect
paralleled by and at least in part due to Ca2+ entry and ceramide.
PMID- 27889759
TI - Cytomorphological Features Useful to Prevent Errors in the Diagnosis of
Pancreatic Adenocarcinoma by Fine Needle Aspiration Cytology.
AB - OBJECTIVES: Endoscopic ultrasound-guided fine-needle aspiration (FNA) is now
widely used as a primary tool to diagnose pancreatic neoplasms. However, criteria
that can reduce the risk of overdiagnosing pancreatic adenocarcinoma by FNA have
not been adequately defined in the literature. This study aims to identify
characteristic cytomorphological features that are helpful in distinguishing
pancreatic adenocarcinoma from its mimics. STUDY DESIGN: Five false-positive FNA
cases (group A) diagnosed as adenocarcinoma (4 cases) and suspicious for
adenocarcinoma (1 case) by FNA, were identified by searching our laboratory
information system. Cytomorphological features of group A cases were compared to
12 true-positive, histologically confirmed FNA cases (group B). RESULTS:
Subsequent histological follow-ups of 5 misdiagnosed FNA cases showed 2 cases of
intraductal papillary mucinous neoplasm with focal high-grade dysplasia, 1 case
attributed to tumor contamination from a gastroesophageal junction
adenocarcinoma, and 2 cases of pancreatic intraepithelial neoplasia
(PanIN1/reactive change and PanIN2, respectively). Cytomorphological features
present in both groups A and B included nuclear enlargement/overlapping, mild to
moderate anisonucleosis, granular chromatin and prominent nucleoli. However, 1 or
more of these 4 characteristic morphological features such as 3-dimensional
cluster with cell disorientation, isolated malignant cells, irregular nuclear
contour/nuclear grooves/notches (>5% atypical cell population),
and marked nuclear size variation 1:4 or higher was mainly present in
adenocarcinoma. CONCLUSIONS: A combination of at least 2 of these 4
characteristic cytomorphological features needs to be present before rendering an
unequivocal diagnosis of adenocarcinoma. Using these strict cytological criteria
would have eliminated these false-positive diagnoses.
PMID- 27889760
TI - Pamidronate Attenuates Oxidative Stress and Energetic Metabolism Changes but
Worsens Functional Outcomes in Acute Doxorubicin-Induced Cardiotoxicity in Rats.
AB - BACKGROUND: Cardiotoxicity is the major side effect of doxorubicin. As mechanisms
that are involved in cardiotoxicity are ambiguous, new methods for attenuating
cardiotoxicity are needed. Recent studies have shown that bisphosphonates can
decrease oxidative stress. Therefore, the objective of this study was to evaluate
the effect of pamidronate on preventing acute doxorubicin-induced cardiotoxicity.
METHODS: Sixty-four male Wistar rats were allocated into four groups: the control
group (C), the pamidronate group (P), the doxorubicin group (D) and the
doxorubicin/pamidronate group (DP). The rats in the P and DP groups received
pamidronate injections (3 mg/kg, IP). After 24 hours, the rats in the D and DP
groups received doxorubicin injections (20 mg/kg, IP). Forty-eight hours after
doxorubicin injection, the rats were killed. Echocardiography, isolated heart
study and biochemical analysis were performed. RESULTS: Doxorubicin-induced acute
cardiotoxicity showed increased matrix metalloproteinases (MMP)-2 activation,
oxidative damage and induced alterations in myocardial energetic metabolism.
Pamidronate did not inhibit MMP-2 activation but attenuated oxidative stress and
improved myocardial energetic metabolism. Regarding cardiac function, the DP
group exhibited a decrease in the left ventricular ejection fraction in the
echocardiography and a decrease in +dP/dt in the isolated heart study compared
with other groups. The same DP group presented serum hypocalcaemia. CONCLUSIONS:
Despite its ability to reduce oxidative stress and improve energy metabolism in
the heart, pamidronate worsened systolic function in rats treated with
doxorubicin, and therefore we cannot recommend its use in conjunction with
anthracycline chemotherapy.
PMID- 27889761
TI - Cilostazol Suppresses IL-23 Production in Human Dendritic Cells via an AMPK
Dependent Pathway.
AB - BACKGROUND/AIMS: Cilostazol has been previously demonstrated to inhibit IL-23
production in human synovial macrophages via a RhoA/ROCK-dependent pathway.
However, whether cilostazol affects IL-23 production in human dendritic cells
remains largely unknown. The present study was designed to investigate this
question and elucidate the possible underlying mechanisms. METHODS: Human
monocyte-derived dendritic cells (mo-DCs) were pretreated with or without
cilostazol and then incubated with zymosan. Enzyme-linked immunosorbent assay
(ELISA) and real time PCR analyses were used to measure IL-23 protein expression
and RNA levels, respectively, whereas Western blotting was used to measure the
expression and phosphorylation level of AMPK. RESULTS: Our results demonstrated
that cilostazol suppressed zymosan-induced IL-23 protein production in a
concentration dependent manner without affecting dendritic cell viability. In
addition, it was found that cilostazol suppressed the expression of the p19 and
p40 subunits of IL-23. Moreover, cilostazol mimicked the effect of the AMPK
agonist A-769662, as demonstrated by the fact that IL-23 production was also
inhibited by A-769662, and the effect of cilostazol on IL-23 production was
blocked by the AMPK antagonist Compound C. More importantly, Western blotting
demonstrated that cilostazol led to an increased phosphorylation of AMPK.
CONCLUSION: Collectively, our data suggest that cilostazol inhibits the
production of IL-23 in human mo-DCs, potentially via the activation of AMPK. This
suggests that cilostazol could be an effective anti-inflammatory agent in IL-23-
and dendritic cell-related diseases.
PMID- 27889762
TI - Evaluation of Isolation Methods for Circulating Tumor Cells (CTCs).
AB - BACKGROUND: Detection of CTCs is a poor prognostic factor for many cancer types;
however, their very low frequency represents an obstacle for their detection. The
objective of the current study was to compare the performance of commonly used
methods for CTCs isolation. METHODS: The evaluated methods using spiking
experiments of MCF7, SKBR3 and MDA MB-231 breast cancer cell lines were (i)
ficoll density gradient separation (DGS), (ii) red blood cell lysis
(Erythrolysis) isolation, (iii) positive immunomagnetic selection (EpCAM Dynal
beads), (iv) two different negative immunomagnetic separation systems (Dynal vs
Miltenyi CD45 beads) as well as (v) the Cell Search platform and (vi) the ISET
system. RESULTS: The recovery rates of Erythrolysis and DGS were 39% and 24%,
respectively. Magnetic isolations are ranked from the worse to the best recovery
rate as follows:, Myltenyi-anti-CD45 microbeads (24%); Dynal-anti-EpCAM beads
(75%); Dynabeads-anti-CD45 (97%). CTCs isolation from blood samples using the
CellSearch and ISET systems revealed that the recovery rate for Cell Search and
ISET was 52% and 95%, respectively. CONCLUSIONS: Dynal-anti-CD45 beads have the
best recovery rate compared to other magnetic methods. Furthermore the recovery
rate of ISET was higher compared to Cell Search, especially for the more
aggressive MDA-MB 231 cell line.
PMID- 27889763
TI - Inhibition of the JAK2/STAT3/SOSC1 Signaling Pathway Improves Secretion Function
of Vascular Endothelial Cells in a Rat Model of Pregnancy-Induced Hypertension.
AB - BACKGROUND/AIMS: The present study aimed to investigate the effects of the
JAK2/STAT3/SOSC1 signaling pathway on the secretion function of vascular
endothelial cells (VECs) in a rat model of pregnancy-induced hypertension (PIH).
METHODS: A PIH rat model was established. Forty-eight pregnant Sprague-Dawley
female rats were selected and assigned into four groups: the normal group (normal
non-pregnant rats), the non-PIH group (pregnant rats without PIH), the PIH group
(pregnant rats with PIH) and the AG490 group (pregnant rats with PIH treated with
AG490). Systolic blood pressure (SBP) and urinary protein (UP) were measured. The
expressions of JAK2/STAT3/SOSC1 signaling pathway-related proteins in placenta
tissues were detect by Western blotting. Radioimmunoassay was applied to detect
serum levels of nitric oxide (NO), super oxide dismutase (SOD), placental growth
factor (PGF), thromboxane B2 (TXB2) and endothelin (ET). Enzyme-linked
immunosorbent assay (ELISA) was used to determine serum levels of interleukin-6
(IL-6), interleukin-10 (IL-10) and tumor necrosis factor-alpha (TNF-alpha).
RESULTS: Compared with the normal and non-PIH groups, the PIH and AG490 groups
had higher SBP and UP levels at 17th and 25th day of pregnancy. The expressions
of p/t-JAK2, p/t-STAT3 and SOSC1 in the PIH and AG490 groups were higher than
those in the non-PIH group, while the expressions of p/t-JAK2, p/t-STAT3 and
SOSC1 in the AG490 group were lower than those in the PIH group. Compared with
the non-PIH group, serum levels of ET, TXB2, IL-6 and TNF-alpha were increased in
the PIH and AG490 groups, while serum levels of NO, SOD, 6-keto-PGF1a and IL-10
levels were reduced. Furthermore, the AG490 had lower serum levels of ET, TXB2,
IL-6 and TNF-alpha and higher serum levels of NO, SOD, 6-keto-PGF1a and IL-10
than those in the PIH group. CONCLUSION: Our study provides evidence that
inhibition of the JAK2/STAT3/SOSC1 signaling pathway could improve the secretion
function of VECs in PIH rats.
PMID- 27889764
TI - High Uric Acid Activates the ROS-AMPK Pathway, Impairs CD68 Expression and
Inhibits OxLDL-Induced Foam-Cell Formation in a Human Monocytic Cell Line, THP-1.
AB - BACKGROUND/AIMS: Hyperuricemia is part of the metabolic-syndrome cluster of
abdominal obesity, impaired glucose tolerance, insulin resistance, dyslipidemia,
and hypertension. Monocytes/macrophages are critical in the development of
metabolic syndrome, including gout, obesity and atherosclerosis. However, how
high uric acid (HUA) exposure affects monocyte/macrophage function remains
unclear. In this study, we investigated the molecular mechanism of HUA exposure
in monocytes/macrophages and its impact on oxidized low-density lipoprotein
(oxLDL)-induced foam-cell formation in a human monocytic cell line, THP-1.
METHODS: We primed THP-1 cells with phorbol-12-myristate-13-acetate (PMA) for
differentiation, then exposed cells to HUA and detected the production of
reactive oxygen species (ROS) and analyzed the level of phospho-AMPKalpha. THP-1
cells were pre-incubated with Compound C, an AMPK inhibitor, or N-acetyl-L
cysteine (NAC), a ROS scavenger, or HUA before PMA, to assess CD68 expression and
phospho-AMPKalpha level. PMA-primed THP-1 cells were pre-treated with oxLDL
before Compound C and HUA treatment. Western blot analysis was used to examine
the levels of phospho-AMPKalpha, CD68, ABCG1, ABCA1, cyclooxygenase-2 (COX-2) and
NF-kappaB (p65). Flow cytometry was used to assess ROS production and CD68
expression in live cells. Oil-red O staining was used to observe oxLDL uptake in
cells. RESULTS: HUA treatment increased ROS production in PMA-primed THP-1 cells;
NAC blocked HUA-induced oxidative stress. HUA treatment time-dependently
increased phospho-AMPKalpha level in PMA-primed THP-1 cells. The HUA-induced
oxidative stress increased phospho-AMPKalpha levels, which was blocked by NAC.
HUA treatment impaired CD68 expression during cell differentiation by activating
the AMPK pathway, which was reversed by Compound C treatment. Finally, HUA
treatment inhibited oxLDL uptake in the formation of foam cells in THP-1 cells,
which was blocked by Compound C treatment. HUA treatment significantly increased
the expression of ABCG1 and reversed the oxLDL-reduced ABCG1 expression but did
not affect the expression of ABCA1, NF-kappaB (p65) or COX-2. CONCLUSIONS: HUA
exposure activated the ROS-AMPK pathway, impaired CD68 expression, and inhibited
oxLDL-induced foam-cell formation in a human monocytic cell line, THP-1.
PMID- 27889765
TI - Contribution of Common Variants in GABRG2, RELN and NRG3 and Interaction Networks
to the Risk of Hirschsprung Disease.
AB - BACKGROUND: Hirschsprung disease (HSCR) is a complex and heterogeneous disorder,
characterized by a deficit in enteric nervous system. Genome-wide studies implied
GABRG2, RELN and NRG3 might be involved in HSCR etiology. Here, we aimed to
assess genetic variants in GABRG2, RELN and NRG3 that may confer susceptibility
to HSCR and explore genetic interaction networks in HSCR. METHODS: Using a
strategy that combined case-control study and gene-gene interaction analysis with
the MassArray system, we evaluated 24 polymorphisms within GABRG2, RELN and NRG3
in 104 HSCR cases and 151 normal controls of Han Chinese origin. RESULTS: We
observed that seven polymorphisms showed statistically significant differences
between HSCR subjects and normal controls. For each of the three genes, the
haplotypes which combined eight markers were the most significant. Moreover, we
recruited SNPsyn, GO enrichment and MDR analyses to interrogate the interactions
among GABRG2, RELN, NRG3 and our previous identified PTCH1 gene. Significant
interaction networks were found among GABRG2, RELN, and PTCH1. CONCLUSION: We
provide a first indication that common variants of GABRG2, RELN and NRG3 and the
GABRG2-RELN-PTCH1 interaction networks might confer altered susceptibility to
HSCR in the Han Chinese population, suggesting a potential mechanism underlying
HSCR pathogenesis.
PMID- 27889766
TI - Telencephalic Neuronal Activation Associated with Spatial Memory in the
Terrestrial Toad Rhinella arenarum: Participation of the Medial Pallium during
Navigation by Geometry.
AB - Amphibians are central to discussions of vertebrate evolution because they
represent the transition from aquatic to terrestrial life, a transition with
profound consequences for the selective pressures shaping brain evolution.
Spatial navigation is one class of behavior that has attracted the interest of
comparative neurobiologists because of the relevance of the medial
pallium/hippocampus, yet, surprisingly, in this regard amphibians have been
sparsely investigated. In the current study, we trained toads to locate a water
goal relying on the boundary geometry of a test environment (Geometry-Only) or
boundary geometry coupled with a prominent, visual feature cue (Geometry
Feature). Once learning had been achieved, the animals were given one last
training session and their telencephali were processed for c-Fos activation.
Compared to control toads exposed to the test environment for the first time,
geometry-only toads were found to have increased neuronal labeling in the medial
pallium, the presumptive hippocampal homologue, while geometry-feature toads were
found to have increased neuronal labeling in the medial, dorsal, and lateral
pallia. The data indicate medial pallial participation in guiding navigation by
environmental geometry and lateral, and to a lesser extent dorsal, pallial
participation in guiding navigation by a prominent visual feature. As such,
participation of the medial pallium/hippocampus in spatial cognition appears to
be a conserved feature of terrestrial vertebrates even if their life history is
still tied to water, a brain-behavior feature seemingly at least as ancient as
the evolutionary transition to life on land.
PMID- 27889767
TI - Crocetin Inhibits Lipopolysaccharide-Induced Inflammatory Response in Human
Umbilical Vein Endothelial Cells.
AB - BACKGROUND/AIM: Crocetin is a readily bioavailable and bioactive compound
extracted from Saffron. Previous studies indicated its various biomedical
properties including antioxidant and anti-coagulation potencies. However, its
effect on inflammation, notably within the cardiovascular system, has not been
investigated yet. In the present study, we utilized human umbilical vein
endothelial cell (HUVEC) to elucidate the effect of Crocetin on vascular
inflammation. METHODS: Cell viability and toxicity were evaluated by MTT and
Lactate dehydrogenase (LDH) assay, respectively. Pro-inflammatory chemokine
Monocyte Chemoattractant Protein-1 (MCP-1) and
Interleukin-8 (IL-8) expressions were determined by
RT-PCR and ELISA. With fluorescence labeled U937 cells, we examined immune cell
adhesion to the inflamed HUVEC in vitro, which was further confirmed by the H&E
staining in the murine subcutaneous endothelium in vivo. RESULTS: Upon
Lipopolysaccharide (LPS)-induced inflammatory response in HUVECs, Crocetin
ameliorated cell cytotoxicity, suppressed MCP-1 and IL-8 expressions through
blocking NF-kappaB p65 signaling transduction. Moreover, Crocetin inhibited
immune cells adhesion and infiltration to inflamed endothelium, which is a key
step in inflammatory vascular injury. CONCLUSIONS: These findings suggest that
Crocetin, a natural herb extract, is a potent suppressor of vascular endothelial
inflammation.
PMID- 27889768
TI - Clinical Utility of Serum Cystatin C in Predicting Diabetic Nephropathy Among
Patients with Diabetes Mellitus: a Meta-Analysis.
AB - BACKGROUND/AIMS: Clinically, there is lack of predictors for diabetic nephropathy
(DN) in diabetes mellitus (DM) without microalbuminuria, macroalbuminuria or
retinopathy. METHODS: PubMed, Chinese Biomedical Database, Cochrane Library,
EMBASE and Elsevier Database were searched from inception to August 13, 2016.
Studies involving patients with DM and containing data on cystatin C measurements
and the measured glomerular filtration rate (mGFR) were included. Pooled
sensitivity, specificity, positive predictive value, negative predictive value
and other diagnostic indices were evaluated using a random effect model. RESULTS:
The meta-analysis enrolled 9 studies with 1417 patients. The pooled sensitivity
and specificity of serum cystatin C for predicting DN were 0.88 (95% CI 0.85 -
0.91) and 0.85 (95% CI 0.82 - 0.87), respectively. The pooled positive and
negative predictive values of serum cystatin C for predicting DN were 7.04 (95%
CI 4.33 - 11.43) and 0.13 (95% CI 0.09 - 0.20), respectively. The area under the
summary receiver operating characteristic (SROC) curve was 0.9549, and the
diagnostic odds ratio was 66.80 (95% CI 27.92 - 159.86). CONCLUSION: Serum
cystatin C is an early predictor of DN among patients with DM.
PMID- 27889769
TI - Immunohistochemical Heterogeneity of the Endothelium of Blood and Lymphatic
Vessels in the Developing Human Liver and in Adulthood.
AB - The endothelium of liver sinusoids in relation to the endothelium of other blood
vessels has specific antigen expression similar to the endothelium of lymphatic
vessels. Bearing in mind that there is no consensus as to the period or intensity
of the expression of certain antigens in the endothelium of blood and lymphatic
vessels in the liver, the aim of our study was to immunohistochemically
investigate the dynamic patterns of the expression of CD31, CD34, D2-40, and LYVE
1 antigens during liver development and in adulthood on paraffin tissue sections
of human livers of 4 embryos, 38 fetuses, 6 neonates, and 6 adults. The results
show that, in a histologically immature liver at the end of the embryonic period,
CD34 molecules are expressed only on vein endothelium localized in developing
portal areas, whereby the difference between portal venous branches and CD34
negative central veins belongs to the collecting venous system. In the fetal
period, with aging, expression of CD34 and CD31 molecules on the endothelium of
central veins and blood vessels of the portal areas increases. Sinusoidal
endothelium shows light and sporadic CD34 immunoreactivity in the late embryonic
and fetal periods, and is lost in the neonatal and adult periods, unlike CD31
immunoreactivity, which is poorly expressed in the fetal and neonatal periods but
is present in adults. The endothelium of sinusoids and lymphatic vessels express
LYVE-1, and the endothelium of lymphatic vessels express LYVE-1 and D2-40 but not
CD34. Similarity between the sinusoidal and lymphatic endothelium includes the
fact that both types are LYVE-1 positive and CD34 negative.
PMID- 27889770
TI - Usefulness of Discriminability and Response Bias Indices for the Evaluation of
Recognition Memory in Mild Cognitive Impairment and Alzheimer Disease.
AB - BACKGROUND: Most studies examining episodic memory in Alzheimer disease (AD) have
focused on patients' impaired ability to remember information. This approach
provides only a partial picture of memory deficits since other factors involved
are not considered. OBJECTIVE: To evaluate the recognition memory performance by
using a yes/no procedure to examine the effect of discriminability and response
bias measures in amnestic mild cognitive impairment (a-MCI), AD dementia, and
normal-aging subjects. METHODS: We included 43 controls and 45 a-MCI and 51 mild
AD dementia patients. Based on the proportions of correct responses (hits) and
false alarms from the Rey Auditory Verbal Learning Test (RAVLT), discriminability
(d') and response bias (C) indices from signal detection theory (SDT) were
calculated. RESULTS: Results showed significant group differences for d' (F (2) =
83.26, p < 0.001), and C (F (2) = 6.05, p = 0.00). The best predictors of group
membership were delayed recall and d' scores. The d' measure correctly classified
subjects with 82.98% sensitivity and 91.11% specificity. CONCLUSIONS: a-MCI and
AD dementia subjects exhibit less discrimination accuracy and more liberal
response bias than controls. Furthermore, combined indices of delayed recall and
discriminability from the RAVLT are effective in defining early AD. SDT may help
enhance diagnostic specificity.
PMID- 27889771
TI - CD44 and CD44v6 are Correlated with Gastric Cancer Progression and Poor Patient
Prognosis: Evidence from 42 Studies.
AB - BACKGROUND/AIMS: The prognostic power of the levels of total CD44 and its isoform
CD44v6 for patients with gastric cancer (GC) remains controversial. Therefore,
our study aims to generalize the clinicopathological and prognostic significance
of these two proteins in GC. METHODS: A literature search of the PubMed, Web of
Science and Embase databases was conducted to identify eligible studies. The odds
ratio (OR) with a 95% confidence interval (CI) was used to assess the effects.
RESULTS: In all, 42 studies including 6,229 patients were included in this
analysis. Total CD44 was mentioned in 21 papers, and the results showed that CD44
was positively correlated with the T category, the N category, distant
metastasis, lymphatic invasion and TNM stage. Moreover, patients with CD44
overexpression had a lower 5-year overall survival (OS) rate (OR = 3.35, 95%CI =
1.83-6.13). CD44v6 was mentioned in 24 studies, with results that were similar to
those for total CD44. However, total CD44 or CD44v6 expression was not correlated
with tumor size and histological grade. CONCLUSION: High CD44 or CD44v6
expression levels were correlated with cancer progression and poor prognosis in
patients with GC. Both CD44 and CD44v6 may be useful diagnostic or prognostic
biomarkers for GC.
PMID- 27889772
TI - Sonodynamic Therapy Inhibits Fibrogenesis in Rat Cardiac Fibroblasts Induced by
TGF-beta1.
AB - BACKGROUND/AIMS: Sonodynamic therapy (SDT) is a localized ultrasound-activated
therapy for atherosclerosis when combined with a sonosensitizer, 5-aminolevulinic
acid (ALA), but whether it can prevent cardiac fibrosis has not been studied. In
the present study, we evaluated the effects SDT on fibrogenesis in rat cardiac
fibroblasts. METHODS: The primary cardiac fibroblasts were isolated from rats,
and induced to fibrogenesis with TGF-beta1. With this in vitro model, we tested
the preventive effects of SDT on fibrogenesis and further the underlying
mechanism. RESULTS: TGF-beta1 stimulation up-regulated alpha-SMA and COLI/III
protein levels in cardiac fibroblasts, and enhanced the progression of cells from
the G0/G1 phase to the S phase. SDT inhibited the TGF-beta1 mediated cell
proliferation and decreased the levels of alpha-SMA and COLI/III by activating
AKT/GSK3beta pathway and blocking TGF-beta1/SMAD3 signaling. CONCLUSION: Our
studies demonstrate an antifibrotic effect of SDT in rat cardiac fibroblasts,
suggesting that SDT may intervene cardiac fibrogenesis by regulating myocardial
fibrotic remodeling.
PMID- 27889773
TI - Evaluation of KIM-1 and NGAL as Early Indicators for Assessment of Gentamycin
Induced Nephrotoxicity In Vivo and In Vitro.
AB - BACKGROUND/AIMS: The aminolycoside Gentamicin is a widely used antibiotic,
applied in equine medicine. Despite its clinical use, concerns remain regarding
the potential toxic side-effects, such as nephrotoxicity. Early detection of
renal damage is critical in preclinical drug development. This study was aimed to
determine whether kidney injury molecule-1 (KIM-1) and neutrophil gelatinase
associated lipocalin (NGAL) may be early indicators in the assessment of
Gentamycin-induced nephrotoxicity. METHODS: In our study, a model of Gentamicin
induced nephrotoxicity in male Sprague Dawley rats treated for up to 7 days at 50
or 100mg/kg/day was used to monitor the expressions of novel biomarkers of renal
toxicity during the progression of acute kidney injury (AKI). Additionally,
biomarkers were assessed in human kidney proximal epithelial cells (HK-2) treated
with Gentamicin for 2, 6, 12, 24, 36 or 48h in vitro. RESULTS: Repeated
administration of Gentamicin to rats for 1, 3, or 7 days resulted in a dose- and
time-dependent increase in the expression of KIM-1 and NGAL. The expressions of
the two biomarkers changed prior to renal tubule damage and increases in serum
creatinine (SCr) and blood urea nitrogen (BUN) levels, suggesting their
usefulness for predicting Gentamicin-induced acute kidney injury (AKI) in vivo.
CONCLUSIONS: In contrast, no significant increase in the expression of the
biomarker genes and proteins were evident in HK-2 cells after treated by
Gentamycin for up to 48h, suggesting that they may not be suitable endpoints for
sensitive detection of nephrotoxic effects in vitro.
PMID- 27889774
TI - Stimulation of Suicidal Erythrocyte Death by the CDC25 Inhibitor NSC-95397.
AB - BACKGROUND/AIMS: The CDC25B inhibitor NSC-95397 triggers apoptosis of tumor cells
and is thus considered for the treatment of malignancy. The substance is
effective in part by modification of gene expression. Similar to apoptosis of
nucleated cells erythrocytes may undergo eryptosis, the suicidal erythrocyte
death characterized by cell shrinkage and cell membrane scrambling with
phosphatidylserine translocation to the erythrocyte surface. Eryptosis may be
triggered by increase of cytosolic Ca2+ activity ([Ca2+]i), oxidative stress,
ceramide, as well as activation of protein kinases. The present study explored,
whether NSC-95397 induces eryptosis and, if so, to shed some light on the
mechanisms involved. METHODS: Phosphatidylserine exposure at the cell surface was
estimated from annexin-V-binding, cell volume from forward scatter, [Ca2+]i from
Fluo3-fluorescence, ROS formation from DCFDA dependent fluorescence, and ceramide
abundance utilizing specific antibodies. RESULTS: A 48 hours exposure of human
erythrocytes to NSC-95397 significantly increased the percentage of annexin-V
binding cells (>= 1 uM), significantly decreased forward scatter (>= 2.5 uM), and
significantly increased Fluo3-fluorescence (>= 1 uM), DCFDA fluorescence (5 uM)
and ceramide abundance (>= 5 uM). The effect of NSC-95397 (5 uM) on annexin-V
binding was slightly, but significantly blunted by removal of extracellular Ca2+
and by addition of the protein kinase C inhibitor staurosporine (1 uM).
CONCLUSIONS: NSC-95397 triggers cell shrinkage and phospholipid scrambling of the
erythrocyte cell membrane, an effect in part requiring entry of Ca2+ and
activation of staurosporine sensitive kinase(s).
PMID- 27889775
TI - Vitamin D Receptor TaqI Gene Polymorphism and Dental Caries in Czech Children.
AB - AIM: We analyzed the VDR TaqI (rs731236) gene polymorphism in children with and
those without dental caries. METHODS: A total of 388 subjects, 153 caries-free
(with decayed/missing/filled teeth [DMFT] = 0) and 235 children with dental
caries (DMFT >=1), were genotyped by the TaqMan method. RESULTS: Although no
significant differences in VDR TaqI allele and genotype frequencies between
caries-free and caries-affected children were detected, a significant association
between this polymorphism and gingivitis was found (p < 0.05). CONCLUSIONS: In
contrast to previous studies from China and Turkey, the VDR TaqI gene variant
cannot be used as a marker for identification of Czech children with increased
dental caries risk.
PMID- 27889776
TI - Cinnamic Acid (CINN) Induces Apoptosis and Proliferation in Human Nasopharyngeal
Carcinoma Cells.
AB - BACKGROUND/AIMS: CINN is the main ingredient of the traditional Chinese medicine
cinnamon. The purpose of the present study was to investigate the effects of CINN
on the proliferation and apoptosis of NPC cells and to elucidate the underlying
molecular mechanisms. MATERIALS AND METHODS: CNE2 human NPC cells were treated
with various CINN concentrations. The effects of CINN on the proliferation and
apoptosis of CNE2 NPC cells were examined using the MTT assay and flow cytometric
analysis. Additionally, western blotting was performed to analyze the expression
of a number of cell cycle- and apoptosis-related proteins. RESULTS: The
proliferation of CNE2 cells was significantly inhibited after treatment with
different CINN concentrations for various lengths of time. The inhibitory effect
of CINN was concentration-and time-dependent. Flow cytometric analysis showed
that 2 mmol/L CINN displayed a significant apoptosis-inducing effect. The western
blot analysis results showed that KLF6, Fas-L, Bax, P53 and caspase-3 protein
expression was drastically increased in the CNE2 cells after treatment with 2
mmol/L CINN, whereas Bcl-2 and cyclin D1 protein expression was markedly reduced.
CONCLUSION: CINN inhibits the proliferation and induces the apoptosis of CNE2
cells. Therefore, CINN possesses a potential anti-tumor effect.
PMID- 27889777
TI - Sodium Pentosan Polysulfate Reduced Renal Ischemia-Reperfusion-Induced Oxidative
Stress and Inflammatory Responses in an Experimental Animal Model.
AB - Acute kidney injury (AKI) remains an independent risk factor for mortality and
morbidity after vascular surgery (affecting the renal arteries) or aortic surgery
(requiring suprarenal aortic clamping). These types of vascular surgery produce
renal ischemia/reperfusion (I/R) injury, a common cause of AKI. The present
studies aimed at monitoring the course of renal I/R injury at the cellular level
and investigating the efficacy of long-term preoperative and single-shot
intraoperative administration of sodium pentosan polysulfate (PPS) to protect
renal tissue from acute I/R injury both in native and diabetic kidneys in rats.
Western blot analyses of the proapoptotic (bax) and antiapoptotic (bcl-2)
signaling pathways, as well as the extent of DNA damage (phospho-p53), were
performed. Oxidative stress followed upon the termination of malondialdehyde,
reduced glutathione, thiol group, and superoxide dismutase plasma levels.
Inflammatory changes were measured by the determination of serum tumor necrosis
factor-alpha and interleukin-1 levels. Morphological changes were detected by
histological examinations. Our results showed that the long-term administration
of PPS has an advantage in reducing I/R kidney injury in diabetic rats, while
high-dose, single-shot parenteral administration of PPS prior to
revascularization might be useful in nondiabetic rats.
PMID- 27889778
TI - Primary Intestinal Hodgkin Lymphoma Mimicking Intraabdominal Abscess in a Renal
Transplant Recipient: A Case Report.
AB - INTRODUCTION: Post-transplant lymphoproliferative disease (PTLD) comprises a
variety of lymphoid and plasma cell disorders arising in patients with a solid
organ transplant. Monomorphic lymphomas represent the most significant part of
this wide spectrum, with the overall risk rising with the aggressiveness of
lymphoid proliferation in comparison to the general population. The development
of Hodgkin lymphoma is very rare in transplant recipients, comprising less than
6% of all monomorphic PTLD, while cases of primary intestinal Hodgkin lymphoma in
these circumstances are anecdotal. CASE REPORT: We describe an exceptional case
of intestinal Hodgkin lymphoma mimicking an intra-abdominal abscess that
developed in a transplant recipient 19 years after kidney transplantation. By
presenting this case, we wish to emphasize the importance of suitable diagnostic
pathways in transplant recipients experiencing prolonged fever episodes or masses
of unknown origin, thus raising the awareness of possible PTLD development in
such patients. CONCLUSION: The lack of information about transplant recipients
with Hodgkin PTLD regarding the site of involvement and type of treatment
suggests the necessity of conducting larger international studies aimed at
providing further insight into this particular group of patients.
PMID- 27889779
TI - Tanshinone IIA Affects Autophagy and Apoptosis of Glioma Cells by Inhibiting
Phosphatidylinositol 3-Kinase/Akt/Mammalian Target of Rapamycin Signaling
Pathway.
AB - OBJECTIVE: To test the effects of Tanshinone IIA (Tan IIA) on cell viability,
cycle, apoptosis, and autophagy of human glioma cell U251 by regulating
phosphatidylinositol 3-kinase (PI3K)/Akt/mammalian target of rapamycin (mTOR)
signal pathway. METHODS: Tan IIA and PI3K agonist (740 Y-P) were used to treat
glioma cells U251. MTT assay was used to assess cell viability and flow cytometry
was used to detect cell apoptosis and cell cycle. The expressions of apoptosis
related proteins (Bcl-2 and Bax), autophagy-related proteins (LC3B and Beclin 1)
and PI3K/Akt/mTOR signal pathway-associated proteins (p-PI3K, p-Akt and p-mTOR)
were evaluated by Western blotting. RESULTS: Tan IIA decreased the expression of
p-PI3K and p-Akt proteins, inhibited cell viability and promoted apoptosis.
Meanwhile, the expression of Bax increased, while the expression of Bcl-2
decreased. In addition, Tan IIA promoted autophagy in U251 glioma cells and
raised the expression of LC3B and Beclin 1. However, 740 Y-P played a reversed
role of Tan IIA in cell viability, cycle, apoptosis, and autophagy of U251 cells.
CONCLUSION: Tan IIA could suppress the viability of U251 cells and induce cell
apoptosis and autophagy, which might be related to the inhibition of the
PI3K/Akt/mTOR signal pathway.
PMID- 27889780
TI - Targeted Treatment of Esophagogastric Cancer.
AB - Adenocarcinoma of the esophagogastric junction (EGJ) and stomach remains one of
the most common causes of cancer-related death worldwide. Although there is
increasing data on the mutational landscape of esophagogastric cancer, phase III
trials often yield negative results, and there is a paucity of approved targeted
agents. For the time being, the subset of patients carrying HER2-positive
metastatic tumors can receive trastuzumab in addition to chemotherapy.
Furthermore, ramucirumab has been found to be active both as a single agent and
in combination with paclitaxel. Herein, we give an overview of currently approved
targeted treatments for locally advanced/resectable as well as
unresectable/metastatic EGJ/gastric adenocarcinoma, summarizing the underlying
clinical studies. Moreover, further potential targets still under investigation
are presented.
PMID- 27889781
TI - Striated Muscle in Radical Prostatectomy Specimens: A Marker of Apical Dissection
Quality and an Independent Predictor of Urinary Continence after Endoscopic
Extraperitoneal Radical Prostatectomy.
AB - INTRODUCTION: The study aimed to determine if the presence and amount of striated
muscle on the apical sections of the cruciate sections of laparoscopic radical
prostatectomy (LRP) specimens predict early and long-term urinary continence
outcomes. PATIENTS AND METHODS: We conducted a retrospective review of our
prospectively collected single surgeon LRP database. We identified patients based
on their continence outcomes (continent (0 pads) or incontinent at 12 months),
with an approximate even spread early continent and incontinent patients). An
uropathologist separate from the urology team was blinded to outcome and assessed
each patients' apical cruciate sections (H&E stained) for the presence,
percentage and maximal diameter of muscle and extraprostatic tissue on these
sections. Specifically 2 scoring systems were used: (1) semi-quantitative
estimation of percentage of muscle on the apical cruciate sections (low <5% and
high >5%) and (2) percentage of total extraprostatic tissue on cruciate section
(low <10% and high >10%). Logistic regression and classification and regression
tree analyses were performed to identify the predictors of urinary incontinence
(UI). RESULTS: In total 80 patients were analyzed, 38 were continent and 42 were
incontinent at 12 months follow-up. The percentage of extraprostatic
tissue/muscle being an independent predictor of being wet at 12 months (p =
0.002) on multivariate regression along with age (p = 0.04). Using percentage of
extraprostatic tissue in cruciate section (high >10%) to predict UI at 12 months,
it yielded 71% sensitivity, 82% specificity, 81% PPV, 72% NPV and 76% accuracy.
CONCLUSION: The use of simple additional reporting of muscle and extraprostatic
tissue on the apical sections of RP specimens can help to better predict the
likelihood of continence return.
PMID- 27889782
TI - Molecular Pathology: A Requirement for Precision Medicine in Cancer.
AB - The increasing importance of targeting drugs and check-point inhibitors in the
treatment of several tumor entities (breast, colon, lung, malignant melanoma,
lymphoma, etc.) and the necessity of a companion diagnostic (HER2, (pan)RAS,
EGFR, ALK, BRAF, ROS1, MET, PD-L1, etc.) is leading to new challenges for
surgical pathology. Since almost all the biomarkers to be specifically detected
are tissue based, a precise and reliable diagnostic is absolutely crucial. To
meet this challenge surgical pathology has adapted a number of molecular methods
(semi-quantitative immunohistochemistry, fluorescence in situ hybridization, PCR
and its multiple variants, (pyro/Sanger) sequencing, next generation sequencing
(amplicon, whole exome, whole genome), DNA arrays, methylation analyses, etc.) to
be applicable for formalin-fixed paraffin-embedded tissue. Reading a patient's
tissue as 'deeply' as possible and obtaining information on the morphological,
genetic, proteomic and epigenetic background are the tasks of pathologists and
molecular biologists and provide the clinicians with information relevant for
precision medicine. Intensified cooperation between clinicians and pathologists
will provide the basis of improved clinical drug selection and guide development
of new cancer gene therapies and molecularly targeted drugs by research units and
the pharmaceutical industry.
PMID- 27889783
TI - Advances in Cancer Therapy: Targeted Therapies.
PMID- 27889784
TI - Targeted Therapy of CLL.
AB - The landscape of chronic lymphocytic leukemia (CLL) has undergone profound
changes in the past years. First, the addition of CD20-targeting antibodies to
conventional chemotherapy has improved the therapeutic outcome in the majority of
CLL patients. Since the establishment of the critical role of the B cell receptor
signaling pathway in the pathogenesis of CLL, several agents have been developed
to target this pathway. Ibrutinib and idelalisib, 2 potent kinase inhibitors,
have both become available for CLL therapy in the first and second line.
Additionally, the observation of high expression levels of the anti-apoptotic
mitochondrial protein Bcl-2 in CLL has led to the development of venetoclax, a
BH3 mimetic compound that inhibits Bcl-2 and has shown high efficacy in CLL. This
short review summarizes preclinical and clinical data on currently available
agents in CLL and provides an outlook on upcoming new challenges in the targeted
therapy of CLL.
PMID- 27889785
TI - Overcoming Cost Implications of Mutational Analysis in Patients with
Gastrointestinal Stromal Tumors: A Pragmatic Approach.
AB - BACKGROUND: Genetic analysis of tissue derived from patients with advanced
gastrointestinal stromal tumors (GISTs) is not uniformly applied on a national
and international level, even though mutational data can provide clinically
relevant prognostic and predictive information, especially in patients qualifying
for treatment with expensive targeted agents. METHODS: The current article
describes the rationale for genetic testing of GIST tissue, looks at financial
implications associated with such analysis and speculates on potential cost
savings introduced by routine mutational testing and tailored use of tyrosine
kinase inhibitors based on genotyping. This work is based on a hypothetical
analysis of epidemiological data, drug costs, reimbursement criteria and market
research figures. RESULTS: The cost burden for routine genotyping of important
genes in GISTs, especially in patients at high risk for relapse after primary
surgery and in advanced, inoperable metastatic disease, is relatively low. The
early identification of GISTs with primary resistance mutations should be the
basis for personalized GIST treatment and reimbursement of drugs. As illustrated
by Belgian figures, the exclusive use of a drug such as imatinib in patients who
are likely to benefit from the agent based on genetic information can lead to
significant cost savings, which outweigh the costs for testing. CONCLUSIONS:
Mutational analysis of GIST should be considered early in all patients at risk
for relapse after curative surgery and in the case of advanced, inoperable,
metastatic disease. The costs for the actual genotyping should not be used as an
argument against profiling of the tumor. The adjuvant and palliative systemic
treatment of GISTs should be personalized based on the genotype and other known
prognostic and predictive factors. Reimbursement criteria for essential agents
such as imatinib should be adapted accordingly.
PMID- 27889786
TI - Targeted Therapy of Colorectal Cancer.
AB - Over the past decades, considerable progress has been made in the management of
colorectal cancer (CRC), leading to a significant improvement in overall
survival. Although part of this success has been rightly attributed to aggressive
surgical management and advances in other adjunct treatments, our understanding
of the pathogenesis of CRC and emergence of newer molecular targets for colon
cancer has created a powerful impact. In this review article, we will discuss
various targeted therapies in the management of metastatic CRC (mCRC). In
particular, vascular endothelial growth factor (VEGF)- and epidermal growth
factor receptor (EGFR)-targeting monoclonal antibodies have become integral
components of the first-line treatment strategies for mCRC. Newer agents on the
horizon soon to be incorporated in clinical practice will be briefly reviewed as
well. Currently, the only predictive biomarker for treatment selection in
patients with mCRC is tumor RAS mutational status.
PMID- 27889787
TI - Stratified Treatment in Lung Cancer.
AB - Even though great efforts have been made to improve chemotherapy-based treatment
approaches for lung cancer, the prognosis of patients with advanced and
metastasized disease remains particularly poor. In recent years, a growing number
of genetic aberrations driving lung cancer have been identified. Targeted
inhibition of some of these aberrations, most prominently mutated EGFR and ALK,
by tyrosine kinase inhibitors has dramatically increased efficacy and
tolerability of systemic lung cancer treatment in subsets of patients. However,
the duration of response is limited due to the acquisition of molecular
mechanisms of resistance to targeted treatment. Modern next-generation inhibitors
aim to break resistance. A deep understanding of the mechanisms of treatment
failure is imperative to the development of new approaches. In this review, we
focus on the current status of stratified therapy in lung cancer and highlight
new, potentially promising treatment approaches.
PMID- 27889788
TI - Inhibition of Angiotensin-II Production Increases Susceptibility to Acute
Ischemia/Reperfusion Arrhythmia.
AB - BACKGROUND Myocardial ischemia and reperfusion lead to impairment of electrolyte
balance and, eventually, lethal arrhythmias. The aim of this study was to
investigate the effects of pharmacological inhibition of angiotensin-II (Ang-II)
production on heart tissue with ischemia-reperfusion damage, arrhythmia, and
oxidative stress. MATERIAL AND METHODS Rats were divided into 4 groups: only
ischemia/reperfusion (MI/R), captopril (CAP), aliskiren (AL), and CAP+AL. The
drugs were given by gavage 30 min before anesthesia. Blood pressure and
electrocardiography (ECG) were recorded during MI/R procedures. The heart tissue
and plasma was kept so as to evaluate the total oxidant (TOS), antioxidant status
(TAS), and creatine kinase-MB (CK-MB). RESULTS Creatine kinase-MB was not
different among the groups. Although TAS was not affected by inhibition of Ang-II
production, TOS was significantly lower in the CAP and/or AL groups than in the
MI/R group. Furthermore, oxidative stress index was significantly attenuated in
the CAP and/or AL groups. Captopril significantly increased the duration of VT
during ischemia; however, it did not have any effect on the incidence of
arrhythmias. During reperfusion periods, aliskiren and its combinations with
captopril significantly reduced the incidence of other types of arrhythmias.
Captopril alone had no effect on the incidence of arrhythmias, but significantly
increased arrhythmias score and durations of arrhythmias during reperfusion. MAP
and heart rate did not show changes in any groups during ischemic and reperfusion
periods. CONCLUSIONS Angiotensin-II production appears to be associated with
elevated levels of reactive oxygen species, but Ang-II inhibitions increases
arrhythmia, mainly by initiating ventricular ectopic beats.
PMID- 27889789
TI - Effect of Natural Cycle Endometrial Preparation for Frozen-Thawed Embryo Transfer
in Patients with Advanced Endometriosis.
AB - BACKGROUND The aim of this study was to investigate the effect of natural cycle
(NC) endometrial preparation for frozen-thawed embryo transfer (FET) in women
with advanced endometriosis. MATERIAL AND METHODS This retrospective study
included 179 patients with stage III-IV endometriosis who underwent 233 FET
cycles at a tertiary care academic reproductive medical center between March 2011
and August 2013 (group A). The control group included 258 patients with tubal
factor infertility who underwent 300 FET cycles (group B). Both groups were
prepared for FET using a NC protocol. Rates of implantation, clinical pregnancy,
live birth, ongoing pregnancy, miscarriage, and pregnancy complication were
recorded. RESULTS The implantation rate (A: 36.0%, B: 30.4%, P=0.06), the
pregnancy rate (A: 50.2%, B: 45.3%, P=0.263), and the live birth rate (A: 39.91%,
B: 39.0%, P=0.428) were similar between the stage III-IV endometriosis and tubal
factor infertility groups. No differences were observed in ongoing rates of
pregnancy, miscarriage, and pregnancy complications, independent of endometriosis
severity. No congenital birth defects were found. When high-quality embryos are
transferred, pregnancy results were not affected by active endometriosis.
Although severe endometriosis did not affect birth rate, higher frequencies of
premature delivery (mean gestational age A: 37 weeks, B: 38.3 weeks, P=0.044) and
low birth weight were observed (<2500 g A: 26.4%, B: 16.6%, P=0.047). CONCLUSIONS
There was no difference in pregnancy outcomes between patients with endometriosis
and those with tubal infertility. Pregnancy outcomes in patients with
endometriosis were not affected by endometriosis severity. Pregnancy outcomes
were not affected by active endometrial cyst.
PMID- 27889790
TI - Erratum.
AB - [This corrects the article DOI: 10.1080/14686996.2016.1140301.][This corrects the
article DOI: 10.1080/14686996.2016.1140302.][This corrects the article DOI:
10.1080/14686996.2016.1140303.][This corrects the article DOI:
10.1080/14686996.2016.1140305.][This corrects the article DOI:
10.1080/14686996.2016.1140306.][This corrects the article DOI:
10.1080/14686996.2016.1140307.][This corrects the article DOI:
10.1080/14686996.2016.1140308.][This corrects the article DOI:
10.1080/14686996.2016.1145530.][This corrects the article DOI:
10.1080/14686996.2016.1148528.].
PMID- 27889791
TI - Erratum: Processing of polysiloxane-derived porous ceramics: a review.
AB - [This corrects the article DOI: 10.1088/1468-6996/11/4/044303.].
PMID- 27889792
TI - Corrigendum: A microfluidic paper-based electrochemical biosensor array for
multiplexed detection of metabolic biomarkers (2013 Sci. Technol. Adv. Mater. 14
054402).
AB - [This corrects the article DOI: 10.1088/1468-6996/14/5/054402.].
PMID- 27889793
TI - Corrigendum: Progress in thermomechanical control of steel plates and their
commercialization.
AB - [This corrects the article DOI: 10.1088/1468-6996/13/2/023001.].
PMID- 27889794
TI - Corrigendum: A simple method for the quantification of molecular decorations on
silica particles (2014 Sci. Technol. Adv. Mater. 15 015002).
AB - [This corrects the article DOI: 10.1088/1468-6996/15/1/015002.].
PMID- 27889795
TI - Erratum.
AB - [This corrects the article DOI: 10.1088/1468-6996/12/5/055009.].
PMID- 27889796
TI - Corrigendum: Material design and structural color inspired by biomimetic
approach.
AB - [This corrects the article DOI: 10.1088/1468-6996/12/6/064709.].
PMID- 27889797
TI - Erratum: Chemistry of layered d-metal pnictide oxides and their potential as
candidates for new superconductors.
AB - [This corrects the article DOI: 10.1088/1468-6996/9/3/033003.].
PMID- 27889798
TI - Selective effect of cytokine-induced killer cells on survival of patients with
early-stage melanoma.
AB - Adoptive immunotherapy using cytokine-induced killer (CIK) cells has shown
potential antitumor ability against several kinds of cancers, including melanoma.
However, little is known about the achievable outcome of CIK cells in melanoma
patients at different pathological stages. Here we recruited 55 patients treated
with conventional therapy plus CIK cells as the CIK group, and 49 patients
treated with conventional therapy alone as the control group. The pathological
characteristics were comparable between two groups, with a follow-up period up to
40 months. Survival data and immune responses were evaluated after CIK cell
treatment. In this study, CIK cells were successfully generated from peripheral
blood of melanoma patients after in vitro culture for 14 days. The cultured CIK
cells not only produced high levels of pro-inflammatory cytokines upon in vitro
stimulation but also efficiently killed human melanoma cell lines. No serious
side events were observed in all patients treated with CIK cells. Furthermore,
infusions of CIK cells improved the quality of life in some patients, including
advanced cases. More importantly, the CIK group exhibited better survival rates
compared to the control group among early-stage melanoma patients, in consistent
with the increased frequency of peripheral CD4+ T cells. However, the patients
with advanced-stage melanoma did not benefit from the CIK cell therapy in terms
of survival rate. In conclusion, CIK cells combined with conventional treatments
may prolong the survival of early-stage melanoma patients and improve the quality
of life for some advanced cases in a safe way.
PMID- 27889799
TI - A polymorphism in the promoter region of PD-L1 serves as a binding-site for SP1
and is associated with PD-L1 overexpression and increased occurrence of gastric
cancer.
AB - PD-L1 is a member of the B7 family co-inhibitory molecules and plays a critical
role in tumor immune escape. In this study, we found a polymorphism rs10815225 in
the PD-L1 promoter region was significantly associated with the occurrence of
gastric cancer. The GG homozygous frequency was higher in the cancer patients
than that in the precancerous lesions, which was higher than that in the health
controls. This polymorphism locates in the binding-site of Sp1 transcription
factor (SP1). The expression level of PD-L1 mRNA in the GG homozygous cancer
patients was apparently higher than that in the GC heterozygotes. Luciferase
reporter results showed that SP1 bonded to rs10815225 G-allelic PD-L1 promoter
instead of C-allelic. Upregulation and knockdown of SP1 resulted in elevation and
attenuation of PD-L1 in SGC-7901 cells, respectively. The chromatin
immunoprecipitation results further confirmed the binding of SP1 to the promoter
of PD-L1. Additionally, rs10815225 was found to be in disequilibrium with a
functional polymorphism rs4143815 in the PD-L1 3'-UTR, and the haplotypes of
these two polymorphisms were also markedly related to gastric cancer risk. These
results revealed a novel mechanism underlying genetic polymorphisms influencing
PD-L1 expression modify gastric cancer susceptibility.
PMID- 27889800
TI - Polymorphism at expressed DQ and DR loci in five common equine MHC haplotypes.
AB - The polymorphism of major histocompatibility complex (MHC) class II DQ and DR
genes in five common equine leukocyte antigen (ELA) haplotypes was determined
through sequencing of mRNA transcripts isolated from lymphocytes of eight ELA
homozygous horses. Ten expressed MHC class II genes were detected in horses of
the ELA-A3 haplotype carried by the donor horses of the equine bacterial
artificial chromosome (BAC) library and the reference genome sequence: four DR
genes and six DQ genes. The other four ELA haplotypes contained at least eight
expressed polymorphic MHC class II loci. Next generation sequencing (NGS) of
genomic DNA of these four MHC haplotypes revealed stop codons in the DQA3 gene in
the ELA-A2, ELA-A5, and ELA-A9 haplotypes. Few NGS reads were obtained for the
other MHC class II genes that were not amplified in these horses. The amino acid
sequences across haplotypes contained locus-specific residues, and the locus
clusters produced by phylogenetic analysis were well supported. The MHC class II
alleles within the five tested haplotypes were largely non-overlapping between
haplotypes. The complement of equine MHC class II DQ and DR genes appears to be
well conserved between haplotypes, in contrast to the recently described
variation in class I gene loci between equine MHC haplotypes. The identification
of allelic series of equine MHC class II loci will aid comparative studies of
mammalian MHC conservation and evolution and may also help to interpret
associations between the equine MHC class II region and diseases of the horse.
PMID- 27889801
TI - Production and purification of an untagged recombinant pneumococcal surface
protein A (PspA4Pro) with high-purity and low endotoxin content.
AB - Streptococcus pneumoniae is the main cause of pneumonia, meningitis, and other
conditions that kill thousands of children every year worldwide. The replacement
of pneumococcal serotypes among the vaccinated population has evidenced the need
for new vaccines with broader coverage and driven the research for protein-based
vaccines. Pneumococcal surface protein A (PspA) protects S. pneumoniae from the
bactericidal effect of human apolactoferrin and prevents complement deposition.
Several studies indicate that PspA is a very promising target for novel vaccine
formulations. Here we describe a production and purification process for an
untagged recombinant fragment of PspA from clade 4 (PspA4Pro), which has been
shown to be cross-reactive with several PspA variants. PspA4Pro was obtained
using lactose as inducer in Phytone auto-induction batch or glycerol limited fed
batch in 5-L bioreactor. The purification process includes two novel steps: (i)
clarification using a cationic detergent to precipitate contaminant proteins,
nucleic acids, and other negatively charged molecules as the lipopolysaccharide,
which is the major endotoxin; and (ii) cryoprecipitation that eliminates
aggregates and contaminants, which precipitate at -20 degrees C and pH 4.0,
leaving PspA4Pro in the supernatant. The final process consisted of cell rupture
in a continuous high-pressure homogenizer, clarification, anion exchange
chromatography, cryoprecipitation, and cation exchange chromatography. This
process avoided costly tag removal steps and recovered 35.3 +/- 2.5% of PspA4Pro
with 97.8 +/- 0.36% purity and reduced endotoxin concentration by >99.9%.
Circular dichroism and lactoferrin binding assay showed that PspA4Pro secondary
structure and biological activity were preserved after purification and remained
stable in a wide range of temperatures and pH values.
PMID- 27889802
TI - F-18 labelled PSMA-1007: biodistribution, radiation dosimetry and
histopathological validation of tumor lesions in prostate cancer patients.
AB - PURPOSE: The prostate-specific membrane antigen (PSMA) targeted positron-emitting
tomography (PET) tracer 68Ga-PSMA-11 shows great promise in the detection of
prostate cancer. However, 68Ga has several shortcomings as a radiolabel including
short half-life and non-ideal energies, and this has motivated consideration of
18F-labelled analogs. 18F-PSMA-1007 was selected among several 18F-PSMA-ligand
candidate compounds because it demonstrated high labelling yields, outstanding
tumor uptake and fast, non-urinary background clearance. Here, we describe the
properties of 18F-PSMA-1007 in human volunteers and patients. METHODS: Radiation
dosimetry of 18F-PSMA-1007 was determined in three healthy volunteers who
underwent whole-body PET-scans and concomitant blood and urine sampling.
Following this, ten patients with high-risk prostate cancer underwent 18F-PSMA
1007 PET/CT (1 h and 3 h p.i.) and normal organ biodistribution and tumor uptakes
were examined. Eight patients underwent prostatectomy with extended pelvic
lymphadenectomy. Uptake in intra-prostatic lesions and lymph node metastases were
correlated with final histopathology, including PSMA immunostaining. RESULTS:
With an effective dose of approximately 4.4-5.5 mSv per 200-250 MBq examination,
18F-PSMA-1007 behaves similar to other PSMA-PET agents as well as to other 18F
labelled PET-tracers. In comparison to other PSMA-targeting PET-tracers, 18F-PSMA
1007 has reduced urinary clearance enabling excellent assessment of the prostate.
Similar to 18F-DCFPyL and with slightly slower clearance kinetics than PSMA-11,
favorable tumor-to-background ratios are observed 2-3 h after injection. In eight
patients, diagnostic findings were successfully validated by histopathology. 18F
PSMA-1007 PET/CT detected 18 of 19 lymph node metastases in the pelvis, including
nodes as small as 1 mm in diameter. CONCLUSION: 18F-PSMA-1007 performs at least
comparably to 68Ga-PSMA-11, but its longer half-life combined with its superior
energy characteristics and non-urinary excretion overcomes some practical
limitations of 68Ga-labelled PSMA-targeted tracers.
PMID- 27889803
TI - Reducing RBM20 activity improves diastolic dysfunction and cardiac atrophy.
AB - : Impaired diastolic filling is a main contributor to heart failure with
preserved ejection fraction (HFpEF), a syndrome with increasing prevalence and no
treatment. Both collagen and the giant sarcomeric protein titin determine
diastolic function. Since titin's elastic properties can be adjusted
physiologically, we evaluated titin-based stiffness as a therapeutic target. We
adjusted RBM20-dependent cardiac isoform expression in the titin N2B knockout
mouse with increased ventricular stiffness. A ~50 % reduction of RBM20 activity
does not only maintain cardiac filling in diastole but also ameliorates cardiac
atrophy and thus improves cardiac function in the N2B-deficient heart. Reduced
RBM20 activity partially normalized gene expression related to muscle development
and fatty acid metabolism. The adaptation of cardiac growth was related to
hypertrophy signaling via four-and-a-half lim-domain proteins (FHLs) that
translate mechanical input into hypertrophy signals. We provide a novel link
between cardiac isoform expression and trophic signaling via FHLs and suggest
cardiac splicing as a therapeutic target in diastolic dysfunction. KEY MESSAGE:
Increasing the length of titin isoforms improves ventricular filling in heart
disease. FHL proteins are regulated via RBM20 and adapt cardiac growth. RBM20 is
a therapeutic target in diastolic dysfunction.
PMID- 27889804
TI - Quest for Ancestors of Eukaryal Cells Based on Phylogenetic Analyses of Aminoacyl
tRNA Synthetases.
AB - The three-domain phylogenetic system of life has been challenged, particularly
with regard to the position of Eukarya. The recent increase of known genome
sequences has allowed phylogenetic analyses of all extant organisms using
concatenated sequence alignment of universally conserved genes; these data
supported the two-domain hypothesis, which place eukaryal species as ingroups of
the Domain Archaea. However, the origin of Eukarya is complicated: the closest
archaeal species to Eukarya differs in single-gene phylogenetic analyses
depending on the genes. In this report, we performed molecular phylogenetic
analyses of 23 aminoacyl-tRNA synthetases (ARS). Cytoplasmic ARSs in 12 trees
showed a monophyletic Eukaryotic branch. One ARS originated from TACK
superphylum. One ARS originated from Euryarchaeota and three originated from
DPANN superphylum. Four ARSs originated from different bacterial species. The
other 8 cytoplasmic ARSs were split into two or three groups in respective trees,
which suggested that the cytoplasmic ARSs were replaced by secondary ARSs, and
the original ARSs have been lost during evolution of Eukarya. In these trees, one
original cytoplasmic ARS was derived from Euryarchaeota and three were derived
from DPANN superphylum. Our results strongly support the two-domain hypothesis.
We discovered that rampant-independent lateral gene transfers from several
archaeal species of DPANN superphylum have contributed to the formation of
Eukaryal cells. Based on our phylogenetic analyses, we proposed a model for the
establishment of Eukarya.
PMID- 27889805
TI - Air Pollution Study in the Republic of Moldova Using Moss Biomonitoring
Technique.
AB - Moss biomonitoring using the species Hypnum cupressiforme (Hedw.) and
Pleurocarpous sp was applied to study air pollution in the Republic of Moldova. A
total of 41 elements (Na, Mg, Al, Cl, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu,
Zn, As, Se, Br, Rb, Sr, Zr, Cd, Sb, Cs, Ba, La, Ce, Nd, Sm, Eu, Gd, Tb, Tm, Yb,
Hf, Ta, W, Pb, Th, and U) were determined by instrumental epithermal neutron
activation analysis and atomic absorption spectrometry. Principal component
analysis was used to identify and characterize different pollution sources.
Geographical distribution maps were prepared to point out the regions most
affected by air pollution and relate this to potential sources of contamination.
Median values of the elements studied were compared with data from the European
moss biomonitoring program. The cities of Chisinau and Balti were determined to
experience particular environmental stress.
PMID- 27889806
TI - A Comparative Assessment of Arsenic Risks and the Nutritional Benefits of Fish
Consumption in Kuwait: Arsenic Versus Omega 3-Fatty Acids.
AB - Inorganic and organic forms of arsenic (As), as well as omega-3 fatty acids were
measured in 578 fish/seafood samples that belong to 15 species of commonly
consumed seafood in Kuwait. Arsenic speciation data, with the toxicological
profile of inorganic arsenic (iAs) and fish consumption rates were applied in a
probabilistic risk assessment to estimate the risk from exposure to iAs. The
nutritional benefits of omega-3-fatty acid levels in various species of fish were
taken into consideration. Results showed that the mean daily intake of iAs
through fish consumption among the Kuwaiti population was 0.058 ug/kg/day, and
the 95th percentile was 0.15 ug/kg/day. Although the mean intake level did not
exceed the incremental lifetime cancer risk (ILCR) at 1 * 10-4, the 95th
percentile of iAs intake showed an ILCR of 2.7 * 10-4. Kuwaiti children (aged 6
12 years) were found to have a higher mean intake of iAs at 0.10 ug/kg/day with
68% of children in this category, exceeding the risk specific dose associated
with an ILCR of 1 * 10-4. The fish species, hammor (grouper; Epinephelus
coioides), is the top contributor to iAs intake, and tuna is the major source of
omega 3-fatty acids for the Kuwaiti population.
PMID- 27889807
TI - Vitamin D status in Bosnia and Herzegovina: the cross-sectional epidemiological
analysis.
AB - RATIONALE: Vitamin D deficiency/insufficiency/ is a worldwide epidemic in general
population. The investigation of vitamin D concentrations in Bosnia and
Herzegovina has never been conducted. MAIN RESULTS: A total of 82% from 2483
examined patients have deficient/insufficient/ Vitamin D level. SIGNIFICANCE:
These results encourage future studies to be focused on more specific details.
INTRODUCTION: The goal of this paper was to evaluate the vitamin D concentrations
in different cohort patients. Vitamin D deficiency is a global health problem. In
Europe, hypovitaminosis D is even more prevalent. Vitamin D deficiency plays a
significant role in a dozens of numerous disorders. METHODS: The cross-sectional
epidemiological analysis was performed, and data were statistically analyzed. A
total of 2483 screened individuals were examined for the serum 25(OH)D
concentrations. The cutoff points that we used was <20 ng/mL. RESULTS: Among 2483
examined patients for vitamin D level, females were in majority with 76% and
males with 24% in total. Deficient vitamin D level was found in 60.6% of
patients, and insufficient vitamin D level was detected in 21.4%. The highest
rate of severe deficiency was found among elderly people (32.9%). In total, the
decreased level of vitamin D concentration in Bosnia and Herzegovina is 82%.
CONCLUSIONS: Vitamin D serum concentrations in Bosnia and Herzegovina are
decreased in a majority of study population, and the results are within the
average levels compared to other countries, particularly to findings in Central
Europe. This analysis was based on patients, who have some health issues which
may affect mobility, ability to go outside, food intake etc., so the level of
25(OH)D seen in this paper may be an underestimate, and further research is
required in the general and healthy population.
PMID- 27889809
TI - Reversal of type 2 diabetes in youth who adhere to a very-low-energy diet: a
pilot study.
AB - AIMS/HYPOTHESIS: The aim of the study was to investigate whether a very-low
energy diet (VLED) is a feasible and acceptable treatment option for type 2
diabetes in children and adolescents, and whether adherence can lead to rapid
weight loss, reversal of type 2 diabetes and reduced liver fat as seen in adult
studies. METHODS: Eight participants with type 2 diabetes and obesity, aged 7-16
years, non-medicated (n = 1) or treated with metformin (n = 7) and in some cases
insulin (n = 3), followed a VLED (<3360 kJ/day) for 8 weeks, then transitioned to
a hypocaloric diet (~6300 kJ/day) that they followed to 34 weeks. HbA1c, fasting
glucose and 2 h post-glucose load plasma glucose (2hG) were determined from
fasting blood and an OGTT. Liver fat concentration was quantified using proton
magnetic resonance spectroscopy. Adherence was defined as >=5% weight loss during
the 8 week VLED. RESULTS: Adherers (n = 5) and non-adherers (n = 3) had median
weight loss of 7.5% and 0.5%, respectively, at 8 weeks. Overall, HbA1c (mean [SE]
8.1% [0.7%] to 6.6% [0.5%]; p = 0.004) and 2hG (15.6 [1.6] mmol/l to 11.3 [1.0]
mmol/l; p = 0.009) were significantly reduced at 8 weeks compared with baseline.
Liver fat was also significantly reduced from baseline (14.7% [2.2%]) to 8 weeks
(5.8% [1.7%]; p = 0.001). Only three out of eight participants met non-alcoholic
fatty liver disease (NAFLD) criteria (>=5.5%) at 8 weeks, compared with eight out
of eight at baseline. The three participants on insulin therapy at baseline were
able to cease therapy during the 8 week VLED. At 34 weeks, adherers (n = 5)
achieved 12.3% weight loss, none met NAFLD criteria and four did not meet
American Diabetes Association criteria for type 2 diabetes.
CONCLUSIONS/INTERPRETATION: A VLED appears to be a feasible treatment option for
some youth with type 2 diabetes on metformin therapy. Youth who agree to
participate and adhere to a VLED achieve rapid weight loss, dramatic reductions
in liver fat and reversal of type 2 diabetes. This highlights the capacity of a
VLED to be used as a first-line treatment option in newly diagnosed youth. A
larger trial with a control group and longer follow-up will be required to
encourage a change in standard treatment. TRIAL REGISTRATION: Australian New
Zealand Clinical Trial Registration Number (ACTRN) ACTRN12616000375459 (
www.ANZCTR.org.au/ACTRN12616000375459.aspx ).
PMID- 27889808
TI - Pharmacodynamic evaluation of Lys5, MeLeu9, Nle10-NKA(4-10) prokinetic effects on
bladder and colon activity in acute spinal cord transected and spinally intact
rats.
AB - The purpose of this study was to determine feasibility of a novel therapeutic
approach to drug-induced voiding after spinal cord injury (SCI) using a well
characterized, peptide, neurokinin 2 receptor (NK2 receptor) agonist, Lys5,
MeLeu9, Nle10-NKA(4-10) (LMN-NKA). Cystometry and colorectal pressure
measurements were performed in urethane-anesthetized, intact, and acutely
spinalized female rats. Bladder pressure and voiding were monitored in response
to intravenous LMN-NKA given with the bladder filled to 70% capacity. LMN-NKA
(0.1-300 MUg/kg) produced dose-dependent, rapid (<60 s), short-duration (<15 min)
increases in bladder pressure. In intact rats, doses above 0.3-1 MUg/kg induced
urine release (voiding efficiency of ~70% at >=1 MUg/kg). In spinalized rats,
urine release required higher doses (>=10 MUg/kg) and was less efficient (30
50%). LMN-NKA (0.1-100 MUg/kg) also produced dose-dependent increases in
colorectal pressure. No tachyphylaxis was observed, and the responses were
blocked by an NK2 receptor antagonist (GR159897, 1 mg/kg i.v.). No obvious
cardiorespiratory effects were noted. These results suggest that rapid-onset,
short-duration, drug-induced voiding is possible in acute spinal and intact rats
with intravenous administration of an NK2 receptor agonist. Future challenges
remain in regard to finding alternative routes of administration that produce
clinically significant voiding, multiple times per day, in animal models of
chronic SCI.
PMID- 27889810
TI - Rhodamine bound maghemite as a long-term dual imaging nanoprobe of adipose tissue
derived mesenchymal stromal cells.
AB - In the last few years, magnetically labeled cells have been intensively explored,
and non-invasive cell tracking and magnetic manipulation methods have been tested
in preclinical studies focused on cell transplantation. For clinical
applications, it is desirable to know the intracellular pathway of nanoparticles,
which can predict their biocompatibility with cells and the long-term imaging
properties of labeled cells. Here, we quantified labeling efficiency,
localization, and fluorescence properties of Rhodamine derivatized
superparamagnetic maghemite nanoparticles (SAMN-R) in mesenchymal stromal cells
(MSC). We investigated the stability of SAMN-R in the intracellular space during
a long culture (20 days). Analyses were based on advanced confocal microscopy
accompanied by atomic absorption spectroscopy (AAS) and magnetic resonance
imaging. SAMN-R displayed excellent cellular uptake (24 h of labeling), and no
toxicity of SAMN-R labeling was found. 83% of SAMN-R nanoparticles were localized
in lysosomes, only 4.8% were found in mitochondria, and no particles were
localized in the nucleus. On the basis of the MSC fluorescence measurement every
6 days, we also quantified the continual decrease of SAMN-R fluorescence in the
average single MSC during 18 days. An additional set of analyses showed that the
intracellular SAMN-R signal decrease was minimally caused by fluorophore
degradation or nanoparticles extraction from the cells, main reason is a cell
division. The fluorescence of SAMN-R nanoparticles within the cells was
detectable minimally for 20 days. These observations indicate that SAMN-R
nanoparticles have a potential for application in transplantation medicine.
PMID- 27889811
TI - Bacterial Diversity Patterns Differ in Soils Developing in Sub-tropical and Cool
Temperate Ecosystems.
AB - Microbial diversity patterns have been surveyed in many different soils and
ecosystems, but we are unaware of studies comparing similar soils developing from
similar parent materials in contrasting climates. In 2008, developmental
chronosequences with ages ranging from 105 to 500,000 years across Georgia (GA)
and Michigan (MI) were studied to investigate how bacterial community composition
and diversity change as a result of local environmental gradients that develop
during pedogenesis. Geographic factors were studied between and within locations
spanning two scales: (1) regionally between 0.1 and 50 and (2) ~1700 km apart.
The diversity was surveyed using high-throughput pyrosequencing, and variance
partitioning was used to describe the effects of spatial, environmental, and
spatio-environmental factors on bacterial community composition. At the local
scale, variation in bacterial communities was most closely related to
environmental factors (rM = 0.59, p = 0.0001). There were differences in
bacterial communities between the two locations, indicating spatial biogeography.
Estimates of bacterial diversity were much greater in MI (numbers of OTU, ACE,
and Chao1) and remained 2-3* greater in MI than GA after removing the effect of
soil properties. The large differences in diversity between geographically
separated bacterial communities in different climates need further investigation.
It is not known if the rare members of the community, which contributed to
greater bacterial diversity in GA relative to MI, play an important role in
ecosystem function but has been hypothesized to play a role in ecosystem
resiliency, resistance, and stability. Further research on the link between
bacterial diversity and spatial variability related to climate needs further
investigation.
PMID- 27889812
TI - Research progress of hydroxychloroquine and autophagy inhibitors on cancer.
AB - PURPOSE: Hydroxychloroquine (HCQ), the analog of chloroquine, augments the effect
of chemotherapies and radiotherapy on various tumors identified in the current
clinical trials. Meanwhile, the toxicity of HCQ retinopathy raises concern
worldwide. Thus, the potent autophagy inhibitors are urgently needed. METHODS: A
systematic review was related to 'hydroxychloroquine' or 'chloroquine' with
'clinical trials,' 'retinopathy' and 'new autophagy inhibitors.' This led to many
cross-references involving HCQ, and these data have been incorporated into the
following study. RESULTS: Many preclinical studies indicate that the combination
of HCQ with chemotherapies or radiotherapies may enhance the effect of
anticancer, providing base for launching cancer clinical trials involving HCQ.
The new and more sensitive diagnostic techniques report a prevalence of HCQ
retinopathy up to 7.5%. Lys05, SAR405, verteporfin, VATG-027, mefloquine and
spautin-1 may be potent autophagy inhibitors. CONCLUSION: Additional mechanistic
studies of HCQ in preclinical models are still required in order to answer these
questions whether HCQ actually inhibits autophagy in non-selective tumors and
whether the extent of inhibition would be sufficient to alter chemotherapy or
radiotherapy sensitivity.
PMID- 27889813
TI - Pediatric Radiology editorial board - acknowledgments and updates.
PMID- 27889814
TI - An fMRI study of training voluntary smooth circular eye movements.
AB - Despite a large number of recent studies, the promise of fMRI methods to produce
valuable insights into motor skill learning has been restricted to sequence
learning paradigms, or manual training paradigms where a relatively advanced
capacity for sensory-motor integration and effector coordination already exists.
We therefore obtained fMRIs from 16 healthy adults trained in a new paradigm that
demanded voluntary smooth circular eye movements without a moving target. This
aimed to monitor neural activation during two possible motor learning processes:
(a) the smooth pursuit control system develops a new perceptual-motor
relationship and successfully becomes involved in voluntary action in which it is
not normally involved or (b) the saccadic system normally used for voluntary eye
movement and which only exhibits linear action skill develops new dynamic
coordinative control capable of smooth circular movement. Participants were able
to improve within half an hour, typically demonstrating saccadic movement with
progressively reduced amplitudes, which better approximated smooth circular
movement. Activity in the inferior premotor cortex was significantly modulated
and decreased during the progress of learning. In contrast, activations in dorsal
premotor and parietal cortex along the intraparietal sulcus, the supplementary
eye field and the anterior cerebellum did not change during training. Thus, the
decrease of activity in inferior premotor cortex was critically related to the
learning progress in visuospatial eye movement control.
PMID- 27889815
TI - Modulating adaptation to emotional faces by spatial frequency filtering.
AB - In four experiments, we investigated the presence and strength of perceptual
aftereffects to emotional faces, using spatial frequency filtering to manipulate
awareness of emotional content. We presented angry and happy faces as adapters
and used a control condition without adaptation. Participants were subsequently
requested to judge the friendliness level of a neutral target face. We confirmed
the well-known aftereffect for unfiltered emotional faces in Experiment 1. In the
experiment, friendliness judgments were greater for the angry than the happy or
the control condition. In Experiment 2, in which the "hidden" emotional
expression contained in the low spatial frequencies (LSF) was superimposed to the
neutral expression presented in the rest of SF of the same image (emotional
hybrid faces), the difference in friendliness judgments between angry and happy
was significant, but none of the two conditions significantly differed from the
control. In Experiment 3, faces were presented at LSF, confirmed a difference
between the two emotions, but only the judgments of angry faces differed from the
control condition. In Experiment 4, we extended the initial finding to stimuli
presented at middle and high spatial frequencies (M-HSF). Finally, a comparison
among all experiments revealed that the aftereffect was stronger with angry faces
filtered at M-HSF than all of the other filtering conditions, whereas there were
no differences for happy faces. We conclude that spatial frequency filtering
influences aftereffects and that these effects are also related to emotional
awareness. The results are discussed with reference to the dual route model of
visual perception.
PMID- 27889816
TI - Author reply - Letter to the Editor Re: Singh I et al: Growing skull fractures:
guidelines for early diagnosis and surgical management.
PMID- 27889817
TI - Paediatric spinal cord infarction-a review of the literature and two case
reports.
AB - Ischemic spinal cord infarction is rare in the paediatric population, and when it
does occur, it is usually associated with traumatic injury. Other potential
causes include congenital cardiovascular malformations, cerebellar herniation,
thromboembolic disease and infection. Magnetic resonance imaging (MRI) findings
can be subtle in the early evaluation of such patients. The outcome is variable
and depends on the level and extent of the spinal cord infarct and subsequent
rehabilitation. Here, we present two cases of ischemic spinal cord infarction in
children.
PMID- 27889818
TI - Somatosensory reflex seizures in a child with epilepsy related to novel SCN1A
mutation.
AB - INTRODUCTION: Mutations in SCN1A have been reported in patients with different
types of epilepsy, including generalized epilepsy with febrile seizures plus,
severe myoclonic epilepsy in infancy, malignant migrating partial seizures in
infancy, and other infantile epileptic encephalopathies. CASE REPORT: We report a
case of a 10-month-old girl presented with reflex epileptic seizures provoked by
somatosensory stimuli with a novel de novo mutation of SCN1A gene. She was
observed to have seizures with eye deviation, unresponsiveness provoked by
somatosensory stimuli of the face. Video-electroencephalography (EEG) revealed
generalized spike-and-wave patterns. She experienced one or two focal clonic
seizures per month over the 6 months while taking valproate and carbamazepine. At
22 months old, she was hospitalized with an episode of generalized tonic clonic
febrile status epilepticus lasting for 45 min. Interictal sleep video-EEG showed
sharp-and-slow wave discharges in the left occipital lobe with normal background
activity. We found a de novo heterozygote mutation in SCN1A gene, c.1337A>C (p.
Q422P). CONCLUSION: To our knowledge, this mutation has not been previously
described in the SCN1A gene and this is the first report of epilepsy related to
SCN1A mutation as a presenting with reflex epilepsy of somatosensory stimuli.
This case report contributes to an expanding clinical spectrum of patients with
SCN1A mutations.
PMID- 27889819
TI - Pediatric traumatic brain injury: discussion about hyperosmolar therapy.
PMID- 27889820
TI - Ruxolitinib in clinical practice for primary and secondary myelofibrosis: an
analysis of safety and efficacy of Gruppo Laziale of Ph-negative MPN.
AB - Ruxolitinib, a JAK1 and JAK2 inhibitor, has been tested and approved for the
treatment of primary and secondary myelofibrosis (MF). Aim of our study is to
report safety and efficacy of ruxolitinib in 98 patients affected by MF treated
outside clinical trials and collected and treated consecutively by the Lazio
Cooperative Group for Ph negative myeloproliferative diseases.There were 45 males
and 53 females; median age was 61.8 years (range 35.3-88). Forty-five patients
were diagnosed as primary MF and 53 as secondary MF. Seventy-seven patients
(78.5%) experienced constitutional symptoms at baseline, and out of 94 patients
tested, 66 (70%) were JAK2V617F mutated. Overall, 40 patients received
hydroxyurea as firstline treatment, 30 patients received other chemotherapeutic
approaches, whereas 28 were treated with ruxolitinib frontline. Median time from
diagnosis to start of ruxolitinib in the whole cohort was 34.6 months. Fifty
eight patients (59%) required a dose reduction during the first 3 months due to
hematological toxicity in the majority of cases. At 48 weeks, 52% of patients
obtained a clinical benefit: of them 7 patients (7%) had a CR, 10 (10%) a PR, 6
patients (6%) a CI, and 28 patients (28.5%) a spleen response. Overall, 66% of
patients had disappearance of baseline symptoms burden. After 1 year, of 72
evaluable patients, 52% achieved and maintained a clinical benefit. Adverse
events of special interest at any grade included anemia (39.7%), thrombocytopenia
(25.5%), infections (16.3%, of which 10 were bronchopneumonia), fluid retention
(3%), diarrhea (2%) and abdominal pain (2%). After a median follow-up of 16
months from start of ruxolitinib, median daily dose decreased to 10 mg BID and 21
patients (21%) discontinued the drug. The results of this retrospective
multicentric analysis confirmed the efficacy of ruxolitinib outside clinical
trials with more than half of treated patients achieving and maintaining a
clinical benefit and most of them reporting relief from symptoms.
PMID- 27889821
TI - Fundoplication with gastrostomy vs gastrostomy alone: a systematic review and
meta-analysis of outcomes and complications.
AB - PURPOSE: We compare the outcomes of fundoplication with gastrostomy vs
gastrostomy alone and review the need for subsequent fundoplication after the
initial gastrostomy alone. METHODS: We searched studies published from 1969 to
2016 for comparative outcomes of concomitant fundoplication with gastrostomy
(FGT) vs gastrostomy insertion alone (GT) in children. Gastrostomy methods
included open, laparoscopic, and endoscopic procedures. Primary aims were minor
and major complications. Secondary aims included post-operative reflux-related
complications, fundoplication specific complications, and need for subsequent
fundoplication after GT. RESULTS: We reviewed 447 studies; 6 observational
studies were included for meta-analysis, encompassing 2730 children undergoing GT
(n = 1745) or FGT (n = 985). FGT was associated with more minor complications
[19.9 vs 11.4%, OR 2.02, 95% confidence interval (CI) 1.43-2.87, p <= 0.0001, I 2
= 0%], minor complications requiring revision (6.8 vs 3.0%, OR 2.27, 95% CI 1.28
4.05, p = 0.005, I 2 = 0%), and more overall complications (21.3 vs 12.0%, OR
1.99, 95% CI 1.43-2.78, p < 0.0001, I 2 = 0%). Incidence of major complications
(1.8 vs 2.0%, OR 1.39, 95% CI 0.62-3.11, p = 0.42, I 2 = 5%) and reflux-related
complications (8.8 vs 10.3%, OR 0.75, 95% CI 0.35-1.68, p = 0.46, I 2 = 0%) in
both groups was similar. Incidence of subsequent fundoplication in GT patients
was 8.6% (mean). CONCLUSIONS: Gastrostomy alone is associated with fewer minor
and overall complications. Concomitant fundoplication does not significantly
reduce reflux-related complications. As few patients require fundoplication after
gastrostomy, current evidence does not support concomitant fundoplication.
PMID- 27889822
TI - Does the depth of mesorectal invasion have prognostic significance in patients
with ypT3 lower rectal cancer treated with preoperative chemoradiotherapy?
AB - PURPOSE: The prognostic significance of the depth of mesorectal invasion (DMI) in
patients with ypT3 rectal cancer who undergo preoperative chemoradiotherapy (CRT)
is unclear. The purpose of this study was to evaluate the prognostic significance
of DMI in ypT3 rectal cancer. METHODS: A total of 168 (y)pT3 patients were
evaluated, of whom 93 received preoperative CRT and 75 underwent surgery alone.
Patients were subdivided into two groups according to the DMI (T3ab = DMI <=5 mm
and T3cd = DMI >5 mm). Oncologic outcomes were compared between the T3ab and T3cd
groups and the ypT3ab and ypT3cd groups. RESULTS: Relapse-free survival was
significantly different between the pT3ab and pT3cd groups (74.1 vs 38.5%, P =
0.0192) but not between the ypT3ab and ypT3cd groups (65.9 vs 61.5%, P = 0.513).
Multivariate analysis showed that DMI was an independent predictor of recurrence
in pT3 patients (hazard ratio [HR] = 2.980, 95% confidence interval [CI] 1.227
7.025; P = 0.0169) and that ypN+ was an independent predictor of recurrence in
ypT3 patients (HR = 3.487, 95% CI 1.570-8.827; P = 0.0016). CONCLUSIONS: DMI was
not a significant predictive factor for recurrence in patients with ypT3 rectal
cancer who underwent preoperative CRT, and ypN+ was the only independent
predictive factor for recurrence.
PMID- 27889823
TI - Goshajinkigan for reducing chemotherapy-induced peripheral neuropathy: protocol
for a systematic review and meta-analysis.
AB - PURPOSE: Chemotherapy-induced peripheral neuropathy (CIPN) is an issue for both
cancer patients and specialists, and the number of cases of CIPN is growing with
the increasing number of cancer patients worldwide. CIPN is often caused by
common anticancer drugs such as taxanes and platinum analogs. These are key drugs
for various cancers including colorectal and gastric cancers. However, there are
currently no effective drugs to prevent CIPN. Goshajinkigan, a Japanese
traditional herbal medicine (Kampo), is a promising drug which is used to treat
diabetic neuropathy in Japan. This systematic review will assess the efficacy and
safety of Goshajinkigan for reducing CIPN in cancer patients receiving
chemotherapy. METHODS AND ANALYSIS: We will conduct a comprehensive search of
relevant randomized controlled trials in Scopus, Ovid MEDLINE, Cochrane Central
Register of Controlled Trials, and ICHUSHI. Two review authors will independently
assess studies for inclusion and consult a third review author if necessary. The
risk of bias of the included studies will be assessed according to the Cochrane
risk of bias tool. We will investigate heterogeneity using forest plots and the
chi-square test. When there are enough studies and any heterogeneity, we will use
a random-effects model. Otherwise, we will use a fixed-effects model. ETHICS AND
DISSEMINATION: This is a protocol for systematic review and meta-analysis and
does not need ethics approval. We will disseminate the findings of this review
through publication in a peer-reviewed journal. TRIAL REGISTRATION NUMBER:
PROSPERO CRD42016045224.
PMID- 27889824
TI - Erratum to: Counter-flow suggests transport of dantrolene and 5-OH dantrolene by
the organic anion transporters 2 (OAT2) and 3 (OAT3).
PMID- 27889825
TI - A critical review of complementary and alternative medicine use among people with
arthritis: a focus upon prevalence, cost, user profiles, motivation, decision
making, perceived benefits and communication.
AB - A critical review of complementary and alternative medicine (CAM) use among
people with arthritis was conducted focusing upon prevalence and profile of CAM
users as well as their motivation, decision-making, perceived benefits and
communication with healthcare providers. A comprehensive search of peer-reviewed
literature published from 2008 to 2015 was undertaken via CINAHL, Medline and
AMED databases. The initial search identified 4331 articles, of which 49 articles
met selection criteria. The review shows a high prevalence of CAM use (often
multiple types and concurrent to conventional medical care) among those with
arthritis which is not restricted to any particular geographic or social-economic
status. A large proportion of arthritis sufferers using CAM consider these
medicines to be somewhat or very effective but almost half do not inform their
healthcare provider about their CAM use. It is suggested that rheumatologists and
others providing health care for patients with arthritis should be cognizant of
the high prevalence of CAM use and the challenges associated with possible
concurrent use of CAM and conventional medicine among their patients.
PMID- 27889826
TI - Cardiovascular risk profiles in a hospital-based population of patients with
psoriatic arthritis and ankylosing spondylitis: a cross-sectional study.
AB - The objective of the study was to investigate the frequency of traditional risk
factors for the cardiovascular (CV) disease, to calculate the Systematic COronary
Risk Evaluation (SCORE) for CV-related mortality in Danish patients with
psoriatic arthritis (PsA) and ankylosing spondylitis (AS), and to compare with
results from patients with rheumatoid arthritis (RA) from the same settlement.
All PsA and AS patients aged 18-85 years from one outpatient clinic were invited.
A rheumatology nurse conducted 30-min screening consultation, preceded by a lipid
and glucose profile. High SCORE risk led to recommendation of follow-up by
general practitioners. Multiple and logistic regression analyses, adjusted for
age and gender, were performed, to compare risk factors and risk SCOREs.
Participants were 116 AS (29.3% female) and 170 PsA (54.7% female). AS had
opposed PsA patients' lower 10-year risk SCOREs of CV mortality than RA patients:
AS versus RA coefficient -0.47 (confidence interval (CI) 95%: -0.84 to -0.) and
PsA versus RA -0.14, (-0.43-0.16). Women with PsA and AS had increased waistline
compared to women with RA [PsA vs. RA 7.94 (4.51-11.38); AS versus RA 6.67 (1.17
12.17)], and an increased prevalence of hypertension was seen in AS versus RA
patients [1.87 (1.15-3.05)]. Traditional, modifiable CV risk factors were present
in PsA and AS patients. AS but not PsA patients had an estimated lower 10-year
risk of CV mortality than RA patients, according to the SCORE model adjusted for
age and gender.
PMID- 27889827
TI - The effect of routine training on the self-efficacy of informal caregivers of
colorectal cancer patients.
AB - PURPOSE: Little is known about the degree to which caregiver training as part of
routine clinical care influences caregiver self-efficacy. The objective of this
study was to examine the relationship between training during routine clinical
cancer care and self-efficacy among caregivers of colorectal cancer patients.
METHODS: Caregivers completed a self-administered questionnaire about their
experiences with training for specific patient problems and about their task
specific and general caregiving self-efficacy. Associations between training and
self-efficacy were examined for each problem using multivariate logistic
regression adjusted for caregiver age, race, care burden, education, perception
of patient's health, and patient stage of disease. RESULTS: Four hundred
seventeen caregivers completed the survey (70% response rate), of whom 374 (90%)
were female and 284 (68%) were the patient's spouse/partner. Overall, 77 (38%)
reported inadequate training for pain, 80 (38%) for bowel, 121 (48%) for fatigue,
65 (26%) for medication administration, and 101 (40%) for other symptoms. The
odds of having low self-efficacy were significantly higher among those with
perceptions of inadequate training across the following cancer-related problems:
pain 10.10 (3.36, 30.39), bowel 5.04 (1.98, 12.82), fatigue 8.45 (3.22, 22.15),
managing medications 9.00 (3.30, 24.51), and other 3.87 (1.68, 8.93).
CONCLUSIONS: Caregivers commonly report inadequate training in routine colorectal
cancer care. Significant and consistent associations between training adequacy
and self-efficacy were found. This study supports the value of training
caregivers in common cancer symptoms. Further work on how and when to provide
caregiver training to best impact self-efficacy is needed.
PMID- 27889828
TI - Physicians' practice of discussing fertility preservation with cancer patients
and the associated attitudes and barriers.
AB - PURPOSE: The aim of this study is to describe physicians' clinical practice of
discussing fertility issues with cancer patients and determine the factors
associated with such discussion. METHODS: In this cross-sectional study, a
nationwide Internet survey was conducted among physicians who provided daily
medical care to cancer patients at hospitals or clinics. Participants answered a
questionnaire assessing characteristics, discussion practices, attitudes, and
barriers regarding fertility preservation. RESULTS: Among the 180 participants,
42% discussed fertility issues with patients daily, and 30% had experience in
referring patients to fertility preservation specialists. A multivariate logistic
regression analysis showed that those who agreed or strongly agreed with the
statements "physicians are responsible for discussing fertility preservation" (OR
= 2.04, 95% CI 1.14-3.63, p < 0.05) and "patients who have an exceedingly
aggressive disease and need immediate cancer treatment should not be told about
fertility issues" (OR =1.84, 95% CI 1.09-3.10, p < 0.05) were nearly twice as
likely to discuss fertility issues with patients. CONCLUSIONS: Compared to
Western countries, fertility issues are less likely to be discussed in Japan. To
increase opportunities for patients to discuss fertility issues, the ASCO
guidelines should be widely understood. Additionally, these results suggest that
physicians who are more likely to discuss fertility issues might feel more
conflicted about whether they in fact should discuss such issues with patients
with poor prognosis or insufficient time for cancer treatment.
PMID- 27889829
TI - Sexual function changes during pregnancy.
AB - INTRODUCTION AND HYPOTHESIS: We describe changes in sexual activity and function
among pregnant nulliparous women. METHODS: This secondary analysis evaluated
sexual activity and function with the Female Sexual Function Index (FSFI) at
baseline in the first (T1), second (T2) and early third (T3) trimester.
Evaluation was repeated in T3 after 36 completed weeks of gestation. Data were
assigned to the trimester in which they were collected and compared across
trimesters. FSFI items were dichotomized into favorable and unfavorable
responses. ANOVA and logistic regression models were used to compare values
across trimesters, taking into account repeated measurements. Pair-wise
comparisons of trimesters were done when there were significant overall
differences. Significance was set at p < 0.05. We adjusted for baseline
differences. RESULTS: Of 627 women, four did not give sexual function data.
Baseline data were collected in 124 women in T1, 403 in T2, and 96 in early T3.
Of these 623 women, 496 (80 %) gave data again in T3. The participants' mean age
was 24.2 +/- 5.1 years and 44.5 % were Hispanic. Rates of sexual activity (T1 94
%, T2 90 %, T3 77 %; p < 0.001) and mean FSFI scores decreased as pregnancy
progressed (T1 26.5 +/- 7.7, T2 25.6 +/- 9.0, T3 21.5 +/- 10.3; T1/T2 vs. T3, p <
0.001). Using the FSFI cut-off score for sexual dysfunction of 26.55, women in T3
were more likely to report dysfunction than women in T2 (57 % vs. 37 %, p <
0.001). For specific FSFI questions, the proportions of women reporting favorable
responses did not change between T1 and T2 (all p > 0.05) and the proportions of
women with a favorable response decreased for all questions between T2 and T3.
CONCLUSIONS: As pregnancy progresses, women report poorer sexual function.
PMID- 27889830
TI - Urinary retention in female OAB after intravesical Botox injection: who is really
at risk?
AB - INTRODUCTION AND HYPOTHESIS: Intravesical onabotulinumtoxinA (Botox) injections
are effective for the treatment of idiopathic overactive bladder (OAB) symptoms.
The aim of our study was to assess the predisposing factors for urinary retention
in women with OAB after intravesical Botox injection. METHODS: All participants
were women of European descent with idiopathic OAB. OnabotulinumtoxinA (100 U)
was administered in 20 intra-detrusor injections. Analysis was performed based on
the results of safety assessments made during follow-up (FU) visits on weeks 2, 4
and 12, in 208 women who were treated with Botox injections for refractory OAB
and who completed all FU visits. RESULTS: Women who required clean intermittent
self-catheterisation (CISC) and those with post-void residual (PVR) greater than
200 ml were older in comparison with patients with PVR between 50 and 200 ml.
Patients who required CISC were also characterised by higher parity and
particularly by a higher number of vaginal deliveries. Other factors such as body
mass index or comorbidities did not significantly influence PVR and the risk of
CISC. CONCLUSIONS: Elderly and/or multiparous women are at increased risk of
urinary retention after intravesical 100-U Botox injections. The risk of new
onset urine retention in our study has completely disappeared 2 weeks after Botox
injections. Based on our results of the way in which the PVRs have changed over
time, we can conclude that OAB patients should be optimally assessed during the
first 2 weeks after Botox injections.
PMID- 27889831
TI - An ecophysiological background for biogeographic patterns of two island lizards?
AB - Distributions of sedentary ectotherms are dependent on temperature and humidity
due to their low homeostatic and dispersal abilities. Lizards are strongly
conditioned by temperature, but hydric environment may be also important, at
least in arid environments. Biotic interactions may also play a role in range
patterns, but they are of minor importance in islands where native species
monopolize well-delimited niche spaces. On the arid island of Sao Vicente (Cabo
Verde), two endemic lizards display different spatial patterns. While the gecko
Tarentola substituta is widely distributed across the island, the skink Chioninia
stangeri is restricted to the NE, which is cooler, more humid, and vegetated. We
hypothesized that this is due to differences in the fundamental niche,
specifically in ecophysiology. We predict that C. stangeri should select for
lower temperatures and lose more water by evaporation than T. substituta. We
submitted adults of each species to standard experiments to assess preferred body
temperatures (Tp) and evaporative water loss (EWL) rates, and examined the
variation between species and through time using repeated-measures AN(C)OVAs.
Results only partially supported our expectations. Contrary to the prediction,
skinks attained higher Tp than geckos but in the long term showed a trend for
higher EWL as predicted. Thus, while ecophysiology certainly contributes to
functional interpretation of species distributions, it needs to be combined with
other evidence such as habitat use and evolutionary history. These findings will
be useful to perform mechanistic models to better understand the impact of
climate change and habitat disturbance on these endemic species.
PMID- 27889832
TI - Effects of cytochrome P450 (CYP3A4 and CYP2C19) inhibition and induction on the
exposure of selumetinib, a MEK1/2 inhibitor, in healthy subjects: results from
two clinical trials.
AB - PURPOSE: Two phase I, open-label trials in healthy subjects assessed whether co
administration with CYP3A4/CYP2C19 inhibitors, itraconazole/fluconazole (study
A), or CYP3A4 inducer, rifampicin (study B), affects the exposure,
safety/tolerability and pharmacokinetics of selumetinib and its metabolite N
desmethyl selumetinib. METHODS: In study A (n = 26), subjects received a single
dose of selumetinib 25 mg and, after 4 days of washout, were randomized to
treatment 1 (itraconazole 200 mg twice daily on days 1-11) or treatment 2
(fluconazole 400 mg on day 1 then 200 mg/day on days 2-11) plus co-administration
of single-dose selumetinib 25 mg on day 8 (selumetinib staggered 4 h after
itraconazole/fluconazole dose); Twenty-one days after discharge/washout, subjects
received the alternate treatment. In study B (n = 22), subjects received a single
dose of selumetinib 75 mg (day 1) then rifampicin 600 mg/day (days 4-14) plus a
single dose of selumetinib 75 mg on day 12. Pharmacokinetic analysis and safety
assessments were performed. RESULTS: Selumetinib co-administered with
itraconazole, fluconazole (selumetinib staggered 4 h after
itraconazole/fluconazole dose), or rifampicin was well tolerated. Selumetinib
exposure was higher when co-administered with itraconazole or fluconazole (area
under the plasma concentration-time curve (AUC) increased by 49 and 53%,
respectively; maximum plasma concentration (C max) increased by 19 and 26%,
respectively) but lower when co-dosed with rifampicin (AUC and C max decreased by
51 and 26%, respectively) versus selumetinib dosed alone. Co-administration with
itraconazole or rifampicin decreased N-desmethyl selumetinib AUC(0-t) (11 and
55%, respectively), and C max (25 and 18%, respectively), with fluconazole, AUC(0
t) increased by 40%, but there was no effect on C max. CONCLUSIONS: Co
administration of CYP3A4/CYP2C19 inhibitors will likely increase exposure to
selumetinib, while CYP3A4 inducers will likely reduce its exposure.
PMID- 27889833
TI - Factors associated with expression of extrapyramidal symptoms in users of
atypical antipsychotics.
AB - PURPOSE: The aim of this study was to investigate factors associated with the
occurrence of extrapyramidal symptoms (EPS) in users of second-generation
antipsychotics (SGA). METHODS: Observational cross-sectional study based on a
random sample of subjects from three outpatient clinics. Inclusion criteria were
age between 18 and 65 years, of both genders, with a diagnosis of schizophrenia
and under the use of a single SGA agent. Subjects who had received i.m. long
acting antipsychotics in the past were excluded. The families of eligible
patients were contacted by phone and, if willing to participate in the study, a
household visit was scheduled. Informed consent was obtained from all study
subjects and their next of kin. The risk of EPS associated with sociodemographic,
clinical features and medications used was analyzed by logistic regression.
RESULTS: The study population consisted of 213 subjects. EPS were observed in
38.0% of subjects. The more commonly used SGA were olanzapine (76, 35.7%),
risperidone (74, 34.3%), quetiapine (26, 12.2%), and ziprasidone (23, 10.8%).
Among the drugs used as adjunctive therapy for schizophrenia, benzodiazepines
were the most prevalent (31.5%), followed by carbamazepine (24.4%) and
antidepressants (20.2%). Multivariate analysis showed that the risk of EPS was
associated with the use of carbamazepine (odds ratio 3.677, 95% CI 1.627-8.310).
We found no evidence that the type of SGA modified the risk of EPS. CONCLUSION:
The occurrence of EPS in SGA users is a common finding, with no difference of
antipsychotics studied in relation to the risk of extrapyramidal manifestations.
The adjunctive use of carbamazepine may predispose the user of SGA to the
occurrence of EPS.
PMID- 27889834
TI - Antiepileptic drug use in Italian children over a decade.
AB - PURPOSE: The aim of the study was to evaluate prescription profiles of
antiepileptic drugs (AEDs) and to assess hospitalizations and specialist visits
in pediatric patients with epilepsy. METHODS: The data sources were
administrative health databases of Italy's Lombardy Region, which collect
prescriptions for drugs, diagnostic tests, specialist visits, and hospital
discharge forms. All patients aged 0-16 years with at least seven AED (group N03A
of the International Anatomical Therapeutic Chemical Classification)
prescriptions over two consecutive years between 2003 and 2010 were identified
and classified as prevalent or incident cases (no prescriptions in two previous
years). The first prescription to incident cases was analyzed. For each incident
case, drug prescriptions, specialist visits, diagnostic tests, and
hospitalizations in the 24 months following the first (index) prescription were
monitored. RESULTS: A total of 6527 incident cases (5.4/10,000 person-years, 95%
CI 5.4-5.5) were identified. Valproic acid and carbamazepine were the most
prescribed drugs (65.9 and 15.0%, respectively). The use of newer AEDs increased
over time. In the 24-month observation period, 74% of incident cases continued
the treatment with the index AED. The percentage of cases who changed therapy was
higher in preschoolers (34%) and decreased with age. In all, 21% of incident
cases were hospitalized for epilepsy and 86% had a specialist visit in the 24
months after the first AED prescription. CONCLUSIONS: In conclusion, older AEDs,
particularly valproic acid, remained the first therapeutic approach to pediatric
epilepsy in Italy. For three quarters of cases, the initial AED treatment was
likely effective and well tolerated.
PMID- 27889835
TI - Valproic acid reduces hair loss and improves survival in patients receiving
temozolomide-based radiation therapy for high-grade glioma.
AB - PURPOSE: Valproic acid (VPA), a histone deacetylase (HDAC) inhibitor, is also
used to manage seizures in glioblastoma patients. HDAC inhibitors can protect
normal cells and tissues from the deleterious effects of radiotherapy, and VPA is
reported to improve the survival of glioblastoma patients receiving
chemoradiation therapy. VPA also promotes hair growth, and thus has the potential
to reduce the radiotherapy side effect of hair loss while improving the survival
of patients with glioblastoma. The purpose of this study was to determine whether
VPA use during radiotherapy for high-grade glioma is associated with decreased
side effects of radiotherapy and an improvement in overall survival (OS) and
progression-free survival (PFS). METHODS: Medical records of 112 patients with
high-grade glioma were retrospectively reviewed. We grouped patients by VPA use
or non-use during radiotherapy, and evaluated hair loss, OS, and PFS. RESULTS:
The radiation dose and fractionation at the onset of hair loss were 4 Gy and two
fractions higher, respectively, in the VPA group compared with the VPA non-use
group (P < 0.01). Median OS was 42.2 and 20.3 months in the VPA use and non-use
groups, respectively (P < 0.01; hazard ratio [HR], 0.36; 95% confidence interval
[CI], 0.18-0.74). Median PFS was 22.7 and 11.0 months in the VPA use and non-use
groups, respectively (P = 0.099; HR, 0.62; 95% CI, 0.36-1.09). CONCLUSIONS: VPA
use during radiotherapy for glioma is associated with delayed hair loss and
improvement in survival. Hair loss prevention benefits patients suffering from
the deleterious effects of radiation.
PMID- 27889836
TI - Clinical validation of synthetic brain MRI in children: initial experience.
AB - INTRODUCTION: The purpose of this study was to determine the diagnostic accuracy
of synthetic MR sequences generated through post-acquisition processing of a
single sequence measuring inherent R1, R2, and PD tissue properties compared with
sequences acquired conventionally as part of a routine clinical pediatric brain
MR exam. METHODS: Thirty-two patients underwent routine clinical brain MRI with
conventional and synthetic sequences acquired (22 abnormal). Synthetic axial T1,
T2, and T2 fluid attenuation inversion recovery or proton density-weighted
sequences were made to match the comparable clinical sequences. Two exams for
each patient were de-identified. Four blinded reviewers reviewed eight patients
and were asked to generate clinical reports on each exam (synthetic or
conventional) at two different time points separated by a mean of 33 days. Exams
were rated for overall and specific finding agreement (synthetic/conventional and
compared to gold standard consensus review by two senior reviewers with knowledge
of clinical report), quality, and diagnostic confidence. RESULTS: Overall
agreement between conventional and synthetic exams was 97%. Agreement with
consensus readings was 84% (conventional) and 81% (synthetic), p = 0.61. There
were no significant differences in sensitivity, specificity, or accuracy for
specific imaging findings involving the ventricles, CSF, brain parenchyma, or
vasculature between synthetic or conventional exams (p > 0.05). No significant
difference in exam quality, diagnostic confidence, or noise/artifacts was noted
comparing studies with synthetic or conventional sequences. CONCLUSIONS:
Diagnostic accuracy and quality of synthetically generated sequences are
comparable to conventionally acquired sequences as part of a standard pediatric
brain exam. Further confirmation in a larger study is warranted.
PMID- 27889837
TI - Exercise training effects on memory and hippocampal viscoelasticity in multiple
sclerosis: a novel application of magnetic resonance elastography.
AB - INTRODUCTION: Cognitive impairment is common and debilitating among persons with
multiple sclerosis (MS) and might be managed with exercise training. However, the
effects of exercise training on viscoelastic brain properties in this population
are unknown. The present pilot study adopted a single-blind randomized controlled
trial (RCT) design and is the first to examine the effect of an aerobic exercise
training intervention on learning and memory and hippocampal viscoelasticity
using magnetic resonance elastography (MRE) in persons with MS. METHODS: Eight
fully ambulatory females with MS were randomly assigned into exercise training
intervention or waitlist control conditions. The intervention condition involved
12 weeks of supervised, progressive treadmill walking exercise training. All
participants underwent measures of learning and memory (i.e., California Verbal
Learning Test-II; CVLT-II) and further underwent MRE scans for measurement of
shear stiffness (MU) and damping ratio (xi) of the hippocampus before and after
the 12-week period. RESULTS: Overall, there were small-to-moderate intervention
effects on CVLT-II performance (d = 0.34) and large intervention effects on
hippocampal MU (d = 0.94) and hippocampal xi (d = -1.20). Change in CVLT-II
scores was strongly associated with change in MU (r = 0.93, p < 0.01) and xi (r =
-.96, p < 0.01) of the hippocampus. CONCLUSION: This small pilot RCT provides
exciting proof-of-concept data supporting progressive treadmill walking exercise
training for potentially improving learning and memory and underlying hippocampal
viscoelastic properties in persons with MS. This is important given the high
prevalence and burden of MS-related memory impairment.
PMID- 27889838
TI - Changes of brain metabolite concentrations during maturation in different brain
regions measured by chemical shift imaging.
AB - INTRODUCTION: We examined the effect of maturation on the regional distribution
of brain metabolite concentrations using multivoxel chemical shift imaging.
METHODS: From our pool of pediatric MRI examinations, we retrospectively selected
patients showing a normal cerebral MRI scan or no pathologic signal abnormalities
at the level of the two-dimensional 1H MRS-CSI sequence and an age-appropriate
global neurological development, except for focal neurological deficits. Seventy
one patients (4.5 months-20 years) were identified. Using LC Model, spectra were
evaluated from voxels in the white matter, caudate head, and corpus callosum.
RESULTS: The concentration of total N-acetylaspartate increased in all regions
during infancy and childhood except in the right caudate head where it remained
constant. The concentration of total creatine decreased in the caudate nucleus
and splenium and minimally in the frontal white matter and genu. It remained
largely constant in the parietal white matter. The concentration of choline
containing compounds had the tendency to decrease in all regions except in the
parietal white matter where it remained constant. The concentration of
myoinositol decreased slightly in the splenium and right frontal white matter,
remained constant on the left side and in the caudate nucleus, and rose slightly
in the parietal white matter and genu. CONCLUSION: CSI determined metabolite
concentrations in multiple cerebral regions during routine MRI. The obtained data
will be helpful in future pediatric CSI measurements deciding whether the ratios
of the main metabolites are within the range of normal values or have to be
considered as probably pathologic.
PMID- 27889839
TI - What is the fate of the neck after a collum femoris preserving prosthesis? a
nineteen years single center experience.
AB - PURPOSE: The aim of our study is to evaluate long-term outcomes from a cohort of
patients treated with collum femoris preserving (CFP) stem correlating neck
resorption with comorbidities, clinical outcomes, and complications. METHODS: One
hundred seventy-six patients (194 hips) were retrospectively reviewed with a
minimum follow-up (f.u.) of ten years. Demographic and surgical data were
collected. Clinical and radiological evaluation was performed at the last follow
up. We calculated a neck resorption ratio (NRR) for each patient. Main
complications were recorded. A p-value of <0.05 was considered significant.
RESULTS: The mean Harris hip score (HHS) was 89.1 +/- 5.7. The mean visual
analogue scale (VAS) and Oxford hip score (OHS) values were 1.1 +/- 1 and 41.3 +/
5.1, respectively. The mean leg length discrepancy was 1.5 mm +/- 1.9. The mean
NRR was 0.35. We observed six cases of aseptic loosening, two cases of infection,
one implant revision for recurrent dislocation, and one stem revision after
periprosthetic femoral fracture. The overall survival rate of the stem was 94.8%.
Statistically significant associations were found between NRR and steroid
therapy/stem malposition. Correlation between aseptic loosening and NRR was also
statistically significant. Correlations between NRR and HHS/OHS were -0.34 and
0.28 respectively. Odds ratio for aseptic loosening were: 4.6 if NRR > 0.25; 16.9
if > 0.50 and 24.1 if > 0.75. CONCLUSION: CFP hip stem provided excellent long
term outcomes. NRR is correlated to steroid therapy and stem malposition. The
risk of stem aseptic loosening rises according to NRR increase. Patients with an
NRR > 0.5, especially if under steroid therapy or with stem malposition, should
be strictly monitored.
PMID- 27889840
TI - Five to thirteen year results of a cemented dual mobility socket to treat
recurrent dislocation.
AB - PURPOSE: Dual mobility (DM) socket has been associated with a low rate of
dislocation following both primary and revision total hip arthroplasty (THA).
However, little is known about the long-term efficiency of DM in the treatment of
THA instability. The purpose of this retrospective study was to evaluate the
outcome of a cemented DM socket to treat recurrent dislocation after a minimum of
five year follow-up. METHODS: The series included 51 patients with a mean age of
71.3 +/- 11.5 (range, 41-98) years presenting with recurrent dislocation (mean
3.3). A single DM socket design was used consisting of a stainless steel outer
shell with grooves with a highly polished inner surface articulating with a
mobile polyethylene component. The femoral head was captured in the polyethylene
component using a snap-fit type mechanism, the latter acting as a large
unconstrained head inside the metal cup. RESULTS: At the minimum five year follow
up evaluation, 18 of the 51 patients deceased at a mean of 4.8 +/- 2.3 years,
three were lost to follow-up at a mean of 1.4 years, seven had been revised at a
mean of 4.7 +/- 3.1 years (range, 1.5-9.1), and the remaining 23 were still alive
and did not have revision at a mean of 8.2 +/- 2.4 years (range, 5-13 years). Of
the seven revision, three were performed for further episodes of dislocation (at
the large bearing for one patient and intra-prosthetic for two patients) after a
mean 5.9 +/- 2.9 years (range, 2.7-9.1), whereas two were performed for late
sepsis and two for aseptic loosening of the acetabular component. Radiographic
analysis did not reveal any further loosening on the acetabular side. The
survival rate of the cup at ten years, using re-dislocation as the end-point, was
86.1 +/- 8.4% (95% confidence interval, 69.7-100%). The survival rate of the cup
at ten years, using revision for any reason as the end-point, was 75.2 +/- 9.3%
(95% confidence interval, 56.9-93.5%). CONCLUSION: A cemented dual mobility cup
was able to restore hip stability in 94% of patients presenting with recurrent
dislocating hips up to 13-year follow-up with none of the complications
associated with constrained devices, as mechanical failure occurred in only 3.9%
of the patients of this series. The overall reduced survival using revision for
any reason as the end-point at ten years was related to this specific patients
population that had various co-morbidities.
PMID- 27889841
TI - The function of activatable cell-penetrating peptides in human intrahepatic bile
duct epithelial cells.
AB - This study aimed to investigate the function of Activatable Cell-Penetrating
Peptides (ACPP) in detecting the changes of human intrahepatic bile duct
epithelial cell(hIBDEC). ACPP, which target matrix metalloproteinases, were
constructed. All were labeled with FITC and Gd-DTPA at the N-terminal.
Fluorescence microscopy was used to observe the fluorescence intensity inside
hIBDEC after stimulating with different concentrations of LPS and incubating with
different concentrations of ACPP to determine the optimal concentration range for
LPS stimulation and the optimal concentration for FITC-ACPP effect. Flow
cytometry and magnetic resonance imaging were used to detect fluorescence signal
intensity and nuclear magnetic resonance signal intensity, respectively, after
stimulating with different concentrations of LPS. LPS stimulation time and ACPP
incubation time were also evaluated, and variance analysis was conducted to
analyze intracellular signal change characteristics for every group. Activatable
Cell-Penetrating Peptides (ACPP), which were marked with FITC and Gd-DTPA had
target-penetrating activity. The intracellular signal intensity gradually
increased with the increase in LPS stimulation time and ACPP incubation time
within a certain range; however, it did not increase with the increase of LPS
concentration. ACPP can be used for imaging hIBDEC with epithelial-mesenchymal
transition.
PMID- 27889842
TI - Early differential diagnosis of the severity of acute pancreatitis.
AB - There is a great need for early verification of the severity of acute
pancreatitis (AP). The early stage of pathogenesis of AP is characterized by
endothelial dysfunction which could be determined by wavelet analysis of skin
temperature (WAST) technique. The aim is to investigate whether the dysregulation
of microvascular tone caused by endothelial dysfunction and detected by WAST can
be a significant indicator in early differential diagnosis of AP severity. The
WAST performed in the frequency range of 0.0095-0.02 Hz during the contralateral
cold test. Forty nine patients with AP aged 19-65 participated in this study. The
control group included 12 healthy volunteers aged 20-65. Dysregulation of
vascular tone during the contralateral cold test was observed in all patients
with AP. The basal amplitudes of skin temperature oscillations in patients with
AP were much lower than in healthy volunteers and progressively decreased as the
disease severity increased. In patients with mild and moderate AP only the
vasodilator component is destroyed, but vasoconstriction still operates. In
patients with severe AP both mechanisms of endothelial vascular tone regulation
are destroyed. Patients with AP have abnormal microvascular reactions related to
the endothelial mechanism of vascular tone regulation. Based on the initial
values of amplitudes and the indices of vasoconstriction and postcold
vasodilatation, the WAST method makes it possible to evaluate two related but
different characteristics of the endothelial dysfunction in patients with AP on
admission which can be a significant indicator in early differential diagnosis of
AP severity.
PMID- 27889844
TI - Long-term use of the wearable cardioverter-defibrillator: prolonging life or
prolonging indecision?
PMID- 27889843
TI - A randomized comparison of the Ambu AuraGain versus the LMA supreme in patients
undergoing gynaecologic laparoscopic surgery.
AB - Second generation supraglottic airway devices providing high seal airway
pressures are suitable for patients undergoing gynecologic laparoscopy. We
compared the seal pressure achieved by the new Ambu AuraGainTM versus LMA
SupremeTM following pneumoperitoneum in the Trendelenburg position. Sixty female
patients were randomly allocated to ventilation with either the AuraGain or the
Supreme. A target-controlled system was used to administer total intravenous
anesthesia. Intracuff pressure was maintained below 60 cm H2O. The following
parameters were registered: Time, number of attempts and manoeuvres required for
insertion; seal pressure and peak inspiratory pressure at four time points; ease
of gastric tube insertion, flexible scope view, complications and postoperative
morbidity. Both devices were quick and easily inserted, although the Supreme
required less rotation manoeuvres (16 in AuraGain vs. 6 in LMA Supreme; p =
0.01). The AuraGain achieved higher seal pressures (34 +/- 5 in AuraGain vs. 29
+/- 5 in LMA Supreme; p = 0.0002). Following pneumoperitoneum in head-down
position, peak airway pressure increased 9 +/- 3 cm H2O in both groups, exceeding
seal pressure in 3 patients in the Supreme group (p = 0.06). The vocal cords were
seen through all AuraGain and 90% of the Supreme devices; epiglottis was often
visible inside the tube (68%). No differences were found in the incidence of
traces of blood on the mask or postoperative symptoms. Both devices allowed
effective ventilation in patients undergoing gynaecologic laparoscopic surgery
with a low rate of complications. The Ambu AuraGain provided higher seal
pressures and a clear view of glottic inlet in all patients offering the
possibility to guide direct tracheal intubation if required.
PMID- 27889845
TI - Randomized trial comparing self gripping semi re-absorbable mesh (PROGRIP) with
polypropylene mesh in open inguinal hernioplasty: the 6 years result.
AB - OBJECTIVES: The use of absorbable polylactic acid hook self-gripping
polypropylene mesh in open inguinal hernia may potentially reduce operative time
and enhance patient recovery. The objective of this randomized trial is to
compare the outcomes following self-gripping mesh repair to polypropylene mesh
secured with sutures in open inguinal hernioplasty. METHOD: Eligible patients
aged 18-80 years old, who had primary unilateral uncomplicated inguinal hernia,
were randomized into either Polypropylene (PL) group or PROGRIP (PG) group just
before the placement of mesh intra-operatively by computer generated code. The
primary outcome was the time from mesh placement to end of operation, whereas
secondary outcomes included the total operative time, amount of analgesic used,
length of post-operative stay, seroma formation, chronic discomfort, chronic pain
score and recurrence. The study has been registered in
http://www.clinicaltrial.gov carrying an ID of NCT00960011. Patients were
followed-up in outpatient clinic for up to 6 years after operation. RESULTS: From
March 2009 to April 2016, 45 patients were included. The mean age of PG group (n
= 22) was 62.0 +/- 15.7 years old while that of the PL group was 62.6 +/- 4.9
years old (n = 23). There was no significant difference regarding the smoking
habit, drinking habit, comorbidities, previous hernia operation and Nyhus type of
hernia between the two groups. The size of defects, the time of groin dissection
and the size of incision were similar. In the PG group, there was significant
reduction in the time for mesh placement (11.8 +/- 3.1 vs. 21.0 +/- 6.2 min, p <
0.001) and total operative time (39.2 +/- 9.8 vs. 47.7 +/- 8.0 min, p = 0.003).
There was one recurrence in PL group and nil in PG group. Although there was a
significant difference in paresthesia between 2 groups after operation, the
difference disappears with time and comparable from post-operative 1 year
onwards. There was no difference in chronic pain, chronic discomfort, affect
daily activities, palpable mesh demonstrated throughout the whole study period
till 6 years after operation. CONCLUSIONS: The use of polylactic acid self
gripping mesh in open inguinal hernia repair effectively reduces the operating
time with comparable long-term surgical outcome with traditional polypropylene
mesh.
PMID- 27889846
TI - Gastrointestinal Bleeding During Index Hospitalization for Mechanical Circulatory
Support Devices Implantation: Is the Squeeze Worth the Ooze?
PMID- 27889847
TI - Diagnostic Value of Small Bowel Capsule Endoscopy in Isolated Ileitis: A CAPENTRY
Study.
AB - BACKGROUND: Capsule endoscopy (CE) has proven to be highly effective at detecting
small bowel lesions, but studies regarding the diagnostic impact of CE on ileitis
are rare. AIMS: We evaluated the diagnostic value of small bowel CE for isolated
ileitis observed during ileocolonoscopy. METHODS: The CE results in 137 patients
initially diagnosed with ileitis without colonic mucosal abnormalities on
ileocolonoscopy at one of eight tertiary referral centers between October 2002
and June 2015 were retrospectively analyzed. RESULTS: Among the 137 patients with
isolated ileitis observed on ileocolonoscopy, 117 (85.4%) revealed positive small
bowel CE findings (85.4%). The rate of positive small bowel CE findings was 92.9%
in cases of ileal aphthous ulcer or erosion, and 90.9% in cases of ileal ulcer.
Among 117 positive CE cases, the most common final diagnosis by CE was Crohn's
disease (CD) (n = 44, 32%). No findings were identified in 20 (14.6%) of 137
cases. Ileal erosion/ulcer, rather than findings such as nodularity and erythema
or elevated erythrocyte sedimentation rate (ESR) (>10 mm/h), was significant
predictive factors for positive CE findings in multivariate analysis.
CONCLUSIONS: Small bowel CE showed a high diagnostic yield (85.4%) in symptomatic
patients with isolated ileitis on ileocolonoscopy. Erosion or ulcer of the small
bowel was a common finding on CE (66.4%), and approximately one-third of patients
were diagnosed with CD. In patients with isolated ileitis on ileocolonoscopy, CE
should be considered to evaluate small bowel lesions when the patient shows an
elevated ESR or when the ileitis manifests as ileal ulcer or erosion rather than
a nodular or erythematous lesion.
PMID- 27889849
TI - Principles of managing Vancouver type B periprosthetic fractures around cemented
polished tapered femoral stems.
AB - The management of periprosthetic fractures around total hip replacements is a
complex and challenging problem. Getting it right first time is an important
factor in reducing the morbidity, mortality and financial burden associated with
these injuries. Understanding and applying the basic principles of fracture
management helps increase the chance of successful treatment. Based on these
principles, we suggest a treatment algorithm for managing periprosthetic
fractures around polished tapered femoral stems.
PMID- 27889848
TI - Changes of ammonia, urea contents and transaminase activity in the body during
aerial exposure and ammonia loading in Chinese loach Paramisgurnus dabryanus.
AB - The Paramisgurnus dabryanus was exposed to 30 mmol L-1 NH4Cl solution and air to
assessing the change of body ammonia and urea contents and the activities of
alanine aminotransferase (ALT) and aspartate transaminase (AST). After 48 h of
ammonia exposure, ammonia concentration in the plasma, brain, liver and muscle
were 3.3-fold, 5.6-fold, 3.5-fold and 4.2-fold, respectively, those of the
control values. Plasma, brain, liver and muscle ammonia concentrations increased
to 2.2-fold, 3.3-fold, 2.5-fold and 2.9-fold, respectively, those of control
values in response to 48 h of aerial exposure. Within the given treatment
(ammonia or aerial exposure), there was no change in plasma, brain and liver urea
concentrations between exposure durations. The plasma ALT activity was
significantly affected by exposure time during aerial exposure, while the liver
ALT activity was not affected by ammonia or aerial exposure. Exposure to NH4Cl or
air had no effect on either plasma or liver AST activity. Our results suggested
that P. dabryanus could accumulate quite high level of internal ammonia because
of the high ammonia tolerance in its cells and tissues, and NH3 volatilization
would be a possible ammonia detoxification strategy in P. dabryanus. Urea
synthesis was not an effective mechanism to deal with environmental or internal
ammonia problem. The significant increase of ALT activity in plasma during aerial
exposure, indicating that alanine synthesis through certain amino acid catabolism
may be subsistent in P. dabryanus.
PMID- 27889850
TI - Chemical fractionation and health risk assessment of particulate matter-bound
metals in Pune, India.
AB - The present study deals with the assessment of sequential extraction of
particulate matter (PM)-bound metals and the potential health risks associated
with them in a growing metropolitan city (Pune) of India. The average mass
concentration of both PM2.5-10 and PM2.5 exceeded the National Ambient Air
Quality Standards. Significant seasonal variation in mass concentration was found
for both size fractions of PM with higher values in winter season and lower in
monsoon. Chemical species of the studied trace metals in PM exhibited significant
differences, due to difference in sources of pollution. Metals such as Cd, Pb,
and Cr in both size fractions and Zn and Co in fine fraction were more
efficiently extracted in mobile fractions showing their mobile nature while Ni
and Fe showed reduced mobility. Fe showed the highest concentrations among all
the analyzed elements in both coarse (PM2.5-10) and fine (PM2.5) PM, while Cd
showed least concentration in both size fractions. PCA identified industrial
emissions, vehicular activity, coal combustion, diesel exhaust, waste
incineration, electronic waste processing, constructional activities, soil, and
road dust as probable contributors responsible for the metallic fraction of PM.
All the metals showed varying contamination in PM samples. The contamination was
higher for fine particles than coarse ones. The average global contamination
factor was found to be 27.0-34.3 in coarse and fine PM, respectively. The hazard
quotient (HQ) estimated for Cd, Co, and Ni (both total and easily accessible
concentrations) exceeded the safe level (HQ = 1), indicating that these metals
would result in non-carcinogenic health effects to the exposed population. The HQ
ranged from 9.1 * 10-5 for Cu (coarse) to 8.3 for Ni (fine) PM. The cancer risk
for Cd, Ni, and Cr in both sized PM were much higher than the acceptable limits
of USEPA.
PMID- 27889851
TI - Effect of cow colostrum on the performance and survival rate of local newborn
piglets in Benin Republic.
AB - The effect of bovine colostrum, including its thermally labile compounds, on the
survival and growth performance of local breed piglets reared by their mother, in
Benin, was evaluated over a 49-day trial. Three groups of 16 piglets, stemming
from two primiparous sows belonging to a unique traditional farm, were
respectively fed for the first 48 h of life with either bovine colostrum heated
to 85 degrees C for 30 min, or thawed bovine colostrum, or colostrum from the
mother. Thereafter, the animals that received bovine colostrum turned back to
their mother. At day 21, almost all piglets from the group that received heated
colostrum died. The highest total weight gain was obtained in the group that
received thawed bovine colostrum (P ? 0.01), followed by the group left with the
mother. Corresponding average daily gains (ADGs) were 56, 34 and 2 g/day,
respectively (P ? 0.05). At the end of the trial, the treatment effect was highly
significant on the survival of piglets (100% in the thawed colostrum group vs. 00
and 50%, respectively, in the heated colostrum group and in the group left with
the mother). At day 49, numerically higher weight and ADGs were obtained in the
group that received thawed cow colostrum. Thawed bovine colostrum improved the
growth performance and piglet survival in the local pig breed in Benin, probably
owing to thermally labile components. Bovine colostrum may be used in our farms
in order to reduce pre-weaning mortality, improve the profitability of livestock
farmers, and ensure survival of traditional farms. The use of bovine colostrum on
farms could be facilitated by collaboration between pig farmers and bovine
farmers. It could also be facilitated by the creation of a colostrum bank.
PMID- 27889852
TI - Effects of stiffness and volume on the transit time of an erythrocyte through a
slit.
AB - By using a fully coupled fluid-cell interaction model, we numerically simulate
the dynamic process of a red blood cell passing through a slit driven by an
incoming flow. The model is achieved by combining a multiscale model of the
composite cell membrane with a boundary element fluid dynamics model based on the
Stokes flow assumption. Our concentration is on the correlation between the
transit time (the time it takes to finish the whole translocation process) and
different conditions (flow speed, cell orientation, cell stiffness, cell volume,
etc.) that are involved. According to the numerical prediction (with some
exceptions), the transit time rises as the cell is stiffened. It is also highly
sensitive to volume increase inside the cell. In general, even slightly swollen
cells (i.e., the internal volume is increased while the surface area of the cell
kept unchanged) travel dramatically slower through the slit. For these cells,
there is also an increased chance of blockage.
PMID- 27889853
TI - Photo-Physical Behavior and Fluorescence of Thermo Switchable Nanocomposite Based
on Methyl Methacrylate -Spirobenzopyran.
AB - Nanocomposites with thermo and photo-switchable fluorescent properties were
synthesized via mini-emulsion polymerization based on spiropyran and methyl
methacrylate monomer. The photophysical behavior of fluorescence nanocomposites
was investigated by fluorescence spectrophotometry in different temperature, UV
light and time of exposure. It was found that methyl methacrylate polymer is
capable of acting as a protective layer and play a critical role in improving the
photostability of colorants. The nanocomposites exhibited excellent fluorescent
thermo-switching action with respect to the free spiro molecule. Graphical
Abstract ?.
PMID- 27889854
TI - Do we have a moral responsibility to compensate for vulnerable groups? A
discussion on the right to health for LGBT people.
AB - Vulnerability is a broad concept widely addressed in recent scholarly literature.
Lesbian, gay, bisexual, and transgender (LGBT) people are among the vulnerable
populations with significant disadvantages related to health and the social
determinants of health. Medical ethics discourse tackles vulnerability from
philosophical and political perspectives. LGBT people experience several
disadvantages from both perspectives. This article aims to justify the right to
health for LGBT people and their particular claims regarding healthcare because
they belong to a vulnerable group. Rawls' theory of justice and Norman Daniels'
normal functioning approach will be discussed in this context. Despite the fact
that the right to health can be justified by Daniels' normal functioning
approach, there is still a theoretical gap in justifying the right to health for
particular vulnerable populations such as LGBT peopleand discussing society's
duty to compensate for these disadvantages. In search of solid theoretical
grounds for the justification of the right to health for LGBT people, the present
author takes the opportunity to utilize Daniels' flexible definition of normal
functioning to show that normal functioning not only varies by age but also by
different states of human existence, including sexual orientation and gender
identity, and to propose replacing the life span approach with normal states of
human existence.
PMID- 27889855
TI - Epigallocatechin Gallate Attenuates beta-Amyloid Generation and Oxidative Stress
Involvement of PPARgamma in N2a/APP695 Cells.
AB - The accumulation of beta-amyloid (Abeta) peptide plaques is a major pathogenic
event in Alzheimer's disease (AD). Abeta is a cleaved fragment of APP via BACE1,
which is the rate-limiting enzyme in APP processing and Abeta generation. Nuclear
receptor peroxisome proliferator-activated receptor gamma (PPARgamma) is
considered to be a potential target for AD treatment, because of its potent
antioxidant and inhibitory effects on Abeta production by negatively regulating
BACE1. Epigallocatechin gallate (EGCG), a highly active catechin found in green
tea, is known to enhance metabolic activity and cognitive ability in the mice
model of AD. To investigate whether the therapeutic effect of EGCG is related to
the PPARgamma pathway, we analysed the alterations in the intracellular molecular
expression of PPARgamma after EGCG treatment in the N2a/APP695 cell line. In this
study, we observed that EGCG attenuated Abeta generation in N2a/APP695 cells,
such as the PPARgamma agonist, pioglitazone, by suppressing the transcription and
translation of BACE1 and that its effect was attenuated by the PPARgamma
inhibitor, GW9662. Intriguingly, EGCG significantly reinforced the activity of
PPARgamma by promoting its mRNA and protein expressions in N2a/APP695 cells.
Moreover, EGCG also decreased the expression of pro-apoptotic proteins (Bax,
caspase-3), reduced the activity of the anti-inflammatory agent NF-kappaB and
inhibited the oxidative stress by decreasing the levels of ROS and MDA and
increasing the expression of MnSOD. Co-administration of GW9662 also
significantly decreased the EGCG-mediated neuroprotective effect evidenced by the
increase in oxidative stress and inflammatory markers. The therapeutic efficacy
of EGCG in AD may be derived from the up-regulation of PPARgamma mRNA and protein
expressions.
PMID- 27889858
TI - The Pursuit of Truth in the Company of Friends : In Memorium: Charles W. Daniel.
PMID- 27889857
TI - Accurate Control of 17beta-Estradiol Long-Term Release Increases Reliability and
Reproducibility of Preclinical Animal Studies.
AB - Estrogens are the subject of intensive researches aiming to elucidate their
mechanism of action on the various tissues they target and especially on mammary
gland and breast cancer. The use of ready-to-use slow releasing devices to
administer steroids, especially estrogens, to small experimental animals remains
the method of choice in terms of animal well-being and of safety for both the
researcher and the animal. In this study, we evaluated and compared, in vitro and
in vivo, the release kinetic of estradiol (E2) over sixty days from two different
slow-releasing systems: the matrix pellet (MP) and the reservoir implant (RI). We
compared the impact of these systems in three E2-sensitive mouse models : mammary
gland development, human MCF7 adenocarcinoma xenograft and mouse melanoma
progression. The real amount of E2 that is released from both types of devices
could differ from manufacturer specifications due to inadequate release for MP
and initial burst effect for RI. Compared to MP, the interindividual variability
was reduced with RI thanks to a superior control of the E2 release. Depending on
the dose-dependent sensitivity of the physiological or pathological readout
studied, this could lead to an improvement of the statistical power of in vivo
experiments and thus to a reduction of the required animal number. Altogether,
our data draw attention on the importance to adequately select the slow-releasing
device that is the most appropriated to a specific experiment to better fulfill
the 3Rs rule (Replacement, Reduction, Refinement) related to animal welfare and
protection.
PMID- 27889856
TI - Gender Differences in Anxiety Trajectories from Middle to Late Adolescence.
AB - Although developmental trajectories of anxiety symptomatology have begun to be
explored, most research has focused on total anxiety symptom scores during
childhood and early adolescence, using racially/ethnically homogenous samples.
Understanding the heterogeneous courses of anxiety disorder symptoms during
middle to late adolescence has the potential to clarify developmental risk models
of anxiety and to inform prevention programs. Therefore, this study specifically
examined gender differences in developmental trajectories of anxiety disorder
symptoms (generalized anxiety disorder, panic disorder, and social anxiety
disorder) from middle to late adolescence in a diverse community sample (N =
1000; 57 % female; 65 % White), assessed annually over 2 years. Latent growth
curve modeling revealed that girls exhibited a slight linear decrease in
generalized anxiety disorder, panic disorder, and social anxiety disorder
symptoms, whereas boys exhibited a stable course. These models suggested that one
trajectory was appropriate for panic disorder symptoms in both girls and boys.
Growth mixture models indicated the presence of four latent generalized anxiety
disorder symptom trajectory classes: low increasing, moderate decreasing
slightly, high decreasing, and very high decreasing rapidly. Growth mixture
models also suggested the presence of five latent social anxiety disorder symptom
trajectory classes: a low stable trajectory class and four classes that were
qualitatively similar to the latent generalized anxiety disorder trajectories.
For both generalized anxiety disorder and social anxiety disorder symptoms, girls
were significantly more likely than boys to be in trajectory classes
characterized by moderate or high initial symptoms that subsequently decreased
over time. These findings provide novel information regarding the developmental
course of anxiety disorder symptoms in adolescents.
PMID- 27889859
TI - Chronic high-dose glucocorticoid therapy triggers the development of chronic
organ damage and worsens disease outcome in systemic lupus erythematosus.
AB - Long-term survival of patients with systemic lupus erythematosus (SLE) improved
worldwide; thus, prevention of cumulative organ damage became a major goal in
disease management. The aim of our study was to investigate the chronic organ
damages and their influence on disease outcome in SLE. We evaluated clinical
conditions, laboratory findings and medications of 357 consecutive SLE patients
and assessed their impact on Systemic Lupus Collaborating Clinics
(SLICC)/American College of Rheumatology (ACR) Damage Index (SDI) and disease
outcome. We detected one or more SDI scores in 77.87% of patients. Patients with
disease duration of more than 10 years and subjects diagnosed at age above 40 had
significantly higher SDI values. The most frequent damages were valvulopathies,
cognitive dysfunction, angina pectoris and venous thrombosis. Higher cumulative
glucocorticoid dose increased SDI, while chloroquin treatment was favourable for
patients. Male gender, elevated SDI scores and higher cumulative doses of
glucocorticoids increased mortality risk. Our data confirmed that disease
duration, age at diagnosis and chronic high-dose glucocorticoid therapy have
significant effects on the development of chronic organ damage. Higher SDI score
is characterized with worse survival ratios. The most common chronic organ
damages affected the cardiovascular or neuropsychiatric system. As long-term
survival in SLE improves, it becomes increasingly important to identify the
determinants of chronic organ damage. Most of the chronic organ damage occurs in
the cardiovascular and the neuropsychiatric systems; thus, regular follow-up,
screening and adequate therapy are essential for the best clinical outcome.
PMID- 27889860
TI - Rich but poor: life in the Roman period with extreme rheumatoid arthritis.
AB - In a Sidonian sarcophagus, from the Late Antique/early Christian period, skeletal
remains of two persons were found. One of them, male, 30-50 years old, was found
almost completely ankylosed, with highly osteoporotic bones and prominent erosion
of joint surfaces. We diagnosed rheumatoid arthritis based on the eroded odontoid
process, mandibular condyles, distal humerus, proximal and distal ulna, as well
ankylosed hand and foot bones. Despite the fact that ankyloses of vertebrae and
sacroiliac joint could point towards ankylosing spondylitis, the lack of typical
vertebral ankyloses and new bone formation led to exclusion. In a practical
sense, due to the advanced stage of the disease, the man was fixed in the supine
position, on the left, with his head turned to the right. Apparently, he could
not move and had problems with chewing and breathing. But, the high standard of
provided healthcare probably enabled him to survive in advanced stages of the
disease. This case shed light on the antiquity of the disease, its medical, and
social context and provided the example of most extreme osteological changes
reported in the paleopathological and medical literature.
PMID- 27889862
TI - Erratum to: Botulinum toxin and rehabilitation treatment in inclusion body
myositis for severe oropharyngeal dysphagia.
PMID- 27889861
TI - Synchronized navigation of current and prior studies using image registration
improves radiologist's efficiency.
AB - PURPOSE: The purpose of this study was to investigate how the use of multi-modal
rigid image registration integrated within a standard picture archiving and
communication system affects the efficiency of a radiologist while performing
routine interpretations of cases including prior examinations. METHODS: Six
radiologists were recruited to read a set of cases (either 16 neuroradiology or
14 musculoskeletal cases) during two crossover reading sessions. Each radiologist
read each case twice, one time with synchronized navigation, which enables
spatial synchronization across examinations from different study dates, and one
time without. Efficiency was evaluated based upon time to read a case and amount
of scrolling while browsing a case using Wilcoxon signed rank test. RESULTS:
Significant improvements in efficiency were found considering either all
radiologists simultaneously, the two sections separately and the majority of
individual radiologists for time to read and for amount of scrolling. The
relative improvement for each individual radiologist ranged from 4 to 32% for
time to read and from 14 to 38% for amount of scrolling. CONCLUSION: Image
registration providing synchronized navigation across examinations from different
study dates provides a tool that enables radiologists to work more efficiently
while reading cases with one or more prior examinations.
PMID- 27889863
TI - Genetic association study between RIT2 and Parkinson's disease in a Han Chinese
population.
AB - Recent several meta-analyses and certain case-control studies suggested that the
Ras-like without CAAX 2 (RIT2) rs12456492 increased the risk of Parkinson's
disease (PD) in Asian and Caucasian populations. However, as so far, the
association between RIT2 rs12456492 and PD is still controversial. We
investigated genetic association of RIT2 rs12456492 with PD susceptibility in a
Han Chinese population of 1747 ethnic Han Chinese subjects comprising 884 PD
patients and 863 healthy controls. The minor allele frequency (MAF) of G at the
RIT2 rs12456492 was not significantly different between the cases and the
controls. Furthermore, no significant differences were observed in genotype
distribution between PD patients and healthy controls for the RIT2 rs12456492,
even after being stratified by age at onset and gender. In addition, we found
that no significant differences were detected in the clinical manifestations for
gender, age at onset, and onset symptoms between PD patients with AG + GG
genotypes and those with AA genotypes. Our study from the mainland China
demonstrates that RIT2 rs12456492 do not increase the risk of developing PD.
Therefore, more replication studies in additional Chinese population and other
cohorts are warranted to further clarify the role of RIT2 rs12456492 in PD
susceptibility.
PMID- 27889864
TI - Erratum to: Synergism between Enantiomers Creates Species-Specific Pheromone
Blends and Minimizes Cross-Attraction for Two Species of Cerambycid Beetles.
PMID- 27889865
TI - Does Plant Cultivar Difference Modify the Bottom-Up Effects of Resource
Limitation on Plant-Insect Herbivore Interactions?
AB - Variation in resource input to plants triggers bottom-up effects on plant-insect
herbivore interactions. However, variation in plant intrinsic traits in response
to resource availability may modify the bottom-up effects. Furthermore, the
consequences also may depend on the feeding strategy of insect herbivores
belonging to different feeding guilds. We evaluated the performance of two insect
herbivores from distinct feeding guilds, the leaf miner Tuta absoluta and the
phloem feeder Bemisia tabaci. We offered the insects two tomato cultivars growing
under optimal nitrogen input vs. nitrogen limitation, or under optimal water
input vs. water limitation. We found that: (i) the two cultivars differed in
their responses to nitrogen and water limitation by regulating primary (leaf-gas
exchange related parameters, leaf nitrogen content, and leaf C/N ratio) and
secondary metabolism (main defensive compounds: glycoalkaloids); (ii) for both
plant cultivars, nitrogen or water limitation significantly affected T. absoluta
survival and development, while B. tabaci survival was affected only by nitrogen
limitation; and surprisingly (iii) plant cultivar differences did not modify the
negative bottom-up effects of resource limitation on the two insect herbivores.
In conclusion, the negative effects of resource limitation cascaded up to insect
herbivores even though plant cultivars exhibited various adaptive traits to
resource limitation.
PMID- 27889866
TI - Management and outcomes of obstructive sleep apnea in children with Robin
sequence, a cross-sectional study.
AB - OBJECTIVE: The objective of this cross-sectional study is to assess the
prevalence, course, and management of obstructive sleep apnea (OSA) in children
with Robin sequence (RS) aged 1-18 years. MATERIALS AND METHODS: A cross
sectional study was conducted in 63 children aged 1 to18 years with RS. Patient
data were collected on baseline characteristics and management. OSA was evaluated
by polysomnography. RESULTS: Sixty-three children with RS were included (median
age 8.0 years) and divided into two groups based on the initial treatment: prone
positioning or respiratory support. Respiratory support was more often indicated
in children with a non-isolated RS (p < 0.05). At cross section, in the prone
positioning group (n = 32), one child was diagnosed with OSA. In the respiratory
support group (n = 31), 13 children (42 %) had respiratory problems of whom 10
needed respiratory support. CONCLUSIONS: Between the age of 1 and 18 years,
almost one out of four children with RS still has respiratory problems. Children
with RS, who can be treated with prone positioning only as an infant, are not
likely to develop obstructive airway problems at a later age. In contrast,
children who need respiratory support early after birth are at risk of continuing
or re-developing OSA after the age of 1 year. CLINICAL RELEVANCE: This study
shows that those who need respiratory support at an early age need careful
monitoring until adulthood.
PMID- 27889867
TI - The impact of younger age on treatment discontinuation in insured IVF patients.
AB - PURPOSE: This retrospective cohort study aimed to determine whether age
influences treatment discontinuation among insured patients undergoing in vitro
fertilization (IVF). We hypothesized that the youngest patients would be the
least likely to discontinue treatment. METHODS: All women age 18-42 who underwent
their first fresh, non-donor IVF cycle from 2002 to 2013 were followed until a
live birth was achieved, until they discontinued treatment at our center (not
presenting for treatment for a one-year period), or until they completed six
fresh or frozen embryo transfer cycles, whichever occurred first. RESULTS: Of
11,361 women included, 4336 (38.2 %) discontinued treatment at our center before
achieving a live birth or undergoing six IVF cycles. Discontinuation differed by
age for cycles 2-4 (all P <= 0.004), with the proportion among women age 40-42
averaging 6-7 % higher than the other groups; discontinuation per cycle was
similar among women <30 compared to women age 30-<35 and 35-<40. This continued
in cycles 5 and 6, and in the sixth, 35.2, 32.0, 32.3, and 40.2 % of women among
the four age groups discontinued treatment, respectively (P = 0.17). In cycles 2
5, women in the oldest two age groups with secondary infertility consistently
discontinued treatment more frequently than those with primary infertility.
CONCLUSIONS: We found that women in the oldest age group were more likely to
discontinue IVF treatment than younger women. Surprisingly, we found that the
youngest women discontinued treatment in a similar fashion to women age 30-<40.
PMID- 27889868
TI - Biobanking of different body fluids within the frame of IVF-a standard operating
procedure to improve reproductive biology research.
AB - PURPOSE: The aim of the present study was to develop a standard operating
procedure (SOP) for the collection, transport, and storage of human cumulus
cells, follicular fluid, blood serum, seminal plasma, embryo culture supernatant,
and embryo culture supernatant control obtained within the IVF process under
approved protocols and written informed consent from participating patients. The
SOP was developed at the Kinderwunsch Institut Schenk, Dobl, Austria, together
with Biobank Graz of the Medical University of Graz, Austria. METHODS: The SOP
provides comprehensive details of laboratory procedures and sampling of the
different fluids within the IVF process. Furthermore, information on sample
coding, references of involved laboratory techniques (e.g., oocyte retrieval with
a Steiner-TAN needle), ethical approvals, and biobanking procedures are
presented. RESULTS: The result of the present study is a standard operating
procedure. CONCLUSIONS: The SOP ensures a professional way for collection and
scientific use of IVF samples by the Kinderwunsch Institut Schenk, Dobl, Austria,
and Biobank Graz of the Medical University of Graz, Austria. It can be used as a
template for other institutions to unify specimen collection procedures in the
field of reproductive health research.
PMID- 27889870
TI - Secretory Expression, Purification, Characterization, and Application of an
Aspergillus oryzae Prolyl Aminopeptidase in Bacillus subtilis.
AB - cDNA coding a prolyl aminopeptidase (PAP) was cloned from Aspergillus oryzae and
over expressed in Bacillus subtilis with a 6*His tag in N-terminus. The
recombinant prolyl aminopeptidase was secreted to extracellular by adding 2 mM
CaCl2 and 5% D-sorbitol in TB medium; the enzyme activity in fermented
supernatant increased from 7.2 to 41.5 U mL-1. It has been purified 4.3-fold
through Ni-chelating affinity chromatography with a recovery of 47.3%. The
purified enzyme is stable below 50 degrees C and within pH 6-11, and with the
highest activity at pH 7.5 and 50 degrees C. Several kinds of salt can activate
enzyme activity in a certain concentration and the relative activity was 127.02%
even when the concentration of NaCl reached 4.36 M. It cleaved N-terminal Pro
residues from many peptides but shown different hydrolysis rates for various Pro
X dipeptides or peptides which are of different lengths. It combined with
alkaline protease and leucine aminopeptidase to hydrolyze casein, many free amino
acid especially proline and small peptide of hydrolysate increased significantly.
PMID- 27889871
TI - Genetic Relationships and Spatial Genetic Structure Among Populations of Rhodnius
prolixus (Hemiptera: Reduviidae) in Colombia and Venezuela Based on Mitochondrial
Cytochrome-b Sequences.
AB - One hundred twenty Rhodnius prolixus (Stal) (Hemiptera: Reduviidae) specimens
from 6 Colombian Departments and 1 Venezuelan State had 594-bp of the
mitochondrial cytochrome-b gene sequenced to improve the understanding of
evolutionary processes that shape the main vector of Chagas disease. The levels
of genetic diversity for this species were low-medium with reference to other
bugs. The genetic heterogeneity among the populations was very limited which
means there has been extensive gene flow and/or very recent split processes. The
overall sample as well as some individual populations showed evidence of recent
population expansions (with the exception of Arauca, which yielded evidence of a
bottleneck for a mismatch distribution). This expansion (11,000 or 2000-25,000
year ago depending of two procedures employed) coincides with the ending of the
last intense glacial conditions during the Pleistocene and the beginning of the
Holocene that had a warmer and wetter climate. Some of our autocorrelation
analyses (AIDA and Genetic Landscape Interpolation Analysis) indicated local
patches of high genetic similarity but no globally significant spatial structure.
We did show an original haplotype distributed throughout the entirety of the
geographical area studied.
PMID- 27889869
TI - Left Ventricular Speckle Tracking-Derived Cardiac Strain and Cardiac Twist
Mechanics in Athletes: A Systematic Review and Meta-Analysis of Controlled
Studies.
AB - BACKGROUND: The athlete's heart is associated with physiological remodeling as a
consequence of repetitive cardiac loading. The effect of exercise training on
left ventricular (LV) cardiac strain and twist mechanics are equivocal, and no
meta-analysis has been conducted to date. OBJECTIVE: The objective of this
systematic review and meta-analysis was to review the literature pertaining to
the effect of different forms of athletic training on cardiac strain and twist
mechanics and determine the influence of traditional and contemporary sporting
classifications on cardiac strain and twist mechanics. METHODS: We searched
PubMed/MEDLINE, Web of Science, and ScienceDirect for controlled studies of aged
matched male participants aged 18-45 years that used two-dimensional (2D) speckle
tracking with a defined athlete sporting discipline and a control group not
engaged in training programs. Data were extracted independently by two reviewers.
Random-effects meta-analyses, subgroup analyses, and meta-regressions were
conducted. RESULTS: Our review included 13 studies with 945 participants
(controls n = 355; athletes n = 590). Meta-analyses showed no athlete-control
differences in LV strain or twist mechanics. However, moderator analyses showed
greater LV twist in high-static low-dynamic athletes (d = -0.76, 95% confidence
interval [CI] -1.32 to -0.20; p < 0.01) than in controls. Peak untwisting
velocity (PUV) was greater in high-static low-dynamic athletes (d = -0.43, 95% CI
-0.84 to -0.03; p < 0.05) but less than controls in high-static high-dynamic
athletes (d = 0.79, 95% CI 0.002-1.58; p = 0.05). Elite endurance athletes had
significantly less twist and apical rotation than controls (d = 0.68, 95% CI 0.19
1.16, p < 0.01; d = 0.64, 95% CI 0.27-1.00, p = 0.001, respectively) but no
differences in basal rotation. Meta-regressions showed LV mass index was
positively associated with global longitudinal (b = 0.01, 95% CI 0.002-0.02; p <
0.05), whereas systolic blood pressure was negatively associated with PUV (b =
0.06, 95% CI -0.13 to -0.001; p = 0.05). CONCLUSION: Echocardiographic 2D speckle
tracking can identify subtle physiological differences in adaptations to cardiac
strain and twist mechanics between athletes and healthy controls. Differences in
speckle tracking echocardiography-derived parameters can be identified using
suitable sporting categorizations.
PMID- 27889872
TI - Cascade Classification with Adaptive Feature Extraction for Arrhythmia Detection.
AB - Detecting arrhythmia from ECG data is now feasible on mobile devices, but in this
environment it is necessary to trade computational efficiency against accuracy.
We propose an adaptive strategy for feature extraction that only considers
normalized beat morphology features when running in a resource-constrained
environment; but in a high-performance environment it takes account of a wider
range of ECG features. This process is augmented by a cascaded random forest
classifier. Experiments on data from the MIT-BIH Arrhythmia Database showed
classification accuracies from 96.59% to 98.51%, which are comparable to state-of
the art methods.
PMID- 27889874
TI - Decision Support Provided by a Temporally Oriented Health Care Assistant : An
Implementation of Computer-Interpretable Guidelines.
AB - The automatic interpretation of clinical recommendations is a difficult task,
even more so when it involves the processing of complex temporal constraints. In
order to address this issue, a web-based system is presented herein. Its
underlying model provides a comprehensive representation of temporal constraints
in Clinical Practice Guidelines. The expressiveness and range of the model are
shown through a case study featuring a Clinical Practice Guideline for the
diagnosis and management of colon cancer. The proposed model was sufficient to
represent the temporal constraints in the guideline, especially those that
defined periodic events and placed temporal constraints on the assessment of
patient states. The web-based tool acts as a health care assistant to health care
professionals, combining the roles of focusing attention and providing patient
specific advice.
PMID- 27889873
TI - Information Technology-Based Interventions to Improve Drug-Drug Interaction
Outcomes: A Systematic Review on Features and Effects.
AB - The purpose of this systematic review was to identify features and effects of
information technology (IT)-based interventions on outcomes related to drug-drug
interactions (DDI outcomes). A literature search was conducted in Medline,
EMBASE, and the Cochrane Library for published English-language studies. Studies
were included if a main outcome was related to DDIs, the intervention involved an
IT-based system, and the study design was experimental or observational with
controls. Study characteristics, including features and effects of IT-based
interventions, were extracted. Nineteen studies comprising five randomized
controlled trials (RCT), five non-randomized controlled trials (NRCT) and nine
observational studies with controls (OWC) were included. Sixty-four percent of
prescriber-directed interventions, and all non-prescriber interventions, were
effective. Each of the following characteristics corresponded to groups of
studies of which a majority were effective: automatic provision of
recommendations within the providers' workflow, intervention at the time of
decision-making, integration into other systems, and requiring the reason for not
following the recommendations. Only two studies measured clinical outcomes: an
RCT that showed no significant improvement and an OWC that showed improvement,
but did not statistically assess the effect. Most studies that measured surrogate
outcomes (e.g. potential DDIs) and other outcomes (e.g. adherence to alerts)
showed improvements. IT-based interventions improve surrogate clinical outcomes
and adherence to DDI alerts. However, there is lack of robust evidence about
their effectiveness on clinical outcomes. It is recommended that researchers
consider the identified features of effective interventions in the design of
interventions and evaluate the effectiveness on DDI outcomes, particularly
clinical outcomes.
PMID- 27889875
TI - Development of an Educational Program Integrating Concepts of Genetic Risk and
Preventive Strategies for Children with a Family History of Melanoma.
AB - Efforts to prevent melanoma, especially for those at elevated risk for the
disease, should ideally begin during childhood. However, there are few preventive
interventions targeting children who are at higher risk for melanoma due to a
family history of the disease. Further, there are no educational interventions
that aim to help these at-risk children understand their risk for melanoma and
the ways in which preventive behaviors, such as sun protection, can mitigate
their risk. The current paper describes a multidisciplinary team's process for
creating a developmentally appropriate educational intervention about melanoma
risk and prevention for children ages 8-17 years who have a family history of
melanoma. Drawing from the fields of dermatology, health behavior change and
education, genetic risk communication, science education, and graphic arts, the
multimedia intervention created covers key learning points relevant to
understanding melanoma, the role of DNA damage in melanoma development, inherited
risk factors for melanoma, environmental factors causing DNA damage, and methods
for preventing DNA damage, such as sun protective behaviors. Lessons learned
during the development of the educational intervention, particularly relevant to
multidisciplinary team interactions, are discussed. Implications for future
testing and refinement of the novel educational content are also reviewed.
PMID- 27889876
TI - Population Pharmacokinetic-Pharmacodynamic Modeling of 5-Fluorouracil for
Toxicities in Rats.
AB - BACKGROUND AND OBJECTIVES: Myelosuppression is a dose-limiting toxicity of 5
fluorouracil (5-FU). Predicting the inter- and intra-patient variability in
pharmacokinetics and toxicities of 5-FU may contribute to the individualized
medicine. This study aimed to establish a population pharmacokinetic
pharmacodynamic model that could evaluate the inter- and intra-individual
variability in the plasma 5-FU concentration, 5-FU-induced body weight loss and
myelosuppression in rats. METHOD: Plasma 5-FU concentrations, body weight loss,
and blood cell counts in rats following the intravenous administration of various
doses of 5-FU for 4 days were used to develop the population pharmacokinetic
pharmacodynamic model. RESULTS: The population pharmacokinetic model consisting
of a two-compartment model with Michaelis-Menten elimination kinetics
successfully characterized the individual and population predictions of the
plasma concentration of 5-FU and provided credible parameter estimates. The
estimates of inter-individual variability in maximal rate of saturable metabolism
and residual variability were 8.1 and 22.0%, respectively. The population
pharmacokinetic-pharmacodynamic model adequately described the individual
complete time-course of alterations in body weight loss, erythrocyte, leukocyte,
and lymphocyte counts in rats treated with various doses of 5-FU. The inter
individual variability of the drug effects in the pharmacodynamic model for body
weight loss was 82.6%, which was relatively high. The results of the present
study suggest that not only individual fluctuations in the 5-FU concentration but
also the cell sensitivity would affect the onset and degree of 5-FU-induced
toxicity. CONCLUSION: This population pharmacokinetic-pharmacodynamic model could
evaluate the inter- and intra-individual variability in drug-induced toxicity and
guide the assessments of novel anticancer agents in drug development.
PMID- 27889878
TI - Same-day identification and antibiotic susceptibility testing on positive blood
cultures: a simple and inexpensive procedure.
AB - Fast diagnostic tools are becoming a hot topic in microbiology, especially in the
case of septic patients. Therefore, we attempted to develop a fast, inexpensive,
accurate and easy method to identify bacteria and perform an antibiotic
susceptibility test directly on positive blood cultures that could be used in a
routine laboratory. A procedure based on centrifugation and washing steps was
performed on 110 non-duplicated (including nine seeded) positive blood culture
bottles. Direct identification (DID) and antimicrobial susceptibility testing
(AST) was conducted on the pellet with the MALDI Biotyper and Phoenix,
respectively. Identification (ID) to the species level was correct in 44/45 (97%)
cases for Gram-negative bacteria and 44/56 (79%) cases for Gram-positive
bacteria. In total, 98.9% of the AST results were identical to the routine
laboratory result. No very major errors, four major errors and eight minor errors
were detected. A reliable identification and a high AST agreement were obtained
from blood cultures seeded with multi-resistant bacteria. We simulated the
timeline of DID and demonstrated an identification and AST result within 24 h
using Escherichia coli- and Staphylococcus aureus-positive blood cultures as
examples. We developed an easy, fast and cheap method to generate reliable ID and
AST results. Moreover, this method may be used to obtain results within 24 h
after incubating the blood culture bottles in the microbiology lab.
PMID- 27889877
TI - MALDI-TOF MS meets WGS in a VRE outbreak investigation.
AB - The use of MALDI-TOF MS (matrix-assisted laser desorption/ ionization-time of
flight mass spectrometry) and WGS (whole genome sequencing) has been described
for identification and strain relatedness determination. We describe the
complementary use of MALDI-TOF MS and WGS in a VRE (vancomycin-resistant
enterococci) outbreak investigation, and discuss some of the challenges with
defining strain similarity across these two platforms. Although both assays
indicated multiple clusters involved in the outbreak of vancomycin resistant
Enterococcus faecium isolates from positive blood cultures of four haematology
oncology patients, the small cohort and discrepancies between findings indicate
the limitations of MALDI-TOF MS and the cautious interpretation of MALDI-TOF MS
dendrograms during outbreaks. For definitive determination of the evolutionary
distance between isolates, WGS can be used.
PMID- 27889879
TI - Plasmid-mediated quinolone resistance in Enterobacteriaceae: a systematic review
with a focus on Mediterranean countries.
AB - Quinolones are a family of synthetic broad-spectrum antimicrobial drugs. These
molecules have been widely prescribed to treat various infectious diseases and
have been classified into several generations based on their spectrum of
activity. Quinolones inhibit bacterial DNA synthesis by interfering with the
action of DNA gyrase and topoisomerase IV. Mutations in the genes encoding these
targets are the most common mechanisms of high-level fluoroquinolone resistance.
Moreover, three mechanisms for plasmid-mediated quinolone resistance (PMQR) have
been discovered since 1998 and include Qnr proteins, the aminoglycoside
acetyltransferase AAC(6')-Ib-cr, and plasmid-mediated efflux pumps QepA and
OqxAB. Plasmids with these mechanisms often encode additional antimicrobial
resistance (extended spectrum beta-lactamases [ESBLs] and plasmidic AmpC [pAmpC]
beta-lactamases) and can transfer multidrug resistance. The PMQR determinants are
disseminated in Mediterranean countries with prevalence relatively high depending
on the sources and the regions, highlighting the necessity of long-term
surveillance for the future monitoring of trends in the occurrence of PMQR genes.
PMID- 27889880
TI - The impact of extended lymph node dissection versus neoadjuvant therapy with
limited lymph node dissection on biochemical recurrence in high-risk prostate
cancer patients treated with radical prostatectomy: a multi-institutional
analysis.
AB - The optimal treatment for high-risk prostate cancer (Pca) remains to be
established. The current guidelines recommend extended pelvic lymph node
dissection (e-PLND) for selected intermediate- and high-risk patients treated
with RP. However, the indications, optimal extent, and therapeutic benefits of e
PLND remain unclear. The aim of this study was to assess whether e-PLND confers
an oncological benefit for high-risk Pca compared to neoadjuvant luteinizing
hormone-releasing hormone and estramustine (LHRH + EMP). The Michinoku Urological
Cancer Study Group database contained the data of 2403 consecutive Pca patients
treated with RP at four institutes between March 2000 and December 2014. In the e
PLND group, we identified 238 high-risk Pca patients who underwent RP and e-PLND,
with lymphatic tissue removal around the obturator and the external iliac
regions, and hypogastric lymph node dissection. The neoadjuvant therapy with
limited PLND (l-PLND) group included 280 high-risk Pca patients who underwent RP
and removal of the obturator node chain between September 2005 and June 2014 at
Hirosaki University. The outcome measure was BRFS. The 5-year biochemical
recurrence-free survival rates for the neoadjuvant therapy with l-PLND group and
e-PLND group were 84.9 and 54.7%, respectively (P < 0.0001). The operative time
was significantly longer in the e-PLND group compared to that of the neoadjuvant
therapy with l-PLND group. Grade 3/4 surgery-related complications were not
identified in both groups. Although the present study was not randomized,
neoadjuvant LHRH + EMP therapy followed by RP might reduce the risk of
biochemical recurrence.
PMID- 27889881
TI - Identification of reference genes and miRNAs for qRT-PCR in human esophageal
squamous cell carcinoma.
AB - It is important to select an appropriate reference gene and miRNA when using
quantitative real-time polymerase chain reaction (qRT-PCR) to analyze gene and
miRNA expression. However, many commonly used reference genes and miRNAs are not
stably expressed and therefore not suitable for normalization or quantification
of qRT-PCR data. This study aims to identify appropriate reference genes and
miRNAs for use in human esophageal squamous carcinoma qRT-PCR analysis. Using
data provided by The Cancer Genome Atlas, we identified DDX5, LAPTM4A, P4HB,
RHOA, miR-28-5p, miR-34a-5p, and miR-186-5p as candidate reference genes and
miRNAs. We used qRT-PCR to verify the expression levels of these candidates and
another seven commonly used reference genes and miRNAs. A set of 50 paired human
normal esophageal tissues and squamous cell carcinoma samples were used in the
analysis. We then used geNorm and NormFinder to analyze the results. DDX5,
LAPTM4A, RHOA, ACTB, RNU48, miR-28-5p, miR-34a-5p, and miR-186-5p were stably
expressed, indicating they are suitable for used as references in qRT-PCR
analysis of esophageal squamous cell carcinoma. However, expression levels of 18s
rRNA, GAPDH, P4HB, 5s rRNA, U6, and RNU6B varied greatly between esophageal
normal and squamous cell carcinoma samples, indicating that they are not suitable
for use as references in the qRT-PCR analysis of esophageal squamous cell
carcinoma.
PMID- 27889882
TI - True Incidence of Gleason 6 Pathology in Patients with Metastatic Castration
Resistant Prostate Cancer (mCRPC).
AB - INTRODUCTION: Recent evidence from histology studies regarding random prostate
biopsies hint toward a relationship between higher biopsy Gleason score and the
development of metastatic castration resistant prostate cancer (mCRPC). However,
prostate biopsy underestimates final pathology in about one-third of patients. We
evaluated the final whole gland pathology from radical prostatectomy exclusively
in order to assess the true risk of progressing to the mCRPC state for patients
with confirmed Gleason <=6 prostate cancer. METHODS: Patients with confirmed
mCRPC from our outpatient clinic were retrospectively evaluated with regard to
whole gland pathology and the occurrence of Gleason 6 histology from 1995 to
2015. Conversely, patients with confirmed Gleason 6 pathology from our
institutional database were followed up for the development of mCRPC from 2001 to
2015. Kaplan-Meier analysis and the log rank test were applied for survival
analysis. The binomial test was used to evaluate occurrence rates of Gleason <=6
pathologies in mCRPC patients. RESULTS: Out of 62 patients with mCRPC none had
confirmed Gleason 6 pathology on whole gland histology of the prostate. Out of 86
patients with confirmed Gleason 6 pathology none developed an mCRPC over the
follow-up period. CONCLUSION: The development of mCRPC in patients with true
Gleason 6 pathology is very rare and could not be confirmed in our series. This
finding may have important implications in future treatment planning.
PMID- 27889883
TI - A Randomized, Clinical Trial to Evaluate Efficacy and Tolerability of
Trypsin:Chymotrypsin as Compared to Serratiopeptidase and
Trypsin:Bromelain:Rutoside in Wound Management.
AB - INTRODUCTION: Systemic enzyme therapy can play an important role in maintaining
normal inflammatory processes within the body and thereby helps support and speed
up healing. In the course of the anti-inflammatory action, enzymes degrade
damaged cells and necrotic material and, through the inactivation of mediators
and toxic products, they restrict the edema and pain. METHOD: The study conducted
at Grant Medical College, Mumbai, India was a clinical trial comparing the
efficacy and tolerability of three oral enzyme treatment groups-oral tablets
containing trypsin:chymotrypsin (TC) (Chymoral Forte(r)), serratiopeptidase (S) 5
mg oral tablets, and oral enzyme tablets containing trypsin 48 mg, bromelain 90
mg, and rutoside 100 mg (TBR)-to evaluate their healing potential in surgical
wounds after orthopedic surgery. RESULTS: A total of 75 patients were screened,
randomized, and divided into three groups in 1:1:1 ratio receiving either of the
three treatments. In the TC group, erythema was significantly reduced from 3.44
on day 3 to 1.16 on day 10 (p < 0.01). There was significantly better reduction
in erythema scores in the TC group as compared to S and TBR groups (p < 0.05) at
each follow-up visit. Similarly reduction in the local irritation, wound
discharge, edema, induration, and tenderness score with TC treatment at the end
of the study was significantly higher than that observed in the other two groups.
In addition TC showed significant reduction in pain on the VAS scale (p < 0.01).
Global assessment of response to therapy for efficacy and tolerability was
reported to be good to excellent in 88% and 92% of the patients on TC as compared
to 12% and 8% with S and 12% and 8% with TBR. CONCLUSION: TC provides a better
resolution of symptoms of inflammation after orthopedic surgery as compared to S
and TBR, thus facilitating better wound healing. Further studies are warranted to
confirm the findings. TRIAL REGISTRATION: Clinical Trial Registry of India (Reg.
No. CTRI/2011/07/001920).
PMID- 27889884
TI - Reduced density gradient as a novel approach for estimating QSAR descriptors, and
its application to 1, 4-dihydropyridine derivatives with potential
antihypertensive effects.
AB - The relationship between the chemical structure and biological activity (log
IC50) of 40 derivatives of 1,4-dihydropyridines (DHPs) was studied using density
functional theory (DFT) and multiple linear regression analysis methods. With the
aim of improving the quantitative structure-activity relationship (QSAR) model,
the reduced density gradient s( r) of the optimized equilibrium geometries was
used as a descriptor to include weak non-covalent interactions. The QSAR model
highlights the correlation between the log IC50 with highest molecular orbital
energy (E HOMO), molecular volume (V), partition coefficient (log P), non
covalent interactions NCI(H4-G) and the dual descriptor [Deltaf(r)]. The model
yielded values of R 2=79.57 and Q 2=69.67 that were validated with the next four
internal analytical validations DK=0.076, DQ=-0.006, R P =0.056, and R N=0.000,
and the external validation Q 2boot=64.26. The QSAR model found can be used to
estimate biological activity with high reliability in new compounds based on a
DHP series. Graphical abstract The good correlation between the log IC50 with the
NCI (H4-G) estimated by the reduced density gradient approach of the DHP
derivatives.
PMID- 27889885
TI - Investigating Factors Involved in Post Laparoscopic Sleeve Gastrectomy (LSG)
Neuropathy.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) has gained popularity as the
leading bariatric procedure for the treatment of morbid obesity. Due to the
rising numbers of bariatric surgeries, neurologic complications have become
increasingly recognized. Our aim was to examine biochemical and hormonal factors
that are associated with neuropathy post-LSG. METHODS: Thirty-two patients were
included: 16 patients with neuropathy in the neuropathic group (NG) and 16
patients without neuropathy in the control group (CG). Diagnosis was made by a
consultant neurologist, and blood samples were taken to examine vitamin
deficiencies and hormones involved in neuropathy. RESULTS: There was no
significant difference between the BMI (p = 0.1) in both groups as well as excess
weight loss percentages post-LSG at 12 months (p = 0.6). B12 levels were within
normal range, but higher in NG (p = 0.005). Vitamin B1 and B2 levels were
significantly lower in NG; p values are 0.000 and 0.031, respectively. Vitamin B6
levels were significantly higher in NG (p = 0.02) and copper levels were lower in
NG (p = 0.009). There was no significant difference in GLP-1 response in both
groups. CONCLUSION: Our data showed post-LSG neuropathy is associated with lower
levels of vitamin B1, B2, and copper, plus patients who are older in age. Vitamin
B6 was significantly higher in the NG, which is, at toxic levels, associated with
neuropathy. No difference in preoperative BMI, excess weight loss percent at 1
year, and GLP-1 levels was found. Larger data is required to validate our
results.
PMID- 27889886
TI - Association of midlife smoking status with change in processing speed and mental
flexibility among HIV-seropositive and HIV-seronegative older men: the
Multicenter AIDS Cohort Study.
AB - Smoking is a potential risk factor for age-related cognitive decline. To date, no
study has examined the association between smoking and cognitive decline in men
living with human immunodeficiency virus (HIV). The aim of this present study is
to examine whether smoking status and severity in midlife is associated with a
rate of decline in cognitive processing speed among older HIV-seropositive and
HIV-seronegative men who have sex with men. Data from 591 older HIV-seropositive
and HIV-seronegative men who have sex with men from the Multicenter AIDS Cohort
Study were examined. All participants had information on smoking history
collected before age 50 years and at least 5 years of follow-up after age 50.
Smoking history was categorized as never smoker, former smoker, and current
smoker and cumulative pack years was calculated. The raw scores of three
neuropsychological tests (Trail Making A, Trail Making B, and Symbol Digit
Modalities tests) were log transformed (Trail Making A and B) and used in linear
mixed models to determine associations between smoking history and at least
subsequent 5-year decline in cognitive processing speed. There were no
significant differences in the rates of neurological decline among never smokers,
former smokers, and current smokers. Findings were similar among HIV-seropositive
participants. However, an increase of 5 pack-years was statistically
significantly associated with a greater rate of decline in the Trail Making Test
B score and Composite Score (beta -0.0250 [95% CI, -0.0095 to -0.0006] and
0.0077 [95% CI, -0.0153 to -0.0002], respectively). We found no significant
association between smoking treated as a categorical variable (never smoked,
former smoker, or current smoker) and a small change in every increase of 5 pack
years on measures of psychomotor speed and cognitive flexibility. To optimize
healthy aging, interventions for smoking cessation should be tailored to men who
have sex with men.
PMID- 27889888
TI - Characteristics of ash and particle emissions during bubbling fluidised bed
combustion of three types of residual forest biomass.
AB - Combustion of residual forest biomass (RFB) derived from eucalypt (Eucalyptus
globulus), pine (Pinus pinaster) and golden wattle (Acacia longifolia) was
evaluated in a pilot-scale bubbling fluidised bed reactor (BFBR). During the
combustion experiments, monitoring of temperature, pressure and exhaust gas
composition has been made. Ash samples were collected at several locations along
the furnace and flue gas treatment devices (cyclone and bag filter) after each
combustion experiment and were analysed for their unburnt carbon content and
chemical composition. Total suspended particles (TSP) in the combustion flue gas
were evaluated at the inlet and outlet of cyclone and baghouse filter and further
analysed for organic and elemental carbon, carbonates and 57 chemical elements.
High particulate matter collection efficiencies in the range of 94-99% were
observed for the baghouse, while removal rates of only 1.4-17% were registered
for the cyclone. Due to the sand bed, Si was the major element in bottom ashes.
Fly ashes, in particular those from eucalypt combustion, were especially rich in
CaO, followed by relevant amounts of SiO2, MgO and K2O. Ash characteristics
varied among experiments, showing that their inorganic composition strongly
depends on both the biomass composition and combustion conditions. Inorganic
constituents accounted for TSP mass fractions up to 40 wt%. Elemental carbon,
organic matter and carbonates contributed to TSP mass fractions in the ranges
0.58-44%, 0.79-78% and 0.01-1.7%, respectively.
PMID- 27889887
TI - Heavy metals in five Sabellidae species (Annelida, Polychaeta): ecological
implications.
AB - The present work analyzed three hard-bottom and two soft-bottom species of
sabellid polychaetes to determine the content of several heavy metals in their
branchial crown and body. The highest concentrations of heavy metals were
recorded in the hard-bottom species Branchiomma bairdi, a recent Mediterranean
introduction. Differences in the metal concentrations were most notable in the
high trace metal levels of the branchial crown for all the studied species.
Statistical analysis showed that the Mediterranean hard-bottom species were
similar each other in their heavy metal content in the body as well as in the
branchial crown and appeared separated from all the other species. Arsenic and
vanadium hyperaccumulation in the branchial crowns of the considered sabellid
species probably acts as a deterrent for predation. The observed differences
among the examined species were discussed not only at the light of habitat
colonization but also in terms of the phylogeny.
PMID- 27889889
TI - Biosurfactant production by the crude oil degrading Stenotrophomonas sp. B-2:
chemical characterization, biological activities and environmental applications.
AB - In this work, biosurfactant-producing microorganisms were isolated from
hydrocarbon-contaminated water collected from Tunisian oilfield. After enrichment
and isolation, different bacterial strains were preliminary studied for their
biosurfactant/bioemulsifier properties when using crude oil as the unique carbon
source. In particular, the isolate strain B-2, a Gram-negative, rod-shaped
bacterium, efficiently emulsified crude oil. The extracellular biosurfactant
product from this strain presented an emulsification activity above 70% and a
hydrophobicity of 71%. In addition, a diameter of 6 cm was observed in the oil
displacement test. The characterization of B-2 strain using 16S rDNA sequencing
enables us to find a high degree of similarity with various members of the genus
Stenotrophomonas (with a percentage of similarity of 99%). The emulsification
activity of Stenotrophomonas biosurfactant B-2 was maintained in a wide range of
pH (2 to 6), temperature (4 to 55 degrees C), and salinity (0 to 50 g L-1)
conditions. It also enhanced the solubility of phenanthrene in water and could be
used in the re-mobilization of hydrocarbon-contaminated environment. In addition,
this biosurfactant exhibited antimicrobial and antioxidant properties. Infrared
spectroscopy suggested potential lipidic and peptidic moieties, and mass
spectrometry-based analyses showed that the biosurfactant contains mainly cyclic
peptidic structures belonging to the class of diketopiperazines. Therefore, the B
2 strain is a promising biosurfactant-producing microorganism and its derived
biosurfactant presents a wide range of industrial applications.
PMID- 27889892
TI - Effects of Mental Stress Induction on Heart Rate Variability in Patients with
Panic Disorder.
AB - Reduced heart rate variability (HRV) constitutes a widely used marker of cardiac
autonomic inflexibility which has been linked to disorders such as panic disorder
(PD). To date, the pathophysiological mechanisms whereby panic leads to
attenuated HRV are not fully elucidated. We aimed to investigate the hypothesis
that PD patients show pathological reactivity both in response to interoceptive
and psychosocial stress in comparison to healthy individuals. We performed a
controlled study on 38 patients diagnosed with PD [20 males and 18 females aged
35.55 +/- 10.12 years, mean +/- standard deviation] and 23 age and gender matched
healthy control participants. Distress was induced using the Trier Social Stress
Test (TSST) and the dexamethasone-corticotropin-releasing-hormone (DEX-CRH) test.
We assessed HRV prior to, during, and post-stress induction using the root mean
square successive differences (RMSSD) as well as spectral analysis (high
frequency; HF and low frequency; LF). Statistical analyses revealed significant
main effects of time for mean heart rate (HR), HF, LF (solely DEX-CRH), LFHF
ratio (solely TSST) and the RMSSD. Significant interaction effects were observed
with more pronounced increases in mean HR (TSST) and LFHF-ratio (DEX-CRH) in the
healthy control participants. No significant main effects of group were observed.
Overall, our results indicate "normal" HRV parameters in patients with PD. The
HRV of PD patients is no worse than that of healthy control participants since
the HRV profiles were similar between the study groups. The current study is one
of rather rarely published studies which was unable to show an influence of PD on
HRV. Implications for future studies are under discussion.
PMID- 27889890
TI - Protective role of quercetin against hematotoxic and immunotoxic effects of furan
in rats.
AB - Furan (Fu) is a liver carcinogen produced during heating process of food and
concerns a public health problem. The current study was undertaken to evaluate
the protective role of quercetin (Que) on Fu-induced hematological,
immunological, and histopathological alterations in rats. Fifty male Sprague
Dawley rats were divided into five equal groups. Group I (Cont) received
distilled water, group II (CO) received corn oil, group III (Que) received Que at
50 mg/kg BW, group IV (Fu) received Fu at 16 mg/kg BW, and group V received Que +
Fu simultaneously; all groups gavaged daily for 30 days. Our results revealed
that Fu administration significantly elevated RBCs, Hb, PCV, WBCs, lymphocytes,
and granulocytes and reduced phagocytic percent (Ph%) and index (PhI). Fu
decreased the serum total protein, albumin, globulin, IgM, IgG, and IL4, with a
significant increase in the TNFalpha and 8-OHdG. Moreover, it decreased the GSH
content and GST activity and increased the MDA levels in the splenic tissue.
Histopathologically, Fu led to a moderate depletion in the lymphoid cells and
weak immunostaining of CD20 antigen of few lymphocytes appeared in the spleen.
Meanwhile, Que co-administration ameliorated the altered hematological parameters
and improved the Ph% and PhI. It modulated the serum biochemical parameters and
immunoglobulins. Moreover, it decreased lipid peroxidation and enhanced
antioxidant status in the spleen. The results indicated that Que possesses
antioxidant protective activity against Fu-induced oxidative damage and
stimulates the immune function.
PMID- 27889893
TI - Monitoring and distribution patterns of pesticide residues in soil from
cotton/wheat fields of Pakistan.
AB - The excessive use of hazardous pesticides for massive cotton and wheat production
has deteriorated the quality of Okara district's soil. Thus, in order to sustain
the production of good-quality food, it is essential to determine the residue
levels of pesticides in the agricultural soil and define their possible sources.
Thus, the present study focused on the determination of selected pesticides in
the soil samples obtained from cotton/wheat fields by using the modified multi
residue pesticide analysis method based on GCMS and HPLC-UV. Most of soil samples
were found to be highly contaminated with imidacloprid, chlorpyrifos, and alpha
cypermethrin residues. Selected pesticides were significantly correlated with
each other at p < 0.05 except imidacloprid, which was negatively correlated with
triazophos, MCPA methyl ester, selected pyrethroid pesticides, and their
metabolite. Soil pH and phosphate levels were significantly positively correlated
while sulfate content was negatively correlated with most of selected pesticides.
The varimax normalized factor analysis divides the selected pesticides to the two
major factors that explained 87.19% of the total variance which evidenced that
pesticide in the same cluster shared a common source in the soil. A significant
negative correlation of chlorpyrifos in the second factor pointed towards a
source different from other pesticides. Factor and cluster analysis indicated
that sulfate levels of soil positively affected the persistence/ mobility of
imidacloprid.
PMID- 27889894
TI - Glucocorticoid-Induced Leucine Zipper in Central Nervous System Health and
Disease.
AB - The central nervous system (CNS) is a large network of intercommunicating cells
that function to maintain tissue health and homeostasis. Considerable evidence
suggests that glucocorticoids exert both neuroprotective and neurodegenerative
effects on the CNS. Glucocorticoids act by binding two related receptors in the
cytoplasm, the mineralocorticoid receptor (MR) and the glucocorticoid receptor
(GR). The glucocorticoid receptor complex mediates cellular responses by
transactivating target genes and by protein: protein interactions. The
paradoxical effects of glucocorticoids on neuronal survival and death have been
attributed to the concentration and the ratio of mineralocorticoid to
glucocorticoid receptor activation. Glucocorticoid-induced leucine zipper (GILZ)
is a recently identified protein transcriptionally upregulated by
glucocorticoids. Constitutively, expressed in many tissues including brain, GILZ
mediates many of the actions of glucocorticoids. It mimics the anti-inflammatory
and anti-proliferative effects of glucocorticoids but exerts differential effects
on stem cell differentiation and lineage development. Recent experimental data on
the effects of GILZ following induced stress or trauma suggest potential roles in
CNS diseases. Here, we provide a short overview of the role of GILZ in CNS health
and discuss three potential rationales for the role of GILZ in Alzheimer's
disease pathogenesis.
PMID- 27889897
TI - Growth Differentiation Factor 15 Is a Novel Diagnostic Biomarker of Mitochondrial
Diseases.
AB - The present study aimed to investigate whether serum growth differentiation
factor 15 concentration is a valuable and reliable diagnostic biomarker of
mitochondrial diseases. We examined consecutive patients with mitochondrial
diseases, in comparison with patients with non-mitochondrial disease
neuromuscular disorders and healthy controls. The serum concentrations of growth
differentiation factor 15 were measured by ELISA, and compared with those of
FGF21, lactate, and creatine kinase. We also evaluated the correlations between
growth differentiation factor 15 concentrations and the Newcastle Mitochondrial
Disease Adult Scale, numbers of ragged-red fibers, and COX-negative fibers in the
biopsied muscles. The median serum growth differentiation factor 15 concentration
was significantly elevated in 42 patients with mitochondrial diseases, compared
with 20 patients with non-mitochondrial disease neuromuscular disorders and 50
healthy controls. The area under the curve of growth differentiation factor 15
for the diagnosis of muscle-manifesting mitochondrial diseases was 0.999, in
comparison with those area under the curves of the other biomarkers including
fibroblast growth factor 21 (0.935, p < 0.01), lactate (0.845 for p < 0.001), and
creatine kinase (0.575, p < 0.001). Growth differentiation factor 15 was
significantly correlated with mitochondrial disease severity and the proportion
of ragged-red fibers identified in the biopsied muscles. Circulating growth
differentiation factor 15 measurement is a superior biomarker with high
sensitivity and specificity, which can be used as a non-invasive test to screen
for primary mitochondrial diseases and dysmetabolic myopathy with associated
mitochondrial dysfunction in susceptible individuals.
PMID- 27889895
TI - Inflammatory Response in the CNS: Friend or Foe?
AB - Inflammatory reactions could be both beneficial and detrimental to the brain,
depending on strengths of their activation in various stages of
neurodegeneration. Mild activation of microglia and astrocytes usually reveals
neuroprotective effects and ameliorates early symptoms of neurodegeneration; for
instance, released cytokines help maintain synaptic plasticity and modulate
neuronal excitability, and stimulated toll-like receptors (TLRs) promote
neurogenesis and neurite outgrowth. However, strong activation of glial cells
gives rise to cytokine overexpression/dysregulation, which accelerates
neurodegeneration. Altered mutual regulation of p53 protein, a major tumor
suppressor, and NF-kappaB, the major regulator of inflammation, seems to be
crucial for the shift from beneficial to detrimental effects of neuroinflammatory
reactions in neurodegeneration. Therapeutic intervention in the p53-NF-kappaB
axis and modulation of TLR activity are future challenges to cope with
neurodegeneration.
PMID- 27889896
TI - Decreased Anxiety-Related Behaviour but Apparently Unperturbed NUMB Function in
Ligand of NUMB Protein-X (LNX) 1/2 Double Knockout Mice.
AB - NUMB is a key regulator of neurogenesis and neuronal differentiation that can be
ubiquitinated and targeted for proteasomal degradation by ligand of numb protein
X (LNX) family E3 ubiquitin ligases. However, our understanding of LNX protein
function in vivo is very limited. To examine the role of LNX proteins in
regulating NUMB function in vivo, we generated mice lacking both LNX1 and LNX2
expression in the brain. Surprisingly, these mice are healthy, exhibit unaltered
levels of NUMB protein and do not display any neuroanatomical defects indicative
of aberrant NUMB function. Behavioural analysis of LNX1/LNX2 double knockout mice
revealed decreased anxiety-related behaviour, as assessed in the open field and
elevated plus maze paradigms. By contrast, no major defects in learning, motor or
sensory function were observed. Given the apparent absence of major NUMB
dysfunction in LNX null animals, we performed a proteomic analysis to identify
neuronal LNX-interacting proteins other than NUMB that might contribute to the
anxiolytic phenotype observed. We identified and/or confirmed interactions of
LNX1 and LNX2 with proteins known to have presynaptic and neuronal signalling
functions, including the presynaptic active zone constituents ERC1, ERC2 and
LIPRIN-alphas (PPFIA1, PPFIA3), as well as the F-BAR domain proteins FCHSD2
(nervous wreck homologue) and SRGAP2. These and other novel LNX-interacting
proteins identified are promising candidates to mediate LNX functions in the
central nervous system, including their role in modulating anxiety-related
behaviour.
PMID- 27889900
TI - Unbearable Pruritus After Withdrawal of (Levo)cetirizine.
AB - Twelve cases of unbearable pruritus several days after withdrawal of
(levo)cetirizine were reported to the Netherlands Pharmacovigilance Centre Lareb.
Eleven reports concerned women and one report concerned a man, aged 19-58 years.
These patients had been using these antihistamines continuously for months or
years. They had tried to stop using antihistamines on several occasions but felt
unable to withdraw the drug because of the unbearable maddening itch. Finally,
slowly tapering the drug or using a short course of corticosteroids helped to
withdraw (levo)cetirizine. The Naranjo assessment score ranged from two to four
for all the cases, indicating a possible relationship.
PMID- 27889898
TI - The Transcription Factors EBF1 and EBF2 Are Positive Regulators of Myelination in
Schwann Cells.
AB - Myelin formation by Schwann cells is tightly controlled by multiple pathways and
regulatory molecules. The Ebf2 gene, belonging to the Ebf family of transcription
factors regulating cell development and differentiation, is expressed in Schwann
cells, and Ebf2 knockout mice show peripheral nerve defects. We also found that
Ebf1 is expressed in Schwann cells. To investigate Ebf function in myelination,
we silenced Ebf genes in myelinating dorsal root ganglia cultures. Combined
downregulation of Ebf genes leads to a severe impairment of myelin formation that
is completely rescued by their specific overexpression, suggesting that the
expression level of Ebf genes strongly influences axon myelination. In addition,
by profiling Ebf target genes, we found several transcripts belonging to pathways
actively involved in peripheral myelination, including Gliomedin, a gene with a
role in the formation of the nodes of Ranvier and recently implicated in the
pathogenesis of the nodo-paranodopathies. Our results suggest that Ebf genes act
as positive regulators of myelination and directly regulate the promoter of
Gliomedin.
PMID- 27889901
TI - A novel approach to screening for familial hypercholesterolemia in a large public
venue.
AB - The primary aim of this study was to test the feasibility of a public health
screening program to identify individuals at high risk of familial
hypercholesterolemia through a novel screening approach at a large public venue.
A finger-prick, non-fasting lipid panel was obtained, and a survey which
consisted of 44 open- and close-ended questions divided into four sections:
medical and family history of FH, opinions of cascade genetic testing, patient
activation, and demographics was completed. A total of 971 participants met
criteria and completed a cholesterol screen. In total, five individuals met
either the Simon Broome Register or the Dutch Lipid Clinic Network criteria for
possible familial hypercholesterolemia. Participants were generally positive
towards genetic testing, and the vast majority listed they had no barriers to
communication of genetic testing information to family members. However, the most
common barrier listed was lack of communication skills. Our results suggest that
a public health screening program for FH is viable at a large public venue. We
argue that further research is needed to expand this study to a fully operational
screening program.
PMID- 27889903
TI - A case report: hemothorax caused by rupture of the left atrial appendage.
AB - Cardiac rupture is defined as a full-thickness myocardial tear; this injury after
blunt chest trauma is rare, and is associated with high mortality. Blunt cardiac
rupture typically presents with either cardiac tamponade or massive hemothorax,
and is often unrecognized in the context of blunt chest trauma. It is a little
known fact that pericardial effusions can decrease due to pericardial
lacerations. Hence, cardiac rupture with pericardial lacerations may be easily
overlooked especially by chest surgeons. We herein report a case of hemothorax
caused by rupture of the left atrial appendage. An 80-year-old male was involved
in a motor vehicle crash. We made the diagnosis of hemothorax on the basis of
bloody thoracic effusion and left pleural effusion on computed tomography (CT).
CT also showed small pericardial effusion in amount and non-displaced rib
fractures. We made a tentative diagnosis of intercostal artery injury with rib
fractures, we performed left thoracotomy. However, in the operating room, we
recognized that cardiac rupture led to massive hemothorax, and that hemothorax
was not associated with intercostal artery injury. We repaired left atrial
appendage rupture, and his postoperative course was uneventful. Cardiac rupture
can present as slight pericardial effusion with hemothorax. On the basis of this
case, we propose that cardiac rupture should be considered at the time of
hemothorax examination with careful attention to pericardial effusions.
PMID- 27889899
TI - A1 Adenosine Receptor Activation Modulates Central Nervous System Development and
Repair.
AB - Adenosine is an endogenous, autacoid purine nucleoside which performs many
important biological roles, particularly during stressful events. Adenosine can
signal through four adenosine receptor (AR) subtypes: A1, A2A, A2B, and A3. Of
these, adenosine A1 receptor (A1AR) has a broad, wide distribution throughout
different vertebrate cell types and the highest affinity to adenosine. The A1AR
dependent action of adenosine is well documented in reports from numerous studies
that have used different selective A1AR agonists and antagonists as well as in
animals that have a genetically manipulated A1AR gene. Despite its wide
distribution and function, A1AR homo/hetero-oligomerization with other adenosine
and non-adenosine receptors extends its biological role during developmental,
physiological, and pathological situations. In this review, we initially discuss
the A1AR structure and most important signaling pathway triggered by its
activation. Next, we summarize some of the most well-known biological effects of
A1AR in the central nervous system (CNS) during development and adulthood, in
addition to its role in nervous system regeneration and repair.
PMID- 27889902
TI - Establishment and characterization of a novel uterine carcinosarcoma cell line,
TU-ECS-1, with mutations of TP53 and KRAS.
AB - A new human uterine carcinosarcoma (UCS) cell line, TU-ECS-1, was established and
characterized. The morphological appearance of the cultured cells was an insular
of epithelial-like cells arranged in the form of a jigsaw puzzle and mesenchymal
like cells with a spindle-shaped or fibroblast-like morphology. A relatively high
proliferation rate was observed with a doubling time of 18.2 h. The chromosome
number ranged from 44 to 49 and had an extra chromosome 12 (trisomy 12). The
respective half-maximal inhibitory concentrations of cisplatin, paclitaxel, and
doxorubicin were 2.9 uM, 154 nM, and 219 ng/mL, respectively. Mutational analysis
revealed that TU-ECS-1 cells have mutations of TP53 in exons 4, 6, and 8 and of
KRAS at codon 12 (G12D) in exon 2, which is a mutation hot spot on this gene.
Western blot analysis showed that p53 protein was overexpressed in TU-ECS-1
cells. Immunostaining of the cultured cells and in vivo tumors showed that the TU
ECS-1 cells and xenografts were positive for epithelial marker cytokeratin AE1/3
and mesenchymal marker vimentin. These results suggested that TU-ECS-1 cells
might have both epithelial and mesenchymal characteristics. This cell line may be
useful to study the carcinogenesis of UCS and contribute to the development of
novel treatment strategies.
PMID- 27889904
TI - How to Screen for Non-Adherence to Antihypertensive Therapy.
AB - The quality of assessment of non-adherence to treatment in hypertensive is poor.
Within this review, we discuss the different methods used to assess adherence to
blood-pressure-lowering medications in hypertension patients. Subjective reports
such as physicians' perceptions are inaccurate, and questionnaires completed by
patients tend to overreport adherence and show a low diagnostic specificity.
Indirect objective methods such as pharmacy database records can be useful, but
they are limited by the robustness of the recorded data. Electronic medication
monitoring devices are accurate but usually track adherence to only a single
medication and can be expensive. Overall, the fundamental issue with indirect
objective measures is that they do not fully confirm ingestion of
antihypertensive medications. Detection of antihypertensive medications in body
fluids using liquid chromatography-tandem mass spectrometry is currently, in our
view, the most robust and clinically useful method to assess non-adherence to
blood-pressure-lowering treatment. It is particularly helpful in patients
presenting with resistant, refractory or uncontrolled hypertension despite the
optimal therapy. We recommend using this diagnostic strategy to detect non
adherence alongside a no-blame approach tailoring support to address the
perceptions (e.g. beliefs about the illness and treatment) and practicalities
(e.g. capability and resources) influencing motivation and ability to adhere.
PMID- 27889905
TI - Ligand binding and conformational dynamics in a flavin-based electron-bifurcating
enzyme complex revealed by Hydrogen-Deuterium Exchange Mass Spectrometry.
AB - Flavin-based electron bifurcation (FBEB) is a novel mechanism of energy coupling
used by anaerobic microorganisms to optimize their energy metabolism efficiency.
The first high-resolution structure of a complete FBEB enzyme complex, the NADH
dependent reduced ferredoxin: NADP+ -oxidoreductase (NfnAB) of Thermotoga
maritima, was recently solved. However, no experimental evidence for the NADPH
binding site and conformational changes during the FBEB reaction are available.
Here we analyzed ligand binding and the conformational dynamics of oxygen
sensitive NfnAB using Hydrogen-Deuterium Exchange Mass-Spectrometry, including a
customized anaerobic workflow. We confirmed the NADH and the previously
postulated NADPH-binding site. Furthermore, we observed an NfnA-NfnB
rearrangement upon NADPH binding which supports the proposed FBEB mechanism.
PMID- 27889906
TI - Interventions for the Management of Denture Stomatitis: A Systematic Review and
Meta-Analysis.
AB - OBJECTIVES: To assess the effectiveness of different interventions for treating
or preventing denture stomatitis (DS). DESIGN: Systematic review. SETTING:
Randomized controlled trials (RCTs) comparing any agent or procedure prescribed
to treat or prevent DS in adults. PARTICIPANTS: Older adults with denture
stomatitis. MEASUREMENTS: There were two main outcomes reported in the trials
included in this review: clinical signs of DS and remaining presence of yeast.
There were no restrictions regarding language or date of publication. The search
period was up to February 2016. RESULTS: Thirty-five studies were included in the
systematic review, with 32 judged as having high risk of bias. Three RCTs
compared nystatin with placebo and found a significant effect on the reduction of
clinical signs of stomatitis (risk ratio (RR) = 0.51, 95% confidence interval
(CI) = 0.36-0.72), four RCTs compared nystatin with placebo and found a
significant effect on mycological assessment (RR = 0.61, 95% CI = 0.46-0.80).
Five studies of disinfectant agents also showed a significant effect in
comparison with an inactive agent (RR = 0.52, 95% CI = 0.30-0.92) in clinical
assessment. No evidence was found of an effect of miconazole, amphotericin, or
imidazolic drugs. No RCT evaluated the effectiveness of preventive approaches.
CONCLUSION: The results are supportive of the use of nystatin and disinfecting
agents in the treatment of DS, but clinicians need to be aware that individual
studies had high risk of bias and that the overall quality of the individual
reports was judged to be low.
PMID- 27889909
TI - Our Hidden Patients.
PMID- 27889907
TI - Activation of Nur77 in microglia attenuates proinflammatory mediators production
and protects dopaminergic neurons from inflammation-induced cell death.
AB - Microglia-mediated neuroinflammation plays a critical role in the pathological
development of Parkinson's disease (PD). Orphan nuclear receptor Nur77 (Nur77) is
abundant in neurons, while its role in microglia-mediated neuroinflammation
remains unclear. The present data demonstrated that the expression of Nur77 in
microglia was reduced accompanied by microglia activation in response to
lipopolysaccharide (LPS) in vitro and in experimental 1-methyl-4-phenyl-1,2,3,6
tetrahydropyridine-PD mouse model. Nur77 over-expression or application of Nur77
agonist cytosporone B suppressed the expression of proinflammatory genes, such as
inducible nitric oxide NOS, cyclooxygenase-2, IL-1beta, and tumor necrosis factor
alpha in the activated microglia, while silenced Nur77 exaggerated the
inflammatory responses in microglia. Moreover, activation of Nur77 suppressed the
LPS-induced NF-kappaB activation which was partly dependent on p38 MAPK activity,
since inhibition of p38 MAPK by SB203580 abolished the LPS-activated NF-kappaB in
microglia. On the other hand, inhibition of p38 MAPK attenuated LPS-induced Nur77
reduction. Furthermore, in a microglia-conditioned cultured media system, Nur77
ameliorated the cytotoxicity to MN9D dopaminergic cells. Lastly, cytosporone B
attenuated microglia activation and loss of dopaminergic neuron in the substantia
nigra pars compacta (SNpc) of 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine-PD
mouse model. Taken together, these findings revealed the first evidence that
Nur77 was an important modulator in microglia function that associated with
microglia-mediated dopaminergic neurotoxicity, and thus modulation of Nur77 may
represent a potential novel target for treatment for neurodegenerative disease.
PMID- 27889910
TI - Elimination of the apposition in Latin anatomical terms.
AB - The anatomical nomenclature rules require that terms be as short and simple as
possible. One common exception to that rule is Latin terms that contain two nouns
in nominative case, for example, Musculus masseter and Os ischium. Although these
may appear to speakers of other languages to be compound nouns, they are
appositions, grammatical structures in which one noun renames, defines or
describes the entity named by the other noun. More than 125 terms in Terminologia
Anatomica can be simplified, without loss of clarity, by prohibiting use of more
than one noun in nominative case in Latin anatomical terms (e.g., Masseter and Os
ischii). Clin. Anat. 30:156-158, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27889908
TI - Self-Reported Masticatory Dysfunction and Mortality in Community Dwelling Elderly
Adults: A 9-Year Follow-Up.
AB - OBJECTIVES: To evaluate the association, if any, between masticatory dysfunction
(MD) and mortality in older adults. DESIGN: The Invecchiare in Chianti
(InCHIANTI) Study, a cohort study with 9-year follow-up. SETTING: Tuscany, Italy.
PARTICIPANTS: Individuals aged 65 and older (N = 1,155). MEASUREMENTS: MD was
self-reported; Cox regression was used to assess the association between self
reported MD and 9-year all-cause mortality. This association was also evaluated
after stratifying according to use of dentures. Analyses were adjusted for
potential confounders, including demographic characteristics, lifestyle habits,
comorbidities, nutrient intake, medications, and objective parameters. RESULTS:
Four hundred five (35%) participants reported MD. Over the 9-year follow-up, 475
(41%) subjects died. According to Cox regression analysis, self-reported MD was
associated with higher mortality (relative risk (RR) = 1.23, 95% confidence
interval (CI) = 1.02-1.48), after adjusting for potential confounders. In
participants with self-reported MD, uncorrected edentulism was the condition
associated with the greatest risk of mortality (RR = 2.10, 95% CI = 1.07-4.14);
use of dentures seemed to blunt this association (RR = 1.12, 95% CI = 0.87-1.44).
CONCLUSION: Self-reported MD, chiefly when due to uncorrected edentulism, is
associated with 9-year all-cause mortality in community-dwelling elderly adults.
Further studies are needed to evaluate whether the timely correction of MD using
adequate dentures can increase the survival of older adults.
PMID- 27889912
TI - Insulin-like growth factor 1 and metabolic parameters are associated with
nonalcoholic fatty liver disease in obese children and adolescents.
AB - AIM: Few studies have investigated the relationship between paediatric
nonalcoholic fatty liver disease (NAFLD) and insulin-like growth factor 1 (IGF
1). This study, carried out from July 2013 to September 2015, aimed to fill the
gap and added metabolic parameters to the analysis. METHODS: This was a cross
sectional study of 168 obese children and adolescents (84% male), divided into
two groups based on the presence (n = 90) or absence (n = 78) of NAFLD. All
participants underwent clinical examinations, anthropometric and laboratory
examinations and liver ultrasonography. RESULTS: Nonalcoholic fatty liver disease
patients had significantly lower IGF-1 standard deviation score (IGF-1 SDS) and
higher body mass index (BMI), homeostasis model assessment of insulin resistance
(HOMA-IR) and uric acid levels than the control group. The prevalence rate of
NAFLD was negatively correlated with the IGF-1 SDS. IGF-1 SDS was negatively
associated with NAFLD, while BMI, HOMA-IR and uric acid were positively
associated with NAFLD. The combined analysis of the area under the receiver
operating characteristic curve for multiple variables, including IGF-1 SDS, BMI,
HOMA-IR and uric acid, was 0.812, with a sensitivity of 78.89% and specificity of
74.36%. CONCLUSION: IGF-1, BMI, HOMA-IR and uric acid were useful markers of
NAFLD in obese children and adolescents.
PMID- 27889911
TI - Multiregional analysis of global 5-methylcytosine and 5-hydroxymethylcytosine
throughout the progression of Alzheimer's disease.
AB - Epigenetic modifications to cytosine are known to alter transcriptional states
and deregulate gene expression in cancer, embryonic development, and most
recently in neurodegeneration. To test the hypothesis that global levels of
cytosine modification are altered throughout the progression of Alzheimer's
disease, 5-methylcytosine (5-mC) and 5-hydroxymethylcytosine (5-hmC) were
quantified using gas chromatography/mass spectrometry (GC/MS) and stable labeled
internal standards of cytosine, 5-mC, and 5-hmC. Cytosine modifications were
quantified in DNA extracted from tissue specimens of four brain regions
(cerebellum, inferior parietal lobe, superior and middle temporal gyrus, and
hippocampus/parahippocampal gyrus) of cognitively normal control (NC) subjects
and subjects with mild cognitive impairment (MCI), preclinical Alzheimer's
disease (PCAD), late onset Alzheimer's disease, frontotemporal lobar degeneration
(FTLD) and dementia with Lewy bodies (DLB). Repeated measures analyses of the
data show significant alterations in 5-mC and 5-hmC in early stages of
Alzheimer's disease (PCAD and MCI), as well as FTLD and DLB subjects, across
multiple regions of the brain. These data suggest alterations in epigenetic
regulation of genes may play an early role in the progression of AD as well as
other types of neurodegeneration.
PMID- 27889914
TI - Predictors of Long-Term Mortality in Older Patients Hospitalized for Acutely
Decompensated Heart Failure: Clinical Relevance of Natriuretic Peptides.
AB - BACKGROUND: Acute heart failure is a common cause of hospitalization among older
patients. Optimized risk stratification might improve the outcome for this
subgroup of patients. Natriuretic peptides have been used in the diagnosis of
heart failure and in evaluating the prognosis of patients hospitalized for heart
failure. However, their utility in the elderly is still controversial. OBJECTIVE:
To evaluate long-term survival and prognostic factors for elderly patients
hospitalized for acutely decompensated heart failure and evaluate the prognostic
utility of NT-proBNP. DESIGN: Retrospective, multicenter cohort study. SETTING:
Two Italian hospitals. PARTICIPANTS: Two hundred seventy-nine patients, aged >75
years; hospitalized for decompensation of chronic, established heart failure.
METHODS: Baseline clinical data were recorded at admission. The primary outcome
was long-term mortality. RESULTS: In-hospital, 12-month and 5-year mortality
were, respectively, 10%, 36%, and 77%. NT-proBNP, eGFR, hemoglobin, diabetes,
systolic blood pressure, and moderate to severe tricuspid regurgitation were
independently associated with long-term prognosis and were entered into a
multivariate model, with a C-index of 0.765 for the determination of high-risk
patients. The C-index for NT-proBNP to predict mortality at 2 and 12 months was
0.740 and 0.756, respectively. The optimal cutoff point for predicting mortality
at 2 and 12 months was 8,444 pg/mL (hazard ratio 5.33) and 8,275 pg/mL (hazard
ratio 6.03), respectively. CONCLUSION: Elderly patients hospitalized for acutely
decompensated heart failure had a poor long-term outcome, especially in the
subgroup with reduced ejection fraction (EF). In addition to EF and
comorbidities, NT-pro-BNP remained independently prognostic among elderly
patients hospitalized with heart failure.
PMID- 27889913
TI - Long-term melatonin treatment delays ovarian aging.
AB - Ovarian aging is characterized by gradual declines in oocyte quantity and
quality. Melatonin is considered an anti-aging agent due to its cytoprotective
actions as an antioxidant. This study examined whether long-term melatonin
treatment would delay ovarian aging in mice. Female ICR mice (10 weeks old) were
given melatonin-containing water (100 MUg/mL; melatonin) or water only until 43
weeks of age. Their oocytes were recovered from the oviduct, and in vitro
fertilization was performed. The ovaries were used for a histological analysis of
the number of follicles. The mRNA expression of the aging-related sirtuin genes
(SIRT1, SIRT3) and the autophagy-related gene (LC3) and the telomere length of
the ovarian chromosomes were analyzed. Transcriptome changes in the ovaries were
also characterized using microarray. The number of ovulated oocytes decreased
with age; however, it was greater in melatonin-treated mice than that from
control animals. The decreased fertilization rate and blastocyst rate during
aging also were higher in the melatonin-treated mice than in the controls, as
were the numbers of primordial, primary, and antral follicles. The mRNA
expression of SIRT1 and LC3 and telomere length were enhanced due to melatonin
treatment. Seventy-eight genes that were downregulated during aging and
upregulated by melatonin were identified by a microarray analysis. Forty of these
78 genes were ribosome-related genes, and a free radical scavenging network was
identified. The present results indicate that melatonin delays ovarian aging by
multiple mechanisms including antioxidant action, maintaining telomeres,
stimulating SIRT expression and ribosome function, and by reducing autophagy.
PMID- 27889916
TI - Precipitation alters interactions in a grassland ecological community.
AB - Climate change is transforming precipitation regimes world-wide. Changes in
precipitation regimes are known to have powerful effects on plant productivity,
but the consequences of these shifts for the dynamics of ecological communities
are poorly understood. This knowledge gap hinders our ability to anticipate and
mitigate the impacts of climate change on biodiversity. Precipitation may affect
fauna through direct effects on physiology, behaviour or demography, through
plant-mediated indirect effects, or by modifying interactions among species. In
this paper, we examined the response of a semi-arid ecological community to a
fivefold change in precipitation over 7 years. We examined the effects of
precipitation on the dynamics of a grassland ecosystem in central California from
2007 to 2013. We conducted vegetation surveys, pitfall trapping of invertebrates,
visual surveys of lizards and capture-mark-recapture surveys of rodents on 30
plots each year. We used structural equation modelling to evaluate the direct,
indirect and modifying effects of precipitation on plants, ants, beetles,
orthopterans, kangaroo rats, ground squirrels and lizards. We found pervasive
effects of precipitation on the ecological community. Although precipitation
increased plant biomass, direct effects on fauna were often stronger than plant
mediated effects. In addition, precipitation altered the sign or strength of
consumer-resource and facilitative interactions among the faunal community such
that negative or neutral interactions became positive or vice versa with
increasing precipitation. These findings indicate that precipitation influences
ecological communities in multiple ways beyond its recognized effects on primary
productivity. Stochastic variation in precipitation may weaken the average
strength of biotic interactions over time, thereby increasing ecosystem stability
and resilience to climate change.
PMID- 27889915
TI - Constitutive regulation of the glutamate/aspartate transporter EAAT1 by Calcium
Calmodulin-Dependent Protein Kinase II.
AB - Glutamate clearance by astrocytes is an essential part of normal excitatory
neurotransmission. Failure to adapt or maintain low levels of glutamate in the
central nervous system is associated with multiple acute and chronic
neurodegenerative diseases. The primary excitatory amino acid transporters in
human astrocytes are EAAT1 and EAAT2 (GLAST and GLT-1, respectively, in rodents).
While the inhibition of calcium/calmodulin-dependent kinase (CaMKII), a
ubiquitously expressed serine/threonine protein kinase, results in diminished
glutamate uptake in cultured primary rodent astrocytes (Ashpole et al. 2013), the
molecular mechanism underlying this regulation is unknown. Here, we use a
heterologous expression model to explore CaMKII regulation of EAAT1 and EAAT2. In
transiently transfected HEK293T cells, pharmacological inhibition of CaMKII
(using KN-93 or tat-CN21) reduces [3 H]-glutamate uptake in EAAT1 without
altering EAAT2-mediated glutamate uptake. While over-expressing the Thr287Asp
mutant to enhance autonomous CaMKII activity had no effect on either EAAT1 or
EAAT2-mediated glutamate uptake, over-expressing a dominant-negative version of
CaMKII (Asp136Asn) diminished EAAT1 glutamate uptake. SPOTS peptide arrays and
recombinant glutathione S-transferase-fusion proteins of the intracellular N- and
C-termini of EAAT1 identified two potential phosphorylation sites at residues
Thr26 and Thr37 in the N-terminus. Introducing an Ala (a non-phospho mimetic) at
Thr37 diminished EAAT1-mediated glutamate uptake, suggesting that the
phosphorylation state of this residue is important for constitutive EAAT1
function. Our study is the first to identify a glutamate transporter as a direct
CaMKII substrate and suggests that CaMKII signaling is a critical driver of
constitutive glutamate uptake by EAAT1.
PMID- 27889917
TI - The neuronal insulin receptor in its environment.
AB - Insulin is known mainly for its effects in peripheral tissues, such as the liver,
skeletal muscles and adipose tissue, where the activation of the insulin receptor
(IR) has both short-term and long-term effects. Insulin and the IR are also
present in the brain, and since there is evidence that neuronal insulin signaling
regulates synaptic plasticity and that it is impaired in disease, this pathway
might be the key to protection or reversal of symptoms, especially in Alzheimer's
disease. However, there are controversies about the importance of the neuronal
IR, partly because biophysical data on its activation and signaling are much less
complete than for the peripheral IR. This review briefly summarizes the neuronal
IR signaling in health and disease, and then focuses on known differences between
the neuronal and peripheral IR with regard to alternative splicing and
glycosylation, and lack of data with respect to phosphorylation and membrane
subdomain localization. Particularities in the neuronal IR itself and its
environment may have consequences for downstream signaling and impact synaptic
plasticity. Furthermore, establishing the relative importance of insulin
signaling through IR or through hybrids with its homolog, the insulin-like growth
factor 1 receptor, is crucial for evaluating the consequences of brain IR
activation. An improved biophysical understanding of the neuronal IR may help
predict the consequences of insulin-targeted interventions.
PMID- 27889918
TI - Inner ear labyrinth anatomy of monotremes and implications for mammalian inner
ear evolution.
AB - The monophyletic clade Monotremata branches early from the rest of the mammalian
crown group in the Jurassic and members of this clade retain many ancestral
mammalian traits. Thus, accurate and detailed anatomical descriptions of this
group can offer unique insight into the early evolutionary history of Mammalia.
In this study, we examine the inner ear anatomy of two extant monotremes,
Ornithorhynchus anatinus and Tachyglossus aculeatus, with the primary goals of
elucidating the ancestral mammalian ear morphology and resolving inconsistencies
found within previous descriptive literature. We use histological serial sections
and high-resolution microcomputed tomography (uCT) for correlating soft tissue
features of the vestibule and cochlea to the osseous labyrinth endocast. We found
that in both monotremes the scala tympani coils to a lesser degree than scala
vestibuli and scala media, although all three scalae show an apical coil inside
the osseous cochlear tube. The helicotrema (conduit between scala tympani and
scala vestibuli) is in subapical position, and the cochlear and lagenar ganglia
and their associated nerve fibers are not enclosed by bone. In comparison, in
extant therian mammals (i.e., marsupials and placentals) the helicotrema is
located at the apex of the osseous cochlear canal, the three scalae coil to the
same degree and the cochlear ganglion is enclosed by the primary bony lamina.
Whether the lagenar ganglion is lost in therian mammals or integrated into the
cochlear ganglion is still debated. The presence of a sensory lagenar macula at
the apex of the membranous cochlear duct, innervated by a separate lagenar nerve
and ganglion is a plesiomorphic condition of amniotes that monotremes share. A
separate osseous lagenar canaliculus for the lagenar nerve, and the coiling of
the distended lagenar sac at the end of the cochlear duct are autapomorphies of
monotremes. Based on our findings we hypothesize that the ancestral inner ear of
stem mammaliaforms is characterized by a straight or slightly curved osseous
cochlear canal, a lagenar macula, lagenar nerve fibers separated from a larger
bundle of cochlear nerve fibers, the presence of an organ of Corti and an intra
otic cochlear ganglion suspended by membranous connective tissue. Among the major
Mesozoic clades of crown mammals, cladotherians and gondwanatherians most likely
acquired a fully functioning organ of Corti but lost the sensory lagenar macula,
like extant therians. However, Mesozoic spalacotherioids, multituberculates and
eutriconodonts likely retained the mammaliaform condition. J. Morphol. 278:236
263, 2017. (c) 2016 Wiley Periodicals,Inc.
PMID- 27889919
TI - Human anatomy nomenclature rules for the computer age.
AB - Information systems are increasing in importance in biomedical sciences and
medical practice. The nomenclature rules of human anatomy were reviewed for
adequacy with respect to modern needs. New rules are proposed here to ensure that
each Latin term is uniquely associated with an anatomical entity, as short and
simple as possible, and machine-interpretable. Observance of these
recommendations will also benefit students and translators of the Latin terms
into other languages. Clin. Anat. 30:300-302, 2017. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27889920
TI - Neuropeptide Y receptor 1 is expressed by B and T lymphocytes and mast cells in
infantile haemangiomas.
AB - AIM: We investigated the expression of neuropeptide Y (NPY), NPY receptor 1
(NPYR1) and NPY receptor 2 (NPYR2) in infantile haemangiomas (IHs). METHODS:
Immunohistochemical (IHC) staining was performed on proliferating IHs from six
patients aged 4-13 (mean 8.7) months and involuted IHs from six patients aged 5
59 (mean 18.7) years, for the expression of NPY, NPYR1 and NPYR2. Protein and
messenger ribonucleic acid expression corresponding to these proteins was
investigated by Western blotting and NanoString analysis, respectively. RESULTS:
IHC staining, Western blotting and NanoString analysis demonstrated the presence
of NPYR1, but not NPYR2, within proliferating and involuted IHs. IHC staining
showed NPYR1 was expressed by B and T lymphocytes expressing CD45 and mast cells
expressing tryptase. IHC staining demonstrated the presence of NPY on the NPYR1+
cells, but it was not detected by Western blotting or NanoString analysis.
CONCLUSION: NPYR1, but not NPYR2, was present in IHs. The localisation of NPYR1
to B and T lymphocytes and mast cells suggests its role in the biology of IHs.
The demonstration of NPY on the NPYR1+ cells, without active transcription,
suggests that NPY was not being produced within IHs.
PMID- 27889921
TI - Chimpanzee uses manipulative gaze cues to conceal and reveal information to
foraging competitor.
AB - : Tactical deception has been widely reported in primates on a functional basis,
but details of behavioral mechanisms are usually unspecified. We tested a pair of
chimpanzees (Pan troglodytes) in the informed forager paradigm, in which the
subordinate saw the location of hidden food and the dominant did not. We employed
cross-correlations to examine temporal contingencies between chimpanzees'
behavior: specifically how the direction of the subordinate's gaze and movement
functioned to manipulate the dominant's searching behavior through two tactics,
withholding, and misleading information. In Experiment 1, not only did the
informed subordinate tend to stop walking toward a single high value food, but
she also refrained from gazing toward it, thus, withholding potentially revealing
cues from her searching competitor. In a second experiment, in which a moderate
value food was hidden in addition to the high value food, whenever the
subordinate alternated her gaze between the dominant and the moderate value food,
she often paused walking for 5 s; this frequently recruited the dominant to the
inferior food, functioning as a "decoy." The subordinate flexibly concealed and
revealed gaze toward a goal, which suggests that not only can chimpanzees use
visual cues to make predictions about behavior, but also that chimpanzees may
understand that other individuals can exploit their gaze direction. These results
substantiate descriptive reports of how chimpanzees use gaze to manipulate
others, and to our knowledge are the first quantitative data to identify
behavioral mechanisms of tactical deception. RESEARCH HIGHLIGHTS: Cross
correlations show a subordinate chimpanzee tactically deceived a dominant by not
gazing toward a valuable food (withholding), and recruiting to a "decoy" food
(misleading). Chimpanzees understand that others can exploit their gaze
direction.
PMID- 27889922
TI - Haloperidol for long-term aggression in psychosis.
AB - BACKGROUND: Psychotic disorders can lead some people to become agitated.
Characterised by restlessness, excitability and irritability, this can result in
verbal and physically aggressive behaviour - and both can be prolonged.
Aggression within the psychiatric setting imposes a significant challenge to
clinicians and risk to service users; it is a frequent cause for admission to
inpatient facilities. If people continue to be aggressive it can lengthen
hospitalisation. Haloperidol is used to treat people with long-term aggression.
OBJECTIVES: To examine whether haloperidol alone, administered orally,
intramuscularly or intravenously, is an effective treatment for long
term/persistent aggression in psychosis. SEARCH METHODS: We searched the Cochrane
Schizophrenia Group Trials Register (July 2011 and April 2015). SELECTION
CRITERIA: We included randomised controlled trials (RCT) or double blind trials
(implying randomisation) with useable data comparing haloperidol with another
drug or placebo for people with psychosis and long-term/persistent aggression.
DATA COLLECTION AND ANALYSIS: One review author (AK) extracted data. For
dichotomous data, one review author (AK) calculated risk ratios (RR) and their
95% confidence intervals (CI) on an intention-to-treat basis based on a fixed
effect model. One review author (AK) assessed risk of bias for included studies
and created a 'Summary of findings' table using GRADE. MAIN RESULTS: We have no
good-quality evidence of the absolute effectiveness of haloperidol for people
with long-term aggression. One study randomising 110 chronically aggressive
people to three different antipsychotic drugs met the inclusion criteria. When
haloperidol was compared with olanzapine or clozapine, skewed data (n=83) at high
risk of bias suggested some advantage in terms of scale scores of unclear
clinical meaning for olanzapine/clozapine for 'total aggression'. Data were
available for only one other outcome, leaving the study early. When compared with
other antipsychotic drugs, people allocated to haloperidol were no more likely to
leave the study (1 RCT, n=110, RR 1.37, CI 0.84 to 2.24, low-quality evidence).
Although there were some data for the outcomes listed above, there were no data
on most of the binary outcomes and none on service outcomes (use of
hospital/police), satisfaction with treatment, acceptance of treatment, quality
of life or economics. AUTHORS' CONCLUSIONS: Only one study could be included and
most data were heavily skewed, almost impossible to interpret and oflow quality.
There were also some limitations in the study design with unclear description of
allocation concealment and high risk of bias for selective reporting, so no firm
conclusions can be made. This review shows how trials in this group of people are
possible - albeit difficult. Further relevant trials are needed to evaluate use
of haloperidol in treatment of long-term/persistent aggression in people living
with psychosis.
PMID- 27889923
TI - Normal radiological unossified hip joint space and femoral head size development
during growth in 675 children and adolescents.
AB - Evaluation of hip joint space width during child growth is important to aid in
the early diagnosis of hip pathology in children. We established reference values
for hip joint space and femoral head size for each age. Hip joint space
development during growth was retrospectively investigated medial and cranial in
1350 hip joints of children using standard anteroposterior supine plain pelvic
radiographs. Maximum capital femoral epiphysis diameter and femoral radii were
further more investigated. Hip joint space values show a slow decline during
growth. Joint space was statistically significantly (p < 0.006) larger in boys
than girls. Our hip joint space measurements on supine subjects seem slightly
larger than those reported by Hughes on standing subjects. Evaluation of the
femoral head diameter and the radii showed a size curve quite parallel to the
known body growth charts. Radii medial and perpendicular to the physis are not
statistically significantly different. We recommend to compare measurements of
hip joint space at two locations to age dependent charts using the same imaging
technique. During growth, a divergence in femoral head size from the expected
values or loss of the spherical shape should raise the question of hip disorder.
Clin. Anat. 30:267-275, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27889924
TI - Dental ontogeny of a white shark embryo.
AB - Unlike most viviparous vertebrates, lamniform sharks develop functional teeth
during early gestation. This feature is considered to be related to their unique
reproductive mode where the embryo grows to a large size via feeding on nutritive
eggs in utero. However, the developmental process of embryonic teeth is largely
uninvestigated. We conducted X-ray microcomputed tomography to observe the
dentitions of early-, mid-, and full-term embryos of the white shark Carcharodon
carcharias (Lamniformes, Lamnidae). These data reveal the ontogenetic change of
embryonic dentition of the species for the first time. Dentition of the early
term embryos (~45 cm precaudal length, PCL) is distinguished from adult dentition
by 1) the presence of microscopic teeth in the distalmost region of the
paratoquadrate, 2) a fang-like crown morphology, and 3) a lack of basal concavity
of the tooth root. The "intermediate tooth" of early-term embryos is almost the
same size as the adjacent teeth, suggesting that lamnoid-type heterodonty
(lamnoid tooth pattern) has not yet been established. We also discovered that mid
term embryos (~80 cm PCL) lack functional dentition. Previous studies have shown
that the maternal supply of nutritive eggs in lamnoid sharks ceases during mid-
to late-gestation. Thus, discontinuation of functional tooth development is
likely associated with the completion of the oophagous (egg-eating) phase.
Replacement teeth in mid-term embryos include both embryonic and adult-type
teeth, suggesting that the embryo to adult transition in dental morphology occurs
during this period. J. Morphol. 278:215-227, 2017. (c) 2016 Wiley
Periodicals,Inc.
PMID- 27889925
TI - Deletion of the vesicular acetylcholine transporter from
pedunculopontine/laterodorsal tegmental neurons modifies gait.
AB - Postural instability and gait disturbances, common disabilities in the elderly
and frequently present in Parkinson's disease (PD), have been suggested to be
related to dysfunctional cholinergic signaling in the brainstem. We investigated
how long-term loss of cholinergic signaling from mesopontine nuclei influence
motor behaviors. We selectively eliminated the vesicular acetylcholine
transporter (VAChT) in pedunculopontine and laterodorsal tegmental nuclei
cholinergic neurons to generate mice with selective mesopontine cholinergic
deficiency (VAChTEn1-Cre-flox/flox ). VAChTEn1-Cre-flox/flox mice did not show
any gross health or neuromuscular abnormality on metabolic cages, wire-hang and
grip-force tests. Young VAChTEn1-Cre-flox/flox mice (2-5 months-old) presented
motor learning/coordination deficits on the rotarod; moved slower, and had
smaller steps on the catwalk, but showed no difference in locomotor activity on
the open field. Old VAChTEn1-Creflox/flox mice (13-16 months-old) showed more
pronounced motor learning/balance deficits on the rotarod, and more pronounced
balance deficits on the catwalk. Furthermore, old mutants moved faster than
controls, but with similar step length. Additionally, old VAChT-deficient mice
were hyperactive. These results suggest that dysfunction of cholinergic neurons
from mesopontine nuclei, which is commonly seen in PD, has causal roles in motor
functions. Prevention of mesopontine cholinergic failure may help to
prevent/improve postural instability and falls in PD patients. Read the Editorial
Highlight for this article on page 688.
PMID- 27889926
TI - Nutritional ecology of wild Bornean orangutans (Pongo pygmaeus wurmbii) in a peat
swamp habitat: Effects of age, sex, and season.
AB - : The spatial and temporal variation in food abundance has strong effects on
wildlife feeding and nutrition. This variation is exemplified by the peatland
forests of Central Kalimantan, which are characterized by unpredictable fruiting
fluctuations, relatively low levels of fruit availability, and low fruit periods
(<3% of trees fruiting) that can last nearly a year. Challenged by these
environments, large, arboreal frugivores like orangutans must periodically rely
on non-preferred, lower-quality foods to meet their nutritional needs. We
examined variation in nutrient intake among age-sex classes and seasons over a 7
year period at the Tuanan Orangutan Research Station in Central Kalimantan. We
conducted 2,316 full-day focal follows on 62 habituated orangutans (Pongo
pygmaeus wurmbii). We found differences in total energy and macronutrient intake
across age-sex classes, controlling for metabolic body mass. Intake of both total
energy and macronutrients varied with fruit availability, and preference of
dietary items increased with their nutritional quality. Foraging-related
variables, such as day journey length, travel time, and feeding time, also varied
among age-sex classes and with fruit availability. Our results add to the growing
body of literature suggesting that great variation in foraging strategies exists
among species, populations, and age-sex classes and in response to periods of
resource scarcity. RESEARCH HIGHLIGHTS: The spatial and temporal variation in
food abundance has strong effects on wildlife feeding and nutrition. Here we
present the first long term study of the effects of variation in fruit
availability and age/sex class on nutritional ecology of wild Bornean orangutans.
We examined variation in nutrient intake of wild orangutans in living in a peat
swamp habitat over a 7-year period at the Tuanan Orangutan Research Station in
Central Kalimantan. We conducted 2,316 full-day focal follows on 62 habituated
orangutans (Pongo pygmaeus wurmbii). We found differences in total energy and
macronutrient intake across age-sex classes, controlling for metabolic body mass.
Intake of both total energy and macronutrients varied with fruit availability,
and preference of dietary items increased with their nutritional quality.
Foraging-related variables, such as day journey length, travel time, and feeding
time, also varied among age-sex classes and with fruit availability. Our results
add to the growing body of literature suggesting that great variation in foraging
strategies exists among species, populations, and age-sex classes and in response
to periods of resource scarcity.
PMID- 27889927
TI - Sp2 is the only glutamine-rich specificity protein with minor impact on
development and differentiation in myelinating glia.
AB - Oligodendrocytes and Schwann cells are the myelinating glia of the vertebrate
nervous system and by generation of myelin sheaths allow rapid saltatory
conduction. Previous in vitro work had pointed to a role of the zinc finger
containing specificity proteins Sp1 and Sp3 as major regulators of glial
differentiation and myelination. Here, we asked whether such a role is also
evident in vivo using mice with specific deletions of Sp1 or Sp3 in myelinating
glia. We also studied glia-specific conditional Sp2- and constitutive Sp4
deficient mice to include all related glutamine-rich Sp factors into our
analysis. Surprisingly, we did not detect developmental Schwann cell
abnormalities in any of the mutant mice. Oligodendrocyte development and
differentiation was also not fundamentally affected as oligodendrocytes were
present in all mouse mutants and retained their ability to differentiate and
initiate myelin gene expression. The most severe defect we observed was a 50%
reduction in Mbp- and proteolipid protein 1 (Plp1)-positive differentiating
oligodendrocytes in Sp2 mutants at birth. Unexpectedly, glial development
appeared undisturbed even in the joint absence of Sp1 and Sp3. We conclude that
Sp2 has a minor effect on the differentiation of myelinating glia, and that
glutamine-rich Sp proteins are not essential regulators of the process.
PMID- 27889928
TI - Elucidating the role of Cyclooxygenase-2 in the pathogenesis of oral lichen
planus - an immunohistochemical study with supportive histochemical analysis.
AB - OBJECTIVE: Oral lichen planus (OLP) is a chronic, inflammatory disorder that
affects the oral mucous membrane. During an inflammatory response, several
chemokines and cytokines are released by the cells of the immune system.
Activation of MMPs, along with mast cell-derived chymase and tryptase, degrades
the basement membrane structural proteins, resulting in basement membrane breaks.
AIM: To investigate the association between the COX-2 expressions, presence of
intact or degranulating mast cells within the connective tissue and the extent of
basement membrane discontinuity in OLP cases. METHODS: This study included a
total of 50 formalin-fixed paraffin-embedded specimens (FFPE) of histologically
confirmed cases of idiopathic oral lichen planus. A retrospective cross-sectional
analysis was carried out by immunohistochemistry to study the epithelial
expression of COX-2 and by the use of special stains such as toluidine blue and
periodic acid-Schiff (PAS) to study the mast cell count and basement membrane
changes in the oral mucosal tissue, respectively. RESULTS: There was a
significant (P = 0.03) association between the COX-2 expressions and mast cell
count. As the intensity of COX-2 expression increased from mild to moderate or
severe, the number of mast cell count almost doubled. CONCLUSION: Interaction
between upregulation of COX-2, mast cell and basement membrane sets a vicious
cycle which relates to the chronic nature of the disease. Inhibitors of COX-2 may
reduce the inflammatory process preceding the immune dysregulation in OLP.
PMID- 27889929
TI - Twenty-eight years of intestinal transplantation in Paris: experience of the
oldest European center.
AB - Our aim was to describe our achievements in pediatric intestinal transplantation
(ITx) and define areas for improvement. After a period (1987-1990) of nine
isolated small bowel transplants (SBTx) where only one patient survived with her
graft, 110 ITx were performed on 101 children from 1994 to 2014: 60 SBTx, 45
liver-small bowel, four multivisceral (three with kidneys), and one modified
multivisceral. Indications were short bowel syndrome (36), motility disorders
(30), congenital enteropathies (34), and others (1). Induction treatment was
introduced in 2000. Patient/graft survival with a liver-containing graft or SBTx
was, respectively, 60/41% and 46/11% at 18 years. Recently, graft survival at
5/10 years was 44% and 31% for liver-containing graft and 57% and 44% for SBTx.
Late graft loss occurred in 13 patients, and 7 of 10 retransplanted patients
died. The main causes of death and graft loss were sepsis and rejection. Among
the 55 currently living patients, 21 had a liver-containing graft, 19 a SBTx (17
after induction), and 15 were on parenteral nutrition. ITx remains a difficult
procedure, and retransplantation even more so. Over the long term, graft loss was
due to rejection, over-immunosuppression was not a significant problem.
Multicenter studies on immunosuppression and microbiota are urgently needed.
PMID- 27889930
TI - Receptor tyrosine kinase amplification is predictive of distant metastasis in
patients with oral squamous cell carcinoma.
AB - This study aimed to clarify the genomic factors associated with the diagnosis and
prognosis of oral squamous cell carcinoma via next-generation sequencing. We
evaluated data from 220 cases of oral squamous cell carcinoma. Genomic DNA was
eluted using formalin-fixed, paraffin-embedded samples, and targeted resequencing
of 50 cancer-related genes was performed. In total, 311 somatic mutations were
detected in 220 patients, consisting of 68 synonymous mutations and 243 non
synonymous mutations. Genes carrying mutations included TP53, CDKN2A, and PIK3CA
in 79 (35.9%), 35 (15.9%), and 19 patients (8.6%), respectively. Copy number
analysis detected amplification of PIK3CA and AKT1 in 38 (17.3%) and 11 patients
(5.0%), respectively. Amplification of receptor tyrosine kinases was found in 37
patients (16.8%). Distant metastasis was noted in nine of 37 patients (24%) with
receptor tyrosine kinase amplification, accounting for 43% of the 21 cases of
distant metastasis. The cumulative 5-year survival rate was 64.6% in the receptor
tyrosine kinase amplification group vs 85.2% in the no receptor tyrosine kinase
amplification group. Moreover, we identified significantly poorer prognosis in
the TP53 mutation/receptor tyrosine kinase amplification group, for which the
cumulative 5-year survival rate was 41.6%. In conclusion, the results of this
study demonstrated that receptor tyrosine kinase amplification is a prognostic
factor for distant metastasis of oral squamous cell carcinoma, indicating the
necessity of using next-generation sequencing in clinical sequencing.
PMID- 27889931
TI - New use of prescription drugs prior to a cancer diagnosis.
AB - PURPOSE: Cancers often have considerable induction periods. This confers a risk
of reverse causation bias in studies of cancer risk associated with drug use, as
early symptoms of a yet undiagnosed cancer might lead to drug treatment in the
period leading up to the diagnosis. This bias can be alleviated by disregarding
exposure for some time before the cancer diagnosis (lag time). We aimed at
assessing the duration of lag time needed to avoid reverse causation bias.
METHODS: We identified all Danish patients with incident cancer between 2000 and
2012 (n = 353 087). Incident use of prescription drugs was assessed prior to
their cancer diagnosis as well as among population controls (n = 1 402 400).
Analyses were conducted for all cancers and for breast, lung, colon and prostate
cancer individually. Further, analyses were performed for a composite measure of
all incident drug use as well as for nine pre-specified individual drug classes,
representing drug treatment likely to be prescribed for symptoms of the given
cancers. RESULTS: The incidence rate for new drug treatment among cancer cases
was stable around 130 per 1000 persons per month until 6 months prior to cancer
diagnosis where it increased gradually and peaked at 434 in the month immediately
preceding the cancer diagnosis. Considerable variation was observed among
cancers, for example, breast cancer showed almost no such effect. The pre
selected drug classes showed a stronger increase prior to cancer diagnoses than
drugs overall. CONCLUSIONS: Incident use of drugs increases in the months prior
to a cancer diagnosis. To avoid reverse causation, 6 months' lag time would be
sufficient for most drug-cancer associations. (c) 2016 The Authors.
Pharmacoepidemiology and Drug Safety published by John Wiley & Sons Ltd.
PMID- 27889932
TI - Interaction of HEPES buffer with glass-ceramic scaffold: Can HEPES replace TRIS
in SBF?
AB - An international standard (ISO: 23317:2014) exists for the in vitro testing of
inorganic biomaterials in simulated body fluid (SBF). This standard uses TRIS
buffer to maintain neutral pH in SBF, but in our previous paper, we showed that
the interaction of a tested glass-ceramic material with TRIS can produce false
positive results. In this study, we evaluated whether the HEPES buffer, which
also belongs to the group of Good's buffers, would be more suitable for SBF. We
compared its suitability in two media: SBF with HEPES and demineralized water
with HEPES. The tested scaffold (45S5 bioactive glass-based) was exposed to the
media under a static-dynamic arrangement (solutions were replaced on a daily
basis) for 15 days. Leachate samples were collected daily for the analysis of
Ca2+ ions and Si (AAS), (PO4 )3- ions (UV-VIS), and to measure pH. The glass
ceramic scaffold was analyzed by SEM/EDS, XRD, and WD-XRF before and after 0.3,
1, 3, 7, 11, and 15 days of exposure. Our results confirmed the rapid selective
dissolution of the glass-ceramic crystalline phase (Combeite) containing Ca2+
ions due to the presence of HEPES, hydroxyapatite supersaturation being reached
within 24 h in both solutions. These new results suggest that, like TRIS, HEPES
buffer is not suitable for the in vitro testing of highly reactive inorganic
biomaterials (glass, glass-ceramics). The ISO standard for such tests requires
revision, but HEPES is not a viable alternative to TRIS buffer. (c) 2016 Wiley
Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 106B: 143-152, 2018.
PMID- 27889933
TI - Development and field performance of nitrogen use efficient rice lines for
Africa.
AB - Nitrogen (N) fertilizers are a major input cost in rice production, and its
excess application leads to major environmental pollution. Development of rice
varieties with improved nitrogen use efficiency (NUE) is essential for
sustainable agriculture. Here, we report the results of field evaluations of
marker-free transgenic NERICA4 (New Rice for Africa 4) rice lines overexpressing
barley alanine amino transferase (HvAlaAT) under the control of a rice stress
inducible promoter (pOsAnt1). Field evaluations over three growing seasons and
two rice growing ecologies (lowland and upland) revealed that grain yield of
pOsAnt1:HvAlaAT transgenic events was significantly higher than sibling nulls and
wild-type controls under different N application rates. Our field results clearly
demonstrated that this genetic modification can significantly increase the dry
biomass and grain yield compared to controls under limited N supply. Increased
yield in transgenic events was correlated with increased tiller and panicle
number in the field, and evidence of early establishment of a vigorous root
system in hydroponic growth. Our results suggest that expression of the HvAlaAT
gene can improve NUE in rice without causing undesirable growth phenotypes. The
NUE technology described in this article has the potential to significantly
reduce the need for N fertilizer and simultaneously improve food security,
augment farm economics and mitigate greenhouse gas emissions from the rice
ecosystem.
PMID- 27889935
TI - The effects of becoming taller: direct and pleiotropic effects of artificial
selection on plant height in Brassica rapa.
AB - Plant height is an important trait for plant reproductive success. Plant height
is often under pollinator-mediated selection, and has been shown to be correlated
with various other traits. However, few studies have examined the evolutionary
trajectory of plant height under selection and the pleiotropic effects of plant
height evolution. We conducted a bi-directional artificial selection experiment
on plant height with fast cycling Brassica rapa plants to estimate its
heritability and genetic correlations, and to reveal evolutionary responses to
artificial selection on height and various correlated traits. With the divergent
lines obtained through artificial selection, we subsequently conducted pollinator
choice assays and investigated resource limitation of fruit production. We found
that plant height variation is strongly genetically controlled (with a realized
heritability of 41-59%). Thus, plant height can evolve rapidly under phenotypic
selection. In addition, we found remarkable pleiotropic effects in phenology,
morphology, floral scent, color, nectar and leaf glucosinolates. Most traits were
increased in tall-line plants, but flower size, UV reflection and glucosinolates
were decreased, indicating potential trade-offs. Pollinators preferred plants of
the tall selection lines over the short selection lines in both greenhouse
experiments with bumblebees and field experiment with natural pollinators. We did
not detect any differences in resource limitation between plants of the different
selection lines. Overall, our study predicts that increased height should evolve
under positive pollinator-mediated directional selection with potential trade
offs in floral signals and herbivore defense.
PMID- 27889934
TI - Vascular cell transcriptomic changes to exercise training differ directionally
along and between skeletal muscle arteriolar trees.
AB - EXT-induced arteriolar adaptations in skeletal muscle are heterogeneous because
of spatial variations in muscle fiber type composition and fiber recruitment
patterns during exercise. The purpose of this report is to summarize a series of
experiments conducted to test the hypothesis that changes in vascular gene
expression are signaled by alterations in shear stress resulting from increases
in blood flow, muscle fiber type composition, and fiber recruitment patterns. We
also report results from a follow-up study of Ankrd23, one gene whose expression
was changed by EXT. We expected to see differences in magnitude of changes in
gene expression along arteriolar trees and between/among arteriolar trees but
similar directional changes. However, transcriptional profiles of
arterioles/arteries from OLETF rats exposed to END or SIT reveal that EXT does
not lead to similar directional changes in the transcriptome among arteriolar
trees of different skeletal muscles or along arteriolar trees within a particular
muscle. END caused the most changes in gene expression in 2A arterioles of soleus
and white gastrocnemius with little to no changes in the FAs. Ingenuity Pathway
Analysis across vessels revealed significant changes in gene expression in 18
pathways. EXT increased expression of some genes (Shc1, desert hedgehog protein
(Dhh), adenylate cyclase 4 (Adcy4), G protein-binding protein, alpha (Gnat1), and
Bcl2l1) in all arterioles examined, but decreased expression of ubiquitin D (Ubd)
and cAMP response element modulator (Crem). Many contractile and/or structural
protein genes were increased by SIT in the gastrocnemius FA, but the same genes
exhibited decreased expression in red gastrocnemius arterioles. Ankrd23 mRNA
levels increased with increasing branch order in the gastrocnemius arteriolar
tree and were increased 19-fold in gastrocnemius muscle FA by SIT. Follow-up
experiments indicate that Ankrd23 mRNA level was increased 14-fold in cannulated
gastrocnemius FA when intraluminal pressure was increased from 90 and 180 cm H2O
for 4 hours. Also, Ankrd23-/- mice exhibit limited ability to form collateral
arteries following femoral artery occlusion compared to WT mice (angioscore
WT=0.18+/-0.03; Ankrd23-/- =0.04+/-0.01). Further research will be required to
determine whether Ankrd23 plays an important role in mechanically induced
vascular remodeling of the arterial tree in skeletal muscle.
PMID- 27889936
TI - Abnormal heavy/light chain ratio after treatment is associated with shorter
survival in patients with IgA myeloma.
AB - Immunoglobulin (Ig) heavy/light chain (HLC) assays enable the separate
quantification of the different light chain types of each Ig class. We
retrospectively analyzed the correlation of heavy/light chain ratio (HLCR) with
clinical status and its impact on outcome in 120 patients with multiple myeloma
(MM). Abnormal HLCR was seen more frequently in patients with poorer myeloma
response, and it appeared to be more sensitive for detecting clonality in IgA
myeloma compared to IgG myeloma after treatment. Among the 85 patients who
achieved >=VGPR, the patients remained HLCR abnormal were showed significantly
shorter overall survival (OS) compared to those achieving a normal HLCR (not
reached vs 55.5 months, P = 0.032). This correlation was seen in IgA myeloma
patients (not reached vs 30.1 months, P = 0.014), but not in IgG myeloma patients
when patients were analyzed separately. Univariate and multivariate analysis of
factors that may affect survival identified abnormal HLCR at the best response as
the only independent risk factor (hazard ratio, 4.7; 95% confidence interval, 1.4
- 15.26; P = 0.012) for shorter OS in this subset of patients. This study
highlighted the HLC assay as a prognostic predictor in patients with IgA myeloma.
PMID- 27889938
TI - Respirology and the Asia-Pacific Century.
PMID- 27889937
TI - Household-level risk factors for secondary influenza-like illness in a rural area
of Bangladesh.
AB - OBJECTIVE: To describe household-level risk factors for secondary influenza-like
illness (ILI), an important public health concern in the low-income population of
Bangladesh. METHODS: Secondary analysis of control participants in a randomised
controlled trial evaluating the effect of handwashing to prevent household ILI
transmission. We recruited index-case patients with ILI - fever (<5 years);
fever, cough or sore throat (>=5 years) - from health facilities, collected
information on household factors and conducted syndromic surveillance among
household contacts for 10 days after resolution of index-case patients' symptoms.
We evaluated the associations between household factors at baseline and secondary
ILI among household contacts using negative binomial regression, accounting for
clustering by household. RESULTS: Our sample was 1491 household contacts of 184
index-case patients. Seventy-one percentage reported that smoking occurred in
their home, 27% shared a latrine with one other household and 36% shared a
latrine with >1 other household. A total of 114 household contacts (7.6%) had
symptoms of ILI during follow-up. Smoking in the home (RRadj 1.9, 95% CI: 1.2,
3.0) and sharing a latrine with one household (RRadj 2.1, 95% CI: 1.2, 3.6) or >1
household (RRadj 3.1, 95% CI: 1.8-5.2) were independently associated with
increased risk of secondary ILI. CONCLUSION: Tobacco use in homes could increase
respiratory illness in Bangladesh. The mechanism between use of shared latrines
and household ILI transmission is not clear. It is possible that respiratory
pathogens could be transmitted through faecal contact or contaminated fomites in
shared latrines.
PMID- 27889939
TI - The performance of CPC/PLGA and Bio-Oss(r) for bone regeneration in healthy and
osteoporotic rats.
AB - The current study aimed to evaluate the biological performance of calcium
phosphate cement (CPC) with polylactic-co-glycolic acid (PLGA) micro-particles
and Bio-Oss(r) in ovariectomized and healthy rats. Thirty-two Wistar rats
received alternating experimental CPC/PLGA and Bio-Oss(r) in femoral condyle
defects in both femurs 6 weeks after ovariectomy (OVX, n = 16) or sham operation
(SHAM, n = 16). Six weeks after OVX or SHAM surgery, bone morphology was analyzed
by in vivo computed tomography (CT) to confirm osteoporotic bone condition.
Analysis of bone formation and material remnants at 4 and 12 weeks after material
implantation was performed by micro-CT, descriptive histology, histomorphometry
and bone dynamics by fluorochrome labeling. The in vivo CT scans showed effective
induction of osteoporotic bone condition by ovariectomy. Our data showed CPC/PLGA
degraded relatively faster and more steadily. However, Bio-Oss(r) had
significantly less material remnants and showed significantly more bone formation
compared to CPC/PLGA. Overall, our data showed relatively high amounts of
CPC/PLGA for each time point, hampering new bone formation within the defect
area. Osteoporotic conditions proved to significantly affect degradation rates,
but did not significantly influence bone formation. An osteoporotic bone
condition affects degradation of CPC/PLGA, which is vital information for its
potential use in osteoporotic conditions. (c) 2016 Wiley Periodicals, Inc. J
Biomed Mater Res Part B: Appl Biomater, 106B: 131-142, 2018.
PMID- 27889941
TI - An Adductomic Approach to Identify Electrophiles In Vivo.
AB - Human beings are exposed to many reactive electrophiles, both formed endogenously
and from exogenous exposures. Such compounds could react with cellular
biomolecules and form stable reaction products, adducts, at nucleophilic sites in
proteins and DNA, constituting a risk for toxic effects. Adductomic approaches
aim to study the totality of adducts, to specific biomolecules, by mass
spectrometric screening. This Mini-Review focuses on the development and
application of an adductomic approach for the screening of unknown adducts to N
terminal valine (Val) in haemoglobin (Hb) by liquid chromatography tandem mass
spectrometry (LC-MS/MS). The approach is based on the FIRE procedure, a modified
Edman procedure for the analysis of adducts to N-terminal Val in Hb by LC-MS/MS.
In the first application of the approach, samples from 12 smokers/non-smokers
were screened for Hb adducts, and six previously identified adducts and 20
unknown adducts were detected. To confirm the observation of the detected unknown
adducts, targeted screenings were performed in larger sets of blood samples (n =
50-120) from human cohorts. The majority of the previously detected unknown
adducts was found in all analysed samples, with large interindividual variations
in adduct levels. For structural identification of unknown adducts, a strategy
using adductome LC-MS/MS data was formulated and applied. Six identified adducts
correspond to ethylation and the precursor electrophiles ethyl vinyl ketone,
glyoxal, methylglyoxal, acrylic acid and 1-octen-3-one. The observation of these
adducts in human blood motivate further studies to evaluate possible
contributions to health risks, as well as their potential as biomarkers of
exposure.
PMID- 27889942
TI - SWORD: a sharp performance and activity tool for inflammatory bowel disease
surgeons with a blunt message.
PMID- 27889940
TI - Sequencing of Australian wild rice genomes reveals ancestral relationships with
domesticated rice.
AB - The related A genome species of the Oryza genus are the effective gene pool for
rice. Here, we report draft genomes for two Australian wild A genome taxa: O.
rufipogon-like population, referred to as Taxon A, and O. meridionalis-like
population, referred to as Taxon B. These two taxa were sequenced and assembled
by integration of short- and long-read next-generation sequencing (NGS) data to
create a genomic platform for a wider rice gene pool. Here, we report that,
despite the distinct chloroplast genome, the nuclear genome of the Australian
Taxon A has a sequence that is much closer to that of domesticated rice (O.
sativa) than to the other Australian wild populations. Analysis of 4643 genes in
the A genome clade showed that the Australian annual, O. meridionalis, and
related perennial taxa have the most divergent (around 3 million years) genome
sequences relative to domesticated rice. A test for admixture showed possible
introgression into the Australian Taxon A (diverged around 1.6 million years ago)
especially from the wild indica/O. nivara clade in Asia. These results
demonstrate that northern Australia may be the centre of diversity of the A
genome Oryza and suggest the possibility that this might also be the centre of
origin of this group and represent an important resource for rice improvement.
PMID- 27889943
TI - A clinicopathological study of the oral lesions of Cowden disease.
AB - BACKGROUND: Cowden disease is a multisystemic cancer predisposition disorder,
inherited in an autosomal dominant pattern. Cutaneous and visceral lesions are
either malignant tumours or are believed to represent hamartomatous growths.
Mucocutaneous lesions are present on almost 100% of affected individuals.
Nonetheless, some authors consider that the mucocutaneous manifestations of
Cowden disease are, in reality, viral warts at distinct steps of evolution. There
are only a few studies regarding the oral manifestations of Cowden disease.
OBJECTIVES: To study the oral manifestations of Cowden disease in nine patients
clinically and histopathologically. METHODS: The oral mucosal aspects of nine
patients with clinical diagnosis of Cowden disease were photographed, and
biopsies were taken from typical lesions. RESULTS: All biopsied lesions depicted
histopathological aspects suggestive of viral warts at distinct steps of
development: incipient, well established and in regression. CONCLUSION: Our
findings seem to reinforce the poorly understood hypothesis that the
mucocutaneous manifestations of Cowden disease are in reality viral warts.
Additional studies are needed to explain why a syndrome caused by the mutation of
a tumour suppression gene may cause propensity to warts.
PMID- 27889944
TI - Intramembrane proteases as drug targets.
AB - Proteases are considered attractive drug targets. Various drugs targeting
classical, soluble proteases have been approved for treatment of human disease.
Intramembrane proteases (IMPs) are a more recently discovered group of
proteolytic enzymes. They are embedded in lipid bilayers and their active sites
are located in the plane of a membrane. All four mechanistic families of IMPs
have been linked to disease, but currently, no drugs against IMPs have entered
the market. In this review, I will outline the function of IMPs with a focus on
the ones involved in human disease, which includes Alzheimer's disease, cancer,
and infectious diseases by microorganisms. Inhibitors of IMPs are known for all
mechanistic classes, but are not yet very potent or selective - aside from those
targeting gamma-secretase. I will here describe the different features of IMP
inhibitors and discuss a list of issues that need attention in the near future in
order to improve the drug development for IMPs.
PMID- 27889945
TI - Social media in colorectal surgery.
AB - The engagement of social media in healthcare continues to expand. For members of
the colorectal community, social media has already made a significant impact on
practice, education and patient care. The applications are unique such that they
provide a platform for instant communication and information sharing with other
users worldwide. The purpose of this article is to provide an overview of how
social media has the potential to change clinical practice, training, research
and patient care in colorectal surgery.
PMID- 27889947
TI - Pathological Findings of NASH and NAFLD: for Guidebook of NASH and NAFLD, 2015:
The Japan Society of Hepatology.
AB - Nonalcoholic fatty liver disease (NAFLD) is an increasingly common chronic liver
disease worldwide. NAFLD comprises a variety of clinical and histopathological
changes that can be broadly divided into nonalcoholic fatty liver (NAFL, simple
steatosis) and nonalcoholic steatohepatitis (NASH). The differential diagnosis
between NAFL and NASH is important because NASH is a more advanced form. This
diagnosis therefore determines the prognosis and therapeutic management. At
present the interpretation of NASH is made based on the histopathological
features of steatohepatitis, i.e. 'steatosis', 'lobular inflammation', hepatocyte
'ballooning, 'Mallory-Denk bodies' and 'fibrosis'. Here, we summarize the
pathological findings guidelines for NASH as it was already published in 2015 in
Japanese in the clinical guidebook organized by the Japan Society of Hepatology.
PMID- 27889946
TI - Combined fibrinogen and neutrophil-lymphocyte ratio as a prognostic marker of
advanced esophageal squamous cell carcinoma.
AB - Patients with advanced esophageal squamous cell carcinoma (ESCC) is received
chemoradiotherapy or chemotherapy for clinical management. However, it is
difficult to predict tumor response and prognosis using blood markers before
starting treatments. The purpose of this study was to investigate the pre
treatment plasma fibrinogen and neutrophil-lymphocyte ratio (NLR) in patients
with advanced ESCC treated with chemoradiotherapy or chemotherapy, and to assess
the clinical utility of a combined score using these blood markers, named as the
F-NLR (fibrinogen and NLR) score, as a predictor of tumor response and prognosis.
A total of 98 advanced ESCC patients, treated with chemoradiotherapy or
chemotherapy, were classified into three groups: F-NLR score of 2, having both
hyperfibrinogenemia (>400 mg/dL) and high NLR (>3.0), score of 1, one of these
hematological abnormalities, and score of 0, having neither hyperfibrinogenemia
nor high NLR. Fibrinogen and NLR were significantly higher in the progressive
disease (PD) group than the non-PD group (P = 0.0419, and P = 0.0001,
respectively). A significantly higher F-NLR score was found in the PD group than
the non-PD group (P = 0.0140). Overall survival was significantly lower in
patients with an F-NLR score of 2 than in those with an F-NLR score of 0 or 1 (P
< 0.0001). Multivariate analysis showed that the F-NLR score was one of the
independent prognostic factors (P = 0.0081). Our study demonstrates that the F
NLR score is promising as a predictive marker for therapeutic effects and
prognosis in patients with advanced ESCC.
PMID- 27889948
TI - A new sequence data set of SSU rRNA gene for Scleractinia and its phylogenetic
and ecological applications.
AB - Scleractinian corals (i.e. hard corals) play a fundamental role in building and
maintaining coral reefs, one of the most diverse ecosystems on Earth.
Nevertheless, their phylogenies remain largely unresolved and little is known
about dispersal and survival of their planktonic larval phase. The small subunit
ribosomal RNA (SSU rRNA) is a commonly used gene for DNA barcoding in several
metazoans, and small variable regions of SSU rRNA are widely adopted as barcode
marker to investigate marine plankton community structure worldwide. Here, we
provide a large sequence data set of the complete SSU rRNA gene from 298
specimens, representing all known extant reef coral families and a total of 106
genera. The secondary structure was extremely conserved within the order with few
exceptions due to insertions or deletions occurring in the variable regions.
Remarkable differences in SSU rRNA length and base composition were detected
between and within acroporids (Acropora, Montipora, Isopora and Alveopora)
compared to other corals. The V4 and V9 regions seem to be promising barcode loci
because variation at commonly used barcode primer binding sites was extremely
low, while their levels of divergence allowed families and genera to be
distinguished. A time-calibrated phylogeny of Scleractinia is provided, and
mutation rate heterogeneity is demonstrated across main lineages. The use of this
data set as a valuable reference for investigating aspects of ecology, biology,
molecular taxonomy and evolution of scleractinian corals is discussed.
PMID- 27889949
TI - Undercarboxylated osteocalcin can predict insulin secretion ability in type 2
diabetes.
AB - It has been reported that there is an intimate relationship between diabetes and
bone metabolism including undercarboxylated osteocalcin (ucOC). In contrast, data
on the relationship between ucOC and glucose metabolism are limited in type 2
diabetes. We recruited 50 Japanese patients with type 2 diabetes, and examined
the association with ucOC on the insulin secretion, evaluated by both glucagon
loading test and meal tolerance test. UcOC was shown to correlate positively with
the change in C-peptide response in the glucagon loading test and C-peptide
response after eating a meal (P = 0.025, P = 0.047). Therefore, ucOC reflects the
reserve capacity of beta-cell function, such as the bolus insulin secretion
ability in patients with type 2 diabetes.
PMID- 27889950
TI - Retinopathy: A sign of cerebral small vessel disease in diabetes?
AB - Retinal microvascular abnormalities may be useful predictive imaging marker for
cerebral small vessel disease. Learning from retinal signs may provide clues to
understanding pathophysiology of lacunar stroke and subclinical cerebrovascular
diseases in diabetic patients.
PMID- 27889951
TI - Performance of the High-dimensional Propensity Score in a Nordic Healthcare
Model.
AB - The high-dimensional propensity score (hdPS) is increasingly used as a tool to
adjust for confounding in observational studies of drug effects. It was developed
within very rich data sources, for example the American claims databases. Thus,
it is unknown whether it can be applied in settings that provide little more than
primary care prescriptions and diagnoses from hospital contacts, as in the Nordic
data sources. Our objective was to evaluate the performance of hdPS under such
circumstances. As our case, we chose the association between use of selective
cyclooxygenase-2 inhibitors (coxibs) and traditional NSAIDs (tNSAIDs) and the
risk of upper GI bleeding. Using Danish health registries, we identified 110,285
incident users of coxibs and 575,980 incident users of tNSAIDs and followed them
for 90 days with respect to the occurrence of serious upper GI bleeding. Data
were analysed using Cox regression, estimating the coxib/tNSAID hazard ratio
(HR). Values below 1.00 indicate a lower estimated hazard with coxibs. We build
hdPS models with inclusion of up to 500 diagnosis and 500 prescription drug
covariates. The crude HR was 1.76 (95% confidence interval: 1.57-1.97),
decreasing to 1.12 (1.00-1.26) and 0.99 (0.88-1.12) after adjustment for age and
sex and 11 pre-selected confounders, respectively. A hdPS with inclusion of 500
most prevalent diagnoses and 500 most prevalent prescription drugs resulted in a
HR of 0.89 (0.77-1.02). These estimates were consistently lower when the analysis
was restricted to non-users of low-dose aspirin. The estimate based on 500
diagnoses alone was higher than an estimate based on 500 prescription drugs alone
(0.99 versus 0.91). We conclude that hdPS does work within a Nordic setting that
prescription data are more effective than diagnosis data in achieving confounder
adjustment and that hdPS seems more effective than simple confounder adjustment
by variables selected on the basis of clinical reasoning.
PMID- 27889952
TI - Effects of different doses of 2,3-dimercaptosuccinic acid-modified Fe2 O3
nanoparticles on intercalated discs in engineered cardiac tissues.
AB - Although iron oxide nanoparticles (IRONs) were applied in clinical magnetic
resonance imaging in vivo and magnetic tissue engineering in vitro widely, the
underlying effects of IRONs on the development of cardiomyocytes especially the
intercellular junctions, intercalated discs (IDs), remain an unknown issue. Given
the critical role of three-dimensional (3D) engineered cardiac tissues (ECTs) in
evaluation of nanoparticles toxicology, it remained necessary to understand the
effects of IRONs on IDs assembly of cardiomyocytes in 3D environment. In this
study, we first reconstituted collagen/Matrigel based ECTs in vitro and prepared
IRONs with 2,3-dimercaptosuccinic acid (DMSA-IRONs). We found that the
internalization of DMSA-IRONs by cardiac cells in dose-dependent manner was not
associated with the cell distribution in 3D environment by determination of
Prussian blue staining and transmission electronic microscopy. Significantly,
through determination of western blotting and immunofluorescence of connexin 43,
N-cadherin, desmoplakin, and plakoglobin, DMSA-IRONs enhanced the assembly of gap
junctions, decreased mechanical junctions (adherens junctions and desmosomes) of
cardiac cells but not in dose-dependent manner in ECTs at seventh day. In
addition, DMSA-IRONs increased the vesicles secretion of cardiac cells in ECTs
apparently compared to control groups. Overall, we conclude that the
internalization of DMSA-IRONs by cardiac cells in dose-dependent manner enhanced
the assembly of electrochemical junctions and decreased the mechanical related
microstructures. (c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl
Biomater, 106B: 121-130, 2018.
PMID- 27889954
TI - Invasive pulmonary aspergillosis in a patient with metastatic non-small cell lung
cancer after treatment with gefitinib.
PMID- 27889953
TI - Ecology under lake ice.
AB - Winter conditions are rapidly changing in temperate ecosystems, particularly for
those that experience periods of snow and ice cover. Relatively little is known
of winter ecology in these systems, due to a historical research focus on summer
'growing seasons'. We executed the first global quantitative synthesis on under
ice lake ecology, including 36 abiotic and biotic variables from 42 research
groups and 101 lakes, examining seasonal differences and connections as well as
how seasonal differences vary with geophysical factors. Plankton were more
abundant under ice than expected; mean winter values were 43.2% of summer values
for chlorophyll a, 15.8% of summer phytoplankton biovolume and 25.3% of summer
zooplankton density. Dissolved nitrogen concentrations were typically higher
during winter, and these differences were exaggerated in smaller lakes. Lake size
also influenced winter-summer patterns for dissolved organic carbon (DOC), with
higher winter DOC in smaller lakes. At coarse levels of taxonomic aggregation,
phytoplankton and zooplankton community composition showed few systematic
differences between seasons, although literature suggests that seasonal
differences are frequently lake-specific, species-specific, or occur at the level
of functional group. Within the subset of lakes that had longer time series,
winter influenced the subsequent summer for some nutrient variables and
zooplankton biomass.
PMID- 27889955
TI - Successful desensitization of pemetrexed-induced anaphylaxis in a patient with
malignant mesothelioma.
PMID- 27889958
TI - ACE2 and the Homolog Collectrin in the Modulation of Nitric Oxide and Oxidative
Stress in Blood Pressure Homeostasis and Vascular Injury.
AB - SIGNIFICANCE: Hypertension is the leading risk factor causing mortality and
morbidity worldwide. Angiotensin (Ang) II, the most active metabolite of the
renin-angiotensin system, plays an outstanding role in the pathogenesis of
hypertension and vascular injury. Activation of angiotensin converting enzyme 2
(ACE2) has shown to attenuate devastating effects of Ang II in the cardiovascular
system by reducing Ang II degradation and increasing Ang-(1-7) generation leading
to Mas receptor activation. Recent Advances: Activation of the ACE2/Ang-(1-7)/Mas
receptor axis reduces hypertension and improves vascular injury mainly through an
increased nitric oxide (NO) bioavailability and decreased reactive oxygen species
production. Recent studies reported that shedding of the enzymatically active
ectodomain of ACE2 from the cell surface seems to regulate its activity and
serves as an interorgan communicator in cardiovascular disease. In addition,
collectrin, an ACE2 homolog with no catalytic activity, regulates blood pressure
through an NO-dependent mechanism. CRITICAL ISSUES: Large body of experimental
data confirmed sustained beneficial effects of ACE2/Ang-(1-7)/Mas receptor axis
activation on hypertension and vascular injury. Experimental studies also suggest
that activation of collectrin might be beneficial in hypertension and endothelial
dysfunction. Their role in clinical hypertension is unclear as selective and
reliable activators of both axes are not yet available. FUTURE DIRECTIONS: This
review will highlight the results of recent research progress that illustrate the
role of both ACE and collectrin in the modulation of NO and oxidative stress in
blood pressure homeostasis and vascular injury, providing evidence for the
potential therapeutic application of ACE2 and collectrin in hypertension and
vascular disease. Antioxid. Redox Signal. 26, 645-659.
PMID- 27889959
TI - Fumaric Acid Esters Attenuate Secondary Degeneration after Spinal Cord Injury.
AB - Spinal cord injury (SCI) causes permanent changes in motor, sensory, and
autonomic functions. Unfortunately, there are no stable cures and current
treatments include surgical decompression, methylprednisolone, and hemodynamic
control that lead to modest function recovery. Fumaric acid esters (FAEs) were
firstly used in the management of an immunological skin disorder, such as
psoriasis. Because of their potent anti-inflammatory effects, they have been
introduced in multiple sclerosis (MS). Investigation has shown not only an anti
inflammatory, but also supposed neuroprotective mechanism of action. The goal of
the present work was to evaluate the potential beneficial effects of dimethyl
fumarate (DMF) and monomethyl fumarate (MMF) in a mouse model of traumatic SCI.
SCI was produced by extradural compression for 1 min of the spinal cord at the T6
7 level using an aneurysm clip, and DMF and MMF (both at 30 mg/kg) were
administered by oral gavage to the mice 1 and 6 h after SCI. For locomotor
activity, study mice were treated with FAEs once daily for 10 days. We observed
that mice treated with DMF exhibited a significant and sustained recovery of
motor function. FAEs significantly reduced the severity of inflammation by a
modulation of pro-inflammatory cytokines and apoptosis factors, and increased
neutrophic factors such as anti-brain-derived neurotrophic factor (BDNF), anti
glial cell-derived neurotrophic factor (GDNF), and neurotrophin-3 (NT3). Our
results showed important protective effects of DMF in an animal model of SCI,
considerably improving recovery of motor function, possibly by reducing the
secondary inflammation and tissue injury that characterize this model. DMF may
constitute a promising target for future SCI therapies.
PMID- 27889957
TI - Acute or Delayed Treatment with Anatabine Improves Spatial Memory and Reduces
Pathological Sequelae at Late Time-Points after Repetitive Mild Traumatic Brain
Injury.
AB - Traumatic brain injury (TBI) has chronic and long-term consequences for which
there are currently no approved pharmacological treatments. We have previously
characterized the chronic neurobehavioral and pathological sequelae of a mouse
model of repetitive mild TBI (r-mTBI) through to 2 years post-TBI. Despite the
mild nature of the initial insult, secondary injury processes are initiated that
involve neuroinflammatory and neurodegenerative pathways persisting and
progressing for weeks and months post-injury and providing a potential window of
opportunity for therapeutic intervention. In this study we examined the efficacy
of a novel anti-inflammatory compound, anatabine, in modifying outcome after TBI.
Our model of r-mTBI involves a series of five mild impacts (midline impact at 5
m/sec, 1 mm strike depth, 200 msec dwell time) with an interval of 48 h.
Anatabine treatment was administered starting 30 min after injury and was
delivered continuously through drinking water. At 6 months after TBI, anatabine
treatment improved spatial memory in injured mice. Nine months after TBI, a
cohort of mice was euthanized for pathological analysis that revealed reductions
in astroglial (glial fibrillary acid protein, GFAP) and microglial (ionized
calcium-binding adapter molecule 1, IBA1) responses in treated, injured animals.
Treatments for the remaining mice were then crossed-over to assess the effects of
late treatment administration and the effects of treatment termination. Nine
months following crossover the remaining mice showed no effect of injury on their
spatial memory, and whereas pathological analysis showed improvements in mice
that had received delayed treatment, corpus callosum IBA1 increased in post
crossover placebo r-mTBI mice. These data demonstrate efficacy of both early and
late initiation of treatment with anatabine in improving long term behavioral and
pathology outcomes after mild TBI. Future studies will characterize the treatment
window, the time course of treatment needed, and the dose needed to achieve
therapeutic levels of anatabine in humans after injury.
PMID- 27889960
TI - Current Management in Transurethral Therapy of Benign Prostatic Obstruction in
Patients on Oral Anticoagulation: A Worldwide Questionnaire.
AB - OBJECTIVE: To assess the current treatment of benign prostatic obstruction (BPO)
in patients on ongoing oral anticoagulation (OA). METHODS: An Internet survey was
sent to all active members of the Endourological Society. The survey contained 32
questions regarding transurethral treatment of BPO in patients on ongoing OA,
different techniques, and arising complications. RESULTS: Out of all members (n =
2000) of the Endourological Society, 133 participated in our survey. Eighty-eight
percent of the participants indicated to perform transurethral therapy of BPO on
ongoing OA, whereas 60% of this group temporarily pause the OA during the
intervention. Sixteen percent perform >30 transurethral interventions of BPO on
ongoing OA per year. Most operations are performed under continuation of aspirin
(58.2%). The continuation of adenosine diphosphate (ADP)-receptor inhibitors
(22.1%), vitamin K antagonists (18.9%), factor Xa inhibitors (15.6%), or the
combination of two oral anticoagulants (16.4%) is continued less often. The
decision for the operation on ongoing OA is usually approved by the cardiologist
(58%) or it cannot be stopped in case of emergency (29%). GreenLight laser (39%)
was the most frequently used technique on ongoing OA, followed by monopolar or
bipolar transurethral resection of the prostate (35%) as well as other sources of
laser [holmium (12%), thulium (12%), diode laser (2%)]. Although OA was continued
during the interventions, cardiovascular complications were observed in 31.6%.
CONCLUSIONS: Current practice shows that the majority of a representative group
of the Endourological Society members perform transurethral therapy of BPO in
patients on ongoing OA. The incidence of perioperative complications under
transurethral therapy of BPO on ongoing OA is lower than previously assumed.
PMID- 27889961
TI - Industry sponsorship and positive outcome in vascular and endovascular randomised
trials.
PMID- 27889973
TI - First aid and emergencies in nursing.
AB - This article will look at first aid and examine whether and where first aid may
be applied to present-day nursing theory and practice.
PMID- 27889956
TI - Red Blood Cell Function and Dysfunction: Redox Regulation, Nitric Oxide
Metabolism, Anemia.
AB - SIGNIFICANCE: Recent clinical evidence identified anemia to be correlated with
severe complications of cardiovascular disease (CVD) such as bleeding,
thromboembolic events, stroke, hypertension, arrhythmias, and inflammation,
particularly in elderly patients. The underlying mechanisms of these
complications are largely unidentified. Recent Advances: Previously, red blood
cells (RBCs) were considered exclusively as transporters of oxygen and nutrients
to the tissues. More recent experimental evidence indicates that RBCs are
important interorgan communication systems with additional functions, including
participation in control of systemic nitric oxide metabolism, redox regulation,
blood rheology, and viscosity. In this article, we aim to revise and discuss the
potential impact of these noncanonical functions of RBCs and their dysfunction in
the cardiovascular system and in anemia. CRITICAL ISSUES: The mechanistic links
between changes of RBC functional properties and cardiovascular complications
related to anemia have not been untangled so far. FUTURE DIRECTIONS: To allow a
better understanding of the complications associated with anemia in CVD, basic
and translational science studies should be focused on identifying the role of
noncanonical functions of RBCs in the cardiovascular system and on defining
intrinsic and/or systemic dysfunction of RBCs in anemia and its relationship to
CVD both in animal models and clinical settings. Antioxid. Redox Signal. 26, 718
742.
PMID- 27889975
TI - [Prof. Edit Glaz has turned 90].
PMID- 27889976
TI - [Academician Prof. Dr. Jozsef Tigyi, biophysicist (1926-2016)].
PMID- 27889974
TI - [Vitamin D metabolism and signaling in human hepatocellular carcinoma and
surrounding non-tumorous liver].
AB - INTRODUCTION: 1,25-Dihydroxy vitamin D3 mediates antitumor effects in
hepatocellular carcinoma. AIM: We examined mRNA and protein expression
differences in 1,25-Dihydroxy vitamin D3-inactivating CYP24A1, mRNA of activating
CYP27B1 enzymes, and that of VDR between human hepatocellular carcinoma and
surrounding non-tumorous liver. METHODS: Snap-frozen tissues from 13 patients
were studied for mRNA and protein expression of CYP24A1. Paraffin-embedded
tissues from 36 patients were used to study mRNA of VDR and CYP27B1. mRNA
expression was measured by RT-PCR, CYP24A1 protein was detected by
immunohistochemistry. RESULTS: Expression of VDR and CYP27B1 was significantly
lower in hepatocellular carcinoma compared with non-tumorous liver (p<0.05). The
majority of the HCC samples expressed CYP24A1 mRNA, but neither of the non
tumorous liver. The gene activation was followed by CYP24A1 protein synthesis.
CONCLUSIONS: The presence of CYP24A1 mRNA and the reduced expression of VDR and
CYP27B1 mRNA in human hepatocellular carcinoma samples indicate decreased
bioavailability of 1,25-Dihydroxy vitamin D3, providing an escape mechanism from
the anti-tumor effect. Orv. Hetil., 2016, 157(48), 1910-1918.
PMID- 27889979
TI - [Ancient medical treatments for liver disease].
PMID- 27889978
TI - [Analysis of plantar pressure patterns among obese population].
AB - INTRODUCTION: Although the role of body weight on foot health and load has been
widely documented in research, the effect of the extra load due to body weight on
plantar pressure characteristics is not well known. AIM: The aim of this study
was to evaluate the impact of obesity on plantar pressure patterns among the
working-age population. METHOD: 180 participants were involved. Two groups were
evaluated according to body mass index categories regarding eight regions of the
plantar area, focusing on the following parameters: contact area, maximum
pressure and peak pressure. RESULTS: Compared with non-obese subjects, the peak
pressure was the highest on the midfoot (p<0.001) and the forefoot (p<0.001).
Regarding the maximum force, significant statistical difference was detected on
the toes (p<0.001), with a value lower among the obese group. The contact area on
the total foot and the midfoot was lower among the non-obese subjects (p<0.001).
CONCLUSIONS: Loading is greatly increasing on the whole plantar area, especially
at the midfoot and the forefoot region. Orv. Hetil., 2016, 157(48), 1919-1925.
PMID- 27889980
TI - [The importance of "free" nucleic acids in the non-invasive diagnostics].
AB - There is a great interest to determine the physiological role of "free" nucleic
acids, and to use them in the clinical diagnostics. These could be DNA, mRNA,
microRNA and long non-coding RNA molecules, they are in the body fluids, like
serum, tear, saliva, etc. Their exact role in the normal and pathological
physiological processes is still in the focus of the research, while their use in
the diagnostics is becoming more and more important. The use of "free" DNA in the
non-invasive prenatal diagnosis is the first clinical application of the new
generation sequencers, these methods are able to reach 99.9% specificity and
sensitivity for the detection of the most common trisomies. There are promising
results in their use in the diagnosis and classification of heart and
cardiovascular diseases. In oncology the possibility to use the "liquid biopsy"
captured the attention of not only researchers and clinicians, but the whole
community. There is not enough data until today for the clinical utility and
applicability of these methods. Orv. Hetil., 2016, 157(48), 1900-1909.
PMID- 27889981
TI - Chimeric Trojan Protein Insertion in Lentiviral Membranes Makes Lentiviruses
Susceptible to Neutralization by Anti-Tetanus Serum Antibodies.
AB - This study describes the initial testing of a novel strategy for neutralization
of lentiviruses using the fundamental biology of enveloped viruses' assembly and
budding. In the field of gene therapy, viral vector surface proteins have been
manipulated in order to redirect host cell specificity by alteration of pseudo
types. This study tested whether known viral pseudo-typing proteins or surface
proteins known to be recruited to the human immunodeficiency virus (HIV) envelope
could be engineered to carry neutralizing epitopes from another microorganism
onto the lentiviral surface. The results identify ICAM1 as a novel vehicle for
lentiviral pseudo-typing. Importantly, the study shows that in a model lentiviral
system, ICAM1 can be engineered in chimeric form to result in expression of a
fragment of the tetanus toxoid on the viral membrane and that these viruses can
then be neutralized by human serum antibodies protective against tetanus. This
raises the possibility of delivering chimeric antigens as a gene therapy in HIV
infected patients.
PMID- 27889985
TI - Night nurse practitioners are not 'mini-doctors'.
AB - It was felt that certain procedures that are currently being performed by junior
doctors at night could be performed equally well, if not better, by appropriately
educated night nurse practitioners. This article describes an innovative
programme that was developed to produce accountable nurse practitioners.
PMID- 27889987
TI - Hearing impairment in elderly hospital residents.
AB - The provision of high quality nursing care for dependent elderly people is a
daunting challenge to the profession. This survey focuses on the frequently
neglected area of impaired hearing among elderly hospital residents and evaluates
the service provided by one health board.
PMID- 27889990
TI - Behaviour at the scene of an accident.
AB - This article examines how nurses should behave at the scene of an accident
without the back-up of primary care apparatus and highlights the nurse aid
management of road accidents.
PMID- 27889982
TI - External Validation and Evaluation of Reliability and Validity of the Triple D
Score to Predict Stone-Free Status After Extracorporeal Shockwave Lithotripsy.
AB - OBJECTIVE: The Triple D scoring system is defined as novel and simple nomogram
using the main parameters (skin-to-stone distance, stone density, and volume) to
indicate most appropriate patients for extracorporeal shockwave lithotripsy
(SWL). We aimed to evaluate the accuracy of the Triple D scoring system in
predicting SWL success rates. PATIENTS AND METHODS: In two tertiary academic
centers, charts were retrospectively analyzed of patients who had, between
January 2014 and May 2016, been treated by SWL for radiopaque kidney stones. A
total of 200 patients were enrolled into the study. Parameters were calculated
for each of the three specified variables. Since one point was assigned for any
parameter that was less than the cutoff value, Triple D scores ranged from 0
(worst) to 3 (best). RESULTS: Stone-free status was achieved in 115 patients
(57.5%), and 85 patients had one or more residual fragments (42.5%). Differences
in stone characteristics, including stone location, density, and volume, were
statistically significant in patients whether SWL achieved stone-free status or
not (p < 0.001, p < 0.001, and p < 0.001, respectively). Triple D scores were
significantly higher in patients treated with SWL compared with patients in whom
SWL failed (p < 0.001). Triple D scores of 0, 1, 2, and 3 correlated with stone
free rates of 41.7%, 33.7%, 69.4%, and 97%, respectively. The multivariate
analyses revealed that Triple D score and stone location were identified as
independent factors affecting SWL success (p < 0.001 and p = 0.008,
respectively). The mean number of SWL sessions was significantly higher in
patients with SWL failure (p = 0.003). CONCLUSION: Our study externally validates
that the Triple D scoring system is associated with SWL success in the treatment
of renal and ureteral stones. Further studies are warranted to assess clinical
usefulness and the accuracy of this nomogram in different patient groups.
PMID- 27889992
TI - Extended role of the nurse in ICU.
AB - There is much controversy over the definition of a nurse's extended role. This
article gives a brief overview of the results of a research survey that examined
nurses' attitudes to the practice of extended roles in intensive care units.
PMID- 27889993
TI - Experimental, Theoretical and Biological Activity of a Triazonine- Derivative on
Left Ventricular Pressure.
AB - BACKGROUND: There are data indicating that several azonine-derivatives may exert
effects on some biological systems; however, there is very low information on the
biological activity induced by these compounds on left ventricular pressure.
OBJECTIVE: The aim of this study was to synthesize and evaluate the biological
activity of new triazoninederivative on left ventricular pressure. MATERIAL AND
METHODS: The first stage involved: 1) preparation of two azepine-benzamide
derivatives (Z or E) by reaction of the nitrobenzoyl azide with adrenosterone;
and 2) reaction of (Z)-azepine-benzamide derivative with ethylenediamine to form
the triazonine derivative. The structure of compounds was confirmed by
spectroscopy and spectrometry data. The second stage involved the biologic
activity on left ventricular pressure was evaluated in a model of rat heart
isolated. In addition, some physicochemical parameters were evaluated to
characterize the possible molecules involved in its effect. RESULTS: The results
showed that only the triazonine increased left ventricular pressure via androgen
receptor. CONCLUSIONS: In conclusion, this phenomenon is conditioned by the
functional groups involved in the chemical structure of triazonine derivative and
their interaction with residues of amino acids involved on the androgen receptor
surface.
PMID- 27889994
TI - PD-1/PD-Ls: A New Target for Regulating Immunopathogenesis in Central Nervous
System Disorders.
AB - BACKGROUND: Immune responses including Microglia and macrophage activation and
peripheral immune cells infiltration have been founded in the central nervous
system (CNS) in recent years. Thus, the traditional view of the adult brain as an
immune-privileged organ has been changed. METHODS: A systematic literature search
was performed using Pubmed and Embase electronic databases without any
limitations for a retrospective analysis. RESULTS: The increase a number of
studies indicated that the PD-1(programmed cell death-1)/PD-Ls signal pathway
played an important role in regulating the immunopathogenesis of brain after
injured. The relevant knowledges about PD-1/PD-Ls signal pathway, and potential
effect with immune cells, cerebral ischemia, brain tumors and multiple sclerosis
were reviewed in this paper. CONCLUSION: PD-1/PD-Ls played important roles in
maintaining immunity balance and the development and prognosis of CNS diseases,
but the specific mechanism was still not well understood.
PMID- 27889995
TI - The Roles of the Unique Prolyl Isomerase Pin1 in Cancer-Related Viral and
Bacterial Infections.
AB - Infection is the process of pathogen invasion, as well as the host reaction to
the foreign agents. Proline-directed phosphorylation is a major regulatory
mechanism that regulates the function of fundamental proteins involved in
infection and infection-induced cancer. Recently, the identification of the
phosphorylation-dependent prolyl isomerase Pin1 has uncovered a unique regulatory
signaling mechanism controlling protein conformation and function after
phosphorylation. Pin1 is the only proline isomerase that specifically recognizes
certain Pro-directed Ser/Thr phosphorylation motifs. Pin1 has emerged as a major
regulator of cancerrelated viral and bacterial infections notably via activating
Toll-like receptor signaling and NF-kappaB pathways. This paper will specifically
review recent findings on the role of Pin1 in cancer-related viral and bacterial
infections and also discuss newly discovered Pin1 inhibitors as promising drugs
for the prevention and treatment of viral and bacterial infections and associated
tumorigenesis.
PMID- 27889996
TI - Elevated Microsatellite Alterations at Selected Tetranucleotide Repeats (EMAST)
and Microsatellite Instability in Patients with Colorectal Cancer and Its
Clinical Features.
AB - PURPOSE: Recently, a different type of microsatellite instability (MSI)
instability designated 'elevated microsatellite alterations at selected
tetranucleotide repeats' (EMAST) has been reported in several neoplasms, but its
clinical implications remain unclear. We aimed to determine the relationships
among EMAST, MSI and clinicopathologic characteristics, including oncologic
outcomes, in colorectal cancer (CRC). MATERIALS AND METHODS: We evaluated 100
sporadic CRC cases subjected to surgery using five markers (MYCL1, D9S242,
D20S85, D8S321, and D20S82) for EMAST and the Bethesda panel for MSI status.
Immunohistochemical detection of hMSH3, c-erbB2, EGFR and thymidylate synthase
was performed. Clinical characteristics and prognostic relevance were assessed.
RESULTS: We identified 22 EMAST-positive tumors (22.0%) and 32 MSI-high (MSI-H)
tumors (32.0%). EMAST was more frequent in colon cancer than rectal cancer
(p=0.033), and associated with MSI-H phenotype (p<0.001), low expression of hMSH3
(p=0.004), and overexpression of thymidylate synthase (p=0.006). Among the 38 MSI
L tumors, only one (4.5%) showed EMAST. Long-term oncologic results in terms of
overall and disease-free survival were similar between EMAST and non-EMAST
tumors. CONCLUSION: EMAST is more closely related to MSI-H than MSI-L or MSS
status. The clinical and molecular characteristics of EMAST were distinct in
terms of tumor location, thymidylate synthase expression, MSI status and hMSH3
expression. Our preliminary findings support the utility of EMAST as a new
potential classifier in CRC.
PMID- 27889997
TI - Acute Cystitis Caused by Commensal Neisseria oralis: A Case Report and Review of
the Literature.
AB - BACKGROUND: Neisseria are usually harmless inhabitants of otherwise asymptomatic
persons' upper respiratory mucosal surfaces. METHOD: It is, therefore, expected
that a disturbance in the physiology leads to nongonococcal, non-meningococcal
Neisseria becoming pathogenic. RESULT: We report the case of a diabetic man who
initially presented with nonspecific symptoms and was later found to have
cystitis caused by N. oralis. CONCLUSION: We also review the pertinent literature
and discuss available evidence on pathophysiological mechanisms of infection with
such commensal bacteria.
PMID- 27889998
TI - MicroRNAs and Aging: Biomarkers or Therapeutic Targets?
AB - Understanding the molecular mechanism of aging is of utmost importance to the
scientific communities. To date, various theories have been proposed and many of
them were evaluated as potential targets in the battle against aging. MicroRNAs,
the universal gene expression regulators, were found to be associated with the
aging process as many of them have been linked to biological process associated
with cellular deterioration. In this short report, we briefly review the
contribution of miRNAs to the aging process and offer an opinion as to how the
knowledge of the role of these molecules in aging can be utilized.
PMID- 27889999
TI - Comprehensive Assessment of Compliance with Antimuscarinic Drug Treatment in the
Case of Urge Urinary Incontinence of Older Patients.
AB - AIM: To investigate the heterogeneous factors affecting the stability of patients
older than 60 years in the UI treatment with Antimuscarinics. BACKGROUND: The
prevalence of Urge Incontinence (UI) in older persons reaches 29.3%. The symptoms
of urinary incontinence in older people reduce the health related life quality.
MATERIALS AND METHODS: In 1257 patients over 60 years (857 (68.2%) women -
average age 67.8, 400 (31.8%) men - 71.4), who received AM for one year,
demographic, socio-economic and health parameters were studied. OABq-SF
questionnaires, MOS SF-36, urination diaries, uroflowmetry, income information
from the tax offices and outpatient records were used. RESULT: The compliance to
AM treatment within 6 months was retained in 44.2%, and within the year - 26.8%
of older patients. At least 40% of the total number of patients refused to
continue the treatment for medical reasons. The persons taking Solifenacin (p<=
0.01), Trospium (p<= 0.05), or Darifenacin (p<= 0.05), suffering from severe UI
symptoms (p<= 0.01), and experiencing minor side effects (p<= 0.01), well
informed about UI treatment methods (p<= 0.01) prevailed among the treatment
compliant patients. At least 20.4% of the patients discontinued their treatment
due to economic reasons. The persons with significantly larger annual income (p<=
0.05) and annual medical cost (p<= 0.01) prevailed among the treatment compliant
patients. About 12.2% of the patients stopped their treatment for reasons related
to the social background and psychological status. CONCLUSION: In this
experiment, we found that AM treatment compliance in older patients, in addition
to medical parameters and health conditions, is largely affected by the economic
as well as social, demographic and psychological factors. The study results can
be claimed by practitioners involved in correcting UI symptoms in older people.
PMID- 27890001
TI - Editorial: Inflammasome Complex in Health and Disease: New Pharmacological
Perspectives.
PMID- 27890000
TI - Reproductive Toxicity of T Cells in Early Life: Abnormal Immune Development and
Postnatal Diseases.
AB - Immunity is a balanced status with adequate biological defenses to recognize and
fight "non-self", as well as adequate tolerance to recognize "self". To maintain
this immune homeostasis, a well-organized T cell immune network is required,
which in part depends on the well-controlled development of alternative effector
T cells, with different cytokine repertoires. Recent researches have pointed that
developing fetal T cells network is a remarkably sensitive toxicological target
for adverse factors in early life. Epidemiological and experimental studies
showed an inseparable relationship between T cell developmental toxicity and
immune diseases in adults. Considering that the inflammatory and immune disorders
have become a growing health problem worldwide, increasing attention is now being
paid to the T cell developmental toxicity. We propose that adverse factors may
have programming effects on the crucial functions of immune system during early
life which is critical for fetal T cell development and the establishment of the
distinct T cell repertoires balance. The permanently disturbed intrathymic or
peripheral T cell development may in turn lead to the immune disorders in later
life. In this manuscript, we reviewed how adverse factors affected T cell
development in early-life with the consequence of the immune dysfunction and
immune diseases, and further elucidate the mechanisms. These mechanisms will be
helpful in prevention and treatment of the increased prevalence of immune
diseases by interfering those pathways.
PMID- 27890002
TI - Photosensitizers for Photodynamic Therapy: Photochemistry in the Service of
Oncology.
AB - The combined use of a photosensitizing agent, light and dioxygen for the
treatment of diseases has become known as photodynamic therapy (PDT) and was
first discovered more than one hundred years ago. Over the years, PDT has proven
its potential for the treatment of malignant and non-malignant lesions in
addition to classical cancer therapy in numerous clinical studies, but
application as a routine method is still limited. In this review, the development
of modern PDT since the beginning of the twentieth century is briefly portrayed.
The underlying mechanisms of phototoxicity are explained, and the requirements
for ideal photosensitizers (PS) are underlined. Selected PS are introduced and
examples of some of the many attempts made at the optimization of PDT by
developing new PS with improved chemical and phototoxic properties, are reviewed.
Promising 3rd generation PS are introduced and newer approaches to increasing
tumor selectivity and efficacy of PDT, such as with nanoparticles, are discussed.
PMID- 27890003
TI - Biofilm-mediated Antibiotic-resistant Oral Bacterial Infections: Mechanism and
Combat Strategies.
AB - Oral diseases like dental caries and periodontal disease are directly associated
with the capability of bacteria to form biofilm. Periodontal diseases have been
associated to anaerobic Gram-negative bacteria forming a subgingival plaque
(Porphyromonas gingivalis, Actinobacillus, Prevotella and Fusobacterium). Biofilm
is a complex bacterial community that is highly resistant to antibiotics and
human immunity. Biofilm communities are the causative agents of biological
developments such as dental caries, periodontitis, peri-implantitis and causing
periodontal tissue breakdown. The review recapitulates the latest advancements in
treatment of clinical biofilm infections and scientific investigations, while
these novel anti-biofilm strategies are still in nascent phases of development,
efforts dedicated to these technologies could ultimately lead to anti-biofilm
therapies that are superior to the current antibiotic treatment. This paper
provides a review of the literature focusing on the studies on biofilm in the
oral cavity, formation of dental plaque biofilm, drug resistance of bacterial
biofilm and the antibiofilm approaches as biofilm preventive agents in dentistry,
and their mechanism of biofilm inhibition.
PMID- 27890004
TI - Lactic Acid Bacteria as Cell Factories for the Generation of Bioactive Peptides.
AB - There is a growing interest in the incorporation of functional foods in the daily
diet to achieve health promotion and disease risk reduction. Numerous studies
have focused on the production of biologically active peptides as nutraceuticals
and functional food ingredients due to their health benefits. These short
peptides, displaying antihypertensive, antioxidant, mineral binding,
immunomodulatory and antimicrobial activities are hidden in a latent state within
the primary sequences of food proteins requiring enzymatic proteolysis for their
release. While microbial fermentation is one of the major and economically most
convenient processes used to generate bioactive peptides, lactic acid bacteria
(LAB) are widely used as starter cultures for the production of diverse fermented
foods. This article reviews the current knowledge on LAB as cell factories for
the production of bioactive peptides from a variety of food protein sources.
These microorganisms depend on a complex proteolytic system to ensure successful
fermentation processes. In the dairy industry, LAB containing cell envelope
associated proteinases (CEPs) are employed as biocatalysts for the first step of
casein breakdown releasing bioactive peptides during milk fermentation. A better
understanding of the functionality and regulation of the proteolytic system of
LAB opens up future opportunities for the production of novel food-derived
compounds with potential health-promoting properties.
PMID- 27890005
TI - Mechanism-Based Inhibitors from Phytomedicine: Risks of Hepatotoxicity and their
Potential Hepatotoxic Substructures.
AB - BACKGROUND: The adverse drug reactions and poisoning events associated with the
use of herbal medicines, especially the potential damaging effects of them on the
liver organs, have increasingly been reported worldwide. Some herbal ingredients
in medicinal plants carry the risk of herb-induced liver injury with a severe or
potentially lethal clinical course, but the hepatotoxicity mechanisms and risk
factors of them are not well characterized until now. Xenobiotics are converted
by cytochrome P450 enzymes into highly reactive metabolites that covalently bind
to the catalytic site of the enzyme itself, subsequently causing mechanism-based
inhibition (MBI). Compared to reversible inhibition, MBI more frequently results
in unfavorable acute and/or immune system-mediated idiosyncratic toxicities and
drug/herb-drug interactions (DDI/HDIs). METHODS: We searched PubMed databases
(1980-2015) for articles published in the English language to identify
publications on mechanism-based inhibitors from phytomedicine and herbal
ingredients hepatotoxicity. RESULTS: 43 mechanism-based inhibitors from
phytomedicine were summarized. Twelve of these inhibitors could cause
hepatotoxicity, whereas the rest have no related reports. Among them, six
hepatotoxic mechanism-based inhibitors are proven to induce hepatotoxicity via
their reactive metabolites (RMs). The possible mechanism for this hepatotoxicity
is that RMs react with cellular components such as proteins, DNA, and membranes,
resulting in ROS overproduction, respiratory chain dysfunction, and cell stress.
Moreover, the amine and furan heterocycle groups might be the most potential
substructures in mechanism-based inhibitors which can cause hepatotoxicity.
CONCLUSION: These results suggest that when mechanism-based inhibitors from
phytomedicine containing amine or furan heterocycle substructures are used alone
or with other drugs, in vivo hepatotoxicity screening or its clinical
implications for herb-drug interactions are needed to attention.
PMID- 27890006
TI - Recent Updates on the Systemic and Local Safety of Intranasal Steroids.
AB - BACKGROUND: Allergic rhinitis is a global health problem, and its prevalence rate
and socioeconomic burden continue to increase. Intranasal steroid (INS) is the
first treatment choice in the majority of patients, because of its ability to
effectively control allergic symptoms. However, patients and clinicians are
concerned about the potential adverse effects of prolonged INS use. METHODS: We
performed to review for evaluating systemic and local safety of INS use, by
searching MEDLINE, EMBASE, and Cochrane Library database for identification of
relevant articles. RESULTS: In the present study, the systemic bioavailabilities
of several commercially available INSs were researched, and then systemic
safeties were reviewed with focus on suppression of the hypothalamus-pituitary
adrenal axis and their effects on pediatric growth. In addition, local adverse
effects, such as, epistaxis and nasal septal perforation, were investigated.
Finally, the authors proposed some techniques in order to avoid these
complications. CONCLUSION: INSs offer a safe, effective means of treating
allergic rhinitis in the short- and long-term with no or minimal adverse systemic
and local effects.
PMID- 27890007
TI - A Current Perspective on the Inhibition of Cholinesterase by Natural and
Synthetic Inhibitors.
AB - Majority of the observed cognitive and behavioral changes in Alzheimer's disease
are postulated to be due to the deficiencies in cholinergic pathways of the
brain. Enhancement of cholinergic transmission may thus stimulate the cholinergic
receptors or prolong the availability of acetylcholine in synaptic cleft and
hence improve the Alzheimer's disease associated symptoms. Of these two, the
inhibition of cholinesterases (Acetylcholinesterase and Butyrylcholinesterase) by
cholinesterase inhibitors is suggested to be a promising strategy. In this
regard, various agents both natural and non-natural have been evaluated for the
inhibition of cholinesterases. Phytochemical studies of some of the medicinal
plants have shown the presence of many valuable compounds that show a wide range
of pharmacological activity against cholinesterase enzymes. Interestingly, a good
number of potent synthetic inhibitors of cholinesterase enzymes reported so far
are natural-product based. This article aims to provide a comprehensive overview
of both natural and synthetic cholinesterase inhibitors reported so far.
Presenting a comparative overview of synthetic and natural cholinesterase
inhibitors may provide some leads for the synthesis of new cholinesterase
inhibitors from medicinal plants. Structural activity relationship of the active
cholinesterase inhibitors is also discussed with some insights from simulation
studies. Insights for possible future research have also been highlighted.
PMID- 27890009
TI - A Feature-Free 30-Disease Pathological Brain Detection System by Linear
Regression Classifier.
AB - AIM: Alzheimer's disease patients are increasing rapidly every year. Scholars
tend to use computer vision methods to develop automatic diagnosis system.
(Background) In 2015, Gorji et al. proposed a novel method using pseudo Zernike
moment. They tested four classifiers: learning vector quantization neural
network, pattern recognition neural network trained by Levenberg-Marquardt, by
resilient backpropagation, and by scaled conjugate gradient. METHOD: This study
presents an improved method by introducing a relatively new classifier-linear
regression classification. Our method selects one axial slice from 3D brain
image, and employed pseudo Zernike moment with maximum order of 15 to extract 256
features from each image. Finally, linear regression classification was harnessed
as the classifier. RESULTS: The proposed approach obtains an accuracy of 97.51%,
a sensitivity of 96.71%, and a specificity of 97.73%. CONCLUSION: Our method
performs better than Gorji's approach and five other state-of-the-art approaches.
Therefore, it can be used to detect Alzheimer's disease.
PMID- 27890008
TI - Functional Connectivity Analysis of Brain Default Mode Networks Using Hamiltonian
Path.
AB - The aim of this study is to introduce Hamiltonian path to analyze functional
connectivity of brain default mode networks (DMNs). Firstly, the brain DMNs in
resting state are constructed with the employment of functional Magnetic
Resonance Imaging (fMRI) data. Then, the Dijkstra algorithm is used to calculate
the shortest path length of the node which represents each brain region, and the
Hamiltonian path of the default network is solved through the improved adaptive
ant colony algorithm. Finally, complex network analysis methods are introduced to
discuss the node and network properties of brain functional connectivity in both
normal subjects and stroke patients. The experimental result demonstrated that
there are some significant differences in the properties of the DMNs between
stroke patients and normal subjects, especially the length of Hamiltonian path.
It also verifies the effectiveness on studying the functional connectivity of the
brain DMNs by applying the proposed method of Hamiltonian path.
PMID- 27890010
TI - Biological Function and Mechanism of Bone Marrow Mesenchymal Stem Cells-packed
Poly (3,4-ethylenedioxythiophene) (PEDOT) Scaffolds for Peripheral Nerve Injury:
The Involvement of miR-21-Notch Signaling Pathway.
AB - Ununited peripheral nerves represent attractive site for connectivity with
neuroprostheses because their predictable internal topography allows precise
sorting of motor and sensory signals. Also transplantation of bone marrow
mesenchymal stem cells (BMSCs) is increasingly recognized as an effective method
of restore the peripheral nervous system injury due to its neuron-directed
differentiation potential. This study was to evaluate the in vivo performance of
BMSCs-packed Poly(3,4-ethylenedioxythiophene) (PEDOT) scaffolds across a critical
nerve conduction gap and examine the potential mechanism by which BMSCs-packed
PEDOT scaffolds mediate peripheral nerve regeneration in rat model of recurrent
laryngeal nerve (RLN) deletion. In this study, rat models of 8 mm-RLN deletion
were randomly divided into three groups and respectively received transplantation
of autologous nerve or PEDOT scaffolds or BMSCspacked PEDOT scaffolds. After
transplantation, neurological function was assessed by laryngeal
electromyography. BMSCs-directed neuron differentiation was induced and confirmed
by the upregulation of 6 nerve cell markers. Expression level of miRs, Notch
signals and 6 nerve cell markers in nerve grafts or cells were determined by
quantitative real-time PCR or western blot analysis. The results showed that
BMSCs-packed PEDOT scaffolds transplantation significantly improved neurological
function compared with PEDOT scaffolds alone. Regenerative nerve of BMSCs-packed
PEDOT scaffolds showed higher expression level of miR-21 and Notch signals (Hes-1
and Notch intracellular domain (NICD)) than PEDOT alone. We found that the
expression levels of miR-21, Hes-1 and NICD are increased with timecourse of
neuron-directed differentiation stimulating of BMSCs. Meanwhile, both miR-21
overexpression and Notch pathway activation promote the expression of 6 nerve
cell markers in BMSCs-directed neuron, whereas the inactivation of Notch pathway
abrogates miR-21-inudced upregulation of 6 nerve cell markers. Moreover, knock
down of miR-21 suppresses the pro-neural restoration action of BMSCs-packed PEDOT
scaffolds. In summary, our data suggested that BMSCs-packed PEDOT effectively
repairs recurrent laryngeal nerve injury and the potential mechanism is miR-21-
mediated Notch signal activation.
PMID- 27890011
TI - MicroRNA MiR-130b promotes wear particle-induced osteolysis via down regulating
frizzled-related protein (FRZB).
AB - Periprosthetic osteolysis induced by wear particles can lead to aseptic
loosening, one main reason of arthroplasty failure. However, the role of microRNA
130b (miR-130b) in particle-induced osteolysis (PIO) has not been explored yet.
In this study, PIO models were established in C57BL/J6 mice via the implantation
of Co-Cr-Mo alloy particles, and evaluated by detecting tartrate-resistant acid
phosphatase (TRAP) activity and bone resorption in the calvaria. Mouse
preosteoblast MC3T3-E1 cells were cultured to receive particle stimulation in
vitro. Real time PCR and western blotting were performed to determine the
expression levels of miR-130b and frizzled-related protein (FRZB), one potential
target of miR-130b. Results showed upregulated miR-130b and downregulated FRZB in
both PIO mice with remarkable osteolysis and particle-treated MC3T3-E1 cells
showing inhibited proliferation and differentiation assayed by bromodeoxy urodine
(BrdU) incorporation and alkaline phosphatase (ALP) activity respectively.
Functional studies were conducted by transfection of miR-130b inhibitor in vitro
or the injections of miR-130b inhibitor or small interfering RNA (siRNA)
targeting FRZB in vivo. Interestingly, particle-induced inhibition on cell
proliferation, differentiation and FRZB expression were all reversed by miR-130b
silence. Luciferase report assays demonstrated that miR-130b indeed negatively
regulated FRZB expression by targeting, while FRZB could reverse the opposed
effect of miR-130b silence on PIO development. Therefore, the upregulated miR
130b in PIO models could act as one key regulator of PIO development, partly due
to its negative regulation on FRZB.
PMID- 27890012
TI - The Biology of beta-D-mannuronic acid (M2000) on Human Dendritic Cell Based on
MicroRNA-155 and MicroRNA-221.
AB - BACKGROUND: The aim of this study was to evaluate the effect of beta-Dmannuronic
acid (M2000) on related miRNAs to dendritic cells (DCs) differentiation. DC-based
immunosuppressive drugs can suppress the progression of autoimmune diseases,
however, their notable side effects in increasing the risk of infectious diseases
and cancers should be considered. The beta-D-mannuronic acid, as a novel non
steroidal anti-inflammatory agent, has been tested in various experimental
models. METHOD: The effect of M2000 on expression of miRNA-155 and miRNA-221 was
examined. To investigate how M2000 affects differentiation of human dendritic DCs
in a defined inflammatory environment, human peripheral blood mononuclear cells
were isolated from healthy blood and the monocytes were purified using anti-CD14
microbeads. The so isolated monocytes were subsequently incubated in the presence
of M2000 in two different doses (3 and 6 mMol/well) adding granulocyte-macrophage
colony-stimulating factor (GM-CSF) and interleukin-4 for inducing monocytes to
immature DC and lipopolysaccharide for running DC differentiation. The expression
of miRNA-155 and miRNA-221 were examined with Real Time PCR. RESULTS: The results
demonstrate that M2000 has no significant side effect on expression of miR-155
and miR-221 in both immature DC and mature DC process in vitro. CONCLUSION: Our
findings show that beta-D-mannuronic acid is a safe agent which has no adverse
effect on regulatory miRNA-155 and miRNA-221 in dendritic cells.
PMID- 27890013
TI - In-Silico Characterization of a Hypothetical Protein, Rv1288 of Mycobacterium
tuberculosis Containing an Esterase Signature and an Uncommon LytE Domain.
AB - BACKGROUND: Death toll due to tuberculosis is still rising day by day. Whole
genome sequence of Mycobacterium tuberculosis has provided a platform to conduct
research in order to identify the probable drug target. OBJECTIVES: Out of 4000
gene products of M. tuberculosis, approximately 40% of proteins are annotated as
hypothetical. Identifying and characterizing these proteins could provide a new
prescriptive for developing new TB drugs. Rv1288, a protein of M. tuberculosis
H37Rv has been annotated as a hypothetical protein in database. Attempt has been
made to assign a meaningful role to rv1288 gene product in M. tuberculosis life
cycle. METHODS: A homology 3D structure of both domains was separately generated
and assigned as Rv1288LytE and Rv1288est. Molecular simulation of Rv1288est was
carried out for proper structure analysis. To further confirm the predictive role
of Rv1288 in mycobacterium life cycle, molecular docking was performed. N-acetyl
glucosamine, a major constituent of cell wall was docked with LytE domain,
whereas, esterase domain was docked with lipolytic substrate, pNP-ester
derivatives and inhibitors THL/PMSF. RESULTS: In-silico analysis revealed that
Rv1288 is a two domain protein, an N-terminal LytE domain containing three
consecutive LysM motifs and a C-terminal esterase domain of esterase D family.
LytE domain has the property to bind N-acetyl glucosamine moieties of
peptidoglycan, a major component of cell wall. Detailed in-silico sequence
analysis revealed that this LytE domain may help in positioning the esterase
domain to the cell wall of mycobacterium. Esterase domain comprised a
tetrapeptide motif HGGG, a pentapeptide sequence motif GxSxG and conserved amino
acid residues Ser-141, Asp-238 and His-272 which constitute a catalytic triad
characteristic of other hormone sensitive lipases/ esterases. Docking studies
suggested that THL and PMSF could be the potent inhibitors for Rv1288 protein.
CONCLUSION: In the present investigation, we bioinformatically confirmed that
Rv1288 is most likely a LytE domain containing lipolytic enzyme showing
similarity to hormone sensitive lipases/esterases.
PMID- 27890014
TI - Chagas' heart disease: gender differences in myocardial damage assessed by
cardiovascular magnetic resonance.
AB - BACKGROUND: Since a male-related higher cardiovascular morbidity and mortality in
patients with Chagas' heart disease has been reported, we aimed to investigate
gender differences in myocardial damage assessed by cardiovascular magnetic
resonance (CMR). METHODS AND RESULTS: Retrospectively, 62 seropositive Chagas'
heart disease patients referred to CMR (1.5 T) and with low probability of having
significant coronary artery disease were included in this analysis. Amongst both
sexes, there was a strong negative correlation between LV ejection fraction and
myocardial fibrosis (male r = 0.64, female r = 0.73, both P < 0.001), with males
showing significantly greater myocardial fibrosis (P = 0.002) and lower LV
ejection fraction (P < 0.001) than females. After adjustment for potential
confounders, gender remained associated with myocardial dysfunction, and 53% of
the effect was mediated by myocardial fibrosis (P for mediation = 0.004). Also,
the transmural pattern was more prevalent among male patients (23.7 vs. 9.9%, P <
0.001) as well as the myocardial heterogeneity or gray zone (2.2 vs. 1.3 g, P =
0.003). CONCLUSIONS: We observed gender-related differences in myocardial damage
assessed by CMR in patients with Chagas' heart disease. As myocardial fibrosis
and myocardial dysfunction are associated to cardiovascular outcomes, our
findings might help to understand the poorer prognosis observed in males in
Chagas' disease.
PMID- 27890015
TI - The involvement of regulatory non-coding RNAs in sepsis: a systematic review.
AB - BACKGROUND: Sepsis coincides with altered gene expression in different tissues.
Accumulating evidence has suggested that microRNAs, long non-coding RNAs, and
circular RNAs are important molecules involved in the crosstalk with various
pathways pertinent to innate immunity, mitochondrial functions, and apoptosis.
METHODS: We searched articles indexed in PubMed (MEDLINE), EMBASE and Europe
PubMed Central databases using the Medical Subject Heading (MeSH) or
Title/Abstract words ("microRNA", "long non-coding RNA", "circular RNA", "sepsis"
and/or "septic shock") from inception to Sep 2016. Studies investigating the role
of host-derived microRNA, long non-coding RNA, and circular RNA in the
pathogenesis of and as biomarkers or therapeutics in sepsis were included. Data
were extracted in terms of the role of non-coding RNAs in pathogenesis, and their
applicability for use as biomarkers or therapeutics in sepsis. Two independent
researchers assessed the quality of studies using a modified guideline from the
Systematic Review Center for Laboratory animal Experimentation (SYRCLE), a tool
based on the Cochrane Collaboration Risk of Bias tool. RESULTS: Observational
studies revealed dysregulation of non-coding RNAs in septic patients.
Experimental studies confirmed their crosstalk with JNK/NF-kappaB and other
cellular pathways pertinent to innate immunity, mitochondrial function, and
apoptosis. Of the included studies, the SYRCLE scores ranged from 3 to 7 (average
score of 4.55). This suggests a moderate risk of bias. Of the 10 articles
investigating non-coding RNAs as biomarkers, none of them included a validation
cohort. Selective reporting of sensitivity, specificity, and receiver operating
curve was common. CONCLUSIONS: Although non-coding RNAs appear to be good
candidates as biomarkers and therapeutics for sepsis, their differential
expression across tissues complicated the process. Further investigation on organ
specific delivery of these regulatory molecules may be useful.
PMID- 27890016
TI - The inflammatory response to extracorporeal membrane oxygenation (ECMO): a review
of the pathophysiology.
AB - Extracorporeal membrane oxygenation (ECMO) is a technology capable of providing
short-term mechanical support to the heart, lungs or both. Over the last decade,
the number of centres offering ECMO has grown rapidly. At the same time, the
indications for its use have also been broadened. In part, this trend has been
supported by advances in circuit design and in cannulation techniques. Despite
the widespread adoption of extracorporeal life support techniques, the use of
ECMO remains associated with significant morbidity and mortality. A complication
witnessed during ECMO is the inflammatory response to extracorporeal circulation.
This reaction shares similarities with the systemic inflammatory response
syndrome (SIRS) and has been well-documented in relation to cardiopulmonary
bypass. The exposure of a patient's blood to the non-endothelialised surface of
the ECMO circuit results in the widespread activation of the innate immune
system; if unchecked this may result in inflammation and organ injury. Here, we
review the pathophysiology of the inflammatory response to ECMO, highlighting the
complex interactions between arms of the innate immune response, the endothelium
and coagulation. An understanding of the processes involved may guide the design
of therapies and strategies aimed at ameliorating inflammation during ECMO.
Likewise, an appreciation of the potentially deleterious inflammatory effects of
ECMO may assist those weighing the risks and benefits of therapy.
PMID- 27890018
TI - Compensation of Emergency Medical Technician (EMT)-Basics and Paramedics.
AB - OBJECTIVES: The objective of this paper is to identify factors associated with
compensation for Emergency Medical Technician (EMT)-Basics and Paramedics and
assess whether these associations have changed over the period 1999-2008.
METHODS: Data obtained from the Longitudinal EMT Attributes and Demographic Study
(LEADS) surveys, a mail survey of a random, stratified sample of nationally
certified EMT-Basics and Paramedics, were analyzed. For the 1999-2003 period,
analyses included all respondents providing Emergency Medical Services (EMS).
With the addition of a survey in 2004 about volunteers, it was possible to
exclude volunteers from these analyses. RESULTS: Over 60% of EMT-Basics reported
being either compensated or noncompensated volunteers in the 2004-2008 period.
This was substantially and significantly greater than the proportion of EMT
Paramedic volunteers (<25%). The EMT-Paramedics earned significantly more than
EMT-Basics, with differentials of $11,000-$18,000 over the course of the study.
The major source of earnings disparity was type of organization: respondents
employed by fire-based EMS agencies reported significantly higher earnings than
other respondents, at both the EMT-Basic and EMT-Paramedic levels. Males also
earned significantly more than females, with annual earnings differentials
ranging from $7,000 to $15,000. CONCLUSIONS: There are a number of factors
associated with compensation disparities within the EMS profession. These include
type of service (ie, fire-based vs. other types of agencies) and gender. The
reasons for these disparities warrant further investigation. Studnek JR .
Compensation of Emergency Medical Technician (EMT)-Basics and Paramedics. Prehosp
Disaster Med. 2016;31(Suppl. 1):s87-s95.
PMID- 27890017
TI - Extremely low nucleotide diversity in the X-linked region of papaya caused by a
strong selective sweep.
AB - BACKGROUND: The papaya Y-linked region showed clear population structure,
resulting in the detection of the ancestral male population that domesticated
hermaphrodite papayas were selected from. The same populations were used to study
nucleotide diversity and population structure in the X-linked region. RESULTS:
Diversity is very low for all genes in the X-linked region in the wild dioecious
population, with nucleotide diversity pi syn = 0.00017, tenfold lower than the
autosomal region (pi syn = 0.0017) and 12-fold lower than the Y-linked region (pi
syn = 0.0021). Analysis of the X-linked sequences shows an undivided population,
suggesting a geographically wide diversity-reducing event, whereas two
subpopulations were observed in the autosomes separating gynodioecy and dioecy
and three subpopulations in the Y-linked region separating three male
populations. The extremely low diversity in the papaya X-linked region was
probably caused by a recent, strong selective sweep before domestication,
involving either the spread of a recessive mutation in an X-linked gene that is
beneficial to males or a partially dominant mutation that benefitted females or
both sexes. Nucleotide diversity in the domesticated X samples is about half that
in the wild Xs, probably due to the bottleneck when hermaphrodites were selected
during domestication. CONCLUSIONS: The extreme low nucleotide diversity in the
papaya X-linked region is much greater than observed in humans, great apes, and
the neo-X chromosome of Drosophila miranda, which show the expected pattern of Y
linked genes < X-linked genes < autosomal genes; papaya shows an unprecedented
pattern of X-linked genes < autosomal genes < Y-linked genes.
PMID- 27890019
TI - Vitamin A deficiency and determinants of vitamin A status in Bangladeshi children
and women: findings of a national survey.
AB - OBJECTIVE: Using data from the national micronutrients survey 2011-2012, the
present study explored the status of subclinical vitamin A nutrition and the
underlying determinants in the Bangladeshi population. DESIGN: A nationwide cross
sectional study. Settings The survey covered 150 clusters; fifty in each of
rural, urban and slum strata. SUBJECTS: Three population groups: (i) pre-school
age children (6-59 months; PSAC); (ii) school age children (6-14 years; SAC); and
(iii) non-pregnant non-lactating women (15-49 years; NPNLW). RESULTS: National
prevalence of subclinical vitamin A deficiency was 20.5, 20.8 and 5.3 % in PSAC,
SAC and NPNLW, respectively. Slum populations had higher prevalence compared with
urban (PSAC: 38.1 v. 21.2 %, P0.05 for differences in beta between <3 months v. 3
6 months, 6-9 months and 9-12 months). CONCLUSIONS: Prevalence of subclinical
vitamin A deficiency was high in children in Bangladesh. Intakes of animal-source
foods and leafy vegetables were associated with higher and lower retinol status,
respectively. Increased food diversity through animal-source foods is required.
PMID- 27890020
TI - Choice architecture to promote fruit and vegetable purchases by families
participating in the Special Supplemental Program for Women, Infants, and
Children (WIC): randomized corner store pilot study.
AB - OBJECTIVE: To conduct a pilot study to determine if improving the visibility and
quality of fresh produce (choice architecture) in corner stores would increase
fruit/vegetable purchases by families participating in the Special Supplemental
Nutrition Program for Women, Infants, and Children (WIC). DESIGN: Six stores were
randomly assigned to choice architecture intervention or control. Store-level WIC
sales data were provided by the state. Primary outcomes were WIC fruit/vegetable
voucher and non-fruit/vegetable voucher sales, comparing trends from baseline
(December 2012-October 2013) with the five-month intervention period (December
2013-April 2014). Secondary outcomes were differences in customer self-reported
fruit/vegetable purchases between baseline and end of the intervention. SETTING:
Chelsea, MA, USA, a low-income urban community. SUBJECTS: Adult customers (n 575)
completing store exit interviews. RESULTS: During baseline, WIC fruit/vegetable
and non-fruit/vegetable sales decreased in both intervention and control stores
by $US 16/month. During the intervention period, WIC fruit/vegetable sales
increased in intervention stores by $US 40/month but decreased in control stores
by $US 23/month (difference in trends: $US 63/month; 95 % CI 4, 121 $US/month;
P=0.036); WIC non-fruit/vegetable sales were not different (P=0.45). Comparing
baseline and intervention-period exit interview responses by customers
participating in WIC (n 134), intervention store customers reported increased
fruit/vegetable purchases compared with control store customers (18 v. -2 %), but
this did not achieve statistical significance (P=0.11). CONCLUSIONS: Placement of
fruits/vegetables near the front of corner stores increased purchase of produce
by customers using WIC. New policies that incentivize stores to stock and
prominently display good-quality produce could promote healthier food choices of
low-income families.
PMID- 27890021
TI - The relationship between food insecurity and overweight/obesity differs by
birthplace and length of US residence.
AB - OBJECTIVE: To examine whether the cross-sectional association between food
insecurity and overweight/obesity varied according to birthplace and length of
residence in the USA among California women. DESIGN: Using cross-sectional,
population-based data from the California Women's Health Survey (CWHS) 2009-2012,
we examined whether the association between food insecurity and overweight or
obesity varied by birthplace-length of US residence. SETTING: California, USA.
SUBJECTS: Women (n 16 008) aged 18 years or older. RESULTS: Among US-born women,
very low food security (prevalence ratio (PR)=1.21; 95 % CI 1.11, 1.31) and low
food security (PR=1.19; 95 % CI 1.10, 1.28) were significantly associated with
higher prevalence of overweight/obesity, after controlling for age, marital
status, race/ethnicity, poverty and education. Among immigrant women who lived in
the USA for 10 years or longer, very low food security was significantly
associated with higher prevalence of overweight/obesity, after controlling for
covariates (PR=1.16; 95 % CI 1.07, 1.27). Among immigrant women who had lived in
the USA for less than 10 years, low and very low food security were not
significantly associated with overweight/obesity, after controlling for
covariates. CONCLUSIONS: Food insecurity may be an important pathway through
which weight may increase with longer US residence among immigrant women. Public
health programmes and policies should focus on increasing food security for all
women, including immigrant women, as one strategy to reduce the prevalence of
overweight/obesity.
PMID- 27890022
TI - Continuous light after 2 months of long days stimulates ram testis volume and
increases fertility in spring.
AB - Seasonal reproduction is one of the major biotechnical and economic constraints
of sheep production in temperate latitudes. Treatments using extra light followed
by melatonin implants have been used satisfactorily in open barns, farms and
artificial insemination centres to produce out-of-season sexual activity in rams.
The aim of the present study is to explore the possibility of replacing melatonin
implants with continuous light (LL), which was recently shown to increase LH
secretion similar to melatonin and/or pinealectomy. Four experiments during 4
consecutive years were conducted in 'Ile-de-France' rams. In each study, one
group was systematically exposed to permanent light after a first photoperiodic
treatment of 60 long days (LD-LL) during the winter and compared with various
other control groups subjected either to a natural photoperiod or the classical
LD-melatonin treatment. As expected, blood nocturnal melatonin secretion was
suppressed by LL. In all four experiments, LL treatment produced a highly
significant and robust increase in ram testicular volume in the spring compared
with the testicular volume of control rams or of that of treated rams at the end
of the LD. For the two experiments in which fertility was tested, fertility after
hand-mating was significantly higher in LD-LL rams than in control rams (76% v.
64%). Therefore, permanent light after an LD treatment may be an interesting
alternative to LD-melatonin treatment to induce out-of-season sexual activity in
rams.
PMID- 27890023
TI - Progressive muscle relaxation as a supportive intervention for cancer patients
undergoing chemotherapy: A systematic review.
AB - BACKGROUND: Many cancer patients use a wide variety of techniques to improve
their physical and mental well-being, including relaxation therapy and,
specifically, Progressive Muscle Relaxation (PMR). However, there is no strong
evidence that supports the efficacy of this technique. OBJECTIVE: Our aim was to
review the evidence regarding the use of PMR as a supportive intervention for
cancer patients undergoing chemotherapeutic treatment. METHOD: Six databases were
electronically searched: AMED, the Cochrane Library, MEDLINE, PsychINFO, Scopus,
and the Web of Science. After removing duplicates, 700 publications were screened
and 57 identified as potentially relevant. The flow of information from record
identification to study inclusion was conducted in accordance with the PRISMA
statement. Original articles published in peer-reviewed journals that studied the
use of PMR as an intervention, were randomized or included a matched control
group, and that included patients receiving chemotherapy were included. Studies
that combined PMR with other interventions were excluded. The methodological
quality of included trials was assessed using the Jadad Scale and the CONSORT
guidelines. RESULTS: A total of 5 of the 57 papers fulfilled the preset criteria
and were included in our systematic review. Our findings indicate that PMR might
improve comfort and reduce the anxiety levels and side effects caused by
chemotherapy, with the exception of vomiting. Nonetheless, the quality of all the
included studies was extremely low. SIGNIFICANCE OF RESULTS: There is evidence
that PMR might have a few benefits for patients undergoing chemotherapy. Still,
the small number of studies included and their poor quality limit the
significance of our results. Despite the fact that pharmaceutical approaches for
controlling side effects might be reaching their full potential and that there
might be further usefulness for such integrative treatments as PMR, the need to
run more high-quality trials testing the efficacy of this technique is warranted
before suggesting its adoption as part of standard cancer care.
PMID- 27890024
TI - End-of-life experiences and deathbed phenomena as reported by Brazilian
healthcare professionals in different healthcare settings.
AB - OBJECTIVE: The objectives of the present study were to describe and compare the
characteristics and reports of end-of-life experiences (ELEs) by healthcare
professionals at different institutions and to investigate the influence of
religious beliefs on these reports. METHOD: A multicenter study was carried out
in Brazil that included six nursing homes (NHs), a cancer hospital (ONC), and a
palliative care (PC) unit. Sociodemographic data, ELE reports (Fenwick's
questionnaire), religiosity (the Duke Religion Index), spirituality (the
Spirituality Self-Rating Scale), and mental health (the DASS-21 questionnaire)
were assessed. The analysis was performed using ANOVA and chi-square tests in
order to compare ELE perceptions in these different settings. RESULTS: A total of
133 healthcare professionals (46 ONC, 36 PC, and 51 NH) were interviewed, 70% of
whom recounted at least one ELE report in the previous five years. The most
common ELEs were "visions of dead relatives collecting the dying person" (88.2%),
"a desire to mend family rifts" (84.9%), and "visions of dead relatives near the
bed providing emotional comfort" (80.6%). Most healthcare professionals (70-80%)
believed that these experiences had a spiritual significance and were not due to
biological effects. Comparison among settings revealed that those working in the
PC unit had more reports, a greater openness about the issue, and more interest
in training. Individual religious beliefs had no influence on perception of ELEs.
SIGNIFICANCE OF RESULTS: Our study revealed that ELE reports are not uncommon in
clinical practice and seem to be little influenced by religious or spiritual
beliefs. Although strongly reported in all settings, palliative care
professionals tend to be more open to this issue and have a stronger perception
of ELEs.
PMID- 27890025
TI - Auguste Forestier's unbroken wanderlust.
PMID- 27890026
TI - Electrostatic Capture Following Laser Microdissection for the Preparation of
Homogeneous Biological Specimens.
AB - There is an unmet need for researchers in life sciences and clinical pathology to
obtain untainted target cells with very high accuracy, which are suitable for
subsequent genome and protein analysis. In this paper, an electrostatic capture
laser microdissection technology (ECM) is proposed and explained. Following
microscopic identification and separation of target cells from the surrounding
tissues using laser cutting, the ECM uses electrostatic forces to capture target
cells. Validation experiments indicate that ECM can capture a wide assortment of
contamination-free homogeneous samples, ranging from very tiny pieces of a few
micrometers in diameter to large pieces with a surface area of over 40,000 um2.
Evidence is also provided indicating that uncontaminated homogeneous tissue
materials collected by ECM are suitable for further DNA and RNA analysis. This
suggests that ECM capture causes little or no identifiable damage to the
collected tissues. This technique has significant advantages compared with
existing traditional capture methods, such as very low risk of biological sample
damage and the fact that it can be applied to both upright and inverted
microscopy. The latter allows for separating target cells in tissue culture. ECM
usage provides a cost-effective alternative to more traditional laser capture
microdissection techniques.
PMID- 27890027
TI - A Longitudinal Description of Emergency Medical Services Professionals by
Race/Ethnicity.
AB - OBJECTIVE: The objective of this paper was to compare demographics, employment
variables, satisfaction, and motivation for entering the field of Emergency
Medical Services (EMS) between members of under-represented races/ethnicities and
members of the majority group. METHODS: A cohort of nationally certified EMS
professionals was followed for 10 years through annual surveys; however,
race/ethnicity was only available for 9 years (2000-2008). Descriptive statistics
and 95% confidence intervals (CIs) were calculated and significance was
determined by lack of CI overlap. RESULTS: From 2000 through 2008, the range of
proportions of nationally certified EMS professionals by race/ethnicity was as
follows: whites: 83.5%-86.0%, Hispanics: 4.2%-5.9%, and African-Americans: 2.5%
4.6%. There were no significant changes in the proportion of minority EMS
professionals over the study period. Hispanics and African-Americans combined
increased slightly from 6.7% of the population in 2000 to 9.9% in 2008. Likewise,
the proportion of all under-represented races/ethnicities increased slightly from
2000 (14.0%) to 2008 (16.5%). Females were under-represented in all years.
Nationally certified African-Americans were significantly more likely to be
certified at the Emergency Medical Technician (EMT)-Basic level (compared with
the EMT-Paramedic level) than whites in all but one survey year. The proportion
of Hispanics registered at the EMT-Basic level was significantly higher than
whites in three survey years. Accordingly, a larger proportion of whites were
nationally registered at the EMT-Paramedic level than both African-Americans and
Hispanics. A significantly larger proportion of African-Americans reported
working in urban communities (population >25,000) compared with whites for nine
of the 10 survey years. Similarly, a significantly larger proportion of Hispanics
worked in urban communities compared with whites in 2002 and from 2005 to 2008.
For satisfaction measures, there were no consistent differences between
races/ethnicities. Among factors for entering EMS, the proportion of whites who
reported having a friend or family member in the field was significantly higher
than African-Americans in all years and significantly higher than Hispanics in
four of the nine years. CONCLUSION: The ethnic/racial diversity of the population
of nationally certified EMS professionals is not representative of the population
served and has not improved over the 2000-2008 period. Similar to other health
care professions, Hispanics and African-Americans are under-represented in EMS
compared with the US population. This study serves as a baseline to examine under
represented populations in EMS. Crowe RP , Levine R , Eggerichs JJ , Bentley MA .
A longitudinal description of Emergency Medical Services professionals by
race/ethnicity. Prehosp Disaster Med. 2016;31(Suppl. 1):s30-s69.
PMID- 27890028
TI - The newly revised interview for deteriorations in daily living activities in
dementia (R-IDDD2): distinguishing initiative from performance at assessment.
AB - BACKGROUND: Minimal evidence exists on the detailed deficits in complex
instrumental activities of daily living (IADLs) in mild dementia. The aim of this
study was twofold, to validate a revised questionnaire focusing measuring the
initiative and performance of IADLs in mild dementia and to explore the
relationship between individual IADLs and patient and carer well-being. METHODS:
A total of 183 carers of people with mild dementia completed a further modified
Revised Interview for Deterioration in Daily Living Activities 2 (R-IDDD2), which
comprised new activities such as computer use, as well as sub-activities on the
performance scale. Carers also completed questionnaires assessing patient quality
of life (QoL-AD), carer quality of life (AC-QoL), and burden (GHQ-12). RESULTS:
Persons with dementia were significantly poorer initiating than performing
cleaning, doing repair work, and preparing a hot or cold meal, whereas being
poorer at performing dressing and following current affairs. Using the computer,
preparing a hot meal, finance, and medication management were most impaired,
whereas more basic activities of dressing, washing oneself, brushing hair or
teeth, and preparing a hot drink were most preserved. Poor initiative and
performance on nearly all activities were significantly related to reduced carer
and patient well-being. CONCLUSIONS: The R-IDDD2 offers a platform to
comprehensively assess everyday functioning. Deteriorations in initiative and
performance need to be targeted separately in interventions, as the former
requires effective triggering and the latter structured training and support.
Most activities were significantly associated with well-being, particularly
patient quality of life so that improving any activity should improve well-being.
PMID- 27890029
TI - Keeping the person with dementia and the informal caregiver together: a
systematic review of psychosocial interventions.
AB - BACKGROUND: Social support, relationships, and closeness are emphasized as
important by both people with dementia and their informal caregivers.
Psychosocial interventions might be helpful to reinforce the relationship between
a person with dementia and his or her informal caregiver. Therefore, this review
explores what types of psychosocial interventions have been provided for people
with dementia and their informal caregivers together, and the effectiveness of
these interventions. METHODS: PubMed, PsychInfo, Cinahl, and references of key
papers were searched for studies describing a psychosocial intervention for
people with dementia and their informal caregivers together. Psychosocial
interventions were defined as focusing primarily on psychological or social
factors. RESULTS: A total of seven publications describing six studies were
identified as eligible for inclusion in this review. Interventions ranged in
focus from skills training to viewing/making art. The methodology of the studies
varied, especially regarding the outcome measures used. The results of individual
studies were mixed. A narrative synthesis of the included studies is given.
CONCLUSION: Although caregiving dyads emphasize the importance of their
relationship, this is mostly not taken into consideration in the design and
effect evaluations of the interventions. Improved research is needed on this
subject, which focuses on people with dementia living in the community and those
living in nursing homes.
PMID- 27890030
TI - Longitudinal Emergency Medical Technician Attributes and Demographic Study
(LEADS) Design and Methodology.
AB - OBJECTIVES: The objective of this study is to describe the Longitudinal Emergency
Medical Technician (EMT) Attributes and Demographic Study (LEADS) design,
instrument development, pilot testing, sampling procedures, and data collection
methodology. Response rates are provided, along with results of follow-up surveys
of non-responders (NRs) and a special survey of Emergency Medical Services (EMS)
professionals who were not nationally certified. METHODS: Annual surveys from
1999 to 2008 were mailed out to a random, stratified sample of nationally
registered EMT-Basics and Paramedics. Survey weights were developed to reflect
each respondent's probability of selection. A special survey of NRs was mailed
out to individuals who did not respond to the annual survey to estimate the
probable extent and direction of response bias. Individuals who indicated they
were no longer in the profession were mailed a special exit survey to determine
their reasons for leaving EMS. RESULTS: Given the large number of comparisons
between NR and regular (annual) survey respondents, it is not surprising that
some statistically significant differences were found. In general, there were few
differences. However, NRs tended to report higher annual EMS incomes, were
younger, healthier, more physically fit, and were more likely to report that they
were not practicing EMS. Comparisons of the nationally certified EMS
professionals with EMS professionals who were not nationally certified indicated
that nationally certified EMS providers were younger, had less EMS experiences,
earned less, were more likely to be female and work for private EMS services, and
less likely to work for fire-based services. These differences may reflect state
and local policy and practice, since many states and local agencies do not
require maintenance of national certification as a requirement to practice. When
these differences were controlled for statistically, there were few systematic
differences between non-nationally certified and nationally certified EMS
professionals. CONCLUSIONS: The LEADS study is the only national, randomized, and
longitudinal data source for studying EMS professionals in the United States.
Although not without flaws, this study remains an excellent source of information
about EMS provider demographics, attributes, attitudes, workplace issues and
concerns, and how the profession has changed from 1999 to 2008. Levine R .
Longitudinal Emergency Medical Technician Attributes and Demographic Study
(LEADS) design and methodology. Prehosp Disaster Med. 2016;31(Suppl. 1):s7-s17.
PMID- 27890031
TI - A National Assessment of the Health and Safety of Emergency Medical Services
Professionals.
AB - OBJECTIVES: The objectives were to assess changes in (1) health and physical
fitness, (2) the prevalence of selected health problems, (3) risk behaviors, (4)
ambulance safety issues, and (5) the preparedness of Emergency Medical Services
(EMS) professionals. In addition, the incidence of patient-initiated violence
directed toward EMS personnel and associated factors were assessed. METHODS: Data
were obtained from a sample of nationally certified EMS professionals via annual
questionnaires between 1999 and 2008. Stratification was based upon national
certification level, self-reported race, and experience level. Weighted
percentages, averages for continuous variables, and 95% confidence intervals
(CIs) were calculated. Significant changes over time were noted by lack of CI
overlap. RESULTS: The proportion reporting "excellent" health declined
significantly from 1999 (38.5%) to 2008 (32.2%). High rates of sleeping problems
(20%-27%), back problems (20%-24%), and hearing problems (7%-10%) were reported
as having occurred in the past year. These rates remained constant over time. As
a result of sleepiness, 8.0% of nationally certified EMS professionals reported
difficulty in driving an emergency vehicle for short distances and 17.5% reported
difficulty in driving long distances. The proportion of daily tobacco smokers
significantly declined from over one-third (35.3%) to about one-fifth (20.3%).
The proportion of providers who had ever been involved in an ambulance crash
increased slightly from 2004 (14.5%) to 2008 (15.8%). In 2000, the majority of
EMS professionals reported that they and/or their partner had been assaulted by a
patient. Finally, there was a significant decrease in the amount of training time
devoted to the recognition of biological, chemical, and nuclear (BCN) threats,
use of personal protective equipment (PPE), and treatment and management of
patients exposed to BCN from an average from 8.4 hours in 2003 to 6.2 hours in
2008. CONCLUSIONS: The overall health and physical fitness of EMS professionals
as well as their health problems, risk behaviors, ambulance safety, and patient
initiated violence in the prehospital emergency setting are areas of concern for
the nation's emergency medical system. The prevalence of these problems and
overall health and physical fitness has shown little or no improvement from 1999
to 2008. Bentley MA , Levine R . A national assessment of the health and safety
of Emergency Medical Services professionals. Prehosp Disaster Med. 2016;31(Suppl.
1):s96-s104.
PMID- 27890032
TI - Ageism: we are our own worst enemy.
AB - In 1969, Butler (1969) first coined the term "ageism" to confront "prejudice by
one age group toward other age groups" (pp. 243). As with other "isms," such as
racism and sexism, ageism leads to bigotry and discrimination, though it is a
very distinct beast in that, for the most part, other "isms" refer to those
different from ourselves: distinct, mutually exclusive, and impervious groups.
Conversely, age is a fluid social construct in which we are all intimately bound
as we move through the lifespan, transitioning in and out of different age
groups. Unlike other "isms," individuals negotiate shifts from the "in-group" of
youth to the "out-group" of old age. Yet we are all immersed, largely
unconsciously, in this ubiquitous but too often unrecognized "ism" that needs to
be named and challenged.
PMID- 27890033
TI - IL-8/IL-17 gene variations and the susceptibility to severe viral bronchiolitis.
AB - Clinical manifestations of acute bronchiolitis (AB) vary from minimal disease to
severe respiratory failure. The response to respiratory viral infections is
possibly influenced by genetic polymorphisms linked to the regulation of the
inflammatory response. In the present study, we investigated whether interleukin
8 (IL-8) and interleukin-17 (IL-17) genetic variants are associated with the
severity of AB. A group of Brazilian infants hospitalized with AB and a control
group (infants with no or mild AB, without hospitalization) were genotyped for
four IL-8/IL-17 variations. For replication, we studied an Argentinean population
sample of infants with mild and severe AB. IL-8 polymorphism (rs 2227543) and IL
17 (rs2275913) variants showed significant associations with the severity of AB.
The effect of the IL-8 variation could be replicated in the Argentinean sample.
This finding suggests that IL-8 variations may influence the severity of AB in
young infants. Further genetic association studies in low- or middle-income
populations are necessary with the aim of expanding knowledge in this area.
PMID- 27890034
TI - Do Patients Get Whacked When Hospitals Get HACed?
PMID- 27890035
TI - Epigenetics in type 1 diabetes: TNFa gene promoter methylation status in Chilean
patients with type 1 diabetes mellitus.
AB - TNF-alpha is a pro-inflammatory cytokine that is involved in type 1 diabetes
(T1D) pathogenesis. The TNFa gene is subject of epigenetic regulation in which
folate and homocysteine are important molecules because they participate in the
methionine cycle where the most important methyl group donor (S
adenosylmethionine) is formed. We investigated whether TNFa gene promoter
methylation status in T1D patients was related to blood folate, homocysteine and
TNF-alpha in a transversal case-control study. We studied T1D patients (n 25,
mean=13.7 years) and healthy control subjects (n 25, mean=31.1 years), without
T1D and/or other autoimmune diseases or direct family history of these diseases.
A blood sample was obtained for determination of serum folate, plasma
homocysteine and TNF-alpha concentrations. Whole blood was used for the
extraction of DNA to determine the percentage of methylation by real-time PCR and
melting-curve analysis. Results are expressed as means and standard deviations
for parametric variables and as median (interquartile range) for non-parametric
variables. T1D patients showed a higher TNFa gene promoter methylation (39.2 (sd
19.5) %) when compared with control subjects (25.4 (sd 13.7) %) (P=0.008). TNFa
gene promoter methylation was positively associated only with homocysteine levels
in T1D patients (r 0.55, P=0.007), but not in control subjects (r -0.122,
P=0.872). To our knowledge, this is the first work that reports the methylation
status of the TNFa gene promoter and its relationship with homocysteine
metabolism in Chilean T1D patients without disease complications.
PMID- 27890036
TI - Treatment preferences for depression in the elderly.
AB - BACKGROUND: If patients are treated according to their personal preferences,
depression treatment success is higher. It is not known which treatment options
for late-life depression are preferred by patients aged 75 years and over and
whether there are determinants of these preferences. METHODS: The data were
derived from the German "Late-life depression in primary care: needs, health care
utilization, and costs (AgeMooDe)" study. Patients aged 75+ years (N = 1,230)
were recruited from primary care practices. Depressive symptoms were determined
using the Geriatric Depression Scale (GDS-15). Support for eight treatment
options was determined. RESULTS: Medication, psychotherapy, talking to friends
and family, and exercise were the preferred treatment options. Having a GDS score
>= 6 significantly lowered the endorsement of some treatment options. For each
treatment option, the probability of choosing the indecisive category "I do not
know" was significantly increased in participants with moderate depressive
symptoms. CONCLUSIONS: Depressive symptoms influence the preference for certain
treatment options and also increase indecision in patients. The high preference
for psychotherapy suggests a much higher demand for late-life psychotherapy in
the future. Healthcare systems should begin to prepare to meet this anticipated
need. Future studies should include previous experience with treatment methods as
a confounding variable.
PMID- 27890037
TI - Grouped Cases of Pulmonary Pneumocystosis After Solid Organ Transplantation:
Advantages of Coordination by an Infectious Diseases Unit for Overall Management
and Epidemiological Monitoring.
AB - OBJECTIVE To determine the origin of grouped cases of Pneumocystis pneumonia in
solid-organ transplant recipients at our institution. DESIGN A case series with
clinical examinations, genotyping, and an epidemiological survey. SETTING A
university hospital in France. PATIENTS We report 12 solid-organ transplant
recipients with successive cases of Pneumocystis pneumonia that occurred over 3
years; 10 of these cases occurred in a single year. METHODS We used molecular
typing of P. jirovecii strains by multilocus sequence typing and clinical
epidemiological survey to determine potential dates and places of transmission.
RESULTS Between May 2014 and March 2015, 10 solid-organ transplant recipients (5
kidney transplants, 4 heart transplants, and 1 lung transplant) presented with
Pneumocystis pneumonia. Molecular genotyping revealed the same P. jirovecii
strain in at least 6 patients. This Pneumocystis strain was not identified in
control patients (ie, nontransplant patients presenting with pulmonary
pneumocystosis) during this period. The epidemiological survey guided by
sequencing results provided information on the probable or possible dates and
places of contamination for 5 of these patients. The mobile infectious diseases
unit played a coordination role in the clinical management (adaptation of the
local guidelines) and epidemiological survey. CONCLUSION Our cardiac and kidney
transplant units experienced grouped cases of pulmonary pneumocystosis.
Genotyping and epidemiological surveying results suggested interhuman
contamination, which was quickly eliminated thanks to multidisciplinary
coordination. Infect Control Hosp Epidemiol 2017;38:179-185.
PMID- 27890038
TI - An Evaluation of Antibiotic Prescribing Practices Upon Hospital Discharge.
AB - We conducted a retrospective study of the appropriateness of antimicrobial agents
prescribed on discharge from an acute care hospital. Seventy percent of discharge
antibiotics were inappropriate in antibiotic drug choice, dose, or duration. Our
findings suggest there is a significant need for antimicrobial stewardship at
transitions in care. Infect Control Hosp Epidemiol 2017;38:353-355.
PMID- 27890039
TI - Genetic diversity of infective larvae of Gnathostoma spinigerum (Nematoda:
Gnathostomatidae) in freshwater swamp eels from Thailand.
AB - Human gnathostomiasis is a food-borne zoonosis caused by a tissue nematode of the
genus Gnathostoma. The disease is highly endemic in Asia, including Thailand. The
freshwater swamp eel (Monopterus albus), the second intermediate host of the
gnathostome nematode, has an important role in transmitting the infection in
Thailand. Surveys on the infective larvae of Gnathostoma spinigerum based on
morphological features in freshwater swamp eels have been performed continuously
and reported in Thailand. However, there is still limited molecular data on intra
species variations of the parasite. In this study, a total of 19 third-stage
larvae of morphologically identified G. spinigerum were collected from 437 liver
samples of freshwater swamp eels purchased from a large wholesale market in
Bangkok, Thailand. Molecular characterization based on mitochondrial cytochrome c
oxidase subunit I (COI) sequences was performed to elucidate their genetic
variations and phylogenetic relationship. Among the 19 infective larvae recovered
from these eels, 16 were sequenced successfully. Phylogenetic analyses inferred
from the partial COI gene showed the presence of three distinct COI haplotypes.
Our findings confirm the presence of G. spinigerum as the main species in
Thailand.
PMID- 27890040
TI - High prevalence of Legionella in non-passenger merchant vessels.
AB - There is a paucity of information on the risk from potable water in non-passenger
merchant vessels (NPMVs) particularly with regard to Legionella and other
bacteria. This retrospective study examined water samples from 550 NPMVs docked
in eight UK ports. A total of 1027 samples from 412 NPMVs were examined for total
aerobic colony counts (ACC), coliforms, Escherichia coli and enterococci; 41% of
samples yielded ACC above the action level (>1 * 103 c.f.u./ml) and 4.5%
contained actionable levels (>1 c.f.u./100 ml) of faecal indicator bacteria.
Eight hundred and three samples from 360 NPMVs were cultured specifically for
Legionella and 58% of vessels proved positive for these organisms with 27% of
samples showing levels greater than the UK upper action limit of 1 * 103
c.f.u./l. Cabin showers (49%) and hospital shower (45%) were frequently positive.
A subset of 106 samples was analysed by quantitative polymerase chain reaction
for Legionella and identified a further 11 Legionella-positive NPMVs, returning a
negative predictive value of 100%. There was no correlation between NPMV age or
size and any microbial parameters (P > 0.05). Legionella pneumophila serogroup 1
was isolated from 46% of NPMVs and sequence-based typing of 17 isolates revealed
four sequence types (STs) previously associated with human disease. These data
raise significant concerns regarding the management of microbial and Legionella
risks on board NPMVs and suggest that better guidance and compliance are required
to improve control.
PMID- 27890041
TI - Introduction: plurality in patenting: medical technology and cultures of
protection.
PMID- 27890042
TI - USE OF MALE METHODS OF CONTRACEPTION WORLDWIDE.
AB - This article analyses male contraceptive use, both globally and for developing
countries. Shares of all contraceptive use due to males are examined, in the
context of female use and all use. Patterns according to wealth quintiles are
analysed, as well as time trends and geographic variations. Data are drawn
primarily from compilations by the UN Population Division and from the
Demographic and Health Series and subjected to relatively simple statistical
methods including correlation/regression applications. Contraceptive methods that
men use directly, or that require their co-operation to use, including condoms,
withdrawal, rhythm and male sterilization, account for one-quarter of all
contraceptive use worldwide. This represents 13% of married/in-union women. Both
the share and the prevalence of male methods vary widely by geography and by the
four methods, as well as by quintile wealth groups. With greater wealth there is
an unbroken rise for total use; among the male methods, the shares of condom use
and rhythm rise by wealth quintiles, while the share of withdrawal drops. The
share for male sterilization is highest in the lowest and highest wealth
quintiles and dips for the middle quintiles. The overall time trend since the
1980s has been steady at one-quarter of all use involving men; moreover, the
share is about the same at all levels of total use. The female-only methods
continue to dominate: female sterilization, IUD, pill, injectable and implant,
again with great diversity geographically. In surveys men report less total use
but more condom use, while females report more injectable use. For the future the
male share of one-quarter of use seems secure, with little prospect of an
increase unless concerted programmatic efforts are made to expand access to male
methods and promote their use as part of a broadened contraceptive method mix.
PMID- 27890043
TI - Epidemic host community contribution to mosquito-borne disease transmission: Ross
River virus.
AB - Most vector-borne diseases infect multiple host species, but disentangling the
relative importance of different host species to transmission can be complex.
Here we study how host species' abundance and competence (duration and titre of
parasitaemia) influence host importance during epidemic scenarios. We evaluate
this theory using Ross River virus (RRV, family Togaviridae, genus Alphavirus), a
multi-host mosquito-borne disease with significant human health impacts across
Australia and Papua New Guinea. We used host contribution models to find the
importance of key hosts (possums, wallabies, kangaroos, horses, humans) in
typical mammal communities around five Australian epidemic centres. We found
humans and possums contributed most to epidemic RRV transmission, owing to their
high abundances, generally followed by macropods. This supports humans as
spillover hosts, and that human-mosquito and possum-mosquito transmission is
predominant during epidemics. Sensitivity analyses indicate these findings to be
robust across epidemic centres. We emphasize the importance of considering
abundance and competence in identifying key hosts (during epidemics in this
case), and that competence alone is inadequate. Knowledge of host importance in
disease transmission may help to equip health agencies to bring about greater
effectiveness of disease mitigation strategies.
PMID- 27890044
TI - Effect of dietary manganese on antioxidant status and expressions of heat shock
proteins and factors in tissues of laying broiler breeders under normal and high
environmental temperatures.
AB - To investigate the effect of Mn on antioxidant status and on the expressions of
heat shock proteins/factors in tissues of laying broiler breeders subjected to
heat challenge, we used a completely randomised design (n 6) with a factorial
arrangement of 2 environmental temperatures (normal, 21+/-1 degrees C, and high,
32+/-1 degrees C)*3 dietary Mn treatments (a Mn-unsupplemented basal diet (CON),
or a basal diet supplemented with 120 mg Mn/kg diet, either as inorganic Mn
sulphate (iMn) or as organic Mn proteinate (oMn)). There were no interactions
(P>0.10) between environmental temperature and dietary Mn in any of the measured
indices. High temperature decreased (P<0.003) Mn content, and also tended
(P=0.07) to decrease Cu Zn superoxide dismutase (CuZnSOD) activity in the liver
and heart. However, an increased Mn superoxide dismutase (MnSOD) activity
(P<0.05) and a slight increase in malondialdehyde level (P=0.06) were detected in
breast muscle. Up-regulated (P<0.05) expressions of heat shock factor 1 (HSF1)
and HSF3 mRNA and heat shock protein 70 (HSP70) mRNA and protein were found in
all three tissues. Broiler breeders fed either iMn or oMn had higher tissue Mn
content (P<0.0001), heart MnSOD and CuZnSOD activities (P<0.01) and breast muscle
MnSOD protein levels (P<0.05), and lower (P<0.05) breast muscle HSP70 mRNA and
protein levels compared with those fed CON. Broiler breeders fed oMn had higher
(P<0.03) bone Mn content than those fed iMn. These results indicate that high
temperature decreases Mn retention and increases HSP70, HSF1 and HSF3 expressions
in the tissues of laying broiler breeders. Furthermore, dietary supplementation
with Mn in either source may enhance the heart's antioxidant ability and inhibit
the expression of HSP70 in breast muscle. Finally, the organic Mn appears to be
more available than inorganic Mn for bone in laying broiler breeders regardless
of environmental temperatures.
PMID- 27890045
TI - Protein in culture and endogenous lipid interact with embryonic stages in vitro
to alter calf birthweight after embryo vitrification and warming.
AB - Short-term protein removal in vitro improves long-term blastocyst competence to
survive vitrification. We investigated the mechanisms and effects underlying
protein removal. Day-6 morulae and early blastocysts were cultured individually
with and without protein for 24h. Development and lipid content were analysed in
expanded blastocysts derived from morulae (M-XB) and from early blastocysts (EB
XB). Expression of genes involved in lipid metabolism, stress responses and
apoptosis was analysed in fresh and vitrified-warmed M-XB produced with and
without protein. Pregnancy rates, birth rates and birthweight (BW) were recorded
after transfer of embryos. Day-7 EB-XB production rates (with, 66.9+/-6.2 and
without, 68.8+/-6.0 protein) were higher than M-XB rates (with, 21.4+/-4.6 and
without, 9.4+/-4.6 protein; P<0.005). EB-XB showed fewer lipids than M-XB
(P=0.03). In fresh M-XB, expression of sterol regulatory element binding protein
(SREBP1) was lower with (4.1+/-2.2) than without (13.6+/-2.2) protein, contrary
to results obtained for Patatin-like phospholipase domain containing 2, Hormone
sensitive lipase and Bcl-2-associated X protein (P<0.05). Protein did not affect
pregnancy rates and birth phenotypes (P>0.05). However, BW was higher (P<0.01) in
calves born from vitrified M-XB (48.6+/-3.4kg) than from EB-XB (39.8+/-2.9kg).
Such effects were more pronounced in females (P<0.001). Calves from fresh embryos
did not show BW differences. These results indicate that embryonic kinetics and
vitrification impact birth phenotypes, at least in females. Alterations might
involve exogenous protein and mobilisation of lipid stocks.
PMID- 27890046
TI - Investigation of training and support needs in rural and remote disability and
mainstream service providers: implications for an online training model.
AB - Objectives It is known that there are difficulties in recruiting and retaining
practitioners in rural and remote communities and that access to support and
professional development can be key in breaking this cycle. Technology provides a
possible solution not only for increasing access to these opportunities, but also
in building community capacity to support children with autism. The aim of the
present study was to investigate the current learning and support needs within
rural and remote professionals prior to setting up a model of support. Methods An
online survey was used to gather information from service providers in rural and
remote communities on their demographics, current skills and confidence in
working with clients on the autism spectrum, current supervision and professional
development, identified learning and support needs, and the availability and
uptake of technology for accessing professional development. Results Respondents
reported below average levels of perceived confidence and skills when working
with children with autism, most notably children with challenging behaviour. Half
the respondents do not currently attend supervision sessions, with only 15%
receiving regular supervision (fortnightly or more often), and 66% of respondents
had travelled more than 3h to access professional development workshops. The
majority of participants had access to technology and over half had already used
this for online training. Conclusion Overall, service providers in rural and
remote areas are generally not currently meeting their needs in terms of
frequency of supervision and professional development. The present needs analysis
identifies key areas for learning, the ideal frequency of support and the
acceptability of using technology to deliver this support. This information will
guide future researchers in the development of an evidence-based model that will
be accessible and meaningful to its participants. What is known about the topic?
It is known that there are difficulties in recruiting and retaining practitioners
in rural and remote communities and that access to support and professional
development can be key in breaking this cycle, which may be triggered by
geographical isolation. Technology-delivered intervention and support, also known
as eHealth or Telehealth, has been used successfully in the disability sector for
medical rehabilitation, direct intervention, employment support and support
groups, but there is little evidence as to how technology is received by and
implemented with disability and mainstream service providers supporting children
with autism living in remote regions. What does this paper add? This paper
provides an insight into the current skills and confidence of a broad range of
service providers, including educators, allied health therapists and therapy and
community support workers, in working with children with autism. This paper also
investigates the experience, feasibility and potential uptake of a technology
driven program of support and professional development in rural and remote
Australia. Finally, this paper provides an insight into the desired frequency of
training and support, as well as identified learning support needs. What are the
implications for practitioners? These findings have and will continue to guide
practitioners in the development of an evidence-based, technology-driven model of
supporting rural and remote staff working with children with autism. Technology
has the potential to provide practitioners in geographically isolated areas with
access to more responsive, collaborative and individualised professional support
and training. Such practice may improve the skills of practitioners and the level
of support they can provide their clients with autism, with the added potential
of increasing staff retention in rural and remote areas of Australia.
PMID- 27890047
TI - A clear urban-rural gradient of allergic rhinitis in a population-based study in
Northern Europe.
AB - BACKGROUND: The protective effect of farm upbringing on allergic rhinitis is well
known, but how upbringing in other environments influences the development of
allergic rhinitis is scarcely investigated. The aim of this study was to
investigate the association between place of upbringing and pet keeping in
childhood and allergic rhinitis and nasal symptoms in adulthood. METHODS: The
population-based Respiratory Health in Northern Europe study includes subjects
from Denmark, Norway, Sweden, Iceland, and Estonia born in 1945-1973. This paper
analyses 13,376 participants of the third study wave. Six categories of place of
upbringing were defined: farm with livestock, farm without livestock, village in
rural area, small town, city suburb, and inner city. Pets in the home at birth
and during childhood were recorded. Data were analysed using adjusted logistic
regression models. RESULTS: Livestock farm upbringing predicted less adult
allergic rhinitis [odds ratio (OR) 0.68, 0.54-0.85] and nasal symptoms (OR 0.82,
0.68-0.99) than city upbringing, and an urban-rural gradient with decreasing risk
per level of urbanisation was observed (OR 0.92, 0.88-0.94). Pets in the home at
birth (OR 0.78, 0.68-0.88) and during childhood (OR 0.83, 0.74-0.93) were
associated with less subsequent allergic rhinitis. Pet keeping did not explain
the protective effect of place of upbringing. CONCLUSION: Risk of allergic
rhinitis and nasal symptoms in adulthood was inversely associated with the level
of urbanisation during upbringing. Pets at birth decreased the risk further, but
did not explain the urban-rural gradient. Persistent beneficial effects of
microbial diversity in early life might be an explanation for the findings.
PMID- 27890048
TI - Cultural hegemony? Educators' perspectives on facilitating cross-cultural
dialogue.
AB - BACKGROUND: We live in an age when education is being internationalized. This can
confront students with 'cultural hegemony' that can result from the unequal
distribution of power and privilege in global society. The name that is given to
awareness of social inequality is 'critical consciousness'. Cross-cultural
dialogue provides an opportunity for learners to develop critical consciousness
to counter cultural hegemony. The purpose of this research was to understand how
learners engage with cross-cultural dialogue, so we can help them do so more
effectively in the future. METHOD: The setting for this research was an online
discussion in an international health professions educator fellowship program. We
introduced scenarios with cultural references to study the reaction of
participants to cultural conversation cues. We used an inductive thematic
analysis to explore power and hegemony issues. RESULTS: Participants reflected
that personally they were more likely to take part in cross-cultural discussions
if they recognized the context discussed or had prior exposure to educational
settings with cultural diversity. They identified barriers as lack of skills in
facilitating cross-cultural discussions and fear of offending others. They
suggested deliberately introducing cultural issues throughout the curriculum.
CONCLUSION: Our results indicate that developing critical consciousness and cross
cultural competency will require instructional design to identify longitudinal
opportunities to bring up cross-cultural issues, and training facilitators to
foster cross-cultural discussions by asking clarifying questions and navigating
crucial/sensitive conversations.
PMID- 27890049
TI - Medication Safety-Models of Interprofessional Collaboration.
PMID- 27890050
TI - Interprofessional Medication Management in Patients With Multiple Morbidities.
AB - BACKGROUND: Medication reviews and medication management are being used more and
more around the world to improve medication safety. Both of these tools were
originally conceived as pharmaceutical care activities and have recently been
developed into interdisciplinary approaches. We studied the efficacy of
interprofessional medication management for multimorbid patients that takes their
medical conditions, but also their general living situation into account.
METHODS: A comprehensive medication management was performed, which involved the
collection of information on the drugs each patient took, the way they were
stored, the patient's drug intake and handling, and any problems that arose with
pharmacotherapy. The interventional approach was evaluated over a period of 15
months in a cluster-randomized controlled trial with a stepped wedge design. The
primary endpoint was the quality of pharmacotherapy, as assessed with the
Medication Appropriateness Index (MAI). A mixed model was used to analyze
efficacy. RESULTS: 162 patients were enrolled in the study; 142 were included in
the intention-to-treat analysis (53.3% women, mean age 76.8 +/- 6.3 years). The
mean total MAI score decreased significantly (p <= 0.001) from the control phase
(29.21, 95% CI [26.09; 32.33]) to the intervention phase (22.27 [19.00; 25.54]),
with an effect strength (Cohen's d) of -0.24 [-0.36; -0.13]. The number of drug
related problems declined as well. CONCLUSION: In this study, interprofessional
collaboration increased medication safety. Working across disciplinary boundaries
allowed for a decrease in drugrelated problems and brought up aspects outside the
purview of the primary care physician.
PMID- 27890051
TI - Medication and Treatment Adherence Following Hospital Discharge.
AB - BACKGROUND: Patients' drug regimens often need to be changed when they pass from
one care sector to another, but these changes sometimes pose a safety risk. To
avoid such risks, a new inter-sector transition concept was developed
incorporating discharge medication plans and counseling modules for the patients
themselves and the doctors receiving them into their care. METHODS: A prospective
interventional trial was carried out in two internal medicine wards of a general
hospital. After data acquisition from the control group, the transition concept
was developed and evaluated in an independent intervention group. The discharge
medication plan and the first post-discharge prescription were compared to
identify patients who had at least one medication change that increased the post
discharge risk of either failure to achieve the therapeutic goal (category A,
first endpoint) or of patient's lack of treatment adherence (category B). Gaps in
care after discharge were also analyzed. RESULTS: 200 consecutive patients were
enrolled in the trial. In the intention-to-treat analysis, the percentage of
patients with potentially jeopardizing medication changes in category A declined
from 54% (54/100) in the control group to 15% (15/100) in the intervention group.
(p<0.001). For medication changes in category B, there was a corresponding
decline from 53% (53/100) to 7% (7/100) (p < 0.001). Gaps in care were seen in
28% (28/100) of control patients and 18% (18/100) of patients in the intervention
group (p = 0.031). CONCLUSION: The likelihood of a potentially jeopardizing
medication change upon hospital discharge can be markedly reduced with the aid of
a modular transition concept. Gaps in care can be closed in this way as well.
PMID- 27890052
TI - The Power of Generosity.
PMID- 27890053
TI - The Value of a Nurse Scientist in the Perioperative Setting.
PMID- 27890054
TI - Results of the 2016 AORN Salary and Compensation Survey.
AB - AORN conducted its 14th annual compensation survey for perioperative nurses in
June 2016. A multiple regression model was used to examine how several variables,
including job title, education level, certification, experience, and geographic
region, affect nurse compensation. Comparisons between the 2016 data and data
from previous years are presented. The effects of other forms of compensation
(eg, on-call compensation, overtime, bonuses, shift differentials, benefits) on
base compensation rates also are examined. Additional analyses explore the effect
of the economic downturn on the perioperative work environment.
PMID- 27890055
TI - Overview of Recent Issues and Advances in Infection Prevention.
PMID- 27890056
TI - Patient Care Interventions to Reduce the Risk of Surgical Site Infections.
PMID- 27890057
TI - Optimal Infection Control Practices in the OR Environment.
PMID- 27890058
TI - Disinfection and Sterilization: Emerging Trends and Technologies.
PMID- 27890059
TI - Looking Forward-Infection Prevention in 2017.
PMID- 27890060
TI - Implementing No Interruption Zones in the Perioperative Environment.
AB - The perioperative arena is one of the most information-intensive, intricate, and
complex work environments in health care, and it depends on sound communication
to help ensure patient safety. Surgical procedures require attention, vigilance,
and situational awareness. Noise and other distractions have the potential to
cause mental lapses, impair thought processes, and divert attention away from
tasks, increasing the likelihood of adverse patient events. However, there is no
way to eliminate all noise from an OR. AORN advocates for a controlled
environment in which distractions and noise are minimized. Our project presents
an overview of how the No Interruption Zone concept was introduced in an
outpatient surgical setting through an educational intervention. Our findings
suggest that noise levels during critical phases of surgery can be reduced
through focused education for perioperative team members.
PMID- 27890061
TI - Preoperative Screening for Sleep-Disordered Breathing in Children: A Systematic
Literature Review.
AB - Clinicians frequently underestimate or do not assess sleep-disordered breathing
(SDB) in children in ambulatory surgical centers. Identifying the disorder and
obtaining information relevant to anesthesia management can be assisted by the
use of a standard questionnaire during preoperative assessment. We wanted to
determine whether a preoperative screening tool increases clinician awareness of
SDB in children and leads to a decrease in perioperative respiratory adverse
events. We reviewed 21 articles to identify reliable screening tools for
pediatric SDB in ambulatory surgical centers and selected six articles for the
review. We concluded that the Snoring, Trouble Breathing, Un-Refreshed
questionnaire is a reliable preoperative SDB identification tool and that
awareness of preexisting SDB in children presenting for surgery in ambulatory
surgical centers aids in designing a patient-specific plan of care to reduce
perioperative respiratory adverse events. Standardizing the use of the Snoring,
Trouble Breathing, Un-Refreshed questionnaire during the preoperative evaluation
is a safety measure for pediatric surgical patients.
PMID- 27890062
TI - A Nurse-Initiated Perioperative Pressure Injury Risk Assessment and Prevention
Protocol.
AB - Pressure injuries negatively affect patients physically, emotionally, and
economically. Studies report that pressure injuries occur in 69% of inpatients
who have undergone a surgical procedure while hospitalized. In 2012, we created a
nurse-initiated, perioperative pressure injury risk assessment measure for our
midwestern, urban, adult teaching hospital. We retrospectively applied the risk
assessment to a random sample of 350 surgical patients which validated the
measure. The prospective use of the risk assessment and prevention measures in
350 surgical patients resulted in a 60% reduction in pressure injuries compared
with the retrospective group. Our findings support the use of a multipronged
approach for the prevention of health care-associated pressure injuries in the
surgical population, which includes assessment of risk, implementation of
evidence-based prevention interventions for at-risk patients, and continuation of
prevention beyond the perioperative setting to the nursing care unit.
PMID- 27890063
TI - Guideline Implementation: Patient Information Management.
AB - Clinical documentation captured in a patient's record provides health care
personnel with information that can be used to guide patient care. Data collected
in electronic health records can be accessed and aggregated across the health
care delivery system to enhance the safety, quality, and efficacy of care. The
updated AORN "Guideline for patient information management" provides guidance to
perioperative personnel on documenting and managing patient information. This
article focuses on key points of the guideline, which address data capture that
supports the clinical workflow, incorporation of professional guidelines and
standards as well as regulatory and mandatory reporting elements, use of
standardized clinical terminologies, data aggregation for use in research and
analytics, considerations for patient care orders, and safeguards for the
patient's security and confidentiality. Perioperative RNs should review the
complete guideline for additional information and for guidance when writing and
updating policies and procedures.
PMID- 27890064
TI - Guideline Summary: Patient Information Management.
PMID- 27890065
TI - Advancing Perioperative Nursing in Rwanda Through Global Partnerships and
Collaboration.
PMID- 27890066
TI - Instrument Count Sheets and Set Reviews as Patient Safety Tools.
PMID- 27890067
TI - Clinical Issues-December 2016.
AB - Head coverings Key words: head cover, bouffant, skull cap, hair, scalp. Skin
preps Key words: skin preps, antiseptics, cesarean delivery, surgical site
infections, abdomen. Pediatric surgical counts Key words: pediatrics, counts,
surgical, retained surgical items, policy. Sterile set up Key words: sterile
table, sterile set up, covering, monitoring, contamination. Surgical hand
antisepsis Key words: surgical hand rub, application, surgical scrub, scrubbed
areas.
PMID- 27890068
TI - Evidence appraisal of Malik HH, Darwood ARJ, Shaunak S, Kulatilake P, El-Hilly
AA, Mulki O, Baskaradas A. Three-dimensional printing in surgery: a review of
current surgical applications.: J Surg Res. 2015;199(2):512-522.
PMID- 27890069
TI - Guideline at a Glance: Processing Flexible Endoscopes.
PMID- 27890070
TI - No BP During NIBP.
PMID- 27890071
TI - Updates on blood transfusion guidelines.
PMID- 27890072
TI - Nilotinib against high dose imatinib for salvage therapy of chronic myeloid
leukaemia.
PMID- 27890074
TI - Foreword.
PMID- 27890073
TI - Switching to nilotinib versus imatinib dose escalation in patients with chronic
myeloid leukaemia in chronic phase with suboptimal response to imatinib (LASOR):
a randomised, open-label trial.
AB - BACKGROUND: Optimal management of patients with chronic myeloid leukaemia in
chronic phase with suboptimal cytogenetic response remains undetermined. This
study aimed to investigate the safety and efficacy of switching to nilotinib vs
imatinib dose escalation for patients with suboptimal cytogenetic response on
imatinib. METHODS: We did a phase 3, open-label, randomised trial in patients
with chronic myeloid leukaemia in chronic phase with suboptimal cytogenetic
response to imatinib according to the 2009 European LeukemiaNet criteria, in
Latin America, Europe, and Asia (59 hospitals and care centres in 12 countries).
Eligible patients were aged 18 years or older with Philadelphia chromosome
positive chronic myeloid leukaemia in chronic phase and Eastern Cooperative
Oncology Group performance status of 0-2. Before enrolment, all patients had
received 3-18 months of imatinib 400 mg once daily and had a suboptimal
cytogenetic response according to 2009 ELN recommendations, established through
bone marrow cytogenetics. By use of an interactive response technology using
fixed blocks, we randomly assigned patients (1:1) to switch to nilotinib 400 mg
twice per day or an escalation of imatinib dose to 600 mg once per day (block
size of 4). Investigators and participants were not blinded to study treatment.
Crossover was allowed for loss of response or intolerance at any time, or for
patients with no complete cytogenetic response at 6 months. The primary endpoint
was complete cytogenetic response at 6 months in the intention-to-treat
population. Efficacy endpoints were based on the intention-to-treat population,
with all patients assessed according to the treatment group to which they were
randomised (regardless of crossover); the effect of crossover was assessed in
post-hoc analyses, in which responses achieved after crossover were excluded. We
present the final results at 24 months' follow-up. This study is registered with
ClinicalTrials.gov (NCT00802841). FINDINGS: Between July 7, 2009, and Aug 29,
2012, we enrolled 191 patients. 96 patients were randomly assigned to nilotinib
and 95 patients were randomly assigned to imatinib. Complete cytogenetic response
at 6 months was achieved by 48 of 96 patients in the nilotinib group (50%, 95.18%
CI 40-61) and 40 of 95 in the imatinib group (42%, 32-53%; difference 7.9% in
favour of nilotinib; 95% CI -6.2 to 22.0, p=0.31). Excluding responses achieved
after crossover, 48 (50%) of 96 patients in the nilotinib group and 34 (36%) of
95 patients in the imatinib group achieved complete cytogenic response at 6
months (nominal p=0.058). Grade 3-4 non-haematological adverse events occurring
in more than one patient were headache (nilotinib group, n=2 [2%, including 1
after crossover to imatinib]; imatinib group, n=1 [1%]), blast cell crisis
(nilotinib group, n=1 [1%]; imatinib group, n=1 [1%]), and QT prolongation
(nilotinib group, n=1 [1%]; imatinib group, n=1 [1%, after crossover to
nilotinib]). Serious adverse events on assigned treatment were reported in 11
(11%) of 96 patients in the nilotinib group and nine (10%) of 93 patients in the
imatinib group. Seven (7%) of 96 patients died in the nilotinib group and five
(5%) of 93 patients died in the imatinib group; no deaths were treatment-related.
INTERPRETATION: While longer-term analyses are needed to establish whether the
clinical benefits observed with switching to nilotinib are associated with
improved long-term survival outcomes, our results suggest that patients with
suboptimal cytogenetic response are more likely to achieve improved cytogenetic
and molecular responses with switching to nilotinib than with imatinib dose
escalation, although the difference was not statistically significant when
responses achieved after crossover were included. FUNDING: Novartis
Pharmaceuticals.
PMID- 27890075
TI - Clone-specific MYD88 L265P and CXCR4 mutation status can provide clinical utility
in suspected Waldenstrom macroglobulinemia/lymphoplasmacytic lymphoma.
AB - MYD88 L265P, a diagnostic marker for lymphoplasmacytic lymphoma (LPL)/Waldenstrom
macroglobulinemia (WM) can also be detected in other hematopoietic malignancies.
We demonstrate a novel approach to increase the specificity of this marker for
WM/LPL diagnosis by combining flow cytometric cell sorting with molecular
analysis. Clonal B-lymphocyte and co-occurring clonal plasma cell populations of
low-grade B-cell lymphomas were sorted by flow cytometry and analyzed for
immunoglobulin gene rearrangements (PCR), and for MYD88 and CXCR4 mutations.
Identical clonal origin was confirmed by PCR for 21 LPL/WM cases and MYD88 L265P
was detected in both B-cell and plasma cell fractions. 9/20 other B-cell
lymphomas with identical light chain restriction on B-cells and plasma cells were
genotypically identical by PCR and MYD88 L265P was detected in both cell
fractions in 7/9 whereas in 11/20 specimens with different clonal origin, MYD88
L265P was absent (5/11), or only found in B-lymphocytes (4/11), or plasma cells
(2/11). CXCR4 mutations were detected in 17/39 cases, but missed in 63% of these
without cell sorting. Confirming MYD88L265P in both B-cells and plasma cell
fractions can provide a novel and powerful discriminator to distinguish LPL/WM
from phenotypically similar disorders. Furthermore, this approach significantly
increases CXCR4 detection sensitivity.
PMID- 27890076
TI - Dirofilaria immitis exhibits sex- and stage-specific differences in
excretory/secretory miRNA and protein profiles.
AB - The canine heartworm Dirofilaria immitis releases excretory/secretory molecules
into its host and in culture. We report analyses of the types, amounts and stage
dependence of microRNAs and proteins found in D. immitis culture media recovered
after incubating 800,000 microfilariae for 6days, 500L3 and 500L4 for 7days, as
well as 40 adult females and 40 adult males for 48h, all separately. In addition,
the presence of exosome-like particles was established by nanoparticle tracking
analysis. Our results are in concordance with the D. immitis molecules previously
detected in dog blood and in culture medium, but add additional insight into the
sex- and stage-specificity of these processes. Of 131 miRNA candidates analyzed,
none of the most abundant sequences was exclusively associated with one stage.
Several isoforms of the nematode miR-100 family, miR-279, miR-71, were highly
represented and overlapped substantially with the profile of heartworm miRNAs
described from infected dog blood. lin-4 was primarily associated with males. We
also report 4, 27 and 72 proteins in media from microfilariae, females and males,
respectively. The only protein in common to all samples was actin, and only 9/88
proteins with a gene ontology description had not been reported in other studies
of filarial secretomes. Exosomal proteins were well represented, dominated by
cytoskeletal proteins, metabolic enzymes, zeta polypeptide, and chaperones.
PMID- 27890077
TI - T lymphocyte immunophenotypes in the cerebrospinal fluid of dogs with visceral
leishmaniasis.
AB - Visceral leishmaniasis (VL) is a disease causing several clinical manifestations
in dogs, including neurological disorders. Nevertheless, there are few studies
related to the evaluation of the brain alterations during VL. Evidences of the
involvement of cerebral barriers in infected dogs was reported, including the
presence of brain inflammatory infiltrate, with a predominance of CD3+ T cells.
Therefore, the aim of this study was to determine the immunophenotypes of T
lymphocytes in the cerebrospinal fluid (CSF), as well as in peripheral blood, and
to correlate with brain alterations in dogs with VL. We detected elevated
percentages of double negative (DN) and double positive (DP) T cells in the CSF,
with a predominance of TCRalphab. In the histopathological analysis, we observed
a predominance of lymphoplasmacytic infiltrate, mainly in leptomeninges, ranging
from mild to intense, and we observed a positive correlation between the
intensity of inflammation in the subependymal area and the DN T cells of the CSF.
Thus, the DN T cells seem be acting as villains of the immune system through pro
inflammatory mechanisms. Further, the proportion of the different population of
CSF T cells did not differ from those observed in the blood, which provides us
with more evidence of blood-CSF barrier breakdown. Together, the results provide
more explanation to the inflammation observed in the brain of dogs with VL, which
the DN T cells contribute to the origin and progression of the neurological
disease. This study provides insight into the immunophenotypes of T lymphocytes
in the CSF during canine visceral leishmaniasis.
PMID- 27890078
TI - Animal Fascioliasis: Perspectives from high altitudinal regions.
AB - The parasitic flukes of the genus Fasciola (Platyhelminthes: Trematoda: Digenea)
cause fascioliasis or liver-rot disease in ruminant livestock in tropical and sub
tropical regions of the world. Classically, two species of Fasciola- F. hepatica
and F. gigantica, are universally recognized as taxonomically valid species. Our
survey studies on ovid and bovid animals including yak and mithun from high
altitudinal mountainous regions in Northeast India revealed the occurrence of
Fasciola gigantica and also Fasciola sp.- an intermediate form, at altitudes
between 5000 and 14,085 feet above sea level (asl). Two morphotypes- F. hepatica
like and F. gigantica - like, of Fasciola species were reported from the high
altitudinal areas of Northeast India; most of these locales constitute new
locality and first records for the occurrence of these liver flukes.
PMID- 27890079
TI - Detection of Theileria parva in tissues of cattle undergoing severe East Coast
fever disease show significant parasite DNA accumulation in the spleen.
AB - Infiltration and proliferation of Theileria parva infected lymphocytes in bovine
host lymphoid organs is one of the hallmarks of T. parva infection. The relative
abundance of parasites within infected host tissues, both lymphoid and non
lymphoid is however unknown. Using quantitative PCR, we have shown that
significantly higher concentrations of T. parva DNA are detected in the spleens
of cattle undergoing severe disease compared to other organs.
PMID- 27890080
TI - Antibodies against Dictyocaulus viviparus major sperm protein in bulk tank milk:
Association with clinical appearance, herd management and milk production.
AB - The objective of this study was to conduct a comprehensive field survey using a
Dictyocaulus viviparus major sperm protein ELISA on bulk tank milk samples from
Belgian dairy herds to gain insights in: (1) the sensitivity (Se) and specificity
(Sp) of the test under field conditions; (2) the value of the test to predict a
future clinical lungworm outbreak; (3) its associations with milk production
parameters and (4) its associations with herd management factors. A total of 1248
herds were sampled, with samplings occurring in the middle ("August") and towards
the end ("October") of the grazing season. A completed questionnaire on potential
risk factors and potentially lungworm-induced clinical signs was obtained from
587 farms and milk production records could be obtained from 343 herds. The
median (25th-75th percentile) D. viviparus antibody level (ODR) was 0.25 (0.19
0.31) in "August" and 0.24 (0.19-0.32) in "October". At a threshold of 0.41 ODR,
the Se and Sp were estimated using mixture models at 50 and 99%, respectively. At
the same threshold, the positive and negative predictive value of the ELISA
applied in "August" on the occurrence of farmer-reported lungworm symptoms in the
period August-November were 65% and 69%, respectively. D. viviparus antibody
levels were significantly higher in the north vs. the south of the country, in
large herds and in herds that did not mow pastures or that frequently purchased
new animals. An increase in the ELISA result of "August" over the interquartile
range was associated with a drop in the annual average milk yield, milk protein%
and milk fat% of -0.50kgcow-1day-1, 0.02 and 0.02, respectively. The
relationships between the ELISA results in "October" and milk production
parameters were also negative, but lower and non- or only marginally significant.
We conclude that the bulk tank milk ELISA has a low value to predict lungworm
disease on an individual farm based on a fixed sampling date in the middle of the
grazing season. On the other hand, the test has been potential to detect
subclinical production impacts and study risk factors through epidemiological
surveys.
PMID- 27890081
TI - Association between Leishmania infantum DNA in the hair of dogs and their
infectiousness to Lutzomyia longipalpis.
AB - Diagnosis of infection with Leishmania infantum by DNA detection in the hair has
been recently demonstrated in dogs and wild animals. Our objective was to
investigate if polymerase chain reaction (PCR) in hair might be used to identify
infectious dogs. Thus, we assessed the infectiousness to Lutzomyia longipalpis by
xenodiagnosis in comparison with the detection of L. infantum DNA by PCR in the
hair, and with serology for anti-Leishmania IgG by ELISA in 15 positive dogs for
L. infantum infection. Eight healthy dogs were included as negative controls.
Among the 15 infected dogs, 13 were found positive in the ELISA (87%), 12 were
PCR positive in the hair (80%), and 10 were positive in xenodiagnosis (67%).
Positivity in the hair was associated with positivity in spleen (p=0.0003),
seropositivity for antibodies (p=0.0006) and parasite transmission to L.
longipalpis (p=0.0028). Considering the benefits to animal welfare and
feasibility of hair sampling method, studies in larger and more diverse
populations of naturally infected dogs from endemic areas should be conducted to
evaluate the sensitivity, specificity, and predictive values of PCR using hair as
a possible biomarker of infectiousness in dogs.
PMID- 27890082
TI - RAP-1a is the main rhoptry-associated-protein-1 (RAP-1) recognized during
infection with Babesia sp. BQ1 (Lintan) (B. motasi-like phylogenetic group), a
pathogen of sheep in China.
AB - Babesia sp. BQ1 (Lintan) is one of the parasites isolated from infected sheep in
China that belongs to the B. motasi-like phylogenetic group. The rhoptry
associated-protein 1 (rap-1) locus in this group consists of a complex
organization of 12 genes of three main types: 6 rap-1a variants intercalated with
5 identical copies of rap-1b and a single 3' ending rap-1c gene. In the present
study, transcription analysis performed by standard RT-PCR demonstrated that the
three different rap-1 gene types and the four rap-1a variants were transcribed by
the parasite cultivated in vitro. Peptides, specific for each rap-1 type gene,
were selected in putative linear B-epitopes and used to raise polyclonal rabbit
antisera. Using these sera, the same expression pattern of RAP-1 proteins was
found in parasites cultivated in vitro or collected from acute infection whereas
only RAP-1a67 was detectable in merozoite extracts. However, ELISA performed with
recombinant RAP-1a67, RAP-1b or RAP-1c and sera from infected sheep demonstrated
that RAP-1a67 is the main RAP-1 recognized during infection, even if some
infected sheep also recognized RAP-1b and/or RAP-1c.
PMID- 27890083
TI - Anticoccidial efficacy of naringenin and a grapefruit peel extract in growing
lambs naturally-infected with Eimeria spp.
AB - The current study aimed to determine the anti-Eimeria efficacy of an extract of
grapefruit peels (GF) and commercial naringenin (NAR) in naturally-infected
lambs, as well as the influence of these flavonoids on the oxidative status
during ovine coccidiosis. Pharmacokinetic profiles were also determined. Extracts
were administered per os to Eimeria naturally infected growing lambs during 90
consecutive days. The commercial anticoccidial drug toltrazuril (TTZ) was
included in this trial as a standard. Twenty-four lambs were divided into four
groups: NAR, lambs given a daily dose of 5mg of a commercial naringenin extract
of 98% higher purity per kg body weight; GF, lambs that recived a daily dose of
5mg of ethanolic extract of grapefruit peels per kg body weight; TTZ, lambs
treated with 20mg of toltrazuril/kg body weight on days 0 and 15 of the
experiment; and CTRL, untreated lambs that received daily dose of 30ml of water.
Daily doses of GF and NAR were dissolved in 30ml of water and orally given to
animals; whereas toltrazuril was administered as a single dose of an undiluted
suspension to lambs of the TTZ group. The CTRL group received 30ml of water; as
well as the TTZ group for the period after the single dose administration. Fecal
and serum samples were collected from all lambs. Anticoccidial efficacy was
estimated by coprological techniques. Generation of nitric oxide levels and the
antioxidant capacity of the experimental compounds were determined by the Griess
and ABTS assays, respectively. The pharmacokinetic parameters of NAR and the GF
extract were obtained. On day 30 post-ingestion, anticoccidial efficacy was
91.76% (NAR) and 89.65% (GF); whereas 99.63% of efficacy was achieved with TTZ
15days after treatment. NAR, GF and TTZ significantly reduced oxidative stress in
infected animals. The mean daily weight gain for each group was 122g (NAR), 122g
(GF), 143g (TTZ) and 98g (CTRL). Following the oral administration of NAR and GF,
values in plasma approached maximum concentrations within 2.1 to 2.5h. In
conclusion, the administration of NAR and the GF extract reduced Eimeria oocyst
output, oxidative stress and promoted higher mean daily weight gains in infected
lambs.
PMID- 27890084
TI - Comparison of molecular and conventional methods for the diagnosis of Fasciola
hepatica infection in the field.
AB - The liver fluke, Fasciola hepatica, is one of the major parasite threats to
livestock industries world-wide. In sheep and cattle, F. hepatica infection is
commonly diagnosed using a range of methods. Aside from conventional coprological
and serological diagnostic methods, there are also several molecular methods
available based on the detection of liver fluke DNA in faeces. In this study, the
outcomes of faecal egg count (FEC), serology and coproantigen ELISA (cELISA) were
compared with the performance of polymerase chain reaction (PCR) and loop
mediated isothermal amplification (LAMP) in diagnosis of F. hepatica from
naturally infected cattle and sheep. A total of 64 individual faecal and serum
samples were collected from four sheep and beef cattle herds with previous
histories of F. hepatica infection. FEC and coproantigen levels were measured in
faecal samples and anti-F.hepatica antibody levels were measured in serum
samples. DNA samples isolated from faeces were examined both by PCR and LAMP,
targeting the internal transcribed spacer 2 (ITS2) region of the F. hepatica
genome. Results showed that F. hepatica eggs were present in 28 animals, while
coproantigen and specific anti-F. hepatica antibodies were detected in 36 and 53
animals, respectively. Only 3 and 6 samples were positive by PCR and LAMP,
respectively. To calculate method specificity and sensitivity, a combination of
FEC and cELISA was selected as the composite reference standard (CRS). When
compared to the CRS, PCR had a sensitivity of 10.7% and specificity of 100%,
whereas LAMP had a sensitivity and specificity of 17.9% and 97.2%, respectively.
PCR and LAMP in this field study were highly specific, but both had poor
sensitivity compared with FEC and cELISA. Potential reasons for PCR and LAMP
failure were inadequate amounts of amplifiable F. hepatica DNA, possibly due to
the choice of DNA extraction procedure, amount of faecal material processed, as
well as different faeces consistency and composition between different animal
species.
PMID- 27890085
TI - Detection of N2O-producing fungi in environment using nitrite reductase gene
(nirK)-targeting primers.
AB - Fungal denitrification has been increasingly investigated, but its community
ecology is poorly understood due to the lack of culture-independent tools. In
this work, four pairs of nirK-targeting primers were designed and evaluated for
primer specificity and efficiency using thirty N2O-producing fungal cultures and
an agricultural soil. All primers amplified nirK from fungi and soil, but their
efficiency and specificity were different. A primer set, FnirK_F3/R2 amplified
~80 % of tested fungi, including Aspergillus, Fusarium, Penicillium, and
Trichoderma, as compared to ~40-70 % for other three primers. The nirK fragments
of fungal and soil DNA amplified by FnirK_F3/R2 were phylogenetically related to
denitrifying fungi in the orders Eurotiales, Hypocreales, and Sordariales; and
clone sequences were also distributed in the clusters of Chaetomium, Metarhizium,
and Myceliophthora that were uncultured from soil in our previous work. This
proved the wide-range capability of primers for amplifying diverse denitrifying
fungi from environment. However, our primers and recently-developed other primers
amplified bacterial nirK from soil and this co-amplification of fungal and
bacterial nirK was theoretically discussed. The FnirK_F3/R2 was further compared
with published primers; results from clone libraries demonstrated that
FnirK_F3/R2 was more specifically targeted on fungi and had broader taxonomical
coverage than some others.
PMID- 27890086
TI - Multiple isoforms for the catalytic subunit of PKA in the basal fungal lineage
Mucor circinelloides.
AB - Protein kinase A (PKA) activity is involved in dimorphism of the basal fungal
lineage Mucor. From the recently sequenced genome of Mucor circinelloides we
could predict ten catalytic subunits of PKA. From sequence alignment and
structural prediction we conclude that the catalytic core of the isoforms is
conserved, and the difference between them resides in their amino termini. This
high number of isoforms is maintained in the subdivision Mucoromycotina. Each
paralogue, when compared to the ones form other fungi is more homologous to one
of its orthologs than to its paralogs. All of these fungal isoforms cannot be
included in the class I or II in which fungal protein kinases have been
classified. mRNA levels for each isoform were measured during aerobic and
anaerobic growth. The expression of each isoform is differential and associated
to a particular growth stage. We reanalyzed the sequence of PKAC (GI 20218944),
the only cloned sequence available until now for a catalytic subunit of M.
circinelloides. PKAC cannot be classified as a PKA because of its difference in
the conserved C-tail; it shares with PKB a conserved C2 domain in the N-terminus.
No catalytic activity could be measured for this protein nor predicted
bioinformatically. It can thus be classified as a pseudokinase. Its importance
can not be underestimated since it is expressed at the mRNA level in different
stages of growth, and its deletion is lethal.
PMID- 27890087
TI - Molecular phylogeny, diversity, community structure, and plant growth promoting
properties of fungal endophytes associated with the corms of saffron plant: An
insight into the microbiome of Crocus sativus Linn.
AB - A total of 294 fungal endophytes were isolated from the corms of Crocus sativus
at two stages of crocus life cycle collected from 14 different saffron growing
sites in Jammu and Kashmir (J & K) State, India. Molecular phylogeny assigned
them into 36 distinct internal transcribed spacer (ITS) genotypes which spread
over 19 genera. The diversity of endophytes was higher at the dormant than at the
vegetative stage. The Saffron microbiome was dominated by Phialophora mustea and
Cadophora malorum, both are dark septate endophytes (DSEs). Some endophytes were
found to possess antimicrobial properties that could be helpful for the host in
evading the pathogens. These endophytes generally produced significant quantities
of indole acetic acid (IAA) as well. However, thirteen of the endophytic taxa
were found to cause corm rot in the host with different levels of severity under
in vitro as well as in vivo conditions. This is the first report of community
structure and biological properties of fungal endophytes associated with C.
sativus, which may eventually help us to develop agro-technologies, based on
plant-endophyte interactions for sustainable cultivation of saffron. The
endophytes preserved ex situ, in this study, may also yield bioactive natural
products for pharmacological and industrial applications.
PMID- 27890089
TI - A mid-Cretaceous Eccrinales infesting a primitive wasp in Myanmar amber.
AB - A mid-Cretaceous Eccrinales in Myanmar amber is described as Paleocadus
burmiticus gen. et sp. nov. in the family Eccrinaceae. The fossil is represented
by two types of sporangiospores formed on different thalli protruding from the
anus of a primitive wasp, with secondary infestation spores multinucleate and
thin walled. Its presence establishes the Eccrinales in the mid-Cretaceous and
shows that at that time, lineages of this group parasitized wasps, an association
unknown with extant members of the Order.
PMID- 27890088
TI - Fungal endophytic communities associated to the phyllosphere of grapevine
cultivars under different types of management.
AB - Fungal endophytes present in different asymptomatic grapevine plants (Vitis
vinifera L.) located in different vineyards within Alentejo, a highly important
viticulture region in Portugal, were identified in this study. Sampled grapevine
plants included the three most representative cultivars in the region, Syrah,
Cabernet Sauvignon, and Aragonez, growing under two different modes of
management, conventional and biological. Sixteen fungal taxa were identified
through sequencing of the internal transcribed spacer region. Total number of
endophytic fungi isolated showed significant differences both in management mode
and in cultivars, with higher numbers in grapevines under conventional mode and
from Syrah cultivar. The composition of fungal endophytic communities did not
show significant differences among cultivars, but differences were observed
between fungal communities isolated from grapevines under biological or
conventional modes. The most fungal taxa isolated from grapevines cultivated
under biological mode were Alternaria alternata, Cladosporium sp., and Nigrospora
oryzae, and under conventional mode Botrytis cinerea, Epicoccum nigrum, and
Epicoccum sp. These differences suggest that the different products used in
grapevine production have impacts in fungal endophytic composition. Further
investigation of the identified fungi with respect to their antagonistic
characteristics and potential use in plant protection to ensure food safety is
now in course.
PMID- 27890090
TI - Guyanagarika, a new ectomycorrhizal genus of Agaricales from the Neotropics.
AB - A new genus and three new species of Agaricales are described from the Pakaraima
Mountains of Guyana in the central Guiana Shield. All three of these new species
fruit on the ground in association with species of the ectomycorrhizal (ECM) tree
genus Dicymbe (Fabaceae subfam. Caesalpinioideae) and one species has been shown
to form ectomycorrhizas. Multi-locus molecular phylogenetic analyses place
Guyanagarika gen. nov. within the Catathelasma clade, a lineage in the suborder
Tricholomatineae of the Agaricales. We formally recognize this 'Catathelasma
clade' as an expanded family Catathelasmataceae that includes the genera
Callistosporium, Catathelasma, Guyanagarika, Macrocybe, Pleurocollybia, and
Pseudolaccaria. Within the Catathelasmataceae, Catathelasma and Guyanagarika
represent independent origins of the ectomycorrhizal habit. Guyanagarika is the
first documented case of an ECM Agaricales genus known only from the Neotropics.
PMID- 27890091
TI - Lactarius subgenus Russularia (Basidiomycota, Russulales): novel Asian species,
worldwide phylogeny and evolutionary relationships.
AB - Lactarius subg. Russularia is a large group of milkcaps occurring almost
worldwide and dominant in many ecosystems. In this study we focus on new
diversity, evolutionary relationships, divergence time, and origin of the
subgenus. Six conifer symbionts are described as new to science: Lactarius atrii,
L. aurantionitidus, L. dombangensis, L. flavigalactus, L. lachungensis, and L.
sikkimensis. Species delimitation is assessed based on the concordance between
morphological characteristics and an ITS phylogeny. Infrageneric relationships
were studied using a phylogeny constructed from concatenated ITS-rpb2 data using
Maximum Likelihood and Bayesian inference. Results show that species in this
subgenus do not cluster together according to their geographic origin.
Intercontinental sister relationships between Europe/Asia/North America are
common but actual conspecificity is rare. This result suggests that allopatric
speciation has played an important role within this subgenus. Only few
morphological characteristics tend to be phylogenetically informative, with the
most important being presence or absence of true cystidia and the pileipellis
structure. Two datasets were generated in order to estimate the age of L. subg.
Russularia. The results suggest the origin of L. subg. Russularia to be in the
Mid Miocene period.
PMID- 27890092
TI - Routes of phlogopite weathering by three fungal strains.
AB - Fungi dissolve soil minerals by acidification and mechanical disruption.
Dissolution may occur at the microscale (contact between fungus and mineral) and
medium scale (entire mineral grains). Mineral weathering by fungi and other
microorganisms is thought to be of significant global contribution, perhaps
producing specific weathering signatures. We report fungal dissolution of
phlogopite mica in experiments with three fungal strains (Alternaria tenuissima,
Cladosporium cladosporioides, Stilbella sp.) on solid medium for 30 days at 21
degrees C and 96-100% relative humidity. The study used variable-pressure SEM-EDS
equipped with charge contrast imaging. Statistical analysis of the results
discriminated between the weathering activities of the three fungal species,
which increased from Stilbella sp. to C. cladosporioides to A. tenuissima, in
agreement with the respective decreasing pH in the media (6.4, 5.8, 5.2 +/-
0.03). Phlogopite weathering features were irregular and variable, apparently not
caused by direct contact with fungal hyphae. EDS values indicated two or more
dissolution mechanisms, one of them suggesting cation rearrangement in the mica
towards formation of Al-rich smectite. Intimate fungus-mineral interaction was
observed, and the lack of observable dissolution traces from such contact
interaction is interpreted as the result of effacing by the more intense acid
leaching operating at larger scale.
PMID- 27890093
TI - 3-Nitropropionic acid production by the endophytic Diaporthe citri: Molecular
taxonomy, chemical characterization, and quantification under pH variation.
AB - 3-nitropropionic acid (3-NPA) is a nitrogenated compound produced by plants and
fungi and has been associated with poisoning episodes in humans, animals, and to
induction of Huntington disease symptoms in rats. The production of 3-NPA by
endophytes has been reported, but the function and biosynthesis are not well
defined. The specie of endophytic strain G-01 was confirmed as Diaporthe citri
using a multilocus sequence analysis, and was verified different concentrations
of 3-NPA produced at different initial pHs by these strain. The chemical analysis
indicated that 3-NPA was the majority compound present in the crude extracts. The
better extraction condition was at an initial pH of 7.0 for 22 d, yielding about
80 % of 3-NPA per mg of extract. It was observed that the concentration of 3-NPA
increased after the initial consumption of reduction sugars, indicating that the
compound is produced after the high energetic production phase of the fungus.
These and other studies demonstrate the production of this compound by plants and
endophytic fungi, indicating that 3-NPA may be involved in defence and nutrition
systems of endophytes and host plants, and they also might participate in the
biogeochemical nitrogen cycle.
PMID- 27890094
TI - Functional expression, production, and biochemical characterization of a laccase
using yeast surface display technology.
AB - A Trametes versicolor laccase was functionally expressed on the membrane surface
of Saccharomyces cerevisiae EBY100. Laccase expression was increased 6.57-fold by
medium optimization and surpassed production by the native strain. Maximal
laccase and biomass production reached 19 735 +/- 1719 Ug-1 and 6.22 +/- 0.53 gL
1 respectively, after 2 d of culture. Optimum oxidization of all substrates by
laccase was observed at pH 3. Laccase showed high affinity towards substrates
used with Km (mM) and Vmax (MUmol min-1) values of 0.57 +/- 0.0047 and 24.55 +/-
0.64, 1.52 +/- 0.52 and 9.25 +/- 1.78, and 2.67 +/- 0.12 and 11.26 +/- 0.75, were
reported for ABTS, 2, 6-DMP and GUA, respectively. EDTA and NaN3 displayed none
competitive inhibition towards laccase activity. The optimum temperature for
activity was 50 degrees C; however, the enzyme was stable over a wide range of
temperatures (25-70 degrees C). The biologically immobilized laccase showed high
reusability towards phenolic substrates and low reusability with non-phenolic
substrates. High affinity for a diversity phenolic compounds and great ethanol
tolerance substantiates this laccase/yeast biocatalyst potential for application
in the production of bioethanol.
PMID- 27890095
TI - An unexpected death due to massive ascites and a giant mucinous ovarian
cystadenoma.
AB - A female in her thirties fell face down in her room. She was motionless when her
sister found her. She was transported to the hospital by ambulance and was in a
state of cardiopulmonary arrest on admission. She did not respond to
resuscitation. Her abdomen had started to swell 3years before her death. An
autopsy was performed to clarify the decedent's cause of death. She was 172cm
tall and weighed 146kg. Her maximum abdominal girth was 172.1cm. A subcutaneous
hemorrhage measuring 4.5cm in diameter was observed in the epigastric region. The
abdominal cavity contained brownish ascites (54.1L). The left ovary was markedly
swollen, and the combined weight of the uterus and right ovary was more than
13.0kg. A left ovarian tumor consisting of serous and mucinous cysts was
detected. There were no metastatic lesions in the peritoneum or other organs. She
might have suffered circulatory disturbance caused by the ascites and ovarian
tumor. Moreover, being in a prone position would have resulted in an increase in
intra-abdominal pressure, further exacerbating her circulatory problems.
Therefore, her cause of death was considered to be circulatory failure caused by
significant ascites and a large ovarian tumor.
PMID- 27890096
TI - Entrance and exit wounds of high velocity bullet: An autopsy analysis in the
event of dispersing the mass rally in Bangkok Thailand, May 2010.
AB - Fatal mass casualties by high velocity bullets (HVBs) are rare events in peaceful
countries. This study presents 27 forensic autopsy cases with 32 shots fired by
5.56*45mm. HVB (M-16 rifle bullets) during the dispersing the mass rally in
Bangkok Thailand, May 2010. It was found that twenty-three (71.88%) typical
entrance HVB wounds had round sizes less than the bullet diameters. Most entrance
wounds had microtears but no collar abrasion since a HVB has a small streamlined
spitzer tip and full metal jacket. For exit wounds, there were various sizes and
shapes depending on which section of wound ballistics presented when the bullet
exited the body. If a bullet exited in the section of temporally cavity
formation, there would be a large size exit wound in accordance with the degree
of bullet yaw. This is different from civilian bullets whereby the shape looks
like a cylindrical round nose and at low velocity that causes entrance wounds
with a similar size to the bullet diameter and is usually round or oval shape
with collar abrasion. The temporary cavity is not as large as in a HVB so exit
wounds are not quite as large and present a ragged border compared to a HVB. We
also reported 9 out of 32 shots (28.13%) of atypical entrance wounds that had
various characteristics depending on site of injury and destabilization of
bullets. These findings may be helpful to forensic pathologists and to give
physicians, who need to diagnose HVB wounds, more confidence.
PMID- 27890097
TI - Forensic genetic study of 29 Y-STRs in Korean population.
AB - In this study, we compared two recently released commercial Y-chromosomal short
tandem repeat (Y-STR) kits: the PowerPlex Y23 System (PPY23) and Yfiler(r) Plus
PCR amplification kit (YPlus). We performed validation studies, including
sensitivity, tolerance to PCR inhibitors, and mixture analysis, and a population
genetics study using 306 unrelated South Korean males. PPY23 and YPlus showed
similar sensitivity, but PPY23 showed higher tolerance to humic acid than YPlus.
Furthermore, the detection rate of unique minor alleles called from male/male
mixtures was higher for PPY23 than for YPlus. Comparing the newly added loci, the
mean values of gene diversity for PPY23 and YPlus were 0.6715 and 0.8158,
respectively. The discrimination capacity in the 306 unrelated South Korean males
for PPY23 was 0.9837, and that for YPlus was 0.9935. These results will inform
the selection of suitable Y-STR kits based on the purpose of forensic DNA
analysis.
PMID- 27890098
TI - Ballistics and anatomical modelling - A review.
AB - Ballistics is the study of a projectiles motion and can be broken down into four
stages: internal, intermediate, external and terminal ballistics. The study of
the effects a projectile has on a living tissue is referred to as wound
ballistics and falls within terminal ballistics. To understand the effects a
projectile has on living tissues the mechanisms of wounding need to be
understood. These include the permanent and temporary cavities, energy, yawing,
tumbling and fragmenting. Much ballistics research has been conducted including
using cadavers, animal models and simulants such as ballistics ordnance gelatine.
Further research is being conducted into developing anatomical, 3D, experimental
and computational models. However, these models need to accurately represent the
human body and its heterogeneous nature which involves understanding the
biomechanical properties of the different tissues and organs. Further research is
needed to accurately represent the human tissues with simulants and is slowly
being conducted.
PMID- 27890099
TI - Potential use of pericardial cTnI, Mg2+ and Ca2+ in the forensic investigation of
seawater drowning in Greece: An initial assessment.
AB - The investigation of drowning constitutes one of the biggest problems in forensic
practice. Elevated cardiac troponin I (cTnI) levels in biological fluids have
been associated with myocardial damage, whereas increased Mg2+ and Ca2+ levels
were found in cases of seawater drowning. The aim of this study was to examine
the diagnostic utility of postmortem determination of cTnI, Mg2+ and Ca2+ in the
pericardial fluid, in differentiating between cases of seawater drowning related
to myocardial injury and those brought about by other causes. This study included
76 cases selected during a 2-year period from medicolegal autopsies. The cases
were divided into three groups, according to the cause of death established based
on macroscopic and microscopic evidence. The groups were: 1) seawater drowning
(n=23), 2) seawater drowning with histological evidence of myocardial infarction
(n=28), and 3) myocardial infarction unrelated to drowning (n=25). cTnI was
determined with an enzyme immunoassay; Mg2+ and Ca2+ with standard colorimetric
assays. Pericardial cTnI levels were significantly lower in group 1 compared to
groups 2 and 3. In contrast, pericardial Mg2+ and Ca2+ levels were both
significantly higher in groups 1 and 2 compared to group 3. Our results suggest
that the postmortem determination of pericardial cTnI levels may be useful in
detecting previous myocardial damage as a contributory factor in death from
seawater drowning and provide independent confirmation of the usefulness of
pericardial Mg2+ and Ca2+ levels for differentiating between seawater drowning
and fatal acute myocardial injury unrelated to the former.
PMID- 27890100
TI - Sex and ancestry assessment of Brazilian crania using semi-automatic mesh
processing tools.
AB - The present paper aims to test performances of semi-automatic tools for mesh-to
mesh processing while assessing sex and ancestry in documented human crania. The
studied sample of 80 human crania, which originated in two documented Brazilian
collections (Sao Paulo, Brazil) was digitized using photogrammetry and laser
scanning. 3D cranial morphology was quantified by computing inter-mesh
dissimilarity measures using in-house freeware FIDENTIS Analyst
(www.fidentis.com). Numerical outputs were further processed using Discriminant
Function Analysis and Canonical Variant Analysis in order to classify models into
sex and ancestry groups. In addition, cranial morphology was described by a set
of 37 landmarks, processed by a Procrustes analysis and confronted with the inter
mesh comparison. Patterns of sexual dimorphism and ancestral group-specific
variation were interpreted using average meshes and further emphasized by
employing advanced visualization graphics. The mesh-to-mesh processing was
capable to detect shape differences related to sex and ancestry. The highest
accuracy levels for sex determination were obtained for meshes representing the
facial skeleton and the supraorbital region. For both, analysis correctly
assigned 82.5% of the crania. Ancestry-related differences were manifested
primarily in the global cranial features (observed accuracy rates reaching 63%).
The advanced visualization tools provided a highly informative insight into
sexual dimorphism and ancestry-related variation. While in the current state the
technique cannot be considered suitable for being implemented into the everyday
forensic practice, the extent of automatization proved to be perspective,
especially for assessing skeletal features that cannot be properly quantified
using discrete variables.
PMID- 27890101
TI - Genetic polymorphism studies on 22 autosomal STR loci of the PowerPlex Fusion
System in Bangladeshi population.
AB - Genetic polymorphism of 22 autosomal STR loci included in PowerPlex(r) Fusion
System (D3S1358, D1S1656, D2S441, D10S1248, D13S317, Penta E, D16S539, D18S51,
D2S1338, CSF1PO, Penta D, TH01, vWA, D21S11, D7S820, D5S818, TPOX, D8S1179,
D12S391, D19S433, FGA and D22S1045) was studied in 188 unrelated Bangladeshi
Bengali individuals. Allele frequencies and forensic efficiency parameters such
as, the power of discrimination (PD), observed and expected heterozygosity (Ho &
He), polymorphism information content (PIC), probability of match (PM), power of
exclusion (PE) and typical paternity index was calculated for the loci. The
combined PM and PE for all 22 STR loci were calculated to be 5.29*10-27 and
0.99999999945 respectively. The dataset indicated the usefulness of these loci in
personal identification, parentage testing and complex kinship analysis in
Bangladeshi population. A neighbor-joining tree was constructed based on pair
wise Nei's genetic distance by comparing allele frequency data for the 22 loci
with six other populations. The analysis showed that Bangladeshi population lies
closer to a clade consisting Japan, the Philippines and East Timot populations.
PMID- 27890102
TI - Comment on sudden death due to rupture of the right internal carotid artery in
neurofibromatosis type 1 by Liang et al.
PMID- 27890103
TI - The genetic structure of native Americans in North America based on the
Globalfiler(r) STRs.
AB - Current forensic STR databases, such as CODIS, lack population genetic data on
Native American populations. Information from a geographically diverse array of
tribes is necessary to provide improved statistical estimates of the strength of
associations with DNA evidence. The Globalfiler(r) STR markers were used to
characterize the genetic structure of ten tribal populations from seven
geographic regions in North America, including those not presently represented in
forensic databases. Samples from the Arctic region, Baja California,
California/Great Basin, the Southeast, Mexico, the Midwest, and the Southwest
were analyzed for allele frequencies, observed and expected heterozygosities, and
F-statistics. The tribal samples exhibited an FST or theta value above the
conservative 0.03 estimate recommended by the National Research Council (NRC) for
calculating random match probabilities among Native Americans. The greater
differentiation among tribal populations computed here (theta=0.04) warrants the
inclusion of additional regional Native American samples into STR databases.
PMID- 27890104
TI - An autopsy case of spontaneous esophageal perforation (Boerhaave syndrome).
AB - A 45-year-old male, an alcohol addict with asthma, was found dead in his home,
after several days of continued drinking. A forensic autopsy was performed 3days
after the discovery of his death in order to specify the cause of death. A
longitudinal perforation penetrating all layers of the esophagus measuring 1.8cm
was present on the left wall approximately 2.0cm from the gastroesophageal
junction. There were 1900mL of greenish to brownish turbid liquid in the left
pleural cavity and 150mL of greenish viscous liquid in the stomach.
Histopathologically, an infiltration of numerous neutrophils was evident in the
submucosa layer, proper muscular layer, and serous membrane of the esophagus,
corresponding to the esophageal laceration. The serum C-reactive protein (CRP)
concentration was determined to be 3.1mg/dL. The alcohol concentrations were
determined to be 1.49mg/g in the right cardiac blood, 1.31mg/g in the left
cardiac blood, and 2.48mg/g in urine. Based upon the autopsy and
histopathological findings, as well as the biochemical and toxicological
analyses, we concluded that the cause of death was respiratory failure by pleural
effusion, resulting from spontaneous esophageal perforation. This was the first
report of a spontaneous esophageal perforation eventually causing respiratory
failure.
PMID- 27890105
TI - Use of postmortem computed tomography angiography to detect vascular injuries
accompanying skull base fracture.
AB - A 58-year-old woman who had presented for upper gastrointestinal barium
examination accidently slipped from the movable bed, and her head became
compressed between the end of the bed and the side wall. She suffered massive
bleeding from her nose and ear followed by cardiac arrest, and subsequent
attempts at cardiopulmonary resuscitation failed. A medicolegal autopsy was
performed to reveal the cause of death, as part of the investigation of the
accident. During the autopsy, postmortem cerebral CT angiography was carried out
by injection of 5% gelatin-barium emulsion as a radiopaque contrast medium into
the bilateral common carotid arteries, demonstrating transudation of the contrast
medium into the right acoustic meatus and the sphenoidal sinus cavity.
Considering that the body appeared anemic and that PMCTA suggested vascular
injuries, the cause of death was definitively determined to be hemorrhagic shock
due to injuries to the right internal carotid artery, accompanied by skull base
fracture. Postmortem CT angiography played an important role in confirming that
the vascular injuries had been responsible for the bleeding, as the lesions could
not be fully confirmed by native CT or macroscopic examination.
PMID- 27890106
TI - Study on the criteria for assessing skull-face correspondence in craniofacial
superimposition.
AB - Craniofacial superimposition has the potential to be used as an identification
method when other traditional biological techniques are not applicable due to
insufficient quality or absence of ante-mortem and post-mortem data. Despite
having been used in many countries as a method of inclusion and exclusion for
over a century it lacks standards. Thus, the purpose of this research is to
provide forensic practitioners with standard criteria for analysing skull-face
relationships. Thirty-seven experts from 16 different institutions participated
in this study, which consisted of evaluating 65 criteria for assessing skull-face
anatomical consistency on a sample of 24 different skull-face superimpositions.
An unbiased statistical analysis established the most objective and
discriminative criteria. Results did not show strong associations, however,
important insights to address lack of standards were provided. In addition, a
novel methodology for understanding and standardizing identification methods
based on the observation of morphological patterns has been proposed.
PMID- 27890107
TI - An analysis of causative factors in closed criminal medical malpractice cases of
the Taiwan Supreme Court: 2000-2014.
AB - Most medical malpractice in Taiwan leads to criminal prosecution. This study
examined the epidemiologic factors and clinical errors that led to medical
malpractice convictions in Taiwanese criminal prosecutions. A retrospective, 15
year population-based review of criminal Supreme Court judgments pertaining to
medical malpractice against physicians and nurses was conducted. Eighty-four
cases were reviewed, yielding data that included the number and specialty
involved, accused hospitals, the diagnosis, the time interval between incidents
to closure, result of adjudication, the origin of cases (private vs. public
prosecution), the result of medical appraisal, and the primary error. Overall,
the cases averaged 7.6years to achieve final adjudication. Seventy-five percent
were settled in favor of the clinician; twenty-three physicians and three nurses
were found guilty, but all of these avoided imprisonment via probation or
replacement with forfeit. The single most risky specialty was emergency medicine
(22.6% of the cases), with 36.8% of those resulting in guilty verdicts. The most
common diagnosis groups were infectious diseases (23.8%), intracranial
hemorrhages (10.7%), and acute coronary syndrome (9.5%). Public prosecutions had
a 41.2% conviction rate; no guilty verdicts resulted from private prosecution.
Nineteen (22.6%) cases were commuted, and 73.7% of those had a controversial
appraisal result. The characteristics of criminal malpractice prosecution in
Taiwan that could be improved to relieve the stress of frivolous lawsuits on the
judicial process include lengthy jurisdiction process; low public-prosecution
conviction rate; frequent commuted jurisdiction related to a controversial
appraisal; and zero imprisonment rate for clinicians.
PMID- 27890108
TI - Gastric excretion of intravenously administered drugs in critical care patients.
PMID- 27890109
TI - Ascorbic acid and vitamin C-containing beverages delay the leucomalachite green
reaction to detect latent bloodstains.
AB - The leucomalachite green (LMG) test is one of catalytic tests for the detection
of latent bloodstains and generally used in forensic field because of convenience
and cost/time-effectiveness. However, contamination of latent bloodstains at
crime scenes can interfere with the LMG reaction, resulting in false-negative or
false-positive decisions. Herein, we examined if ascorbic acid and vitamin C (l
ascorbic acid or ascorbate)-containing beverages affect the LMG reaction.
Ascorbic acid showed the inhibitory activities on the LMG reaction in a dose
dependent manner. Similarly, vitamin C-containing beverages also inhibited the
LMG reaction and the inhibitory effects were proportional to the concentrations
of vitamin C in beverages. It was also identified that as incubation time after
adding LMG reagent to the mixtures of blood and ascorbic acid or beverages was
increased, the inhibitory effects of ascorbic acid vitamin C-containing beverages
on LMG test were disappeared. These results suggest that the LMG reaction is
delayed but not stopped by ascorbic acid and vitamin C-containing beverages.
Neither incubation at room temperature around 20-25 degrees C nor the addition of
acetic acid affects the inhibitory activity of ascorbic acid on LMG reaction. We
also showed that ascorbic acid does not affect DNA stability, allowing us to
obtain full short tandem repeat (STR) profiles through amplification of DNA using
commercial STR kits. In conclusion, ascorbic acid and vitamin C-containing
beverages delayed the LMG reaction, suggesting that it should be considered that
negative results of LMG test could be false negative due to contamination of
bloodstains with inhibitory factors on LMG test.
PMID- 27890110
TI - Is the diagnosis of anaphylaxis reliable in forensics? The role of beta-tryptase
and its correct interpretation.
PMID- 27890111
TI - Sex estimation of the tibia in modern Turkish: A computed tomography study.
AB - The utilization of computed tomography is beneficial for the analysis of skeletal
remains and it has important advantages for anthropometric studies. The present
study investigated morphometry of left tibia using CT images of a contemporary
Turkish population. Seven parameters were measured on 203 individuals (124 males
and 79 females) within the 19-92-years age group. The first objective of this
study was to provide population-specific sex estimation equations for the
contemporary Turkish population based on CT images. A second objective was to
test the sex estimation formulae on Southern Europeans by Kranioti and Apostol
(2015). Univariate discriminant functions resulted in classification accuracy
that ranged from 66 to 86%. The best single variable was found to be upper
epiphyseal breadth (86%) followed by lower epiphyseal breadth (85%). Multivariate
discriminant functions resulted in classification accuracy for cross-validated
data ranged from 79 to 86%. Applying the multivariate sex estimation formulae on
Southern Europeans (SE) by Kranioti and Apostol in our sample resulted in very
high classification accuracy ranging from 81 to 88%. In addition, 35.5-47% of the
total Turkish sample is correctly classified with over 95% posterior probability,
which is actually higher than the one reported for the original sample (25-43%).
We conclude that the tibia is a very useful bone for sex estimation in the
contemporary Turkish population. Moreover, our test results support the
hypothesis that the SE formulae are sufficient for the contemporary Turkish
population and they can be used safely for criminal investigations when posterior
probabilities are over 95%.
PMID- 27890112
TI - An autopsy case of prolonged asphyxial death caused by the impacted denture in
the esophagus.
AB - A foreign body impacted in the esophagus is not a rare incident among adults or
children. In adults, a dental prosthesis is prone to become impacted in the
esophagus. The diagnostic difficulty of this often causes a delay in its removal,
which can lead to serious complications, including death. This report describes
the autopsy case of a man who died of prolonged asphyxiation induced by the
delayed removal of an impacted denture, which was misdiagnosed on his first visit
notwithstanding that a part of the denture could be seen on X-rays. Cases in
which an impacted denture led to death have rarely been reported in contrast to
numerous papers about recovered cases.
PMID- 27890114
TI - Editorial, Special Issue BBBB.
PMID- 27890113
TI - MEPROCS framework for Craniofacial Superimposition: Validation study.
AB - Craniofacial Superimposition (CFS) involves the process of overlaying a skull
with a number of ante-mortem images of an individual and the analysis of their
morphological correspondence. The lack of unified working protocols and the
absence of commonly accepted standards, led to contradictory consensus regarding
its reliability. One of the more important aims of 'New Methodologies and
Protocols of Forensic Identification by Craniofacial Superimposition (MEPROCS)'
project was to propose a common framework for CFS, what can be considered the
first international standard in the field. The framework aimed to serve as a
roadmap for avoiding particular assumptions that could bias the process. At the
same time, it provides some empirical support to certain practices, technological
means, and morphological criteria expected to facilitate the application of the
CFS task and to improve its reliability. In order to confirm the utility and
potential benefits of the framework use, there is a need to empirically evaluate
it in CFS identification scenarios as close as possible to the reality. Thus, the
purpose of this study is to validate the CFS framework developed. For that aim 12
participants were asked to report about a variable number of CFS following all
the recommendations of the framework. The results are analysed and discussed
according to the framework understanding and fulfilment, the participants'
performance, and the correlation between expected decisions and those given by
the participants. In view of the quantitative results and qualitative examination
criteria we can conclude that those who follow the MEPROCS recommendations
improve their performance.
PMID- 27890115
TI - Letter to the Editor: Hypoxia inducible factor 1alpha: A critical factor for the
immune response to pathogens and Leishmania.
PMID- 27890116
TI - Forms of work organization and associations with shoulder disorders: Results from
a French working population.
AB - The aim of this study was to identify forms of work organization in a French
region and to study associations with the occurrence of symptomatic and
clinically diagnosed shoulder disorders in workers. Workers were randomly
included in this cross-sectional study from 2002 to 2005. Sixteen organizational
variables were assessed by a self-administered questionnaire: i.e. shift work,
job rotation, repetitiveness of tasks, paced work/automatic rate, work pace
dependent on quantified targets, permanent controls or surveillance, colleagues'
work and customer demand, and eight variables measuring decision latitude. Five
forms of work organization were identified using hierarchical cluster analysis
(HCA) of variables and HCA of workers: low decision latitude with pace
constraints, medium decision latitude with pace constraints, low decision
latitude with low pace constraints, high decision latitude with pace constraints
and high decision latitude with low pace constraints. There were significant
associations between forms of work organization and symptomatic and clinically
diagnosed shoulder disorders.
PMID- 27890117
TI - Latent error detection: A golden two hours for detection.
AB - Undetected error in safety critical contexts generates a latent condition that
can contribute to a future safety failure. The detection of latent errors post
task completion is observed in naval air engineers using a diary to record work
related latent error detection (LED) events. A systems view is combined with
multi-process theories to explore sociotechnical factors associated with LED.
Perception of cues in different environments facilitates successful LED, for
which the deliberate review of past tasks within two hours of the error occurring
and whilst remaining in the same or similar sociotechnical environment to that
which the error occurred appears most effective. Identified ergonomic
interventions offer potential mitigation for latent errors; particularly in
simple everyday habitual tasks. It is thought safety critical organisations
should look to engineer further resilience through the application of LED
techniques that engage with system cues across the entire sociotechnical
environment, rather than relying on consistent human performance.
PMID- 27890118
TI - 3D digital headform models of Australian cyclists.
AB - Traditional 1D anthropometric data have been the primary source of information
used by ergonomists for the dimensioning of head and facial gear. Although these
data are simple to use and understand, they only provide univariate measures of
key dimensions. 3D anthropometric data, however, describe the complete shape
characteristics of the head surface, but are complicated to interpret due to the
abundance of information they contain. Consequently, current headform standards
based on 1D measurements may not adequately represent the actual head shape
variations of the intended user groups. The purpose of this study was to
introduce a set of new digital headform models representative of the adult
cyclists' community in Australia. Four models were generated based on an
Australian 3D anthropometric database of head shapes and a modified hierarchical
clustering algorithm. Considerable shape differences were identified between our
models and the current headforms from the Australian standard. We conclude that
the design of head and facial gear based on current standards might not be
favorable for optimal fitting results.
PMID- 27890119
TI - How do health service professionals consider human factors when purchasing
interactive medical devices? A qualitative interview study.
AB - We present findings of a UK study into how those involved in purchasing
interactive medical devices go about evaluating usability, the challenges that
arise, and opportunities for improvement. The study focused on procurement of
infusion devices because these are used by various professionals across
healthcare. A semi-structured interview study was carried out involving a range
of stakeholders (20 in total) involved in or impacted by medical device
procurement. Data was analysed using thematic analysis, a qualitative method
designed to support the identification, analysis and reporting of patterns. In
principle, health service purchasing was found to accommodate consideration of
equipment usability. In practice, the evaluation process was driven primarily by
engineering standards; assessment of local needs did not accommodate substantive
assessment of usability; and choice was limited by the availability of equipment
on the marketplace. We discuss ways in which purchasing could be improved through
techniques that account for social circumstances.
PMID- 27890120
TI - Influence of lane departure warnings onset and reliability on car drivers'
behaviors.
AB - Lane departures represent an important cause of road crashes. The objective of
the present study was to assess the effects of an auditory Lane Departure Warning
System (LDWS) for partial and full lane departures (onset manipulation) combined
with missed warnings (reliability manipulation: 100% reliable, 83% reliable and
66% reliable) on drivers' performances and acceptance. Several studies indicate
that LDWS improves drivers' performances during lane departure episodes. However,
little is known about the effects of the warning onset and reliability of LDWS.
Results of studies which looked at forward collision warning systems show that
early warnings tend to improve drivers' performances and receive a better trust
judgement from the drivers when compared to later warnings. These studies also
suggest that reliable assistances are more effective and trusted than unreliable
ones. In the present study, lane departures were brought about by means of a
distraction task whilst drivers simulated driving in a fixed-base simulator with
or without an auditory LDWS. Results revealed steering behaviors improvements
with LDWS. More effective recovery maneuvers were found with partial lane
departure warnings than with full lane departure warnings and assistance
unreliability did not impair significantly drivers' behaviors. Regarding missed
lane departure episodes, drivers were found to react later and spend more time
out of the driving lane when compared to properly warned lane departures, as if
driving without assistance. Subjectively, LDWS did not reduce mental workload and
partial lane departure warnings were judged more trustworthy than full lane
departure ones. Data suggests the use of partial lane departure warnings when
designing LDWS and that even unreliable LDWS may draw benefits compared to no
assistance.
PMID- 27890121
TI - Prevalence and risk factors associated with musculoskeletal complaints among
users of mobile handheld devices: A systematic review.
AB - This systematic review aimed at evaluating the prevalence and risk factors for
musculoskeletal complaints associated with mobile handheld device use. Pubmed,
Medline, Web of Science, CINAHL and Embase were searched. The methodological
quality of included studies was assessed. Strength of evidence for risk factors
was determined based on study designs, methodological quality and consistency of
results. Five high-quality, eight acceptable-quality and two low-quality peer
reviewed articles were included. This review demonstrates that the prevalence of
musculoskeletal complaints among mobile device users ranges from 1.0% to 67.8%
and neck complaints have the highest prevalence rates ranging from 17.3% to
67.8%. This study also finds some evidence for neck flexion, frequency of phone
calls, texting and gaming in relation to musculoskeletal complaints among mobile
device users. Inconclusive evidence is shown for other risk factors such as
duration of use and human-device interaction techniques due to inconsistent
results or a limited number of studies.
PMID- 27890122
TI - Safety in passenger ships: The influence of environmental design characteristics
on people's perception of safety.
AB - Although objective safety is a widely studied topic in ergonomics, subjective
safety has received far less research attention. Nevertheless, most of human
decision-making and behavior depends on how we perceive our environment. This
study investigates the effects of various environmental design characteristics on
people's safety perception in a passenger ship context. Five different
environmental design characteristics were manipulated to increase the openness of
the space or to create more clear navigation, resulting in 20 different cabin
corridors for a passenger ship. Ninety-seven respondents were asked to rate these
corridors on the perceived safety in an experiment. The results showed that
people feel more safe when the corridors have a curved ceiling, when the walls do
not have a split-level design, and when there is a view to the outside. Designers
can use these insights when designing future environments.
PMID- 27890123
TI - Psychophysical evaluation of auditory signals in passenger vehicles.
AB - Twenty-one experienced drivers were recruited for the evaluation of sounds of
four functions (horn, indicator, door open warning, and parking sensor) made by
11 car brand names. Each participant was required to evaluate all of the above
sound signals by a pair-comparison test. After the comparison test, each
participant was shown his/her pair-comparison result and was asked to comment on
their preference and appropriateness of a sound. The physical properties and
interview data were compared and summarized to propose design recommendations.
Our results indicate that complex tones and a fundamental frequency around 450 Hz
were most preferred for horns while for indicators the preferred sounds had a
higher dominant frequency [corrected].To reduce monotony, the indicators with
double clicks and an OFF time interval of between 330 and 400 ms between two
clicks were most preferred. Regarding door warning sounds, the waveform starting
with a higher intensity then fading towards zero intensity is most preferred
while for parking sensors, sounds beginning with a longer OFF time (about 500 ms)
and having 3 or 4 distinctive tempo variations were most preferred. The
relationship between pleasurability and pitch, loudness, and the tempo of sound
signals basically followed an inverted-U function. Sound designers should avoid
using very extreme parameter values when generating sound for a given function.
PMID- 27890124
TI - Clothing-related barriers experienced by people with mobility disabilities and
impairments.
AB - Clothing-related issues can create barriers to social participation and other
desired activities for people living with disabilities and their families. The
purpose of this study was to identify clothing-related barriers people living
with disabilities and their families are facing. An online survey was
administered to people living with disabilities and parents/caregivers, resulting
in a sample of 113 participants indicating mobility impairments. Survey results
indicated that the clothing needs of people living with disabilities and
impairments are not being met, the lack of appropriate clothing prevented
individuals from fully engaging in social activities and relationships,
employment or everyday life events. The design fields and apparel industry could
play a vital role in helping people with mobility disabilities navigate these
barriers.
PMID- 27890125
TI - Human-centered design (HCD) of a fault-finding application for mobile devices and
its impact on the reduction of time in fault diagnosis in the manufacturing
industry.
AB - The present article describes the design process of a fault-finding application
for mobile devices, which was built to support workers' performance by guiding
them through a systematic strategy to stay focused during a fault-finding
process. In collaboration with a project partner in the manufacturing industry, a
fault diagnosis application was conceptualized based on a human-centered design
approach (ISO 9241-210:2010). A field study with 42 maintenance workers was
conducted for the purpose of evaluating the performance enhancement of fault
finding in three different scenarios as well as for assessing the workers'
acceptance of the technology. Workers using the mobile device application were
twice as fast at fault finding as the control group without the application and
perceived the application as very useful. The results indicate a vast potential
of the mobile application for fault diagnosis in contemporary manufacturing
systems.
PMID- 27890126
TI - HTO - A complementary ergonomics approach.
AB - The field of human factors and ergonomics constitutes a strong potential in
systems analysis, design and improvement. However, it is difficult to communicate
its potential value. This paper addresses how the human-technology-organization
(HTO) concept can be defined and supports the understanding, communication and
development of the systems' character and potential of human factors and
ergonomics. Empirical examples from the authors' experiences of working with the
HTO concept in R&D and teaching are illustrated, including its usefulness as: 1)
a conceptual model; 2) an analysis framework; 3) a meta methodology; 4) a
pedagogical tool; and 5) a design tool. The use of HTO provides guidance on how
the system can be designed to better support health, individual and systems
performance. It is further suggested that there is a strong potential for
developing the theory, applications and methodological aspects of HTO.
PMID- 27890127
TI - Evaluating a digital ship design tool prototype: Designers' perceptions of novel
ergonomics software.
AB - Computer-aided solutions are essential for naval architects to manage and
optimize technical complexities when developing a ship's design. Although there
are an array of software solutions aimed to optimize the human element in design,
practical ergonomics methodologies and technological solutions have struggled to
gain widespread application in ship design processes. This paper explores how a
new ergonomics technology is perceived by naval architecture students using a
mixed-methods framework. Thirteen Naval Architecture and Ocean Engineering
Masters students participated in the study. Overall, results found participants
perceived the software and its embedded ergonomics tools to benefit their design
work, increasing their empathy and ability to understand the work environment and
work demands end-users face. However, participant's questioned if ergonomics
could be practically and efficiently implemented under real-world project
constraints. This revealed underlying social biases and a fundamental lack of
understanding in engineering postgraduate students regarding applied ergonomics
in naval architecture.
PMID- 27890128
TI - Johnny was here: From airmanship to airlineship.
AB - In this article we explore the phenomenon of airmanship in commercial passenger
flights, in a context of increasing standardisation of procedures and
technologies. Through observation studies in cockpits and interviews we have
studied pilots' practices and how they relate to the larger system of procedures
and the technical environment. We find that practices are to a large extent
guided by standard operating procedures, and that interchangeability of pilots
and aircrafts is both a prerequisite for and enabled by this standardised regime.
However, since sociotechnical systems in general - and operation of aircrafts is
no exception - are inherently underspecified, the pilots' exercise of discretion
in their context-sensitive adaptation of the procedures and technical
environments is another prerequisite for well-functioning systems. Mastering
these adaptations - and recognising the absolute delimitations of adaptations -
is a central aspect of airmanship. Outside this space of manoeuvre for the
pilots, the aircrafts are managed by what we call airlineship: The inter
organisational efforts to create predictability and safe practices through de
identification and interchangeability of personnel and aircrafts. Pilots are
actors in sociotechnical systems that are not demarcated by the cockpits. To
understand pilots' work, studies must account also for the wider sociotechnical
context of organisational, regulative and techno-material structures. The article
is a contribution to the a generic attempt in the field of ergonomics to
contribute with models and theories that portray individuals, groups,
organisations and systems in ways that keep sight of the individuals in the
systems and the systems in the individuals at the same time.
PMID- 27890129
TI - Toe spatiotemporal differences between transition steps when ascending shorter
flight stairways of different heights.
AB - BACKGROUND: Studies have typically treated the first and second floor-to-stair
transition steps (TS1 and TS2) as one stride. However, because the foot is devoid
of plantar cutaneous input from the stair surface at TS1, these steps may have
different toe spatiotemporal profiles, and resultantly, different
susceptibilities to a trip and/or a fall. This study compared vertical toe
clearance, forward velocity, and their respective variability magnitudes between
TS1 and TS2 when ascending stairs of different heights. METHODS: Twenty young
adults (seven males and 13 females) (21.68 +/- 2.49 years; 169.70 +/- 9.56 cm;
63.91 +/- 9.62 kg) negotiated an intervening three-step staircase placed midpoint
on a 10 m walkway. There were three stair heights: low stairs (LS), medium stairs
(MS), and high stairs (HS). Vertical toe clearance, forward velocity, and their
variability magnitudes were calculated. RESULTS: Vertical toe clearance was only
higher (P < 0.05) at TS1 than TS2 in the medium and high stairs. Vertical toe
clearance was more variable (P < 0.05) in the low compared to medium stairs.
Also, forward toe velocity was greater at TS1 than TS2, but was lower in the
medium and high stairs. CONCLUSION: The locomotor system appeared cautious by
exaggerating vertical toe clearance at both TS1 and TS2 only in low stairs,
possibly due to higher forward toe velocity. If the exaggeration strategy
consistently persists, this finding may suggest decreased trip or fall risk at
both TS1 and TS2 only when transitioning onto low stairs.
PMID- 27890130
TI - Hot under the collar: The impact of heat on game play.
AB - High temperatures have been documented to affect behavior in a variety of ways
depending on the nature of the task. We extended this prior research by examining
the effects of dynamically changing temperature on various aspects of performance
in a video game task. In the span of approximately an hour, temperature was
gradually increased, stayed constant for a period of time, and gradually
decreased to baseline. The gaming task was a variation on one used to assess
impulsivity in participants thus allowing the possibility of assessing the
effects of temperature on impulsive choice. Rather than heat increasing
impulsivity and thus decreasing wait times, participants showed increases in wait
times as temperature increased which either suggests that participants were
becoming more self-controlled under heat or that the documented negative impact
of heat on motor functioning was dominating their performance. Importantly, the
participant's sensitivity to the changing task requirements was not affected by
changes in temperature.
PMID- 27890131
TI - The role of size of input box, location of input box, input method and display
size in Chinese handwriting performance and preference on mobile devices.
AB - This study presented two experiments on Chinese handwriting performance (time,
accuracy, the number of protruding strokes and number of rewritings) and
subjective ratings (mental workload, satisfaction, and preference) on mobile
devices. Experiment 1 evaluated the effects of size of the input box, input
method and display size on Chinese handwriting performance and preference. It was
indicated that the optimal input sizes were 30.8 * 30.8 mm, 46.6 * 46.6 mm, 58.9
* 58.9 mm and 84.6 * 84.6 mm for devices with 3.5-inch, 5.5-inch, 7.0-inch and
9.7-inch display sizes, respectively. Experiment 2 proved the significant effects
of location of the input box, input method and display size on Chinese
handwriting performance and subjective ratings. It was suggested that the optimal
location was central regardless of display size and input method.
PMID- 27890132
TI - The stage of change approach for implementing ergonomics advice - Translating
research into practice.
AB - The Stage of Change (SOC) approach has been proposed as a method to improve the
implementation of ergonomics advice. However, despite evidence for its efficacy
there is little evidence to suggest it has been adopted by ergonomics
consultants. This paper investigates barriers and facilitators to the
implementation, monitoring and effectiveness of ergonomics advice and the
adoption of the SOC approach in a series of focus groups and a subsequent survey
of members of the Human Factors Societies of Australia and New Zealand. A
proposed SOC assessment tool developed for use by ergonomics practitioners is
presented. Findings from this study suggest the limited application of a SOC
based approach to work-related musculoskeletal injury prevention by ergonomics
practitioners is due to the absence of a suitable tool in the ergonomists'
repertoire, the need for training in this approach, and their limited access to
relevant research findings. The final translation of the SOC assessment tool into
professional ergonomics practice will require accessible demonstration of its
real-world usability to practitioners and the training of ergonomics
practitioners in its application.
PMID- 27890133
TI - Blood sampling - Two sides to the story.
AB - This study aimed to investigate why there is variability in taking blood. A multi
method Pilot study was completed in four National Health Service Scotland
hospitals. Human Factors/Ergonomics principles were applied to analyse data from
50 observations, 15 interviews and 12-months of incident data from all Scottish
hospitals. The Functional Resonance Analysis Method (FRAM) was used to understand
why variability may influence blood sampling functions. The analysis of the 61
pre blood transfusion sampling incidents highlighted limitations in the data
collected to understand factors influencing performance. FRAM highlighted how
variability in the sequence of blood sampling functions and the number of
practitioners involved in a single blood sampling activity was influenced by the
working environment, equipment, clinical context, work demands and staff
resources. This pilot study proposes a realistic view of why blood sampling
activities vary and proposes the need to consider the system's resilience in
future safety management strategies.
PMID- 27890134
TI - The relationship between arch height and foot length: Implications for size
grading.
AB - OBJECTIVE: Medial longitudinal Arch Height is synonymous with classifying foot
type and conversely foot function. Detailed knowledge of foot anthropometry is
essential in the development of ergonomically sound footwear. Current Footwear
design incorporates a direct proportionate scaling of instep dimensions with
those of foot length. The objective of this paper is to investigate if a direct
proportional relationship exists between human arch height parameters and foot
length in subjects with normal foot posture. METHOD: A healthy convenience sample
of 62 volunteers was recruited to participate in this observational study. All
subjects were screened for normal foot health and posture. Each subject's foot
dimensions were scanned and measured using a 3D Foot Scanner. From this foot
length and arch height parameters were obtained. Normalised ratios of arch height
with respect to foot length were also calculated. The arch height parameters and
the normalised arch ratios were used interchangeably as the dependent variables
with the foot length parameters used as the independent variable for Simple
Linear Regression and Correlation. RESULTS: Analysis of foot length measures
demonstrated poor correlation with all arch height parameters. CONCLUSION: No
significant relationships between arch height and foot length were found. The
predictive value of the relationship was found to be poor. This holds significant
implications for the current method of proportionate scaling of footwear in terms
of fit and function to the midfoot region for a normative population.
PMID- 27890135
TI - Human factors in the design of medical devices - Approaches to meeting
international standards in the European Union and USA.
AB - This paper focuses on the challenges of meeting agency requirements as it
pertains to the application of human factors in the medical device development
(MDD) process. Individual case studies of the design and development process for
18 medical device manufacturers located in the US and EU were analyzed and
compared using a multiple case study design. The results indicate that there are
four main challenges in implementing international standards. These include a
lack of direct access to users for the purposes of device development; a lack of
understanding by users with regards to the impact of their feedback on the
development process; contract formalities limiting user exchanges; and the
attitude of clinical users directly impacting on the device developer's
invitation to participate in the development processes. The barriers presented in
this research have the potential to be resolved but only with greater commitment
by both medical device users and developers.
PMID- 27890136
TI - Age-related differences in decision-making for digital escape route signage under
strenuous emergency conditions of tilted passenger ships.
AB - This age-differentiated study investigated preferences for new digital, situation
adaptive escape route signage with informative and flashing elements under
simulated emergency conditions of tilted passenger ships. The decision-making
behaviour of 26 young (20-30 years) and 26 elderly (60-77 years) participants was
observed in four conditions varying in applied stressors and in level versus
uphill walking at 7 degrees and 14 degrees . In line with previous studies,
decisions of young participants were significantly influenced by flashing
elements on signs. In contrast, elderly participants based their decisions
significantly stronger on integrated information about the sign's updatedness and
reported irritation by flashing elements. These preferences were also persistent
under increased mental, emotional and physical strain, evaluated by ratings and
(psycho-)physiological measures. The findings demonstrate the importance to
carefully design digital, situation-adaptive signage for passenger ships in a way
that it not only attracts attention but also inspires trust especially for the
elderly population.
PMID- 27890137
TI - The identification of combat survivability tasks associated with naval vessel
damage in maritime environments.
AB - Effective Navy personnel have the physical ability to perform combat
survivability tasks commensurate with their unique physical requirements due to
the distinctive characteristics of naval platforms. The aim of this investigation
was to identify the physically demanding whole-of-ship tasks that are performed
by Navy personnel while at sea. A mixed method design was used to identify tasks,
inclusive of focus groups and field observations. From a series of ten focus
groups, nine tasks were deemed to be physically demanding whole-of-ship tasks. A
subsequent field observation of a combat survivability training course resulted
in a refined and expanded 33-item list of physically demanding whole-of-ship
tasks across six categories, including; replenishment at sea, emergency response,
firefighting, leak stop and repair, toxic hazard and casualty evacuation. The
findings from this study provide the basis for the development of physical
employment standards for whole-of-ship tasks within the Royal Australian Navy.
PMID- 27890138
TI - Individual differences in cardiorespiratory measures of mental workload: An
investigation of negative affectivity and cognitive avoidant coping in pilot
candidates.
AB - Cardiorespiratory measures provide useful information in addition to well
established self-report measures when monitoring operator capacity. The purpose
of our study was to refine the assessment of operator load by considering
individual differences in personality and their associations with
cardiorespiratory activation. Physiological and self-report measures were
analyzed in 115 pilot candidates at rest and while performing a multiple task
covering perceptual speed, spatial orientation, and working memory. In the total
sample and particularly in individuals with a general tendency to worry a lot, a
cognitive avoidant coping style was associated with a smaller task-related
increase in heart rate. Negative affectivity was found to moderate the
association between cardiac and self-reported arousal. Given that physiological
and self-report measures of mental workload are usually combined when evaluating
operator load (e.g., in pilot selection and training), our findings suggest that
integrating individual differences may reduce unexplained variance and increase
the validity of workload assessments.
PMID- 27890139
TI - Toward a taxonomy of the unintentional discharge of firearms in law enforcement.
AB - An unintentional discharge (UD) is an activation of the trigger mechanism that
results in an unplanned discharge that is outside of the firearm's prescribed
use. UDs can result in injury or death, yet have been understudied in scientific
literature. Pre-existing (1974-2015) UD reports (N = 137) from seven law
enforcement agencies in the United States of America were analyzed by context,
officer behavior, type of firearm, and injuries. Over 50% of UDs occurred in
contexts with low threat potential while engaged in routine firearm tasks. The
remaining UDs occurred in contexts with elevated to high threat potential during
muscle co-activation, unfamiliar firearm tasks, contact with inanimate objects,
and a medical condition. An antecedent-behavior-consequence (A-B-C) taxonomy as
well as a standardized reporting form, based on the current findings and the
existing literature, are offered as tools for identifying the conditions under
which UDs may be likely to occur.
PMID- 27890140
TI - Evaluation of the vibration attenuation properties of an air-inflated cushion
with two different heavy machinery seats in multi-axis vibration environments
including jolts.
AB - Seats and cushions can attenuate whole-body vibration (WBV) exposures and
minimize health risks for heavy machine operators. We successfully developed
neural network (NN) algorithms to identify the vibration attenuation properties
for four different seating conditions (seat/cushion combinations), and
implemented each of the NN models to predict the equivalent daily exposure A(8)
values for various vehicles in the forestry and mining environments. We also
evaluated the performance of the new prototype No-JoltTM air-inflated cushion and
the original cushion of each seat with jolt exposures. We observed that the air
cushion significantly improved the vibration attenuation properties of the seat
that initially had good performance, but not for the seat that had relatively
poor vibration attenuation properties. In addition, operator's anthropometrics
and sex influenced the performance of the air-inflated cushion when the vibration
environment included jolt exposures.
PMID- 27890141
TI - How to assess driver's interaction with partially automated driving systems - A
framework for early concept assessment.
AB - The introduction of partially automated driving systems changes the driving task
into supervising the automation with an occasional need to intervene. To develop
interface solutions that adequately support drivers in this new role, this study
proposes and evaluates an assessment framework that allows designers to evaluate
driver-support within relevant real-world scenarios. Aspects identified as
requiring assessment in terms of driver-support within the proposed framework are
Accident Avoidance, gained Situation Awareness (SA) and Concept Acceptance.
Measurement techniques selected to operationalise these aspects and the
associated framework are pilot-tested with twenty-four participants in a driving
simulator experiment. The objective of the test is to determine the reliability
of the applied measurements for the assessment of the framework and whether the
proposed framework is effective in predicting the level of support offered by the
concepts. Based on the congruency between measurement scores produced in the test
and scores with predefined differences in concept-support, this study
demonstrates the framework's reliability. A remaining concern is the framework's
weak sensitivity to small differences in offered support. The article concludes
that applying the framework is especially advantageous for evaluating early
design phases and can successfully contribute to the efficient development of
driver's in-control and safe means of operating partially automated vehicles.
PMID- 27890142
TI - The effects of a simple intervention on exposures to low back pain risk factors
during traditional posterior load carriage.
AB - Traditional posterior load carriage (PLC), typically performed without the use of
an assistive device, is associated with a high prevalence of low back pain (LBP).
However, there are few studies that have evaluated potential interventions to
reduce exposures to LBP risk factors. This work examined the effects of a simple,
potentially low-cost intervention using an assistive device (i.e., carrying aid)
on exposures to factors related to LBP risk during PLC. Torso kinematics and
kinetics, slip risk, and ratings of perceived discomfort (RPD) were obtained
during simulated PLC on a walkway. Consistent with earlier results, increasing
load mass substantially increased torso flexion and lumbosacral flexion moment,
as well as RPDs in all anatomical regions evaluated. Using the carrying aid with
a higher load placement resulted in substantially lower mean lumbosacral moments
when carrying the heaviest load. In contrast, using the carrying aid with a lower
load placement resulted in substantially higher torso flexion angles, higher mean
lumbosacral moments when carrying heavier loads, and higher peak lumbosacral
moments across all load masses. With use of the carrying aid, both higher and
lower load placement resulted in significantly lower RPDs in the elbows and hands
compared to the control condition. In summary, use of a carrying aid with higher
load placement may be beneficial in reducing the risk of LBP during PLC. Future
studies are needed, though, to improve the device design and to enhance external
validity.
PMID- 27890143
TI - What work schedule characteristics constitute a problem to the individual? A
representative study of Swedish shift workers.
AB - The purpose was to investigate which detailed characteristics of shift schedules
that are seen as problems to those exposed. A representative national sample of
non-day workers (N = 2031) in Sweden was asked whether they had each of a number
of particular work schedule characteristics and, if yes, to what extent this
constituted a "big problem in life". It was also inquired whether the
individual's work schedules had negative consequences for fatigue, sleep and
social life. The characteristic with the highest percentage reporting a big
problem was "short notice (<1 month) of a new work schedule" (30.5%), <11 h off
between shifts (27.8%), and split duty (>1.5 h break at mid-shift, 27.2%).
Overtime (>10 h/week), night work, morning work, day/night shifts showed lower
prevalences of being a "big problem". Women indicated more problems in general.
Short notice was mainly related to negative social effects, while <11 h off
between shifts was related to disturbed sleep, fatigue and social difficulties.
It was concluded that schedules involving unpredictable working hours (short
notice), short daily rest between shifts, and split duty shifts constitute big
problems. The results challenge current views of what aspects of shift work need
improvement, and negative social consequences seem more important than those
related to health.
PMID- 27890144
TI - Classification of a Driver's cognitive workload levels using artificial neural
network on ECG signals.
AB - An artificial neural network (ANN) model was developed in the present study to
classify the level of a driver's cognitive workload based on electrocardiography
(ECG). ECG signals were measured on 15 male participants while they performed a
simulated driving task as a primary task with/without an N-back task as a
secondary task. Three time-domain ECG measures (mean inter-beat interval (IBI),
standard deviation of IBIs, and root mean squared difference of adjacent IBIs)
and three frequencydomain ECG measures (power in low frequency, power in high
frequency, and ratio of power in low and high frequencies) were calculated. To
compensate for individual differences in heart response during the driving tasks,
a three-step data processing procedure was performed to ECG signals of each
participant: (1) selection of two most sensitive ECG measures, (2) definition of
three (low, medium, and high) cognitive workload levels, and (3) normalization of
the selected ECG measures. An ANN model was constructed using a feed-forward
network and scaled conjugate gradient as a back-propagation learning rule. The
accuracy of the ANN classification model was found satisfactory for learning data
(95%) and testing data (82%).
PMID- 27890145
TI - Gait adaptation on surfaces with different degrees of slipperiness.
AB - Gait adaptation to employ different ways to avoid a potential slip is needed to
continue walking safely on a new surface, especially when transitioning to a
slippery surface. In this experiment, participants walked back and forth five
times (trials) on surfaces with different degrees of slipperiness. The results
show that trial 1 was significantly different from other trials for most of the
dependent variables, especially for the low and high friction conditions.
Kinematics on high and medium friction surfaces were very similar, but more
adjustments were needed for low friction surfaces. The data for the first trial
reflect gait after walking for 2.4 m on the walkway, not the first step onto the
walkway. The current data show that gait adaptation continued beyond the first
trial. Since participants in this experiment were aware of the floor conditions,
the results could have important safety implications that user awareness alone
might be insufficient for safe floor designs.
PMID- 27890146
TI - Measuring wildland fire fighter performance with wearable technology.
AB - Wildland (rural) fire fighting is a physically demanding and hazardous
occupation. An observational study was conducted to explore the use of new
technologies for the field study of fire fighters at wildfires and to understand
the work pressures of wildland fire fighting. The research was carried out with
two fire fighters at real fires wearing microphones, miniature video cameras,
heart rate monitors and GPS units to record their actions and location at
wildfire events. The fire fighters were exposed to high physiological workloads
(heart rates of up to 180 beats per minute) and walked considerable distances at
the fires. Results from this study have been used in presentations to fire
fighters and non-operational fire personnel to understand the pressures fire
fighters are under and how others complete the fire fighting tasks.
PMID- 27890147
TI - Using a bespoke situated digital kiosk to encourage user participation in
healthcare environment design.
AB - Involving users through participation in healthcare service and environment
design is growing. Existing approaches and toolkits for practitioners and
researchers are often paper based involving workshops and other more traditional
design approaches such as paper prototyping. The advent of digital technology
provides the opportunity to explore new platforms for user participation. This
paper presents results from three studies that used a bespoke situated user
participation digital kiosk, engaging 33 users in investigating healthcare
environment design. The studies, from primary and secondary care settings,
allowed participant feedback on each environment and proved a novel, engaging
"21st century" way to participate in the appraisal of the design process. The
results point toward this as an exciting and growing area of research in
developing not just a new method of user participation but also the technology
that supports it. Limitations were noted in terms of data validity and engagement
with the device. To guide the development of user participation using similar
situated digital devices, key lessons and reflections are presented.
PMID- 27890148
TI - Seated reach capabilities for ergonomic design and evaluation with consideration
of reach difficulties.
AB - This paper aimed to identify the reach capabilities of 26 seated subjects
considering the reach difficulty, orientation and other potential factors, and to
find a method to model the minimum reach capability surfaces for fixed and
adjustable seats. The reach capability radius was used as a measure of the reach
capability and theoretically modeled. Based on the test data of seated reach, the
distribution of the reach capability radius was analyzed. The strategy to select
the minimum reach envelopes was constructed to accommodate a sufficient
percentage of the target population for both fixed and adjustable seats. For
adjustable seats, a method was developed to derive the reach capability data from
the tested individual reach capability data by introducing seating position
models to re-position the individual reach capability data. An application case
was realized based on the cab packaging data of a mini-van, and the minimum reach
envelopes of different difficulties were created and validated to accommodate 90%
of the target population.
PMID- 27890149
TI - Assessing the effectiveness of five process elicitation methods: A case study of
chemotherapy treatment plan review.
AB - To reduce the probability of failures and to improve outcomes of safety-critical
human-intensive processes, such as health care processes, it is important to be
able to rigorously analyze such processes. The quality of that analysis often
depends on having an accurate, detailed, and sufficiently complete understanding
of the process being analyzed, where this understanding is typically represented
as a formal process model that could then drive various rigorous analysis
approaches. Developing this understanding and the corresponding formal process
model may be difficult and, thus, a variety of process elicitation methods are
often used. The work presented in this paper evaluates the effectiveness of five
common elicitation methods in terms of their ability to elicit detailed process
information necessary to support rigorous process analysis. These methods are
employed to elicit typical steps and steps for responding to exceptional
situations in a safety-critical health care process, the chemotherapy treatment
plan review process. The results indicate strengths and weaknesses of each of the
elicitation methods and suggest that it is preferable to apply multiple
elicitation methods.
PMID- 27890150
TI - Assessing ergonomic risks of software: Development of the SEAT.
AB - Software utilizing interaction designs that require extensive dragging or
clicking of icons may increase users' risks for upper extremity cumulative trauma
disorders. The purpose of this research is to develop a Self-report Ergonomic
Assessment Tool (SEAT) for assessing the risks of software interaction designs
and facilitate mitigation of those risks. A 28-item self-report measure was
developed by combining and modifying items from existing industrial ergonomic
tools. Data were collected from 166 participants after they completed four
different tasks that varied by method of input (touch or keyboard and mouse) and
type of task (selecting or typing). Principal component analysis found distinct
factors associated with stress (i.e., demands) and strain (i.e., response).
Repeated measures analyses of variance showed that participants could
discriminate the different strain induced by the input methods and tasks.
However, participants' ability to discriminate between the stressors associated
with that strain was mixed. Further validation of the SEAT is necessary but these
results indicate that the SEAT may be a viable method of assessing ergonomics
risks presented by software design.
PMID- 27890151
TI - A simplified thermoregulation model of the human body in warm conditions.
AB - Thermoregulation models of the human body have been widely used in thermal
comfort studies. The existing models are complicated and not fully verified for
application in China. This paper presents a simplified thermoregulation model
which has been statistically validated by the predicted and measured mean skin
temperature in warm environments, including 21 typical conditions with 400
Chinese subjects. This model comprises three parts: i) the physical model; ii)
the controlled system; and iii) the controlling system, and considers three key
questions formerly ignored by the existing models including: a) the evaporation
efficiency of regulatory sweat; b) the proportional relation of total skin blood
flow and total heat loss by regulatory sweating against body surface area; and c)
discrepancies in the mean skin temperatures by gender. The developed model has
been validated to be within the 95% confidence interval of the population mean
skin temperature in three cases.
PMID- 27890152
TI - Analysis of human motion variation patterns using UMPCA.
AB - The rapid development of motion capture technologies has greatly increased the
use of human motion data in many applications. This has increased the demand to
have an effective means to systematically analyze those massive data in order to
understand human motion variation patterns. This paper studies one typical type
of motion data, which are recorded as multi-stream trajectories of human joints.
Such a high dimensional multi-stream data structure makes it difficult to
directly perform visual comparisons or simply apply conventional methods such as
PCA to capture the variation of human motion patterns. In this paper, a high
order array (tensor) is suggested for data representation, based on which the
Uncorrelated Multilinear Principal Component Analysis (UMPCA) is applied to
analyze the variation of human motion patterns. A simulation study is presented
to show the superiority of UMPCA over PCA in preserving the cross-correlation
among multi-stream trajectories. The effectiveness of UMPCA is also demonstrated
using a case study for analyzing vehicle ingress test data.
PMID- 27890153
TI - The 'Arm Force Field' method to predict manual arm strength based on only hand
location and force direction.
AB - This paper describes the development of a novel method (termed the 'Arm Force
Field' or 'AFF') to predict manual arm strength (MAS) for a wide range of body
orientations, hand locations and any force direction. This method used an
artificial neural network (ANN) to predict the effects of hand location and force
direction on MAS, and included a method to estimate the contribution of the arm's
weight to the predicted strength. The AFF method predicted the MAS values very
well (r2 = 0.97, RMSD = 5.2 N, n = 456) and maintained good generalizability with
external test data (r2 = 0.842, RMSD = 13.1 N, n = 80). The AFF can be readily
integrated within any DHM ergonomics software, and appears to be a more robust,
reliable and valid method of estimating the strength capabilities of the arm,
when compared to current approaches.
PMID- 27890154
TI - Effects of tool handle dimension and workpiece orientation and size on wrist
ulnar/radial torque strength, usability and discomfort in a wrench task.
AB - The effects of tool handle dimension (three modified designs of wrenches with 30
50 mm diameter cylindrical handles and traditional design with rectangular cross
sectional (5 mm * 25 mm) handle), workpiece orientation (vertical/horizontal) and
workpiece size (small/large) as well as user's hand size on wrist ulnar/radial
(U/R) torque strength, usability and discomfort, and also the relationship
between these variables were evaluated in a maximum torque task using wrenches.
The highest and lowest levels of maximal wrist U/R torque strength were recorded
for the 30 mm diameter handle and traditional wrench design, respectively. The
prototype handle with 30 mm diameter, together with 40 mm diameter handle, was
also better than other designs as they received higher usability ratings and
caused less discomfort. The mean wrist torque strength exerted on a vertically
oriented workpiece (in the sagittal plane) was 23.8% higher than that exerted on
a horizontally oriented one (in the transverse plane). The user's hand size had
no effect on torque exertions. The wrist torque strength and usability were
negatively correlated with hand and finger discomfort ratings. The results are
also discussed in terms of their implications for hand tool and workstation
configuration in torque tasks involving wrenches.
PMID- 27890155
TI - Factors influencing experience in crowds - The participant perspective.
AB - Humans encounter crowd situations on a daily basis, resulting in both negative
and positive experiences. Understanding how to optimise the participant
experience of crowds is important. In the study presented in this paper, 5 focus
groups were conducted (35 participants, age range: 21-71 years) and 55 crowd
situations observed (e.g. transport hubs, sport events, retail situations).
Influences on participant experience in crowds identified by the focus groups and
observations included: physical design of crowd space and facilities (layout,
queuing strategies), crowd movement (monitoring capacity, pedestrian flow),
communication and information (signage, wayfinding), comfort and welfare
(provision of facilities, environmental comfort), and public order. It was found
that important aspects affecting participant experience are often not considered
systematically in the planning of events or crowd situations. The findings point
to human factors aspects of crowds being overlooked, with the experiences of
participants often poor.
PMID- 27890156
TI - Physiological and perceptual effects of a cooling garment during simulated
industrial work in the heat.
AB - OBJECTIVE: Evaluate physiological and perceptual responses using a phase change
cooling (PCC) garment during simulated work in the heat. METHODS: Twenty males
wearing compression undergarments, coverall suit, gloves, and hard-hat, completed
two randomly assigned trials (with PCC inserts or control, CON) of simulated
industrial tasks in the heat (34.2 +/- 0.05 degrees C, 54.7 +/- 0.3%RH). Trials
consisted of two 20 min work bouts, a maximum performance bout, and 10 min of
recovery. RESULTS: Physiological strain index (PSI) was lower during PCC after
the second work bout and during recovery (all P < 0.05). PCC reduced heat storage
(27.0 +/- 7.6 W m-2) compared to CON (42.7 +/- 9.9 W m-2, P < 0.001). Perceptual
strain index (PeSI) was reduced with PCC compared to CON (P < 0.001), however
performance outcomes were not different between trials (P = 0.10). CONCLUSIONS:
PCC during work in the heat attenuated thermal, physiological, and perceptual
strain. This PCC garment could increase safety and reduce occupational heat
illness risk.
PMID- 27890157
TI - Predicting bicycle setup for children based on anthropometrics and comfort.
AB - Bicycling is a popular activity for children. In order for children to enjoy
cycling and to minimize injury, it is important that they are positioned
appropriately on the bicycle. The purpose of this study was therefore to identify
a suitable bicycle setup for children aged between 7 and 16 years which
accommodates developmental differences in anthropometrics, flexibility and
perceptions of comfort. Using an adjustable bicycle fitting rig, we found the
most comfortable position of 142 children aged 7 to 16. In addition, a number of
anthropometric measures were recorded. Seat height and the horizontal distance
between seat and handlebars were strongly predictable (R2 > 0.999, p < 0.001 and
R2 = 0.649, p < 0.001 respectively), whilst the predictability of the vertical
distance between seat and handlebars was weaker (R2 = 0.231, p < 0.001). These
results have practical implications for children and parents, paediatric
researchers and clinicians as well as bicycle manufacturers.
PMID- 27890158
TI - Improving our understanding of multi-tasking in healthcare: Drawing together the
cognitive psychology and healthcare literature.
AB - Multi-tasking is an important skill for clinical work which has received limited
research attention. Its impacts on clinical work are poorly understood. In
contrast, there is substantial multi-tasking research in cognitive psychology,
driver distraction, and human-computer interaction. This review synthesises
evidence of the extent and impacts of multi-tasking on efficiency and task
performance from health and non-healthcare literature, to compare and contrast
approaches, identify implications for clinical work, and to develop an evidence
informed framework for guiding the measurement of multi-tasking in future
healthcare studies. The results showed healthcare studies using direct
observation have focused on descriptive studies to quantify concurrent multi
tasking and its frequency in different contexts, with limited study of impact. In
comparison, non-healthcare studies have applied predominantly experimental and
simulation designs, focusing on interleaved and concurrent multi-tasking, and
testing theories of the mechanisms by which multi-tasking impacts task efficiency
and performance. We propose a framework to guide the measurement of multi-tasking
in clinical settings that draws together lessons from these siloed research
efforts.
PMID- 27890159
TI - Evaluation of a "walk-through" ladder top design during ladder-roof transitioning
tasks.
AB - This study evaluated the effect of an extension ladder "walk-through" top design
on kinetic and kinematic behaviors and the outward destabilizing forces induced
on the ladder during transitioning at elevation. Thirty-two male participants
performed stepping tasks between a ladder top and a roof at simulated elevation
in a surround-screen virtual-reality system. The experimental conditions included
a "walk-through" and a standard ladder top section supported on flat and sloped
roof surfaces. Three force platforms were placed under the ladder section and in
the roof to measure propulsion forces during transitions. A motion measurement
system was used to record trunk kinematics. The frictional demand at the virtual
ladder base was also calculated. The results indicate that under optimal ladder
setup (angle 75.5 degrees ), the frictional demand at the ladder base remains
relatively small for all experimental conditions. Also, the "walk through" ladder
top eased the ladder-to-roof transitions but not the roof-to-ladder transitions.
PMID- 27890160
TI - Children's choice: Color associations in children's safety sign design.
AB - Color has been more identified as a key consideration in ergonomics. Color
conveys messages and is an important element in safety signs, as it provides
extra information to users. However, very limited recent research has focused on
children and their color association in the context of safety signs. This study
thus examined how children use colors in drawing different safety signs and how
they associate colors with different concepts and objects that appear in safety
signs. Drawing was used to extract children's use of color and the associations
they made between signs and colors. The child participants were given 12
referents of different safety signs and were asked to design and draw the signs
using different colored felt-tip pens. They were also asked to give reasons for
their choices of colors. Significant associations were found between red and
'don't', orange and 'hands', and blue and 'water'. The child participants were
only able to attribute the reasons for the use of yellow, green, blue and black
through concrete identification and concrete association, and red through
abstract association. The children's use of color quite differs from that shown
in the ISO registered signs. There is a need to consider the use of colors
carefully when designing signs specifically for children. Sign designers should
take children's color associations in consideration and be aware if there are any
misunderstandings.
PMID- 27890161
TI - Primary or secondary tasks? Dual-task interference between cyclist hazard
perception and cadence control using cross-modal sensory aids with rider
assistance bike computers.
AB - This research investigated the risks involved in bicycle riding while using
various sensory modalities to deliver training information. To understand the
risks associated with using bike computers, this study evaluated hazard
perception performance through lab-based simulations of authentic riding
conditions. Analysing hazard sensitivity (d') of signal detection theory, the
rider's response time, and eye glances provided insights into the risks of using
bike computers. In this study, 30 participants were tested with eight hazard
perception tasks while they maintained a cadence of 60 +/- 5 RPM and used bike
computers with different sensory displays, namely visual, auditory, and tactile
feedback signals. The results indicated that synchronously using different sense
organs to receive cadence feedback significantly affects hazard perception
performance; direct visual information leads to the worst rider distraction, with
a mean sensitivity to hazards (d') of -1.03. For systems with multiple
interacting sensory aids, auditory aids were found to result in the greatest
reduction in sensitivity to hazards (d' mean = -0.57), whereas tactile sensory
aids reduced the degree of rider distraction (d' mean = -0.23). Our work
complements existing work in this domain by advancing the understanding of how to
design devices that deliver information subtly, thereby preventing disruption of
a rider's perception of road hazards.
PMID- 27890162
TI - Understanding emergency workers' behavior and perspectives on design and safety
in the workplace.
AB - Emergency Medical Services (EMS) is a demanding and hazardous industry. Because
of the changing roles in the emergency response system, EMS workers are
increasingly expected to provide treatment and care in addition to transport,
which increases their task load and susceptibility to harm. This paper serves to
outline the EMS field from the worker's perspective with the purpose of
understanding their views on health, safety, and the work environment, and
identify where gaps in worker well-being are exposed. Through direct observation,
field studies, and formal interviews with EMS professionals, we discuss where
reluctance lies in addressing safety issues and the current efforts to address
them. A high prevalence of responses regarding the inadequacy of ambulance
restraining systems was reported, as existing interventions do not take into
account medic needs.
PMID- 27890163
TI - Dissuasive exit signage for building fire evacuation.
AB - This work presents the result of a questionnaire study which investigates the
design of dissuasive emergency signage, i.e. signage conveying a message of not
utilizing a specific exit door. The work analyses and tests a set of key features
of dissuasive emergency signage using the Theory of Affordances. The variables
having the largest impact on observer preference, interpretation and
noticeability of the signage have been identified. Results show that features
which clearly negate the exit-message of the original positive exit signage are
most effective, for instance a red X-marking placed across the entirety of the
exit signage conveys a clear dissuasive message. Other features of note are red
flashing lights and alternation of colour. The sense of urgency conveyed by the
sign is largely affected by sensory inputs such as red flashing lights or other
features which cause the signs to break the tendencies of normalcy.
PMID- 27890164
TI - The effects of interruption similarity and complexity on performance in a
simulated visual-manual assembly operation.
AB - The objective of the study was to assess the effects of interruption task
similarity and complexity on performance of a simulated industrial assembly
operation. Eighteen participants performed a simulated industrial assembly
operation, including one trial with no interruption and eight others presenting
an interruption task. Interruption conditions comprised a full crossing of task
similarity to the primary assembly operation (similar, dissimilar) and complexity
(simple, complex) with replication for each participant. Order of condition
presentation was randomized. Findings revealed greater time to return to primary
visual-manual assembly performance after a similar task interruption. Results
also indicated complex interruptions may promote cognitive arousal that increases
productivity following assembly interruptions. The majority of results are
explained in terms of the Activation-Based Memory for Goals model. Findings
provide some guidance for interruption management protocol design for workers
engaged in procedural visual-manual assembly operations.
PMID- 27890165
TI - Defining Activity Pacing: Is It Time to Jump Off the Merry-Go-Round?
PMID- 27890166
TI - Response to Andrews and Deen on Defining Activity Pacing.
PMID- 27890167
TI - Vaccines and Disease-Modifying Antirheumatic Drugs: Practical Implications for
the Rheumatologist.
AB - Patients with rheumatoid arthritis are highly vulnerable to infections because of
abnormalities in their immune system, and because of immunosuppressive effects of
their medications. Vaccinations in this population are complicated by disease
modifying antirheumatic drugs, which also modulate or suppress the immune system
and potentially decrease the immunogenicity and efficacy of the vaccines. We
review the available data regarding the impact of rheumatoid arthritis therapy on
the immunogenicity of various common vaccines. We also review rheumatoid
arthritis-specific vaccination recommendations, live vaccine safety concerns, and
current gaps in our understanding of these issues."
PMID- 27890168
TI - Incidence and Prevention of Herpes Zoster Reactivation in Patients with
Autoimmune Diseases.
AB - Herpes zoster is the reactivation of latent varicella zoster virus usually
occurring decades after initial exposure, and manifesting as a painful vesicular
rash occurring along one or more dermatomes. Zoster incidence increases with age
as cell mediated immunity against latent virus wanes. Epidemiological evidence
suggests that individuals with underlying rheumatic diseases are at increased
risk for zoster. It remains unclear whether this is due to immunosuppressive
medications or from immune dysregulation of the underlying disease. A vaccine
against zoster is available for individuals 50 years and older. Theoretical risks
remain about using this live-attenuated virus vaccine in immunosuppressed
individuals.
PMID- 27890169
TI - Hepatitis C Virus Infection and Rheumatic Diseases: The Impact of Direct-Acting
Antiviral Agents.
AB - Chronic hepatitis C virus (HCV) infection is associated with liver and
extrahepatic complications, including B-cell lymphoma, cardiovascular and kidney
diseases, glucose metabolism impairment and rheumatic conditions ie, arthralgia,
myalgia, cryoglobulinemia vasculitis, sicca syndrome and the production of
autoantibodies. The treatment has long been based on interferon alpha (IFN) that
was found poorly effective, and contraindicated in many autoimmune/inflammatory
disorders because of possible exacerbation of rheumatic disorders. The recent
emergence of new oral IFN-free combinations offers an opportunity for HCV
infected patients with autoimmune/inflammatory disorders to be cured with a short
treatment duration and low risk of side effects.
PMID- 27890170
TI - Hepatitis B Reactivation in Rheumatic Diseases: Screening and Prevention.
AB - Hepatitis B virus (HBV) reactivation (HBVr) has been an increasingly recognized
and appreciated risk of immunosuppressive therapies in rheumatic patients.
Despite its potential for significant morbidity and mortality, HBVr is a fully
preventable complication with appropriate pretreatment screening and close
monitoring of susceptible patients. Better knowledge of the risk for HBVr with
the different antirheumatic agents and the establishment of the new-generation
oral antivirals in clinical practice has greatly improved the design of screening
and therapeutic algorithms. In this review, all available data regarding HBVr in
rheumatic patients are critically presented and a screening and therapeutic
algorithm is proposed.
PMID- 27890171
TI - Barriers to Immunizations and Strategies to Enhance Immunization Rates in Adults
with Autoimmune Inflammatory Diseases.
AB - For as long as there have been immunizations, there have been barriers to them.
Immunization rates in the United States are below target. Rheumatologists and
rheumatology practitioners need to understand the issues of immunizations in
patients with autoimmune inflammatory disease to identify and overcome barriers
to immunization. Several strategies for overcoming these barriers are discussed.
PMID- 27890172
TI - Opportunistic Infections in Biological Therapy, Risk and Prevention.
AB - Patients being treated with biological therapies are at increased risk for
serious infections, including opportunistic infections. Although more is known
about opportunistic infection risk with older biologics, such as antitumor
necrosis factor drugs, there is less knowledge of opportunistic infection risk
with newer biological therapies. The incidence of certain opportunistic
infections (tuberculosis, herpes zoster, pneumocystosis) has been rigorously
evaluated in large observational studies. However, data are more limited for
other infections (histoplasmosis, nontuberculous mycobacteria). Infectious
morbidity and mortality may be preventable with screening and prophylaxis in
select populations.
PMID- 27890173
TI - Malignancy and the Risks of Biologic Therapies: Current Status.
AB - Cancer is a common event in patients with rheumatic diseases. In some cases, the
disease, its risk factors, or its treatment could play a role in favoring cancer.
This article analyzes the current knowledge on the risk of malignancy associated
with biologics in rheumatic diseases and discusses some methodological issues to
be considered when evaluating the association between disease, treatments, and
the risk of cancer. This article focuses on the risk of overall malignancy but
also of skin cancer, lymphoma, and recurrent cancer associated with all biologics
marketed for the treatment of rheumatic diseases.
PMID- 27890174
TI - Immune-Related Adverse Effects of Cancer Immunotherapy- Implications for
Rheumatology.
AB - Immune checkpoint inhibitors (ICIs) are increasingly studied and used as therapy
for a growing number of malignancies. ICIs work by blocking inhibitory pathways
of T-cell activation, leading to an immune response directed against tumors. Such
nonspecific immunologic activation can lead to immune-related adverse events
(IRAEs). Some IRAEs, including inflammatory arthritis, sicca syndrome, myositis,
and vasculitis, are of special interest to rheumatologists. As use of ICIs
increases, recognition of these IRAEs and developing treatment strategies will
become important. In this review, the current literature on rheumatic and
musculoskeletal IRAEs is summarized. The incidence, clinical presentations, and
treatment considerations are highlighted.
PMID- 27890175
TI - Malignancy and Janus Kinase Inhibition.
AB - The use of biologics such as anti-tumor necrosis factor and oral Janus kinase
inhibitors have revolutionized the treatment of rheumatoid arthritis (RA). The
risk of malignancies such as lymphomas, lung cancer, and nonmelanoma skin cancers
(NMSCs) is greater in patients with RA compared with the general population. The
incidence of all malignancy (excluding NMSC) was similar in tofacitinib users
compared with the general population. The rates of overall and site-specific
malignancies in patients with RA treated with tofacitinib are similar to what is
expected in the RA population and not different from disease-modifying
antirheumatic drugs and biologics.
PMID- 27890176
TI - The Risk of Progressive Multifocal Leukoencephalopathy in the Biologic Era:
Prevention and Management.
AB - Progressive multifocal leukoencephalopathy (PML) is a rare, typically fatal,
demyelinating central nervous system infection caused by reactivation of the John
Cunningham virus that generally occurs in immunosuppressed patients. With an
evolving understanding of a greater clinical heterogeneity of PML and significant
implications for therapy, PML should be considered in the differential diagnosis
of neurologic presentations of rheumatic diseases. Increased awareness of PML
among rheumatologists is required, as earlier diagnosis and restoration of immune
function may improve the otherwise grim prognosis associated with PML.
PMID- 27890177
TI - Infection and Malignancy in Rheumatic Diseases.
PMID- 27890178
TI - Infection and Malignancy in Rheumatic Diseases.
PMID- 27890179
TI - Expanded Endonasal Endoscopic Approaches to the Skull Base for the Radiologist.
AB - The cranial base is a complex 3-D region that contains critical neurovascular
structures. Pathologies affecting this region represent some of the most
challenging lesions to manage due to difficulty with access and risk of
significant postoperative morbidity. With the development of expanded endonasal
endoscopic approaches, skull base surgeons use the nose and paranasal sinuses as
a corridor to access selected ventral skull base lesions. This review discusses
high-resolution imaging in the evaluation of patients with skull base lesions
considered for endonasal endoscopic surgery, summarizes various expanded
endonasal endoscopic approaches, and provides examples of commonly used expanded
endonasal endoscopic procedures.
PMID- 27890180
TI - Imaging of the Posterior Skull Base.
AB - The posterior skull base can be involved by a variety of pathologic processes.
They can be broadly classified as: traumatic, neoplastic, vascular, and
inflammatory. Pathology in the posterior skull base usually involves the lower
cranial nerves, either as a source of pathology or a secondary source of
symptoms. This review will categorize pathology arising in the posterior skull
base and describe how it affects the skull base itself and surrounding
structures.
PMID- 27890181
TI - Imaging of Vascular Compression Syndromes.
AB - Trigeminal neuralgia, hemifacial spasm, vestibulocochlear neuralgia and
glossopharyngeal neuralgia represent the most common neurovascular compression
syndromes. Repeated vascular pulsations at the vulnerable transitional zone of
the individual cranial nerves lead to focal axonal injury and demyelination. High
resolution 3-D T2-weighted MR imaging is essential in detecting and mapping
neurovascular compression for directed therapy. Knowledge of the specific nerve
root exit, the transitional zones, and the adjacent vasculature is critical in
proper management. Treatment options for these neurovascular compressions
syndromes run the gamut from medical therapy to stereotactic radiosurgery to
botulinum injections and to surgical decompression.
PMID- 27890182
TI - Imaging of Perineural Spread in Head and Neck Cancer.
AB - Perineural spread (PNS) of tumor is a recognized pattern of metastasis occurring
in the head and neck. Imaging plays a critical role in identifying PNS for
adequate staging and treatment planning. Understanding the major branches and
pathways of cranial nerves V and VII, key anatomic landmarks, interconnections
between these nerves, and pearls and pitfalls of PNS imaging can aid in early
detection, appropriate therapy, and the best possible chance for cure.
PMID- 27890183
TI - Imaging Evaluation and Treatment of Vascular Lesions at the Skull Base.
AB - A wide range of congenital and acquired vascular entities may occur in the skull
base. Although some are diagnosed incidentally and merit no treatment, others may
require surgical or image-guided endovascular or percutaneous approaches for
management. The complex anatomy of the skull base can make diagnosis challenging.
A combination of computed tomography scans and MR imaging, and catheter
angiography may be required for diagnosis and mapping. Endovascular treatment
plays an important part in many of the acquired vascular lesions, such as
vascular neoplasms and traumatic dissections/aneurysms. Endovascular treatment is
generally considered the gold standard for the treatment of vascular shunts at
the skull base.
PMID- 27890184
TI - Imaging of Cerebrospinal Fluid Rhinorrhea and Otorrhea.
AB - As the obesity epidemic grows in the United States, rhinorrhea and otorrhea from
spontaneous cerebrospinal fluid (CSF) leaks secondary to untreated idiopathic
intracranial hypertension are increasing in prevalence. CSF rhinorrhea and
otorrhea should also be carefully evaluated in posttraumatic and postsurgical
settings, because untreated CSF leaks often have serious consequences. The work
up, diagnosis, and characterization of a CSF leak can be complex, often requiring
a multimodality approach to optimize surgical planning. This article reviews the
causes of CSF leaks, describes the methodology used to work up a suspected leak,
and discusses the challenges of making an accurate diagnosis.
PMID- 27890185
TI - High Resolution Three-Dimensional MR Imaging of the Skull Base: Compartments,
Boundaries, and Critical Structures.
AB - High-resolution 3D MRI of the skull base allows for a more detailed and accurate
assessment of normal anatomic structures as well as the location and extent of
skull base pathologies than has previously been possible. This article describes
the techniques employed for high-resolution skull base MRI including pre- and
post-contrast constructive interference in the steady state (CISS) imaging and
their utility for evaluation of the many small structures of the skull base,
focusing on those regions and concepts most pertinent to localization of cranial
nerve palsies and in providing pre-operative guidance and post-operative
assessment. The concept of skull base compartments as a means of conceptualizing
the various layers of the skull base and their importance in assessment of masses
of the skull base is discussed.
PMID- 27890186
TI - Advanced Imaging Techniques of the Skull Base.
AB - Although conventional imaging can depict the anatomy of the head and neck with
exquisite detail, it often falls short in its ability to characterize tissue
physiology and abnormality; this is especially seen in the posttherapy setting
where benign posttreatment changes and recurrent tumors can show intense
postcontrast enhancement and similar features on conventional imaging. Advanced
imaging can evaluate tissue physiology and, along with conventional imaging,
provide a more accurate assessment of the skull base. This article describes the
technical details and clinical applications of different advanced imaging
techniques with a primary focus on diffusion-weighted imaging.
PMID- 27890187
TI - Imaging of Paranasal Sinuses and Anterior Skull Base and Relevant Anatomic
Variations.
AB - This article reviews the normal anatomy and variants of the anterior skull base
and sinonasal cavities that are relevant to endoscopic sinus and skull base
surgery. Radiologists should be aware of sinonasal anatomy that can be
impediments to surgical access and increase risk of vascular or cranial nerve
injury during surgery. Imaging features of the paranasal sinuses and anterior
skull base pathologies are also discussed.
PMID- 27890188
TI - Imaging of the Central Skull Base.
AB - The skull base is a complex bony and soft tissue interface that is divided
anatomically into compartments. This article will focus specifically on the
central skull base, which has a complex embryologic development and anatomy.
Multiple entities from notochord remnants, neoplasm, infection, and other
abnormalities may occur, and imaging is critical for depicting skull base
pathology.
PMID- 27890189
TI - Diplopia: What to Double Check in Radiographic Imaging of Double Vision.
AB - When patients see double with both eyes open, known as "binocular diplopia," this
may be a harbinger of underlying life-threatening causes. This article presents
pertinent anatomy, critical abnormality, and radiographic features that should be
double checked for in diplopia. Key areas requiring a double check using the
acronym VISION include Vascular, Infectious and Inflammatory, the Scalp for giant
cell arteritis, Sphenoid and Skull base in trauma, Increased intracranial
pressure (pseudotumor cerebri), Onset of new headaches or psychosis, and
Neoplasm. This article reviews the pertinent abnormalities and radiographic
imaging critical to assess in patients with diplopia.
PMID- 27890190
TI - Imaging of the Sella and Parasellar Region.
AB - The sella and parasellar region, found between the anterior and central skull
base, represents the central aspect of the skull base. Given the location of the
pituitary gland in this location, small lesions in this location may have major
physiologic effects on the human body. This article reviews the anatomy,
development, and pathologic processes that may involve this region.
PMID- 27890191
TI - Skull Base Imaging in the Era of Minimal Access Surgery.
PMID- 27890192
TI - [Distal radius fractures].
PMID- 27890193
TI - [Treatment of intra-articular malunion of the distal radius of young active
patients].
AB - Management of malunion of the distal radius remains difficult. Planning of the
correction of the articular displacement requires a comprehensive analysis by CT
arthrography to analyze bone, cartilage and ligaments. Arthroscopy is a valuable
tool in the context of joint trauma. In the absence of osteoarthritis, the
correction can be carried out as early as possible in the weeks or months
following the initial fracture. The use of locking plates allows early
mobilization after surgery. This correction aims to prevent the development of
secondary osteoarthritis.
PMID- 27890194
TI - [The chondrocostal graft to treat articular distal radius malunion].
AB - Intra-articular malunion of the distal radius in high-demand patients can be
treated reliably with an osteocartilaginous autograft from the rib. This graft is
simple to harvest and it is used to resurface the carpal articular surface of the
radius.
PMID- 27890195
TI - [Carpal malalignment following distal radius fracture].
AB - Adaptive carpal malalignment is the consequence of malunion of the distal radius.
Since the radial metaphysis and capitate have to be aligned, any disorientation
of the radial epiphysis will force the proximal carpal row to adapt, as it is the
only mobile element. There are two types of adaptation depending where the
compensative occurs: (1) midcarpal - leading to flexion between the lunate and
capitate, with the lunate maintaining a normal relationship with the radial
epiphysis axis; (2) radiocarpal - combining flexion and dorsal displacement of
the lunate relative to the axis of the radial epiphysis, with the midcarpal joint
remaining aligned. Clinically, adaptive carpal malalignment is not the first
reason for consultation in cases of distal radius malunion. It occurs in cases of
moderate deformity with preserved pronation-supination in a young patient who has
good mobility. It generates dorsal pain that may be associated with a snapping
sensation. The diagnosis requires strict lateral X-ray views. Over time, the
wrist becomes stiff but analgesic and is often well tolerated functionally. This
type of deformity has not been shown to lead to osteoarthritis. Osteotomy to
correct the malunion is the only way to treat adaptive carpal malalignment in
active young patients who have a mobile but painful wrist.
PMID- 27890196
TI - [Nonunion after distal radius fracture: A review].
AB - Nonunion after distal radius fracture is rare, serious and unpredictable. The
preferred treatment is resection of the nonunion zone followed by open reduction
and internal fixation with autologous iliac crest bone graft. When the distal
fragment is less than 5mm high, a dorsal plate that bridges the radiocarpal joint
is recommended. In patients with low functional demands, radiocarpal fusion is a
logical choice. The bone healing rate is 58% and could be improved by using a
vascular graft. Bone healing is not synonymous with good clinical outcomes.
Nonunion after distal radius fracture is a serious problem and the functional
prognosis is doubtful.
PMID- 27890197
TI - [Treatment of partial articular fractures of the distal radius in active young
adults].
AB - The wrist's function is at stake in young active adults with partial intra
articular fractures of the distal radius. The high energy nature of these
injuries, displaced fractures with risk of malunion in case of insufficient
treatment, and associated ligament or cartilage damage all hinder the prognosis
of these fractures. Many classification systems exist to help us analyze and in
some cases, select a treatment. Optimal management requires a high-quality
preoperative assessment and a precise surgical technique coupled with the use of
arthroscopy to deal with joint and ligament injuries in the same operation.
Devices that address the fragmented nature of these fractures provide the best
fixation. The primary treatment goal is reduction with less 1mm intra-articular
step-off in order to reduce the risk of secondary osteoarthritis and to treat
associated ligament damage, which is very common and often under-estimated.
Treating the fracture and any associated lesions during the same operation is the
best way to ensure a good functional outcome.
PMID- 27890198
TI - [Treatment of extra-articular distal radius fractures in active elderly
patients].
AB - Extra-articular distal radius fractures in active elderly patients are common and
predominantly affect females. The high number of patients lost to follow-up
compromises the evaluation of outcomes. Treatment aims to control the comminution
and allow fast recovery of pre-injury activity levels. Fixation with volar
locking plates is the gold standard. The role of bone substitutes in this type of
injury is unclear.
PMID- 27890199
TI - [What is the role of primary or secondary hemiarthroplasty for distal radius
fractures in independent elderly patients?]
AB - The authors report their experience with wrist hemiarthroplasty for acute
irreparable distal radius fractures in independent elderly patients (12 women,
mean age 76years) and following failed initial treatment in the same population
(5 women, mean age 75years). The first 11 cases have a mean follow-up of
30months. No complications requiring implant removal were reported. At follow-up,
the mean VAS for pain was 1/10, the mean flexion-extension arc was 60 degrees ,
the Lyon Wrist score was 73 % and the PRWE (Patient-Related Wrist Evaluation) was
22 points. The five patients who had secondary procedures and were reviewed at
mean of 16months' follow-up did not require implant removal but there was one
complete forearm rotational ankylosis with ossification. At follow-up, the mean
VAS for pain was 3/10, the mean flexion-extension arc was 56 degrees , the Lyon
Wrist score was 65 % and the PRWE was 45 points. The authors believe that
hemiarthroplasty is a useful salvage procedure for irreparable wrist fractures in
the emergency room and after failed initial treatment in active elderly patients.
PMID- 27890200
TI - [Are there any indications for surgery of distal radius malunion in elderly
patients?]
AB - Malunions of the distal radius are associated most of the time with disturbances
of the distal radioulnar (DRU) joint. While a corrective osteotomy of the distal
radius is a well-known procedure in younger patients, manual workers and active
patients, is it justified in independent elderly patients above 70 years of age?
These techniques improve function, reorient the articular surfaces, protect
against complications such as degenerative osteoarthritis and stiffness of the
wrist, and they improve DRU balance. Osteotomies performed with bone or
artificial grafts have comparable outcomes. Either a volar or dorsal approach can
be used depending on the orientation of the carpal articular surface of the
radius and the need to lengthen the radius. If the patient is active and
independent, the clinical benefit is higher when an osteotomy is done for
significant malunions; urgent treatment of these older patients brings about
additional costs and unclear benefits.
PMID- 27890201
TI - [Complex pain regional syndrome after distal radius fractures].
AB - Complex regional pain syndrome (CPRS) greatly affects the outcomes of distal
radius fractures in terms of functional recovery, time away from work and patient
satisfaction. The diagnosis is sometimes difficult to make in the immediate post
injury period. The disproportionate nature of the pain in the absence of
differential diagnoses and the presence of a pain-free interval should arouse
suspicion. The natural history consists of spontaneous improvement except in some
refractory forms. The treatment is multidisciplinary combining a physician
specializing in pain, therapist and psychologist coordinated by the surgeon who
must not abandon the patient. Treatment aims to improve the patient's comfort and
quality of life. Recent treatment approaches aimed at improving cortical
reorganization have demonstrated their effectiveness. Surgery has to be
considered even in the acute phase to address any causes of pain that can be
resolved and address secondary stiffness. Significant progress has to be made in
our understanding of the pathogenesis of CPRS to improve treatment and shift this
condition to a regional pain syndrome.
PMID- 27890202
TI - [Radiological analysis of acute distal radius fractures and clinical outcomes].
AB - Radiological analysis of acute distal radius fractures (DRF) begins with the
initial AP and lateral X-ray views. A CT scan is very often indicated. In
addition to the three standard planes, 3D reconstructions are mandatory. We
recommend not only AP views of the distal facets of the radius but also a
complete 3D view in the surgical position. The distal ulna and carpus should also
be analyzed. We describe the radiological sequence and the criteria used. These
criteria are recorded in the one-page PAF chart, since they all impact the
treatment choice. Clinical outcomes of DRF treatment are rarely addressed in the
literature. We review the most commonly used clinical scores and describe the one
that we use currently.
PMID- 27890203
TI - [Distal radius fractures in children].
AB - Metaphyseal and physeal fractures of the distal radius are common in children.
Most cases are best treated with closed reduction and cast immobilization. Long
term outcomes of these injuries are excellent when specific treatment principles
of reduction and casting are followed. Surgical indications are limited and
include open fractures, intra-articular fractures, non-reducible fractures,
unstable fractures, and the presence of associated nerve injury. Closed reduction
and percutaneous pin fixation is the most commonly used surgical option. The
clinician should be aware of delayed complications such as growth disturbance of
the distal radius, and understand how to manage these problems to ensure
successful long-term outcomes. Epiphysiodesis is uncommon but growth plate
injuries need to be followed for one year.
PMID- 27890204
TI - [Hand rehabilitation after distal radius fracture].
AB - Distal radius fractures (DRF) are common. Good outcomes are the result of
appropriate initial treatment (immobilization, external fixation, percutaneous
pinning or open reduction and internal fixation) and rehabilitation adapted to
this treatment. When started immediately, rehabilitation of DRF prevents
complications due to immobilization, surgery and a non-controlled healing
process. Splints play an important role at all stages of rehabilitation.
PMID- 27890205
TI - [Internal fixation of the distal radius: Medical liability considerations].
AB - Fixation of distal radius fractures is now the primary surgical procedure leading
to medical liability claims for surgeons dealing with injuries to the upper limb.
The main reasons for incrimination are complex regional pain syndrome and an
unfavorable progression toward malunion. Infectious problems are relatively rare
and, in general, well controlled. The associated capsule and ligament damage can
be underestimated at the time of the initial assessment, which points to the need
for complementary imaging in case of doubt. The prevention of claims inevitably
requires a judicious choice of the fixation technique, which is adapted to the
anatomical fracture type and to the patient. The best defense is a complete
medical record, with emphasis on traceable information.
PMID- 27890206
TI - [Distal radius fractures: Which classification is the right one?]
AB - None of the classification systems for distal radius fractures is ideal. However
a validated system to analyze these fractures is available that is based on the
"metaphysis, epiphysis, ulna" (MEU) classification and the "patient, accident,
fracture" (PAF) system. It makes it possible to understand the injury and select
the best treatment.
PMID- 27890207
TI - [The MEU classification system for distal radius fractures: Prognostic and
therapeutic value of an independent assessment of various fracture parameters].
AB - Distal radius fractures (DRF) are often complex injuries that can impact the
radial metaphysis (M), the radial epiphysis (E) and the distal ulna (U). Each of
these parameters can influence the outcome. In a given injury, these three DRF
components are involved to a varying degree and are variably associated. The MEU
classification independently analyzes the three main bone components of the
fracture; thus, all possible combinations and each specific injury can be
described. It accurately depicts the type and severity of the DRF. Our results
show that this classification is useful for both prognosis and treatment. The
criteria are simple and easy to determine, making the system reliable and
reproducible. The classification system uses rigorous and validated criteria to
define fracture instability: any fracture for which M>2 and/or E>2 (severe
fracture) is more likely to be associated with secondary displacement, DRUJ pain,
and patient dissatisfaction. Furthermore, a metaphyseal fracture entering the
DRUJ (M') and the presence of a displaced ulnar fracture (U>1) affect the
functional outcome, thus these two features must also be included in the
classification system.
PMID- 27890208
TI - [Descriptive, radiographic, topographic and functional anatomy applied to distal
radius fractures].
AB - Extensive anatomical knowledge is needed in order to correctly treat distal
radius fractures: normal and pathological osteology of the distal radius, distal
radio-ulnar joint and radiocarpal joint-both on the descriptive and functional
level-the neurovascular and muscular environments of the distal radius-which are
essential to the surgical approaches-and the angles that need to be restored
during the reduction. All of these concepts are broached in this article.
PMID- 27890209
TI - [Patient-Accident-Fracture (PAF) classification of distal radius fractures].
AB - Despite the huge amount of literature devoted to acute distal radius fractures,
there is currently no consensus as to treatment recommendations. There is no
universally accepted anatomical classification other than the three main
categories of the AO classification. The authors believe that the only way to
improve this field is to stratify the patients according to their general health
and functional needs. They propose a new classification system that they have
used since 2009 and demonstrate its therapeutic, epidemiological and teaching
values.
PMID- 27890210
TI - [Surgical exposures for distal radius fractures].
AB - This is a review of the various approaches that can be used for open reduction
and internal fixation (ORIF) of distal radius fractures. The main dissection
steps are exposed and the specific indications for each approach are described.
The anterior approach is discussed extensively as it is now the gold standard for
ORIF of distal radius fractures. The lateral and posterior approaches are also
described as they are sometimes needed for complex fractures.
PMID- 27890211
TI - [Extra-articular distal radius fractures in young adults].
AB - Extra-articular distal radius fractures in young active patients are typically
the result of sport injuries or traffic accidents. Displaced fractures are less
well tolerated in young patients than in older people, especially in terms of
dorsal tilt and radial shortening. Non-surgical treatment is only indicated when
the fracture is minimally or not displaced. No fracture fixation method is
superior to another, however, the treatment goal is a rapid return to previous
activities.
PMID- 27890212
TI - [Complete intra-articular distal radius fractures in young active patients].
AB - Management of complex intra-articular fractures of the distal radius in young
active patients must aim to restore normal anatomy. For optimal planning of the
surgical procedure, a thorough analysis of the fracture with appropriate
radiological exams and diagnosis of frequently associated lesions are necessary.
Surgical management involves use of various types of internal or external
fixation. Routine use of standardized analysis tools for these fractures along
with prospective follow-up should allow us to define guidelines for each
situation in the near future.
PMID- 27890213
TI - [Combined scaphoid and distal radius fractures in adults].
AB - Although isolated distal radius and scaphoid fractures are common, the
combination of both fractures is rare, with a reported frequency between 0.5% and
6%. This rarity is probably due to the fact that both fractures share the same
injury mechanism. Published studies are limited, but most patients are males in
their 40s and the injuries are typically due to high-energy trauma. In most
studies, the distal radius fracture is displaced while the scaphoid fracture is
not. Since the functional outcome depends of the severity of the radius fracture,
we believe, as do others, that it is logical to fix both fractures with stable
devices (i.e., screw fixation for the scaphoid, locking plate for the radius) to
allow for early rehabilitation.
PMID- 27890214
TI - [Pathology of the triangular fibrocartilaginous complex in distal radius
fractures].
AB - Distal radius fracture is actually quite rarely isolated and triangular
fibrocartilaginous complex (TFCC) is often involved with the same mechanism of
injury. A contemporary management of the treatment of the initial fracture is
ideal and so benefits from a routine arthroscopic management. Nevertheless, in
the absence of diagnosis and therefore early treatment, the symptoms may be
located at the ulnar crossroads and justify specific treatment of TFCC. It is
generally conducted after an accurate arthroscopic lesion classification guiding
a procedure, depending on the lesion vascularization as well as its possible
destabilization potential (debridement, capsular suture or foveal reattachment).
Unfortunately, too often the radius fracture is insufficiently stabilized by an
inadequate fixation process or inappropriate orthopedic management with a
positive ulnar variance consequence and an ulnar plus syndrome. A "wafer
procedure" may then sometimes be proposed if a shortening of less than 3mm is
needed and that the distal radio-ulnar joint is not too disorganized.
PMID- 27890215
TI - [Incidence of distal ulna fractures associated with distal radius fractures:
Treatment options].
AB - Fractures of the neck and/or head of the ulna or distal ulna fracture (DUF) other
than ulnar styloid fractures can occur in combination with distal radius
fractures (DRF). This combination can have a significant influence on the
treatment and prognosis since it causes the entire distal forearm to be unstable.
In a series of 1279 consecutive unilateral DRFs, we found an associated ulnar
neck fracture in 5.9% of cases, ulnar head and neck fracture in 1.6%, and
isolated ulnar head fracture in 1.4%. Overall, 9% of cases in this study had a
DUF with a DRF. The frequency of extra-articular "A" (11%) and intra-articular
"C" (9%) DRFs according to the AO classification was about the same. There were
no cases of DUF combined with partial "B" DRF. There was a correlation between
combined DUF with DRF and the patient's group in the PAF classification. DUF are
more frequently associated with DRF in elderly patients. Specific distal ulnar
locking plates were recently introduced and they may be a useful adjunct to
distal radius locking plates when treating patients with combined DUF and DRF.
PMID- 27890216
TI - [Nerve injuries associated with distal radius fractures].
AB - Nerve damage is a common complication of distal radius fractures. It may be a
result of the injury event or be iatrogenic. It is the source of disability and
potential handicap. There is little published data on this topic and no study has
validated the strategies needed to prevent or manage these nerve-related
complications. There is no consensus on treatment. Prevention requires a good
knowledge of the various surgical approaches and rigorous fracture fixation
technique. The objective of this article is to take stock of recent data from the
scientific literature.
PMID- 27890217
TI - [Outcomes of minimally invasive plate osteosynthesis (MIPO) with volar locking
plates in distal radius fractures: A review].
AB - Minimally invasive plate osteosynthesis (MIPO) has been used in recent years to
treat fractures of the distal radius with volar locking plates. Its advantages
are the preservation of the pronator quadratus and good esthetics. The MIPO
technique was described originally with two incisions: one distal transverse or
longitudinal incision and one proximal longitudinal incision. The trend is now to
use a single longitudinal incision less than 20mm long. Functional and
radiological outcomes are comparable to those of conventional techniques. The
MIPO technique is indicated for extra-articular and intra-articular fractures.
Arthroscopy may be used concurrently in the latter case. When the distal radius
fracture is associated with a proximal shaft fracture, a double incision is
needed to introduce a longer plate. The relative contraindications of the MIPO
technique are comminuted intra-articular fractures in osteoporotic elderly
patients. If reduction is problematic, a larger incision can easily be made.
PMID- 27890218
TI - [Is external or internal distraction useful for treating distal radial
fractures?]
AB - The use of external fixation or internal plating to bridge communitive fractures
of the distal radius is discussed based on a review of prospective and meta
analysis studies. Distraction by an external fixator is not advised
(ligamentotaxis) because of the high incidence of complex regional pain syndrome
and the destabilization of bone and ligaments surrounding the intra-articular
fracture site. The external fixator must be used as a neutralization device and
supplemented with K-wire or volar plate fixation.
PMID- 27890219
TI - [Over-reduction after distal radius fracture pinning in young active patients:
Prevention by multiple mixed pinning].
AB - Over-reduction is a classical complication following pinning of distal radius
fractures. Indeed, the pinning techniques derived from Kapandji's technique do
not allow anterior stabilization. A literature review and our experience show
that it is an underestimated complication that can affect up to one-third of
cases, but that is well tolerated if the anterior tilt is less than 20 degrees .
Excessive angulation of the dorsal intrafocal K-wires becomes a significant risk
factor beyond 60 degrees . Volar comminution of the fracture is an obvious
predisposing factor. We will outline our ideas on the topic and present a new
pinning technique, which has reduced the over-reduction rate to below 3 %:
multiple mixed pinning combines two dorsal intrafocal K-wires with two trans
styloid K-wires along the anterior and posterior cortices of the radius to
provide true sagittal stabilization of the fracture. This technique is suitable
for fractures without major instability or associated anterior comminution
Milliez types 1 and 2 are its best indications. In our practice, there still is a
place for pinning of non-complex fractures in young active subjects. More than 20
degrees malunion in flexion can lead to symptoms; in this instance, isolated
opening osteotomy of the radius is the most suitable technique.
PMID- 27890220
TI - [Complications of volar locking plates used to treat distal radius fractures].
AB - About 10 years after volar locking plates were introduced to treat acute distal
radius fractures, several papers have reported short-term complications. The aim
of this paper was to report our complication rate over a 2-year period and to
compare it to the literature. We found similar complication rates despite
different classification systems. Because of the prospective nature of our study,
we were able to demonstrate that extra-articular and/or intra-articular scores
exceeding 8 points on a 12-points scale were statistically associated with more
complications, irrespective of the patient's overall health and age.
PMID- 27890221
TI - [Treatment of distal radius extra-articular malunion in young active patients].
AB - Malunion is a common complication of distal radius fractures despite new fixation
devices. The authors discuss the surgical indications, preoperative management
and the various surgical techniques used to treat distal radius extra-articular
malunion, specifically in active young subjects. The treatment goals in this
population group are anatomical restoration of the distal radius and distal
radioulnar joint, short immobilization period, quick functional recovery and
stable results over time, consistent with high functional demands.
PMID- 27890222
TI - Multiple imputation as a flexible tool for missing data handling in clinical
research.
AB - The last 20 years has seen an uptick in research on missing data problems, and
most software applications now implement one or more sophisticated missing data
handling routines (e.g., multiple imputation or maximum likelihood estimation).
Despite their superior statistical properties (e.g., less stringent assumptions,
greater accuracy and power), the adoption of these modern analytic approaches is
not uniform in psychology and related disciplines. Thus, the primary goal of this
manuscript is to describe and illustrate the application of multiple imputation.
Although maximum likelihood estimation is perhaps the easiest method to use in
practice, psychological data sets often feature complexities that are currently
difficult to handle appropriately in the likelihood framework (e.g., mixtures of
categorical and continuous variables), but relatively simple to treat with
imputation. The paper describes a number of practical issues that clinical
researchers are likely to encounter when applying multiple imputation, including
mixtures of categorical and continuous variables, item-level missing data in
questionnaires, significance testing, interaction effects, and multilevel missing
data. Analysis examples illustrate imputation with software packages that are
freely available on the internet.
PMID- 27890224
TI - New Frontiers in Biomaterials.
AB - Scientific and technological advances have combined to lead the way into a new
era of the ever-developing science of biomaterials and tissue regeneration. This
field has rapidly grown and new frontiers have quickly been established. Despite
obtaining satisfactory results with current methods, improved techniques that
lead to diminished patient discomfort, more favorable long-term prognosis, and
decreased health care costs continue to be the goals of researchers, patients,
and surgeons. Biomaterials have undergone a rapid evolution from materials that
simply replaced tissues to factors that stimulate a biological response in the
body.
PMID- 27890223
TI - Basic Principles of Bioengineering and Regeneration.
AB - In a quest to provide best-quality treatment, results, and long-term prognosis,
physicians must be well versed in emerging sciences and discoveries to more
favorably provide suitable options to patients. Bioengineering and regeneration
have rapidly developed, and with them, the options afforded to surgeons are ever
expanding. Grafting techniques can be modified according to evolving knowledge.
The basic principles of bioengineering are discussed in this article to provide a
solid foundation for favorable treatment and a comprehensive understanding of the
reasons why each particular treatment available can be the most adequate for each
particular case.
PMID- 27890225
TI - Applications of Mesenchymal Stem Cells in Oral and Craniofacial Regeneration.
AB - The field of tissue engineering and regenerative medicine has been rapidly
expanded through multidisciplinary integration of research and clinical practice
in response to unmet clinical needs for reconstruction of dental, oral, and
craniofacial structures. The significance of the various types of stem cells,
specifically mesenchymal stem cells derived from the orofacial tissues, ranging
from dental pulp stem cells to periodontal ligament stem cells to mucosa/gingiva
has been thoroughly investigated and their applications in tissue regeneration
are outlined in this article.
PMID- 27890226
TI - Tissue Engineering for Vertical Ridge Reconstruction.
AB - This article provides an overview of basic tissue engineering principles as they
are applied to vertical ridge defects and reconstructive techniques for these
types of deficiencies. Presented are multiple clinical cases ranging from office
based dentoalveolar procedures to the more complex reconstruction of
postresection mandibular defects. Several different types of regenerative tissue
constructs are presented; either used alone or in combination with traditional
reconstructive techniques and procedures, such as maxillary sinus augmentation,
Le Fort I osteotomy, and microvascular free tissue transfer. The goal is to also
familiarize the reconstructive surgeon to potential future strategies in vertical
alveolar ridge augmentation.
PMID- 27890227
TI - Emerging Biomaterials in Trauma.
AB - Emerging technologies and research into the science of biomaterials have
developed exponentially and provide facial reconstructive surgeons with a
plethora of options for a multitude of varying presentations. This article
presents a comprehensive discussion in the ever-evolving field of material
science and emerging biomaterials. A complete understanding of the current status
of such materials is necessary for the appropriate incorporation and
applicability to adequate clinical situations. The rapid progress seen in
biomaterials is evidenced through the forward direction of bioengineered tissues,
the incorporation of growth factors in varying scenarios, and the unique
characteristics of 3-D printing of patient specific scaffolds.
PMID- 27890228
TI - Tissue Engineered Prevascularized Bone and Soft Tissue Flaps.
AB - The complex shapes of skeletal components of the craniofacial region combined
with the prominence of the face and paucity of overlying soft tissue create
significant challenges for the reconstructive surgeon. The in vivo bioreactor
strategy is a promising alternative to microvascular surgical techniques that
combines tissue engineering principles with microvascular reconstructive
techniques to create patient-specific, prevascularized bone flaps for
reconstruction of complex maxillofacial defects. This article discusses the use
of traditional vascularized bone flaps; preclinical studies using the in vivo
bioreactor approach; case reports that have attempted this novel technique; and
future challenges and considerations in the development of patient-specific,
prevascularized bone flaps for maxillofacial reconstruction.
PMID- 27890229
TI - Maxillofacial Defects and the Use of Growth Factors.
AB - The development and increase in knowledge of the benefits and applications of
growth factors in craniofacial reconstruction adds a novel tool in the
reconstructive surgeon's armamentarium. The use of growth factors varies
according to presentation. Growth factors help to promote healing, angiogenesis,
and formation of bone of improved quality and quantity. Growth factors used with
stem cells and scaffolds provide a solution or alternative to discomfort created
by donor autograft sites. The application and results of these growth factors are
displayed in various examples of maxillofacial defects in this article, including
reconstruction of a premaxillary cleft and of maxillary augmentation.
PMID- 27890230
TI - Soft Tissue Engineering.
AB - There is a recognized need to reconstruct and restore complex craniomaxillofacial
soft tissues. The objective of this article is to focus on the role that tissue
engineering/regenerative medicine can play in addressing various barriers
(vascularity, tissue bulk, volitional control, and esthetics) and impediments
(timing, regional applicability/dissemination, and regulation by the US Food and
Drug Administration) to optimal tissue reconstruction of complex soft tissue
structures. We will use the lips as an example to illustrate our points.
PMID- 27890231
TI - Soft Tissue Regeneration Incorporating 3-Dimensional Biomimetic Scaffolds.
AB - Soft tissue replacement and repair is crucial to the ever-developing field of
reconstructive surgery as trauma, pathology, and congenital deficits cannot be
adequately restored if soft tissue regeneration is deficient. Predominant
approaches were sometimes limited to harvesting autografts, but through
regenerative medicine and tissue engineering, the hope of fabricating custom
constructs is now a feasible and fast-approaching reality. The breadth of this
field includes tissues ranging from skin, mucosa, muscle, and fat and hopes to
not only provide construct to replace a tissue but also to replace its function.
PMID- 27890232
TI - Regeneration and Beyond.
PMID- 27890233
TI - Establishing Tolerance to Commensal Skin Bacteria: Timing Is Everything.
AB - Commensal bacteria live intimately and in constant dialogue with skin immune
cells. Regulating our immune response to these bacteria is critical for skin
homeostasis. Using a new murine model to track Staphylococcus epidermidis
specific T cells, we found that colonization during neonatal but not adult life
led to S.epidermidis-specific immune tolerance. This tolerance protected against
skin inflammation and was mediated by a wave of regulatory T cells entering
neonatal skin. These findings provide new insight into how we establish a healthy
symbiosis with commensal microbes and highlight avenues for future research to
identify novel therapies for inflammatory skin disease.
PMID- 27890234
TI - Current Status of Dedicator of Cytokinesis-Associated Immunodeficiency: DOCK8 and
DOCK2.
AB - DOCK8 deficiency is an autosomal recessive combined immunodeficiency disease
associated with elevated IgE, atopy, recurrent sinopulmonary and cutaneous viral
infections, and malignancy. The DOCK8 protein is critical for cytoskeletal
organization, and deficiency impairs dendritic cell transmigration, T-cell
survival, and NK cell cytotoxicity. Early hematopoietic stem cell transplantation
is gaining prominence as a definitive treatment given the potential for severe
complications and mortality in this disease. Recently, DOCK2 deficiency has been
identified in several patients with early-onset invasive bacterial and viral
infections.
PMID- 27890236
TI - The Critical and Multifunctional Roles of Antimicrobial Peptides in Dermatology.
AB - Antimicrobial peptides are central effector molecules in skin immunology. The
functions of antimicrobial peptides in skin diseases include the ability to act
as cytokines or growth factors, driving disorders such as psoriasis and rosacea,
as well as their action as natural antibiotics to control bacteria that influence
diseases such as atopic dermatitis and acne.
PMID- 27890235
TI - Dermatologic Manifestations of Monogenic Autoinflammatory Diseases.
AB - Autoinflammatory disorders are sterile inflammatory conditions characterized by
episodes of early-onset fever, rash, and disease-specific patterns of organ
inflammation. Gain-of-function mutations in innate danger-sensing pathways,
including the inflammasomes and the nucleic acid sensing pathways, play critical
roles in the pathogenesis of IL-1 and Type-I IFN-mediated disorders and point to
an important role of excessive proinflammatory cytokine signaling, including
interleukin (IL)-1b , Type-I interferons, IL-18, TNF and others in causing the
organ specific immune dysregulation. The article discusses the concept of
targeting proinflammatory cytokines and their signaling pathways with cytokine
blocking treatments that have been life changing for some patients.
PMID- 27890238
TI - Understanding Inherited Cylindromas: Clinical Implications of Gene Discovery.
AB - Cylindromas are cutaneous tumors first described in the medical literature over
150 years ago. They are now known to occur on an inherited basis as a result of
mutations in the tumor-suppressor gene CYLD. The discovery of this gene has
provided novel insights into this rare skin tumor syndrome. As well as enabling
genetic counseling of affected patients, the knowledge of CYLD function has led
to steps toward development of novel therapeutics, with CYLD-regulated signaling
pathways as the target for this approach.
PMID- 27890237
TI - Mosaic Disorders of the PI3K/PTEN/AKT/TSC/mTORC1 Signaling Pathway.
AB - Somatic mutations in genes of the PI3K/PTEN/AKT/TSC/mTORC1 signaling pathway
cause segmental overgrowth, hamartomas, and malignant tumors. Mosaicism for
activating mutations in AKT1 or PIK3CA cause Proteus syndrome and PIK3CA-Related
Overgrowth Spectrum, respectively. Postzygotic mutations in PTEN or TSC1/TSC2
cause mosaic forms of PTEN hamartoma tumor syndrome or tuberous sclerosis
complex, respectively. Distinct features observed in these mosaic conditions in
part reflect differences in embryological timing or tissue type harboring the
mutant cells. Deep sequencing of affected tissue is useful for diagnosis. Drugs
targeting mTORC1 or other points along this signaling pathway are in clinical
trials to treat these disorders.
PMID- 27890239
TI - Interleukin-22 and Cyclosporine in Aggressive Cutaneous Squamous Cell Carcinoma.
AB - Cutaneous squamous cell carcinomas (SCCs) account for up to 10,000 deaths
annually in the United States. Most of the more than 700,000 SCCs diagnosed are
cured by excision with clear margins; however, metastasis can occur despite
seemingly adequate treatment in some cases. Immune-suppressed organ transplant
recipients are 60 to 100 times more likely to develop SCC than immune-competent
individuals. Transplant-associated SCCs occur more frequently and behave more
aggressively, showing higher risk of recurrence and metastasis. This article
identifies a potential role for interleukin-22 in driving SCC proliferation,
particularly in solid organ transplant recipients taking cyclosporine.
PMID- 27890240
TI - Melanocytic Nevi and the Genetic and Epigenetic Control of Oncogene-Induced
Senescence.
AB - Melanocytic nevi represent benign clonal proliferations of the melanocytes in the
skin that usually remain stable in size and behavior or disappear during life.
Infrequently, melanocytic nevi undergo malignant transformation to melanoma.
Understanding molecular and cellular mechanisms underlying oncogene-induced
senescence should help identify pathways underlying melanoma development, leading
to the development of new strategies for melanoma prevention and early detection.
PMID- 27890241
TI - Distinct Fibroblasts in the Papillary and Reticular Dermis: Implications for
Wound Healing.
AB - Human skin wounds heal largely by reparative wound healing rather than
regenerative wound healing. Human skin wounds heal with scarring and without
pilosebaceous units or other appendages. Dermal fibroblasts come from 2 distinct
lineages of cells that have distinct cell markers and, more importantly, distinct
functional abilities. Human skin wound healing largely involves the dermal
fibroblast lineage from the reticular dermis and not the papillary dermis. If
scientists could find a way to stimulate the dermal fibroblast lineages from the
papillary dermis in early wound healing, perhaps human skin wounds could heal
without scarring and with skin appendages.
PMID- 27890242
TI - Basic Science Insights into Clinical Puzzles.
PMID- 27890243
TI - Effect of Combination Cholesterol-Lowering Therapy and Triglyceride-Lowering
Therapy on Medical Costs in Patients With Type 2 Diabetes Mellitus.
AB - High triglyceride (TG) levels among patients with type 2 diabetes mellitus (DM)
are associated with higher medical costs. We analyzed the economic impact of TG
lowering therapies and whether the association between medical costs and therapy
differed according to TG reduction. We conducted an observational cohort study of
184,932 patients with diabetes mellitus who had a TG measurement between January
2012 and June 2013 and a second TG measurement 3 to 15 months later. We
identified 4 therapy groups (statin monotherapy, TG-specific monotherapy,
statin/TG-specific combination therapy, or no therapy) and stratified those
groups by percent change in TG (increased >=5%, change of <=4.9%, decreased 5% to
29%, decreased >=30%). We compared change in medical costs between the year
before and after therapy, adjusted for demographic and clinical characteristics.
Of the 184,932 total patients, 143,549 (77.6%) received statin monotherapy, 900
(0.5%) received TG-specific monotherapy, 1,956 (1.1%) received statin and TG
specific combination therapy, and 38,527 (20.8%) received no prescription lipid
agents. After covariate adjustment, statin/TG-specific agent recipients had a
mean 1-year total cost reduction of $1,110. The greatest cost reduction was seen
among statin/TG-specific combination therapy patients who reduced TG levels by
>=30% (-$2,859). Statin monotherapy patients who reduced TG by >=30% also had a
large reduction in adjusted costs (-$1,079). In conclusion, we found a
substantial economic benefit to treating diabetic patients with statin/TG
specific combination lipid therapy compared with monotherapy of either type or no
lipid pharmacotherapy. A TG reduction of >=30% produced a particularly large
reduction in 1-year medical costs.
PMID- 27890244
TI - Development and Optimization of a Wet Granulation Process at Elevated Temperature
for a Poorly Compactible Drug Using Twin Screw Extruder for Continuous
Manufacturing.
AB - The objective of this study was to enhance tabletability of a poorly compactible
drug, acetaminophen, by wet granulation using twin screw extruder at high
temperature. It was desired that there would be minimum amounts of excipients
used and the granules obtained after extrusion would be dry and fall within a
size range suitable for tableting without any further processing. Mixtures of
acetaminophen (95%) with binders (5% povidone or partially pregelatinized starch)
were wet granulated through twin screw extruder at 70 degrees C by adding 7% w/w
water. The process had a short granulation time (<1 min), and, on account of the
elevated processing temperature used, no drying after extrusion was needed. By
optimizing formulation and processing parameters, >90% granules in the size range
of 125 to 1000 MUm (<3% above 1000 MUm and <7% below 125 MUm) were obtained
without any milling. When the granules were compressed by adding 1% disintegrant
and 0.5% lubricant extragranularly, tablets produced (93.6% drug load) had good
mechanical strength having hardness >1.7 MPa, which was superior to that of
tablets prepared by conventional high shear wet granulation. As the granules
could be extruded continuously and did not require drying and milling, the method
was amenable to continuous processing.
PMID- 27890246
TI - Treatment of dynamic scapholunate instability dissociation: Contribution of
arthroscopy.
AB - Scapholunate (SL) ligament injuries generally result from trauma to an extended,
supinated wrist, leading to chronic instability that progresses toward
osteoarthritis. They can occur together with distal radius fracture. These
injuries can be difficult to diagnose, especially early on. Treating chronic SL
ligament injuries before the onset of osteoarthritis is still a challenge for
surgeons. Until recently, the recommended treatments consisted of open SL
ligament reconstruction or repair procedures that reduce pain and improve pinch
strength but also lead to wrist stiffness. The introduction of arthroscopy has
completely changed our understanding of these injuries and how to treat them. We
review here the treatment approach through the eyes of three specific groups of
surgeons: the "pioneers" who first analyzed these injuries; the "specialists" who
explored various stabilization techniques to compensate for the torn SL ligament;
and the most recent group, the "anatomists" who, based on recent arthroscopy
findings, sought to reconstruct the anatomical structures as accurately as
possible and who developed the concept of the SL complex.
PMID- 27890245
TI - Mathematical Models for Controlled Drug Release Through pH-Responsive Polymeric
Hydrogels.
AB - Hydrogels consisting of weakly charged acidic/basic groups are ideal candidates
for carriers in oral delivery, as they swell in response to pH changes in the
gastrointestinal tract, resulting in drug entrapment at low pH conditions of the
stomach and drug release at high pH conditions of the intestine. We have
developed 1-dimensional mathematical models to study the drug release behavior
through pH-responsive hydrogels. Models are developed for 3 different cases that
vary in the level of rigor, which together can be applied to predict both in
vitro (drug release from carrier) and in vivo (drug concentration in the plasma)
behavior of hydrogel-drug formulations. A detailed study of the effect of
hydrogel and drug characteristics and physiological conditions is performed to
gain a fundamental insight into the drug release behavior, which may be useful in
the design of pH-responsive drug carriers. Finally, we describe a successful
application of these models to predict both in vitro and in vivo behavior of
docetaxel-loaded micelle in a pH-responsive hydrogel, as reported in a recent
experimental study.
PMID- 27890247
TI - Reproducibility of X-rays and CT arthrography in SLAC, SNAC, SCAC wrists.
AB - The purpose of this study was to assess the inter-observer and intra-observer
reproducibility of the interpretation of CT arthrography and plain X-rays for
scapholunate advanced collapse (SLAC), scaphoid non-union advanced collapse
(SNAC) and scaphoid chondrocalcinosis advanced collapse (SCAC) wrist conditions,
as well as the clinical relevance of these imaging modalities. The CT and X-rays
images were reviewed twice in a blinded and randomized manner by two experienced
orthopedic surgeons specialized in hand surgery, two orthopedic surgery residents
and two experienced radiologists specialized in bone and joint imaging. Cohen's
kappa and Fleiss' kappa coefficients were used to analyze the reproducibility of
interpretation of the radiological examinations. With CT arthrography, the
overall diagnosis was often a problem, in terms of both inter- or intra-observer
reproducibility. The assessment of the joint line appeared to be fairly
reproducible for each observer but was poorly reproducible between different
observers. Plain X-rays are not sufficient to assess cartilage quality in
degenerative wrist disease. CT arthrography is a reliable examination, but its
interpretation is not always standardized. Diagnostic arthroscopy may be
justified in doubtful cases.
PMID- 27890248
TI - Proximal row carpectomy on manual workers: 17 patients followed for an average of
6 years.
AB - : Proximal row carpectomy (PRC) is indicated for the treatment of SNAC or SLAC
wrist with preservation of the midcarpal joint. Our hypothesis was that PRC is
not appropriate for treating advanced wrist osteoarthritis in patients who carry
out heavy manual work. Twenty-three PRCs were performed on 21 patients, 5 women
and 16 men with an average age of 54 years (33-77). All patients performed manual
work; 11 of them performed heavy manual work. Etiologies were: SLAC wrist in 14
cases (2 stage III, 11 stage II, and 1 stage I) and SNAC wrist in 9 cases (6
stage IIIB and 3 stage IIB). At an average 75 months' follow-up (24-153), five
patients were lost to follow-up. Radiocarpal arthrodesis was performed in one
patient 10 years after the PRC. In the 17 remaining patients (18 wrists), pain
(VAS) averaged 2.2, with residual pain of 5. Flexion-extension range was similar
to preoperative levels (67% of contralateral wrist). Wrist strength was decreased
by 34% compared to preoperative. The QuickDASH score averaged 26 points and the
PRWE 20 points. Radiocapitate distance decreased by 0.3mm on average with joint
line narrowing in 6 patients. The carpal translation index was 0.33mm, which was
unchanged relative to preoperative values. Three patients had work-related
limitations that required retraining and one patient had to be reassigned. PRC
preserved the preoperative range of motion and reduced pain levels. However,
significant loss of strength was observed, resulting in 23% of manual workers
needing retraining or reassignment. TYPE OF STUDY/LEVEL OF EVIDENCE: Therapeutic
IV.
PMID- 27890249
TI - Early outcomes of Pyrocardan(r) implants for trapeziometacarpal osteoarthritis.
AB - The aim of this retrospective study was to assess the early outcomes of
trapeziometacarpal osteoarthritis treatment using Pyrocardan(r) implants in
patients with early Eaton (stage I and II) osteoarthritis. We analyzed the
results of 27 patients, with a median age of 59 years (range, 34-78 years) and a
mean follow-up of 24 months (range, 12-41). The level of satisfaction was
assessed using a postoperative questionnaire. Twenty patients mentioned having
improvements in their activities of daily living, 20 patients were satisfied with
the outcomes of surgery, and 19 patients would consider undergoing the procedure
a second time. Five patients needed reoperation for complications, including
persistent pain, grinding, or implant dislocation. Pyrocardan(r) implants may be
a valuable temporary therapy as more conventional arthroplasty techniques are
still feasible in case of failure. However, multicenter randomized controlled
trials with a longer follow-up are needed to assess the long-term outcomes.
PMID- 27890250
TI - The role of self-efficiency toward pain following surgical treatment of carpal
tunnel syndrome.
AB - Some very poor results after carpal tunnel syndrome (CTS) surgery are difficult
to explain. The main hypothesis of this study was that a relationship exists
between self-efficiency toward pain and the difference between pre-operative and
post-operative pain. The secondary hypothesis was that a relationship exists
between self-efficiency toward pain and the pre-operative and post-operative
QuickDASH score. The records of 64 patients operated for purely subjective CTS
were reviewed. The evaluation consisted in determining self-efficacy beliefs from
two PSEQ2 questions (1: I can still accomplish most of my goals in life, despite
the pain; 2: I can live a normal lifestyle, despite the pain), pain levels and
the QuickDASH score. There was an inversely proportional relationship between the
pre-operative PSEQ2 and pain on one hand, and post-operative pain and the pre
operative QuickDASH score on the other hand. We found no correlation between the
pre-operative PSEQ2 and post-operative QuickDASH score. Self-efficiency beliefs
as measured by PSEQ2 help to predict pain levels after surgical CTS treatment in
the absence of sensory and/or motor deficits and/or associated morbidity.
PMID- 27890251
TI - Partial transfer from C7 root to external branch of accessory nerve for trapezius
palsy.
PMID- 27890252
TI - AML in 2016: Where we are now?
AB - A high relapse rate for patients with acute myeloid leukemia (AML) is still a
major barrier to the long-term survival of these patients. Nevertheless,
considerable progress has been made both in the biology and therapy of the
disease. Specifically, progress has been made in the areas of integrated genomic
analysis for prognosis, the widening application of minimal residual disease
(MRD) monitoring in clinical practice, the development of new agents, and the
increasing use of drugs, such as IDH and FLT3 inhibitors, as a bridge to
transplant. Continued progress and inquiry into these and other areas are
essential to improve the survival outcome for adult patients with AML.
PMID- 27890253
TI - A cryptic translocation leading to NUP98-PHF23 fusion in AML.
AB - Chromosome translocations leading to gene fusions have emerged as important
oncogenic drivers of various types of malignancies. Detection and
characterization of these fusion genes not only help diagnosis and management of
specific malignancies, but also contribute to our understanding of the genetic
basis and pathogenesis of these diseases. NUP98 gene encodes a 98 kDa
nucleoporin, which is a component of the nuclear pore complex that mediates
transport of mRNA and proteins between the nucleus and the cytoplasm. Due to its
participation in translocations leading to the formation of fusion with at least
29 different partner genes, NUP98 is considered one of the most promiscuous
fusion genes in hematologic malignancies. We discuss our identification and
characterization of a NUP98-PHF23 fusion from a cryptic translocation in patients
with acute myeloid leukemia (AML).
PMID- 27890254
TI - Why are there so few randomized trials for patients with primary refractory acute
myeloid leukemia?
AB - Fewer patients with primary refractory AML ("PREF") are entered into phase 3
trials than are patients with relapsed AML. This is particularly noteworthy
because data from phase 3 trials for newly diagnosed AML indicated PREF and
relapse are equally common. Here I discuss three possible reasons for this
discrepancy. First, there is disagreement whether the criterion for PREF AML
should be failure of one or two courses of initial induction therapy. Second,
there may be an impression that PREF AML is qualitatively worse than relapsed
AML. Third, there may be a general unwillingness to randomize patients with such
poor prognoses.
PMID- 27890256
TI - Why the stagnation in effective therapy for MDS?
AB - The question posed above assumes that we are going nowhere in therapies for
myelodysplastic syndromes (MDS) and asks, why? Yet, in recent years novel and
effective therapies for MDS indeed have begun to emerge, particularly in patients
with lower-risk disease. Beyond this, however, most of the progress has been
limited to advances in allogeneic transplantation for higher-risk patients. This
discussion will focus first on areas where we have moved beyond "stagnation,"
including these advances in supportive care for lower-risk patients and in
emerging transplant gains. Further, in areas that have not seen the same
encouraging advances, suggestions are made on how to move the field forward. The
promise for the future lies in finding more creative ways to partner molecular
genetics, novel drugs, and novel clinical trial designs.
PMID- 27890255
TI - Chimeric antigen receptor T cell therapy in AML: How close are we?
AB - The majority of patients presenting with acute myeloid leukemia (AML) initially
respond to chemotherapy but post-remission therapy is required to consolidate
this response and achieve long-term disease-free survival. The most effective
form of post-remission therapy relies on T cell immunotherapy in the form of
allogeneic hematopoietic cell transplantation (HCT). However, patients with
active disease cannot usually expect to be cured with HCT. This inherent
dichotomy implies that traditional T cell-based immunotherapy in the form of
allogeneic HCT stops being efficacious somewhere between the measurable residual
disease (MRD) and the morphologically obvious range. This is in part because the
full power of T cells must be restrained in order to avoid lethal graft-versus
host disease (GVHD) and partly because only a sub-population of donor T cells are
expected to be able to recognize AML cells via their T cell receptor. Chimeric
antigen receptor (CAR) T cell therapy, most advanced in the treatment of patients
with B-cell malignancies, may circumvent some of these limitations. However,
major challenges remain to be overcome before CAR T cell therapy can be safely
applied to AML.
PMID- 27890257
TI - Is there justification for 4 cycles of consolidation therapy in AML?
AB - The concept of postremission therapy in acute myeloid leukemia is quite old,
dating back to a trial conducted in 1988 by the Cancer and Leukemia Group B. Yet
even with more than 20 years of investigation into the optimal number of cycles
for consolidation therapy, the answer is still not entirely clear. Dose intensity
also has an impact on the number of courses of consolidation therapy
administered, as do the cytogenetics of the patients. This review examines how to
direct the future of consolidation therapy outside of allogeneic transplantation
and discusses the issues to consider in choosing the number of courses of
consolidation, including the effect minimal residual disease may have in guiding
decisions regarding consolidation treatment.
PMID- 27890258
TI - Should anyone with Philadelphia chromosome-positive ALL who is negative for
minimal residual disease receive a hematopoietic stem cell transplant in first
remission?
AB - Outcomes for patients with Philadelphia chromosome-positive acute lymphoblastic
leukemia (Ph+ ALL) in the pre-imatinib era were poor, particularly if patients
did not receive an allogeneic hematopoietic stem cell transplant. This led to the
recommendation that all patients with Ph+ ALL, if they were transplant
candidates, should be transplanted. With the introduction of imatinib and
subsequently other tyrosine kinase inhibitors, patient outcomes improved
dramatically, raising the question of whether transplant in first complete
molecular remission for these patients is really necessary. This review looks at
evidence from clinical studies around the world in an attempt to answer this
question.
PMID- 27890260
TI - The role of second transplants for leukemia.
AB - Management of relapsed leukemia following allogeneic transplantation is
challenging. Intensive chemotherapy, donor lymphocyte infusions (DLI), or second
transplantation have some value, but most reported series describe only a limited
number of patients surviving beyond 2 or 3 years following relapse. Additionally,
understandable selection-bias of reports describing the outcomes of intensive
management approaches for relapsed leukemia confound generalizability to a
broader population. However numerous reports suggest that second allogeneic
transplantation for relapsed leukemia following an initial transplant may produce
extended disease control and survival for patients with favorable performance
status, remission at the time of second transplant, and most importantly a long
interval between initial transplant and relapse. Reduced intensity conditioning
for second allografts may be preferable and little data exists to suggest that a
new donor will improve disease control by inducing a stronger graft-versus
leukemia effect. Improved measures to prevent the first relapse, however, may
protect more patients and produce a greater fraction enjoying extended leukemia
free survival.
PMID- 27890261
TI - Consolidation chemotherapy prior to hematopoietic cell transplantation for adults
with acute myeloid leukemia in first remission.
AB - Whether patients with acute myeloid leukemia (AML) should receive routine
consolidation chemotherapy prior to transplant remains a significant question.
Consolidation therapy may be advisable in the face of transplant delays, such as
donor identification, insurance clearance, or transplant center scheduling, to
prevent relapse prior to transplant. However, in cases where physicians have a
choice, the question of the value of consolidation chemotherapy continues to be
debated. This paper reviews the value of consolidation therapy in 4 different
transplant settings.
PMID- 27890259
TI - How important is NK alloreactivity and KIR in allogeneic transplantation?
AB - Relapse of acute myelogenous leukemia (AML) after allogeneic hematopoietic cell
transplantation (allo HCT) is a major cause of death in transplant recipients.
Efforts to control relapse by promoting donor T-cell alloreactivity, such as
withdrawal of immune suppression or donor lymphocyte infusions, are limited by
the propensity to induce graft versus host disease (GVHD) and by inadequate
efficacy. Therefore, options for AML patients who have relapsed AML after allo
HCT are few and outcomes are poor. Similar to T-cells, natural killer (NK) cells
have potent anti-leukemia effector capacity, and yet unlike T-cells, NK cells do
not mediate GVHD. Furthermore, their function does not require matching of human
leukocyte antigens (HLA) between donor and recipient. Maximizing donor NK
alloreactivity thus holds the exciting possibility to induce the graft versus
leukemia (GVL) effect without engendering GVHD. Among the array of activating and
inhibitory NK cell surface receptors, the killer Ig-like receptors (KIR) play a
central role in modulating NK effector function. Here we will review how KIR
mediates donor alloreactivity, discuss the role of KIR gene and allele typing to
optimize allo HCT donor selection, and discuss how KIR may aid adoptive NK and
other cell therapies.
PMID- 27890262
TI - What is the role of novel thrombopoietic agents in the management of acute
leukemia?
AB - The role of novel thrombopoietic agents in the management of acute leukemia is a
tale of two molecules, romiplostim and eltrombopag. Both are thrombopoietin (TPO)
receptor agonists with somewhat different mechanisms of action. Romiplostim is a
peptide TPO receptor agonist that activates the TPO receptor by binding to it
just like TPO. Eltrombopag is a nonpeptide TPO receptor agonist that activates
the TPO receptor by binding to the transmembrane domain. Both TPO receptor
agonists increase platelet counts in healthy humans and in those with immune
thrombocytopenia. This review focuses on the potential these agents may have in
supportive care of patients with acute leukemia.
PMID- 27890263
TI - Innate immunity and the new forward genetics.
AB - As it is a hard-wired system for responses to microbes, innate immunity is
particularly susceptible to classical genetic analysis. Mutations led the way to
the discovery of many of the molecular elements of innate immune sensing and
signaling pathways. In turn, the need for a faster way to find the molecular
causes of mutation-induced phenotypes triggered a huge transformation in forward
genetics. During the 1980s and 1990s, many heritable phenotypes were ascribed to
mutations through positional cloning. In mice, this required three steps. First,
a genetic mapping step was used to show that a given phenotype emanated from a
circumscribed region of the genome. Second, a physical mapping step was
undertaken, in which all of the region was cloned and its gene content
determined. Finally, a concerted search for the mutation was performed. Such
projects usually lasted for several years, but could produce breakthroughs in our
understanding of biological processes. Publication of the annotated mouse genome
sequence in 2002 made physical mapping unnecessary. More recently we devised a
new technology for automated genetic mapping, which eliminated both genetic
mapping and the search for mutations among candidate genes. The cause of
phenotype can now be determined instantaneously. We have created more than
100,000 coding/splicing mutations. And by screening for defects of innate and
adaptive immunity we have discovered many "new" proteins needed for innate immune
function.
PMID- 27890264
TI - Selective suppression of in situ proliferation of scyphozoan polyps by
biofouling.
AB - An increase in marine artificial constructions has been proposed as a major cause
of jellyfish blooms, because these constructions provide additional substrates
for organisms at the benthic stage (polyps), which proliferate asexually and
release a large amount of free-swimming medusae. These hard surfaces are normally
covered by fouling communities, the components of which have the potential to
impede the proliferation of polyps. In this study, we report an in situ
experiment of polyp survival of four large scyphozoan species found in East Asian
marginal seas that were exposed to biofouling, a universal phenomenon occurring
on marine artificial constructions. Our results showed that the polyps of three
species (Nemopilema nomurai, Cyanea nozaki, and Rhopilema esculentum) attached to
the artificial surfaces were completely eliminated by biofouling within 7
8months, and only those of moon jellyfish (Aurelia sp.1) in the upper layers
could multiply on both artificial materials and other organisms (e.g., ascidians
and bryozoans). Fouling-associated competition and predation and suppressed
asexual reproduction of podocysts were observed to contribute to the loss of
polyps. This study shows that the natural distribution of polyps is defined by
the biofouling community that colonizes the surfaces of artificial constructions.
Consequently, the contribution of marine constructions to jellyfish bloom is
limited only to the ability of the jellyfish species to reproduce asexually
through budding and inhabit solid surfaces of fouling organisms in addition to
inhabiting original artificial materials. We anticipate that fragile polyps will
colonize and proliferate in harsh environments that are deleterious to
biofouling, and we propose special attention to polyps in antifouling practices
for excluding the possibility that they occupy the available ecological space.
PMID- 27890265
TI - Review of "Rebuttal to published article "A review of ghost gear entanglement
amongst marine mammals, reptiles and elasmobranchs" by M. Stelfox, J. Hudgins,
and M. Sweet."
PMID- 27890266
TI - ?
PMID- 27890267
TI - [The silence of a body and speech set free].
AB - Anorexia is a reflection of issues with the body in all its states. The social
body and the representation of a model's body, mistreated in order to meet
certain standards, the medical body shaken by the mystery of anorexia, and the
subjective body and the reality of the flesh. The anorexic body is a testament to
a past full of pain and neglect, a sign of emotional deficiency. Caring for the
body of a person with anorexia, whatever the approach, means also and above all
working with the future of a human being.
PMID- 27890268
TI - [All the bodies of anorexia].
AB - Anorexia is an active struggle against hunger. In this fight, the body is a real
battlefield where the therapies used aim to re-establish peace. Hence the
importance of an integrative 'body to body' approach between patient and
caregiver.
PMID- 27890269
TI - [Anorexia and borderline personality disorder : bonds pathology].
AB - Comorbidity with a borderline personality disorder is far from rare in patients
suffering from eating disorders. Clinically, this presents as chronic instability
in many areas: interpersonal relationships, self-image, emotions, mood and acting
out. Treatment is mainly based on a containing and reassuring therapeutic
framework. A care plan may be put in place that incorporates reducing impulsive
harmful, eating and self-harming behaviours. Dialectical behaviour therapy is
intended in particular to prevent suicide risk in borderline personality disorder
patients.
PMID- 27890270
TI - [Anorexia in males].
AB - Anorexia nervosa is often considered as a women disease, whereas 10% of the cases
are men. There are much more researches in this field for a couple of decades.
"Bigorexia", which combines food control and need for muscles, seems to be more
frequent above men.
PMID- 27890271
TI - [Towards a transcultural approach to eating disorders].
AB - Anorexia must not be considered as a syndrome specific to a culture but as being
linked to fast-moving sociocultural changes. Its occurrence can be favoured by
certain transcultural phenomena, such as globalisation and the process of
acculturation. The analysis of a clinical case of a young migrant with anorexia
illustrates the complexity and need for complementary transcultural
psychotherapy, to improve understanding and the future.
PMID- 27890272
TI - [My stomach, the monster under my bed].
AB - Care for patients with eating disorders is complex and plurimodal. Care plans
need to be adapted in order to take into account the body in crisis. A series of
hospital admissions combined with specific psychomotor approaches, can contribute
to the patient being reappropriated with their own body.
PMID- 27890273
TI - [Care pathway for teenagers with anorexia].
AB - Identifying a care pathway for a teenager with anorexia enables the therapeutic
stages to be put into perspective. From prevention to full hospitalisation, from
the general practitioner to the paediatrician or child psychiatrist, this
harmonisation aims to help the patient feel safe at every level of the care
pathway.
PMID- 27890274
TI - [Treating the somatic complications of anorexia].
AB - Anorexia can cause serious somatic complications, linked to undernutrition or
associated pathological behaviours. The care pathway drawn up by a multi
discipline team is a real therapeutic challenge. Oral nutritional supplements,
enteral nutrition and parenteral nutrition are three possible forms of
nutritional assistance.
PMID- 27890275
TI - [Organising nutritional support for patients with anorexia].
AB - Nutritional care in the Eating Disorder unit of Sainte-Anne general hospital in
Paris, is organised around a care model based on cognitive behavioural therapy.
Hospitalisation is generally prepared beforehand and aims to draw on patients'
resources enabling them to clarify a request for help. A care contract can be
drawn up to provide step-by-step support for the patient in terms of the goals to
achieve.
PMID- 27890276
TI - Quand le trauma s'intrique avec l'anorexie mentale.
AB - A person having suffered traumatic life events may find relief in the formation
of symptoms. Anorexia can therefore be envisaged as a way of facing up to the
trauma, both as a means of positioning oneself, as well as a solution for
relieving the traumatic suffering. However, giving up these symptoms is sometimes
difficult, as the case of Lea demonstrates.
PMID- 27890277
TI - [Nursing care of body image disorders].
AB - Anorexia causes body image disorders. The re-appropriation and acceptance of the
body and its changes require specific care. Mirrors, 'morphing' software,
photography, videography and the trying on of clothes in a store are tools which
can help the patient reconstruct themselves.
PMID- 27890278
TI - ?
PMID- 27890279
TI - Of mice and women - Non-ovarian origins of "ovarian" cancer.
PMID- 27890280
TI - Prevention of venous thromboembolism in gynecologic oncology surgery.
AB - Gynecologic oncology patients are at a high-risk of postoperative venous
thromboembolism and these events are a source of major morbidity and mortality.
Given the availability of prophylaxis regimens, a structured comprehensive plan
for prophylaxis is necessary to care for this population. There are many
prophylaxis strategies and pharmacologic agents available to the practicing
gynecologic oncologist. Current venous thromboembolism prophylaxis strategies
include mechanical prophylaxis, preoperative pharmacologic prophylaxis,
postoperative pharmacologic prophylaxis and extended duration pharmacologic
prophylaxis that the patient continues at home after hospital discharge. In this
review, we will summarize the available pharmacologic prophylaxis agents and
discuss currently used prophylaxis strategies. When available, evidence from the
gynecologic oncology patient population will be highlighted.
PMID- 27890281
TI - Corrigendum to 'Treatment outcomes in patients with FIGO stage IB-IIA cervical
cancer and a focally disrupted cervical stromal ring on magnetic resonance
imaging: A propensity score matching study' [Gynecol. Oncol. 143 (2016) 77-82].
PMID- 27890282
TI - Selective versus non-selective his bundle pacing for cardiac resynchronization
therapy.
AB - Cardiac resynchronization therapy (CRT) has an established role in the device
based therapy for patients with systolic dysfunction and intraventricular
conduction delay, particularly left bundle branch block (LBBB). Recently, His
bundle pacing (HBP) has emerged as a viable alternative for resynchronization
which can successfully narrow surface QRS and improve mechanical dyssynchrony.
The role of selective (i.e., an isoelectric His-paced to QRS interval similar to
native HV interval) versus non-selective capture (i.e., engagement of His along
with adjacent local myocardial tissue and pseudo-delta wave) and outcome after
HBP for resynchronization is not clear. In this article, we review the current
literature (case reports and case series) reporting on HBP for resynchronization
and comment on favorable predictors of response.
PMID- 27890284
TI - [Fibulin-5, a new potential therapeutic target in AAA].
PMID- 27890283
TI - The 4th Report of the Working Group on ECG diagnosis of Left Ventricular
Hypertrophy.
AB - The 4th Report provides a brief review of publications focused on the
electrocardiographic diagnosis of left ventricular hypertrophy published during
the period of 2010 to 2016 by the members of the Working Group on ECG diagnosis
of Left Ventricular Hypertrophy. The Working Group recommended that ECG research
and clinical attention be redirected from the estimation of LVM to the
identification of electrical remodeling, to better understanding the sequence of
events connecting electrical remodeling to outcomes. The need for a re-definition
of terms and for a new paradigm is also stressed.
PMID- 27890285
TI - Invertebrate Oncology: Diseases, Diagnostics, and Treatment.
AB - Neoplasia is a documented occurrence across invertebrate taxa, but challenges
remain with regard to tumor diagnosis and treatment. Literature reports of
neoplasia are frequent in mollusks and insects, infrequent in Cnidaria and
crustaceans, and are yet to be documented in Porifera and echinoderms. A
significant contribution could be made by veterinary practitioners documenting
and treating neoplasms in invertebrates. Traditional methods of veterinary
diagnosis are encouraged, but the anatomy and tissue biology of each invertebrate
species need to be considered. Most neoplasms described in the invertebrate
literature have been considered benign, making external lesions potentially
amenable to surgical resection.
PMID- 27890286
TI - Rodent Oncology: Diseases, Diagnostics, and Therapeutics.
AB - Cancer incidence in rodent species varies dramatically from a common occurrence
in mice and rats to just a limited number of documented cases in chinchillas and
degus. This article summarizes common tumors, both benign and malignant, that
have been reported to occur in rodents. Outlined are clinical signs, diagnostics,
and treatments that have been described for rodents presenting with specific
neoplasms.
PMID- 27890287
TI - Rabbit Oncology: Diseases, Diagnostics, and Therapeutics.
AB - Neoplasia has long been reported as a rare finding in rabbits, but over the past
decades the number of reports on neoplastic disease in rabbits has risen
considerably. Similar to other animals, neoplastic changes may occur in any organ
system, but the rate in which the organ systems are affected differs
considerably. In rabbits, tumors have predominantly been found in the urogenital,
hemolymphatic, and integumentary systems. This article discusses current insights
on the etiopathogenesis, clinical signs, diagnosis, and treatment of the
commonest neoplastic diseases in rabbits and offer guidelines for the correct
diagnosis and treatment of the rabbit oncologic patient.
PMID- 27890288
TI - Ferret Oncology: Diseases, Diagnostics, and Therapeutics.
AB - Neoplastic disease is common in ferrets. Approximately half of all tumors
diagnosed in ferrets are located in the endocrine or hemolymphatic system. Many
factors may influence the choice of treatment. Medical management of adrenal
tumors has a greater disease-free period compared to adrenalectomy. In ferrets
with an insulinoma, no difference is seen in the mean survival time of medically
and surgically treated patients. Aside from medical and surgical treatment
modalities, chemotherapy and radiation therapy have also been described in
ferrets in other types of tumors. The outcome of these treatment modalities is
not always favorable.
PMID- 27890289
TI - Principles and Applications of Medical Oncology in Exotic Animals.
AB - Diagnoses of neoplasia in exotic animals have historically been made at death or
just before euthanasia. Routine physical examinations are enabling early
diagnosis while accessibility and affordability of advanced diagnostics are
improving. With increasing expectations for care, treatment options are more
frequently explored. Numerous oncologic medications have been adopted from human
and small animal medicine and successfully used in exotic animals. Although there
is a need for extended research, this article evaluates which medications have
been used thus far for treatment protocols in zoologic and exotic animal species.
PMID- 27890290
TI - Fish Oncology: Diseases, Diagnostics, and Therapeutics.
AB - The scientific literature contains a wealth of information concerning spontaneous
fish neoplasms, although ornamental fish oncology is still in its infancy. The
occurrence of fish neoplasms has often been associated with oncogenic viruses and
environmental insults, making them useful markers for environmental contaminants.
The use of fish, including zebrafish, as models of human carcinogenesis has been
developed and knowledge gained from these models may also be applied to
ornamental fish, although more studies are required. This review summarizes
information available about fish oncology pertaining to veterinary clinicians.
PMID- 27890291
TI - Principles and Applications of Surgical Oncology in Exotic Animals.
AB - The diagnosis and treatment of cancer in exotic species is a rapidly evolving
area of veterinary medicine. In general, surgical excision remains pivotal in
cancer treatment, although optimal outcomes are achieved when a coherent and
thorough diagnostic and therapeutic plan is created prior to surgery. While
surgical cure is not always achieveable, multimodal treatment plans can offer a
variety of options, and palliative procedures may be used to improve quality of
life. Treatment goals, whether curative intent or palliative intent, should be
identified before surgery, and practitioners should endeavor to adhere to
surgical principles in order to attain the best outcomes.
PMID- 27890292
TI - Principles and Applications of Radiation Therapy in Exotic Animals.
AB - Radiation therapy is a treatment modality for cancer that is widely used in
veterinary medicine, although its use in exotic animal practice has remained
limited. However, there are case reports and case series of treating birds, small
mammals, and reptiles for a variety of cancers with radiotherapy with varied
outcomes. In this article the basic principles of radiation therapy are reviewed
and the literature regarding its use in exotic animal practice is summarized.
Side effects of radiotherapy are also discussed.
PMID- 27890293
TI - Avian Oncology: Diseases, Diagnostics, and Therapeutics.
AB - Companion birds are increasingly living longer due to improved husbandry,
nutrition, and veterinary care. As a consequence, a growing number of geriatric
disease conditions are diagnosed and managed by veterinarians. Awareness of bird
owners of diagnostic and treatment options for neoplastic diseases in humans and
domestic animals has led to increasing demand to provide advanced diagnostic and
treatment modalities for companion birds diagnosed with neoplasia. Treatment
remains challenging in many companion birds due to the lack of information
regarding prognosis and efficacy of antineoplastic treatments in these species.
There is no established standard of care for most tumors in companion birds.
PMID- 27890294
TI - Oncology of Reptiles: Diseases, Diagnosis, and Treatment.
AB - Based on necropsy review, neoplasia in reptiles has a comparable frequency to
that of mammals and birds. Reptile neoplasia is now more frequently diagnosed in
clinical practice based on increased use of advanced diagnostic techniques and
improvements in reptilian husbandry allowing greater longevity of these species.
This article reviews the current literature on neoplasia in reptiles, and focuses
on advanced diagnostics and therapeutic options for reptilian patientssuffering
neoplastic disease. Although most applied clinical reptile oncology is translated
from dog and cat oncology, considerations specific to reptilian patients commonly
encountered in clinical practice (turtles, tortoises, snakes, and lizards) are
presented.
PMID- 27890295
TI - Exotic Animal Oncology.
PMID- 27890296
TI - Analytic solutions for colloid transport with time- and depth-dependent retention
in porous media.
AB - Elucidating and quantifying the transport of industrial nanoparticles (e.g.
silver, carbon nanotubes, and graphene oxide) and other colloid-size particles
such as viruses and bacteria is important to safeguard and manage the quality of
the subsurface environment. Analytic solutions were derived for aqueous and solid
phase colloid concentrations in a porous medium where colloids were subject to
advective transport and reversible time and/or depth-dependent retention. Time
dependent blocking and ripening retention were described using a Langmuir-type
equation with a rate coefficient that respectively decreased and increased
linearly with the retained concentration. Depth-dependent retention was described
using a rate coefficient that is a power-law function of distance. The stream
tube modeling concept was employed to extend these analytic solutions to
transport scenarios with two different partitioning processes (i.e., two types of
retention sites). The sensitivity of concentrations was illustrated for the
various time- and/or depth-dependent retention model parameters. The developed
analytical models were subsequently used to describe breakthrough curves and, in
some cases, retention profiles from several published column studies that
employed nanoparticle or pathogenic microorganisms. Simulations results provided
valuable insights on causes for many observed complexities associated with
colloid transport and retention, including: increasing or decreasing effluent
concentrations with continued colloid application, delayed breakthrough, low
concentration tailing, and retention profiles that are hyper-exponential,
exponential, linear, or non-monotonic with distance.
PMID- 27890297
TI - Digesting the role of bone marrow macrophages on hematopoiesis.
AB - Tissue resident macrophages are found in various tissues like Langerhans cells in
the skin or alveolar macrophages in the lung, and their main function is to
regulate organ homeostasis. They have also been observed in the bone marrow and
these cells in particular have been gaining importance in recent years as they
are key players in hematopoiesis. However, as the characterization and
classification of these putatively different bone marrow resident macrophages is
far from established there is a need to generate an overview of tissue resident
macrophages of the bone marrow. Here, we will review the current knowledge of
bone marrow resident macrophages both in mouse and human. We will discuss the
state of the art on the origin of bone marrow macrophages, specialized
microenvironments where they reside and their unique characteristics. We will
emphasize the two best studied examples of macrophage homeostatic function in the
bone marrow, specifically within erythroblastic islands and the hematopoietic
stem cell niche. Although increasing evidence shows that bone marrow resident
macrophages are indispensable for hematopoietic stem cell function and bone
marrow erythroid output, the field of bone marrow macrophages is in its infancy.
This field is in dire need for a unified nomenclature to support functional
experiments, model systems, and the identification of niches.
PMID- 27890298
TI - Heterogeneity of 90Sr radioactive contamination at the head part of the East Ural
radioactive trace (EURT).
AB - 1: We measured 90Sr concentrations and beta particle flux density (BPFD) in 44
soil samples collected from four soil profiles across a central transect on the
head of the East Ural Radioactive Trace (EURT). The relationship between BPFD and
90Sr concentration of each soil sample can be characterised by a linear
regression model; 90Sr concentration in the upper 12 cm soil layer can thus be
assessed by measuring BPFD in the soil surface. 2: The BPFD on the soil surface
was measured at 969 points at seven sites with linear dimensions ranging from 140
* 20 m to 140 * 320 m. The correspondence of 90Sr concentration in the 12 cm soil
layer with its BPFD value was calculated for each of these seven sites. Eighty
(80) % of 90Sr concentration measurements in the 12 cm soil layer in each model
site differed by a factor of 2.0-5. The variability of 90Sr concentration
increased significantly in the 12 cm upper soil layer over territories with
visual features of landscape disturbance (pits, trenches). The ratio of maximum
to minimum concentration of 90Sr varied from 6.1 to 6.6 in the 12 cm soil layer
over territories without visual features of anthropogenic soil disturbance. 3:
The 90Sr concentration was measured in the skeletons of 34 juvenile Microtus
oeconomus individuals weighing less than 12.5 g and trapped at the four model
sites in July. The assessment of 90Sr concentration in the 12 cm soil layer was
conducted for each point where an animal was trapped. The relationship between
90Sr concentration in soil and in the skeleton was characterised by a linear
regression model with a determination coefficient of 0.51. 4: The concentration
ratio for 90Sr from soil to skeleton (CRskeleton-soil) was 2.0 +/- 0.1 for M.
oeconomus over the territory of the EURT, which is consistent with the minimum
value of the same CRskeleton-soil for M. oeconomus from the Chernobyl area
(Chesser et al., 2000).
PMID- 27890299
TI - Monte Carlo simulations of the gamma-ray exposure rates of common rocks.
AB - Monte Carlo simulations have been performed to model the gamma ray emission and
attenuation properties of common rocks. In geologic materials, 40K, 238U, and
232Th are responsible for most gamma ray production. If the concentration of
these radioelements and attenuation factors such as degree of water saturation
are known, an estimate of the gamma-ray exposure rate can be made. The results
show that there are no significant differences in gamma-ray screening between
major rock types. If the total number of radionuclide atoms are held constant
then the major controlling factor is density of the rock. Finally, the thickness
of regolith or soil overlying rock can be estimated by modeling the exposure rate
if the radionuclide contents of both materials are known.
PMID- 27890300
TI - Evaluating clinical care in the prehospital setting: Is Rapid Emergency Medicine
Score the missing metric of EMS?
AB - INTRODUCTION: The Rapid Emergency Medicine Score (REMS) was developed to predict
emergency department patient mortality. Our objective was to utilize REMS to
assess initial patient acuity and evaluate clinical change during prehospital
care. METHODS: All non-cardiac arrest emergency transports from April 1, 2013 to
March 31, 2014 were analyzed from a single EMS agency. Using age, pulse rate,
mean arterial pressure, respiratory rate, oxygen saturation, and Glasgow Coma
Scale, initial and final REMS were calculated. Change in REMS was calculated by
initial minus final with a positive number indicating clinical improvement.
Descriptive analyses were performed calculating means and 95% confidence
intervals. RESULTS: There were 61,346 patients analyzed with an average initial
REMS of 4.3 (95% CI: 4.2-4.3) and an average REMS change of 0.37 (95% CI: 0.36
0.38). Those patients classified with the highest dispatch priority had the
highest initial REMS (5.8; 95% CI: 5.5-6.2) and the greatest change (0.95; 95%
CI: 0.72-1.17). Patients transported with high priority had greater initial REMS,
as well as greater improvement in REMS (high priority 7.3 [95% CI: 7.1-7.4],
change 0.61 [95% CI: 0.53-0.69]; middle priority 5.3 [95% CI: 5.2-5.4], change
0.55 [95% CI: 0.51-0.59]; low priority 3.9 [95% CI: 3.8-3.9], change 0.32 [95%
CI: 0.31-0.33]). CONCLUSION: Descriptive analyses indicate that as dispatch and
transport priorities increased in severity so too did initial REMS. The largest
change in REMS was seen in patients with the highest dispatch and transport
priorities. This indicates that REMS may provide system level insight into
evaluating clinical changes during care.
PMID- 27890301
TI - Thrombolytic therapy-associated acute myocardial infarction in patients with
acute ischemic stroke: A treatment dilemma.
AB - Acute myocardial infarction (AMI) is uncommon in the acute phase of acute
ischemic stroke (AIS) and occurs in approximately 1% of the population. Here, we
report a paradoxical case of AMI during tissue plasminogen activator (t-PA)
infusion for AIS. We review and analyze the previously reported cases. We found
that only patients with AMI which occurred after thrombolytic therapy for AIS who
received an adequate combination of anticoagulation plus percutaneous coronary
intervention survived their events. Several mechanisms have been proposed for the
development of AMI after thrombolytic therapy. These mechanisms include
fragmented intra-cardiac thrombus, intensified platelet aggregation that may lead
to an increased potential for intra-cardiac thrombus formation, and a reduction
in clot-associated plasminogen that may lead to a paradoxical hypercoagulable
state of the coronary arteries. Currently, there is no consensus regarding this
specific scenario. We propose that the therapeutic benefit and the potential risk
of hemorrhagic complications should be further investigated and individualized.
In patients who receive thrombolytic therapy for AIS and who then develop post
thrombolytic AMI, we suggest that the maximum treatment for the subsequent AMI be
instituted promptly to avoid short-term mortality.
PMID- 27890303
TI - Cognitive effects of creatine monohydrate adjunctive therapy in patients with
bipolar depression: Results from a randomized, double-blind, placebo-controlled
trial.
AB - BACKGROUND: Depressive episodes and cognitive impairment are major causes of
morbidity and dysfunction in individuals suffering from bipolar disorder (BD).
Novel treatment approaches that target clinical and cognitive aspects of bipolar
depression are needed, and research on pathophysiology suggests that
mitochondrial modulators such as the nutraceutical creatine monohydrate might
have a therapeutic role for this condition. METHODS: Eighteen (N=18) patients
with bipolar depression according to DSM-IV criteria who were enrollled in a 6
week, randomized, double-blind, placebo-controlled trial of creatine monohydrate
6g daily as adjunctive therapy were submitted to neuropsychological assessments
(Wisconsin Card Sorting Test, Digit Span subtest of the Wechsler Adult
Intelligence Scale-Third Edition, Stroop Color-Word Test, Rey-Osterrieth complex
figure test, FAS Verbal Fluency Test) at baseline and week 6. RESULTS: There was
a statistically significant difference between the treatment groups of the change
on the total scores after 6 weeks in the verbal fluency test, with improvement in
the group receiving adjunctive treatment with creatine. We did not find
significant differences between the groups of the changes on other
neuropsychological tests. LIMITATIONS: Small sample and lack of a control group
of healthy subjects. CONCLUSIONS: Our trial, which was the first to investigate
the cognitive effects of creatine monohydrate on bipolar depression, indicates
that supplementation with this nutraceutical for 6 weeks is associated with
improvement in verbal fluency tests in patients with this condition.
PMID- 27890302
TI - [Facilitators and barriers regarding end of life care at nursing homes: A focus
group study].
AB - AIM: To identify the facilitators and barriers experienced by professional
related to end of life care in nursing homes. DESIGN: Descriptive qualitative
research with phenomenological orientation, through content analysis. PLACEMENT:
Nursing Homes at Primary Care District in Granada (Spain). PARTICIPANTS: Fifteen
clinical professionals with, at least 6 months of experience in nursing homes,
without specific background in palliative care. METHODS: Three focus groups were
undertaken with professionals of different disciplines and nursing homes.
Interviews were recorded and transcribed literally. An open and axial coding was
performed to identify relevant categories. RESULTS: Professionals identified
difficulties in the communication with families related to relatives' feelings of
guilt, difficulty in understanding the deterioration of their relative, and
addressing too late the issue of death. Regarding decision making, professionals
recognized that they do not encourage participation of patients. Advance
directives are valued as a necessary tool, but they do not contemplate
implementing them systematically. Other difficulties that professionals
highlighted are lack of coordination with other professionals, related to
misunderstanding of patients' needs, as well as lack of training, and lack of
material and human resources. Facilitators include relationships with primary
care teams. CONCLUSION: It is necessary to improve communication among nursing
homes professionals, families, patients and other health workers.
PMID- 27890304
TI - Awareness of goal-oriented behavior during infancy and early childhood, in human-
and non-human primates.
AB - We review the literature surrounding the phylogenetic and developmental emergence
of goal-oriented behavior, among human and non-human primates. We define goal
oriented awareness as the ability to perceive goals and perceptions in others. We
examine empirical literature involving gaze-following, shared attention,
distinguishing between actions and intentions, and the ability to generate and
understand communicative cues. We conclude that at least a rudimentary awareness
of goal-oriented behavior is present from birth in humans, and in adult great
apes. The evidence in other primate species shows phylogenetic differences as
well as gaps in the empirical literature.
PMID- 27890305
TI - Capacity building for critical care training delivery: Development and evaluation
of the Network for Improving Critical care Skills Training (NICST) programme in
Sri Lanka.
AB - OBJECTIVES: To deliver and evaluate a short critical care nurse training course
whilst simultaneously building local training capacity. RESEARCH METHODOLOGY: A
multi-modal short course for critical care nursing skills was delivered in seven
training blocks, from 06/2013-11/2014. Each training block included a Train the
Trainer programme. The project was evaluated using Kirkpatrick's Hierarchy of
Learning. There was a graded hand over of responsibility for course delivery from
overseas to local faculty between 2013 and 2014. SETTING: Sri Lanka. MAIN OUTCOME
MEASURES: Participant learning assessed through pre/post course Multi-Choice
Questionnaires. RESULTS: A total of 584 nurses and 29 faculty were trained.
Participant feedback was consistently positive and each course demonstrated a
significant increase (p<=0.0001) in MCQ scores. There was no significant
difference MCQ scores (p=0.186) between overseas faculty led and local faculty
led courses. CONCLUSIONS: In a relatively short period, training with good
educational outcomes was delivered to nearly 25% of the critical care nursing
population in Sri Lanka whilst simultaneously building a local faculty of
trainers. Through use of a structured Train the Trainer programme, course
outcomes were maintained following the handover of training responsibility to Sri
Lankan faculty. The focus on local capacity building increases the possibility of
long term course sustainability.
PMID- 27890306
TI - [Advocacy for pediatric hospital reference centers specialized in child
protection].
PMID- 27890307
TI - [French society of pediatrics forensic pathology: Speciality's union to protect
minors].
PMID- 27890308
TI - Erratum to "A combined deficiency of tissue factor and PAR-4 is associated with
fatal pulmonary hemorrhage in mice" [Thromb. Res. 146 (2016) 46-50].
PMID- 27890309
TI - Patterns of Ninety-Day Readmissions Following Total Joint Replacement in a
Bundled Payment Initiative.
AB - BACKGROUND: Alternative payment models aim to improve quality and decrease costs
associated with total joint replacement. Postoperative readmissions within 90
days are of interest to clinicians and administrators as there is no additional
reimbursement beyond the episode bundled payment target price. The aim of this
study is to improve the understanding of the patterns of readmission which would
better guide perioperative patient management affecting readmissions. We
hypothesize that readmissions have different timing, location, and patient health
profile patterns based on whether the readmission is related to a medical or
surgical diagnosis. METHODS: A retrospective cohort of 80 readmissions out of
1412 total joint replacement patients reimbursed through a bundled payment plan
was analyzed. Patients were grouped by readmission diagnosis (surgical or
medical) and the main variables analyzed were time to readmission, location of
readmission, and baseline Perioperative Orthopaedic Surgical Home and American
Society of Anesthesiologists scores capturing pre-existing state of health.
Nonparametric tests and multivariable regressions were used to test associations.
RESULTS: Surgical readmissions occurred earlier than medical readmissions (mean
18 vs 33 days, P = .011), and were more likely to occur at the hospital where the
surgery was performed (P = .035). Perioperative Orthopaedic Surgical Home and
American Society of Anesthesiologists scores did not predict medical vs surgical
readmissions (P = .466 and .879) after adjusting for confounding variables.
CONCLUSION: Readmissions appear to follow different patterns depending on whether
they are surgical or medical. Surgical readmissions occur earlier than medical
readmissions, and more often at the hospital where the surgery was performed. The
results of this study suggest that these 2 types of readmissions have different
patterns with different implications toward perioperative care and follow-up
after total joint replacement.
PMID- 27890310
TI - Same Day Total Hip Arthroplasty Performed at an Ambulatory Surgical Center: 90
Day Complication Rate on 549 Patients.
AB - BACKGROUND: There is an increasing interest in outpatient total hip arthroplasty
(THA), as there are perceived benefits to the patient, insurer, and overall
healthcare system. However, the safety of outpatient total joint arthroplasty has
not been studied. METHODS: Five hundred forty-nine patients who underwent mini
posterior THA at a freestanding independent ambulatory surgical center (ASC) were
reviewed. All patients were discharged to home on the day of surgery. RESULTS:
The average age of the patients was 54.4 years (range 27-73). The average
American Society of Anesthesiologists score was 1.6 (range 1-3). Of the 549
patients, 3 (0.5%) admitted from the surgery center to our local hospital. One
patient was admitted for pain control after failing to disclose his long-term
high-dose narcotic dependence, one patient was admitted for an acetabular
component migration identified on postoperative x-ray, and one patient was
admitted for hypotension, bradycardia, and an acute polyarthralgia exacerbation.
An additional patient was seen 2 days after surgery in a local emergency
department for oversedation secondary to narcotics and later discharged to home.
CONCLUSION: Outpatient THA at an ASC is safe and effective when performed on the
appropriately indicated patient. There were 4 visits to the hospital within 2
days of surgery. Only 1 was related to medical events, 2 were pain control and/or
medication-related and the final was technique-related. Known orthopedic
complications including infection, dislocation, and deep vein thrombosis appear
consistent with the literature for a series of this size. Same day discharge THA
in an ASC is safe and reproducible.
PMID- 27890311
TI - Letter to Editor regarding flawed method in Nursing Outlook systematic review.
PMID- 27890312
TI - Variables associated with loss of ileoanal pouches constructed in childhood.
AB - AIMS: To quantify the incidence of loss of an ileoanal pouch in children and to
identify variables associated this event. METHODS: Logistic regression of
possible explanatory variables: age, sex, indication for procto-colectomy, pre
operative continence status, use of immunosuppressive drugs, open or laparoscopic
surgery, number of stages, anastomotic leak, operative complication excluding
anastomotic leak, performance of revisional surgery, albumin concentration and
platelet count at time of surgery, rank order in series. Univariate logistic
regression was used to identify significant variables which were then assessed in
a multivariate model and construction of Kaplan-Meier graphs. RESULTS: 103
children, 56 girls, underwent ileoanal pouch at median age 14years (SD 3.7).
Indications and mean age were: ulcerative colitis (n=71, 14years), polyposis
syndromes (n=13, 15years), chronic idiopathic constipation (n=9, 11years),
Hirschsprung's disease (n=4, 1year), Crohn's disease (n=2, 16years), and
fibrosing colonopathy (n=2, 11years). 13 patients had their pouch excised or
permanently diverted. Three patients had successful revisional pouch surgery.
Only pre-operative fecal incontinence and anastomotic leak were significantly
associated with pouch excision/diversion, however on multivariate analysis, only
fecal incontinence remained significant, odds ratio 21 (95%CI 1.8-235, p=0.01).
Pouch survival was significantly worse where there was fecal incontinence pre
operatively, p<0.0001 or an anastomotic leak, p<0.001. CONCLUSIONS: 13% of
children subjected to restorative procto-colectomy ultimately receive a permanent
ileostomy. Fecal incontinence prior to surgery is a relative contra-indication.
Anastomotic leak increases the probability of later pouch excision. LEVEL OF
EVIDENCE: IV.
PMID- 27890313
TI - Laparoscopic fundoplication in neonates and young infants: Failure rate and need
for redo at a high-volume center.
AB - AIM OF THE STUDY: Present the outcomes of patients younger than 2years who
underwent laparoscopic fundoplication, highlighting the failure rate and need for
redo fundoplication. METHODS: Retrospective review of patients <2years who
underwent laparoscopic fundoplication between January 2009 and December 2014.
MAIN RESULTS: 458 infants younger than 2years underwent laparoscopic
fundoplication in the 6-year period (360 Nissen, 77 Toupet and 21 Thal
fundoplications). Median age at surgery was 5 (1-23) months. Median follow-up was
3 (1-6) years. The conversion rate was 0.87% (4 of 458 cases). Patients did not
undergo routine studies to assess the incidence of postoperative GER but were
instead followed clinically. Failure of the fundoplication was determined when a
patient was unable to gain weight and/or protect the airway while receiving
gastric feedings because of GER. The failure rate in our experience was 2.6% (12
redo out of 458 cases [11/360 Nissen, 1/77 Toupet and 0/21 Thal]). All failed
cases occurred because of migration of the fundoplication, confirmed
preoperatively by a contrast study. Median time between the initial
fundoplication and the redo was 13 (5-27) months. There were no failures within a
contemporaneous group of 101 patients <2years who underwent open fundoplication.
CONCLUSION: The need for a redo fundoplication after a laparoscopic
fundoplication was an uncommon event in our experience (12 of 458 cases). Our
results contrast with published studies that report higher failure rates. Case
volume per surgeon may explain in part the dissimilar results among studies.
LEVEL OF EVIDENCE: III.
PMID- 27890314
TI - Endoscopic surgery in children - the challenge goes on.
AB - : Paediatric endoscopic surgery is greatly indebted to Karl Storz for developing
paediatric endoscopic instruments. In more recent years, there is an increasing
interest in endoscopic surgery in neonates. Now more complex procedures are being
performed, although it will take another generation before these will be more
generally applied. One of the key factors to success is training. More
sophisticated training models are becoming available, allowing practicing in a
safe environment before putting the procedure to practice. A key question in
performing complex procedures is whether such procedures should not be
concentrated into centres of expertise. Finally, a critical appraisal is
warranted in regard to safety of surgery in neonates, as they fail to have
cerebral autoregulation. As endoscopy may add additional risk factors, close
monitoring is obligatory. LEVEL OF EVIDENCE: V.
PMID- 27890315
TI - Global surgery: A view from the south.
AB - : This article is based on the Hugh Greenwood Lecture delivered at the 2016
Congress of the British Association of Paediatric Surgeons. It presents the view
of the global surgery movement from the bottom of the surgical food chain and
proposes what HICs (high-income countries) can do for global surgery in a
coordinated fashion. From the LMIC (low- and middle-income countries) surgeon
perspective, global surgery is transitioning from the charity-based surgery model
to codevelopment with multiple stakeholders. The caveats and current
opportunities are described using two case studies. Surgeons may not play a
pivotal role in the solutions. The future of the surgical workforce, innovation,
workarounds, unmet burden of disease, and health metrics are discussed and
multidisciplinary solutions proposed for the entire chain of surgical healthcare
delivery in LMIC. A new breed of "essential surgeons", technology solutions for
intellectual and physical isolation, competency-based credentialing, industry
driven innovation, task sharing over task shifting, prioritizing delivery based
on surgical burden, and a rota-based overseas model of help are proposed as
solutions for the issues facing global surgery. EVIDENCE LEVEL: Level V.
PMID- 27890316
TI - Is there a dependence between children's body weight and the concentration of
metals in deciduous teeth?
AB - Malnutrition, manifested by both overweight and underweight, can lead to serious
health consequences. The subject of the study was to determine the concentration
of elements such as chromium (Cr), calcium (Ca), copper (Cu), iron (Fe) and
manganese (Mn) in children's deciduous teeth in relation to their body weight.
The calculated body mass index (BMI) values and an application of the growth
chart showed that 59% of children among the studied sample had normal weight. In
41% of children, weight disorders were observed including underweight - 28% and
overweight - 12%. Median concentration of metals in deciduous teeth was:
3.79MUgMn/g, 52.2MUgFe/g, 4.73MUgCu/g, 10.7MUgCr/g, 36.1%Ca/g. There were no
statistically significant differences in the concentration of the studied metals
in the teeth of children with normal and abnormal body weight. However, the
dependence between the metals in teeth varied with the children's weight. This
may suggest changes in the mineral composition of tissues that are associated
with metabolic disorders.
PMID- 27890317
TI - Supernumerary heads to biceps brachii muscle and Asian population history.
AB - Supernumerary heads of biceps brachii are one of the most common anatomic
variants in the muscular system and appear to develop under genetic control and
vary in prevalence among populations. Variation in prevalence and morphology
therefore has the potential to contribute to understanding of human population
history. Until now, there has been no publication of the prevalence of the
variant in Southeast Asian populations. The aim of this research is to document
the prevalence and morphology of the variant in a sample of Thai cadavers and to
consider the significance of the findings. The method used was dissection of arms
of 162 donated cadavers at Khon Kaen University, Thailand. The sample showed high
prevalence of third heads of biceps brachii in 35% of cadavers, compared with the
prevalence of up to 25% reported in large samples worldwide. The sample also
showed equal prevalence in males and females and one-third present bilaterally.
This pattern is similar to that found in East Asia, and very different from the
low prevalence found in South Asia. The morphology of the supernumerary heads
does not appear to be different from elsewhere in the world based on the minimal
comparable data available in the literature. The Southeast-East Asian pattern of
prevalence is consistent with current theories of population history in the
region and suggests anatomical variation of the postcranial soft tissues may
ultimately contribute to understanding of past human migrations.
PMID- 27890318
TI - The mandibular molar pit-tubercle (MMPT) dental nonmetric trait: Comprehensive
analysis of a large sample.
AB - Dental nonmetric traits are quasicontinous variables, mostly of genetic origin.
Thus, sets of such traits allow biological distance estimation between samples.
Mandibular molar pit-tubercle (MMPT) is a buccal trait defined by Weets (2009) in
Irish samples. This study aims to analyze (a) trait frequencies, (b) grade
definitions, (c) intraobserver error, (d) sexual dimorphism, (e) asymmetry, and
(f) trait associations for MMPT in a Portuguese sample. The first (LM1), second
(LM2) and third (LM3) lower molars of 600 identified individuals from the Coimbra
collections were scored for MMPT in three scoring sessions. Intraobserver error,
bilateral asymmetry and trait correlations were tested using Kendall's tau-b,
while sexual dimorphism was verified using Pearson's chi2. Frequencies (LM1:
2.1%; LM2: 3.5%; LM3: 30.3%) were similar to previous reports. However, a new
free apex cusp form (grade 3+) was detected. Considering three scoring sessions,
intraobserver precision was above 85%, and correlation coefficients between
observations were positive and moderate to very strong (0.2910.3) intertrait associations involving MMPT.
MMPT shows low trait presence, sexual dimorphism and asymmetry in stable teeth
(LM1 and LM2). Intraobserver precision is high, so scoring should be reliable,
although a plaque and better threshold grade definition is needed. After further
research on non-European samples, MMPT can be useful in biodistance research.
PMID- 27890319
TI - Diachronic changes in size and shape of human proximal tibia in Central Europe
during the latest 1200 years.
AB - During the past twelve centuries, the stature of Central European inhabitants has
increased significantly with corresponding changes in the size of lower limb
bones. The aim of our study was to determine whether these changes have occurred
strictly in relation to size or if the shape of skeletal structures has been
altered simultaneously. Diachronic size and shape changes in the proximal part of
tibia in a Central European population (Czech Republic) were studied using
geometric morphometrics (principal components analysis, Hotelling's test, linear
discriminant analysis). The study sample consisted of 183 three-dimensional (3D)
models of adult tibiae dating to the early Middle Ages (N=65), early 20th century
(N=60), and from a modern Czech population (N=57). A positive secular trend in
size manifested only between the two modern Czech populations (the 20th century
vs. the 21st century), a time range shorter than one century. By contrast,
landmark-based shape analyses revealed significant differences in tibial
morphology over the three periods covered in the study. In particular,
progressive changes were observed in the position of tibial tuberosity (shifted
medially), the inclination of the line connecting tuberosity with anterior edge
of the tibial plateau (sloped down), the reshaping of the lower back condyle
boundary (shifted posteriorly), and reshaping of the medial contour of the medial
condyle (shifted anteriorly). Changes in the shape of the proximal tibial
extremity across the chronologically distinct groups indicated the existence of
discreet but convincing microevolutionary trends involving this anatomical
structure.
PMID- 27890320
TI - Trepanation in the Late Bronze Age and Early Iron Age in Armenia.
AB - In this study, trepanations in ancient Armenia are discussed. In total, 10 cases
were studied. Seven were male, 1 female and 2 were children. Age of the
individuals ranged from 6 to 65 years. Among nine cases of surgical trepanations
four had possible healing signs. In these cases the individuals showed evidence
of previous trauma to the skull or infection (mastoiditis, tuberculosis),
suggesting that the operation had been carried out for therapeutic purposes. This
provides further support for the suggestion that trepanation (or trephination)
was performed primarily for therapeutic purposes, and because of cranial
infection or injury. In one case, a symbolic trepanation could imitate real
penetration into the skull cavity. This study shows that archaeological sites of
Armenia and anthropological materials have a potential to supply essential
information on ancient history of the Armenian people and the region.
PMID- 27890321
TI - Computerized and fingertip measures of reaction time compared in individuals.
AB - The main purpose of our study was to discover the correlation between the field
fingertip and computerized methods of measuring reaction time selectively of the
dominant and non-dominant hands for individual, non-trained, healthy young people
of senior school age. Forty one, 17 year old, strongly right handed scholars, 21
boys and 20 girls with body mass indices between 18.5 and 25.0, participated in
the experiment. The field fingertip method employed a metal metric ruler and the
computerized method used the Vienna Test System. The point biserial coefficient
of correlation between these two methods was calculated for each individual. The
results of demonstrated that the vast majority of participants, 95.2% of males
and 85.0% of females for the right hand and 95.2% of males and 95.0% of females
for the left hand had a high level of point biserial coefficient of correlation
between the two methods. A small number of participants, 3 females and 1 male,
did not demonstrate a high level of correlation. We speculate that this fact may
be due to differential expression of muscle fibre types between males and
females. The portability and ease of use of the field fingertip method are
advantageous in the field research and provide a reliable measure of reaction
time. It is important to control the initial gap between the thumb and index
finger of the person being tested. A metallic metric ruler is adequate
experimental instrumentation. The mass of the dropped item does not influence the
measurement.
PMID- 27890322
TI - "Taking Care of Ourselves": The Experiences of Black Women Approaching and
Encouraging Male Partners to Test for HIV.
AB - Sixty percent of young adults living with HIV in the United States are unaware of
their status despite recommendations to screen everyone. Effective approaches to
encourage partner testing may increase status awareness. The purpose of our study
was to understand young Black women's experiences when encouraging a partner to
test for HIV, preferred approaches, and whether interpersonal context influenced
the approach. Black women (n = 26) participated in the study in Boston-area focus
groups (n = 6). Discussions ranged from difficult and stressful to positive and
empowering. A variety of approaches (expressing caring, seeking understanding,
leveraging the relationship, ultimatums, subtlety) were described in varied
interpersonal contexts. Testing and sharing results fostered trust and
relationship growth. If a partner was resistant, some ended relationships while
others tested themselves and interpreted their results as their partners' status.
Our findings could encourage HIV prevention initiatives to consider varied
interpersonal contexts and enhance partner testing.
PMID- 27890323
TI - Ventromedial prefrontal cortex generates pre-stimulus theta coherence
desynchronization: A schema instantiation hypothesis.
AB - The ventral medial prefrontal cortex (vmPFC) has long been implicated in
monitoring of memory veracity, and more recently also in memory schema functions.
In our model of strategic retrieval the two are related. We have proposed that
the vmPFC has two schema-dependent functions: (i) to establish context-relevant
templates against which the output of memory systems can be compared; (ii) to
mediate automatic decision monitoring processes to ensure that only those
responses that meet the criterion are enacted. Electroencephalogram (EEG) data
were used to provide evidence that vmPFC supports both functions, and that schema
instantiation informs monitoring. Participants viewed pictures of acquaintances,
along with those of famous and nonfamous people, and were asked to respond
positively only to pictures of individuals they had met (personal familiarity).
The Self serves as a super-ordinate cognitive schema, facilitating accurate
endorsement of acquaintances and exclusion of non-personal but familiar faces.
For the present report we focused on pre-cue tonic oscillatory activity. Controls
demonstrated theta coherence desynchronization between medial prefrontal areas,
inferotemporal and lateral temporal cortices. These oscillatory coherence
patterns were significantly reduced in patients with vmPFC damage, especially in
those with clinical histories of spontaneous confabulation. Importantly, these
pre-stimulus cortico-cortical desynchronizations predicted post-cue automatic
memory activation, as indexed by a familiarity modulation of the face-sensitive
posterior cortical N170. Pre-cue desynchronization also predicted early post-cue
frontal positive modulation (P230) and response accuracy. The data are consistent
with a schema instantiation model that suggests the vmPFC biases posterior
neocortical long-term memory representations that enhance automatic memory cue
processing and informs frontally-mediated rapid memory monitoring (P230). Damage
to these structures can lead to inaccurate, context-irrelevant activation of
schemas. These, in turn, impair monitoring signals and can lead to confabulation
when memory control processes are also deficient.
PMID- 27890325
TI - Functional coupling between frontoparietal and occipitotemporal pathways during
action and perception.
AB - Several lines of evidence point to areas in the occipitotemporal pathway as being
critical in the processes of visual perception and object recognition. Much less
appreciated, however, is the role that this pathway plays in object-related
processing for the purposes of visually guided action. Here, using functional MRI
(fMRI) and functional connectivity (FC) measures, we examined interactions
between areas in frontoparietal cortex (FPC) involved in grasping, reaching, eye
movements, and tool use and areas in occipitotemporal cortex (OTC) involved in
object-, face-, scene-, body-, tool-, and motion-related processing, both during
the performance of sensorimotor and visual-perceptual tasks, as well as during
passive fixation (resting-state). Cluster analysis of regional time course data
identified correspondence in the patterns of FPC and OTC connectivity during the
visual-perceptual tasks and rest that both tended to segregate regions along
traditional dorsal/ventral pathway boundaries. During the sensorimotor tasks,
however, we observed a notable separation in functional coupling between ventral
medial and ventral-lateral regions of OTC, with several of the latter areas often
being clustered together with sensorimotor-defined areas in parietal cortex.
These findings indicate that the functional coupling of ventral-lateral OTC areas
to dorsal parietal and ventral-medial structures is flexible and task-dependent,
and suggests that regions in lateral occipital cortex, in particular, may play an
important role in mediating interactions between the dorsal and ventral pathways
during tasks involving sensorimotor control.
PMID- 27890324
TI - Motor skill learning and offline-changes in TGA patients with acute hippocampal
CA1 lesions.
AB - Learning and the formation of memory are reflected in various memory systems in
the human brain such as the hippocampus based declarative memory system and the
striatum-cortex based system involved in motor sequence learning. It is a matter
of debate how both memory systems interact in humans during learning and
consolidation and how this interaction is influenced by sleep. We studied the
effect of an acute dysfunction of hippocampal CA1 neurons on the acquisition (on
line condition) and off-line changes of a motor skill in patients with a
transient global amnesia (TGA). Sixteen patients (68 +/- 4.4 yrs) were studied in
the acute phase and during follow-up using a declarative and procedural test, and
were compared to controls. Acute TGA patients displayed profound deficits in all
declarative memory functions. During the acute amnestic phase, patients were able
to acquire the motor skill task reflected by increasing finger tapping speed
across the on-line condition, albeit to a lesser degree than during follow-up or
compared to controls. Retrieval two days later indicated a greater off-line gain
in motor speed in patients than controls. Moreover, this gain in motor skill
performance was negatively correlated to the declarative learning deficit. Our
results suggest a differential interaction between procedural and declarative
memory systems during acquisition and consolidation of motor sequences in older
humans. During acquisition, hippocampal dysfunction attenuates fast learning and
thus unmasks the slow and rigid learning curve of striatum-based procedural
learning. The stronger gains in the post-consolidation condition in motor skill
in CA1 lesioned patients indicate a facilitated consolidation process probably
occurring during sleep, and suggest a competitive interaction between the memory
systems. These findings might be a reflection of network reorganization and
plasticity in older humans and in the presence of CA1 hippocampal pathology.
PMID- 27890326
TI - The effect of metabolic comorbidities and commonly used drugs on the prognosis of
patients with ovarian cancer.
AB - BACKGROUND: Diabetes mellitus is associated with an increased risk of recurrence
in patients with ovarian cancer. Retrospective studies suggested that the use of
statins, metformin and beta blockers is associated with improved prognosis in
these patients. Patients with diabetes often suffer from hypertension and are
usually treated concomitantly by several classes of drugs. Our aim was to assess
the independent contribution of diabetes mellitus and hypertension and of the use
of aspirin, statins, metformin and beta blockers on the risk of ovarian cancer
recurrence and mortality. METHODS: Files of ovarian cancer patients treated
between 2000 and 2012 were retrospectively reviewed. Data regarding disease
characteristics, presence of diabetes mellitus and hypertension, recurrence and
death were extracted. The use of drugs was assessed using the Clalit Health
Services (CHS) pharmacy records. RESULTS: 143 patients treated by debulking
surgery and platinum based chemotherapy were included. Median age was 62.5, 22
(15.4%) had diabetes mellitus, 61 (42.7%) had chronic hypertension. Statins were
used by 43 (30%) patients, 31 (21.7%) used aspirin, 25 (17.5%) used beta blockers
and 12 (8.4%) used metformin. In multivariate analysis diabetes mellitus was
associated with a shorter recurrence free survival (RFS) and the use of aspirin
and metformin was associated with a prolonged RFS in this cohort. Overall
survival (OS) was longer in patients using aspirin and shorter in patients with
hypertension. CONCLUSIONS: Our data suggests that metabolic comorbidities and
commonly used drugs are associated with the prognosis of patients with ovarian
cancer. Additional trials are needed to confirm these observations and test
therapeutic interventions.
PMID- 27890327
TI - Hysteroscopic management of life-threatening post-abortion hemorrhage.
PMID- 27890328
TI - Corrigendum to "Complementary Methods for Contact Hypersensitivity (CHS)
Evaluation in Mice" [J. Immunol. Methods (2012) 270-275].
PMID- 27890329
TI - Caroline Buckee - Using Cell Phones to Fight Infectious Disease.
PMID- 27890330
TI - Assessing surgical residents' imaging interpretation skills.
AB - PURPOSE: During general surgery (GS) training, residents are expected to
accurately interpret radiologic images. Objective evidence evaluating residents'
ability to provide accurate interpretation of imaging studies is currently
lacking. METHODS: A 30-item web-based test was developed using images from
different radiologic modalities. Residents from 6 ACGME accredited GS programs
participated. Residents from 1 radiology program served as a control group.
RESULTS: 74 GS residents (GSR) enrolled in the online test. The mean score for
GSR was 75% (+/-9) and 83% (+/-6) for RR (p = 0.006). Residents correctly
answered 63% x-rays, 74%, CT(head), 84% CT(body), 69% ultrasound, and 88%
tube/line localization questions. Senior residents were more proficient than
junior residents at interpreting CT (body) and ultrasound images. CONCLUSION: GS
residents were able to accurately interpret 75% of basic radiology images. In an
effort to improve patient care, programs should consider integrating radiological
education during surgical training.
PMID- 27890331
TI - The safety of same-day breast reconstructive surgery: An analysis of short-term
outcomes.
AB - BACKGROUND: We sought to examine the safety of same-day breast reconstructive
(BR) surgery. METHODS: An analysis of the American College of Surgeons, National
Surgical Quality Improvement Program (ACS-NSQIP) files was performed. Patients
undergoing BR for breast cancer were examined, excluding those with high-risk co
morbidities or concurrent surgery. A propensity score was calculated and a
multivariable logistic regression analysis was used to calculate the difference
in 30-day complications between those undergoing SDS versus longer hospital stay.
RESULTS: The study consisted of 21,539 patients; 17,449 had implant and 4090 had
autologous breast reconstruction. 1195 (5.5%) underwent SDS, whereas 20,344
(94.5%) were admitted at least overnight. On unadjusted analysis, the rate of
post-operative complications was nearly three times higher in those admitted
compared to those undergoing SDS (6.7% vs. 2.5%; p < 0.001). On propensity score
adjusted multivariable regression there was no significant difference in
complications amongst those undergoing SDS versus staying in hospital (OR 1.4
(95%CI: 0.9, 2.2)). CONCLUSIONS: These results suggest that admitting BR patients
does not prevent short-term complications and same day BR surgery is safe when co
morbidities are accounted for.
PMID- 27890332
TI - Impact of hospital transfer on surgical outcomes of intestinal atresia.
AB - BACKGROUND: Examine effects of hospital transfer into a quaternary care center on
surgical outcomes of intestinal atresia. METHODS: Children <1 yo principally
diagnosed with intestinal atresia were identified using the Kids' Inpatient
Database (2012). Exposure variable was patient transfer status. Outcomes measured
were inpatient mortality, hospital length of stay (LOS) and discharge status.
Linearized standard errors, design-based F tests, and multivariable logistic
regression were performed. RESULTS: 1672 weighted discharges represented a
national cohort. The highest income group and those with private insurance had
significantly lower odds of transfer (OR:0.53 and 0.74, p < 0.05). Rural patients
had significantly higher transfer rates (OR: 2.73, p < 0.05). Multivariate
analysis revealed no difference in mortality (OR:0.71, p = 0.464) or non-home
discharge (OR: 0.79, p = 0.166), but showed prolonged LOS (OR:1.79, p < 0.05)
amongst transferred patients. CONCLUSIONS: Significant differences in hospital
LOS and treatment access reveal a potential healthcare gap. Post-acute care
resources should be improved for transferred patients.
PMID- 27890333
TI - Diagnostic evaluation of ovarian torsion: An analysis of pediatric patients using
the Nationwide Emergency Department Sample.
AB - BACKGROUND: Ultrasonography (US) is the diagnostic modality of choice during work
up for ovarian torsion, although computed tomography (CT) may be used. We
examined the utilization of CT in girls with ovarian torsion, and determined
which patients are most likely to undergo this study. METHODS: The Nationwide
Emergency Department Sample dataset was searched for patients <18 years who
presented with ovarian torsion from 2006 to 2012. Hospitals were categorized by
the volume of pediatric patients seen. RESULTS: A total of 1279 patients were
identified. Seven hundred twelve (56%) were seen at adult hospitals, 154 (12%) at
pediatric privileged, and 413 (32%) at pediatric hospitals. Patients cared for in
a pediatric or pediatric privileged hospital had more US alone performed to
diagnose ovarian torsion (p < 0.01). CONCLUSIONS: Girls seen at pediatric
hospitals are more likely to undergo US for work-up of ovarian torsion.
PMID- 27890334
TI - Control of carbapenemase-producing Enterobacteriaceae outbreaks in acute
settings: an evidence review.
AB - BACKGROUND: In recent years, infections with carbapenemase-producing
Enterobacteriaceae (CPE) have been increasing globally and present a major public
health challenge. AIM: To review the international literature: (i) to describe
CPE outbreaks in acute hospital settings globally; and (ii) to identify the
control measures used during these outbreaks and report on their effectiveness.
METHODS: A systematic search of MEDLINE and EMBASE databases, abstract lists for
key conferences and reference lists of key reviews was undertaken, and
information on unpublished outbreaks was sought for 2000-2015. Where relevant,
risk of bias was assessed using the Newcastle-Ottawa scale. A narrative synthesis
of the evidence was conducted. FINDINGS: Ninety-eight outbreaks were eligible.
These occurred worldwide, with 53 reports from Europe. The number of cases (CPE
infection or colonization) involved in outbreaks varied widely, from two to 803.
In the vast majority of outbreaks, multi-component infection control measures
were used, commonly including: patient screening; contact precautions (e.g.
gowns, gloves); handwashing interventions; staff education or monitoring;
enhanced environmental cleaning/decontamination; cohorting of patients and/or
staff; and patient isolation. Seven studies were identified as providing the best
available evidence on the effectiveness of control measures. These demonstrated
that CPE outbreaks can be controlled successfully using a range of appropriate,
commonly used, infection control measures. However, risk of bias was considered
relatively high for these studies. CONCLUSION: The findings indicate that CPE
outbreaks can be controlled using combinations of existing measures. However, the
quality of the evidence base is weak and further high-quality research is needed,
particularly on the effectiveness of individual infection control measures.
PMID- 27890335
TI - Device-associated infections in a paediatric intensive care unit in Pakistan.
AB - This study assessed the effect of implementation of active infection control and
surveillance on the occurrence of device-associated infections (DAIs) in a
paediatric intensive care unit (PICU) from 2012 to 2015. There were 1378
patients, equating to 4632 patient-days, on the PICU, and 29 DAI episodes, giving
an incidence rate of 2.1% and an incidence density rate of 6.26 per 1000 patient
days. The rates of central-line-associated bloodstream infections, ventilator
associated pneumonia and catheter-related urinary tract infections were 7/1000
central-line-days, 1.17/1000 ventilator-days and 0.24/1000 urinary-catheter-days,
respectively. Despite the overall low rate of DAIs in the PICU, there was a
relatively high rate of central-line-associated bloodstream infections.
PMID- 27890336
TI - Complications and revision amputation following trauma-related lower limb loss.
AB - INTRODUCTION: Trauma-related amputations are a common cause of limb loss in the
United States. Despite the military and public health impact of trauma-related
amputations, distributions of various lower limb amputations and the relative
frequency of complications and revision amputations have not been well described.
We used the National Trauma Data Bank (NTDB) in order to investigate the
epidemiology of trauma-related lower extremity amputations among civilians in
U.S. trauma centers. MATERIALS AND METHODS: We conducted a secondary data
analysis of the 2011-2012 NTDB research data sets, using means and frequencies to
characterize the patient population and describe the distribution of major lower
extremity amputations. Multivariable regression models were fit to identify
predictors of major post-surgical complications, revision amputation, length of
hospitalization, and in-hospital mortality. RESULTS: A total of 2879 patients
underwent a major lower extremity amputation secondary to a trauma-related lower
limb injury, representing 0.18% of all NTDB trauma admissions from 2011 to 2012.
80.4% were male and 67.6% were white. The three most frequent definitive
amputations preformed included trans-tibial (46%), trans-femoral (37.5%), and
through foot (7.6%). The average length of hospitalization for all amputees was
22.7days. Patients with at least one revision amputation stayed in the hospital
approximately 5.5days longer than patients not needing a revision amputation.
1204 patients (41.8%) required at least one revision amputation. 27.5% of
amputees experienced at least one major post-surgical complication. African
Americans experienced a 49% higher major post-surgical complication incidence and
stayed, on average, 2.5days longer in the hospital compared to whites. Injury
severity score, age, hospital teaching status, presence of a crush injury,
fracture location, presence of compartment syndrome, and experiencing a major
post-surgical complication were all significant predictors of revision
amputation. CONCLUSION: We report a high rate of complications and revision
amputations among trauma-related lower limb amputees, and identify predictors of
surgical outcomes that have not been described in the literature including
African American race. Compartment syndrome is a significant predictor of major
post-surgical complications, revision amputation, and length of hospitalization.
PMID- 27890337
TI - A double button adjustable loop device is biomechanically equivalent to tension
band wire in the fixation of transverse patellar fractures-A cadaveric study.
AB - INTRODUCTION: Tension-band wire fixation of patellar fractures is associated with
significant hardware-related complications and infection. Braided polyester
suture fixation is an alternative option. However, these suture fixations have
higher failure rates due to the difficulty in achieving rigid suture knot
fixation. The Arthrex syndesmotic TightRope, which is a double-button adjustable
loop fixation device utilizing a 4-point locking system using FibreWire, may not
only offer stiff rigid fixation using a knotless system, but may also obviate the
need for implant removal due to hardware related problems. The aim of our study
is to compare the fixation rigidity of patella fractures using Tightrope versus
conventional tension-band wiring (TBW) in a cadaveric model. MATERIALS AND
METHODS: TBW fixation was compared to TightRope fixation of transverse patella
fractures in 5 matched pairs of cadaveric knees. The knees were cyclically
brought through 0-90 degrees of motion for a total of 500 cycles. Fracture
gapping was measured before the start of the cycling, and at 50, 100, 200 and 500
cycles using an extensometer. The mean maximum fracture gapping was derived.
Failure of the construct was defined as a displacement of more than 3mm, patella
fracture or implant breakage. RESULTS: All but one knee from each group survived
500 cycles. The two failures were due to a fracture gap of more than 3mm during
cycling. There was no significant difference in the mean number of cycles
tolerated. There was no implant breakage. There was no statistical significant
difference in mean maximum fracture gap between the TBW and TightRope group at
all cyclical milestones after 500 cycles (0.3026+/-0.4091mm vs 0.3558+/-0.7173mm,
p=0.388). CONCLUSIONS: We found no difference between the TBW and Tightrope
fixation in terms of fracture gapping and failure. With possible lower risk of
complications such as implant migration and soft tissue irritation, we believe
tightrope fixation is a feasible alternative in fracture management of transverse
patella fractures.
PMID- 27890338
TI - A longitudinal study on transmission of Staphylococcus aureus genotype B in Swiss
communal dairy herds.
AB - Staphylococcus aureus is a common mastitis causing pathogen of dairy cattle.
Several S. aureus genotypes exist, of which genotype B (GTB) is highly prevalent
in Swiss dairy herds. Dairy farming in mountainous regions of Switzerland is
characterised by the movement of dairy cattle to communal pasture-based
operations at higher altitudes. Cows from different herds of origin share
pastures and milking equipment for a period of 2 to 3 months during summer. The
aim of this longitudinal observational study was to quantify transmission of S.
aureus GTB in communal dairy operations. Cows (n=551) belonging to 7 communal
operations were sampled at the beginning and end of the communal period.
Transmission parameter beta was estimated using a Susceptible-Infectious
Susceptible (SIS) model. The basic reproduction ratio R0 was subsequently derived
using previously published information about the duration of infection. Mean
transmission parameter beta was estimated to be 0.0232 (95% CI: 0.0197-0.0274).
R0 was 2.6 (95% CI: 2.2-3.0), indicating that S. aureus GTB is capable of causing
major outbreaks in Swiss communal dairy operations. This study emphasized the
contagious behaviour of S. aureus GTB. Mastitis management in communal dairy
operations should be optimized to reduce S. aureus GTB transmission between cows
and back to their herds of origin.
PMID- 27890340
TI - Ischemia evaluation in patients with myocardial bridging: It's a tough situation?
PMID- 27890339
TI - Spontaneous coronary artery dissection undissolved using cardiac computed
tomography: Response and a question.
PMID- 27890341
TI - Reply to "particulars of diabetes mellitus may matter in patients with Takotsubo
Syndrome".
PMID- 27890342
TI - Impact of laparoscopic Roux-en-Y Gastric bypass versus sleeve gastrectomy on
postoperative lipid values.
AB - BACKGROUND: Metabolic surgery has been shown to significantly improve many
obesity-related co-morbidities, including dyslipidemia. The literature has
produced mixed results comparing postoperative lipid values after laparoscopic
Roux-en-Y gastric bypass (LRYGB) compared to laparoscopic sleeve gastrectomy
(LSG); with some indicating significantly greater reductions in total cholesterol
and low-density lipoprotein (LDL) in LRYGB versus LSG, and others reporting no
significant differences. OBJECTIVES: To evaluate the postoperative lipid values
after LRYGB versus LSG at a community hospital. SETTING: Integrated
multispecialty health system with a community teaching hospital. METHODS: A
retrospective review of our prospective database was completed to identify
patients who underwent either LRYGB or LSG at our institution from 2001 through
2013. Lipid values available at 6-18 months postoperative were evaluated.
Statistical analysis included chi2 and Wilcoxon rank-sum tests. A P value<.05 was
considered significant. RESULTS: There were 1326 and 121 patients who underwent
LRYGB and LSG during the study period, respectively. Of these patients, 644 LRYGB
and 67 LSG patients had pre- and postoperative lipid values available and
included in the final analysis. Postoperative mean total cholesterol and LDL
values were significantly lower in LYRGB versus LSG patients. Postoperatively,
10% and 30% of LRYGB and LSG patients had a total cholesterol values>=200 mg/dL
(P<.001); 4% and 24% had LDL values>=130 mg/dL (P<.001); and 8% and 9% had
triglyceride levels>130 mg/dL (P = .68), respectively. HDL values were within the
recommended range in 52% and 57% of LRYGB and LSG patients, respectively (P =
.64). CONCLUSION: Patients who underwent LRYGB had a greater postoperative
reduction in total cholesterol, LDL, and triglycerides. LRYGB may be the more
appropriate bariatric procedure for patients with significant preoperative
hypercholesterolemia.
PMID- 27890343
TI - Innovative Metabolic Operations.
PMID- 27890344
TI - Community-level moderators of a school-based childhood sexual assault prevention
program.
AB - Childhood sexual abuse (CSA) is highly prevalent and associated with a wide
variety of negative mental and physical health outcomes. School-based CSA
education and prevention programs have shown promise, but it is unclear to what
extent community-level characteristics are related to their effectiveness. The
present cluster randomized controlled trial evaluated community-level moderators
of the Safe@Lastprogramcomparedtoawaitlistcontrolcondition.(*) Knowledge gains
from pre- to post-intervention were assessed in 5 domains: safe versus unsafe
people; safe choices; problem-solving; clear disclosure; and assertiveness.
Participants were 1177 students (46% White, 26% African American, 15% Hispanic,
4% Asian American, 6% Other) in grades 1 through 6 from 14 public schools in
Tennessee. Multilevel models accounting for the nesting of children within
schools revealed large effect sizes for the intervention versus control across
all knowledge domains (d's ranged from 1.56 to 2.13). The effectiveness of the
program was moderated by mean per capita income and rates of substantiated cases
of child abuse and neglect in the community. Intervention effects were stronger
for youth living in lower as compared to higher income counties, and for youth
attending schools in counties with lower as compared to higher abuse/neglect
rates. Child characteristics (sex, race) did not moderate intervention effects.
This research identified two community-level factors that predicted the
effectiveness of a CSA education and prevention program designed to improve
children's knowledge of personal safety skills. School-based CSA prevention
programs may require modification for communities with higher rates of child
abuse and neglect.
PMID- 27890345
TI - Prenatal exposure to outdoor air pollution and child behavioral problems at
school age in Japan.
AB - INTRODUCTION: Recent studies suggest positive associations between prenatal
exposure to ambient air pollution and neurodevelopment of children, but evidence
on the adverse effects of exposure to air pollution on child neurobehavioral
development remains limited. We thus examined associations between prenatal
exposure to outdoor air pollution and child behavioral problems at school age,
using data from a nationwide population-based longitudinal survey in Japan, where
participants were recruited in 2001 and are continuously followed. METHODS:
Suspended particulate matter (SPM), nitrogen dioxide, and sulfur dioxide
concentrations during the 9months before birth were obtained at municipality
level and assigned to those participants born in the corresponding municipality.
We analyzed data from singleton births with linked pollution data available
(e.g., n=33,911 for SPM). We used responses to survey questions about behavioral
problems at age 8years. We conducted multilevel logistic regression analysis,
adjusting for individual and municipality-level variables. RESULTS: Air pollution
exposure during gestation was positively associated with risk for behavioral
problems related to attention and delinquent or aggressive behavior. In the fully
adjusted models, odds ratios following a one-interquartile-range increase in SPM
were 1.06 (95% confidence interval: 1.01, 1.11) for interrupting others, 1.09
(1.03, 1.15) for failure to pay attention when crossing a street, 1.06 (1.01,
1.11) for lying, and 1.07 (1.02, 1.13) for causing public disturbance.
CONCLUSIONS: Prenatal exposure to outdoor air pollution was associated with
behavioral problems related to attention and delinquent or aggressive behavior at
age 8years in a nationally representative sample in Japan.
PMID- 27890346
TI - The UK HeartSpare Study (Stage II): Multicentre Evaluation of a Voluntary Breath
hold Technique in Patients Receiving Breast Radiotherapy.
AB - AIMS: To evaluate the feasibility and heart-sparing ability of the voluntary
breath-hold (VBH) technique in a multicentre setting. MATERIALS AND METHODS:
Patients were recruited from 10 UK centres. Following surgery for early left
breast cancer, patients with any heart inside the 50% isodose from a standard
free-breathing tangential field treatment plan underwent a second planning
computed tomography (CT) scan using the VBH technique. A separate treatment plan
was prepared on the VBH CT scan and used for treatment. The mean heart, left
anterior descending coronary artery (LAD) and lung doses were calculated. Daily
electronic portal imaging (EPI) was carried out and scanning/treatment times were
recorded. The primary end point was the percentage of patients achieving a
reduction in mean heart dose with VBH. Population systematic (Sigma) and random
errors (sigma) were estimated. Within-patient comparisons between techniques used
Wilcoxon signed-rank tests. RESULTS: In total, 101 patients were recruited during
2014. Primary end point data were available for 93 patients, 88 (95%) of whom
achieved a reduction in mean heart dose with VBH. Mean cardiac doses (Gy) for
free-breathing and VBH techniques, respectively, were: heart 1.8 and 1.1, LAD
12.1 and 5.4, maximum LAD 35.4 and 24.1 (all P<0.001). Population EPI-based
displacement data showed Sigma =+1.3-1.9 mm and sigma=1.4-1.8 mm. Median CT and
treatment session times were 21 and 22 min, respectively. CONCLUSIONS: The VBH
technique is confirmed as effective in sparing heart tissue and is feasible in a
multicentre setting.
PMID- 27890347
TI - Isolated limb perfusion for the management limb threatening soft tissue sarcomas:
The role of histological type on clinical outcomes.
AB - BACKGROUND: Hyperthermic isolated limb perfusion (HILP) is an effective
neoadjuvant treatment to avoid amputation in patients with locally advanced
extremity soft tissue sarcomas (STS). We aimed to investigate whether STS
histological type plays a role in predicting clinical outcomes. METHODS: This
study reports a retrospective analysis of 125 patients with limb threatening STS
(liposarcoma, n = 41; malignant peripheral nerve sheath tumor, n = 20;
leiomyosarcoma, n = 20; miscellany, n = 44), who underwent HILP from 1990 through
2015 at our institution. The following endpoints were evaluated: tumor response
(assessed by radiological imaging and histology), limb sparing rate, local
progression-free survival (LPFS) and overall survival (OS). RESULTS: On average,
overall (complete + partial) tumor response was significantly greater in patients
affected with liposarcoma as compared to those with other histotypes
(radiological response rate: 38/41, 92.7% vs 66/84, 78.6%, P-value: 0.048; mean
histological necrosis: 83.6% vs 52.9%, P < 0.0001). Limb sparing rate was also
higher among patients with liposarcoma as compared to other histotypes (39/41,
95.1% vs 62/84, 73.8%, P-value: 0.005). As regards survival, LPFS was similar
across tumor types, whereas OS resulted significantly worse in patients with limb
leiomyosarcoma (log-rank P-value: 0.009). CONCLUSIONS: HILP is a very effective
treatment modality for limb threatening STS. In our series, liposarcoma appears
to be the histological type most sensitive to HILP in terms of tumor response and
thus limb sparing, which might help clinicians in the patient selection process.
PMID- 27890348
TI - Out-of-the-box pelvic surgery including iliopsoas resection for recurrent
gynecological malignancies: Does that make sense? A single-institution case
series.
AB - OBJECTIVE: To report morbidity and oncological outcomes in a consecutive series
of lateral isolated recurrent gynecological cancer involving the pelvic side wall
(PSW) including the iliopsoas muscle. MATERIAL AND METHODS: We retrospectively
evaluated a consecutive series between 6/2013 and 12/2015 of lateral isolated
recurrent gynecological malignancies treated with a lateral endopelvic resection
(LEPR). LEPR was defined as an en-bloc lateral resection of a pelvic tumor with
sidewall muscle, and/or bone, and/or major nerve, and/or major vascular
structure. Post-surgical complications, quality of life (QoL) and survivals were
computed. RESULTS: Seventy-four women with pelvic isolated relapse were admitted
for surgery during the study period. Among them, 8 cases (10.8%) showed lateral
isolated relapse involving the iliopsoas muscle and were treated with LEPR. R0
resection was achieved in 6/8 patients (75.0%), while the pathologic margins were
microscopically and macroscopically positive in 1 patient (12.5%), respectively.
There were no perioperative mortalities. Major postoperative complications
occurred in 3 patients (37.5%) through peripheral neuropathies, thrombosis of the
vascular graft and compartment syndrome of the leg. Median follow-up time was 21
months (range, 12-28). The 2-year overall survival (OS) was 88% improving up to
100% if R0 resection was achieved. In patients with positive pathologic margins
(n = 2), the 2-year OS was 50%. All women showed an improved QoL after surgery.
CONCLUSION: LEPR with iliopsoas resection can be safely performed in selected
cases with lateral isolated gynecological relapse involving the PSW and was
associated with improved QoL and prolonged survival when an R0 resection was
achieved.
PMID- 27890349
TI - Clinical features and outcomes of 20 patients with abdominopelvic desmoplastic
small round cell tumor.
AB - INTRODUCTION: Desmoplastic small round cell tumor (DSRCT) is a rare mesenchymal
malignancy. We describe our experience with treating DSRCT at a large sarcoma
referral center. METHODS: A retrospective chart review was performed on DSRCT
patients referred to our institution (1998-2014). Pathology specimens were
reviewed to confirm the diagnosis. Clinical and imaging were extracted and
summarized with descriptive statistics. Univariate analysis was performed to
evaluate the association between patient, tumor, and treatment variables and
overall survival (OS). RESULTS: In this study cohort of 20 patients, median age
at presentation was 29 y (range 18-43) and 90% were male. Fifty-five percent
presented with metastasis. Patients underwent chemotherapy (n = 20), radiation
therapy (n = 3), and cytoreductive surgery (CRS) (n = 5). Median OS was 22 m
(interquartile range: 12-28 m). Five-year OS rate was 20%. Extra-abdominal
metastasis was associated with a higher hazard ratio (HR) of mortality (HR: 3.1,
95% C.I. 1.0-9.4, p = 0.04), while CRS improved OS (HR: 0.1, 95% C.I. 0.03-0.7, p
= 0.02). CONCLUSIONS: Despite aggressive treatment, less than half of the
patients were dead of DSRCT within 2 years of presentation. Although a select
group of patients who underwent CRS had improved OS, novel treatments are
urgently needed.
PMID- 27890350
TI - The histophysiology and pathophysiology of the peritoneum.
AB - The peritoneum is an extensive serous organ with both epithelial and mesenchymal
features and a variety of functions. Diseases such as inflammatory peritonitis
and peritoneal carcinomatosis can induce disturbance of the complex physiological
functions. To understand the peritoneal response in disease, normal embryonic
development, anatomy in healthy conditions and physiology of the peritoneum have
to be understood. This review aims to summarize and discuss the literature on
these basic peritoneal characteristics. The peritoneum is a dynamic organ capable
of adapting its structure and functions to various physiological and pathological
conditions. It is a key element in regulation of inflammatory responses, exchange
of peritoneal fluid and prevention of fibrosis in the abdominal cavity.
Disturbance of these mechanisms may lead to serious conditions such as the
production of large amounts of ascites, the generation of fibrotic adhesions,
inflammatory peritonitis and peritoneal carcinomatosis. The difficulty to treat
diseases, such as inflammatory peritonitis and peritoneal carcinomatosis,
stresses the necessity for new therapeutic strategies. This review provides a
detailed background on the peritoneal anatomy, microenvironment and immunologic
responses which is essential to generate new hypotheses for future research.
PMID- 27890351
TI - Unilaminar follicular cells transiently express galectin-3 during ovarian
folliculogenesis in pigs.
AB - The localization of galectin-3, a beta-galactoside-binding animal lectin, was
immunohistochemically studied in the ovaries of pigs to determine its expression
in ovarian folliculogenesis. Various stages of ovarian follicles were identified
in the ovaries of adult pigs. Galectin-3 was immunostained in the squamous
follicular cells surrounding oocytes in primordial follicles and in the
unilaminar granulosa cells of primary follicles, but not in oocytes of
multilaminar follicles (including primary, secondary, and tertiary Graafian
follicles). As in adult ovaries, galectin-3 immunoreactivity was prominent in the
unilaminar follicles in neonatal ovaries. Galectin-3 was also immunolocalized in
the luteal cells in the corpus luteum and granulosa cells of atretic follicles as
well as in interstitial macrophages in porcine ovaries. Collectively, these
results suggest that galectin-3 is transiently expressed in follicular cells in
the unilaminar ovarian follicles (primordial and primary) but not in multilaminar
ovarian follicles (primary to tertiary), implying that galectin-3 is
embryologically involved in ovum generation.
PMID- 27890352
TI - Residential Aged Care Medication Review to Improve the Quality of Medication Use:
A Systematic Review.
AB - BACKGROUND: Aging is often associated with various underlying comorbidities that
warrant the use of multiple medications. Various interventions, including
medication reviews, to optimize pharmacotherapy in older people residing in aged
care facilities have been described and evaluated. Previous systematic reviews
support the positive impact of various medication-related interventions but are
not conclusive because of several factors. OBJECTIVES: The current study aimed to
assess the impact of medication reviews in aged care facilities, with additional
focus on the types of medication reviews, using randomized controlled trials
(RCTs) and observational studies. METHODS: A systematic searching of English
articles that examined the medication reviews conducted in aged care facilities
was performed using the following databases: PubMed, CINAHL, IPA, TRiP, and the
Cochrane Library, with the last update in December 2015. Extraction of articles
and quality assessment of included articles were performed independently by 2
authors. Data on interventions and outcomes were extracted from the included
studies. The SIGN checklist for observational studies and the Cochrane
Collaboration's tool for assessing risk of bias in RCTs were applied. Outcomes
assessed were related to medications, reviews, and adverse events. RESULTS:
Because of the heterogeneity of the measurements, it was deemed inappropriate to
conduct a meta-analysis and thus a narrative approach was employed. Twenty-two
studies (10 observational studies and 12 controlled trials) were included from
1141 evaluated references. Of the 12 trials, 8 studies reported findings of
pharmacist-led medication reviews and 4 reported findings of multidisciplinary
team-based reviews. The medication reviews performed in the included trials were
prescription reviews (n = 8) and clinical medication reviews (n = 4). In the case
of the observational studies, the majority of the studies (8/12 studies) reported
findings of pharmacist-led medication reviews, and only 2 studies reported
findings of multidisciplinary team-based reviews. Similarly, 6 studies employed
prescription reviews, whereas 4 studies employed clinical medication reviews. The
majority of the recommendations put forward by the pharmacist or a
multidisciplinary team were accepted by physicians. The number of prescribed
medications, inappropriate medications, and adverse outcomes (eg, number of
deaths, frequency of hospitalizations) were reduced in the intervention group.
CONCLUSION: Medication reviews conducted by pharmacists, either working
independently or with other health care professionals, appear to improve the
quality of medication use in aged care settings. However, robust conclusions
cannot be drawn because of significant heterogeneity in measurements and
potential risk for biases.
PMID- 27890354
TI - Chairside CAD/CAM materials. Part 1: Measurement of elastic constants and
microstructural characterization.
AB - OBJECTIVE: A deeper understanding of the mechanical behavior of dental
restorative materials requires an insight into the materials elastic constants
and microstructure. Here we aim to use complementary methodologies to thoroughly
characterize chairside CAD/CAM materials and discuss the benefits and limitations
of different analytical strategies. METHODS: Eight commercial CAM/CAM materials,
ranging from polycrystalline zirconia (e.max ZirCAD, Ivoclar-Vivadent),
reinforced glasses (Vitablocs Mark II, VITA; Empress CAD, Ivoclar-Vivadent) and
glass-ceramics (e.max CAD, Ivoclar-Vivadent; Suprinity, VITA; Celtra Duo,
Dentsply) to hybrid materials (Enamic, VITA; Lava Ultimate, 3M ESPE) have been
selected. Elastic constants were evaluated using three methods: Resonant
Ultrasound Spectroscopy (RUS), Resonant Beam Technique (RBT) and Ultrasonic Pulse
Echo (PE). The microstructures were characterized using Scanning Electron
Microscopy (SEM), Energy Dispersive X-ray Spectroscopy (EDX), Raman Spectroscopy
and X-ray Diffraction (XRD). RESULTS: Young's modulus (E), Shear modulus (G),
Bulk modulus (B) and Poisson's ratio (nu) were obtained for each material. E and
nu reached values ranging from 10.9 (Lava Ultimate) to 201.4 (e.max ZirCAD) and
0.173 (Empress CAD) to 0.47 (Lava Ultimate), respectively. RUS showed to be the
most complex and reliable method, while the PE method the easiest to perform but
most unreliable. All dynamic methods have shown limitations in measuring the
elastic constants of materials showing high damping behavior (hybrid materials).
SEM images, Raman spectra and XRD patterns were made available for each material,
showing to be complementary tools in the characterization of their crystal
phases. SIGNIFICANCE: Here different methodologies are compared for the
measurement of elastic constants and microstructural characterization of CAD/CAM
restorative materials. The elastic properties and crystal phases of eight
materials are herein fully characterized.
PMID- 27890355
TI - The effects of cavity-margin-angles and bolus stiffness on the mechanical
behavior of indirect resin composite class II restorations.
AB - OBJECTIVE: To study the influence of the different class II mesio-occlusal-distal
(MOD) cavity shape on the stress and strain distributions in adhesive indirect
restorations, using numerical finite element analysis (FEA). To investigate the
relationship between restored teeth failure and stiffness of food, three values
of Young's modulus were used for the food. METHODS: A 3D model of a sound lower
molar and three class II MOD cavities with different shape were created. Slide
type contact elements were used between tooth surface and food. An adhesive resin
based cement, modeled with fixed-type contact elements, and a single restorative
filling materials were considered. To simulate polymerization shrinkage effect,
which is basically restricted to the thin composite cement layer, shell elements
were employed and the thermal expansion approach was used. A vertical occlusal
load of 600N was applied, while assigning fixed zero-displacements on the cutting
surfaces below the crevices. All the materials were assumed to be isotropic and
elastic. A static linear analysis was carried out. RESULTS: In the lingual cusp,
the displacements increased as the values of the stiffness food increased. In the
restored teeth, the stress near the restoration-tooth interface was strongly
dependent on the MOD cavity shape. The stress peaks were mainly located along the
enamel-dentin interface at the lingual side; wedge-shaped MOD cavity with a low
angle, in combination with the lowest food stiffness provided the best results.
SIGNIFICANCE: A more complex load application on the occlusal surfaces was
introduced. Food stiffness slightly affected the stress distribution of the
restored and sound teeth. Teeth with adhesive class II MOD indirect resin
composite restorations were potentially more susceptible to damage if the class
II MOD cavity-margin-angle was higher than 95 degrees . Restored teeth with a
higher cavity-margin-angle led to considerable stress concentration in the
lingual cusp along the enamel-dentin interface. These models were more
susceptible to fracture in the lingual cusps when compared to the buccal ones.
PMID- 27890353
TI - Anxiety and Nicotine Dependence: Emerging Role of the Habenulo-Interpeduncular
Axis.
AB - While innovative modern neuroscience approaches have aided in discerning brain
circuitry underlying negative emotional behaviors including fear and anxiety
responses, how these circuits are recruited in normal and pathological conditions
remains poorly understood. Recently, genetic tools that selectively manipulate
single neuronal populations have uncovered an understudied circuit, the medial
habenula (mHb)-interpeduncular (IPN) axis, that modulates basal negative
emotional responses. Interestingly, the mHb-IPN pathway also represents an
essential circuit that signals heightened anxiety induced by nicotine withdrawal.
Insights into how this circuit interconnects with regions more classically
associated with anxiety, and how chronic nicotine exposure induces
neuroadaptations resulting in an anxiogenic state, may thereby provide novel
strategies and molecular targets for therapies that facilitate smoking cessation,
as well as for anxiety relief.
PMID- 27890356
TI - Does 8-methacryloxyoctyl trimethoxy silane (8-MOTS) improve initial bond strength
on lithium disilicate glass ceramic?
AB - OBJECTIVES: Dental ceramic surfaces are modified with silane coupling agents,
such as gamma-methacryloxypropyl trimethoxy silane (gamma-MPTS), to improve bond
strength. For bonding between lithium disilicate glass ceramic and resin cement,
the objective was to investigate if 8-methacryloxyoctyl trimethoxy silane (8
MOTS) could yield a similar performance as the widely used gamma-MPTS. METHODS:
One hundred and ten lithium disilicate glass ceramic specimens were randomly
divided into 11 groups (n=10) according to pretreatment regime. All specimens
were pretreated with a different solution composed of one or a combination of
these agents: 10 or 20wt% silane coupling agent of gamma-MPTS or 8-MOTS, followed
by a hydrolysis solution of acetic acid or 10-methacryloyloxydecyl dihydrogen
phosphate (10-MDP). Each pretreated surface was luted to a stainless steel rod of
3.6mm diameter and 2.0mm height with resin cement. Shear bond strength between
ceramic and cement was measured after 24-h storage in 37 degrees C distilled
water. RESULTS: 8-MOTS produced the same bonding performance as gamma-MPTS. Both
silane coupling agents significantly increased the bond strength of resin cement,
depending on their concentration. When activated by 10-MDP hydrolysis solution,
20wt% concentration produced the highest values (gamma-MPTS: 24.9+/-5.1MPa; 8
MOTS: 24.6+/-7.4MPa). Hydrolysis with acetic acid produced lower bond strengths
than with 10-MDP. SIGNIFICANCE: Silane coupling pretreatment with 8-MOTS
increased the initial bond strength between lithium disilicate glass ceramic and
resin cement, rendering the same bonding effect as the conventional gamma-MPTS.
PMID- 27890357
TI - Effect of cement space on stress distribution in Y-TZP based crowns.
AB - OBJECTIVE: To evaluate the stress distribution in bi-layered Y-TZP based crowns,
according to the occlusal internal spacing between coping and abutment. METHODS:
Twelve premolar shaped Y-TZP copings were made by a CAD/CAM system and seated on
an abutment to evaluate the internal fit at the occlusal third using micro-CT
images. Considering the fitting range obtained experimentally, two 3D finite
element models, consisting on bone tissue, a titanium implant, a zirconia
abutment, cement layer and a bi-layered Y-TZP ceramic crown were constructed
based on the micro-CT images, one corresponding to the thinnest cement space and
other representing the specimen with the thickest cement space obtained
experimentally. A 250N axial load was applied at the center of the occlusal
surface of the crown (?0,8mm2 area) and the first principal stress distribution
was plotted and analyzed. RESULTS: The greatest maximum principal stress occurred
within the veneer ceramic right below the site of loading. The thickest cement
model showed higher stress concentration at the center of occlusal surface of
veneer and the center of occlusal internal surface of coping. SIGNIFICANCE:
Knowledge of stress distribution in ceramic crowns with different cement
thicknesses will help clinicians to properly adjust crown fit, in seeking to
avoid porcelain fractures.
PMID- 27890359
TI - Selective removal of cesium by ammonium molybdophosphate - polyacrylonitrile bead
and membrane.
AB - The selective removal of radionuclides with extremely low concentrations from
environmental medium remains a big challenge. Ammonium molybdophosphate possess
considerable selectivity towards cesium ion (Cs+) due to the specific ion
exchange between Cs+ and NH4+. Ammonium molybdophosphate - polyacrylonitrile (AMP
PAN) membrane was successfully prepared for the first time in this study.
Efficient removal of Cs+ (95.7%, 94.1% and 91.3% of 1mgL-1) from solutions with
high ionic strength (400mgL-1 of Na+, Ca2+ or K+) was achieved by AMP-PAN
composite. Multilayer chemical adsorption process was testified through kinetic
and isotherm studies. The estimated maximum adsorption capacities even reached
138.9+/-21.3mgg-1. Specifically, the liquid film diffusion was identified as the
rate-limiting step throughout the removal process. Finally, AMP-PAN membrane
could eliminate Cs+ from water effectively through the filtration adsorption
process.
PMID- 27890358
TI - Nucleo-mitochondrial interaction of yeast in response to cadmium sulfide quantum
dot exposure.
AB - Cell sensitivity to quantum dots (QDs) has been attributed to a cascade triggered
by oxidative stress leading to apoptosis. The role and function of mitochondria
in animal cells are well understood but little information is available on the
complex genetic networks that regulate nucleo-mitochondrial interaction. The
effect of CdS QD exposure in yeast Saccharomyces cerevisiae was assessed under
conditions of limited lethality (<10%), using cell physiological and
morphological endpoints. Whole-genomic array analysis and the screening of a
deletion mutant library were also carried out. The results showed that QDs:
increased the level of reactive oxygen species (ROS) and decreased the level of
reduced vs oxidized glutathione (GSH/GSSG); reduced oxygen consumption and the
abundance of respiratory cytochromes; disrupted mitochondrial membrane potentials
and affected mitochondrial morphology. Exposure affected the capacity of cells to
grow on galactose, which requires nucleo-mitochondrial involvement. However, QDs
exposure did not materially induce respiratory deficient (RD) mutants but only RD
phenocopies. All of these cellular changes were correlated with several key
nuclear genes, including TOM5 and FKS1, involved in the maintenance of
mitochondrial organization and function. The consequences of these cellular
effects are discussed in terms of dysregulation of cell function in response to
these "pathological mitochondria".
PMID- 27890360
TI - Anti-tubercular peptides: A quest of future therapeutic weapon to combat
tuberculosis.
AB - Tuberculosis (TB) is a symbolic menace to mankind, infecting almost one third of
the world's populace and causing over a million mortalities annually.
Mycobacterium tuberculosis (Mtb) is the key pathogen of TB that invades and
replicates inside the host's macrophage. With the emerging dilemma of multi-drug
resistant tuberculosis (MDR-TB) and extensively-drug resistant tuberculosis (XDR
TB), the exigency for developing new TB drugs is an obligation now for worldwide
researchers. Among the propitious antimycobacterial agents examined in last few
decades, anti-tubercular peptides have been substantiated to be persuasive with
multiple advantages such as low immunogenicity, selective affinity to bacterial
negatively charged cell envelopes and most importantly divergent mechanisms of
action. In this review, we epitomized the current advances in the anti-tubercular
peptides, focusing the sources and highlighting the mycobactericidal mechanisms
of promising peptides. The review investigates the current anti-tubercular
peptides exploited not only from human immune cells, human non-immune cells,
bacteria and fungi but also from venoms, cyanobacteria, bacteriophages and
several other unplumbed sources. The anti-tubercular peptides of those origins
are also known to have unique second non-membrane targets within Mtb. The present
context also describes the several cases that manifested the severe side effects
of extant anti-TB drugs. The downfall, failure to reach clinical trial phases,
inept to MDR- or XDR-TB and severe complications of the currently available anti
tubercular drugs accentuate the imperative necessity to develop efficacious drugs
from adequate anti-tubercular peptides. Keeping in view of the emerging trends of
drug resistant Mtb globally and unexampled mycobactericidal characteristics of
peptides, the anti-tubercular peptides of varied origins can be used as a
potential weapon to eradicate TB in future by developing new therapeutic drugs.
PMID- 27890361
TI - Iron dysregulation in beta-thalassemia.
AB - Iron deficiency anemia and iron overload conditions affect more than one billion
people worldwide. Iron homeostasis involves the regulation of cells that export
iron into the plasma and cells that utilize or store iron. The cellular iron
balance in humans is primarily mediated by the hepcidin-ferroportin axis.
Ferroportin is the sole cellular iron export protein, and its expression is
regulated transcriptionally, post-transcriptionally and post-translationally.
Hepcidin, a hormone produced by liver cells, post-translationally regulates
ferroportin expression on iron exporting cells by binding with ferroportin and
promoting its internalization by endocytosis and subsequent degradation by
lysosomes. Dysregulation of iron homeostasis leading to iron deposition in vital
organs is the main cause of death in beta-thalassemia patients. Beta-thalassemia
patients show marked hepcidin suppression, ineffective erythropoiesis, anemia and
iron overload. Beta-thalassemia is common in the Mediterranean region, Southeast
Asia and the Indian subcontinent, and the focus of this review is to provide an
update on the factors mediating hepcidin related iron dysregulation in beta
thalassemia disease. Understanding this process may pave the way for new
treatments to ameliorate iron overloading and improve the long term prognosis of
these patients.
PMID- 27890362
TI - Epidemiology of polio virus infection in Pakistan and possible risk factors for
its transmission.
AB - End Polio Pakistan program still has to overcome many hurdles; unfortunately on
8th February 2016 first polio case of the year has surfaced in Karachi. It seems
that battle against polio demands little bit more conviction and motivation. WHO
has set a goal of polio eradication in Pakistan till 2018, in order to evaluate
the success of this target; polio eradication campaign in Pakistan has been
analyzed in different perspectives. Our analysis indicated that major obstacles
in eradication are low literacy rate, poor health infrastructure, lack of
planning, natural disaster, economic crisis, counter insurgencies and almost no
protection for polio health workers. WHO has allocated new funds to tackle this
problem, now there is a need to spend this money more effectively; with proper
planning and honest deployment of funds.
PMID- 27890363
TI - Comparative genome analysis between Southeast Asian and South American Zika
viruses.
AB - OBJECTIVE: To understand the cause for the differences between potentially mild
Southeast Asian and the more pathogenic ZIKV in South America. METHODS: A
comparative genomic analysis was performed to determine putative causations
stemming from ZIKV. RESULTS: Phylogenetic analyses integrating geographical and
time factors revealed that Southeast Asian ZIKV might not be the direct source of
South American outbreaks as previously speculated. Amino acid residues unique to
South American ZIKV isolates at the envelope, pr and NS1 proteins are listed and
shown in the structural context. These unique residues on external viral proteins
are not found in Southeast Asian ZIKV and could be responsible for the ongoing
outbreak either via an intrinsic property of the virus or interactions with human
immunity. Only a selected few primer/probe sets currently in clinical use were
identified of being capable of detecting ZIKV strains worldwide. The envelope
proteins of dengue virus (DENV) and ZIKV also showed a remarkable degree of
similarity especially at the surface residues. CONCLUSIONS: These findings may
help explain the cross-reactivity of DENV antibodies to ZIKV. Thus, major caveats
must be exercised in using existing diagnostic tools for ZIKV.
PMID- 27890364
TI - Epidemiological status of malaria in Iran, 2011-2014.
AB - OBJECTIVES: To aim to determine the status and epidemiological aspects of malaria
in Iran in favour of gaining a better understanding of the national control of
malaria. METHODS: This epidemiological study examined the status of malaria from
2011 to 2014 in Iran. Data were collected from the Ministry of Health's protocol
for controlling and eliminating malaria, which is currently in use. This malaria
information reporting system is automated in all Iranian provinces and cities,
and all information is submitted online to the center for communicable disease
control in the Ministry of Health. Information about malaria is available for
researchers to evaluate. RESULTS: The incidence rates decreased from 2011 to
2014. There were 4.76, 2.12, 1.80, and 1.59 per 100000 people, respectively from
2011 to 2014. During the four-year period (2011-2014), the highest numbers of
cases occurred in those aged 16-25 years, by age, and in men, by sex. Most of the
cases were workers and located in rural areas. An average 52.58% of cases were
Iranian. The highest incidence rates from 2011 to 2014 were located in Sistan and
Baluchestan province. There were 89.94, 43.9, 38.3, and 30.66 per 100000 people.
The highest numbers of malaria cases were recorded in the cities Sarbaz,
Nickshahr, and Chabahar in Sistan and Baluchestan province and Bandar Abbas,
Bandar Jask, and Bandar Lengeh in Hormozgan province. During the four-year
period, 57.05% of cases were caused by imported factors. The majority of cases
were related to the trophozoite lifecycle of parasites. Regarding surveillance,
there was inactive care in the majority of cases. Vivax malaria was the most
prevalent. CONCLUSIONS: Despite the recently declining trend in reported cases,
the expansion of local transmission, especially in areas with cross-border
travel, is very worrying. Improved malaria control interventions can be effective
for elimination of malaria in Iran; these can include programs to control border
travel and focused interventions for high incidence areas and high-risk groups
such as rural residents, men, workers, and people <35 years old.
PMID- 27890365
TI - Protoscolicidal and immunomodulatory activity of Ziziphora tenuior extract and
its fractions.
AB - OBJECTIVE: To evaluate the scolicidal and immunomodulatory effect of the
Ziziphora tenuior (Z. tenuior) extract and its fractions. METHODS: Protoscolices
were treated with six concentrations (3, 5, 10, 25, 50, and 100 mg/mL) of Z.
tenuior extract and its fractions (ethanol, petroleum ether, ethyl acetate and
chloroform) in periods of 10, 20, 30, 40, 50 and 60 min, and viability of
protoscolices was evaluated using the 1.0% eosin. To examine the immunomodulatory
effects of Ziziphora and its fractions on macrophage cells, the non-toxic
concentration of extract and different fractions determined by MTT assay, and the
Griess reaction was used to measure the level of nitrite as an indicator of
nitric oxide by the macrophage cells in 10, 100 and 200 MUg/mL in 24 h at 37
degrees C. RESULTS: In this study, the Z. tenuior extract at 10 mg/mL
concentration was able to kill all protoscolices during 20 min. By increasing the
concentration to 25 mg/mL, the scolicidal time reduced to 10 min. Regarding the
effect of different fractions of Z. tenuior, the ethanolic fraction showed the
highest scolicidal activity. The extract demonstrated an inhibitory effect on the
activity of macrophages and reduced nitric oxide production. Although the
petroleum ether and ethanolic fractions of the extract reduced nitric oxide
production, nevertheless, this effect was only significant at 10 and 100 MUg/mL
concentrations (P < 0.05). CONCLUSION: The Z. tenuior extract and its fractions
were effective against protoscolices yet the effect of total extract was
considerable. Our findings indicates that the extract and its ethanolic and
petroleum ether fractions could have anti-inflammatory properties.
PMID- 27890366
TI - In vitro biofilm formation in ESBL producing Escherichia coli isolates from cage
birds.
AB - OBJECTIVE: To determine biofilm and hydrophobicity formation ratios in extended
spectrum beta lactamases (ESBL) synthesizing Escherichia coli isolates which were
isolated from feces samples of 150 cage bird species randomly taken from pet
shops in Hatay province, Turkey. METHODS: In vitro biofilm production of 4 ESBL
positive isolates were performed by Congo Red Agar (CRA), Standard Tube (ST) and
Microtitre Plate (MP) methods while their hydrophobicity were examined by
bacterial adhesion to hydrocarbon (BATH) test. RESULTS: In the examined isolates,
while biofilm production was found to be negative by CRA method, highest biofilm
producing strain, among 4 bacteria was determined to be A42 by ST and MP methods.
The Scanning Electron Microscopy (SEM) also displayed these confirmed findings.
The hydrophobicity values of strains were determined to be between 22.45% and
26.42%. CONCLUSIONS: As a result, biofilm formation in cage bird feces originated
ESBL positive Escherichia coli isolates was performed for the first time in
Turkey. In order to present the relation between pathogenicity and biofilm
production in animal originated ESBL positive isolates, further studies are
required.
PMID- 27890367
TI - Evaluation of interleukin 8 +2767 A/T polymorphism in visceral leishmaniasis.
AB - OBJECTIVE: To evaluated the relationship between the genetic variations at IL-8
+2767 position with VL pathogenesis among Iranian patients. METHODS: Three groups
including patients with VL clinical presentation and leishmania seropositive (n =
124), patients seropositive but without clinical presentation (n = 82) and
healthy controls (n = 63) were selected to conduct this cross-sectional study.
Polymorphism at +2767 position of IL-8 was investigated using PCR-RFLP
techniques. Anti-leishmania antibody titration was evaluated by the
immunoflorescence technique. RESULTS: We observed higher significant frequencies
+2767 A/A and A/T genotypes in Group 1 compared to Group 2 and healthy controls
(P = 0.001). Also, patients in Group 1 carrying A/A genotype showed higher titer
of anti-leishmania antibody than patients with A/T and T/T genotypes (P = 0.05).
The validity of the data was analyzed using Hardy-Weinberg equilibrium and one
way analysis of variance (ANOVA), as well as chi2 tests. CONCLUSIONS: Our
findings indicate that the IL-8 +2767 polymorphism is significantly involved in
impaired immune responses against VL and it could be considered as a risk factor
for the VL progress.
PMID- 27890368
TI - Effect of Glycyrrhiza uralensis Fisch polysaccharide on growth performance and
immunologic function in mice in Ural City, Xinjiang.
AB - OBJECTIVE: To discuss the effect of Glycyrrhiza uralensis (G. uralensis) Fisch
polysaccharide on growth performance and immunologic function in mice in Ural
City, Xinjiang and to provide important data supporting the application of
Glycyrrhiza polysaccharide. METHODS: A total of 100 Kunming mice aged 3 weeks old
were randomly divided into 5 groups with 20 mice in each group (10 were females
and 10 were males). About 0.5 mL normal saline was given to the mice of control
group every day and 0.5 mL G. uralensis Fisch polysaccharide was given to the
mice of other groups at the concentration of 1, 20, 50 and 100 mg/mL,
respectively. The growth performance (average body weight, average daily feed
intake and feed efficiency), immune organ indexes (spleen index and thymus index)
and immunologic function (serum IL-2, CD4+/CD8+ and the activity of NK cells) of
mice in each group were detected continuously. RESULTS: The average body weight,
feed efficiency, serum IL-2, CD4+/CD8+ and the activity of NK cells of mice were
increased with the increase of administrated time after administrating G.
uralensis Fisch polysaccharide and were reached up the largest level on Day 28.
At the same time, each index was proportional to the given dose and was
significantly higher than those of control group and reached up the largest level
at the administrated dose of 100 mg/mL. After administrating G. uralensis Fisch
polysaccharide, the spleen index and thymus index of mice were increased with the
increase of administrated dose and the spleen index and thymus index of mice
administrated with the dose of 100 mg/mL were maximum which was more than 1.51
times and 1.43 times of that in control group, respectively and the comparative
differences showed statistical significance (P < 0.05). The average daily feed
intake of mice in each group was increased with the passage of time and at the
same time, the comparison of average daily feed intake of mice in each group was
not significantly different (P > 0.05). CONCLUSIONS: G. uralensis Fisch
polysaccharide can significantly improve the growth performance and immunologic
function of mice and laid a research basis for the clinical application of G.
uralensis Fisch polysaccharide.
PMID- 27890369
TI - Anti-tumor activity of tanshinone IIA in combined with cyclophosphamide against
Lewis mice with lung cancer.
AB - OBJECTIVE: To explore the anti-tumor activity of tanshinone IIA in combined with
cyclophosphamide against Lewis mice with lung cancer and the effect on cellular
immune function. METHODS: Lewis tumor cells were inoculated subcutaneously into
the right armpit of mice in each group (n = 20) to establish Lewis lung cancer
mice model. After model establishment, mice in the model group were given normal
saline by lavage, qd. Mice in treatment I group were given intraperitoneal
injection of Tan IIA, 15 mg/kg, qd. Mice in treatment II group were given
intraperitoneal injection of CTX, 25 mg/kg, qd. Mice in treatment III group were
given intraperitoneal injections of Tan IIA and CTX, in which the administration
method of Tan IIA was the same as in treatment I group, continuously for 2 weeks,
and the dosage of CTX was the same as in treatment II group, 24 h after model
establishment, every other day. Mice were sacrificed 2 weeks after establishment.
The tumor tissues were collected to calculate the anti-tumor rate.
Immunohistochemistry was used to detect the expressions of Bcl-2, Bax, VEGF,
Angiostatin, and Endostatin. FCM was used to detect T lymphocyte subsets in
spleen and liver of mice. RESULTS: The tumor weight in treatment I, II, and III
groups was significantly lower than that in the model group (P < 0.05). The tumor
weight in treatment III group was significantly lower than that in treatment I
and II groups (P < 0.05). The anti-tumor rate in treatment II and III groups was
significantly higher than that in treatment I group (P < 0.05). Bcl-2 expression
in the tumor tissues of treatment I, II, and III groups was significantly lower
than that in the model group (P < 0.05), while Bax expression was significantly
higher than that in the model group (P < 0.05). Bcl-2 expression in the tumor
tissues of treatment I and II groups was significantly higher than that in
treatment III group (P < 0.05), while Bax expression was significantly lower than
that in treatment III group (P < 0.05). CD4+ and CD4+/CD8+ in treatment I, II,
and III groups were significantly higher than those in the model group (P <
0.05). CD4+ in treatment III group was significantly higher than that in
treatment I and II groups (P < 0.05), while CD4+/CD8+ was significantly higher
than that in treatment II group (P < 0.05). The comparison of CD8+ among each
group was not statistically significant (P > 0.05). NK cell activity in treatment
I, II, and III groups was significantly higher than that in the model group (P <
0.05). NK cell activity in treatment III group was significantly higher than that
in treatment I and II groups (P < 0.05). CONCLUSIONS: Tan IIA in combined with
CTX can down regulate Bcl-2 expression in lung cancer tissues, up regulate Bax
expression, inhibit the neovascularization of tumor tissues, and enhance the
immunological function, with a significant anti-tumor activity.
PMID- 27890370
TI - Acetylcholinesterase, butyrylcholinesterase and paraoxonase 1 activities in rats
treated with cannabis, tramadol or both.
AB - OBJECTIVE: To investigate the effect of Cannabis sativa resin and/or tramadol,
two commonly drugs of abuse on acetylcholinesterase and butyrylcholinesterase
activities as a possible cholinergic biomarkers of neurotoxicity induced by these
agents. METHODS: Rats were treated with cannabis resin (5, 10 or 20 mg/kg)
(equivalent to the active constituent Delta9-tetrahydrocannabinol), tramadol (5,
10 and 20 mg/kg) or tramadol (10 mg/kg) combined with cannabis resin (5, 10 and
20 mg/kg) subcutaneously daily for 6 weeks. Acetylcholinesterase (AChE) and
butyrylcholinesterase (BChE) activities were measured in brain and serum. We also
measured the activity of paraoxonase-1 (PON1) in serum of rats treated with these
agents. RESULTS: (i) AChE activity in brain increased after 10-20 mg/kg cannabis
resin (by 16.3-36.5%). AChE activity in brain did not change after treatment with
5-20 mg/kg tramadol. The administration of both cannabis resin (5, 10 or 20
mg/kg) and tramadol (10 mg/kg) resulted in decreased brain AChE activity by
14.1%, 12.9% and 13.6%, respectively; (ii) BChE activity in serum was markedly
and dose-dependently inhibited by cannabis resin (by 60.9-76.9%). BChE activity
also decreased by 17.6-36.5% by 10-20 mg/kg tramadol and by 57.2-63.9% by the
cannabis resin/tramadol combined treatment; (iii) Cannabis resin at doses of 20
mg/kg increased serum PON1 activity by 25.7%. In contrast, tramadol given at 5,
10 and 20 mg/kg resulted in a dose-dependent decrease in serum PON1 activity by
19%, 36.7%, and 46.1%, respectively. Meanwhile, treatment with cannabis resin
plus tramadol resulted in 40.2%, 35.8%, 30.7% inhibition of PON1 activity
compared to the saline group. CONCLUSIONS: These data suggest that cannabis resin
exerts different effects on AChE and BChE activities which could contribute to
the memory problems and the decline in cognitive function in chronic users.
PMID- 27890371
TI - Mechanism of action of Zhuyu Annao pill in mice with cerebral intrahemorrhage
based on TLR4.
AB - OBJECTIVE: To explore the protective effect and possible mechanism of action of
Zhuyu Annao pill in mice with intracerebral hemorrhage (ICH). METHODS: Sixty mice
were divided into the control group, hemorrhage group, drug-treated group (after
hemorrhage), TLR4-knockout hemorrhage group and TLR4-knockout hemorrhage + drug
treated group (after hemorrhage) with 12 in each group. Model of autologous ICH
was established in all groups. After drilling and 12 h of fasting, models in the
control group hemorrhage group and TLR4-knockout hemorrhage group were all
drenched with 10 mL/kg distilled water by intragastric administration. Models in
the drug-treated group and TLR4-knockout hemorrhage + drug-treated group were
drenched with 6.25 g/kg of Zhuyu Annao pill. All groups were treated for 7 d.
Longa scoring method was used to measure the neurological defect scores and
determine the brain water contents of all groups; ELISA was employed to detect
the inflammatory factor interleukin (IL)-6, tumor necrosis factor-alpha (TNF
alpha) and IL-1beta in brain tissues; and Western blot was applied to test the
expression quantities of apoptotic protein Bax and anti-apoptotic protein Bcl-2
in brain tissues. RESULTS: At day 3 and 7, compared with the hemorrhage group,
the neurological defect scores of the drug-treated group, TLR4-knockout
hemorrhage group and TLR4-knockout hemorrhage + drug-treated group decreased
significantly (P < 0.05). Compared with the hemorrhage group, the brain water
contents of the drug-treated group, TLR4-knockout hemorrhage group and TLR4
knockout hemorrhage + drug-treated group reduced significantly (P < 0.05).
Compared with the hemorrhage group, the inflammatory factor IL-6, TNF-alpha and
IL-1beta of the drug-treated group, TLR4-knockout hemorrhage group and TLR4
knockout hemorrhage + drug-treated group decreased significantly (P < 0.05).
Compared with the hemorrhage group, the expression of apoptotic protein Bax of
the drug-treated group, TLR4-knockout hemorrhage group and TLR4-knockout
hemorrhage + drug-treated group decreased significantly and the expression of
anti-apoptotic protein Bcl-2 increased significantly (P < 0.05). CONCLUSIONS:
Zhuyu Annao pill can alleviate encephaledema for mice with ICH and reduce
inflammatory responses and nerve cell apoptosis. TLR4 can mediate inflammatory
injury induced by ICH. Thus, Zhuyu Annao pill can play a protective role for
brains by decreasing the expression of TLR4.
PMID- 27890372
TI - Protective effect of antioxidant on renal damage caused by doxorubicin
chemotherapy in mice with hepatic cancer.
AB - OBJECTIVES: To investigate the protective effects and mechanism of antioxidant
TBHQ on renal damage caused by doxorubicin chemotherapy in mice with hepatic
cancer. METHODS: Cell H22 of mice with hepatic cancer which was subcultured for
three times was subcutaneously transplanted to the groin of right lower limb of
45 SPF Kunming mice to establish the transplanted tumor model. The doxorubicin
chemotherapy group and antioxidant intervention group received intraperitoneal
injection of ADM (1 mg/kg.0.2 mL/2 d). The model control group received normal
saline (NS) of the same volume at the same time. 1% TBHQ was added into the diet
of mice of the antioxidant intervention group. Seven weeks later, morning urines
and peripheral blood were randomly collected to detect UAlb, UCr, BUN, Scr and
UAlb/Cr levels. All mice were beheaded. The renal tissues were made into
homogenate, and SOD, T-AOC and MDA content in tissues were detected followed by
cell lysis. All data were processed using SPSS19.0. RESULTS: The UAlb/Cr, BUN,
Scr and MDA of doxorubicin chemotherapy group were significantly higher those of
model control group and the activities of SOD, T-AOC in doxorubicin chemotherapy
group were lower than those of model control group (P < 0.01). The UAlb/Cr, BUN,
Scr and MDA of antioxidant intervention group were lower than those of
doxorubicin chemotherapy group and the activities of SOD, T-AOC of antioxidant
intervention group were higher than those of doxorubicin chemotherapy group
doxorubicin chemotherapy group (P < 0.05). The BUN of model control group was
higher than that of blank group, and T-AOC was lower than that of blank group,
and difference was statistically significant (P < 0.05). CONCLUSIONS: Doxorubicin
chemotherapy could lead to abnormal antioxidant capacity and renal function of
tumor-bearing mice with hepatic cancer. TBHQ antioxidant intervention could
effectively improve the antioxidant capacity of renal tissue and reduce the renal
damage caused by doxorubicin to some extent.
PMID- 27890373
TI - Expression and mechanism of action of miR-196a in epithelial ovarian cancer.
AB - OBJECTIVE: To explore the expression, biological function and possible mechanism
of action of microRNA molecular-196a (miR-196a) in epithelial ovarian cancer.
METHODS: RT-PCR was used to detect the expression quantities of epithelial
ovarian tissue, benign ovarian tissue, normal ovary epithelial tissue, ovarian
cancer cell lines and miR-196a in normal ovarian epithelial cells to analyze the
relationship between the expression of miR-196a and the clinical pathologic
parameters of ovarian cancer. Among those cell lines, the cell line of which miR
196a expressed the most or least was selected and transfected the ovarian cancer
cell line by using negative control plasma and miR-196a inhibitor. After
transfection, RT-PCR was used to test the expression quantity of miR-196a,
Transwell chamber method was applied to determine the migration and invasion
abilities of ovarian carcinoma cells and Western blot was employed to detect the
expression of HOXA10 protein. RESULTS: The relative expression quantities of miR
196a in ovarian cancer tissue and benign ovarian tissue were significantly higher
than that in normal ovarian epithelial tissue, and the expression quantity of miR
196a in ovarian cancer tissue was distinctively higher than that in benign
ovarian tissue (P < 0.05). Among 78 cases of epithelial ovarian cancer, the
expression quantities of miR-196a in patients with low differentiation were all
significantly higher than those in patients with high differentiation (P < 0.05).
The expression of miR-196a showed no significant relation with age, clinical
stage and whether CA125 was positive or not in patients (P > 0.05). Compared with
normal ovarian epithelial cell line IOSE80, the expression quantities of miR-196a
of all ovarian cancer cell lines increased obviously and differences were
statistically significant (P < 0.05). Among them, the expression of miR-196a of
ovarian cancer cell line SKOV3 was the highest, while it decreased significantly
(4.678 +/- 0.785 vs. 2.131 +/- 0.345, t = 2.938, P < 0.05) after the ovarian
cancer cell line SKOV3 was transfected by miR-196a inhibitor. The results of
Transwell chamber method showed that the migration and invasion abilities of
ovarian cancer cells SKOV3 were declined significantly after the expression of
miR-196a was down-regulated and the difference showed statistical significance (P
< 0.05). The results of Western blot revealed that the relative expression of
HOXA10 decreased distinctly after the expression of miR-196a was down-regulated
and also the difference showed statistical significance (P < 0.05). CONCLUSIONS:
The miR-196a might serve as a cancer-promoting gene to promote the migration and
invasion of epithelial ovarian cancer by downstream target gene HOXA10.
PMID- 27890374
TI - Clinical significance of dynamic detection for serum levels of MCP-1, TNF-alpha
and IL-8 in patients with acute pancreatitis.
AB - OBJECTIVE: To observe dynamic changes of levels of monocyte chemotactic protein-1
(MCP-1), tumor necrosis factor-alpha (TNF-alpha) and interleukin-8 (IL-8) in
patients with acute pancreatitis and to investigate its evaluation value on the
severity of acute pancreatitis. METHODS: A total of 109 patients with acute
pancreatitis admitted were divided into mild acute pancreatitis group (MAP group,
42 cases), moderately severe acute pancreatitis (MSAP group, 35 cases) and severe
acute pancreatitis (SAP group, 32 cases). ELISA was used to detect the serum
levels of MCP-1, TNF-alpha and IL-8 of patients at day 1, day 4 and day 7 of
admission to hospital. RESULTS: The serum levels of MCP-1, TNF-alpha and IL-8
from MAP group, MSAP group and SAP group at day 1 of admission to hospital all
significantly increased. There was a significant difference between MAP group and
control group, MSAP group and MAP group, SAP group and MSAP group (P < 0.05). The
serum concentrations of IL-8 from MASP group and SAP group obviously increased at
day 1, and there was significant difference between MASP group and MAP group, SAP
group and MSAP group (P < 0.05), while the difference between MAP group and
control group was not obvious (P > 0.05); The serum concentrations of MCP-1, TNF
alpha and IL-8 from MAP group all reached the highest level at day 4, which were
significantly higher than the detection levels at day 1. In MSAP group and SAP
group, the serum concentrations of MCP-1, TNF-alpha and IL-8 were the highest at
day 1, which were significantly higher than the detection levels at day 4 and 7.
At each detecting timing, the serum concentrations of MCP-1, TNF-alpha and IL-8
from MSAP group and SAP group were all higher than those of MAP group and MSAP
group, respectively. CONCLUSIONS: The dynamic changes of serum levels of MCP-1,
TNF-alpha and IL-8 in patients with acute pancreatitis have their rules, and the
change rule of MAP group was different with that of MSAP and SAP group, which
showed the reference value for the diagnosis and illness severity evaluation of
acute pancreatitis.
PMID- 27890376
TI - Based on the risk of dengue virus transmission via blood transfusion: What about
the risk in case of Zika virus?
PMID- 27890375
TI - Modifiable determinants of attitude towards dengue vaccination among healthy
inhabitants of Aceh, Indonesia: Findings from a community-based survey.
AB - OBJECTIVE: To explore and understand the attitude towards dengue vaccination and
its modifiable determinants among inhabitants of Aceh (northern Sumatra Island,
Indonesia), the region that was most severely affected by the earthquake and
tsunami of 26 December 2004. METHODS: A community-based, cross-sectional study
was conducted among 535 healthy inhabitants in nine regencies (Kabupaten or
Kotamadya) of Aceh that were selected randomly from November 2014 to March 2015.
A set of validated, pre-tested, structured questionnaires was used to guide the
interviews. The questionnaires covered a range of explanatory variables and one
outcome variable (attitude to dengue vaccination). Multi-step logistic regression
analysis and Spearman's rank correlation were used to test the role of
explanatory variables for the outcome variable. RESULTS: More than 70% of the
participants had a poor attitude towards dengue vaccination. Modifiable
determinants associated with poor attitude to dengue vaccination were low
education level, working as farmers and traditional market traders, low
socioeconomic status and poor knowledge, attitude and practice regarding dengue
fever (P < 0.05). The KAP domain scores were correlated strongly with attitude to
dengue vaccination, rs = 0.25, rs = 0.67 and rs = 0.20, respectively (P < 0.001).
Multivariate analysis found that independent predictors associated with attitude
towards dengue vaccination among study participants were only sex and attitude
towards dengue fever (P < 0.001). CONCLUSIONS: This study reveals that low KAP
regarding dengue fever, low education level and low socioeconomic status are
associated with a poor attitude towards dengue vaccination. Therefore,
inhabitants of suburbs who are working as farmers or traditional market traders
with low socioeconomic status are the most appropriate target group for a dengue
vaccine introduction program.
PMID- 27890377
TI - Corrigendum to "CETP polymorphisms confer genetic contribution to centenarians of
Hainan, south of China" [Asian Pac J Trop Med 9 (9) (2016) 872-876].
PMID- 27890378
TI - Design and synthesis of 4,5,6,7-tetrahydro-1H-1,2-diazepin-7-one derivatives as a
new series of Phosphodiesterase 4 (PDE4) inhibitors.
AB - Phosphodiesterase 4 (PDE4) inhibitors have attractive therapeutic potential in
respiratory, inflammatory, metabolic and CNS disorders. The present work details
the design, chemical exploration and biological profile of a novel PDE4 inhibitor
chemotype. A diazepinone ring was identified as an under-represented heterocyclic
system fulfilling a set of PDE4 structure-based design hypotheses. Rapid
exploration of the structure activity relationships for the series was enabled by
robust and scalable two/three-steps parallel chemistry protocols. The resulting
compounds demonstrated PDE4 inhibitory activity in cell free and cell-based
assays comparable to the Zardaverine control used, suggesting potential avenues
for their further development.
PMID- 27890379
TI - Synthesis, characterization and antineoplastic activity of bis-aziridinyl dimeric
naphthoquinone - A novel class of compounds with potent activity against acute
myeloid leukemia cells.
AB - The synthesis, characterization and antileukemic activity of rationally designed
amino dimeric naphthoquinone (BiQ) possessing aziridine as alkylating moiety is
described. Bis-aziridinyl BiQ decreased proliferation of acute myeloid leukemia
(AML) cell lines and primary cells from patients, and exhibited potent
(nanomolar) inhibition of colony formation and overall cell survival in AML
cells. Effective production of reactive oxygen species (ROS) and double stranded
DNA breaks (DSB) induced by bis-aziridinyl BiQ is reported. Bis-dimethylamine
BiQ, as the isostere of bis-aziridinyl BiQ but without the alkylating moiety did
not show as potent anti-AML activity. Systemic administration of bis-aziridinyl
BiQ was well tolerated in NSG mice.
PMID- 27890380
TI - New polyhydroxytriterpenoid derivatives from fruits of Terminalia chebula Retz.
and their alpha-glucosidase and alpha-amylase inhibitory activity.
AB - Three new polyhydroxytriterpenoid derivatives, 23-O-neochebuloylarjungenin 28-O
beta-d-glycopyranosyl ester (1), 23-O-4'-epi-neochebuloylarjungenin (2), and 23-O
galloylpinfaenoic acid 28-O-beta-d-glucopyranosyl ester (17) were isolated from
the fruits of Terminalia chebula Retz. along with fourteen known ones. Their
structures were elucidated by 1D and 2D NMR spectroscopic data and acid
hydrolysis. After evaluating for Baker's yeast alpha-glucosidase, rat intestinal
alpha-glucosidase, and porcine pancreatic alpha-amylase inhibitory activities of
all the isolated compounds, 23-O-galloylarjunolic acid (11, IC50 21.7MUM) and 23
O-galloylarjunolic acid 28-O-beta-d-glucopyranosyl ester (12, IC50 64.2MUM)
showed potent inhibitory activities against Baker's yeast alpha-glucosidase
compared to the positive control, acarbose (IC50 174.0MUM). However, all the
tested compounds except for the positive control, acarbose, had no or only weak
inhibitory activity against rat intestinal alpha-glucosidase and porcine
pancreatic alpha-amylase.
PMID- 27890381
TI - Evaluation of dipeptide nitriles as inhibitors of rhodesain, a major cysteine
protease of Trypanosoma brucei.
AB - A series of dipeptide nitriles known as inhibitors of mammalian cathepsins were
evaluated for inhibition of rhodesain, the cathepsin L-like protease of
Trypanosoma brucei. Compound 35 consisting of a Leu residue fitting into the S2
pocket and a triarylic moiety consisting of thiophene, a 1,2,4-oxadiazole and a
phenyl ring fitting into the S3 pocket, and compound 33 with a 3-bromo-Phe
residue (S2) and a biphenyl fragment (S3) were found to inhibit rhodesain in the
single-digit nanomolar range. The observed steep structure-activity relationship
could be explained by covalent docking simulations. With their high selectivity
indices (ca. 200) and the good antitrypanosomal activity (8MUM) the compounds
represent promising starting points for new rhodesain inhibitors.
PMID- 27890382
TI - [Alzheimer's disease cerebro-spinal fluid biomarkers: A clinical research tool
sometimes useful in daily clinical practice of memory clinics for the diagnosis
of complex cases].
AB - The role of biomarkers in clinical research was recently highlighted in the new
criteria for the diagnosis of Alzheimer's disease. Cerebro-spinal fluid (CSF)
biomarkers (total Tau protein, threonine 181 phosphorylated Tau protein and
amyloid Abeta1-42 peptide) are associated with cerebral neuropathological lesions
observed in Alzheimer's disease (neuronal death, neurofibrillary tangle with
abnormal Tau deposits and amyloid plaque). Abeta1-40 amyloid peptide dosage helps
to interpret Abeta1-42 results. As suggested in the latest international criteria
and the French HAS (Haute Autorite de sante) recommendations, using theses CSF
biomarkers should not be systematic but sometimes could be performed to improve
confidence about the diagnostic of Alzheimer's disease in young subjects or in
complex clinical situations. Future biomarkers actually in development will
additionally help in diagnostic process (differential diagnosis) and in
prognostic evaluation of neurodegenerative diseases.
PMID- 27890383
TI - [Autoimmune and inflammatory disorders associated with lymphoid hematological
malignancies].
AB - In this literature review, we reported autoimmune and inflammatory disorders
associated with lymphoid hematological malignancies, including non-Hodgkin's
lymphoma, Hodgkin's lymphoma and chronic lymphocytic leukemia. The different
types of systemic involvement are classified by affected organ. We listed in this
review the joint diseases, skin, neurologic, hematologic, renal, and vasculitis.
We tried to determine whether there is a correlation between each autoimmune
manifestation and a specific type of lymphoma or a particular feature that may
support a paraneoplastic origin, if there is an impact on the prognosis of the
hematological malignancy, and finally, we identified the different therapeutic
strategies used in the literature.
PMID- 27890384
TI - Re: Omar Fahmy, Mohd Ghani Khairul-Asri, Christian Schwentner, et al. Algorithm
for Optimal Urethral Coverage in Hypospadias and Fistula Repair: A Systematic
Review. Eur Urol 2016;70:293-8.
PMID- 27890385
TI - Common hepatitis C treatment side effects in relation to alcohol consumption and
racial differences in Southeastern Taiwan.
PMID- 27890387
TI - Laparoscopic resection of a paraganglioma in the organ of Zuckerkandl 123I
metaiodobenzylguanidine guided by gamma probe.
PMID- 27890386
TI - 2016 Guidelines of the Taiwan Heart Rhythm Society and the Taiwan Society of
Cardiology for the management of atrial fibrillation.
AB - Atrial fibrillation (AF) is the most common sustained arrhythmia. Both the
incidence and prevalence of AF are increasing, and the burden of AF is becoming
huge. Many innovative advances have emerged in the past decade for the diagnosis
and management of AF, including a new scoring system for the prediction of stroke
and bleeding events, the introduction of non-vitamin K antagonist oral
anticoagulants and their special benefits in Asians, new rhythm- and rate-control
concepts, optimal endpoints of rate control, upstream therapy, life-style
modification to prevent AF recurrence, and new ablation techniques. The Taiwan
Heart Rhythm Society and the Taiwan Society of Cardiology aimed to update the
information and have appointed a jointed writing committee for new AF guidelines.
The writing committee members comprehensively reviewed and summarized the
literature, and completed the 2016 Guidelines of the Taiwan Heart Rhythm Society
and the Taiwan Society of Cardiology for the Management of Atrial Fibrillation.
This guideline presents the details of the updated recommendations, along with
their background and rationale, focusing on data unique for Asians. The
guidelines are not mandatory, and members of the writing committee fully realize
that treatment of AF should be individualized. The physician's decision remains
most important in AF management.
PMID- 27890388
TI - Uganda experience-Using cost assessment of an established registry to project
resources required to expand cancer registration.
AB - BACKGROUND: The objectives of this study are (1) to estimate the cost of
operating the Kampala Cancer Registry (KCR) and (2) to use cost data from the KCR
to project the resource needs and cost of expanding and sustaining cancer
registration in Uganda, focusing on the recently established Gulu Cancer Registry
(GCR) in rural Northern Uganda. METHODS: We used Centers for Disease Control and
Prevention's (CDC's) International Registry Costing Tool (IntRegCosting Tool) to
estimate the KCR's activity-based cost for 2014. We grouped the registry
activities into fixed cost, variable core cost, and variable other cost
activities. After a comparison KCR and GCR characteristics, we used the cost of
the KCR to project the likely ongoing costs for the new GCR. RESULTS: The KCR
incurred 42% of its expenditures in fixed cost activities, 40% for variable core
cost activities, and the remaining 18% for variable other cost activities. The
total cost per case registered was 28,201 Ugandan shillings (approximately US $10
in 2014) to collect and report cases using a combination of passive and active
cancer data collection approaches. The GCR performs only active data collection,
and covers a much larger area, but serves a smaller population compared to the
KCR. CONCLUSION: After identifying many differences between KCR and GCR that
could potentially affect the cost of registration, our best estimate is that the
GCR, though newer and in a rural area, should require fewer resources than the
KCR to sustain operations as a stand-alone entity. The optimal structure of the
GCR needs to be determined in the future.
PMID- 27890389
TI - Heparanase confers a growth advantage to differentiating murine embryonic stem
cells, and enhances oligodendrocyte formation.
AB - Heparan sulfate proteoglycans (HSPGs), ubiquitous components of mammalian cells,
play important roles in development and homeostasis. These molecules are located
primarily on the cell surface and in the pericellular matrix, where they interact
with a multitude of macromolecules, including many growth factors. Manipulation
of the enzymes involved in biosynthesis and modification of HSPG structures
alters the properties of stem cells. Here, we focus on the involvement of
heparanase (HPSE), the sole endo-glucuronidase capable of cleaving of HS, in
differentiation of embryonic stem cells into the cells of the neural lineage.
Embryonic stem (ES) cells overexpressing HPSE (Hpse-Tg) proliferated more rapidly
than WT ES cells in culture and formed larger teratomas in vivo. In addition,
differentiating Hpse-Tg ES cells also had a higher growth rate, and
overexpression of HPSE in NSPCs enhanced Erk and Akt phosphorylation. Employing a
two-step, monolayer differentiation, we observed an increase in HPSE as wild-type
(WT) ES cells differentiated into neural stem and progenitor cells followed by
down-regulation of HPSE as these NSPCs differentiated into mature cells of the
neural lineage. Furthermore, NSPCs overexpressing HPSE gave rise to more
oligodendrocytes than WT cultures, with a concomitant reduction in the number of
neurons. Our present findings emphasize the importance of HS, in neural
differentiation and suggest that by regulating the availability of growth factors
and, or other macromolecules, HPSE promotes differentiation into
oligodendrocytes.
PMID- 27890390
TI - World Association of Sleep Medicine (WASM) 2016 standards for recording and
scoring leg movements in polysomnograms developed by a joint task force from the
International and the European Restless Legs Syndrome Study Groups (IRLSSG and
EURLSSG).
AB - This report presents the results of the work by a joint task force of the
International and European Restless Legs Syndrome Study Groups and World
Association of Sleep Medicine that revised and updated the current standards for
recording and scoring leg movements (LM) in polysomnographic recordings (PSG).
First, the background of the decisions made and the explanations of the new rules
are reported and then specific standard rules are presented for recording,
detecting, scoring and reporting LM activity in PSG. Each standard rule has been
classified with a level of evidence. At the end of the paper, Appendix 1 provides
algorithms to aid implementation of these new standards in software tools. There
are two main changes introduced by these new rules: 1) Candidate LM (CLM), are
any monolateral LM 0.5-10 s long or bilateral LM 0.5-15 s long; 2) periodic LM
(PLM) are now defined by runs of at least four consecutive CLM with an
intermovement interval >=10 and <= 90 s without any CLM preceded by an interval
<10 s interrupting the PLM series. There are also new options defining CLM
associated with respiratory events. The PLM rate may now first be determined for
all CLM not excluding any related to respiration (providing a consistent number
across studies regardless of the rules used to define association with
respiration) and, subsequently, the PLM rate should also be calculated without
considering the respiratory related events. Finally, special considerations for
pediatric studies are provided. The expert visual scoringof LM has only been
altered by the new standards to require accepting all LM > 0.5 s regardless of
duration, otherwise the technician scores the LM as for the old standards. There
is a new criterion for the morphology of LM that applies only to computerized LM
detection to better match expert visual detection. Available automatic scoring
programs will incorporate all the new rules so that the new standards should
reduce technician burden for scoring PLMS.
PMID- 27890391
TI - Public reporting of hospital quality shows inconsistent ranking results.
AB - BACKGROUND: Evidence from the US has demonstrated that hospital report cards
might generate confusion for consumers who are searching for a hospital. So far,
little is known regarding hospital ranking agreement on German report cards as
well as underlying factors creating disagreement. OBJECTIVE: This study examined
the consistency of hospital recommendations on German hospital report cards and
discussed underlying reasons for differences. METHODS: We compared hospital
recommendations for three procedures on four German hospital report cards. The
agreement between two report cards was determined by Cohen's-Kappa. Fleiss' kappa
was applied to evaluate the overlap across all four report cards. RESULTS:
Overall, 43.40% of all hospitals were labeled equally as low, middle, or top
performers on two report cards (hip replacement: 43.2%; knee replacement: 42.8%;
percutaneous coronary intervention: 44.3%). In contrast, 8.5% of all hospitals
were rated a top performer on one report card and a low performer on another
report card. The inter-report card agreement was slight at best between two
report cards (kappamax=0.148) and poor between all four report cards
(kappamax=0.111). CONCLUSIONS: To increase the benefit of public reporting,
increasing the transparency about the concept of - medical - "quality" that is
represented on each report card seems to be important. This would help patients
and other consumers use the report cards that most represent one's individual
preferences.
PMID- 27890392
TI - Developments in home-care use. Policy and changing community-based care use by
independent community-dwelling adults in the Netherlands.
AB - Reforms have recently been introduced in the Dutch care system in order to
constrain the rising expenditure on long-term care. In this study we examined
changes in community-based care use between 2004 and 2011 and changes in the
explanatory effects of its determinants (health, personal and facilitating
factors) that may result from these reforms. The study drew on care use
registration data linked to data from national health surveys and income data
from the tax authorities. Changes in community-based care use determined by
health, personal and facilitating factors between 2004 and 2011 were studied.
Changes in determinants were investigated by incorporating time-interaction terms
of each determinant in logistic regression models. The main findings show among
other things that the use of community-based care did not increase between 2004
and 2011 if allowances made for the increase in the number of (elderly) older
people. The role of income and household composition has changed the most (and to
a lesser extent the role of age and physical impairments). Care use decreased
among individuals with high incomes and increased among single persons. The
changes in community-based care use and in the role of income and household
composition could be due to changes in eligibility for care, in which the
applicant's personal situation is given a more prominent role alongside health
considerations.
PMID- 27890393
TI - Primary care managers' perceptions of their capability in providing care planning
to patients with complex needs.
AB - OBJECTIVES: The aim of this study was to investigate primary care managers'
perceptions of their capability in providing care planning to patients with
complex needs. Care planning is defined as a process where the patient, family
and health professionals engage in dialogue about the patient's care needs and
plan care interventions together. METHODS: Semi-structured interviews with 18
primary care managers in western Sweden were conducted using Westrin's
theoretical cooperation model. Data were analysed using a qualitative deductive
method. MAIN FINDINGS: Results reveal that the managers' approach to care
planning was dominated by non-cooperation and separation. The managers were
permeated by uncertainty about the meaning of the task of care planning as such.
They did not seem to be familiar with the national legislation stipulating that
every healthcare provider must meet patients' need for care interventions and
participate in the care planning. IMPLICATIONS FOR PRACTICE: To accomplish care
planning, the process needs to cross - and overcome - both professional and
organisational boundaries. There is also a need for incentives to develop working
methods that promote local cooperation in order to facilitate optimal care for
patients with complex needs.
PMID- 27890394
TI - Purchase habits, use of paracetamol, and information sources on a reregulated
Swedish pharmacy market: A population-based study.
AB - Considering the general lack of knowledge on how over-the-counter paracetamol is
used combined with the reported increase in paracetamol poisonings after the
reregulation of the Swedish pharmacy market in 2009, we aimed to analyze purchase
habits and use of paracetamol in Sweden. A further aim was to investigate sources
of information about paracetamol. Data were collected in October 2015 through the
Citizen Panel, a Web-based panel encompassing over 50,000 Swedes. A stratified
sample of 6000 (aged 18 years and older) was emailed a survey invitation.
Questions concerned paracetamol use, purchase habits and information sources. The
participation rate was 58%. A majority (70.5%) reported use of paracetamol during
the last three months. Purchasing paracetamol solely over-the-counter was most
common (81.1%). Close to two-thirds usually purchased paracetamol at a pharmacy.
However, it was more common to purchase OTC paracetamol at non-pharmacy outlets
among younger compared to older respondents. The results of this study did not
reveal any harmful paracetamol use. The most common information source overall
was patient information leaflets, and it was significantly more common among the
youngest compared to older subjects. Based on our results combined with previous
research, we suggest more studies, both qualitative and quantitative, among young
adults, both on the use of paracetamol and on the understanding of information in
patient information leaflets and on the Internet.
PMID- 27890395
TI - The recommodification of healthcare? A case study of user charges and
inequalities in access to healthcare in Sweden 1980-2005.
AB - BACKGROUND: User charges in Swedish healthcare have increased during recent
decades. This can be seen in terms of the recommodification of healthcare: making
healthcare access more dependent on market position. This study investigates
whether the increase in user charges had an impact on educational inequalities in
access to healthcare in Sweden between 1980 and 2005. METHODS: Data from the
Swedish Living Conditions Survey were used to calculate the odds ratios of access
to healthcare for the low and higher educated in Sweden, and the results were
stratified by health status (Good and Not good health) for each year 1980-2005.
These odds ratios were correlated with the average user charge for healthcare.
RESULTS: There were no educational differences in healthcare access in the group
with Good health. In the group with Not good health, the higher educated had
higher rates of healthcare access than the lower educated. Inequalities in access
to healthcare were relatively stable over time, with a slight increase among
those with Not good health. DISCUSSION: Recommodification has had only a small
association with access to healthcare in Sweden. The Swedish system has integral
protections that protect the vulnerable against rising healthcare costs. This is
an important caveat for other countries that are considering introducing or
raising user charges.
PMID- 27890396
TI - Structure-based design of broadly protective group a streptococcal M protein
based vaccines.
AB - BACKGROUND: A major obstacle to the development of broadly protective M protein
based group A streptococcal (GAS) vaccines is the variability within the N
terminal epitopes that evoke potent bactericidal antibodies. The concept of M
type-specific protective immune responses has recently been challenged based on
the observation that multivalent M protein vaccines elicited cross-reactive
bactericidal antibodies against a number of non-vaccine M types of GAS.
Additionally, a new "cluster-based" typing system of 175M proteins identified a
limited number of clusters containing closely related M proteins. In the current
study, we used the emm cluster typing system, in combination with computational
structure-based peptide modeling, as a novel approach to the design of
potentially broadly protective M protein-based vaccines. METHODS: M protein
sequences (AA 16-50) from the E4 cluster containing 17 emm types of GAS were
analyzed using de novo 3-D structure prediction tools and the resulting
structures subjected to chemical diversity analysis to identify sequences that
were the most representative of the 3-D physicochemical properties of the M
peptides in the cluster. Five peptides that spanned the range of physicochemical
attributes of all 17 peptides were used to formulate synthetic and recombinant
vaccines. Rabbit antisera were assayed for antibodies that cross-reacted with E4
peptides and whole bacteria by ELISA and for bactericidal activity against all
E4GAS. RESULTS: The synthetic vaccine rabbit antisera reacted with all 17 E4M
peptides and demonstrated bactericidal activity against 15/17 E4GAS. A
recombinant hybrid vaccine containing the same E4 peptides also elicited
antibodies that cross-reacted with all E4M peptides. CONCLUSIONS: Comprehensive
studies using structure-based design may result in a broadly protective M peptide
vaccine that will elicit cluster-specific and emm type-specific antibody
responses against the majority of clinically relevant emm types of GAS.
PMID- 27890397
TI - A general measles vaccination campaign in urban Guinea-Bissau: Comparing child
mortality among participants and non-participants.
AB - BACKGROUND: Measles vaccination campaigns targeting children aged 9-59months are
conducted every three years in Guinea-Bissau. Studies have demonstrated
beneficial non-specific effects of measles vaccine. We compared mortality one
year after the December 2012 measles vaccination campaign in Bissau city for
children who received campaign measles vaccine with children who did not receive
campaign measles vaccine. METHODS: Field workers from Bandim Health Project
registered all children living in the Bandim Health Project's study area who
received measles vaccination at the campaign posts. Children not seen during the
campaign were visited at home and campaign participation status was assessed. We
compared mortality rates of participants vs. non-participants in Cox regression
models. RESULTS: 5633 children aged 9-59months (85%) received campaign measles
vaccination and 1006 (15%) did not. During the subsequent year 16 children died.
Adjusted for background factors, the hazard ratio (HR) comparing measles
vaccinated versus unvaccinated was 0.28 (95% CI: 0.10-0.77). The benefit was
larger for girls (HR: 0.17 (0.05-0.59)) and for children who had received routine
measles vaccine before the campaign (HR: 0.15 (0.04-0.63)). CONCLUSIONS: We found
indications of strong beneficial non-specific effects of receiving measles
vaccine during the 2012 campaign, especially for girls and children with previous
routine measles vaccination. Measles vaccination campaigns may be an effective
way of improving child survival.
PMID- 27890398
TI - Influenza vaccination in people with type 2 diabetes, coverage, predictors of
uptake, and perceptions. Result of the MADIABETES cohort a 7years follow up
study.
AB - OBJECTIVES: We aim to determine influenza vaccination uptake among people with
diabetes included in the MADIABETES cohort study in order to identify predictors
of uptake and to analyze reasons for adherence and non-adherence with
vaccination. METHODS: Using data from the MADIABETES Study we conducted a
retrospective case record form based study without controls. We included
outpatients with type 2 diabetes mellitus. Information was obtained from
computerized clinical records and by telephone survey. The main dependent
variables were influenza vaccination uptake in the year 2013 and the reason for
receiving or refusing vaccination. RESULTS: Overall, 65.7% had received the
influenza vaccine in 2013. The mean number of influenza vaccines received from
2007 to 2013 was 3.24 (SD1.15), although 19.23% had not received any influenza
vaccine and 23.3% had been vaccinated against pneumococcus. The variables that
increased the probability of being vaccinated were inclusion in the age-based
recommendation (?60years), having a chronic respiratory disease, previous
pneumococcal vaccination, higher number of visits to the general practitioner,
higher number of influenza vaccines, and longer time since diabetes diagnosis. A
higher mean glycated haemoglobin value in 2013 was associated with a reduced
probability of vaccination. Most patients (90%) agreed to be vaccinated following
their physician's advice because of their age or their chronic conditions. The
most common reason for refusal among men was the belief that they were not at
risk (41.6% vs. 29.79% in women); the most common reason for refusal among women
was fear of adverse reactions (32.53% vs. 20.23% in men). CONCLUSIONS: The uptake
of influenza vaccination among diabetic patients in the present study was below
desirable levels. The main barrier to vaccination was lack of knowledge regarding
the need for and risks and advantages of influenza vaccination. Healthcare
professionals should educate and encourage influenza vaccination among people
with diabetes.
PMID- 27890399
TI - A partially purified outer membrane protein VirB9-1 for low-cost nanovaccines
against Anaplasma marginale.
AB - Anaplasma marginale is a devastating tick-borne pathogen causing anaplasmosis in
cattle and results in significant economic loss to the cattle industry worldwide.
Currently, there is no widely accepted vaccine against A. marginale. New
generation subunit vaccines against A. marginale, which are much safer, more
efficient and cost-effective, are in great need. The A. marginale outer membrane
protein VirB9-1 is a promising antigen for vaccination. We previously have shown
that soluble recombinant VirB9-1 protein can be expressed and purified from
Escherichia coli and induce a high level of humoral and cellular immunity in
mice. In this study, we re-formulated the nanovaccines using the partially
purified VirB9-1 protein as the antigen and hollow nano-size silica vesicles (SV
100) as the adjuvant. We simplified the purification method to obtain the
partially-purified antigen VirB9-1 with a six-fold higher yield. The new
formulations using the partially-purified VirB9-1 protein achieved higher
antibody and cell-mediated immune responses compared to the purified ones. This
finding suggests that the partially-purified VirB9-1 protein performs better than
the purified ones in the vaccination against A. marginale, and a certain level of
contaminants in the protein antigen can be self-adjuvant and boost immunogenicity
together with the nanoparticle adjuvant. This may lead to finding a "Goldilocks"
level of contaminants. The new nanovaccine formulation using partially-purified
antigens along with nanoparticle adjuvants offers an alternative strategy for
making cheaper veterinary vaccines.
PMID- 27890400
TI - Country specific predictions of the cost-effectiveness of malaria vaccine
RTS,S/AS01 in endemic Africa.
AB - BACKGROUND: RTS,S/AS01 is a safe and moderately efficacious vaccine considered
for implementation in endemic Africa. Model predictions of impact and cost
effectiveness of this new intervention could aid in country adoption decisions.
METHODS: The impact of RTS,S was assessed in 43 countries using an ensemble of
models of Plasmodium falciparum epidemiology. Informed by the 32months follow-up
data from the phase 3 trial, vaccine effectiveness was evaluated at country
levels of malaria parasite prevalence, coverage of control interventions and
immunization. Benefits and costs of the program incremental to routine malaria
control were evaluated for a four dose schedule: first dose administered at six
months, second and third - before 9months, and fourth dose at 27months of age.
Sensitivity analyses around vaccine properties, transmission, and economic inputs
were conducted. RESULTS: If implemented in all 43 countries the vaccine has the
potential to avert 123 (117;129) million malaria episodes over the first 10years.
Burden averted averages 18,413 (range of country median estimates 156-40,054)
DALYs per 100,000 fully vaccinated children with much variation across settings
primarily driven by differences in transmission intensity. At a price of $5 per
dose program costs average $39.8 per fully vaccinated child with a median cost
effectiveness ratio of $188 (range $78-$22,448) per DALY averted; the ratio is
lower by one third - $136 (range $116-$220) - in settings where parasite
prevalence in children aged 2-10years is at or above 10%. CONCLUSION:
RTS,S/AS01has the potential to substantially reduce malaria burden in children
across Africa. Conditional on assumptions on price, coverage, and vaccine
properties, adding RTS,S to routine malaria control interventions would be highly
cost-effective. Implementation decisions will need to further consider
feasibility of scaling up existing control programs, and operational constraints
in reaching children at risk with the schedule.
PMID- 27890401
TI - Corrigendum to "Increased diffusivity in gray matter in recent onset
schizophrenia is associated with clinical symptoms and social cognition"
[Schizophr. Res. 176 (2-3) (Oct 2016) 144-150].
PMID- 27890402
TI - Multi-elemental analysis of human lung samples using inductively coupled plasma
mass spectrometry.
AB - The aim of this study was to establish concentrations of a wide range of elements
in human lung samples to allow better identification of potential exposures in
subsequent cases. This study reports concentrations of 48 elements (Al, As, Au,
B, Ba, Be, Bi, Br, Cd, Ce, Co, Cr, Cs, Cu, Fe, Ga, Gd, Ge, Hf, Hg, In, Li, Mn,
Mo, Nb, Ni, Os, Pb, Pd, Pt, Rb, Re, Ru, Sb, Se, Sm, Sn, Sr, Ta, Te, Ti, Tl, Tm,
V, W, Y, Zn and Zr) in fresh lung tissue samples from 54 hospital patients, of
which 93% exhibited various forms of neoplasia. The lung samples were taken from
unaffected, background tissue. The samples were stored as fresh tissue in
alcohol, dried and microwave digested before analysis by inductively coupled mass
spectrometry (ICP-MS). It was possible to establish 95th percentiles for all
elements except for rhenium and for 40 elements mixed effects modelling was
undertaken. Overall, the levels reported are commensurate with ranges for those
elements that had been reported previously. The data were examined for gender,
smoking and occupational exposures to metals. The results show that males have
higher lung concentrations of Ni, Cr, Gd, Au and Be than females, but
significantly lower lung concentrations of Co, Sn, W and In. Cadmium lung
concentrations were significantly higher in smokers. Platinum lung concentrations
were higher in those who had undergone chemotherapy and gadolinium concentrations
were predictably high in those who had undergone imaging scans. More essential
elements such as Cu, Br, Fe and also Ge varied the least within lung samples from
individuals whilst Be, Hf and Pt had the greatest variances. Between individuals
V and Li lung concentrations varied the most, whilst Cu varied least. Analysis of
the data for those who reported as having previously worked with metals showed 24
of the 48 elements determined were higher than those from those who had not
reported working with metals.
PMID- 27890403
TI - Isoelectric points and points of zero charge of metal (hydr)oxides: 50years after
Parks' review.
AB - The pH-dependent surface charging of metal (hydr)oxides is reviewed on the
occasion of the 50th anniversary of the publication by G.A. Parks: "Isoelectric
points of solid oxides, solid hydroxides, and aqueous hydroxo complex systems" in
Chemical Reviews. The point of zero charge (PZC) and isoelectric point (IEP)
became standard parameters to characterize metal oxides in aqueous dispersions,
and they define adsorption (surface excess) of ions, stability against
coagulation, rheological properties of dispersions, etc. They are commonly used
in many branches of science including mineral processing, soil science, materials
science, geochemistry, environmental engineering, and corrosion science. Parks
established standard procedures and experimental conditions which are required to
obtain reliable and reproducible values of PZC and IEP. The field is very active,
and the number of related papers exceeds 300 a year, and the standards
established by Parks remain still valid. Relevant experimental techniques
improved over the years, especially the measurements of electrophoretic mobility
became easier and more reliable, are the numerical values of PZC and IEP compiled
by Parks were confirmed by contemporary publications with a few exceptions. The
present paper is an up-to-date compilation of the values of PZC and IEP of metal
oxides. Unlike in former reviews by the same author, which were more
comprehensive, only limited number of selected results are presented and
discussed here. On top of the results obtained by means of classical methods
(titration and electrokinetic methods), new methods and correlations found over
the recent 50years are presented.
PMID- 27890404
TI - Incomplete Urethral Duplication Associated with a Dermoid Cyst in a Dog with
Urinary Obstruction.
AB - A 20-month-old male miniature dachshund was evaluated for a 10-week history of
intermittent stranguria, pollakiuria, haematuria and obstructive urolithiasis.
Retrograde urethrocystography revealed a subcutaneous saccular structure in the
perineal area connected to the intrapelvic urethra associated with urolithiasis.
After excision of the perineal saccular structure, microscopical examination
confirmed the presence of transitional epithelium lining the diverticulum, with
isolated submucosal smooth muscle bundles. This structure was attached to another
saccular structure lined by stratified squamous keratinizing epithelium with hair
follicles, sebaceous glands and apocrine glands. An incomplete urethral
duplication with dermoid cyst was diagnosed. The dog recovered uneventfully from
surgery and was still urinary continent and free from clinical signs 5 months
after surgery. To the authors' knowledge this is the first report of an
incomplete urethral duplication with a dermoid cyst and concurrent obstructive
urolithiasis in a dog.
PMID- 27890405
TI - Platelets Inhibit Migration of Canine Osteosarcoma Cells.
AB - The interaction between platelets and tumour cells is important for tumour growth
and metastasis. Thrombocytopenia or antiplatelet treatment negatively impact on
cancer metastasis, demonstrating potentially important roles for platelets in
tumour progression. To our knowledge, there is no information regarding the role
of platelets in cancer progression in dogs. This study was designed to test
whether canine platelets affected the migratory behaviour of three canine
osteosarcoma cell lines and to give insights of molecular mechanisms. Intact
platelets, platelet lysate and platelet releasate inhibited the migration of
canine osteosarcoma cell lines. Addition of blood leucocytes to the platelet
samples did not alter the inhibitory effect on migration. Platelet treatment also
significantly downregulated the transcriptional levels of SNAI2 and TWIST1 genes.
The interaction between canine platelets or molecules released during platelet
activation and these tumour cell lines inhibits their migration, which suggests
that canine platelets might antagonize metastasis of canine osteosarcoma. This
effect is probably due to, at least in part, downregulation of genes related to
epithelial-mesenchymal transition.
PMID- 27890406
TI - Cutaneous Histiocytic Sarcoma with Regional Lymph Node Metastasis in a Netherland
Dwarf Rabbit (Oryctolagus cuniculus).
AB - A 10-year-old male Netherland dwarf rabbit (Oryctolagus cuniculus) was presented
with a red nodular mass (1 cm in diameter) with ulceration and hair loss in the
skin of the left upper lip. Cytological examination revealed atypical round
cells. The mass was excised surgically. Histologically, the mass was composed of
large round to polyhedral neoplastic cells with marked cytological atypia. The
neoplastic cells were often binucleated or multinucleated. Immunohistochemically,
the neoplastic cells were intensely positive for Iba1 and vimentin, but fewer
neoplastic cells expressed E-cadherin. Nuclear immunoreactivity for Ki67 was
detected in approximately 41% of the neoplastic cells. Metastasis to the left
cervical lymph nodes was detected 6 months after the surgical excision. Based on
clinical, histopathological and immunohistochemical findings, the present case
was diagnosed as cutaneous histiocytic sarcoma. To the authors' knowledge
cutaneous histiocytic disease has not been reported previously in lagomorphs.
PMID- 27890407
TI - Postoperative Tachycardia: Clinically Meaningful or Benign Consequence of
Orthopedic Surgery?
AB - OBJECTIVE: To determine the clinical significance of tachycardia in the
postoperative period. PATIENTS AND METHODS: Individuals 18 years or older
undergoing hip and knee arthroplasty were included in the study. Two data sets
were collected from different time periods: development data set from January 1,
2011, through December 31, 2011, and validation data set from December 1, 2012,
through September 1, 2014. We used the development data set to identify the
optimal definition of tachycardia with the strongest association with the
vascular composite outcome (pulmonary embolism and myocardial necrosis and
infarction). The predictive value of this definition was assessed in the
validation data set for each outcome of interest, pulmonary embolism, myocardial
necrosis and infarction, and infection using multiple logistic regression to
control for known risk factors. RESULTS: In 1755 patients in the development data
set, a maximum heart rate (HR) greater than 110 beats/min was found to be the
best cutoff as a correlate of the composite vascular outcome. Of the 4621
patients who underwent arthroplasty in the validation data set, 40 (0.9%) had
pulmonary embolism. The maximum HR greater than 110 beats/min had an odds ratio
(OR) of 9.39 (95% CI, 4.67-18.87; sensitivity, 72.5%; specificity, 78.0%;
positive predictive value, 2.8%; negative predictive value, 99.7%) for pulmonary
embolism. Ninety-seven patients (2.1%) had myocardial necrosis (elevated
troponin). The maximum HR greater than 110 beats/min had an OR of 4.71 (95% CI,
3.06-7.24; sensitivity, 47.4%; specificity, 78.1%; positive predictive value,
4.4%; negative predictive value, 98.6%) for this outcome. Thirteen (.3%) patients
had myocardial infarction according to our predetermined definition, and the
maximum HR greater than 110 beats/min had an OR of 1.72 (95% CI, 0.47-6.27).
CONCLUSION: Postoperative tachycardia within the first 4 days of surgery should
not be dismissed as a postoperative variation in HR, but may precede clinically
significant adverse outcomes.
PMID- 27890408
TI - MBTH: A novel approach to rapid, spectrophotometric quantitation of total algal
carbohydrates.
AB - A high-throughput and robust application of the 3-methyl-2-benzothiazolinone
hydrazone (MBTH) method was developed for carbohydrate determination in
microalgae. The traditional phenol-sulfuric acid method to quantify carbohydrates
is strongly affected by algal biochemical components and exhibits a highly
variable response to microalgal monosaccharides. We present a novel use of the
MBTH method to accurately quantify carbohydrates in hydrolyzate after acid
hydrolysis of algal biomass, without a need for neutralization. The MBTH method
demonstrated consistent and sensitive quantitation of algae-specific
monosaccharides down to 5 MUg mL-1 without interference from other algae acidic
hydrolyzate components.
PMID- 27890409
TI - Geochemistry of arsenic in low sulfide-high carbonate coal waste rock, Elk
Valley, British Columbia, Canada.
AB - This study investigated the geochemistry of arsenic (As) in low sulfide-high
carbonate coal waste rock of the Elk Valley, British Columbia, Canada. Its
abundance and mineralogical associations in waste rock of different placement
periods were determined in addition to its mobilization into porewater and rock
drain effluent. The mean (5.34mg/kg; 95% confidence interval: 4.95-5.73mg/kg) As
concentration in the waste rock was typical of sedimentary rock. Electron
microprobe and As K-edge X-ray absorption near-edge spectroscopic analyses showed
the As is predominantly associated with primary pyrites in both source and
freshly blasted waste rock. However, in aged waste rock the As is associated with
both primary pyrites and secondary Fe oxyhydroxides. Oxidation of pyrite in waste
rock dumps was reflected by the presence of high concentrations of SO42- in
porewater and oxidation rims of Fe oxyhydroxides around pyrite grains. Acid
released from pyrite oxidation to Fe oxyhydroxides is neutralized by carbonate
mineral dissolution that buffers the pH in the waste rock to circumneutral
values. Adsorption of As onto secondary Fe oxyhydroxides provides an internal
geochemical control on As release during pyrite oxidation and porewater flushing
from the dump, resulting in the low As concentrations observed in porewater
(median: 9.91MUg/L) and rock-drain effluent (median: 0.31MUg/L). Secondary Fe
oxyhydroxides act as a long-term sink for As under present day hydrologic
settings in waste rock dumps in the Elk Valley.
PMID- 27890410
TI - Extraction techniques using isopropanol and Tenax to characterize polycyclic
aromatic hydrocarbons bioavailability in sediment.
AB - Polycyclic aromatic hydrocarbon (PAH)-degrading bacterium strain J1-q
(Sphingomonas pseudosanguinis strain J1-q) was isolated from Yangtze River
surface sediment in the downtown area of Chongqing in a previous study.
Isopropanol and Tenax extraction techniques were used to characterize the
bioavailability of target PAH compounds. Phenanthrene (Phe) and fluoranthene
(Fluo) were the target PAHs due to their significant background concentrations in
surface sediment samples. Isopropanol solutions at concentrations of 50-100% and
residual Phe and Fluo concentrations in sediment were correlated, with R2 values
of 0.9846 and 0.9649, respectively. The quantities of the Phe and Fluo fractions
extracted for 3days with isopropanol from sediment were closely related with the
corresponding quantities of PAHs degraded by bacterial strain J1-q when the
extracting concentrations were 55% and 80%, respectively. The quantity of Phe
extracted by Tenax agreed with the total quantity biodegraded when the Tenax:
sediment mass ratio was 0.25 and the target PAHs were degraded for 30d, whereas
the extracted quantity of Fluo accounted for 93.30% of the total quantity
biodegraded by the bacterium. The triphasic model was appropriate to simulate the
consecutive Phe and Fluo extraction process using Tenax at various Tenax:
sediment ratios, and all simulated correlation coefficients were >0.9151. A 24-h
extraction period was adequate to estimate the rapidly desorbing fractions when
they were extracted with Tenax. Isopropanol extraction was preferable to
characterize Phe and Fluo bioavailability under the experimental conditions,
whereas Tenax extraction was useful to predict bioavailability of the two target
PAHs with particular selectivity.
PMID- 27890411
TI - Effect of hydrolysis of N2O5 on nitrate and ammonium formation in Beijing China:
WRF-Chem model simulation.
AB - Beijing, the capital of China, is a mega city with a population of >20 million.
In recent years, the city has experienced heavy air pollution, with particulate
matter (PM) being one of its top pollutants. In the last decade, extensive
efforts have been made to characterize the sources, properties, and processes of
PM in Beijing. Despite progress made by previous studies, there are still some
important questions to be answered and addressed. The focus of this research is
to study the impact of the heterogeneous hydrolysis of N2O5 on the formation of
nitrate (NO3-) and ammonium (NH4+) in Beijing. The results show that during heavy
pollution days (e.g., during 14-17 September 2015, with PM2.5 concentration over
100MUg/m3), the concentrations of NO2 and O3 were high, with maxima of 90 and
240MUg/m3, respectively, providing high precursors for the formation of N2O5. In
addition, the aerosol and sulfate concentrations were also high, with maxima of
201MUg/m3 and 23MUg/m3 respectively, providing reacting surface for the
heterogeneous reaction. As a result, the hydrolysis of N2O5 led to 21.0%
enhancement of nitrate (NO3-) and 7.5% enhancement of ammonium (NH4+). It is
worth to note that this important effect only occurred in high pollution days
(PM2.5 concentration over 100MUg/m3). During low-pollution periods (PM2.5
concentration <100MUg/m3), the effect of hydrolysis of N2O5 on the formation of
nitrate and ammonium was insignificant (variation rate <5%). This study suggests
that during heavy pollution periods, the hydrolysis of N2O5 enhances the level of
aerosol pollution in Beijing, and needs to be further studied in order to perform
efficient air pollution control and mitigation strategies.
PMID- 27890412
TI - Spatial distributions of beta-cyclocitral and beta-ionone in the sediment and
overlying water of the west shore of Taihu Lake.
AB - After large-scale outbreaks of algal blooms in eutrophic water, considerable
amounts of algae residue accumulate in near-shore zones before fermenting rapidly
and becoming malodorous. Taste and odor pollution caused by secondary metabolites
from cyanobacterial blooms has become a serious and widespread environmental
problem. Two typical odorous compounds, beta-cyclocitral and beta-ionone, have
gained increasing attention in recent years. In this paper, the spatial
distributions of beta-cyclocitral and beta-ionone in the sediments and overlying
water off the west shore of Taihu Lake were investigated. The results showed that
beta-cyclocitral, beta-ionone and nutrients are released during the degradation
of fresh cyanobacteria, especially in the early stages. The odorous compounds and
nutrients greatly decreased as the depth of sediment increased, indicating that
reed roots can absorb beta-cyclocitral, beta-ionone and nutrients. Furthermore,
removing cyanobacteria and dredging sludge might reduce the release of beta
cyclocitral and beta-ionone.
PMID- 27890413
TI - Hydrological and depositional processes associated with recent glacier recession
in Yanamarey catchment, Cordillera Blanca (Peru).
AB - In this study, we investigate changes in the glaciated surface and the formation
of lakes in the headwater of the Querococha watershed in Cordillera Blanca (Peru)
using 24 Landsat images from 1975 to 2014. Information of glacier retreat was
integrated with available climate data, the first survey of recent depositional
dynamics in proglacial Yanamarey Lake (4600m a.s.l.), and a relatively short
hydrological record (2002-2014) at the outlet of Yanamarey Lake. A statistically
significant temperature warming (0.21 degrees C decade-1 for mean annual
temperature) has been detected in the region, and it caused a reduction of the
glacierized area since 1975 from 3.5 to 1.4km-2. New small lakes formed in the
deglaciated areas, increasing the flooded area from1.8ha in 1976 to 2.8ha in
2014. A positive correlation between annual rates of glacier recession and runoff
was found. Sediment cores revealed a high sedimentation rate (>1cmyr-1) and two
contrasted facies, suggesting a shift toward a reduction of meltwater inputs and
higher hydrological variability likely due to an increasing role of precipitation
on runoff during the last decades. Despite the age control uncertainties, the
main transition likely occurred around 1998-2000, correlating with the end of the
phase with maximum warming rates and glacier retreat during the 1980s and 1990s,
and the slowing down of expansion of surface lake-covered surface. With this
hydrological - paleolimnological approach we have documented the association
between recent climate variability and glacier recession and the rapid transfer
of hydroclimate signal to depositional and geochemical processes in high
elevation Andean environments. This, study also alerts about water quality risks
as proglacial lakes act as secondary reservoirs that trap trace and minor
elements in high altitude basins.
PMID- 27890414
TI - Developmental neurotoxicants in human milk: Comparison of levels and intakes in
three European countries.
AB - Developmental neurotoxicants (DNTs), such as methylmercury (MeHg),
polychlorinated biphenyls (PCBs) and selected organochlorine pesticides (OCPs),
have gained increasing interest recently due to their possible relation to
developmental disorders in children, which are increasing worldwide. We analyzed
levels of 14 developmental neurotoxicants in human milk samples from Slovakia
(n=37), the Netherlands (n=120) and Norway (n=388). Positive identification for
most target analytes was >95% in all samples. In all three countries MeHg was
measured for the first time in mother milk. The highest MeHg levels were observed
in Norway (39pgg-1 ww) with the highest fish consumption. Levels of indicator
PCBs (iPCBs, sum of PCB 28, 52, 101, 138, 153 and 180), HCB and DDE+DDT were 2-4
times higher in Slovakia compared to the Netherlands or Norway. The levels of
MeHg and organochlorine compounds were used for calculations of weekly or daily
intakes (top-down approach) by means of pharmacokinetic modeling. The intakes
ranged from 0.014 to 0.142MUgkgbw-1week-1 for MeHg and from 0.043 to 17.4ngkgbw
1day-1 for organochlorine compounds in all three countries. Intakes of iPCBs
exceeded a tolerable daily intake of 10ngkgbw-1day-1 in 16% of the Slovak
participants. The top-down estimates were compared with bottom-up intakes based
on national dietary estimates and the results showed good consistency between
both approaches, with the bottom-up intakes exceeding the top-down by a factor of
maximum 3.8 for iPCBs in the Netherlands and 3.9 for HCB in Slovakia. This
confirms that food consumption in all three countries represents the dominant
pathway of exposure to these developmental neurotoxicants.
PMID- 27890415
TI - Removing ammonium from water using modified corncob-biochar.
AB - Ammonium pollution in groundwater and surface water is of major concern in many
parts of the world due to the danger it poses to the environment and people's
health. This study focuses on the development of a low cost adsorbent,
specifically a modified biochar prepared from corncob. Evaluated here is the
efficiency of this new material for removing ammonium from synthetic water
(ammonium concentration from 10 to 100mg/L). The characteristics of the modified
biochar were determined by Brunauer-Emmett-Teller (BET) test, Fourier transform
infrared spectroscopy (FTIR) and Scanning electron microscopy (SEM). It was found
that ammonium adsorption on modified biochar strongly depended on pH. Adsorption
kinetics of NH4+-N using modified biochar followed the pseudo-second order
kinetic model. Both Langmuir and Sips adsorption isotherm models could simulate
well the adsorption behavior of ammonium on modificated biochar. The highest
adsorption capacity of 22.6mg NH4+-N/g modified biochar was obtained when the
biochar was modified by soaking it in HNO3 6M and NaOH 0.3M for 8h and 24h,
respectively. The high adsorption capacity of the modified biochar suggested that
it is a promising adsorbent for NH4+-N remediation from water.
PMID- 27890416
TI - Larix decidua delta18O tree-ring cellulose mainly reflects the isotopic signature
of winter snow in a high-altitude glacial valley of the European Alps.
AB - We analyzed the chronologies of cellulose stable isotopes (delta13C and delta18O)
and tree-ring widths from European larch (Larix decidua) in a high-altitude site
(2190ma.s.l.) at the bottom of a glacial valley in the Italian Alps, and
investigated their dependence on monthly meteorological variables and delta18O
precipitation values. The delta18O of tree-ring cellulose appears to be strongly
driven by the delta18O of winter snowfall (November to March), which suggests
that larch trees mostly use the snow-melt water of the previous winter during the
growing season. This water, which also comes from the slope streams and from the
underground flow of nearby steep slopes, infiltrates the soil in the valley
bottom. The tree-ring cellulose delta18O values were also found to be influenced
by the August precipitation delta18O and mean temperature. The associated
regression model shows that the delta18O chronology from the tree rings explains
up to 34% of the variance in the winter precipitation delta18O record,
demonstrating the potential for reconstructing the delta18O isotopic composition
of past winter precipitation in the study region. Unlike most other tree-ring
studies that focus on growing season signals, in our study the summer signal was
small and the winter signal dominant due to the special conditions of the glacial
valley. Site topography, geomorphology and soil characteristics in particular
influence the stable isotope signal in tree-ring cellulose.
PMID- 27890417
TI - Mycobacterium fortuitum empyema associated with an indwelling pleural catheter:
Case report and review of the literature.
AB - Mycobacterium fortuitum is a rapidly growing mycobacterium (RGM) that is an
uncommon cause of healthcare-associated infections. The most common infections
caused by M. fortuitum include skin, soft tissue, and catheter-related
infections. Although occasionally cultured from sputum samples, M. fortuitum is a
rare cause of pulmonary disease. We report a case of M. fortuitum empyema
associated with an infected pleural catheter and review M. fortuitum pulmonary
infections.
PMID- 27890418
TI - Comparative in vitro activity of oritavancin and other agents against methicillin
susceptible and methicillin-resistant Staphylococcus aureus.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) infections constitute a threat
to the public health due to their prevalence and associated mortality and
morbidity. Several agents have been recently approved to treat MRSA skin
infections including lipoglycopeptides (dalbavancin, oritavancin, and
telavancin), ceftaroline, and tedizolid. This study compared the MIC, minimum
bactericidal concentration (MBC), and time-kill of these agents alongside
daptomycin, linezolid, and vancomycin against MRSA (n=15); meropenem, cefazolin,
and nafcillin were also included against methicillin-susceptible S. aureus (MSSA
[n=12]). MIC and MBC testing was conducted in accordance with Clinical and
Laboratory Standards Institute guidelines, and time-kills were evaluated at
multiples of the MIC and the free-drug maximum plasma concentration (fCmax) at
both standard and high inoculum densities for a subset of MRSA (n=2) and MSSA
(n=2). MRSA and MSSA were highly susceptible to all agents, with the
lipoglycopeptides having the most potent activity by MIC50/90. All agents
excluding tedizolid and linezolid were bactericidal by MBC for MRSA and MSSA,
though dalbavancin and telavancin exhibited strain-specific bactericidal activity
for MRSA. All agents excluding tedizolid and linezolid were bactericidal by time
kill at their respective fCmax against MRSA and MSSA at standard inoculum
density, though oritavancin exhibited the most rapid bactericidal activity.
Oritavancin and daptomycin at their respective fCmax maintained similar kill
curves at high inoculum density. In contrast, the killing observed with other
agents was typically reduced or slowed at high inoculum density. These data
demonstrate the rapid bactericidal activity of oritavancin and daptomycin against
S. aureus relative to other MRSA agents regardless of bacterial burden.
PMID- 27890419
TI - In vitro activity of tigecycline alone and antimicrobial combinations against
clinical Neisseria gonorrhoeae isolates.
AB - In this study, we determined the in vitro activity of various combinations of
antimicrobial agents against 54 Neisseria gonorrhoeae isolates. The combined
activity of ceftriaxone (CRO) and azithromycin (AZM), CRO and doxycycline (DOX),
CRO and spectinomycin (SPT), cefixime (CFX) and AZM, CFX and DOX, and CFX and SPT
was determined using a checkerboard method. The fractional inhibitory
concentration index (FICI) values for all combinations were either additive or
indifferent, and no synergistic or antagonistic effects were found. The FICI
comparison in each combination did not show any difference according to the
N.gonorrhoeae-resistant phenotypes and genotypic characteristics, including
penicillinase-producing N. gonorrhoeae, tetracycline-resistant N. gonorrhoeae,
stratified MIC of all antibiotics, and N. gonorrhoeae multiantigen sequence
typing. MIC50 and MIC90 of tigecycline by agar dilution were 0.5 mg/L and 0.5
mg/L, respectively, which were lower than that of tetracycline and DOX.
Additive/indifference results could suggest that combinations that include CRO
may be used safely without a significant likelihood of generating resistance.
PMID- 27890420
TI - Blow, winds, and crack your cheeks!
PMID- 27890421
TI - Contrast-enhanced MDCT in patients with pancreatic neuroendocrine tumours:
correlation with histological findings and diagnostic performance in
differentiation between tumour grades.
AB - AIM: To identify the multidetector computed tomography (MDCT) features of
pancreatic neuroendocrine tumours (pNETs), which correlate with tumour histology
and enable preoperative grading. MATERIALS AND METHODS: Thirty-nine patients with
histologically confirmed pNET who underwent preoperative contrast-enhanced MDCT
were included in this study. Nineteen tumours were classified as Grade 1 (G1) and
20 as Grade 2 (G2). Histopathology slides were reviewed to assess the
intratumoural microvascular density (MVD) and the amount of tumour stroma.
Computed tomography (CT) image analysis included tumour size, margin delineation,
calcifications, homogeneity, contrast enhancement (CE) pattern, tumour absolute
and relative enhancement, presence of cystic changes, pancreatic duct dilatation,
regional and distant metastases. The diagnostic ability to predict tumour grade
was measured for each MDCT finding and their combinations. RESULTS: The mean
arterial enhancement ratio had a mean+/-standard deviation of 1.53+/-0.45 in G1
and 1.01+/-0.33 in G2 pNETs (p=0.0003) and correlated with intratumoural
microvascular density (MVD; r=0.55, p=0.0002). Tissue stroma percentage did not
correlate with imaging findings. Late CE of the tumour (the peak attenuation
observed in the venous phase) was significantly associated with G2. Tumour size
>20 mm, arterial enhancement ratio <1.1, and late CE showed 74.4%, 79.5%, and
74.4% accuracy, respectively, in diagnosing G2 tumours, while the accuracy of at
least two of these criteria used in combination was 82%. Based on these results,
a diagnostic algorithm was proposed, which showed high interobserver agreement
(k=0.82) in the prediction of tumour grade. CONCLUSION: Contrast-enhanced MDCT
features correlate with histological findings and enable the differentiation
between G1 and G2 pNETs during preoperative examination.
PMID- 27890422
TI - Treatment efficacy of CT-guided percutaneous microwave ablation for primary
hepatocellular carcinoma.
AB - AIM: To evaluate the treatment efficacy and potential complications of computed
tomography (CT)-guided microwave ablation for primary hepatocellular carcinoma
(HCC). MATERIALS AND METHODS: Data were collected and analysed from 220 HCC
patients treated with CT-guided microwave ablation and followed up for 2 years.
Independent risk factors for overall survival and progression-free survival (PFS)
were analysed. RESULTS: Among all cases followed, the cumulative overall survival
rates at 1 and 2 years were 95.45% and 89.09%. The cumulative 1- and 2-year PFS
rates were 80.90% and 62.73%. For patients with Barcelona Clinic Liver Cancer
(BCLC) class C (n=60), the cumulative 1- and 2-year overall survival rates were
89.09% and 74.54%. In this group, patients with tumour invasion of blood vessels
or tumour-related symptoms suffered a worse overall survival compared to those
without (p=0.011). No fatal complications were observed. Child-Pugh score
(p=0.030) and BCLC class (p=0.012) were independent risk factors for overall
survival. The number of tumour nodules was an independent risk factor for
reoccurrence. CONCLUSION: CT-guided percutaneous microwave ablation could be an
effective and safe treatment option for HCC patients.
PMID- 27890423
TI - Hepatic epithelioid angiomyolipoma: clinical features and imaging findings of
contrast-enhanced ultrasound and CT.
AB - AIM: To evaluate the clinical features and imaging findings of epithelioid
angiomyolipoma (EAML) on contrast-enhanced ultrasound (CEUS) and contrast
enhanced computed tomography (CECT). MATERIALS AND METHODS: During January 2006
to November 2015, six patients with histopathologically proven hepatic EAML were
evaluated using both CECT and ultrasonography. Six patients underwent CEUS and
four patients had CECT images. Patients' demographics, clinical presentations,
laboratory test results, and imaging appearances were collected and analysed.
RESULTS: Six patients were female, and the mean age was 43.5 years. All hepatic
EAMLs were solitary. None of patients had specific symptoms, and laboratory tests
were normal in all patients. The maximal diameter of the lesions ranged from 3.1
to 6.5 cm (mean 4.4 cm). Baseline ultrasound revealed that 50% of hepatic EAMLs
were hypo-echoic and 50% were mixed echoic. CEUS showed that 100% of the hepatic
EAMLs displayed homogeneous hyperenhancement in the arterial phase and 67% (4/6)
showed hypo-enhancement in the late phase. On CECT, 100% (4/4) of the neoplasms
were significantly hyperenhanced in the arterial phase and washout in the portal
phase. There was no recurrence or metastasis after a mean follow-up period of
11.8 months. CONCLUSION: Hepatic EAML shows similar enhancement patterns to that
of hepatocellular carcinoma. It should be considered as a possible differential
diagnosis in female patients without viral hepatitis infection.
PMID- 27890424
TI - Recent scenario of microRNA as diagnostic and prognostic biomarkers of prostate
cancer.
AB - Prostate cancer (CaP) is a leading cause of cancer death and displays a broad
range of clinical behavior from relatively indolent to aggressive metastatic
disease. Due to the alteration and incomplete characterization of the CaP genomic
markers, the quest for novel cellular metabolic regulatory molecules like micro
RNA (miRNA) as a biomarker could be considered for the prognosis and treatment of
CaP in future. In this article, we review the existing literature pertaining to
CaP. Study provides a comprehensive miRNA profile expressed in CaP. Beside the
miRNA expressed in the tumor tissue, circulating miRNAs have been found highly
stable and are both detectable and quantifiable in a range of accessible bio
fluids; therefore, miRNA has the potential to be useful diagnostic, prognostic
and predictive biomarker. Along with being an important molecule in modulation of
CaP progression, the miRNA have certain limitations such as lack of stable
expression of multiple target genes and often disrupt entire signaling networks
of cellular metabolic pathways. We conclude that: The alteration of miRNA and
their role played in cellular regulatory networks would be the next target of
basic research in CaP. The miRNAs identified may be validated and modeled to
understand their role in CaP, using bioinformatics. There is an immediate unmet
need in the translational approach of identified miRNAs. The characterization of
miRNAs involved in CaP is still incomplete: adequate validation studies are
required to corroborate current results.
PMID- 27890425
TI - The changing landscape in radiotherapy for breast cancer: Lessons from long term
follow-up in some European breast cancer trials.
AB - This review describes the developments in the radiation treatment of breast
cancer based on some randomized European trials during the past decades. It will
focus on the relevance of long term follow-up in breast cancer patients, starting
with the surprising and important change in treatment results during follow-up
shown in a locally advanced breast cancer trial. Breast conserving therapy (BCT)
in stage I and II breast cancer was explored and tested in a randomized trial to
prove equivalence between BCT and mastectomy. The positive outcome led to trials
in breast conserving therapy with lower doses and partial breast irradiation.
Finally the need for finding genetic profiles for predicting treatment response
will be addressed in a trial with preoperative partial breast irradiation.
PMID- 27890426
TI - Patient-reported quality of life after stereotactic body radiation therapy versus
moderate hypofractionation for clinically localized prostate cancer.
AB - BACKGROUND AND PURPOSE: Evaluate changes in bowel, urinary and sexual patient
reported quality of life following treatment with moderately hypofractionated
radiotherapy (<5Gray/fraction) or stereotactic body radiation therapy (SBRT;5
10Gray/fraction) for prostate cancer. MATERIALS AND METHODS: In a pooled multi
institutional analysis of men treated with moderate hypofractionation or SBRT, we
compared minimally detectable difference in bowel, urinary and sexual quality of
life at 1 and 2years using chi-squared analysis and logistic regression. RESULTS:
378 men received moderate hypofractionation compared to 534 men who received
SBRT. After 1year, patients receiving moderate hypofractionation were more likely
to experience worsening in bowel symptoms (39.5%) compared to SBRT (32.5%;
p=.06), with a larger difference at 2years (37.4% versus 25.3%, p=.002).
Similarly, patients receiving moderate fractionation had worsening urinary
symptom score compared to patients who underwent SBRT at 1 and 2years (34.7%
versus 23.1%, p<.001; and 32.8% versus 14.0%, p<.001). There was no difference in
sexual symptom score at 1 or 2years. After adjusting for age and cancer
characteristics, patients receiving SBRT were less likely to experience worsening
urinary symptom scores at 2years (odds ratio: 0.24[95%CI: 0.07-0.79]).
CONCLUSIONS: Patients who received SBRT or moderate hypofractionation have
similar patient-reported change in bowel and sexual symptoms, although there was
worse change in urinary symptoms for patients receiving moderate
hypofractionation.
PMID- 27890428
TI - Vancomycin-Resistant Enterococcus Colonization and Bacteremia and Hematopoietic
Stem Cell Transplantation Outcomes.
AB - The association between pre-hematopoietic stem cell transplantation (HSCT)
vancomycin-resistant Enterococcus (VRE) colonization, HSCT-associated VRE
bacteremia, and HSCT mortality is disputed. We studied 161 consecutive patients
with acute leukemia who underwent HSCT at our hospital between 2006 and 2014, of
whom 109 also received leukemia induction/consolidation on our unit. All
inpatients had weekly VRE stool surveillance. Pre-HSCT colonization was not
associated with increases in HSCT mortality but did identify a subgroup of HSCT
recipients with a higher risk for VRE bacteremia and possibly bacteremia from
other organisms. The major risk factor for pre-HSCT colonization was the number
of hospital inpatient days between initial admission for leukemia and HSCT. One
third of evaluable patients colonized before HSCT were VRE-culture negative on
admission for HSCT; these patients had an increased risk for subsequent VRE stool
surveillance positivity but not VRE bacteremia. Molecular typing of VRE isolates
obtained before and after HSCT showed that VRE strains frequently change.
Postengraftment VRE bacteremia was associated with a much higher mortality than
pre-engraftment VRE bacteremia. Pre-engraftment bacteremia from any organism was
associated with an alternative donor and resulted in an increase in hospital
length of stay and cost. Mortality was similar for pre-engraftment VRE bacteremia
and pre-engraftment bacteremia due to other organisms, but mortality associated
with post-engraftment VRE bacteremia was higher and largely explained by
associated severe graft-versus-host disease and relapsed leukemia. These data
emphasize the importance of distinguishing between VRE colonization before HSCT
and at HSCT, between pre-engraftment and postengraftment VRE bacteremia, and
between VRE bacteremia and bacteremia from other organisms.
PMID- 27890427
TI - Internal and external generalizability of temporal dose-response relationships
for xerostomia following IMRT for head and neck cancer.
AB - BACKGROUND AND PURPOSE: To study internal and external generalizability of
temporal dose-response relationships for xerostomia after intensity-modulated
radiotherapy (IMRT) for head and neck cancer, and to investigate potential
amendments of the QUANTEC guidelines. MATERIAL AND METHODS: Objective xerostomia
was assessed in 121 patients (nCohort1=55; nCohort2=66) treated to 70Gy@2Gy in
2006-2015. Univariate and multivariate analyses (UVA, MVA with 1000 bootstrap
populations) were conducted in Cohort1, and generalizability of the best
performing MVA model was investigated in Cohort2 (performance: AUC, p-values, and
Hosmer-Lemeshow p-values (pHL)). Ultimately and for clinical guidance, minimum
mean dose thresholds to the contralateral and the ipsilateral parotid glands
(Dmeancontra, Dmeanipsi) were estimated from the generated dose-response curves.
RESULTS: The observed xerostomia rate was 38%/47% (3months) and 19%/23% (11
12months) in Cohort1/Cohort2. Risk of xerostomia at 3months increased for higher
Dmeancontra and Dmeanipsi (Cohort1: 0.17.Dmeancontra+0.11.Dmeanipsi-8.13;
AUC=0.90+/-0.05; p=0.0002+/-0.002; pHL=0.22+/-0.23; Cohort2: AUC=0.81; p<0.0001;
pHL=0.27). The identified minimum Dmeancontra thresholds were lower than in the
QUANTEC guidelines (Cohort1/Cohort2: Dmeancontra=12/19Gy; Dmeancontra,
Dmeanipsi=16, 25/20, 26Gy). CONCLUSIONS: Increased Dmeancontra and Dmeanipsi
explain short-term xerostomia following IMRT. Our results also suggest decreasing
Dmeancontra to below 20Gy, while keeping Dmeanipsi to around 25Gy. Long-term
xerostomia was less frequent, and no dose-response relationship was established
for this follow-up time.
PMID- 27890429
TI - Knockdown of HIPK2 attenuates the pro-fibrogenic response of hepatic stellate
cells induced by TGF-beta1.
AB - Homeodomain-interacting protein kinase 2 (HIPK2), a member of HIPKs family, is
considered as a key regulator in fibrosis. However, the roles of HIPK2 in hepatic
stellate cells (HSCs) activation and liver fibrosis are still unclear. Therefore,
in this study, we investigated the roles of HIPK2 in HSCs activation and liver
fibrosis. Our results showed that HIPK2 expression was significantly up-regulated
in liver fibrotic tissues and TGF-beta1-treated HSCs. Knockdown of HIPK2
significantly inhibited TGF-beta1-induced HSCs proliferation, as well as
decreased the expression levels of alpha-SMA and collagen I. Furthermore,
knockdown of HIPK2 attenuated the phosphorylation of Smad3 in the presence of TGF
beta1. In conclusion, these results demonstrated that HIPK2 may function as a
novel regulator to modulate HSC activation, potentially by inhibiting the TGF
beta1/Smad3 signaling pathway. The results provide supporting evidence that HIPK2
may be a potential target for the treatment of liver fibrosis.
PMID- 27890430
TI - TAR DNA-binding protein 43 inhibits inflammatory response and protects
chondrocyte function by modulating RACK1 expression in osteoarthritis.
AB - BACKGROUND: TAR DNA-binding protein-43 (TDP-43, transactive response DNA binding
protein 43kDa) accumulates in the cytoplasm of affected neurons and glia, as
large inclusions of stress granules (SGs). However, the mechanism of TDP-43
interaction with the target genes and its specific role in osteoarthritis (OA)
progression is still unknown. The goal of this study was to identify the role of
TDP-43 in OA progression by modulating its target genes. METHODS: MSCs were
transfected with TDP-43 gene lentivirus. The role of elevated TDP-43 expression
in the differentiation of MSCs to chondrocytes was investigated. Cell function
assay was used to evaluate the proliferation and apoptosis of Human chondrocytes
(HCs) co-cultured with MSC. Truncated TDP-43/p35 expression and SGs formation in
HCs were identified using cyto-immunofluorescence assay. Critical genes mediating
apoptotic and proliferative signaling in HCs were measured using co-culturing MSC
assays. The phosphorylation of key kinases was analyzed using the HTRF
phosphokinase assay, and the expression of key genes in proliferative and
apoptotic signaling transduction pathways was detected using qRT-PCR. RESULTS:
The MSCs differentiated into HCs after transfection of TDP-43 genes. The TDP-43
can degrade truncated TDP-43/p35, and promote SGs formation and HCs
proliferation, and inhibit HCs apoptosis after co-culturing with MSCs. TDP-43
overexpression in MSCs promoted high expression of RACK1 and promoted
phosphorylation of key kinases in HCs. Critical genes were highly expressed in
P38 MAPK/MKK3 proliferative signaling, but not in P38 MAPK/JNK MAPK signaling.
CONCLUSIONS: The chondrogenically differentiation of MSCs was not influenced by
transfection of TDP-43 genes, and promoted HCs growth after co-culturing with
HCs. The data indicated that TDP-43/p35 contributed to SGs formation by promoting
RACK1 expression. The study sheds new light on post-transcriptional regulation
and apoptosis in OA by RACK1, which is a potential treatment strategy for OA.
PMID- 27890431
TI - Neuroprotective mechanisms of plant extracts against MPTP induced neurotoxicity:
Future applications in Parkinson's disease.
AB - Parkinson's disease (PD) is the second most common neurodegenerative disease
after Alzheimer's disease, affecting about seven to 10 million patients
worldwide. The major pathological features of PD are loss of dopaminergic neurons
in the nigrostriatal pathway and accumulation of alpha-synuclein molecules,
forming Lewy bodies. Until now, there is no effective cure for PD, and
investigators are searching for neuroprotective strategies to stop or slow the
disease progression. The MPTP (1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine)
induced neurotoxicity of the nigrostriatal pathway has been used to initiate PD
in animal models. Multiple experimental studies showed the ability of several
plant extracts to protect against MPTP induced neurotoxicity through activation
of catalase, superoxide dismutase, and glutathione reductase enzymes, which
reduce the cellular concentration of free radicals, preventing intracellular Ca++
release and subsequent apoptosis signaling. Other neuroprotective mechanisms of
plant extracts include promoting autophagy of alpha-synuclein molecules and
exerting an antiapoptotic activity via inhibition of proteolytic poly (ADP
ribose) polymerase and preventing caspase cleavage. The variety of
neuroprotective mechanisms of natural plant extracts may allow researchers to
target PD progression in different pathological stages and may be through
multiple pathways. Further investigations are required to translate these
neuroprotective mechanisms into safe and effective treatments for PD.
PMID- 27890432
TI - Silencing of long non-coding RNA SBDSP1 suppresses tumor growth and invasion in
colorectal cancer.
AB - Long non-coding RNAs (lncRNAs) play critical roles in tumor development and
progression. This study was undertaken to examine the expression and biological
functions of a novel lncRNA SBDSP1 in colorectal cancer (CRC). Quantitative real
time PCR analysis was used to measure the expression of SBDSP1 in CRC tissues and
cell lines. Knockdown of SBDSP1 via short hairpin RNA technology was performed to
determine the roles of SBDSP1 in CRC cell growth, colony formation, cell cycle
progression, migration, and invasion. The effect of SBDSP1 knockdown on
tumorigenesis of CRC cells was investigated in a subcutaneous tumor mouse model.
Western blot analysis was done to examine the involvement of signaling pathways
in the action of SBDSP1. Notably, SBDSP1 was overexpressed in CRC tissues and
cells relative to corresponding normal controls. Moreover, SBDSP1 expression was
significantly greater in CRCs with nodal metastasis than in primary tumors
(P=0.0259). Downregulation of SBDSP1 significantly inhibited cell proliferation,
colony formation, migration, and invasion in SW480 and HCT116 cells, which was
accompanied by suppression of Akt, ERK1/2, and STAT3 phosphorylation. SBDSP1
depleted cells showed a G0/G1 cell cycle arrest and deregulation of p21 and
cyclin D1. In vivo studies confirmed that SBDSP1 downregulation retarded the
growth of HCT116 xenogaft tumors. Altogether, SBDSP1 plays an essential role in
CRC cell growth, invasion, and tumorigenesis, largely through inactivation of
multiple signaling pathways. Therefore, targeting SBDSP1 may have therapeutic
benefits in the treatment of CRC.
PMID- 27890433
TI - Levels of vitamin C In the blood plasma patients treated with coronary artery
bypass grafting increases significantly after surgery.
AB - One strong risk factor of coronary artery disease (CAD), which affects the levels
of vitamin C in the blood is cigarette smoking. The supplementary effects of
coronary artery bypass grafting (CABG) is smoking cessation by patients.
Therefore, the aim of this study was to examine the level of vitamin C in the
blood plasma one day before and one month after CABG. Human blood were collected
from 20 patients (men); 1day before and 1 month after CABG. All patients were
smoker and after CABG they declared their not smoking. The concentration of
vitamin C in the blood plasma was assayed by FIA method with spectrophotometric
detection. The mean value of the vitamin C concentration 1day before CABG was
12.36+/-2.84MUmol/L (mean+/-SD), 1 month after CABG 40.07+/-10.95MUmol/L (mean+/
SD). The average increase in the concentration of vitamin C was 3.27+/-0.73 times
(mean+/-SD) and showed significant positive correlations (Pearson r=0.657,
p=0.002). It should be consider incorporating the recommendations of preoperative
smoking cessation for at least one month prior to CABG and/or additional
supplementation. In addition it would be relevant to monitor the level of vitamin
C in the patients' blood in the preoperative period.
PMID- 27890434
TI - miR-98 inhibits hepatocellular carcinoma cell proliferation via targeting EZH2
and suppressing Wnt/beta-catenin signaling pathway.
AB - Hepatocellular carcinoma (HCC) is a highly aggressive solid malignancy in the
word. Aberrant microRNA (miRNA) expression is involved in human diseases
including cancer. In the current study, we explore the function of miR-98 in HCC
cell proliferation. We found that expression level of miR-98 was significantly
decreased in HCC tissues and cells lines compared with adjacent non-tumor issues
and human hepatic cell line LO2. Increased expression of miR-98 suppressed HCC
cell proliferation and arrested HCC cell cycle in G0/G1 phase. While, suppressed
expression of miR-98 showed the opposite effect. Bioinformatics analysis revealed
EZH2, a putative tumor promoter as a potential target of miR-98. Additionally,
luciferase reporter assay revealed that miR-98 directly binds to the 3'
untranslated region (3'-UTR) of EZH2 mRNA. Furthermore, we demonstrated that miR
98 could reduce the Wnt/beta-catenin signal pathway by suppressing EZH2 directly.
Moreover, inhibition of EZH2 abrogated the effect of miR-98 inhibitor on HCC cell
proliferation. Taken together, these results suggested that miR-98 functioned as
a potential tumor suppressor by regulating Wnt/beta-catenin signal pathway
through direct suppression of EZH2 expression and might sever as a potential
therapeutic target for HCC patients.
PMID- 27890435
TI - Treating Hypoalbuminemia.
AB - Hypoalbuminemia is common and associated with a variety of disease processes,
including those leading to systemic inflammatory response syndrome,
gastrointestinal disorders, hepatic disorders, and glomerular diseases. Some
animals develop clinical signs directly caused by low serum albumin
concentration. There is strong evidence that hypoalbuminemia is associated with
worse outcomes; however, evidence justifying albumin supplementation is lacking.
Severe adverse events are frequently reported with administration of human serum
albumin and there is little evidence of benefit from other products. Most
patients will not require administration of albumin-containing products.
Clinicians should consider early enteral nutritional supplementation in
critically ill patients.
PMID- 27890436
TI - Respiratory Acid-Base Disorders in the Critical Care Unit.
AB - The incidence of respiratory acid-base abnormalities in the critical care unit
(CCU) is unknown, although respiratory alkalosis is suspected to be common in
this population. Abnormal carbon dioxide tension can have many physiologic
effects, and changes in Pco2 may have a significant impact on outcome. Monitoring
Pco2 in CCU patients is an important aspect of critical patient assessment, and
identification of respiratory acid-base abnormalities can be valuable as a
diagnostic tool. Treatment of respiratory acid-base disorders is largely focused
on resolution of the primary disease, although mechanical ventilation may be
indicated in cases with severe respiratory acidosis.
PMID- 27890437
TI - Trends of Anti-Vascular Endothelial Growth Factor Use in Ophthalmology Among
Privately Insured and Medicare Advantage Patients.
AB - PURPOSE: To characterize the first 10 years of intravitreal anti-vascular
endothelial growth factor (VEGF) medication use for ophthalmic disease, including
bevacizumab, ranibizumab, and aflibercept. DESIGN: A retrospective cohort study
using administrative claims data from January 1, 2006 to December 31, 2015.
SUBJECTS: Total of 124 835 patients 18 years of age or over in the United States.
METHODS: OptumLabs Data Warehouse, which includes administrative claims data for
over 100 million commercially insured and Medicare Advantage individuals, was
used to identify patients receiving intravitreal anti-VEGF injections based on
Current Procedural Terminology codes. MAIN OUTCOME MEASURES: Total and annual
numbers of intravitreal anti-VEGF injections, as well as injections per 1000
enrolled patients per general category of ophthalmic disease, overall and for
each available medication. RESULTS: There were 959 945 anti-VEGF injections among
124 835 patients from 2006 to 2015. Among all injections, 64.6% were of
bevacizumab, 22.0% ranibizumab, and 13.4% aflibercept; 62.7% were performed to
treat age-related macular degeneration (AMD), 16.1% to treat diabetic retinal
diseases (including 0.9% of all injections that were for proliferative diabetic
retinopathy), 8.3% to treat retinal vein occlusions, and 12.9% for all other
uses. Use of bevacizumab and ranibizumab for AMD plateaued as of 2011/2012 and
decreased thereafter (in 2006, 58.8 and 35.3 injections/1000 AMD patients,
respectively; in 2015, 294.4 and 100.7 injections/1000), whereas use of
aflibercept increased (1.1 injections/1000 AMD patients in 2011 to 183.0
injections/1000 in 2015). Bevacizumab use increased each year for diabetic
retinal disease (2.4 injections/1000 patients with diabetic retinal disease in
2009 to 13.6 per 1000 in 2015) while that of ranibizumab initially increased
significantly and then declined after 2014 (0.1 in 2009 to 4.0 in 2015).
Aflibercept use increased each year in patients with diabetic retinal diseases
and retinal vein occlusions (both <0.1 per 1000 retinal vein occlusion patients
in 2011, 5.6 and 140.2 in 2015). CONCLUSIONS: Intravitreal injections of anti
VEGF medications increased annually from 2006 to 2015. Bevacizumab was the most
common medication used, despite its lacking U.S. Food and Drug Administration
approval to treat ophthalmic disease, and AMD was the most common condition
treated. Ranibizumab use declined after 2014 while both the absolute and relative
use of bevacizumab and aflibercept increased.
PMID- 27890438
TI - Short-term clinical results of frozen shoulder treated with shoulder manipulation
under ultrasound-guided cervical nerve root block at outpatient setting: A case
series.
AB - BACKGROUND: We evaluated the short-term clinical outcomes of frozen shoulder
treatment via shoulder manipulation under ultrasound-guided cervical nerve root
block (MUC). METHODS: This study included frozen shoulder patients who were
unresponsive to conservative therapy for at least 6 months and were then treated
with MUC. Patients with a rotator cuff tear, calcifying tendinitis,
osteoarthritis, or any other shoulder disorder were excluded following X-ray,
ultrasound, and magnetic resonance imaging evaluation. Although 25 patients were
initially included, three patients were not followed-up for at least 1 year. We
investigated a final total of 22 patients; the average age was 58 years and 59%
were female. We measured shoulder pain, shoulder range of motion, and American
Shoulder and Elbow Surgeons shoulder scores immediately prior to MUC, 1 week
after MUC, and 1 year after MUC. A Short-Form 36-Item Health Survey was
administered before MUC and 1 year after MUC. We used the Friedman and Wilcoxon
signed-rank tests to identify statistical differences. Significance was defined
as p < 0.05. RESULTS: MUC significantly improved shoulder pain during motion,
range of motion, and American Shoulder and Elbow Surgeons scores 1 week after
MUC. This improvement persisted at the 1-year follow-up. Seven of the eight Short
Form 36-Item Health Survey measures were significantly improved 1 year after MUC.
One patient (4.5%) developed Horner's syndrome, although symptoms resolved within
several hours without treatment. CONCLUSION: MUC for frozen shoulder was safe and
resulted in a significant improvement in shoulder pain and range of motion 1 week
after the procedure. This improvement persisted at the 1-year follow-up.
PMID- 27890439
TI - A Japanese nationwide multicenter survey on perioperative complications of
corrective fusion for elderly patients with adult spinal deformity.
AB - BACKGROUND: Recently, corrective fusion surgery for patients with adult spinal
deformity (ASD) has become common in Japan. This study aimed to clarify the
status of surgeries for ASD in Japan, focusing on perioperative complications. A
nationwide multicenter survey gathering information on surgically treated ASD
patients was conducted by the committee for Adult Spinal Deformity of the
Japanese Scoliosis Society. METHODS: This study was a review of retrospectively
collected data from 18 spine scoliosis centers belonging to the Japanese
Scoliosis Society. Patients who underwent corrective fusion surgery for ASD
between 2011 and 2013 were included. Demographics, comorbidities, surgical data,
and complications were investigated. RESULTS: A total of 1192 patients (mean age,
57.7 years) were included in this study. Of these, 611 patients were aged less
than 65 years and 581 patients were aged 65 years or greater. The age
distribution had two peaks, in the third and eighth decades. Deformities caused
by degeneration represented 67% of the pathology in patients aged over 65 years;
however, non-degenerative disease such as adult idiopathic scoliosis and
syndromic or congenital deformity represented over 60% of pathology in patients
aged less than 65 years. The iatrogenic deformity and reoperation rates were both
less than 3%. The mean operation time and estimated blood loss were 370 min and
1642 ml, respectively. Major perioperative complications occurred in 160 patients
(14.5%). The incidence of complications was significantly higher in patients aged
over 65 years, including neurological deficits, hemorrhagic shock, hematoma,
heart failure, and surgical site infection (p < 0.05). CONCLUSIONS: Older (aged
over 65 years) ASD patients showed greater rates of deformity due to the
occurrence of degeneration and vertebral fractures, as well as a higher incidence
of peri-and postoperative complications. Efforts to reduce perioperative
complications are therefore imperative, especially for elderly ASD patients in
our aging society.
PMID- 27890440
TI - Three-Month Outcomes Are Poor in Stroke Patients with Cancer Despite Acute Stroke
Treatment.
AB - INTRODUCTION: Stroke risk is increased in cancer patients. Prognosis in these
patients is poor, with higher in-hospital mortality and increased subsequent
mortality. However, data on stroke in cancer patients are limited, specifically
regarding acute stroke treatment and functional outcomes. We aim to determine the
functional outcomes of cancer patients admitted with acute stroke. MATERIALS AND
METHODS: We retrospectively reviewed patients carrying a diagnosis of cancer who
were admitted with acute ischemic stroke between March 2013 and February 2016.
Demographics, cerebrovascular risk factors, stroke characteristics including
acute treatment, and characteristics of their cancer history and treatment were
abstracted. The primary outcome measures included in-hospital mortality and 3
month functional outcome (as assessed by the modified Rankin Scale [mRS] score,
with mRS scores of 3-6 considered poor functional outcome). Further outcome
measures included length of stay and discharge destination. FINDINGS: Forty-nine
patients met the inclusion criteria, with a median admission National Institutes
of Health Stroke Scale score of 8. Twelve patients (24.4%) underwent acute stroke
treatment. The most common stroke etiology was hypercoagulability of malignancy
(21, 42.9%). The three-month mortality was 46.9%; half of survivors had poor
functional outcome. Functional outcomes did not differ by cancer type, stage, or
year since diagnosis; on multivariate analysis only high admission NIHSS score
was associated with poor functional outcome (P = .002). CONCLUSION: Nearly half
of patients with cancer and stroke die within 3 months, and functional outcome is
poor for 50% of 3-month survivors despite consideration of acute stroke
treatment. Future research should address the role of hypercoagulability in the
outcome prediction of stroke patients with cancer.
PMID- 27890441
TI - The ability for cocaine and cocaine-associated cues to compete for attention.
AB - In humans, reward cues, including drug cues in individuals experiencing
addiction, are especially effective in biasing attention towards them, so much so
they can disrupt ongoing task performance. It is not known, however, whether this
happens in rats. To address this question, we developed a behavioral paradigm to
assess the capacity of an auditory drug (cocaine) cue to evoke cocaine-seeking
behavior, thus distracting thirsty rats from performing a well-learned sustained
attention task (SAT) to obtain a water reward. First, it was determined that an
auditory cocaine cue (tone-CS) reinstated drug-seeking equally in sign-trackers
(STs) and goal-trackers (GTs), which otherwise vary in the propensity to
attribute incentive salience to a localizable drug cue. Next, we tested the
ability of an auditory cocaine cue to disrupt performance on the SAT in STs and
GTs. Rats were trained to self-administer cocaine intravenously using an
Intermittent Access self-administration procedure known to produce a progressive
increase in motivation for cocaine, escalation of intake, and strong
discriminative stimulus control over drug-seeking behavior. When presented alone,
the auditory discriminative stimulus elicited cocaine-seeking behavior while rats
were performing the SAT, but it was not sufficiently disruptive to impair SAT
performance. In contrast, if cocaine was available in the presence of the cue, or
when administered non-contingently, SAT performance was severely disrupted. We
suggest that performance on a relatively automatic, stimulus-driven task, such as
the basic version of the SAT used here, may be difficult to disrupt with a drug
cue alone. A task that requires more top-down cognitive control may be needed.
PMID- 27890443
TI - OXA-23 and ISAba1-OXA-66 class D beta-lactamases in Acinetobacter baumannii
isolates from companion animals.
AB - Acinetobacter baumannii is recognised as a major pathogen of nosocomial
infections that frequently show resistance to last-resort antimicrobials. To
investigate whether A. baumannii from companion animals harbour carbapenem
resistance mechanisms, 223 clinical isolates obtained from veterinary clinics
between 2000 and 2013 in Germany were screened for carbapenem-non-susceptibility
employing meropenem-containing Mueller-Hinton agar plates. Minimum inhibitory
concentration (MIC) data were obtained using the VITEK(r)2 system. Assignment to
international clones (ICs) was done by multiplex PCR or repetitive sequence-based
PCR employing the DiversiLab system. Clonality was studied using pulsed-field gel
electrophoresis (PFGE) and multilocus sequence typing (MLST). Genes encoding
carbapenemases and aminoglycoside-modifying enzymes were detected by PCR. In
three samples from dogs, carbapenem-resistant A. baumannii carrying the blaOXA-23
gene on plasmids and located on transposon Tn2008 were identified. The isolates
belonged to sequence type ST1P (clonal complex CC1/IC1/pulsotype II) and ST10P
(CC10/IC8/pulsotype IV) according to the Pasteur MLST scheme, and to ST231Ox
(CC109) and ST585Ox (CC447) following the Oxford scheme. Insertion sequence
ISAba1 was identified upstream of blaOXA-66 in 58 A. baumannii isolates. MLST
referred them to ST2P (CC2/IC2/pulsotypes I and III), ST208Ox, ST350Ox and
ST556Ox (all CC118), respectively. PFGE suggested nosocomial spread of these
highly related strains, which frequently demonstrated a multidrug-resistant
phenotype, in one veterinary clinic. These data show that A. baumannii from
companion animals reveal resistance determinants and clonal lineages of strains
globally emerging in humans. This suggests an interspecies transmission and
warrants molecular surveillance of A. baumannii in veterinary clinics to mitigate
its further spread.
PMID- 27890444
TI - T2-weighted Cardiovascular Magnetic Resonance Imaging to Delineate Ischemic
Myocardium at Risk: Fact or Fiction?
PMID- 27890445
TI - Acute carbon monoxide poisoning: MR imaging findings with clinical correlation.
AB - PURPOSE: To assess the magnetic resonance imaging (MRI) findings, including
diffusion-weighted imaging (DWI) in patients with acute carbon monoxide (CO)
poisoning and correlate MRI findings with carboxyhemoglobin levels. MATERIALS AND
METHODS: The MRI examinations and medical records of seven men with a mean age of
43+/-16.0years (SD) (range: 25-63 years) with acute CO poisoning were reviewed.
MRI examinations were analyzed with respect to lesion location, imaging
presentation on T1- and T2-weighted images, and diffusion characteristics on DWI
and apparent diffusion coefficient (ADC) maps. We also evaluated clinical
features and laboratory findings including the presenting symptoms and signs,
carboxyhemoglobin level, and treatment. RESULTS: All seven patients presented
with mental status change. The level of carboxyhemoglobin ranged between 8.3% and
34.8% (normal<1.5%). All seven patients (7/7, 100%) showed restricted diffusion
of the lesions on ADC maps and bilateral involvement of globus pallidus. The mean
ratios of ADC values was 0.63+/-0.15 (SD) (range: 0.46-0.92) on bilateral globi
pallidi. Cerebral cortex, cerebral white matter, cerebellum, hippocampus,
amygdala, splenium of corpus callosum, midbrain and insula were also involved.
CONCLUSION: Bilateral globi pallidi with restricted diffusion may be a
characteristic MRI feature in patients with acute CO poisoning. However, the
relationship was not certain between the carboxyhemoglobin levels and the variety
or severity of MRI findings.
PMID- 27890447
TI - Non-iatrogenic mandibular pressure sores.
PMID- 27890442
TI - Predominance of healthcare-associated cases among episodes of community-onset
bacteraemia due to extended-spectrum beta-lactamase-producing Enterobacteriaceae.
AB - Extended-spectrum beta-lactamase-producing Enterobacteriaceae (ESBL-PE) are
endemic pathogens worldwide. Infection with ESBL-PE may be associated with
inadequate antibiotic therapy and a poor outcome. However, risk factors for ESBL
PE community-acquired infections are ill-defined. An observational multicentre
study was performed in 50 hospitals to identify the prevalence of and risk
factors for community-acquired ESBL-PE bacteraemia. All patients presenting with
community-onset Enterobacteriaceae bacteraemia were recorded over a 2-month
period (between June and November 2013). Risk factors and 14-day outcomes of
patients were investigated. Among 682 Enterobacteriaceae bacteraemia episodes
recorded, 58 (8.5%) were caused by ESBL-PE. The most frequent species isolated
were Escherichia coli (537; 76.7%) and Klebsiella spp. (68; 9.7%), of which 49
(9.1%) and 8 (11.8%), respectively, were ESBL-producers. Most ESBL-PE episodes
were healthcare-associated, and only 22 (38%) were apparently community-acquired.
The main risk factor for community-acquired ESBL-PE bacteraemia was a prior
hospital stay of >=5 days within the past year. The overall 14-day survival was
90%; only 4 (6.9%) of 58 patients with ESBL-PE bacteraemia died. Inadequate
initial antibiotic therapy was administered to 55% of patients with ESBL-PE
bacteraemia but was not associated with increased 14-day mortality. Although many
patients had community-onset ESBL-PE bacteraemia, almost two-thirds of the
episodes were actually healthcare-associated, and true community-acquired ESBL-PE
bacteraemia remains rare. In our essentially non-severely ill population,
inappropriate initial therapy was not associated with a higher risk of mortality.
PMID- 27890446
TI - Efficacy of Therapies After Galeterone in Patients With Castration-resistant
Prostate Cancer.
AB - BACKGROUND: Galeterone is a multi-targeted agent with activity as a CYP17
inhibitor, androgen receptor antagonist, and also causes androgen receptor
degradation. It has shown meaningful anti-tumor activity with a well-tolerated
safety profile in patients with castration-resistant prostate cancer (CRPC) in
phase I and II studies; however, the efficacy of currently approved CRPC
therapies after treatment with galeterone is unknown. In this study, we evaluate
prostate specific antigen (PSA) response of non-protocol therapies following
galeterone in a subset of patients treated on the Androgen Receptor Modulation
Optimized for Response (ARMOR) 2 study. PATIENTS AND METHODS: Patients who
received any subsequent treatment were included. PSA response and treatment
duration were summarized by line and type of subsequent therapy. RESULTS:
Overall, 27 of 40 patients received >= 1 post-galeterone treatment, of whom 18
(67%) discontinued galeterone for progression, 14 (52%) received >= 2 treatments,
and 6 (22%) received >= 3 treatments. PSA changed by a median of -36%, -35%, and
+60% in patients receiving first-line, second-line, and third-line therapy,
respectively. Overall, 18 (67%) received subsequent enzalutamide, 12 (44%)
received docetaxel, 9 (33%) received abiraterone, and 5 (19%) received
cabazitaxel. PSA changed by a median of -27%, -34%, -39%, and 17% for patients
receiving subsequent enzalutamide, docetaxel, abiraterone, and cabazitaxel,
respectively, at any line. CONCLUSION: We demonstrate that CRPC therapies exhibit
differential anti-tumor activity following galeterone. In this small cohort,
abiraterone demonstrates the highest PSA response post-galeterone, whereas
enzalutamide and chemotherapy have more modest activity. Larger clinical studies
are warranted to fully evaluate the efficacy and safety of second-generation
hormonal agents and chemotherapy post-galeterone. Predictive biomarkers will be
critical to optimizing patient selection for sequential therapies.
PMID- 27890448
TI - Vaccines against pseudorabies virus (PrV).
AB - Aujeszkys disease (AD, pseudorabies) is a notifiable herpesvirus infection of
pigs causing substantial economic losses to swine producers. AD in pigs is
controlled by the use of vaccination with inactivated and attenuated live
vaccines. Starting with classically attenuated live vaccines derived from low
virulent field isolates, AD vaccination has pioneered novel strategies in animal
disease control by the first use of genetically engineered live virus vaccines
lacking virulence-determining genes, and the concept of DIVA, i.e. the
serological differentiation of vaccinated from field-virus infected animals by
the use of marker vaccines and respective companion diagnostic tests. The basis
for this concept has been the molecular characterization of PrV and the
identification of so-called nonessential envelope glycoproteins, e.g.
glycoprotein E, which could be eliminated from the virus without harming viral
replication or immunogenicity. Eradication of AD using the strategy of
vaccination-DIVA testing has successfully been performed in several countries
including Germany and the United States. Furthermore, by targeted genetic
modification PrV has been developed into a powerful vector system for expression
of foreign genes to vaccinate against several infectious diseases of swine, while
heterologous vector systems have been used for expression of major immunogens of
PrV. This small concise review summarizes the state-of-the-art information on PrV
vaccines and provides an outlook for the future.
PMID- 27890449
TI - Independent evolution of genomic characters during major metazoan transitions.
AB - Metazoan evolution encompasses a vast evolutionary time scale spanning over 600
million years. Our ability to infer ancestral metazoan characters, both
morphological and functional, is limited by our understanding of the nature and
evolutionary dynamics of the underlying regulatory networks. Increasing coverage
of metazoan genomes enables us to identify the evolutionary changes of the
relevant genomic characters such as the loss or gain of coding sequences, gene
duplications, micro- and macro-synteny, and non-coding element evolution in
different lineages. In this review we describe recent advances in our
understanding of ancestral metazoan coding and non-coding features, as deduced
from genomic comparisons. Some genomic changes such as innovations in gene and
linkage content occur at different rates across metazoan clades, suggesting some
level of independence among genomic characters. While their contribution to
biological innovation remains largely unclear, we review recent literature about
certain genomic changes that do correlate with changes to specific developmental
pathways and metazoan innovations. In particular, we discuss the origins of the
recently described pharyngeal cluster which is conserved across deuterostome
genomes, and highlight different genomic features that have contributed to the
evolution of this group. We also assess our current capacity to infer ancestral
metazoan states from gene models and comparative genomics tools and elaborate on
the future directions of metazoan comparative genomics relevant to evo-devo
studies.
PMID- 27890450
TI - SRC2-3 binds to vitamin D receptor with high sensitivity and strong affinity.
AB - Vitamin D receptor (VDR) is a member of the nuclear receptor superfamily and
regulates the expression of target genes through ligand binding. To express the
target gene, coactivator binding to the VDR/ligand complex is essential. Although
there are many coactivators in living cells, precise interactions between
coactivators and VDR have not been clarified. Here, we synthesized two
coactivator peptides, DRIP205-2 and SRC2-3, evaluated their affinity for the
ligand-binding domain (LBD) of VDR using 1alpha,25-dihydroxyvitamin D3, partial
agonist 1, and antagonist 2 by surface plasmon resonance (SPR), and assessed
their interaction modes with VDR-LBD using X-ray crystallographic analysis. This
study showed that the SRC2-3 peptide is more sensitive to the ligands (agonist,
partial agonist, and antagonist) and shows more intimate interactions with VDR
LBD than DRIP205-2 peptide.
PMID- 27890451
TI - Sniffing the diagnosis: Olfactory testing in neurodegenerative parkinsonism.
AB - OBJECTIVE: To determine the diagnostic utility of olfactory testing in patients
with neurodegenerative parkinsonism. METHODS: The Sniffin' Sticks test battery
for assessment of odor identification, discrimination, and threshold was applied
to patients with Parkinson's disease (PD), multiple system atrophy (MSA) and
progressive supranuclear palsy (PSP) as well as healthy controls (HC). Two
different cohorts were analyzed: A PD/healthy control that included PD patients
and HC as well as a PD/diseased control cohort for which patients PD, MSA and PSP
were recruited. The former cohort was exploited to calculate cut-off values that
discriminate PD patients from HC with a sensitivity (sensitivity-weighted cut
off) or specificity (specificity-weighted cut-off) exceeding 95%, respectively.
The PD/diseased controls cohort was used to determine the diagnostic accuracy
using these cut-off values in discriminating patients with neurodegenerative
parkinsonism. RESULTS: PD patients (n = 67) performed significantly worse in
olfactory testing than HC (n = 41) and patients with MSA (n = 23) or PSP (n =
23). There was no significant difference in olfactory function between MSA and
PSP patients. Diagnostic performance of the identification subscore was similar
to the sum score of the Sniffin' Sticks test (AUC identification test 0.94, AUC
sum score 0.96), while threshold and discrimination subscores were inferior. In
patients with parkinsonism, the specificity-weighted cut-off predicted a
diagnosis of PD with a sensitivity and specificity of 76.6 and 87.0%,
respectively. The discriminative value of this cut-off in separating PD from MSA
was 76.7% (sensitivity) and 95.7% (specificity). The corresponding, prevalence
adjusted positive predictive value of olfactory testing exceeded 95%.
CONCLUSIONS: Our data suggest that assessment of olfactory function, particularly
odor identification, can be useful to discriminate PD from atypical parkinsonian
disorders, particularly MSA patients.
PMID- 27890452
TI - Interhypothalamic adhesion and multiple cerebral abnormalities in a 2-year-old
boy.
PMID- 27890453
TI - Transition of care: A set of pharmaceutical interventions improves hospital
discharge prescriptions from an internal medicine ward.
AB - BACKGROUND: Continuity of care between hospitals and community pharmacies needs
to be improved to ensure medication safety. This study aimed to evaluate whether
a set of pharmaceutical interventions to prepare hospital discharge facilitates
the transition of care. METHODS: This study took place in the internal medicine
ward and in surrounding community pharmacies. The intervention group's patients
underwent a set of pharmaceutical interventions during their hospital stay:
medication reconciliation at admission, medication review, and discharge
planning. The two groups were compared with regards to: number of community
pharmacist interventions, time spent on discharge prescriptions, and number of
treatment changes. RESULTS: Comparison between the groups showed a much lower
(77% lower) number of community pharmacist interventions per discharge
prescription in the intervention (n=54 patients) compared to the control group
(n=64 patients): 6.9 versus 1.6 interventions, respectively (p<0.0001); less time
working on discharge prescriptions; less interventions requiring a telephone call
to a hospital physician. The number of medication changes at different steps was
also significantly lower in the intervention group: 40% fewer (p<0.0001) changes
between hospital admission and discharge, 66% fewer (p<0.0001) between hospital
discharge and community pharmacy care, and 25% fewer (p=0.002) between community
pharmacy care and care by a general practitioner. CONCLUSION: An intervention
group underwent significantly fewer medication changes in subsequent steps in the
transition of care after a set of interventions performed during their hospital
stay. Community pharmacists had to perform fewer interventions on discharge
prescriptions. Altogether, this improves continuity of care.
PMID- 27890454
TI - Effect of phototherapy on B and T lymphocytes in Egyptian infants suffering from
neonatal jaundice.
AB - BACKGROUND: Neonatal jaundice is one of the most common problems that affect
newborn infants, and phototherapy is usually used for treatment. OBJECTIVES:
Evaluation of the effect of phototherapy on neonatal immune system through
measuring the percentage of B and T lymphocytes and determining the frequency of
development of infections and need for hospitalisation during the first six
months of life. METHODS: A prospective cohort study was conducted on 50 full term
new-borns; 25 with indirect hyperbilirubinaemia and treated with conventional
phototherapy and 25 healthy matched neonates as untreated controls. The
percentages of CD19+, CD4+ and CD8+ lymphocytes were measured by flow cytometry
before phototherapy and 72h after exposure. Follow-up of the study group for the
occurrence of infections for a period of six months after phototherapy. RESULTS:
The study showed a significant difference in CD19+ lymphocytes percentage between
patients before phototherapy and controls (P value<0.01), also a significant
correlation between serum levels of total bilirubin in patients and CD19+
lymphocytes percentage (P value<0.05). There was no significant difference
between the percentages of CD19+, CD4+ and CD8+ lymphocytes in patients before or
after 72h of exposure to phototherapy (P value>0.05). Also, there was no
correlation between the percentages of CD19+, CD4+ and CD8+ lymphocytes after 72h
of exposure to phototherapy and the occurrence of infections (Gastrointestinal
tract and Respiratory tract infection) after six months of follow-up (P
value>0.05). More studies are needed with larger number of patients to determine
the effect of phototherapy on immune system.
PMID- 27890455
TI - The detection of microbial DNA but not cultured bacteria is associated with
increased mortality in patients with suspected sepsis-a prospective multi-centre
European observational study.
AB - OBJECTIVES: Blood culture results inadequately stratify the mortality risk in
critically ill patients with sepsis. We sought to establish the prognostic
significance of the presence of microbial DNA in the bloodstream of patients
hospitalized with suspected sepsis. METHODS: We analysed the data collected
during the Rapid Diagnosis of Infections in the Critically Ill (RADICAL) study,
which compared a novel culture-independent PCR/electrospray ionization-mass
spectrometry (ESI-MS) assay with standard microbiological testing. Patients were
eligible for the study if they had suspected sepsis and were either hospitalized
or were referred to one of nine intensive care units from six European countries.
The blood specimen for PCR/ESI-MS assay was taken along with initial blood
culture taken for clinical indications. RESULTS: Of the 616 patients recruited to
the RADICAL study, 439 patients had data on outcome, results of the blood culture
and PCR/ESI-MS assay available for analysis. Positive blood culture and PCR/ESI
MSI result was found in 13% (56/439) and 40% (177/439) of patients, respectively.
Either a positive blood culture (p 0.01) or a positive PCR/ESI-MS (p 0.005) was
associated with higher SOFA scores on enrolment to the study. There was no
difference in 28-day mortality observed in patients who had either positive or
negative blood cultures (35% versus 32%, p 0.74). However, in patients with a
positive PCR/ESI-MS assay, mortality was significantly higher in comparison to
those with a negative result (42% versus 26%, p 0.001). CONCLUSIONS: Presence of
microbial DNA in patients with suspected sepsis might define a patient group at
higher risk of death.
PMID- 27890456
TI - Introduction to the supplement on 'Vaccines for mutual protection'.
PMID- 27890457
TI - The role of whole genome sequencing in antimicrobial susceptibility testing of
bacteria: report from the EUCAST Subcommittee.
AB - Whole genome sequencing (WGS) offers the potential to predict antimicrobial
susceptibility from a single assay. The European Committee on Antimicrobial
Susceptibility Testing established a subcommittee to review the current
development status of WGS for bacterial antimicrobial susceptibility testing
(AST). The published evidence for using WGS as a tool to infer antimicrobial
susceptibility accurately is currently either poor or non-existent and the
evidence / knowledge base requires significant expansion. The primary comparators
for assessing genotypic-phenotypic concordance from WGS data should be changed to
epidemiological cut-off values in order to improve differentiation of wild-type
from non-wild-type isolates (harbouring an acquired resistance). Clinical
breakpoints should be a secondary comparator. This assessment will reveal whether
genetic predictions could also be used to guide clinical decision making.
Internationally agreed principles and quality control (QC) metrics will
facilitate early harmonization of analytical approaches and interpretive criteria
for WGS-based predictive AST. Only data sets that pass agreed QC metrics should
be used in AST predictions. Minimum performance standards should exist and
comparative accuracies across different WGS laboratories and processes should be
measured. To facilitate comparisons, a single public database of all known
resistance loci should be established, regularly updated and strictly curated
using minimum standards for the inclusion of resistance loci. For most bacterial
species the major limitations to widespread adoption for WGS-based AST in
clinical laboratories remain the current high-cost and limited speed of inferring
antimicrobial susceptibility from WGS data as well as the dependency on previous
culture because analysis directly on specimens remains challenging. For most
bacterial species there is currently insufficient evidence to support the use of
WGS-inferred AST to guide clinical decision making. WGS-AST should be a funding
priority if it is to become a rival to phenotypic AST. This report will be
updated as the available evidence increases.
PMID- 27890458
TI - Amoxicillin dosing recommendations are very different in European countries: a
cross-sectional survey.
PMID- 27890459
TI - Relationship of systemic cytokine concentrations to cognitive function over two
years in women with early stage breast cancer.
AB - : Cancer and its treatment are frequently associated with cancer-related
cognitive impairment (CRCI). While CRCI has been associated with linked to
chemotherapy, there is increasing evidence that the condition may start prior to
treatment and for some, remain unresolved after active treatment and into
survivorship. Although the pathophysiology of the condition is complex,
alterations in systemic cytokines, signaling molecules activated in response to
infection or injury that trigger inflammation, are a possible mechanism linked to
cognitive dysfunction in breast cancer and other conditions. Given the
conflicting results in the literature, the lack of focus on domain specific
cognitive testing, and the need for a longer time period given the multiple
modalities of standard treatments for early-stage breast cancer, this
longitudinal study was conducted to address these gaps. METHODS: We assessed 75
women with early-stage breast cancer at five points over two years, starting
prior to the initial chemotherapy through 24months after chemotherapy initiation.
Measures included a validated computerized evaluation of domain-specific
cognitive functioning and a 17-plex panel of plasma cytokines. Linear mixed
effects models were applied to test the relationships of clinical variables and
cytokine concentrations to each cognitive domain. RESULTS: Levels and patterns of
cytokine concentrations varied over time: six of the 17 cytokines (IL-6, IL-12,
IL-17, G-CSF, MIPS-1beta, and MCP-1) had the most variability. Some cytokine
levels (e.g., IL-6) increased during chemotherapy but then decreased
subsequently, while others (e.g., IL-17) consistently declined from baseline over
time. There were multiple relationships among cytokines and cognition, which
varied over time. At baseline, elevated concentrations of G-CSF and reduced
concentrations of IL-17 were associated with faster psychomotor speed. At the
second time-point (prior to the mid-chemotherapy), multiple cytokines had
significant associations with psychomotor speed, complex attention, executive
function, verbal memory, cognitive flexibility, composite memory and visual
memory. Six months after chemotherapy initiation and at the one-year point, there
were multiple, significant relationships among cytokines and multiple cognitive.
At two years, fewer significant relationships were noted; however, lower
concentrations of IL-7, a hematopoietic cytokine, were associated with better
psychomotor speed, complex attention, and memory (composite, verbal and visual).
MCP-1 was inversely associated with psychomotor speed and complex attention and
higher levels of MIP-1beta were related to better complex attention. CONCLUSION:
Levels and patterns of cytokines changed over time and demonstrated associations
with domain-specific cognitive functioning that varied over time. The observed
associations between cytokines and cognitive performance provides evidence that
not only prototypical cytokines (i.e., IL-6, TNF-alpha, and IL1-beta) but also
cytokines from multiple classes may contribute to the inflammatory environment
that is associated with cognitive dysfunction. Future studies to better delineate
the cytokine changes, both individually and in networks, are needed to precisely
assess a mechanistic link between cytokines and cognitive function in women
receiving treatments for breast cancer.
PMID- 27890460
TI - Prevalence of Small Intestinal Bacterial Overgrowth in Multiple Sclerosis: a Case
Control Study from China.
AB - OBJECTIVE: It's hypothesized that gastrointestinal microbiota might play an
important role in pathogenesis of multiple sclerosis (MS). The aim of the present
study was to assess the prevalence of small intestinal bacterial overgrowth
(SIBO) in MS patients compared with sex and age matched controls without MS.
METHODS: The present study was a case-control type, it included 118 patients with
definitive MS and 118 age-sex matched controls. Progression of disability was
assessed using the Multiple Sclerosis Severity Score (MSSS). All patients and
controls underwent the glucose breath test to assess SIBO. RESULTS: Forty-five of
the 118 MS patients were SIBO positive (38.14%; 95%CI: 29.37%-46.90%) compared
with 10 of 118 in the control group (8.47%; 95% confidence interval [CI]: 3.45%
13.50%); the difference was statistically significant (P<0.0001; Odds ratios
(OR), 4.50; 95% CI, 2.38-8.50). In addition, 102 out of the 118 patients (86.4%)
presented at least one GI symptom. Constipation (78.0%), Bloating (46.6%), and
fecal incontinence (44.1%) were common. Multivariate analysis showed that
expanded disability status scale (EDSS) score and MSSS were the only factors
associated with the SIBO-positive status in MS patients (OR, 3.44; 95% CI, 1.56
6.99; and OR, 2.76; 95% CI, 1.42-4.94, respectively). CONCLUSION: SIBO is highly
prevalent in Chinese patients with MS. Further analytical work is required to
establish a causal association between SIBO and MS risk and progression.
PMID- 27890461
TI - Muscle weakness in respiratory and peripheral skeletal muscles in a mouse model
for nebulin-based nemaline myopathy.
AB - Nemaline myopathy is among the most common non-dystrophic congenital myopathies,
and is characterized by the presence of nemaline rods in skeletal muscles fibers,
general muscle weakness, and hypotonia. Although respiratory failure is the main
cause of death in nemaline myopathy, only little is known regarding the
contractile strength of the diaphragm, the main muscle of inspiration. To
investigate diaphragm contractility, in the present study we took advantage of a
mouse model for nebulin-based nemaline myopathy that we recently developed. In
this mouse model, exon 55 of Neb is deleted (NebDeltaExon55), a mutation
frequently found in patients. Diaphragm contractility was determined in
permeabilized muscle fibers and was compared to the contractility of
permeabilized fibers from three peripheral skeletal muscles: soleus, extensor
digitorum longus, and gastrocnemius. The force generating capacity of diaphragm
muscle fibers of NebDeltaExon55 mice was reduced to 25% of wildtype levels,
indicating severe contractile weakness. The contractile weakness of diaphragm
fibers was more pronounced than that observed in soleus muscle, but not more
pronounced than that observed in extensor digitorum longus and gastrocnemius
muscles. The reduced muscle contractility was at least partly caused by changes
in cross-bridge cycling kinetics which reduced the number of bound cross-bridges.
The severe diaphragm weakness likely contributes to the development of
respiratory failure in NebDeltaExon55 mice and might explain their early,
postnatal death.
PMID- 27890462
TI - Radiation pneumonitis following hepatic yttrium-90 radioembolization.
PMID- 27890463
TI - Is pleurodesis with 50% glucose solution in patients with spontaneous
pneumothorax safe?: A case series.
PMID- 27890464
TI - Pleuropericardial Cyst: Uncommon Location and Presentation.
PMID- 27890465
TI - Palbociclib improves survival in advanced breast cancer.
PMID- 27890466
TI - Cabozantinib improves clinical outcomes in renal cancer.
PMID- 27890467
TI - Use of hyperbaric oxygen therapy and PEGylated carboxyhemoglobin bovine in a
Jehovah's Witness with life-threatening anemia following postpartum hemorrhage.
AB - We present a case of a Jehovah's Witness patient who refused blood products, with
the exception of albumin and clotting factors, and underwent cesarean section
under spinal anesthesia complicated by postpartum hemorrhage. She was fluid
resuscitated and treated with multiple uterotonics and internal iliac artery
embolization. Because of agitation she required emergency tracheal intubation.
Her hemoglobin concentration dropped from a preoperative value of 12mg/dL to
3mg/dL on postoperative day one. She was acidotic, requiring vasopressors for
hemodynamic stability and remained ventilated and sedated. She was treated with
daily erythropoietin, iron therapy and cyanocobalamin. Because of ongoing
hemorrhage, continued acidemia and vasopressor requirements she was co-treated
with PEGylated carboxyhemoglobin bovine and hyperbaric oxygen therapy to reverse
her oxygen debt. On postoperative day eight her hemoglobin concentration was
7mg/dL, she was hemodynamically stable and vasopressors were discontinued. She
was extubated and discharged from the intensive care unit on postoperative day
eight. This report highlights the multiple modalities used in treating a severely
anemic patient who refused blood, the use of an investigational new drug, the
process of obtaining this drug via the United States Food and Drug Administration
emergency expanded access regulation for single patient clinical treatment, and
ethical dilemmas faced during treatment.
PMID- 27890468
TI - Genetic Overlap Between Attention-Deficit/Hyperactivity Disorder and Bipolar
Disorder: Evidence From Genome-wide Association Study Meta-analysis.
AB - BACKGROUND: Attention-deficit/hyperactivity disorder (ADHD) and bipolar disorder
(BPD) are frequently co-occurring and highly heritable mental health conditions.
We hypothesized that BPD cases with an early age of onset (<=21 years old) would
be particularly likely to show genetic covariation with ADHD. METHODS: Genome
wide association study data were available for 4609 individuals with ADHD, 9650
individuals with BPD (5167 thereof with early-onset BPD), and 21,363 typically
developing controls. We conducted a cross-disorder genome-wide association study
meta-analysis to identify whether the observed comorbidity between ADHD and BPD
could be due to shared genetic risks. RESULTS: We found a significant single
nucleotide polymorphism-based genetic correlation between ADHD and BPD in the
full and age-restricted samples (rGfull = .64, p = 3.13 * 10-14; rGrestricted =
.71, p = 4.09 * 10-16). The meta-analysis between the full BPD sample identified
two genome-wide significant (prs7089973 = 2.47 * 10-8; prs11756438 = 4.36 * 10-8)
regions located on chromosomes 6 (CEP85L) and 10 (TAF9BP2). Restricting the
analyses to BPD cases with an early onset yielded one genome-wide significant
association (prs58502974 = 2.11 * 10-8) on chromosome 5 in the ADCY2 gene.
Additional nominally significant regions identified contained known expression
quantitative trait loci with putative functional consequences for NT5DC1, NT5DC2,
and CACNB3 expression, whereas functional predictions implicated ABLIM1 as an
allele-specific expressed gene in neuronal tissue. CONCLUSIONS: The single
nucleotide polymorphism-based genetic correlation between ADHD and BPD is
substantial, significant, and consistent with the existence of genetic overlap
between ADHD and BPD, with potential differential genetic mechanisms involved in
early and later BPD onset.
PMID- 27890469
TI - Methamphetamine Addiction Vulnerability: The Glutamate, the Bad, and the Ugly.
AB - BACKGROUND: The high prevalence and severity of methamphetamine (MA) abuse
demands greater neurobiological understanding of its etiology. METHODS: We
conducted immunoblotting and in vivo microdialysis procedures in MA high/low
drinking mice, as well as in isogenic C57BL/6J mice that varied in their MA
preference/taking, to examine the glutamate underpinnings of MA abuse
vulnerability. Neuropharmacological and Homer2 knockdown approaches were also
used in C57BL/6J mice to confirm the role for nucleus accumbens (NAC)
glutamate/Homer2 expression in MA preference/aversion. RESULTS: We identified a
hyperglutamatergic state within the NAC as a biochemical trait corresponding with
both genetic and idiopathic vulnerability for high MA preference and taking. We
also confirmed that subchronic subtoxic MA experience elicits a
hyperglutamatergic state within the NAC during protracted withdrawal,
characterized by elevated metabotropic glutamate 1/5 receptor function and Homer2
receptor-scaffolding protein expression. A high MA-preferring phenotype was
recapitulated by elevating endogenous glutamate within the NAC shell of mice and
we reversed MA preference/taking by lowering endogenous glutamate and/or Homer2
expression within this subregion. CONCLUSIONS: Our data point to an idiopathic,
genetic, or drug-induced hyperglutamatergic state within the NAC as a mediator of
MA addiction vulnerability.
PMID- 27890470
TI - sGC stimulators: Evidence for riociguat beyond groups 1 and 4 pulmonary
hypertension.
AB - Pulmonary hypertension (PH) is a chronic cardiopulmonary disorder that if left
untreated, progresses rapidly and is ultimately fatal. The World Health
Organization (WHO) has classified PH into 5 distinct groups according to
pathophysiology, hemodynamic characteristics, and clinical presentation.
Dysfunction in the nitric oxide (NO) pathway plays a key role in the pulmonary
hypertension disease process, including in WHO Groups 2 and 3 PH. PH is
associated with endothelial dysfunction, impaired synthesis of NO, and
insufficient stimulation of the NO-soluble guanylate cyclase (sGC)-cyclic
guanosine monophosphate (cGMP) pathway, which reduces cGMP production. cGMP
regulates vascular tone, cellular proliferation, inflammation, and fibrosis and
its depletion can lead to a variety of abnormalities, including pulmonary
vasoconstriction, impaired vascular remodeling, and in situ thrombosis. This
review will examine a novel class of drugs called sGC stimulators which directly
stimulate sGC independently of NO, leading to increased production of cGMP.
PMID- 27890471
TI - Rationale and study design of MOTION: A phase 4, prospective, single-arm, open
label study to measure outcomes in patients with pulmonary arterial hypertension
not on active treatment.
AB - In clinical trials of treatments for pulmonary arterial hypertension (PAH),
objective measures, such as 6-min walk distance (6MWD) are limited in their
ability to characterize the impact of PAH therapy from a patient's perspective.
Few clinical studies have evaluated the primary effects of pharmacologic
treatment on patient-reported outcomes, such as symptoms, health-related quality
of life (HRQoL), and productivity. MOTION (NCT02191137) is a prospective,
multicenter, single-arm, open-label, phase 4 trial designed to assess whether
riociguat monotherapy will improve patient-reported outcomes in patients with PAH
in the United States who are not currently on treatment. Following a screening
period of up to 14 days, eligible subjects will receive riociguat (0.5-2.5 mg
TID) during a 10-week titration phase and a 14-week maintenance phase. The
primary endpoint is change from baseline in the Living with Pulmonary
Hypertension (LPH) questionnaire, a disease-specific HRQoL measure, after 24
weeks of riociguat treatment. The Short Form-12 Health Survey (SF-12) and the
Work Limitations Questionnaire 8 (WLQ-8) will also be utilized to assess patient
reported outcomes. Other variables include change from baseline in World Health
Organization functional class, 6MWD, and modified Borg Dyspnea Index. In
addition, accelerator band activity will be validated against the 6MWD test.
Safety will also be assessed. The MOTION trial will provide information on the
effect of riociguat on patient-reported outcomes in PAH patients in the United
States who are not currently on active treatment through the use of disease
specific and generic HRQoL measures (LPH and SF-12) and a measure of worker
productivity (WLQ-8).
PMID- 27890472
TI - DNA damage talks to inflammation.
AB - Interleukin-1 alpha (IL-1alpha) and beta (IL-1beta) are pleiotropic cytokines
affecting multiple cells and regulating many immune and inflammatory responses.
The recent finding that nuclear IL-1alpha is recruited to sites of DNA damage,
and its ability to actively sense and report genotoxic stress to the surrounding
tissue, dramatically alters the way we view IL-1 biology. This discovery add a
new face to the classical "danger theory" and show that danger signaling is not
strictly limited to passive release or dying cells. Most importantly, as now
physiological stresses are linked to the release or secretion of IL-1alpha,
chronic danger signaling and the alarmin inhibition should be considered as a new
therapeutic approach for many diseases that are characterized by ongoing DNA
damage, stress signaling and inflammation.
PMID- 27890473
TI - The persistence of and resistance to social norms regarding the appropriate
amount to Eat: A preliminary investigation.
AB - We conducted a preliminary investigation on the resistance to, and persistence
of, social influence regarding the appropriate amount to eat, defined in terms of
eating an amount similar to that eaten by a confederate. Participants ate pizza
both alone and in the presence of remote confederates presenting either a high or
low eating norm. In the portion of the experiment examining resistance to social
influence, participants given an initial opportunity to form a personal eating
norm by eating alone for one session in the absence of social influence were no
more resistant to low eating norms than were those who had no such opportunity;
however, those who ate alone for two or three prior sessions did show resistance.
For the high eating norm, it took three eating alone sessions to create
resistance. In the portion of the experiment examining persistence of social
influence, when participants ate alone following a session with norm-setting
remote confederates, the effect of the social influence persisted. However, the
persistence effect varied by norm and weakened over time. Participants modeled a
low eating norm for only one additional session and the size of the effect was
markedly weaker. By contrast, the high norm persisted for all of the remaining
sessions. Thus, individuals' social influence histories can affect their eating.
PMID- 27890474
TI - An exploratory study of Mindfulness Based Stress Reduction for emotional eating.
AB - Emotional eating is an important predictor of weight loss and weight regain after
weight loss. This two part study's primary aim was to explore changes in
emotional eating in a general population of individuals taking the Mindfulness
Based Stress Reduction (MBSR) program, with a secondary aim to explore whether
changes in mindfulness predicted changes in emotional eating. Self-reported
survey data exploring these questions were collected before and after the
intervention for two sequential studies (Study 1 and Study 2). While there were
no control groups for either study, in both studies emotional eating scores
following the MBSR were significantly lower than scores prior to taking the MBSR
(p < 0.001; p < 0.001) In Study 2, changes in mindfulness were correlated with
changes in emotional eating (r = 0.317, p = 0.004). These results suggest that
MBSR may be an effective intervention for emotional eating, and that further
research is warranted to examine effects on weight loss and maintenance.
PMID- 27890476
TI - Spatial and temporal dynamics of macrophyte cover in a large regulated river.
AB - The River Ebro basin is extensively dammed. Dams alter the geomorphological
functioning of the river by altering its flow regime (e.g. reducing mean and
maximum discharges), increasing bed stability (armouring) and decreasing
turbidity (water clarity). These effects, together with an increase in nutrient
concentrations and water temperature, have generated optimal conditions for the
proliferation of aquatic macrophytes. In this paper, we analyse the temporal and
spatial changes of macrophyte cover in the lowermost Ebro through a series of
field campaigns carried out between 2009 and 2010. Special attention was paid to
the spatial distribution of macrophytes in relation to flow hydraulics, channel
geometry and bed sedimentology. Temporal changes in macrophyte cover were
analysed in relation to the frequency and magnitude of both natural floods and
flushing flows (artificial flow releases from dams with generally a magnitude
that equates around a2-year flood in the river). Spatially, the proportion of
macrophytes along the reaches showed a variable pattern, with a succession of
areas with both high and low plant density, coinciding with the alternation of
riffles and pools in the channel. The highest values of plant cover (>65%)
occurred in riffles and in transition to riffle areas, while the lowest densities
(1% or almost negligible) were observed in pools and transition to pool areas.
Water depth and the grain-size distribution of the riverbed materials (i.e. D84),
are found to be the main factors controlling the degree of plant cover in the
lower Ebro. Temporally, the macrophyte proportion varied during the hydrological
year, with a clear increment from late spring to early autumn (i.e. vegetation
cover reached 40%, on average, of the channel surface). Macrophyte coverage
decreases immediately following a flushing flow but in the long term, vegetation
re-occupied the area again, even slightly increasing in some sections; overall,
the mean percentage of macrophyte cover was 19% higher at the end of the study
period, despite the numerous flow events occurred on the meantime. This increase
enhanced riverbed stability, which in turn reduced the possibility for bed
material entrainment. This study empirically confirms the necessity of improving
the management options applied in the lower Ebro with complementary measures to
help maximise the efficiency of flow releases (for instance, subject the
macrophytes to a severe hydrological stress by decreasing discharge before a
given flushing flow, undertake localise mechanical removal of plants in areas
where density is high, and increase the frequency of floods in winter time when
macrophyte stands are weaker).
PMID- 27890475
TI - Slowed dark adaptation in older eyes; effect of location.
AB - PURPOSE: The rate of rod sensitivity recovery following a photobleach is a basic
measure of the integrity of the outer retina. Rods are selectively impaired in
aging and many disorders of the retina, notably Age-Related Macular Degeneration
(AMD). It is not known for certain whether the age-related deficit is a pan
retinal effect or if there are localised regions of impaired rod function. To
address this important issue a dual arc stimulus was developed that samples
sensitivity recovery in two retinal locations. METHODS: Arc-shaped stimuli were
presented on a black CRT screen at two locations, in the inferior visual field.
Following a bleach, which was localised to the stimuli, recovery of sensitivity
was measured using a modified method of adjustment technique. Neutral density
filters were used to extend the luminance range of the CRT. Sensitivity recovery
functions were fitted by non-linear regression to a seven-parameter model.
RESULTS: Pairs of sensitivity recovery functions were generated from the stimuli.
The cone phases of these functions were identical. The slopes of the S2 sections
of the curves were steeper for the outer stimuli for both young (p < 0.001) and
older (p = 0.003) observers. The difference between the two was the same for the
two groups. The alpha point was reached slightly earlier for the young observers
and with the outer stimulus but neither of these effects reached statistical
significance. The beta point occurred earlier for the outer stimuli and this
effect was statistically significant only for the older group. CONCLUSIONS: The
method places minimal demands on observers. The fact that rod sensitivity
recovery is slowed in the older normal eye to the same extent in the two
locations suggests that this deficit may be uniform across the retina. As there
are localised losses in scotopic function in AMD, the technique is ideally suited
to distinguishing impaired recovery dynamics due to normal ageing from those
caused by disease.
PMID- 27890477
TI - Implementing participatory monitoring in river management: The role of
stakeholders' perspectives and incentives.
AB - Involving local stakeholders in monitoring has the potential to stimulate
learning and improve management responses. However, there is limited
understanding about factors which influence implementation and success. This
paper reviews local stakeholders' perceptions with respect to the construction of
longitudinal dams in the Dutch river Waal, and explores their incentives to be
involved in monitoring the effects of this intervention. Interviews with key
stakeholders showed that concerns and (dis)trust are important incentives for
participating in monitoring. Surveys of local residents, recreational anglers and
boaters, and shipping professionals mapped their level of trust, attachment to
the river landscape and evaluation of the effects of the longitudinal dams. Our
case study shows that incentives for participation differ between stakeholder
groups, and that research into their perceptions of the local environment can
inform water managers on how to involve these groups in participatory monitoring.
PMID- 27890478
TI - Association of Dietary Habits with Psychosocial Outcomes in Women with
Fibromyalgia: The al-Andalus Project.
AB - BACKGROUND: Fibromyalgia (FM) is a complex multidimensional disorder with pain as
its main symptom. Fibromyalgia imposes a psychosocial burden on individuals that
negatively impacts quality of life. The relationship of dietary habits with these
psychosocial aspects is still unclear. OBJECTIVE: The purpose of this cross
sectional study was to assess dietary habits in a representative sample of women
with FM and to explore their association with mental health, depression, and
optimism in this population. DESIGN: A cross-sectional study was conducted
between November 2011 and January 2013. PARTICIPANTS: The study sample comprised
486 women (ages 35 to 65 years) with FM from Andalucia (southern Spain). MAIN
OUTCOME MEASURES: Mental health, depression, and optimism were evaluated by means
of the mental component scale of the 36-item Short-Form Health Survey, the Beck
Depression Inventory (BDI-II), and the Life Orientation Test Revised,
respectively. A short form of a validated food frequency questionnaire was used
to assess dietary habits. STATISTICAL ANALYSES PERFORMED: Analysis of covariance
was used to assess associations between dietary habits and mental health,
depression, and optimism. The presence of severe depression (BDI-II >=29) as a
function of dietary habits was examined with logistic regression. RESULTS: A
daily or almost-daily consumption of fruit and vegetables and a moderate
consumption of fish (2 to 5 servings per week) were associated with higher scores
in mental health (P<0.001, P<0.05, and P<0.001, respectively) and lower levels of
depression (P<0.001, P<0.01, and P<0.01, respectively). A daily or almost-daily
consumption of vegetables and a moderate consumption of dairy products and fish
were associated with higher levels of optimism (P<0.05, P<0.05, and P<0.001,
respectively). A daily or almost-daily consumption of cured meats and sweetened
beverages were associated with higher levels of depression and lower levels of
optimism, respectively (both P<0.05). CONCLUSION: The results this study suggest
that a daily or almost-daily intake of fruit and vegetables and a moderate intake
of fish may be associated with more favorable psychosocial outcomes in women with
FM. Conversely, excessive intake of cured meats and sweetened beverages was
related to worse scores in optimism and depression outcomes. Future research
analyzing dietary patterns as well as intervention studies evaluating the effects
of healthy dietary patterns on psychosocial and physical outcomes in individuals
with FM are warranted.
PMID- 27890479
TI - Heart rate variability and psychometric responses to overload and tapering in
collegiate sprint-swimmers.
AB - OBJECTIVES: The purpose of this study was to evaluate cardiac-parasympathetic and
psychometric responses to competition preparation in collegiate sprint-swimmers.
Additionally, we aimed to determine the relationship between average vagal
activity and its daily fluctuation during each training phase. DESIGN:
Observational. METHODS: Ten Division-1 collegiate sprint-swimmers performed heart
rate variability recordings (i.e., log transformed root mean square of successive
RR intervals, lnRMSSD) and completed a brief wellness questionnaire with a
smartphone application daily after waking. Mean values for psychometrics and
lnRMSSD (lnRMSSDmean) as well as the coefficient of variation (lnRMSSDcv) were
calculated from 1 week of baseline (BL) followed by 2 weeks of overload (OL) and
2 weeks of tapering (TP) leading up to a championship competition. RESULTS:
Competition preparation resulted in improved race times (p<0.01). Moderate
decreases in lnRMSSDmean, and Large to Very Large increases in lnRMSSDcv,
perceived fatigue and soreness were observed during the OL and returned to BL
levels or peaked during TP (p<0.05). Inverse correlations between lnRMSSDmean and
lnRMSSDcv were Very Large at BL and OL (p<0.05) but only Moderate at TP (p>0.05).
CONCLUSIONS: OL training is associated with a reduction and greater daily
fluctuation in vagal activity compared with BL, concurrent with decrements in
perceived fatigue and muscle soreness. These effects are reversed during TP where
these values returned to baseline or peaked leading into successful competition.
The strong inverse relationship between average vagal activity and its daily
fluctuation weakened during TP.
PMID- 27890480
TI - Chemerin-induced arterial contraction is Gi- and calcium-dependent.
AB - Chemerin is an adipokine associated with increased blood pressure, and may link
obesity with hypertension. We tested the hypothesis that chemerin-induced
contraction of the vasculature occurs via calcium flux in smooth muscle cells.
Isometric contraction of rat aortic rings was performed in parallel with calcium
kinetics of rat aortic smooth muscle cells to assess the possible signaling
pathway. Chemerin-9 (nonapeptide of the chemerin S157 isoform) caused a
concentration-dependent contraction of isolated aorta (EC50 100nM) and elicited a
concentration-dependent intracellular calcium response (EC50 10nM). Pertussis
toxin (Gi inhibitor), verapamil (L-type Ca2+ channel inhibitor), PP1 (Src
inhibitor), and Y27632 (Rho kinase inhibitor) reduced both calcium influx and
isometric contraction to chemerin-9 but PD098059 (Erk MAPK inhibitor) and U73122
(PLC inhibitor) had little to no effect on either measure of chemerin signaling.
Although our primary aim was to examine chemerin signaling, we also highlight
differences in the mechanisms of chemerin-9 and recombinant chemerin S157. These
data support a chemerin-induced contractile mechanism in vascular smooth muscle
that functions through Gi proteins to activate L-type Ca2+ channels, Src, and Rho
kinase. There is mounting evidence linking chemerin to hypertension and this
mechanism brings us closer to targeting chemerin as a form of therapy.
PMID- 27890481
TI - Growth disparity of motherless children might be attributed to a deficient intake
of high-quality nutrients.
AB - We hypothesized that single-parent children (SPC) are retarded in growth compared
with both-parent children (BPC), and that motherless children (MC) are affected
differently from fatherless children. Moreover, the growth disparity between SPC
and BPC might be attributed to a deficient nutrient intake. Data from 2967
children between the ages of 2 and 18 years were extracted from 4 recent waves
(2004, 2006, 2009, and 2011) of the China Health and Nutrition Survey to compare
the growth status of SPC and BPC and to investigate the association between
growth indicators and nutrition status. Anthropometric measures and 24-hour
recall of 3 consecutive days of dietary intake were collected. The discrepancy of
growth status and nutrition between BPC and SPC was analyzed by multivariable
regression models with adjustments for socioeconomic status. Results indicated
that MC were significantly lighter in weight than BPC (P=.03); the same trend was
observed for height (P=.08). This might be attributed to the lower intake of
animal-source protein (P=.02), such as meat (P=.04) and fish (P=.04). Further
analysis showed that intake of animal-source iron and zinc was also significantly
lower in MC compared with BPC (P=.01, P=.03). No difference was detected in
fatherless children in comparison with BPC. Our study indicated that the loss of
the mother adversely affected children's growth status and that a lower intake of
animal-source protein, iron, and zinc might be a reason for the retarded growth
status of MC.
PMID- 27890482
TI - Dietary nitrate does not affect physical activity or outcomes in healthy older
adults in a randomized, cross-over trial.
AB - Although dietary nitrate (NO3-) ingestion appears to enhance exercise capacity
and performance in young individuals, inconclusive findings have been reported in
older people. Therefore, we conducted a double-blind, crossover randomized
clinical trial using beetroot juice in older healthy participants, who were
classified as normal weight and overweight. We tested whether consumption of
beetroot juice (a rich source of NO3-) for 1 week would increase nitric oxide
bioavailability via the nonenzymatic pathway and enhance (1) exercise capacity
during an incremental exercise test, (2) physical capability, and (3) free-living
physical activity. Twenty nonsmoking, healthy participants between 60 and 75
years of age and with a body mass index of 20.0 to 29.9 kg/m2 were included.
Presupplementation and postsupplementation resting, submaximal, maximal, and
recovery gas exchanges were measured. Physical capability was measured by hand
grip strength, time-up-and-go, repeated chair rising test, and 10-m walking
speed. Free-living physical activity was assessed by triaxal accelerometry.
Changes in urinary and plasmaNO3-concentrations were measured by gas
chromatography-mass spectrometry. Nineteen participants (male-to-female ratio,
9:10) completed the study.Beetroot juice increased significantly both plasma and
urinary NO3-concentrations (P<.001) when compared with placebo. Beetroot juice
did not influence resting or submaximal and maximal oxygen consumption during the
incremental exercise test. In addition, measures of physical capability and
physical activity levels measured in free-living conditions were not modified by
beetroot juice ingestion. The positive effects of beetroot juice ingestion on
exercise performance seen in young individuals were not replicated in healthy,
older adults. Whether aging represents a modifier of the effects of dietary NO3
on muscular performance is not known, and mechanistic studies and larger trials
are needed to test this hypothesis.
PMID- 27890483
TI - A 23 year experience with laparoscopic common bile duct exploration.
AB - BACKGROUND: Laparoscopic common bile duct exploration (LCBDE) during laparoscopic
cholecystectomy (LC) is as effective as two-stage endo-laparoscopic treatment,
but with shorter hospital stay, lower cost and recurrent stone rate. Aim of this
paper was to report the authors' experience with LCBDE during LC. METHODS: A
retrospective analysis of patients who underwent LCBDE for ductal stones was
performed. Recurrent stones were defined as CBD stones detected beyond 6 months
from the procedure. Postoperative biliary stricture was defined as a symptomatic
reduction of CBD diameter. RESULTS: Out of 3444 patients who underwent LC, 384
(11%) had CBD stones treated by trans-cystic duct exploration [214 (6%) patients,
TCD-CBDE] or choledochotomy [170 (5%) patients, C-CBDE]. For TCD-CBDE and C-CBDE,
mean operative time was 127 +/- 69 and 191 +/- 74 min, respectively. Major
morbidity rate was 3% (n = 6) in TCD-CBDE and 6% (n = 11) in C-CBDE. The
incidence of residual stones was 5% (n = 20) and complete ductal clearance rate
was 95% (n = 364). After long-term follow-up (mean 189 +/- 105 months) the
recurrent stone rate was 2%. DISCUSSION: In expert centers, LCBDE during LC is
safe and effective with low short and long term morbidity rates.
PMID- 27890484
TI - 25 years of advances in the definition, classification and treatment of status
epilepticus.
AB - PURPOSE: Status epilepticus (SE) requires not only urgent symptomatic treatment
with antiepileptic drugs but also rapid identification and treatment of its
cause. This narrative review summarizes the most important advances in
classification and treatment of SE. METHOD: Data sources included MEDLINE,
EMBASE, ClinicalTrials.gov, and back tracking of references in pertinent studies,
reviews, and books. RESULTS: SE is now defined as "a condition resulting either
from the failure of the mechanisms responsible for seizure termination or from
the initiation of mechanisms, which lead to abnormally, prolonged seizures (after
time point t1). It is a condition, which can have long-term consequences (after
time point t2), including neuronal death, neuronal injury, and alteration of
neuronal networks, depending on the type and duration of seizures." A new
diagnostic classification system of SE introduces four axes: semiology,
aetiology, EEG correlates, and age. For the acute treatment intravenous
benzodiazepines (lorazepam, diazepam, clonazepam) and intramuscular midazolam
appear as most effective treatments for early SE. In children, buccal or
intranasal midazolam are useful alternatives. In established SE intravenous
antiepileptic drugs (phenytoin, valproate, levetiracetam, phenobarbital, and
lacosamide) are in use. Treatment options in refractory SE are intravenous
anaesthetics; ketamine, magnesium, steroids and other drugs have been used in
super-refractory SE with variable outcomes. CONCLUSION: Over the past 25 years
major advances in definition, classification and understanding of its mechanisms
have been achieved. Despite this up to 40% of patients in early status cannot be
controlled with first line drugs. The treatment of super-refractory status is
still an almost evidence free zone.
PMID- 27890485
TI - Nizar Albache: championing the cause of Syrian refugees with diabetes.
PMID- 27890486
TI - Towards a multidisciplinary approach to understand and manage obesity and related
diseases.
AB - Overnutrition and sedentary lifestyle result in overweight or obesity defined as
abnormal or excessive fat accumulation that may impair health. According to the
WHO, the worldwide prevalence of obesity nearly doubled between 1980 and 2008. In
2008, over 50% of both men and women in the WHO European Region were overweight,
and approximately 23% of women and 20% of men were obese. Comprehensive
diagnostic and therapeutic approaches should include nutritional treatment to
favor the best metabolic and nutritional outcome, as well as to induce potential
disease-specific benefits from selected nutritional regimens. Obesity is usually
accompanied by an increased muscle mass. This might explain why obesity, under
particular circumstances such as cancer or high age, might have protective
effects, a phenomenon named the 'obesity paradox'. However, loss of muscle mass
or function can also occur, which is associated with poor prognosis and termed
'sarcopenic obesity'. Therefore, treatment recommendations may need to be
individualized and adapted to co-morbidities. Since obesity is a chronic systemic
disease it requires a multidisciplinary approach, both at the level of prevention
and therapy including weight loss and maintenance. In the present personal review
and position paper, authors from different disciplines including endocrinology,
gastroenterology, nephrology, pediatrics, surgery, geriatrics, intensive care
medicine, psychology and psychiatry, sports medicine and rheumatology, both at
the basic science and clinical level, present their view on the topic and
underline the necessity to provide a multidisciplinary approach, to address this
epidemic.
PMID- 27890488
TI - Green tea, weight loss and physical activity.
PMID- 27890487
TI - Polyphenol intake and cardiovascular risk factors in a population with type 2
diabetes: The TOSCA.IT study.
AB - BACKGROUND: The role of polyphenol intake on cardiovascular risk factors is
little explored, particularly in people with diabetes. AIM: To evaluate the
association between the intake of total polyphenols and polyphenol classes with
the major cardiovascular risk factors in a population with type 2 diabetes.
METHODS: Dietary habits were investigated in 2573 males and females participants
of the TOSCA.IT study. The European Prospective Investigation on Cancer and
Nutrition (EPIC) questionnaire was used to assess dietary habits. In all
participants, among others, we assessed anthropometry, plasma lipids, blood
pressure, C-reactive protein and HbA1c following a standard protocol. The USDA
and Phenol-Explorer databases were used to estimate the polyphenol content of the
habitual diet. RESULTS: Average intake of polyphenols was 683.3 +/- 5.8 mg/day.
Flavonoids and phenolic acids were the predominant classes (47.5% and 47.4%,
respectively). After adjusting for potential confounders, people with the highest
intake of energy-adjusted polyphenols (upper tertile) had a more favorable
cardiovascular risk factors profile as compared to people with the lowest intake
(lower tertile) (BMI was 30.7 vs 29.9 kg/m2, HDL-cholesterol was 45.1 vs 46.9
mg/dl, LDL-cholesterol was 103.2 vs 102.1 mg/dl, triglycerides were 153.4 vs
148.0 mg/dl, systolic and diastolic blood pressure were respectively 135.3 vs
134.3 and 80.5 vs 79.6 mm/Hg, HbA1c was 7.70 vs 7.67%, and C-reactive Protein was
1.29 vs 1.25 mg/dl, p < .001 for all). The findings were very similar when the
analysis was conducted separately for flavonoids or phenolic acids, the two main
classes of polyphenols consumed in this population. CONCLUSIONS: Polyphenol
intake is associated with a more favorable cardiovascular risk factors profile,
independent of major confounders. These findings support the consumption of foods
and beverages rich in different classes of polyphenols particularly in people
with diabetes. CLINICAL TRIAL: http://www.clinicaltrials.gov; Study ID number:
NCT00700856.
PMID- 27890489
TI - Spinal Muscular Atrophy, types I and II: What are the differences in body
composition and resting energy expenditure?
AB - BACKGROUND & AIMS: Different neuromuscular functional domains in types I and II
Spinal Muscular Atrophy (SMAI and SMAII) could lead to differences in body
composition (BC) and resting energy expenditure (REE). Their identification could
provide the key to defining appropriate strategies in clinical dietary
management, but data comparing SMAI and SMAII in terms of BC and REE are not yet
available. We measured total and regional fat (FM), lean (LBM), mineral (BMC)
masses, body water (total, intra- and extra-cellular, TBW, ICW, ECW) and REE in a
sample of SMAI and II children, matched for age and sex, and also adjusting for
body size to compare these features of the two SMA phenotypes. METHODS: 15 SMAI
and 15 SMAII children, (M/F = 9/6 vs 9/6, age 3.6 +/- 1.9 vs 3.5 +/- 1.8 years, p
= 0.99), confirmed genetically, were measured as follows: Anthropometric
measurements [Body Weight (BW), Supine Length (SL), Arm Length (AL), Femur Length
(FL), Tibia Length (TL)], Dual x-ray Energy Absorptiometry (DEXA) [total and
segmental FM, LBM, FFM, and BMC], Bioelectrical impedance (BIA) [TBW, ICW, ECW]
and Indirect Calorimetry (REE, respiratory quotients) were collected by the same
trained dietician. BW, SL and Body Mass Index (BMI) Z-scores were calculated
according to CDC Growth Charts (2000). RESULTS: SMA children had high percentages
of FM and a lower percentage of TBW and ECW compared to the respective reference
values for sex and age, whereas the BMC percentages did not differ, even
splitting the two phenotypes. SMA I children had a lower BW and BMI-Z score
compared to children with SMA II, but similar total and segmental FM. On the
contrary, total FFM and LBM were significantly lower in SMAI (7290.0 +/- 1729.1 g
vs 8410.1 +/- 1508.4 g; 6971.8 +/- 1637.1 g vs 8041.7 +/- 1427.7 g, p = 0.039, p
= 0.037, respectively), particularly at the trunk level. Arm BMC also resulted
significantly lower in SMAI. The measured REE values were similar (684 +/- 143
kcal/day vs 703 +/- 122 Kcal/day p = 0.707) whereas REE per FFM unit was higher
in SMA I children than in SMA II (95 +/- 12 kcal/FFMkg vs 84 +/- 11 kcal/FFMkg p
= 0.017). CONCLUSIONS: This study has shown that BW and BMI Z-score measurements
alone can be misleading in assessing nutritional status, particularly in SMAI.
The differences between SMAI and II in total and regional BC are related only to
FFM, LBM and BMC, and seem to be more linked to the magnitude of neurofunctional
impairment rather than to the nutritional status derangement. SMA I and SMA II
children can have different energy requirements in relation to their specific BC
and hypermetabolism of FFM. Based on these results, our recommendation is to use
direct BC and REE measurements in the nutritional care process until SMA-specific
predictive equations become available.
PMID- 27890490
TI - Fragmentation of daily rhythms associates with obesity and cardiorespiratory
fitness in adolescents: The HELENA study.
AB - BACKGROUND & AIMS: Chronobiology studies periodic changes in living organisms and
it has been proposed as a promising approach to investigate obesity. We analyze
the association of the characteristics of the rest-activity rhythms with obesity,
cardiorespiratory fitness and metabolic risk in adolescents from nine European
countries. METHODS: 1044 adolescents (12.5-17.5 y) were studied. Circadian health
was evaluated by actigraphy with accelerometers (Actigraph GT1M). Characteristics
of the daytime activity such as fragmentation (intradaily variability), estimated
acrophase, and 10 h mean daytime activity index were obtained. Body composition
was assessed using Bioelectrical-Impedance-Analysis, skinfold thickness, air
displacement-plethysmography and Dual-energy-X-ray-Absorptiometry.
Cardiorespiratory fitness (VO2max) and metabolic risk were studied. RESULTS:
Highly fragmented activity rhythms were associated with obesity and central
adiposity (P < 0.05). Obese adolescents had ~3 times higher odds of having a high
fragmentation of daytime activity compared to normal weight adolescents OR (95%
CI) = 2.8 (1.170, 6.443). A highly fragmented rhythm was also related to lower
cardiorespiratory fitness and higher metabolic risk (P < 0.05) so those
adolescents classified as low fitness showed a significantly higher fragmentation
of daytime activity than those included in the high fitness group (P < 0.0001).
Other characteristics of the rhythms such as smaller 10 h daytime mean activity
index and delayed estimated acrophase were also related to obesity and metabolic
risk (P < 0.05). CONCLUSIONS: Our results indicate that the daily organization of
the rest-activity cycle is more fragmented in obese and less fit adolescents and
correlates with higher metabolic risk. This fact reinforces our hypothesis that
disturbances in daily rhythms can be considered as sensitive markers of poorer
adolescent's health.
PMID- 27890491
TI - Neural pattern similarity between contra- and ipsilateral movements in high
frequency band of human electrocorticograms.
AB - The cortical motor areas are activated not only during contralateral limb
movements but also during ipsilateral limb movements. Although these ipsilateral
activities have been observed in several brain imaging studies, their functional
role is poorly understood. Due to its high temporal resolution and low
susceptibility to artifacts from body movements, the electrocorticogram (ECoG) is
an advantageous measurement method for assessing the human brain function of
motor behaviors. Here, we demonstrate that contra- and ipsilateral movements
share a similarity in the high-frequency band of human ECoG signals. The ECoG
signals were measured from the unilateral sensorimotor cortex while patients
conducted self-paced movements of different body parts, contra- or ipsilateral to
the measurement side. The movement categories (wrist, shoulder, or ankle) of
ipsilateral movements were decoded as accurately as those of contralateral
movements from spatial patterns of the high-frequency band of the precentral
motor area (the primary motor and premotor areas). The decoder, trained in the
high-frequency band of ipsilateral movements generalized to contralateral
movements, and vice versa, confirmed that the activity patterns related to
ipsilateral limb movements were similar to contralateral ones in the precentral
motor area. Our results suggest that the high-frequency band activity patterns of
ipsilateral and contralateral movements might be functionally coupled to control
limbs, even during unilateral movements.
PMID- 27890492
TI - Treatment of leydig cell tumours of the testis: Can testis-sparing surgery
replace radical orchidectomy? Results of a systematic review.
AB - INTRODUCTION: The gold standard for Leydig cell tumours (LCTs) is still
considered radical orchidectomy, but testis sparing surgery (TSS) in conjunction
with intraoperative frozen section (FSE) has been recently attempted with
promising results. ACQUISITION OF EVIDENCE: Studies were identified by searching
electronic databases. A bibliographic search covering the period from January
1980 to December 2012 was conducted using PubMed/MEDLINE and EMBASE database.
Studies were excluded if they were single case reports, meeting abstracts and
conference proceedings. SYNTHESIS OF EVIDENCE: The present analysis is based on a
total of 13 studies that fulfilled the predefined inclusion criteria. A total of
247 participants were included in the 13 studies examined in this systematic
review. 145 were treated with radical orchiectomy and 102 with TSS. In the
radical surgery group, the follow-up varied from 6 to 249 months). In the TSS
group, the follow-up varied from 6 to 192 months. Frozen section was performed in
a total of 96 patients. Sensitivity was 87.5%. None of the patients treated with
TSS presented a metastatic recurrence, while in patients treated with radical
orchiectomy three patients presented with metastatic recurrence In selected cases
radical surgery appears excessive and the potential for a shift to TSS as the
standard management is gathering momentum. CONCLUSIONS: The results confirm the
favourable course of LCT treated with TSS. The results obtained are encouraging
and the concept is attractive to become the standard therapy in all patients and
not only in people affected by (sub)fertility or with solitary testis.
PMID- 27890493
TI - Higher number of transrectal ultrasound guided prostate biopsy cores is
associated with higher blood loss and perioperative complications in robot
assisted radical prostatectomy.
AB - INTRODUCTION: The local inflammatory process after prostate biopsies can have a
negative impact on functional outcomes of radical prostatectomy. There is no
evidence in literature demonstrating its impact on radical prostatectomy.
OBJECTIVES: To evaluate the impact of the number of TRUS core biopsies in the
surgical morbidity and rate of positive margin on robot assisted radical
prostatectomy (RARP). MATERIAL AND METHODS: A prospectively maintained database
of 2,054 RARPs in a single institution. Patients were further grouped into 2
groups based on the number of TRUS biopsy cores (G1<=12 cores; G2>12 cores).
Multivariable logistic regression model was applied to analyze the impact of
number of cores on complications. RESULTS: A total number of 1,042 patients in
the group 1 (<=12 cores) and 1,012 patients in the group 2 (>12 cores) were
included. The rate of perioperative complications increased with higher number of
biopsies (G1 6.4 vs. G2 8.5%; P=.03), but high grade complication (Clavien 3-4)
were similar (G1 1.4 vs. G2 2.2%; P=.16). Positive surgical margin rates were
similar in both groups (G1 11.8 vs. 9.98%; P=.2). At the multivariable logistic
regression analysis shown that G2 had a 39% (OR 0.645) higher rate to experience
perioperative complications during RARP. CONCLUSION: Higher number of TRUS biopsy
cores (>12) is associated to higher blood loss and perioperative complications
during RARP. Careful preoperative evaluation for those patients underwent
multiple biopsies or saturation protocols is mandatory. Application of longer
intervals (>6 weeks) between biopsy and surgery may be advisable to minimize
potential risks of surgical complications in patients may benefit from RARP.
Further studies are still necessary to confirm these results.
PMID- 27890494
TI - Neuroendocrine Tumors of the Lung: Current Challenges and Advances in the
Diagnosis and Management of Well-Differentiated Disease.
AB - Neuroendocrine tumors (NETs) comprise a heterogeneous group of malignancies that
arise from neuroendocrine cells throughout the body, most commonly originating
from the lungs and gastrointestinal tract. Lung NETs can be classified as well
differentiated (low-grade typical carcinoids [TCs] and intermediate-grade
atypical carcinoids [ACs]) and poorly differentiated (high-grade large cell
neuroendocrine carcinoma or SCLC). The incidence of these tumors is increasing,
but disease awareness remains low among thoracic specialists, who are often
involved in the diagnosis and early treatment for these patients. An accurate and
timely diagnosis can ensure the implementation of appropriate treatment and have
a substantial impact on prognosis. However, lung NET classification and
diagnosis, particularly for TCs/ACs, are complicated by several factors,
including a variable natural history and nonspecific symptoms. Surgery remains
the only curative option for TCs/ACs, but there is a lack of consensus between
lung NET management guidelines regarding optimal treatment approaches in the
unresectable/metastatic setting on account of the limited availability of high
level clinical evidence. As a result, a multidisciplinary approach to management
of lung NETs is required to ensure a consistent and optimal level of care.
RADIANT-4 is the first phase III trial involving a large subpopulation of
patients with advanced well-differentiated lung NETs to report reductions in the
risk for disease progression and death with everolimus over placebo. This led to
the recent U.S. approval of everolimus-the first agent approved for advanced lung
TCs/ACs. To further improve evidence-based care, additional randomized controlled
trials in patients with lung carcinoids are needed.
PMID- 27890495
TI - Critical care guidelines-more science less art?
PMID- 27890496
TI - Chronic cough and cough hypersensitivity syndrome.
PMID- 27890497
TI - Care for ARDS in 2016: room to improve.
PMID- 27890499
TI - Bronchiectasis in 2016: advances in our understanding.
PMID- 27890498
TI - Asthma in 2016: reassured about the old, excited about the new.
PMID- 27890500
TI - COPD in 2016: some answers, more questions.
PMID- 27890501
TI - Cystic fibrosis in 2016: considerable progress, but much more to do.
PMID- 27890502
TI - IPF in 2016: towards a better diagnosis.
PMID- 27890503
TI - Lung cancer in 2016: immunotherapy comes of age.
PMID- 27890504
TI - Pneumonia in 2016: towards better care.
PMID- 27890505
TI - The death of Madame Tussaud: a cardiorespiratory interpretation.
PMID- 27890506
TI - Serum procalcitonin and the admission decision in CAP.
PMID- 27890508
TI - Corrections.
PMID- 27890507
TI - Response to "Extremis: a sensitive look at life (and death) in the ICU".
PMID- 27890509
TI - Small-scale screening method for low-viscosity antibody solutions using small
angle X-ray scattering.
AB - In this study, we investigated the concentration range in which self-association
starts to form in humanized IgG monoclonal antibody (mAb) solutions. Furthermore,
on the basis of the results, we developed a practical method of screening for low
viscosity antibody solutions by using small-angle X-ray scattering (SAXS)
measurements utilizing small quantities of samples. With lower-viscosity mAb3,
self-association was not detected in the range of 1-80mg/mL. With higher
viscosity mAb1, on the other hand, self-association was detected in the range of
10-20mg/mL and was clearly enhanced by a decrease in temperature. The viscosities
of mAb solutions at 160, 180, and 200mg/mL at 25 degrees C quantitatively
correlated very well with the particle size parameters obtained by SAXS
measurements of mAb solutions at 15mg/mL at 5 degrees C. The quantity of mAb
sample required for the SAXS measurements was only 0.15mg, which is about one
hundredth of that required for actual viscosity measurements at a high
concentration, and such quantities could be available even at an early stage of
development. In conclusion, the SAXS analysis method proposed in this study is a
valuable tool for the development of concentrated mAb therapeutics with high
manufacturability and high usability for subcutaneous injection.
PMID- 27890510
TI - In situ forming pluronic(r) F127/chitosan hydrogel limits metronidazole
transmucosal absorption.
AB - The objective of this work is to design topically-applied thermosensitive and
mucoadhesive hydrogel containing metronidazole (MTZ) for the treatment of
Trichomonas vaginalis infections. Hydrogel composed of pluronic(r) F127 (20wt%),
chitosan (1wt%) and metronidazole MTZ (0.7wt%) mixture showed its ability to
decrease by a factor 4 MTZ flux and apparent permeability absorption through
vaginal mucosa. The impact of hydrogel on transmucosal penetration of MTZ was
evaluated ex vivo on excised porcine vaginal mucosa mounted on Franz diffusion
cell. The anti-T. vaginalis activity of MTZ formulated into F127/chitosan
hydrogel was preserved since the viability curve evaluated in vitro was similar
to MTZ solution.
PMID- 27890511
TI - Cuticular microstructures turn specular black into matt black in a stick insect.
AB - The stick insect Peruphasma schultei stands out from other insects by its deep
matt black cuticle. We tested whether the appearance of P. schultei is due to
microstructures of the cuticle, a phenomenon that has recently been described for
the velvet black scales of the Gaboon viper. The shiny black stick insect
Anisomorpha paromalus served as a control. We found that the P. schultei cuticle
is characterised by two different types of microstructures, tall elevations with
a maximum size of 18 MUm and small structures with a height of 4 MUm. Other than
in the snake, P. schultei microstructures do not bear nanostructures. The
microstructures scatter light independently of the viewing angle. This causes the
matt appearance of the cuticle, whereas pigments are responsible for the black
colouration, resulting in a maximum reflectance of 2.8% percent. The
microstructures also cause the hydrophobic properties of the cuticle with contact
angles near 130 degrees . Resin replicas and bleaching of the cuticle strongly
support these results. Moreover, the matt black cuticle has a higher heat
absorption compared to the control. We discuss the selective benefit of the matt
black appearance of P. schultei in the context of behaviour, ecology and
phylogeny.
PMID- 27890512
TI - Safety and efficacy of valve repositioning during transcatheter aortic valve
replacement with the Lotus Valve System.
AB - OBJECTIVE: To determine the safety and efficacy of valve repositioning following
transcatheter aortic valve replacement (TAVR) with the Lotus Valve System (Boston
Scientific, Marlborough, MA, USA). INTRODUCTION: TAVR is a well-established
treatment for severe aortic stenosis. The Lotus Valve System is fully
repositionable and retrievable. Valve repositioning has the potential to minimize
TAVR-related complications caused by valve malposition; however, the effect on
adverse event rates such as stroke is unknown. METHODS: Consecutive patients with
severe aortic stenosis treated with the Lotus Valve System (n=125) were
prospectively recruited. Patients who did not require valve repositioning (Group
A) were compared to patients who required one or more valve repositions (Group
B). The primary end-point was 30-day occurrence of major adverse cardiovascular
and cerebrovascular events (MACCE). Secondary end-points included each component
of the primary end-point, new pacemaker insertion, and procedural or 30-day major
adverse events, defined according to VARC-2 definitions. RESULTS: Valve
repositioning was utilized in 60.8% (76/125) of patients including 17.1% (13/76)
who required full valve resheathing. The most frequent indications for valve
repositioning were altering the depth and angulation of initial implantation
(69.7%), reducing paravalvular regurgitation (13.2%), and attempt to correct new
or worsened heart block (7.9%). Baseline characteristics were similar in both
groups. The primary end-point occurred in 12.2% and 6.6% of Group A and B,
respectively (p=0.10). Thirty-day new pacemaker implantation was 34.1% and 18.8%
in Group A and B, respectively (p=0.06). The secondary end-point measures were
not significantly different between the groups. CONCLUSION: Repositioning
facilitated correct anatomical positioning of all devices leading to optimal
prosthesis hemodynamics and a trend to lower pacemaker rate without increased
risk of MACCE.
PMID- 27890513
TI - Therapeutic effect of immunoadsorption and subsequent immunoglobulin substitution
in patients with dilated cardiomyopathy: Results from the observational
prospective Bad Berka Registry.
AB - BACKGROUND: Elimination of cardiac autoantibodies, frequently detected in
patients with dilated cardiomyopathy (DCM), with immunoadsorption (IA) improves
functional capacity and left ventricular (LV) function. This study aimed to
prospectively address this issue in a large cohort of unselected patients.
METHODS: Consecutive patients undergoing IA followed by IgG substitution were
included. Clinical and echocardiographic parameters were assessed at baseline
(BL) and 12-month follow-up (FU). Patients were classified as IA responders when
>=2 of the following criteria were achieved: improvement in the Minnesota Living
with Heart Failure Questionnaire (MLHFQ) >=5 points, symptoms [>=1 New York Heart
Association (NYHA) class], LV ejection fraction (EF) >=10% or decrease in LV end
diastolic diameter (EDD) >=10%, or N-terminal pro B-type natriuretic peptide (NT
pro-BNP) >=50%. RESULTS: 93 patients (median age 61 years, LVEF 30%, duration of
symptoms 14 months, 87% in NYHA class III/IV, >90% treated with beta
blocker/angiotensin-converting enzyme inhibitor) were included. When the entire
cohort was analyzed, a significant improvement in MLHFQ (50 vs. 26 points), NYHA
class (median 3.0 vs. 2.0), LVEF (30% vs. 38%), LVEDD (62 vs. 59mm), NT-pro-BNP
(892 vs. 523pg/ml) was observed at FU (p<0.05 for all). 48% (n=43) were
classified as responders. Those were characterized by a shorter disease duration
(11 vs. 22 months), larger BL LVEDD (64 vs. 60mm), presence of >1 viral genome,
and higher values of mononuclear inflammatory cells at endomyocardial biopsy.
Sixteen (17.2%) patients experienced IA related complications. CONCLUSIONS: A
positive response is observed in 48% of inflammatory DCM patients undergoing IA,
and this translates into a significant improvement in clinical and
echocardiographic parameters.
PMID- 27890515
TI - Patterns of Waterpipe Tobacco Smoking Among U.S. Young Adults, 2013-2014.
AB - INTRODUCTION: Patterns of waterpipe smoking and associated other tobacco use were
assessed among U.S. young adults (aged 18-24 years). METHODS: A descriptive
analysis of baseline data (2013-2014) from the Population Assessment of Tobacco
and Health Study was conducted in 2016. RESULTS: The study included 9,119 young
adults-44% ever and 11% past-30 day waterpipe smokers (12% of men and 9% of women
were past-30 day smokers). Among past-30 day smokers, waterpipe smoking frequency
was distributed as follows: 4% daily, 23% weekly, 36% monthly, and 37% less than
monthly. The average session exceeded 30 minutes for 79% of respondents. In this
age group, 29% were exclusive waterpipe smokers, 16% were dual
(waterpipe/cigarette) smokers, 8% were dual (waterpipe/e-cigarette) users, 19%
were waterpipe/cigarette/e-cigarette users, and 28% presented with other
combinations of poly use. CONCLUSIONS: Waterpipe smoking is widespread among U.S.
young adults. Although waterpipe patterns are predominantly intermittent, the
average smoking session provides prolonged exposure. Waterpipe smoking among
young adults is primarily characterized by the use of flavored tobacco, the cafe
culture, and poly tobacco use. Rising trends in waterpipe smoking among U.S.
youth warrant a strong regulatory response to prevent future waterpipe-related
morbidity and mortality.
PMID- 27890514
TI - Effectiveness of radiolabelled somatostatin analogues (90Y-DOTATOC and 177Lu
DOTATATE) in patients with metastatic neuroendocrine tumours: a single centre
experience in Mexico.
AB - OBJECTIVE: To determine the effectiveness of therapy with the radiolabelled
somatostatin analogues, 90Y-DOTATOC and 177Lu-DOTATATE, in the treatment of
metastatic neuroendocrine tumours with progression to first-line treatment.
MATERIAL AND METHODS: A study was conducted on 30 patients diagnosed with
neuroendocrine tumours (gastroenteropancreatic, bronchopulmonary, MEN2A, MEN2B,
phaeochromocytoma, and paraganglioma) with metastatic disease diagnosed by the
pathology department, with progression to first-line treatment, and recruited
from December 2014 to February 2016. Efficacy was analysed using computed
tomography (CT) according RECIST 1.1 criteria, and the molecular changes using
the SUVmax of PET/CT with 68Ga-DOTATOC. Safety was carried out with a renal scan
with 99mTc-MAG3. RESULTS: The 30 patients received a total of 49 cycles 90Y
DOTATOC (21 doses) and 177 Lu-DOTATATE (28 doses), with a mean of 1.5 cycles per
patient. Of these, 17 (56.7%) showed a partial morphological response, 22 (73.3%)
molecular and biochemical response, and 23 (76.6%) clinical response. One patient
died during the median follow-up of 13 months. The median overall survival from
diagnosis was 54 months (95% CI; 31.18-76.81), and median progression-free
survival was 32 months (95% CI; 15.00-48.99). CONCLUSION: Therapy with 90Y
DOTATOC and 177Lu-DOTATATE is a promising therapy for patients with well and
moderately differentiated neuroendocrine tumours. The efficacy is better the
larger the number of cycles administered, inversely proportional to the number of
metastases (<10), and is associated with the level of uptake according to the
SUVmax by the metastases, regardless of metabolically active tumour volume.
PMID- 27890516
TI - Trends in E-Cigarette Awareness and Perceived Harmfulness in the U.S.
AB - INTRODUCTION: Electronic cigarettes (e-cigarettes) are gaining in popularity as
an alternative to regular cigarettes, as they are viewed as potentially less
harmful. However, it remains unclear how awareness about e-cigarettes is
permeating through the general U.S. POPULATION: This study seeks to extend
previous research and examine trends in e-cigarette awareness and perceived
harmfulness, and their association with smoking-cessation efforts. METHODS: Data
from three cycles (2012, 2013, and 2014) of the Health Information National
Trends Survey were combined into a single data set. Controlling for survey year,
multivariate logit models were used to determine the association between
demographic characteristics and e-cigarette awareness, perceived harmfulness,
quit attempts, and quit intentions. Data were analyzed in 2015. RESULTS:
Awareness of e-cigarettes increased from 77.1% in 2012 to 94.3% in 2014.
Controlling for demographic characteristics, e-cigarette awareness significantly
increased in both 2013 and 2014, relative to 2012. Perception that e-cigarettes
were less harmful than regular cigarettes declined from 50.7% in 2012 to 43.1% in
2014. Among smokers, no relationship was observed between e-cigarette awareness
and past-year quit attempts or quit intentions, but those that viewed e
cigarettes as less harmful were less likely to have a past-year quit attempt.
CONCLUSIONS: These analyses reveal a continued increase in overall public
awareness of e-cigarettes and shifting harm perceptions relative to regular
cigarettes. New regulatory oversight by the U.S. Food and Drug Administration may
have major effects on both dimensions, which are worth continued monitoring.
PMID- 27890517
TI - Correlates of Receiving a Recommendation for More Physical Activity From a
Primary Care Provider.
AB - INTRODUCTION: Primary care providers (PCPs) are strategically positioned to
communicate with their overweight/obese patients about positive behavioral
changes to improve health and functioning. Demographic and behavioral correlates
of receiving a recommendation for more physical activity (PA) from a PCP by
overweight/obese patients were assessed. METHODS: Community-dwelling adults aged
>=50 years from four Texas cities who were seen by a family physician in a
primary care clinic were surveyed from October 2013 to June 2014. Multivariate
logistic regression predicted the likelihood of receiving a PA recommendation
from a PCP, controlling for sociodemographic factors, health conditions, and
walking behaviors. The analysis was conducted in 2016. RESULTS: Of the total 388
participants (survey response rate, 6.8%), 30.1% were obese, 55.4% were female,
and most were non-Hispanic white (82.9%), married (75.6%), or reported an annual
household income of >=$50,000 (66.8%). Receipt of a PA recommendation from a PCP
(n=151, 38.9%) was significantly correlated with reporting poor to fair health
(OR=7.33, 95% CI=2.6, 20.32), obesity (OR=2.95, 95% CI=1.69, 5.14), having only a
little or some difficulty walking for a quarter of a mile (OR=2.94, 95% CI=1.41,
5.88), not walking the recommended >=150 minutes for any purpose (OR=2.60, 95%
CI=1.25, 5.38), and being employed (OR=2.11, 95% CI=1.13. 3.94). CONCLUSIONS:
PCPs seem to be targeting obese, inactive individuals with poor to fair health,
populations traditionally not encouraged to be more physically active. These
findings are consistent with the current trend in medical care to recommend
positive lifestyle changes to a broader range of the population.
PMID- 27890518
TI - A Comparison of Parent- and Provider-Reported Human Papillomavirus Vaccination of
Adolescents.
AB - INTRODUCTION: There is considerable effort at the state and national levels to
monitor human papillomavirus (HPV) vaccine uptake and understand the factors that
influence who gets vaccinated. Accurate measurement of vaccination coverage is
critical for monitoring HPV vaccination. This study aimed to determine
comparability between parent- and provider-reported HPV vaccination status for a
sample of adolescents in the U.S. METHODS: Data from the 2014 National
Immunization Survey-Teen were analyzed in 2016 for 20,827 adolescents.
Information on HPV vaccine uptake (initiation [one or more dose] and completion
[three or more doses]) was obtained using parental (recall) and provider reports
(electronic medical records). Sensitivity, specificity, positive predictive value
(PPV), negative predictive value (NPV), and kappa-coefficient were computed to
determine how comparable parental and provider (ref group) reports were for HPV
vaccination. RESULTS: Prevalence of HPV vaccine initiation was comparable between
parental and provider report (51.3% vs 50.0%) and for completion (30.7% vs
27.3%). Compared with provider report, parent-reported HPV vaccine initiation had
high sensitivity (86.0%), specificity (87.4%), PPV (87.5%), NPV (85.9%), and
acceptable kappa-coefficient (0.73). Compared with provider report, parent
reported HPV vaccine completion had a sensitivity of 71.5%, specificity of 91.1%,
PPV of 78.5%, NPV of 87.6%, and kappa-coefficient of 0.64. Similar
characteristics-adolescent age, sex, number of doctor visits, and region-were
associated with HPV vaccine uptake using parental and provider reports.
CONCLUSIONS: Parental recall is comparable to provider report in monitoring HPV
vaccine uptake for adolescents, although parental recall is less comparable for
HPV vaccine completion.
PMID- 27890519
TI - Helping People to Self-Actualize: Revising the Role and Goal of Our Healthcare
System.
PMID- 27890520
TI - Is Friendship Limited? An Inquiry Into Dunbar's Number.
PMID- 27890521
TI - Financial incentives for diabetes prevention in a Medicaid population: Study
design and baseline characteristics.
AB - BACKGROUND: Medicaid beneficiaries at high risk for diabetes can benefit from the
Diabetes Prevention Program (DPP) lifestyle intervention. The We Can Prevent
Diabetes (WCPD) trial examined whether financial incentives are more effective
than no financial incentives in sustaining participation in the DPP and
increasing weight loss. Here we describe the study design and baseline
characteristics. METHODS: The WCPD was a 3-arm group-randomized controlled trial.
Medicaid beneficiaries were aged 18 to 74years, had prediabetes or gestational
diabetes, and were overweight or obese. Subjects enrolled from 13 primary care
clinics into groups of 8 to 15 participants. Participants received the 12-month
DPP delivered by the YMCA or trained clinic staff, free of costs. Participants
from groups randomized into the intervention conditions were eligible to receive
incentives up to $520 by attending sessions and meeting weight loss goals.
RESULTS: The WCPD enrolled 1154 participants into 98 groups. Among the 847
attending at least one DPP session, 71.2% were women; the mean age was 48.3years;
79.3% were obese; and 87.6% entered the study with an elevated HbA1c or fasting
plasma glucose. Participants' primary languages were Somali (21.0%), Hmong
(3.1%), Spanish (2.2%), or English (72.4%). CONCLUSIONS: The WCPD trial
demonstrated that a collaborative approach with primary care clinics and the YMCA
can efficiently identify, enroll, and deliver the 12-month DPP to Medicaid
beneficiaries. If the WCPD incentive arms increase attendance and weight loss,
the use of financial incentives may be an avenue for engaging low-income, high
risk patients in lifestyle change.
PMID- 27890522
TI - Design and challenges of a randomized clinical trial of medical expulsive therapy
(tamsulosin) for urolithiasis in the emergency department.
AB - Urolithiasis or urinary stone disease has been estimated to affect about 1 in 11
Americans. Patients with urinary stone disease commonly present to the emergency
department for management of their acute pain. In addition to providing
analgesia, administration of drug (medical expulsive therapy) is often prescribed
to assist passage of the urinary stone. In this methodology paper, we describe
the design of a prospective, multi-center, randomized, double-blind placebo
controlled clinical trial of the alpha-adrenergic blocker, tamsulosin, to
evaluate its effectiveness as medical expulsive therapy. In addition, we describe
the unique challenges of conducting a trial of this type within the setting of
the emergency department.
PMID- 27890523
TI - Fenestrated Endovascular Aneurysm Repair of a Stent Graft-induced New Entry Tear
in a Patient with a Celiacomesenteric Trunk.
PMID- 27890524
TI - The DanCavas Pilot Study of Multifaceted Screening for Subclinical Cardiovascular
Disease in Men and Women Aged 65-74 Years.
AB - OBJECTIVE/BACKGROUND: This pilot study of a large population based randomised
screening trial investigated feasibility, acceptability, and relevance
(prevalence of clinical and subclinical cardiovascular disease [CVD] and
proportion receiving insufficient prevention) of a multifaceted screening for
CVD. METHODS: In total, 2060 randomly selected Danish men and women aged 65-74
years were offered (i) low dose non-contrast computed tomography to detect
coronary artery calcification (CAC) and aortic/iliac aneurysms; (ii) detection of
atrial fibrillation (AF); (iii) brachial and ankle blood pressure measurements;
and (iv) blood levels of cholesterol and hemoglobin A1c. Web based self booking
and data management was used to reduce the administrative burden. RESULTS:
Attendance rates were 64.9% (n = 678) and 63.0% (n = 640) for men and women,
respectively. In total, 39.7% received a recommendation for medical preventive
actions. Prevalence of aneurysms was 12.4% (95% confidence interval [CI] 9.9
14.9) in men and 1.1% (95% CI 0.3-1.9) in women, respectively (p < .001). A CAC
score > 400 was found in 37.8% of men and 11.3% of women (p < .001), along with a
significant increase in median CAC score with age (p = .03). Peripheral arterial
disease was more prevalent in men (18.8%, 95% CI 15.8-21.8) than in women (11.2%,
95% CI 8.7-13.6). No significant differences between the sexes were found with
regard to newly discovered AF (men 1.3%, women 0.5%), potential hypertension (men
9.7%, women 11.5%), hypercholesterolemia (men 0.9%, women 1.1%) or diabetes
mellitus (men 2.1%, women 1.3%). CONCLUSION: Owing to the higher prevalence of
severe conditions, such as aneurysms and CAC >= 400, screening for CVD seemed
more prudent in men than women. The attendance rates were acceptable compared
with other screening programs and the logistical structure of the screening
program proved successful.
PMID- 27890525
TI - After Superficial Ablation for Superficial Reflux Associated with Primary Deep
Axial Reflux, Can Variable Outcomes be Caused by Deep Venous Valve Anomalies?
AB - OBJECTIVE: To identify which deep anatomical anomalies can explain variable
hemodynamic outcomes in patients with superficial reflux associated with primary
deep axial reflux who underwent isolated superficial vein ablation without
improvement. METHODS: This is a retrospective study of deep venous valve
anomalies in patients who underwent superficial vein ablation for superficial and
associated deep reflux. A group of 21 patients who were diagnosed with saphenous
reflux associated with primary deep axial reflux, were submitted to great
saphenous vein ablation. In 17 patients the deep reflux was not abolished. In
this subgroup, surgical exploration of the deep valve was carried out using
venotomy for possible valve repair. RESULTS: Among the 17 subgroup patients, four
post-thrombotic lesions were discovered intra-operatively in four patients; they
underwent different surgical procedures. In 13 of the subgroup patients, primary
valve incompetence was confirmed intra-operatively. In 11 cases the leaflets were
asymmetrical and in only two were they symmetrical. After valvuloplasty, deep
reflux was abolished in all 13 patients. Clinical improvement was obtained in
12/13 patients (92%). It is noteworthy that abolition of deep reflux was
associated with significant improvement in air plethysmography data as well as
with improvement in clinical status measured on CEAP class, VCSS and the SF-36
questionnaire. CONCLUSION: Failure to correct deep axial reflux by superficial
ablation in patients with superficial and associated primary deep axial reflux
may be related to asymmetry in the leaflets of the incompetent deep venous valve.
PMID- 27890526
TI - Femoropopliteal In-stent Restenosis Repair: Midterm Outcomes After Paclitaxel
Eluting Balloon Use (PLAISIR Trial).
AB - OBJECTIVE: The aim was to assess 18 month outcomes of the paclitaxel eluting
balloon (PEB) in patients with femoropopliteal (FP) in-stent restenosis (ISR).
METHODS: In a national prospective and multicentre cohort study, symptomatic
patients with femoropopliteal in-stent restenosis were included from January 2012
to June 2013. Patients were treated by paclitaxel eluting balloon angioplasty (In
Pact Admiral, Medtronic, Santa Rosa, CA, USA). Clinical and duplex scan follow-up
evaluations were performed at 1, 3, 6, 9, 12, and 18 months. The primary endpoint
was freedom from target lesion revascularisation (TLR) at 12 months. Secondary
endpoints were major adverse cardiovascular events (MACE), Target extremity
revascularisation (TER), primary and secondary sustained clinical improvement,
recurrent restenosis rate, primary and secondary patency, quality of life
assessed by EQ-5D questionnaire, technical success, clinical success, and length
of stay RESULTS: A total of 53 patients were enrolled. After a blinded review, 10
patients were defined as protocol violation because restenosis occurred more than
2 years after stent implantation. Procedures were performed in 55 limbs, 48 (87%)
for claudication and 7 (13%) for critical limb ischaemia. The mean diameter and
length of PEB were 6 +/- 0.57 mm and 86 mm +/- 32 mm, follow-up was 17 months
(range 1-19). At 1 year, the survival rate was 96 +/- 2.7% and freedom from TLR
and TER were 90.2 +/- 4.2% and 85 +/- 5%, respectively. Sustained primary and
secondary clinical improvements were 78.6 +/- 5.7% and 92.0 +/- 3.8%,
respectively. At 1 year, the primary patency rate was 83.7 +/- 5.0%. Prior to the
procedure, the mean EQ-5D score was 66 +/- 14 and 74 +/- 16 at 1 year (p = .10).
Two patients died during follow-up; one patient died 33 days after the procedure
because of limb ischaemia. CONCLUSION: PEB for the treatment of FP ISR is
associated with a low rate of re-interventions and restenosis. Clinical
improvement is maintained at 18 months.
PMID- 27890527
TI - Personalized Perioperative Pediatric Pain Management: Myth or Reality?
PMID- 27890528
TI - Pathological concentration of zinc dramatically accelerates abnormal aggregation
of full-length human Tau and thereby significantly increases Tau toxicity in
neuronal cells.
AB - A pathological hallmark of Alzheimer disease and other tauopathies is the
formation of neurofibrillary tangles mainly composed of bundles of fibrils formed
by microtubule-associated protein Tau. Here we study the effects of Zn2+ on
abnormal aggregation and cytotoxicity of a pathological mutant DeltaK280 of full
length human Tau. As revealed by Congo red binding assays, transmission electron
microscopy, immunofluorescence, Western blot, and immunogold electron microscopy,
pathological concentration of Zn2+ dramatically accelerates the fibrillization of
DeltaK280 both in vitro and in SH-SY5Y neuroblastoma cells. As evidenced by
annexin V-FITC apoptosis detection assay and MTT reduction assay, pathological
concentration of Zn2+ remarkably enhances DeltaK280 fibrillization-induced
apoptosis and toxicity in SH-SY5Y cells. Substitution of Cys-291 and Cys-322 with
Ala, however, essentially eliminates such enhancing effects of Zn2+ on the
fibrillization and the consequent cytotoxicity of DeltaK280. Furthermore, Zn2+ is
co-localized with and highly enriched in amyloid fibrils formed by DeltaK280 in
SH-SY5Y cells. The results from isothermal titration calorimetry show that Zn2+
binds to full-length human Tau by interacting with Cys-291 and Cys-322, forming a
1:1 Zn2+-Tau complex. Our data demonstrate that zinc dramatically accelerates
abnormal aggregation of human Tau and significantly increases Tau toxicity in
neuronal cells mainly via bridging Cys-291 and Cys-322. Our findings could
explain how pathological zinc regulates Tau aggregation and toxicity associated
with Alzheimer disease.
PMID- 27890529
TI - Mass spectrometry analysis shows the biosynthetic pathways supported by pyruvate
carboxylase in highly invasive breast cancer cells.
AB - We recently showed that the anaplerotic enzyme pyruvate carboxylase (PC) is up
regulated in human breast cancer tissue and its expression is correlated with the
late stages of breast cancer and tumor size [Phannasil et al., PloS One 10,
e0129848, 2015]. In the current study we showed that PC enzyme activity is much
higher in the highly invasive breast cancer cell line MDA-MB-231 than in less
invasive breast cancer cell lines. We generated multiple stable PC knockdown cell
lines from the MDA-MB-231 cell line and used mass spectrometry with 13C6-glucose
and 13C5-glutamine to discern the pathways that use PC in support of cell growth.
Cells with severe PC knockdown showed a marked reduction in viability and
proliferation rates suggesting the perturbation of pathways that are involved in
cancer invasiveness. Strong PC suppression lowered glucose incorporation into
downstream metabolites of oxaloacetate, the product of the PC reaction, including
malate, citrate and aspartate. Levels of pyruvate, lactate, the redox partner of
pyruvate, and acetyl-CoA were also lower suggesting the impairment of
mitochondrial pyruvate cycles. Serine, glycine and 5-carbon sugar levels and flux
of glucose into fatty acids were decreased. ATP, ADP and NAD(H) levels were
unchanged indicating that PC suppression did not significantly affect
mitochondrial energy production. The data indicate that the major metabolic roles
of PC in invasive breast cancer are primarily anaplerosis, pyruvate cycling and
mitochondrial biosynthesis of precursors of cellular components required for
breast cancer cell growth and replication.
PMID- 27890530
TI - Provider Perspectives on Adding Biomarker Screening for Tobacco Smoke Exposure to
Lead Screening at Well-Child Visits.
AB - INTRODUCTION: Measurement of cotinine, a biomarker of tobacco smoke exposure, can
accurately identify children at risk of health consequences from secondhand
smoke. This study reports perspectives from pediatric health care providers on
incorporating routine cotinine screening into well-child visits. METHODS: Key
informant interviews (N = 28) were conducted with pediatric primary care
providers: physicians, nurse practitioners, and registered nurses. RESULTS:
Themes identified in the interviews included the following: (a) Cotinine
screening would assess children's exposure to tobacco smoke more reliably than
parental report; (b) Addressing positive cotinine screening results might require
additional resources; (c) Wheezing and a history of emergency department visits
increased the salience of cotinine screening; and (d) A better understanding of
the significance of specific cotinine test values would improve utility.
DISCUSSION: Pediatric providers see advantages of biomarker screening for tobacco
smoke exposure at well-child visits, especially for children with wheezing, but
have concerns about limited capacity for follow-up with parents.
PMID- 27890531
TI - Short-term effects of diabetes on neurosteroidogenesis in the rat hippocampus.
AB - Diabetes may induce neurophysiological and structural changes in the central
nervous system (i.e., diabetic encephalopathy). We here explored whether the
levels of neuroactive steroids (i.e., neuroprotective agents) in the hippocampus
may be altered by short-term diabetes (i.e., one month). To this aim, by liquid
chromatography-tandem mass spectrometry we observed that in the experimental
model of the rat raised diabetic by streptozotocin injection, one month of
pathology induced changes in the levels of several neuroactive steroids, such as
pregnenolone, progesterone and its metabolites (i.e., tetrahydroprogesterone and
isopregnanolone) and testosterone and its metabolites (i.e., dihydrotestosterone
and 3alpha-diol). Interestingly these brain changes were not fully reflected by
the plasma level changes, suggesting that early phase of diabetes directly
affects steroidogenesis and/or steroid metabolism in the hippocampus. These
concepts are also supported by the findings that crucial steps of steroidogenic
machinery, such as the gene expression of steroidogenic acute regulatory protein
(i.e., molecule involved in the translocation of cholesterol into mitochondria)
and cytochrome P450 side chain cleavage (i.e., enzyme converting cholesterol into
pregnenolone) and 5alpha-reductase (enzyme converting progesterone and
testosterone into their metabolites) are also affected in the hippocampus. In
addition, cholesterol homeostasis as well as the functionality of mitochondria, a
key organelle in which the limiting step of neuroactive steroid synthesis takes
place, are also affected. Data obtained indicate that short-term diabetes alters
hippocampal steroidogenic machinery and that these changes are associated with
impaired cholesterol homeostasis and mitochondrial dysfunction in the
hippocampus, suggesting them as relevant factors for the development of diabetic
encephalopathy.
PMID- 27890532
TI - What governs successful performance of a complex whole body movement: The Kovacs
release-regrasp on horizontal bar?
AB - The Kovacs is a release and regrasp skill performed on the horizontal bar in
men's artistic gymnastics. It is a popular skill in elite competitive gymnastics
with over 40% of male gymnasts performing a variation of the Kovacs at the London
2012 Olympics. In the qualifying competition 84% of Kovacs were successfully
regrasped, with the remaining 16% resulting in a fall. The aim of the present
study was to determine why some gymnasts are more successful than others at
regrasping the bar, with a secondary aim to determine how a less successful
gymnast could alter his technique in order to become more successful. Nine
performances of the Kovacs by each of two gymnasts, one 100% successful and one
11% successful, were analysed to determine differences in release and regrasp
parameters. The technique of the less successful gymnast was optimised using a
computer simulation model to increase the percentage of catches (success rate).
The successful gymnast had larger and more consistent release windows and a
radial velocity towards the bar at regrasp. The less successful gymnast had
higher horizontal velocity at release and a mean radial velocity away from the
bar at regrasp. Optimising his simulated technique increased the rate of success
from 11% to 93%. The actions prior to release were performed earlier than in the
recorded performances leading to a more vertical path of the mass centre at
release and a radial velocity towards the bar at regrasp.
PMID- 27890533
TI - Full-thickness tears of the supraspinatus tendon: A three-dimensional finite
element analysis.
AB - Knowledge regarding the likelihood of propagation of supraspinatus tears is
important to allow an early identification of patients for whom a conservative
treatment is more likely to fail, and consequently, to improve their clinical
outcome. The aim of this study was to investigate the potential for propagation
of posterior, central, and anterior full-thickness tears of different sizes using
the finite element method. A three-dimensional finite element model of the
supraspinatus tendon was generated from the Visible Human Project data. The
mechanical behaviour of the tendon was fitted from experimental data using a
transversely isotropic hyperelastic constitutive model. The full-thickness tears
were simulated at the supraspinatus tendon insertion by decreasing the interface
area. Tear sizes from 10% to 90%, in 10% increments, of the anteroposterior
length of the supraspinatus footprint were considered in the posterior, central,
and anterior regions of the tendon. For each tear, three finite element analyses
were performed for a supraspinatus force of 100N, 200N, and 400N. Considering a
correlation between tendon strain and the risk of tear propagation, the simulated
tears were compared qualitatively and quantitatively by evaluating the volume of
tendon for which a maximum strain criterion was not satisfied. The finite element
analyses showed a significant impact of tear size and location not only on the
magnitude, but also on the patterns of the maximum principal strains. The
mechanical outcome of the anterior full-thickness tears was consistently, and
significantly, more severe than that of the central or posterior full-thickness
tears, which suggests that the anterior tears are at greater risk of propagating
than the central or posterior tears.
PMID- 27890534
TI - Effects of arterial wall models and measurement uncertainties on cardiovascular
model predictions.
AB - We developed a methodology to assess and compare the prediction quality of
cardiovascular models for patient-specific simulations calibrated with
uncertainty-hampered measurements. The methodology was applied in a one
dimensional blood flow model to estimate the impact of measurement uncertainty in
wall model parameters on the predictions of pressure and flow in an arterial
network. We assessed the prediction quality of three wall models that have been
widely used in one-dimensional blood flow simulations. A 37-artery network,
previously used in one experimental and several simulation studies, was adapted
to patient-specific conditions with a set of three clinically measurable inputs:
carotid-femoral wave speed, mean arterial pressure and area in the brachial
artery. We quantified the uncertainty of the predicted pressure and flow waves in
eight locations in the network and assessed the sensitivity of the model
prediction with respect to the measurements of wave speed, pressure and cross
sectional area. Furthermore, we developed novel time-averaged sensitivity indices
to assess the contribution of model parameters to the uncertainty of time-varying
quantities (e.g., pressure and flow). The results from our patient-specific
network model demonstrated that our novel indices allowed for a more accurate
sensitivity analysis of time-varying quantities compared to conventional Sobol
sensitivity indices.
PMID- 27890535
TI - Partial hepatectomy hemodynamics changes: Experimental data explained by closed
loop lumped modeling.
AB - The liver function may be degraded after partial liver ablation surgery. Adverse
liver hemodynamics have been shown to be associated to liver failure. The link
between these hemodynamics changes and ablation size is however poorly
understood. This article proposes to explain with a closed-loop lumped model the
hemodynamics changes observed during twelve surgeries in pigs. The portal venous
tree is modeled with a pressure-dependent variable resistor. The variables
measured, before liver ablation, are used to tune the model parameters. Then, the
liver partial ablation is simulated with the model and the simulated pressures
and flows are compared with post-operative measurements. Fluid infusion and blood
losses occur during the surgery. The closed-loop model presented accounts for
these blood volume changes. Moreover, the impact of blood volume changes and the
liver lobe mass estimations on the simulated variables is studied. The typical
increase of portal pressure, increase of liver pressure loss, slight decrease of
portal flow and major decrease in arterial flow are quantitatively captured by
the model for a 75% hepatectomy. It appears that the 75% decrease in hepatic
arterial flow can be explained by the resistance increase induced by the surgery,
and that no hepatic arterial buffer response (HABR) mechanism is needed to
account for this change. The different post-operative states, observed in
experiments, are reproduced with the proposed model. Thus, an explanation for
inter-subjects post-operative variability is proposed. The presented framework
can easily be adapted to other species circulations and to different pathologies
for clinical hepatic applications.
PMID- 27890536
TI - Estimation of the center of rotation using wearable magneto-inertial sensors.
AB - Determining the center of rotation (CoR) of joints is fundamental to the field of
human movement analysis. CoR can be determined using a magneto-inertial
measurement unit (MIMU) using a functional approach requiring a calibration
exercise. We systematically investigated the influence of different experimental
conditions that can affect precision and accuracy while estimating the CoR, such
as (a) angular joint velocity, (b) distance between the MIMU and the CoR, (c)
type of the joint motion implemented, (d) amplitude of the angular range of
motion, (e) model of the MIMU used for data recording, (f) amplitude of additive
noise on inertial signals, and (g) amplitude of the errors in the MIMU
orientation. The evaluation process was articulated at three levels: assessment
through experiments using a mechanical device, mathematical simulation, and an
analytical propagation model of the noise. The results reveal that joint angular
velocity significantly impacted CoR identification, and hence, slow joint
movement should be avoided. An accurate estimation of the MIMU orientation is
also fundamental for accurately subtracting the contribution owing to gravity to
obtain the coordinate acceleration. The unit should be preferably attached close
to the CoR, but both type and range of motion do not appear to be critical. When
the proposed methodology is correctly implemented, error in the CoR estimates is
expected to be <3mm (best estimates=2+/-0.5mm). The findings of the present study
foster the need to further investigate this methodology for application in human
subjects.
PMID- 27890537
TI - Computational Fluid Dynamics modeling of contrast transport in basilar aneurysms
following flow-altering surgeries.
AB - In vivo measurement of blood velocity fields and flow descriptors remains
challenging due to image artifacts and limited resolution of current imaging
methods; however, in vivo imaging data can be used to inform and validate patient
specific computational fluid dynamics (CFD) models. Image-based CFD can be
particularly useful for planning surgical interventions in complicated cases such
as fusiform aneurysms of the basilar artery, where it is crucial to alter
pathological hemodynamics while preserving flow to the distal vasculature. In
this study, patient-specific CFD modeling was conducted for two basilar aneurysm
patients considered for surgical treatment. In addition to velocity fields,
transport of contrast agent was simulated for the preoperative and postoperative
conditions using two approaches. The transport of a virtual contrast passively
following the flow streamlines was simulated to predict post-surgical flow
regions prone to thrombus deposition. In addition, the transport of a mixture of
blood with an iodine-based contrast agent was modeled to compare and verify the
CFD results with X-ray angiograms. The CFD-predicted patterns of contrast flow
were qualitatively compared to in vivo X-ray angiograms acquired before and after
the intervention. The results suggest that the mixture modeling approach,
accounting for the flow rates and properties of the contrast injection, is in
better agreement with the X-ray angiography data. The virtual contrast modeling
assessed the residence time based on flow patterns unaffected by the injection
procedure, which makes the virtual contrast modeling approach better suited for
prediction of thrombus deposition, which is not limited to the peri-procedural
state.
PMID- 27890538
TI - A model for stretch growth of neurons.
AB - In the first phase of axon growth, axons sprout from neuron bodies and are
extended by the pull of the migrating growth cones towards their targets.
Thereafter, once the target is reached, a lesser known second phase of axon
growth ensues as the mechanical forces from the growth of the animal induce
extension of the integrated axons in the process of forming tracts and nerves.
Although there are several microscopic physics based models of the first phase of
axon growth, to date, there are no models of the very different second phase.
Here we propose a mathematical model for stretch growth of axon tracts in which
the rate of production of proteins required for growth is dependent on the
membrane tension. We assume that growth occurs all along the axon, and are able
to predict the increase in axon cross-sectional area after they are rapidly
stretched and held at a constant length for several hours. We show that there is
a length dependent maximum stretching rate that an axon can sustain without
disconnection in steady state when the axon length is primarily increased near
the cell body. Our results could inform better design of stretch growth protocols
to create transplantable axon tracts to repair the nervous system.
PMID- 27890539
TI - The potential of individual patient data for research on antidepressant safety
and efficacy.
PMID- 27890540
TI - Association of plasma calcium concentrations with alcohol craving: New data on
potential pathways.
AB - Recently, calcium was suggested to be the active moiety of acamprosate. We
examined plasma calcium concentrations in association with severity of alcohol
dependence and its interaction with regulating pathways and alcohol craving in
alcohol-dependent patients. 47 inpatient alcohol-dependent patients undergoing
detoxification treatment underwent laboratory testing, including calcium, sodium,
liver enzymes as well as serum concentrations of calcitonin, parathyroid hormone
and vitamin D. The psychometric dimension of craving was analyzed with the
Obsessive Compulsive Drinking Scale (OCDS). The severity of withdrawal was
measured with the Alcohol Dependence Scale (ADS) and with the Alcohol Dependence
Scale for high-risk sample (ADS-HR). The main findings of our investigation are:
a) a negative correlation of plasma calcium concentrations with alcohol craving
in different dimensions of the OCDS; b) a negative correlation of plasma calcium
concentrations with breath alcohol concentration; c) lowered calcitonin
concentration in the high-risk sample of alcoholics; d) lowered plasma vitamin D
concentrations in all alcoholic subjects. Our study adds further support for
lowered plasma calcium concentrations in patients with high alcohol intake and
especially in patients with increased craving as a risk factor for relapse.
Lowered calcitonin concentrations in the high-risk sample and lowered vitamin D
concentrations may mediate these effects. Calcium supplementation could be a
useful intervention for decreasing craving and relapse in alcohol-dependent
subjects.
PMID- 27890541
TI - The expression of plasticity-related genes in an acute model of stress is
modulated by chronic desipramine in a time-dependent manner within medial
prefrontal cortex.
AB - It is well established that stress plays a major role in the pathogenesis of
neuropsychiatric diseases. Stress-induced alteration of synaptic plasticity has
been hypothesized to underlie the morphological changes observed by neuroimaging
in psychiatric patients in key regions such as hippocampus and prefrontal cortex
(PFC). We have recently shown that a single acute stress exposure produces
significant short-term alterations of structural plasticity within medial PFC.
These alterations were partially prevented by previous treatment with chronic
desipramine (DMI). In the present study we evaluated the effects of acute Foot
shock (FS)-stress and pre-treatment with the traditional antidepressant DMI on
the gene expression of key regulators of synaptic plasticity and structure.
Expression of Homer, Shank, Spinophilin, Densin-180, and the small RhoGTPase
related gene Rac1 and downstream target genes, Limk1, Cofilin1 and Rock1 were
investigated 1 day (1d), 7 d and 14d after FS-stress exposure. We found that DMI
specifically increases the short-term expression of Spinophilin, as well as Homer
and Shank family genes, and that both acute stress and DMI exert significant long
term effects on mRNA levels of genes involved in spine plasticity. These findings
support the knowledge that acute FS stress and antidepressant treatment induce
both rapid and sustained time-dependent alterations in structural components of
synaptic plasticity in rodent medial PFC.
PMID- 27890542
TI - Social Capital and Suicidal Ideation in Community-Dwelling Older Residents: A
Multilevel Analysis of 10,094 Subjects in Japan.
AB - OBJECTIVE: Social capital, the collective resources of groups including
perceptions of trust and reciprocity, is recognized as an important contributor
to suicide. We examined the association of individual- and community-level social
capital with suicidal ideation after adjusting for social support among older
adults living in the community. METHODS: In August 2010 we sent questionnaires to
all residents aged 65 years and older living in 3 rural municipalities (N =
21,232) in Okayama Prefecture, Japan; 13,919 questionnaires were returned
(response rate: 65.6%). The final analysis included 10,094 participants. The
outcome variable was suicidal ideation. Exposure variables were individual-level
mistrust and lack of reciprocity (level 1), and the aggregated responses of these
variables from 35 communities in the municipalities (level 2). Covariates
included age, sex, educational attainment, marital status, the number of
cohabitants, years of residence, self-rated socioeconomic status, disability,
social support, and psychological distress. Multilevel logistic regression
analysis was performed to obtain odds ratios (ORs) and 95% confidence intervals
(CIs). RESULTS: After adjusting for social support and psychological distress, we
found that mistrust and lack of reciprocity were only associated with suicidal
ideation at the individual level. Stratified analysis showed that among subjects
with psychological distress, mistrust was associated with suicidal ideation at
individual (OR: 1.88; 95% CI: 1.42-2.51) and community levels (OR: 1.98; 95% CI:
1.02-3.81). CONCLUSIONS: Our findings show that individual- and community-level
social capital is a possible protective factor for suicidal ideation,
particularly for people with psychological distress.
PMID- 27890544
TI - Persistent hydrocephalus due to postural activation of a ventricular shunt anti
gravity device.
AB - The ever present need to balance over drainage with under drainage in
hydrocephalus has required innovations including adjustable valves with
antigravity devices. These are activated in the vertical position to prevent
siphoning. We describe a group of bedridden patients who presented with
unexplained under drainage caused by activation of antigravity shunt components
produced by peculiar head/body position. Retrospective single centre case series
of hydrocephalus patients, treated with ventriculo-peritoneal (VP) shunt
insertion between April 2014 - February 2016. These patients presented with
clinical and radiological under drainage syndrome. Medical notes were reviewed
for clinical picture and outcome. Radiological studies were reviewed assessing
shunt placement and ventricular size. Seven patients presented with clinical and
radiological under drainage syndrome. A consistent posturing of long term hyper
flexion of the neck whilst lying supine was observed. All patients had similar
shunt construct (adjustable Miethke ProGAV valve and shunt assistant anti-gravity
component). In each of those patients a hypothesis was formulated that neck
flexion was activating the shunt assistance anti-gravity component in supine
position. Five patients underwent shunt revision surgery removing the shunt
assistant device from the cranium and adding an anti-gravity component to the
shunt system at the chest. One had the shunt assistant completely removed and one
patient was managed conservatively with mobilisation. All patients had clinical
and radiological improvement. Antigravity shunt components implanted cranially in
bedridden hydrocephalus patients will produce underdrainage due to head flexion
induced anti-gravity device activation. In these patients, anti-gravity devices
should be placed at the chest. Alternatively, special nursing attention should be
paid to head-trunk angle.
PMID- 27890543
TI - Hearing Care Intervention for Persons with Dementia: A Pilot Study.
AB - OBJECTIVE: Hearing loss is a commonly unmet need among adults with dementia that
may exacerbate common dementia-related behavioral symptoms. Accessing traditional
audiology services for hearing loss is a challenge because of high cost and time
commitment. To improve accessibility and affordability of hearing treatment for
persons with dementia, there is a need for unique service delivery models. The
purpose of this study is to test a novel hearing intervention for persons with
dementia and family caregivers delivered in outpatient settings. METHODS: The
Memory-HEARS pilot study delivered a 2-hour in-person intervention in an
outpatient setting. A trained interventionist provided hearing screening,
communication strategies, and provision of and instruction using a simple over
the-counter amplification device. Caregivers (N = 20) responded to questionnaires
related to depression, neuropsychiatric symptoms, and caregiver burden at
baseline and 1-month postintervention. RESULTS: Overall, caregivers believed the
intervention was beneficial, and most participants with dementia wore the
amplification device daily. For the depression and neuropsychiatric outcome
measures, participants with high symptom burden at baseline showed improvement at
1-month postintervention. The intervention had no effect on caregiver burden.
Qualitative responses from caregivers described improved engagement for their
loved ones, such as laughing more, telling more stories, asking more questions,
and having more patience. CONCLUSION: The Memory-HEARS intervention is a low
cost, low-risk, nonpharmacologic approach to addressing hearing loss and
behavioral symptoms in patients with dementia. Improved communication has the
potential to reduce symptom burden and improve quality of life.
PMID- 27890545
TI - Organic acid anions: An effective defensive weapon for plants against aluminum
toxicity and phosphorus deficiency in acidic soils.
AB - Aluminum (Al) toxicity and phosphorous (P) deficiency are two major limiting
factors for plant growth on acidic soils. Thus, the physiological mechanisms for
Al tolerance and P acquisition have been intensively studied. A commonly observed
trait is that plants have developed the ability to utilize organic acid anions
(OAs; mainly malate, citrate and oxalate) to combat Al toxicity and P deficiency.
OAs secreted by roots into the rhizosphere can externally chelate Al3+ and
mobilize phosphate (Pi), while OAs synthesized in the cell can internally
sequester Al3+ into the vacuole and release free Pi for metabolism. Molecular
mechanisms involved in OA synthesis and transport have been described in detail.
Ensuing genetic improvement for Al tolerance and P efficiency through increased
OA exudation and/or synthesis in crops has been achieved by transgenic and marker
assisted breeding. This review mainly elucidates the crucial roles of OAs in
plant Al tolerance and P efficiency through summarizing associated physiological
mechanisms, molecular traits and genetic manipulation of crops.
PMID- 27890546
TI - Radiological evaluation of sphenozygomatic suture fixation for restoration of
orbital volume: A retrospective study.
AB - PURPOSE: Lateral displacement of fracture zygomaticomaxillary complex (ZMC) can
cause significant increase in orbital volume leading to enophthalmos. The aim of
this study was to radiologically evaluate the efficacy of sphenozygomatic (SZ)
suture fixation for restoration of orbital volume after elevation of the
temporalis in cases of fracture ZMC where the fixation of zygomatic arch (ZA) was
deemed necessary through latero-posterior approach. MATERIALS & METHODS: 43
operated cases of fracture ZMC using 4-point fixation were divided into two
groups. Group I (n = 24) cases had undergone reduction and fixation of SZ suture
as fourth point of fixation by elevating temporalis muscle using hemicoronal
approach. Group II (n = 19) cases had undergone reduction and fixation of
Infraorbital (IO) rim as fourth point of fixation using preseptal
transconjunctival approach. Both the groups were analyzed separately and compared
for restoring the increased orbital volume on CT. RESULTS: Difference in the pre
surgical orbital volume of both the groups was found to be statistically
insignificant [p = .678]. In group I, the average bony orbital volume
significantly reduced by 3.6 cc from 25.5 cc to 21.9 cc [p = .000] post
surgically. In group II, the average bony orbital volume reduced by 1.5 cc from
25.6 cc to 24.1 cc post-surgically There was a significant difference in the
reduction of the increased orbital volume among the 2 groups (Group I: 3.6 cc,
group II: 1.5 cc). The amount of reduction was more and statistically significant
[p = .000] in the group I than group II. CONCLUSION: SZ suture fixation is
reliable in reducing fractures ZMC and restoring the increased orbital volume
where the fixation of zygomatic arch (ZA) was deemed necessary through latero
posterior approach.
PMID- 27890547
TI - A Delphi study to develop practical diagnostic guidelines for visual stress
(pattern-related visual stress).
AB - PURPOSE: Visual stress (VS) is characterised by symptoms of visual perceptual
distortions and eyestrain when viewing text, symptoms that are alleviated by
individually prescribed coloured filters. A recent review supports the existence
of VS and its treatment, but noted that controversy remains, in part due to
inconsistencies in the diagnosis of the condition. The present paper reviews the
diagnostic criteria for VS in the literature and reports a Delphi analysis of the
criteria currently used in clinical practice. METHODS: Twenty-six eyecare
practitioners were invited to participate in a Delphi study. They were selected
because they were frequent prescribers of precision tinted lenses. In the first
round they were sent a list of the indicators for which there is literature to
suggest a relevance in the diagnosis of VS. The practitioners were invited to
rank the indicators and add any additional criteria they use in diagnosis. In the
second round a revised list was circulated, including items added from the
responses in the first round. RESULTS: The respondents included optometrists,
orthoptists and opticians. In the first round the response rate was 85%. Ninety
one percent of those who participated in the first round also responded in the
second round. Strong indicators in the second round included the symptom of words
moving when reading, voluntary use of an overlay for a prolonged period, improved
performance of >=15% with an overlay on the Wilkins Rate of Reading test, and an
abnormally high score on the Pattern Glare Test. CONCLUSIONS: The strongest
diagnostic criteria are combined in a diagnostic tool. This is proposed as a
guide for clinical practice and further research.
PMID- 27890548
TI - Use of glucose-lowering drugs and risk of fracture in patients with type 2
diabetes.
AB - BACKGROUND: Diabetes mellitus is associated with an increased risk of hip
fracture. The aim of this cohort study was to investigate whether glucose
lowering drugs influence the risk of hip fracture in patients with incident
diabetes. METHODS: A study was performed on a cohort of patients with incident
type 2 diabetes. Diabetes diagnosis was defined using information from the Danish
National Patient Registry and reimbursement information of glucose-lowering drugs
from the Register of Medicinal Product Statistics. The period of observation was
from 01.01.1996 till 31.12.2011. The primary exposure was glucose-lowering drugs
and the primary endpoint was hip fracture. Unadjusted, adjusted, and propensity
score adjusted Cox regressions were performed. RESULTS: 5244 patients with type 2
diabetes with a mean follow up of 5.5years were included in the study. Use of
sulphonylureas within the last 90days was associated with hip fracture in
patients with type 2 diabetes, hazard ratio 1.64 (95% confidence interval:
1.54,1.75), whereas ever use of sulohonylyreas was not associated with an
increased risk of fractures. Use of sulphonylureas within the last 90days was
also associated with an increased risk of fractures at other sites. Use of
glitazones within the last 90days was associated with an increased risk of hip
fracture, hazard ratio 2.07 (95% confidence interval: 1.39,3.07), whereas ever
use was not associated with an increased risk. CONCLUSIONS: Current use of
sulphonylureas was associated with hip fracture in patients with type 2 diabetes.
Speculatively, this may be due to hypoglycemia resulting in falls.
PMID- 27890550
TI - Paradoxical sleep deprivation in rats causes a selective reduction in the
expression of type-2 metabotropic glutamate receptors in the hippocampus.
AB - Paradoxical sleep deprivation in rats is considered as an experimental animal
model of mania endowed with face, construct, and pharmacological validity. We
induced paradoxical sleep deprivation by placing rats onto a small platform
surrounded by water. This procedure caused the animal to fall in the water at the
onset of REM phase of sleep. Control rats were either placed onto a larger
platform (which allowed them to sleep) or maintained in their home cage. Sleep
deprived rats showed a substantial reduction in type-2 metabotropic glutamate
(mGlu2) receptors mRNA and protein levels in the hippocampus, but not in the
prefrontal cortex or corpus striatum, as compared to both groups of control rats.
No changes in the expression of mGlu3 receptor mRNA levels or mGlu1alpha and
mGlu5 receptor protein levels were found with exception of an increase in
mGlu1alpha receptor levels in the striatum of SD rats. Moving from these findings
we treated SD and control rats with the selective mGlu2 receptor enhancer, BINA
(30mg/kg, i.p.). SD rats were also treated with sodium valproate (300mg/kg, i.p.)
as an active comparator. Both BINA and sodium valproate were effective in
reversing the manic-like phenotype evaluated in an open field arena in SD rats.
BINA treatment had no effect on motor activity in control rats, suggesting that
our findings were not biased by a non-specific motor-lowering activity of BINA.
These findings suggest that changes in the expression of mGlu2 receptors may be
associated with the enhanced motor activity observed with mania.
PMID- 27890549
TI - Novel functions of circulating Klotho.
AB - A significant portion of the key biological functions of alphaKlotho (alphaKL)
and its cognate ligand Fibroblast growth factor-23 (FGF23) have been revealed
through the study of rare diseases of mineral metabolism. These findings have far
reaching implications for common disorders such as chronic kidney disease-mineral
bone disorder (CKD-MBD). alphaKL's predominant effect on mineral homeostasis is
through its actions in the kidney as a co-receptor for FGF23, however emerging
data has shed light on its capacity to act as a circulating factor through the
cleavage of the transmembrane form of alphaKL ('mKL') to produce 'cleaved KL' or
'cKL'. This review summarizes new findings from studies using extended delivery
of cKL to mouse models with phenotypes reflecting those arising in CKD-MBD.
PMID- 27890551
TI - Dual function of OCT2 and MATE1 in cisplatin induced nephrotoxicity.
PMID- 27890552
TI - Combined Use of Intra-aortic Balloon Pump and Venoarterial Extracorporeal
Membrane Oxygenation Support With Femoral Arterial Cannulation Impairs Cerebral
Microcirculation: Evaluation With Laser Speckle Flowgraphy.
PMID- 27890553
TI - Now You See Me, Now You Don't.
PMID- 27890554
TI - Endocarditis after interventional repair of the mitral valve: Review of a
dilemma.
AB - BACKGROUND: The MitraClip procedure can be an alternative treatment option for
patients with high surgical risk for whom surgical treatment is contraindicated.
Patients with prosthetic material have an increased risk for infective
endocarditis. HYPOTHESIS: Incidence, treatment and outcome of patients with
endocarditis after interventional mitral valve repair are not known. METHODS: We
searched for articles using PubMed using the terms "interventional mitral valve
repair", "mitraclip" and "endocarditis". We have also searched for case reports
in major congresses. Furthermore, we report two cases. RESULTS: Four cases of IE
after MitraClip were found in addition to our cases. The leading cause is a
bacterial infection, typically with staphylococcal bacteria. Approximately two
thirds of these patients underwent surgery. Short-term outcome seems to be
reasonable for these patients. During the early postoperative period and if
Staphylococcus aureus can be cultivated mortality seems to be significantly
elevated. CONCLUSION: IE after MitraClip procedure is a dilemma. While surgical
bail-out seems to be the favorable treatment option, patients were rejected
conventional surgery in first place due to their high operative risk. Best
treatment recommendation must be made on an individual basis. Predisposing
factors should be conscientiously addressed prior to the procedure.
PMID- 27890555
TI - Response to commentaries on The American Academy of Pediatrics Workforce Survey
for the Section on Urology 2015.
PMID- 27890556
TI - Commentary to "The American Academy of Pediatrics Workforce Survey for the
Section on Urology 2015".
PMID- 27890557
TI - Anaphylaxis in a health maintenance organization: International Classification of
Diseases coding and epinephrine auto-injector prescribing.
AB - BACKGROUND: Accurate estimates of the incidence of anaphylaxis are limited.
Current International Classification of Diseases, Ninth Revision (ICD-9) codes
complicate accurate diagnosis of anaphylaxis and assessment of appropriate
epinephrine prescribing. OBJECTIVE: To quantify the incidence and demographic
character of patients with anaphylaxis-related ICD-9 codes in a large health
maintenance organization and analyze epinephrine prescribing and dispensing
rates. METHODS: All patients included had at least 12 months of continuous
membership over a 4-year period from January 1, 2008 to December 31, 2012 and
were selected based on anaphylaxis-related ICD-9 codes (N = 159,172). This
algorithm was extrapolated from a previous study that used expanded ICD-9 codes
to identify more cases of anaphylaxis. Individual chart reviews found that many
expanded ICD-9 codes represented unconfirmed cases of anaphylaxis and therefore
were excluded, resulting in analysis of 52,405 patients. RESULTS: Incidence of
anaphylaxis over 4 years was 2.07%, with female predominance (56.5%) over male
predominance (43.5%). Epinephrine was prescribed in 16.2% of total cases. Highest
rates of epinephrine prescription were for traditional ICD-9 codes 995.0 (other
anaphylactic shock) and 995.60 to 995.69 (anaphylactic shock caused by food) at
49.3% and 58.6%, respectively. Of the cases in which an epinephrine auto-injector
was prescribed, it was dispensed 95.9% of the time, independent of copayment
amount. CONCLUSION: Low epinephrine auto-injector prescribing rates in cases of
anaphylaxis suggest the continued difficulty in the diagnosis of anaphylaxis and
could result in suboptimal treatment of potential future episodes.
PMID- 27890558
TI - ASK1 regulates the survival of neuroblastoma cells by interacting with TLX and
stabilizing HIF-1alpha.
AB - Elevated expression of TLX (also called as NR2E1) in neuroblastoma (NB)
correlates with unfavorable prognosis, and TLX is required for self-renewal of NB
cells. Knockdown of TLX has been shown to reduce the NB sphere-forming ability.
ASK1 (MAP3K5) and TLX expression are both enhanced in SP (side population) NB and
patient-derived primary NB sphere cell lines, but the majority of non-SP NB lines
express lower ASK1 expression. We found that ASK1 phosphorylated and stabilized
TLX, which led induction of HIF-1alpha, and its downstream VEGF-A in an Akt
dependent manner. In depleting ASK1 upon hypoxia, TLX decreased and the apoptosis
ratio of NB cells was enhanced, while low-ASK1-expressing NB cell lines were
refractory in TUNEL assay by using flow cytometry. Interestingly, primary NB
spheres cell lines express only high levels of active pASK1Thr-838 but the
established cell lines expressed inhibitory pASK1Ser-966, and both could be
targeted by ASK1 depletion. We report a novel pro-survival role of ASK1 in the
tumorigenic NB cell populations, which may be applied as a therapeutic target,
inducing apoptosis specifically in cancer stem cells.
PMID- 27890559
TI - Fluoxetine and its active metabolite norfluoxetine disrupt estrogen synthesis in
a co-culture model of the feto-placental unit.
AB - The effects of fluoxetine, one of the most prescribed selective serotonin
reuptake inhibitors (SSRIs) during pregnancy, and its active metabolite
norfluoxetine were studied on placental aromatase (CYP19) and feto-placental
steroidogenesis. Fluoxetine did not alter estrogen secretion in co-culture of
fetal-like adrenocortical (H295R) and trophoblast-like (BeWo) cells used as a
model of the feto-placental unit, although it induced CYP19 activity, apparently
mediated by the serotonin (5-HT)2A receptor/PKC signaling pathway. Norfluoxetine
decreased estrogen secretion in the feto-placental co-culture and competitively
inhibited catalytic CYP19 activity in BeWo cells. Decreased serotonin transporter
(SERT) activity in the co-culture was comparable to 17beta-estradiol treatment of
BeWo cells. This work shows that the complex interaction of fluoxetine and
norfluoxetine with placental estrogen production, involves 5-HT-dependent and
independent mechanisms. Considering the crucial role of estrogens during
pregnancy, our results raise concern about the impact of SSRI treatment on
placental function and fetal health.
PMID- 27890560
TI - The hippocampal transcriptomic signature of stress resilience in mice with
microglial fractalkine receptor (CX3CR1) deficiency.
AB - Clinical studies suggest that key genetic factors involved in stress resilience
are related to the innate immune system. In the brain, this system includes
microglia cells, which play a major role in stress responsiveness. Consistently,
mice with deletion of the CX3CR1 gene (CX3CR1-/- mice), which in the brain is
expressed exclusively by microglia, exhibit resilience to chronic stress. Here,
we compared the emotional, cognitive, neurogenic and microglial responses to
chronic unpredictable stress (CUS) between CX3CR1-/- and wild type (WT) mice.
This was followed by hippocampal whole transcriptome (RNA-seq) analysis. We found
that following CUS exposure, WT mice displayed reduced sucrose preference,
impaired novel object recognition memory, and reduced neurogenesis, whereas
CX3CR1-/- mice were completely resistant to these effects of CUS. CX3CR1-/- mice
were also resilient to the memory-suppressive effect of a short period of
unpredictable stress. Microglial somas were larger in CX3CR1-/- than in WT, but
in both genotypes CUS induced a similar decline in hippocampal microglial density
and processes length. RNA sequencing and pathway analysis revealed basal strain
differences, particularly reduced expression of interferon (IFN)-regulated and
MHC class I gene transcripts in CX3CR1-/- mice. Furthermore, while CUS exposure
similarly altered neuronal gene transcripts (e.g. Arc, Npas4) in both strains,
transcripts downstream of hippocampal estrogen receptor signaling (particularly
Igf2 and Igfbp2) were altered only in CX3CR1-/- mice. These findings indicate
that emotional and cognitive stress resilience involves CX3CR1-dependent basal
and stress-induced alterations in hippocampal transcription, implicating
inhibition of CX3CR1 signaling as a novel approach for promoting stress
resilience.
PMID- 27890561
TI - Role of Adjuvant Therapy for Node-Negative Lung Cancer Invading the Chest Wall.
AB - INTRODUCTION: The present study investigated the effect of adjuvant chemotherapy
and radiation on survival among patients undergoing chest wall resection for T3N0
non-small cell lung cancer (NSCLC). MATERIALS AND METHODS: Patients with T3N0
NSCLC who underwent chest wall resection were identified in the National Cancer
Data Base in 2004 to 2012. The cohort was divided into patients who had received
adjuvant chemotherapy, radiation therapy, chemoradiation therapy, or no adjuvant
treatment. Kaplan-Meier and log-rank tests were used to compare overall survival,
and a bootstrapped Cox proportional hazards model was used to determine the
significant contributors to survival. A subset analysis was performed with
stratification by margin status and tumor size. RESULTS: Of 759 patients
identified, 42.0% underwent surgery alone, 23.3% underwent surgery followed by
chemotherapy, 22.3% underwent surgery followed by chemoradiation therapy, and
12.3% underwent surgery followed by radiotherapy alone. Tumors > 4 cm benefited
from adjuvant chemotherapy and radiation therapy in the multivariable analysis,
and those <= 4 cm benefited only from adjuvant chemotherapy. The subgroup
analysis by margin status identified that margin-positive patients with tumors >
4 cm benefited significantly from either adjuvant chemoradiation therapy or
radiation therapy alone. CONCLUSION: T3N0 NSCLC with chest wall invasion requires
unique management compared with other stage IIB tumors. An important determinant
of management is tumor size, with tumors <= 4 cm benefiting from adjuvant
chemotherapy and tumors > 4 cm benefiting from adjuvant chemotherapy if margin
negative and adjuvant chemoradiation therapy or radiotherapy if margin positive.
PMID- 27890562
TI - Tailor-made fibroblast-specific and antibiotic-free interleukin 12 plasmid for
gene electrotransfer-mediated cancer immunotherapy.
AB - Electrotransfer mediated delivery of interleukin-12 (IL-12) gene, encoded on a
plasmid vector, has already been demonstrated to have a potent antitumor efficacy
and great potential for clinical application. In the present study, our aim was
to construct an optimized IL-12-encoding plasmid that is safe from the regulatory
point of view. In light of previous studies demonstrating that IL-12 should be
released in a tumor localized manner for optimal efficacy, the strong ubiquitous
promoter was replaced with a weak endogenous promoter of the collagen 2 gene,
which is specific for fibroblasts. Next, to comply with increasing regulatory
demands for clinically used plasmids, the expression cassette was cloned in a
plasmid lacking the antibiotic resistance gene. The constructed fibroblast
specific and antibiotic-free IL-12 plasmid was demonstrated to support low IL-12
expression after gene electrotransfer in selected cell lines. Furthermore, the
removal of antibiotic resistance did not affect the plasmid expression profile
and lowered its cytotoxicity. With optimal IL-12 expression and minimal transgene
non-specific effects, i.e., low cytotoxicity, the constructed plasmid could be
especially valuable for different modern immunological approaches to achieve
localized boosting of the host's immune system.
PMID- 27890563
TI - Exposure factors for cleaning, automotive care, and surface protection products
for exposure assessments.
AB - Accurately measuring the usage patterns of consumer products (CPs) is important
to conduct realistic exposure assessments. We determined the exposure factors for
18 consumer products: household bleach, mold stain remover, all-purpose cleaner,
washing machine cleaner, air conditioner cleaner, glass cleaner, drain cleaner,
adhesive remover, liquid snow chain, tire shine spray, wheel cleaner, rain
repellent, car wax spray, leather polish, furniture polish, anti-fog product,
fabric waterproofing spray, and rust inhibitor. Field survey staff visited homes
and collected product use information via face-to-face interviews. In total,
10,000 participants (5010 men and 4990 women) aged 15 years and older completed
the questionnaire. Household bleach had the highest use rate of 47.4% and use
rates for the other products ranged from 0.8 to 21.7%. The use rates of many CPs
differed by age group and gender. Many household cleaning products were used
regularly, but some products, such as air conditioner cleaner and liquid snow
chain, were used in specific seasons or for specific purposes; therefore, they
were used less frequently compared to cleaning products. These exposure factor
data will be useful as input data for exposure and risk assessments and setting
safety guidelines.
PMID- 27890564
TI - Phytochemical and phytopharmacological review of Perilla frutescens L.
(Labiatae), a traditional edible-medicinal herb in China.
AB - Perilla frutescens (L.) Britt., a worldwide distributed plant, is an important
economic crop and with a long cultivation history in China as well as some other
countries in Asia. Except for the edible applications, the plant of P. frutescens
is also traditionally used as a medicinal herb in China for thousands years. The
leaves, seeds and stems of P. frutescens are recommended by the Chinese
Pharmacopeia as three medicinal materials for various therapeutic applications.
In the past decades, amount investigations have been done about different aspects
for P. frutescens. However, no literature review about these works has been
compiled. This review aims to present the findings of research conducted up-to
date (2015) on the traditional use, phytochemicals, pharmacological activities
and toxicities of P. frutescens to provide scientific evidence for well
understanding and future research of P. frutescens. It was found that more than
100 compounds have been reported for P. frutescens and most of them are
contributed to its medical benefits such as anti-allergic, anti-inflammatory,
anti-oxidant, anticancer, anti-microbial, anti-depressive and anti-cough effects.
Toxicology studies have been conducted to evaluate the safety of P. frutescens to
provide information on their dosages and usages.
PMID- 27890565
TI - Three-generation reproduction toxicity study of genetically modified rice with
insect resistant genes.
AB - In the present work, we evaluated the three generation reproductive toxicity of
the genetically modified rice with insectresistant cry1Ac and sck genes. 120
Sprague-Dawley (SD) rats were divided into three groups which were fed with
genetically modified rice diet (GM group), parental control rice diet (PR group)
and AIN-93 control diet (both used as negative control) respectively. Bodyweight,
food consumption, reproductive data, hematological parameters, serum chemistry,
relative organ weights and histopathology for each generation were examined
respectively. All the hematology and serum chemistry parameters, organ/body
weight indicators were within the normal range or no change to the adverse
direction was observed, although several differences in hematology and serum
chemistry parameters (WBC, BUN, LDH of male rat, PLT, PCT, MPV of female rats),
reproductive data (rate of morphologically abnormal sperm) were observed between
GM rice group and two control groups. No macroscopic or histological adverse
effects were found or considered as treatment-related, either. Overall, the three
generation study of genetically modified rice with cry1Ac and sck genes at a high
level showed no unintended adverse effects on rats's reproductive system.
PMID- 27890566
TI - Management of interruptions to fractionated radiotherapy treatments: Four and a
half years of experience.
AB - BACKGROUND AND PURPOSE: The study objective was to report our four-and-a half
years experience (March 1 2011-August 15 2015) of a program to manage
interruptions in fractionated radiotherapy treatments. METHODS: A program was
developed, based on a specific database, to manage treatment interruptions.
Benefits of the program were analyzed in reference to previously published data.
Analysis was also performed of two measures to reduce OTT prolongation and
improve treatment outcomes: working on public holidays and conducting treatment
unit maintenance on Saturdays. RESULTS: The study included 2352 patients.
Patients with head and neck cancer obtained the greatest benefit from the
program, with a mean increase in TCP of 3.5% and a benefit of at least 4% in
45.6% of them. In prostate cancer patients, the likelihood of biochemical failure
was reduced by a mean of 2.0% and was reduced by at least 4% in 19.7% of them.
Application of the two proposed measures would have improved the TCP by a mean of
5.4% in head and neck cancer patients. The impact of the compensations program
and proposed measures is lesser in the remaining cancer types studied.
CONCLUSIONS: Implementation of a compensation program has a significant impact on
patients with head and neck or prostate cancer but OTT prolongation remains
excessive in many treatments. The introduction of fractions on public holidays
would assist in the meeting of recommendations for these patients.
PMID- 27890567
TI - Commissioning of the 4-D treatment delivery system for organ motion management in
synchrotron-based scanning ion beams.
AB - PURPOSE: The aim of this work was the commissioning of delivery procedures for
the treatment of moving targets in scanning pencil beam hadrontherapy. METHODS:
EBT3 films fixed to the Anzai Respiratory Phantom were exposed to carbon ion
scanned homogeneous fields (E=332MeV/u). To evaluate the interplay effect, field
size and flatness for 3 different scenarios were compared to static condition:
gated irradiation or repainting alone and combination of both. Respiratory signal
was provided by Anzai pressure sensor or optical tracking system (OTS). End
exhale phase and 1s gating window were chosen (2.5mm residual motion). Dose
measurements were performed using a PinPoint ionization chamber inserted into the
Brainlab ET Gating Phantom. A sub-set of tests was also performed using proton
beams. RESULTS: The combination of gating technique and repainting (N=5) showed
excellent results (6.1% vs 4.3% flatness, identical field size and dose deviation
within 1.3%). Treatment delivery time was acceptable. Dose homogeneity for gated
irradiation alone was poor. Both Anzai sensor and OTS appeared suitable for
providing respiratory signal. Comparisons between protons and carbon ions showed
that larger beam spot sizes represent more favorable condition for minimizing
motion effect. CONCLUSION: Results of measurements performed on different
phantoms showed that the combination of gating and layered repainting is suitable
to treat moving targets using scanning ion beams. Abdominal compression using
thermoplastic masks, together with multi-field planning approach and multi
fractionation, have also been assessed as additional strategies to mitigate the
effect of patient respiration in the clinical practice.
PMID- 27890568
TI - Dose calculation algorithm accuracy for small fields in non-homogeneous media:
The lung SBRT case.
AB - This review addresses the theme of dose calculation accuracy in the case of the
stereotactic treatment of lung lesions. Based on the classical categories of type
"a", "b" and "c" algorithms (according to their degree of complexity in the
management of charged particle transport), a summary of findings from literature
is reported. Two main critical areas have been identified: the use of small
fields and the presence of low density medium. Concerning the latter point, the
algorithm accuracy is intrinsic of the algorithm core, and, notwithstanding the
materials discretization and their chemical composition knowledge, type "c" are,
at the most, able to reproduce the actual physical dose distribution in
heterogeneous media. For what concerns the small field management, the final
accuracy could be strongly related to the beam configuration appropriateness in
the TPS (as well for MC this relates to the proper linac head description). As a
very crude summary, type "a" should be considered as unsuitable for this kind of
treatment calculations (with differences of the order of 20-30%), while type "b"
and "c" could keep their accuracy approximatively within 10 and 5%, respectively.
PMID- 27890569
TI - Multi-strip silicon sensors for beam array monitoring in micro-beam radiation
therapy.
AB - We present here the latest results from tests performed at the ESRF ID17 and ID21
beamlines for the characterization of novel beam monitors for Microbeam Radiation
Therapy (MRT), which is currently being implemented at ID17. MRT aims at treating
solid tumors by exploiting an array of evenly spaced microbeams, having an energy
spectrum distributed between 27 and 600keV and peaking at 100keV. Given the high
instantaneous dose delivered (up to 20kGy/s), the position and the intensity of
the microbeams has to be precisely and instantly monitored. For this purpose, we
developed dedicated silicon microstrip beam monitors. We have successfully
characterized them, both with a microbeam array at ID17, and a submicron scanning
beam at ID21. We present here the latest results obtained in recent tests along
with an outlook on future developments.
PMID- 27890570
TI - The spectrum of CYP21A2 mutations in Congenital Adrenal Hyperplasia in an Indian
cohort.
AB - Congenital Adrenal Hyperplasia (CAH) is a common autosomal recessive disorder of
the adrenal steroidogenic pathway. The most common form of CAH is due to
mutations in CYP21A2 gene. The incidence of mutations in the CYP21A2 gene and the
genotype-phenotype correlations vary among different populations. Therefore, the
aim of the study was to establish the spectrum of mutations and to evaluate
genotype-phenotype correlation in Indian CAH population. Molecular defects were
investigated in 110 alleles (55 patients) in the present study. Notably, we
identified disease causing mutations in 106 of 110 (96.4%) alleles whereas the
frequency of undetectable mutations was 3.6%. The i2g mutation (20%) was found to
be the most common in CAH patients. The second most common mutation was p.R356W
(14.5%). p.I172N and 8-bp deletion in exon 3 accounted for 12.7% of the mutated
alleles. In descending order, the other mutations present were p.Q318X (9%), E6
Cluster (5.4%), p.V281L (3.6%) and large gene deletion (3.6%). Additionally,
p.P267L and frameshift mutation (L307fs/F306+T) were also detected in the Indian
cohort with frequency of 1.8% and 5.4% respectively.rare mutation/rare mutations
in the CYP21A2 gene were detected viz., p.D234D (NCBI accession number -
KF812549), p.F306V (NCBI accession number - KF534754), p.P357P (NCBI accession
number - KF692099) and p.H365N (NCBI accession number - KF447378). The genotypes
of the patients were categorized into four groups null, A, B and C. Of note,
correlation between genotype and phenotype is sufficiently strong, to be of
clinical significance in the genetic counseling.
PMID- 27890571
TI - Facile fabrication of poly(acrylic acid) coated chitosan nanoparticles with
improved stability in biological environments.
AB - Chitosan is one of the most important and commonly used natural polysaccharides
in drug delivery for its biocompatible and biodegradable properties. However,
poor blood circulation of the chitosan nanoparticles due to their cationic nature
is one of the major bottlenecks of chitosan-based drug delivery systems. To
address this problem, a versatile platform based on poly(acrylic acid) (PAA)
coated ionically cross-linked chitosan/tripolyphosphate nanoparticles (CTS/TPP
PAA NPs), is reported. The zeta potentials of CTS/TPP and CTS/TPP-PAA NPs are
approximately 33mV and -25mV, respectively. CTS/TPP NPs quickly aggregate in PBS
(phosphate buffered saline) and DMEM (Dulbecco's modified Eagle's medium).
Conversely, CTS/TPP-PAA NPs exhibit excellent colloidal stability in plasma
solution for more than 24h. The PAA coating also endows CTS/TPP-PAA NPs with
decreased protein adsorption capacity and improved buffering capacity. More
importantly, the residual carboxyl and amino groups on CTS/TPP-PAA NPs provide
abundant reactive sites for further functional modifications. Therefore, the
CTS/TPP-PAA NPs reported here may be useful as an alternative drug delivery
system.
PMID- 27890572
TI - Preservative loss from silicone tubing during filling processes.
AB - Significant loss of preservative was observed during filling of drug products
during filling line stops. This study evaluated the losses of three commonly used
preservatives in protein drugs, i.e. benzyl alcohol, phenol, and m-cresol.
Concentration losses during static incubation were quantified and interpreted
with regard to the potential driving forces for the underlying sorption,
diffusion, and desorption steps. Partitioning from the solution into the silicone
polymer was identified as the most decisive parameter for the extent of
preservative loss. Additionally, the influence of tubing inner diameter, starting
concentration as well as silicone tubing type was evaluated. Theoretical
calculations assuming equilibrium between solution and tubing inner surface and
one-directional diffusion following Fick's first law were used to approximate
experimental data. Since significant losses were found already after few minutes,
adequate measures must be taken to avoid deviations during filling of
preservative-containing protein solutions that may impact product quality or
antimicrobial efficacy. As a possible alternative to the highly permeable
silicone tubing, a specific make of fluoropolymer tubing was identified being
suitable for peristaltic pumps and not showing any preservative losses.
PMID- 27890573
TI - Pegylated oleic acid: A promising amphiphilic polymer for nano-antibiotic
delivery.
AB - Vancomycin (VM), a last resort to control methicillin-resistant S. aureus (MRSA)
infections, is on the verge of becoming ineffective. Novel nano delivery systems
of VM have the potential to combat MRSA. The search for novel materials for
nanoantibiotic development is therefore an active research area. In this study,
oleic acid (OA) was coupled with monomethoxy polyethylene glycol (mPEG) to obtain
a novel bio-safe amphiphilic polymer, mPEG-OA. The critical micelle concentration
of mPEG-OA, was found to be 4.5*10-8m/L. VM-loaded polymersomes were prepared
from mPEG-OA and evaluated for size, polydispersity index (PDI), zeta potential
(ZP), surface morphology, drug release, in vitro and in vivo antibacterial
activity. The size, PDI and ZP of VM-loaded polymersomes were 142.9+/-7.5nm,
0.228+/-0.03 and -18.3+/-3.55mV respectively. Transmission electron microscopy
images revealed the spherical shape of polymersomes. The encapsulation efficiency
was 53.64+/-1.86%. The drug release from polymersomes was sustained and in vitro
antibacterial activity was 42- and 5-fold more against S. aureus and MRSA,
compared with plain VM. An in vivo BALB/c mice, skin infection models revealed
that treatment with VM-loaded polymersomes significantly reduced the MRSA burden
compared with plain VM and blank polymersomes. There was a 183 and a 25-fold
reduction in the MRSA colony finding units load in mice skin treated with VM
loaded polymersomes compared to that treated with blank polymersomes and bare VM
respectively. In summary, the developed VM-loaded polymersomes from novel mPEG-OA
polymer were found to be a promising nanoantibiotic against MRSA.
PMID- 27890574
TI - Modeling the role of information and limited optimal treatment on disease
prevalence.
AB - Disease outbreaks induce behavioural changes in healthy individuals to avoid
contracting infection. We first propose a compartmental model which accounts for
the effect of individual's behavioural response due to information of the disease
prevalence. It is assumed that the information is growing as a function of
infective population density that saturates at higher density of infective
population and depends on active educational and social programmes. Model
analysis has been performed and the global stability of equilibrium points is
established. Further, choosing the treatment (a pharmaceutical intervention) and
the effect of information (a non-pharmaceutical intervention) as controls, an
optimal control problem is formulated to minimize the cost and disease fatality.
In the cost functional, the nonlinear effect of controls is accounted. Analytical
characterization of optimal control paths is done with the help of Pontryagin's
Maximum Principle. Numerical findings suggest that if only control via
information is used, it is effective and economical for early phase of disease
spread whereas treatment works well for long term control except for initial
phase. Furthermore, we observe that the effect of information induced behavioural
response plays a crucial role in the absence of pharmaceutical control. Moreover,
comprehensive use of both the control interventions is more effective than any
single applied control policy and it reduces the number of infective individuals
and minimizes the economic cost generated from disease burden and applied
controls. Thus, the combined effect of both the control policies is found more
economical during the entire epidemic period whereas the implementation of a
single policy is not found economically viable.
PMID- 27890575
TI - 3D hybrid modelling of vascular network formation.
AB - We develop an off-lattice, agent-based model to describe vasculogenesis, the de
novo formation of blood vessels from endothelial progenitor cells during
development. The endothelial cells that comprise our vessel network are viewed as
linearly elastic spheres that move in response to the forces they experience. We
distinguish two types of endothelial cells: vessel elements are contained within
the network and tip cells are located at the ends of vessels. Tip cells move in
response to mechanical forces caused by interactions with neighbouring vessel
elements and the local tissue environment, chemotactic forces and a persistence
force which accounts for their tendency to continue moving in the same direction.
Vessel elements are subject to similar mechanical forces but are insensitive to
chemotaxis. An angular persistence force representing interactions with the local
tissue is introduced to stabilise buckling instabilities caused by cell
proliferation. Only vessel elements proliferate, at rates which depend on their
degree of stretch: elongated elements have increased rates of proliferation, and
compressed elements have reduced rates. Following division, the fate of the new
cell depends on the local mechanical environment: the probability of forming a
new sprout is increased if the parent vessel is highly compressed and the
probability of being incorporated into the parent vessel increased if the parent
is stretched. Simulation results reveal that our hybrid model can reproduce the
key qualitative features of vasculogenesis. Extensive parameter sensitivity
analyses show that significant changes in network size and morphology are induced
by varying the chemotactic sensitivity of tip cells, and the sensitivities of the
proliferation rate and the sprouting probability to mechanical stretch. Varying
the chemotactic sensitivity directly influences the directionality of the
networks. The degree of branching, and thereby the density of the networks, is
influenced by the sprouting probability. Glyphs that simultaneously depict
several network properties are introduced to show how these and other network
quantities change over time and also as model parameters vary. We also show how
equivalent glyphs constructed from in vivo data could be used to discriminate
between normal and tumour vasculature and, in the longer term, for model
validation. We conclude that our biomechanical hybrid model can generate vascular
networks that are qualitatively similar to those generated from in vitro and in
vivo experiments.
PMID- 27890576
TI - Wing geometry of Phlebotomus stantoni and Sergentomyia hodgsoni from different
geographical locations in Thailand.
AB - Geographic populations of the two main sandflies genera present in Thailand were
studied for species and population identification. Size and shape of Phlebotomus
stantoni and Sergentomyia hodgsoni from different island and mainland locations
were examined by landmark-based geometric morphometrics. Intraspecific and
interspecific wing comparison was carried out based on 12 anatomical landmarks.
The wing centroid size of P. stantoni was generally larger than that of S.
hodgsoni. Within both species, wings from the continent were significantly larger
than those from island populations. Size variation could be significant between
geographic locations, but could also overlap between genera. The wing venation
geometry showed non-overlapping differences between two species. The within
species variation of geometric shape between different geographical locations was
highly significant, but it could not interfere with the interspecies difference.
The lack of species overlapping in shape, and the high discrimination between
geographic populations, make geometric shape a promising character for future
taxonomic and epidemiological studies.
PMID- 27890577
TI - Transcription factors regulating uspA genes in Catharanthus roseus.
AB - RNA-Seq of the Catharanthus roseus SRA database was done in order to detect
putative universal stress proteins (USPs) and their possible controlling factors.
Previous analysis indicated the existence and characterization of uspA-like
genes. In silico analysis of RNA-Seq database in several plant tissues revealed
the possible functions and regulations of some uspA-like transcripts whose
transcription factors (TFs) that might drive their expression were detected.
BLAST indicated the existence of TF superfamilies erf (ethylene-responsive TF),
bHLH (basic helix-loop-helix) and WRKY that might regulate several uspA-like
genes. This data was proven via semi-quantitative RT-PCR in four plant tissues.
Several of these transcription factor superfamilies are known for their action in
the plant defense against biotic and abiotic stresses.
PMID- 27890578
TI - Adult Complex Regional Pain Syndrome Type I: A Narrative Review.
AB - : Complex regional pain syndrome type I (CRPS I) is a multifactorial painful
disorder with a complex pathogenesis. Both peripheral and central mechanisms are
involved. Acute CRPS I is considered to be an exaggerated inflammatory disorder;
however, over time, because of altered function of the sympathetic nervous system
and maladaptive neuroplasticity, CRPS I evolves into a neurological disorder.
This review thoroughly describes the pathophysiological aspects of CRPS I and
summarizes the potential therapeutic options. The mechanisms and targets of the
treatment are different in the early and late stages of the disease. This current
review builds on a previous review by this author group by deepening the role of
the peripheral classic and neuronal inflammatory component in the acute stage of
this painful disorder. LEVEL OF EVIDENCE: Not applicable.
PMID- 27890579
TI - The diagnosis and management of small renal masses.
PMID- 27890580
TI - Lipid-free apoA-I structure - Origins of model diversity.
AB - Apolipoprotein A-I (apoA-I) is a prominent member of the exchangeable
apolipoprotein class of proteins, capable of transitioning between lipid-bound
and lipid-free states. It is the primary structural and functional protein of
high density lipoprotein (HDL). Lipid-free apoA-I is critical to de novo HDL
formation as it is the preferred substrate of the lipid transporter, ATP Binding
Cassette Transporter A1 (ABCA1) Remaley et al. (2001) [1]. Lipid-free apoA-I is
an important element in reverse cholesterol transport and comprehension of its
structure is a core issue in our understanding of cholesterol metabolism.
However, lipid-free apoA-I is highly conformationally dynamic making it a
challenging subject for structural analysis. Over the past 20years there have
been significant advances in overcoming the dynamic nature of lipid-free apoA-I,
which have resulted in a multitude of proposed conformational models.
PMID- 27890581
TI - Total hip arthroplasty using a cementless dual-mobility cup provides increased
stability and favorable gait parameters at five years follow-up.
AB - BACKGROUND: Rates of dislocation following primary total hip arthroplasty (THA)
vary from 0.5 to 10%. Dual-mobility cups in THA demonstrate increased stability.
Clinical outcomes following THA with dual-mobility cups have been reported, but
gait has not been assessed. Therefore we performed a retrospective case control
study to answer: (1) is gait better in patients following THA with a dual
mobility cup than in frail, elderly patients of the same age? (2) Are clinical
outcomes better in patients following THA with a dual-mobility cup than in frail,
elderly patients? (3) What is the dislocation rate following THA with a dual
mobility cup? HYPOTHESIS: We hypothesized that patients who underwent THA with a
dual-mobility cup have a better gait compared to frail, elderly patients of the
same age. PATIENTS AND METHODS: Twenty patients (22 hips), mean age 79.9+/-7.7
(range, 62.3-88.3) years were assessed in this retrospective case-control series
5.6+/-1.4 (range: 4.1-8.8) years following dual-mobility cup THA. A reference
group consisted of 72 "frail elderly" patients in a rehabilitation hospital for
health problems unrelated to the lower limb, with no lower limb surgery or
neurological conditions. Temporal and spatial gait performance were measured with
four miniature gyroscopes, mounted on each thigh and calf, while patients walked
freely along a 30m corridor. Harris Hip Score, WOMAC, radiological outcomes, and
dislocation rate were determined. RESULTS: All gait parameters were better in the
dual-mobility group compared to the frail elderly group. The dual-mobility group
had a higher cadence (100.3 steps/minute versus 75.6 steps/minute), shorter
(relative to gait cycle time) stance (61.6% versus 67.8%), shorter (relative to
gait cycle time) double stance (23.3% versus 36.0%), longer stride (1.13m versus
0.80m), and faster walking speed (0.96m/s versus 0.52m/s). Range of motion of the
shank, thigh and knee were better in the dual-mobility group. Harris Hip Score
was 87.6+/-13.9 (range 51-100) and WOMAC score was 11.3+/-12.1 (range 0-34) in
the THA group. We observed no dislocations. DISCUSSION: Gait patterns five years
following THA with the dual-mobility cup were better or comparable to published
study populations. LEVEL OF EVIDENCE: III, retrospective case-control series.
PMID- 27890582
TI - Molecular cloning and characterization of a Perilla frutescens cytochrome P450
enzyme that catalyzes the later steps of perillaldehyde biosynthesis.
AB - Perilla produces the cyclohexanoid monoterpene perillaldehyde as a major
constituent of an essential oil that is accumulated in its glandular trichomes.
Perillaldehyde is a marker compound for quality control of soyo and has
biological activities such as antibacterial, sedative, or vasodilatory effects.
The predicted perillaldehyde formation involves the cyclization of geranyl
diphosphate, hydroxylation, and oxidation, and cytochrome P450 plays a crucial
role in perillaldehyde biosynthesis. In this study, a cytochrome P450-type enzyme
with perillyl alcohol and perillaldehyde synthase activities was isolated by
analyzing an expressed sequence tag library from several oil types of pure lines
of perilla. A recombinant protein with a sequence that was highly specific for
the type of perillaldehyde was expressed in Saccharomyces cerevisiae and
evaluated by an in vitro enzymatic reaction. The recombinant protein catalyzed
the hydroxylation and oxidation of limonene to perillyl alcohol and
perillaldehyde. Cytochrome P450 limonene-7-hydroxylase cDNA from Perilla
frutescens has been previously isolated. The cytochrome P450 isolated in this
study shares 37% amino-acid identity with the previously isolated enzyme;
however, it may have different characteristics.
PMID- 27890583
TI - Ailanthusins A-G and nor-lupane triterpenoids from Ailanthus triphysa.
AB - Bioactivity-guided chemical investigation of the CH2Cl2 and CH2Cl2MeOH extracts
of the stem and stem bark material of Ailanthus tryphysa (Simaroubaceae) led to
the isolation of five cycloapotirucallanes, ailanthusins A-E, two malabaricanes,
ailanthusins F-G, and one nor-lupane triterpenoid, 29-nor-lup-1-ene-3,20-dione
along with twenty known compounds. Their structures were elucidated through the
application of extensive spectroscopic methods, and the structure of ailanthusin
A was further confirmed by single crystal X-ray analysis. Several malabaricane
derivatives were prepared from malabaricol and, together with some of the
isolates, were evaluated for their cytotoxic activities against human cancer and
normal cell lines.
PMID- 27890584
TI - A sedge plant as the source of Kangaroo Island propolis rich in prenylated p
coumarate ester and stilbenes.
AB - Propolis samples from Kangaroo Island, South Australia, were investigated for
chemical constituents using high-field nuclear magnetic resonance spectral
profiling. A type of propolis was found containing a high proportion of
prenylated hydroxystilbenes. Subsequently, the botanical origin of this type of
propolis was identified using a beehive propolis depletion method and analysis of
flora. Ligurian honey bees, Apis mellifera ligustica Spinola, were found to
produce propolis from resin exuded by the Australian native sedge plant
Lepidosperma sp. Montebello (Cyperaceae). The plants, commonly known as sword
sedge, were found to have resin that matched with the propolis samples identified
as the most abundant propolis type on the island containing C- and O-prenylated
tetrahydroxystilbenes (pTHOS) in addition to a small amount of prenylated p
coumarate. The isolation of five pTHOS not previously characterized are reported:
(E)-4-(3-methyl-2-buten-1-yl)-3,4',5-trihydroxy-3'-methoxystilbene, (E)-2,4-bis(3
methyl-2-buten-1-yl)-3,3',4',5-tetrahydroxystilbene, (E)-2-(3-methyl-2-buten-1
yl)-3-(3-methyl-2-butenyloxy)-3',4',5-trihydroxystilbene, (E)-2,6-bis(3-methyl-2
buten-1-yl)-3,3',5,5'-tetrahydroxystilbene and (E)-2,6-bis(3-methyl-2-buten-1-yl)
3,4',5-trihydroxy-3'-methoxystilbene. A National Cancer Institute 60 human cell
line anticancer screen of three of these compounds showed growth inhibitory
activity. The large Australasian genus Lepidosperma is identified as a valuable
resource for the isolation of substances with medicinal potential.
PMID- 27890585
TI - Cadmium in vivo exposure alters stress response and endocrine-related genes in
the freshwater snail Physa acuta. New biomarker genes in a new model organism.
AB - The freshwater snail Physa acuta is a sensitive organism to xenobiotics that is
appropriate for toxicity testing. Cadmium (Cd) is a heavy metal with known toxic
effects on several organisms, which include endocrine disruption and activation
of the cellular stress responses. There is scarce genomic information on P.
acuta; hence, in this work, we identify several genes related to the hormonal
system, the stress response and the detoxification system to evaluate the effects
of Cd. The transcriptional activity of the endocrine-related genes oestrogen
receptor (ER), oestrogen-related receptor (ERR), and retinoid X receptor (RXR),
the heat shock proteins genes hsp70 and hsp90 and a metallothionein (MT) gene was
analysed in P. acuta exposed to Cd. In addition, the hsp70 and hsp90 genes were
also evaluated after heat shock treatment. Real-time reverse transcriptase
polymerase chain reaction (qRT-PCR) analysis showed that Cd presence induced a
significant increase in the mRNA levels of ER, ERR and RXR, suggesting a putative
mode of action that could explain the endocrine disruptor activity of this heavy
metal at the molecular level on Gastropoda. Moreover, the hsp70 gene was
upregulated after 24-h Cd treatment, but the hsp90 gene expression was not
affected. In contrast, the hsp70 and hsp90 genes were strongly upregulated during
heat shock response. Finally, the MT gene expression showed a non-significant
variability after Cd exposure. In conclusion, this study provides, for the first
time, information about the effects of Cd on the endocrine system of Gastropoda
at the molecular level and offers new putative biomarker genes that could be
useful in ecotoxicological studies, risk assessment and bioremediation.
PMID- 27890586
TI - Exploring the contribution of bacteriophages to antibiotic resistance.
AB - Bacteriophages (phages) are the most abundant and diverse biological entities in
our planet. They infect susceptible bacterial hosts into which they either
multiply or persist. In the latter case, phages can confer new functions to their
hosts as a result of gene transfer, thus contributing to their adaptation (short
term) and evolution (long-term). In this regard, the role of phages on the
dissemination of antibiotic resistance genes (ARGs) among bacterial hosts in
natural environments has not yet been clearly resolved. Here, we carry out a
comprehensive analysis of thirty-three viromes from different habitats to
investigate whether phages harbor ARGs. Our results demonstrate that while human
associated viromes do not or rarely carry ARGs, viromes from non-human sources
(e.g. pig feces, raw sewage, and freshwater and marine environments) contain a
large reservoir of ARGs, thus pointing out that phages could play a part on the
spread of antibiotic resistance. Given this, the role of phages should not be
underestimated and it should be considered when designing strategies to tackle
the global crisis of antibiotic resistance.
PMID- 27890587
TI - Multiple mechanisms of heavy metal tolerance are differentially expressed in
ecotypes of Artemisia fragrans.
AB - Artemisia fragrans is a plant species with ability of growing on heavy metal
polluted soils. Ecotypes of this species naturally growing in polluted areas can
accumulate and tolerate different amounts of heavy metals (HM), depending on soil
contamination level at their origin. Heavy metal tolerance of various ecotypes
collected from contaminated (AP, SP) and non-contaminated (BG) sites was compared
by cultivation on a highly HM-contaminated river sediment and a non-contaminated
agricultural control soil. Tissue-specific HM distribution was analyzed by laser
ablation-inductively-coupled plasma-mass spectroscopy (LA-ICP-MS) and
photosynthetic activity by non-invasive monitoring of chlorophyll fluorescence.
Plant-mineral analysis did not reveal ecotype-differences in concentrations of
Cd, Zn, Cu in shoots of Artemisia plants, suggesting no differential expression
of root uptake or root to shoot translocation of HM. There was also no detectable
rhizosphere effect on HM concentrations on the contaminated soil. However,
despite high soil contaminations, all ecotypes accumulated Zn only in the
concentration range of generally reported for normal growth of plants, while Cu
and Cd concentrations were close to or even higher than the toxicity level for
most plants. As a visible symptom of differences in HM tolerance, only the AP
ecotype was able to enter the generative phase to complete its life cycle.
Analysis of tissue-specific metal distribution revealed significantly lower
concentrations of Cd in the leaf mesophyll of this ecotype, accumulating Cd
mainly in the leaf petioles. A similar mesophyll exclusion was detectable also
for Cu, although not associated with preferential accumulation in the leaf
petioles. However, high mesophyll concentrations of Cd and Cu in the SP and BG
ecotypes were associated with disturbances of the photosynthetic activity. The
findings demonstrate differential expression of HM exclusion strategies in
Artemisia ecotypes and suggest Cd and Cu exclusion from the photosynthetically
active tissues as a major tolerance mechanism of the AP ecotype.
PMID- 27890588
TI - Aerobic and resistance exercise reinforcement and discomfort tolerance predict
meeting activity guidelines.
AB - BACKGROUND: Understanding individual-differences of those people who do and do
not meet physical activity recommendations could inform targets for increasing
physical activity. Exercise reinforcement may be one such individual-level
determinate, but it is not yet known whether those who meet activity guidelines
have a greater relative reinforcing value (RRV) of aerobic exercise training
(RRVAT) or resistance training (RRVRT). The predictors of RRVAT or RRVRT are also
not yet known. PURPOSE: To determine whether individuals who meet aerobic
activity or muscle strengthening activity guidelines have greater RRVAT or RRVRT
and whether the preference and tolerance for exercise intensity predicts RRVAT or
RRVRT. METHODS: Men (n=38) and women (n=50) were measured for RRVAT, RRVRT,
minutes of vigorous physical activity, usual resistance training behavior, and
completed the Preference for and Tolerance of the Intensity of Exercise
Questionnaire. RESULTS: Individuals who met physical activity guidelines for
aerobic activity and muscle strengthening activity had 58% greater RRVAT and 54%
greater RRVRT, respectively, than those who did not meet these guidelines.
Preference and tolerance for exercise intensity was associated (p<0.01) with
greater RRVRT, but not RRVAT. CONCLUSION: Having greater RRV of a mode of
exercise is associated with meeting activity guidelines for that mode of
exercise. Discomfort tolerance during exercise is associated with greater RRV of
resistance exercise.
PMID- 27890589
TI - Aged mice receiving caffeine since adulthood show distinct patterns of anxiety
related behavior.
AB - Caffeine is the psychostimulant most consumed worldwide. Anxiogenic effects of
caffeine have been described in adult animals with controversial findings about
its anxiogenic potential. Besides, the effects of caffeine on anxiety with aging
are still poorly known. In this study, adult mice (6months old) started to
receive caffeine (0.3 and 1.0mg/mL, drinking water) during 12-14months only in
the light cycle and at weekdays. The open field (OF) and elevated plus maze (EPM)
testing were used to determine the effects of caffeine on anxiety-related
behavior in adult and aged mice (18-20months old). Because aging alters synaptic
proteins, we also evaluated SNAP-25 (as a nerve terminals marker), GFAP (as an
astrocyte marker) and adenosine A1 and A2A receptors levels in the cortex.
According to the OF analysis, caffeine did not change both hypolocomotion and
anxiety with aging. However, aged mice showed less anxiety behavior in the EPM,
but after receiving caffeine (0.3mg/mL) during adulthood they were anxious as
adult mice. While SNAP-25 and adenosine A2A receptors increased with aging, both
GFAP and adenosine A1 receptors were not affected. Caffeine at moderate dose
prevented the age-related increase of the SNAP-25, with no effect on adenosine
A2A receptors. The absence of effect for the highest dose suggests that tolerance
to caffeine may have developed over time. Aged mice showed high responsiveness to
the OF, being difficult to achieve any effect of caffeine. On the other hand this
substance sustained the adult anxious behavior over time in a less stressful
paradigm, and this effect was coincident with changes in the SNAP-25, suggesting
the involvement of this synaptic protein in the ability of caffeine to preserve
changes related to emotionality with aging.
PMID- 27890590
TI - Can cleanerfish overcome temptation? A selective role for dopamine influence on
cooperative-based decision making.
AB - Evidence suggests that animals are selected to make accurate choices and
prioritize goals within the constraints of a given social environment to maximize
fitness. These decisions are mostly based on complex processes in which value is
linked to reward and cues may carry variable incentive salience. However, the
level in which the incentive elicited by a cue is able to shift individual
choices should differ between individuals and neurophysiological states. Here we
used a notorious cooperative cleanerfish species Labroides dimidiatus to probe
for differences in the incentive motivational valences given to food cues and
then tested for the role of the dopaminergic system in the appraisal of such
cues. We found that cleaners differed in Pavlovian conditioned approach
behavioural responses to reward-associated stimuli: while the majority were fast
to engage physically with the cue plate that indicated future reward delivery
(sign trackers), only a few took significantly more time to respond (goal
trackers). But amongst those that were considering the sole cue attractive, we
discovered that the dopaminergic blockage decreased their initial propensity to
approach and touch the cue plate. Our results show that dopamine disruption
contributes to shifting the attribution of motivational incentive from the
predictive cue towards the actual reward and provides key insight into the
physiological framework of cooperative-based decision making.
PMID- 27890591
TI - Circadian waveform bifurcation, but not phase-shifting, leaves cued fear memory
intact.
AB - In mammals, memory acquisition and retrieval can be affected by time of day, as
well as by manipulations of the light/dark cycle. Under bifurcation, a
manipulation of circadian waveform, two subjective days and nights are
experimentally induced in rodents. We examined the effect of bifurcation on
Pavlovian fear conditioning, a prominent model of learning and memory. Here we
demonstrate that bifurcation of the circadian waveform produces a small deficit
in acquisition, but not on retrieval of fear memory. In contrast, repeated phase
shifting in a simulated jet-lag protocol impairs retrieval of memory for cued
fear. The results have implications for those attempting to adjust to shift-work
or other challenging schedules.
PMID- 27890592
TI - Small particle size lipid emulsions, satiety and energy intake in lean men.
AB - Lipid emulsions have been proposed to suppress hunger and food intake. Whilst
there is no consensus on optimal structural properties or mechanism of action,
small particle size (small-PS) stable emulsions may have greatest efficacy.
Fabuless(r), a commercial lipid emulsion reported in some studies to decrease
energy intake (EI), is a small-PS, 'hard' fat emulsion comprising highly
saturated palm oil base (PS, 82nm). To determine whether small-PS dairy lipid
emulsions can enhance satiety, firstly, we investigated 2 'soft' fat dairy
emulsions generated using dairy and soy emulsifying agents (PS, 114nm and 121nm)
and a non-emulsified dairy control. Secondly, we investigated a small-PS
palmolein based 'hard' fat emulsion (fractionated palm oil, PS, 104nm) and non
emulsified control. This was a 6 arm, randomized, cross-over study in 18 lean
men, with test lipids delivered in a breakfast meal: (i) Fabuless(r) emulsion
(FEM); (ii) dairy emulsion with dairy emulsifier (DEDE); (iii) dairy emulsion
with soy lecithin emulsifier (DESE); (iv) dairy control (DCON); (v) palmolein
emulsion with dairy emulsifier (PEDE); (vi) palmolein control (PCON).
Participants rated postprandial appetite sensations using visual analogue scales
(VAS), and ad libitum energy intake (EI) was measured at a lunch meal 3.5h later.
Dairy lipid emulsions did not significantly alter satiety ratings or change EI
relative to dairy control (DEDE, 4035kJ; DESE, 3904kJ; DCON, 3985kJ; P>0.05) nor
did palm oil based emulsion relative to non-emulsified control (PEDE, 3902 kJ;
PCON, 3973kJ; P>0.05). There was no evidence that small-PS dairy lipid emulsions
or commercial Fabuless altered short-term appetite or food intake in lean adults.
PMID- 27890593
TI - Evaluation of the crystal structure of a fimbrillin (FimA) from Porphyromonas
gingivalis as a therapeutic target for photo-activated disinfection with
toluidine blue O.
AB - BACKGROUND: Porphyromonas gingivalis is one of the etiological agents in the
initiation of combined periodontal-endodontic (perio-endo) lesions. Successful
treatment of perio-endo lesions with photo-activated disinfection (PAD) as a
novel therapeutic approach depends on the selection of an appropriate target
site, specific photosensitizer (PS), and wavelength of light. Since the
fimbrillin (FimA) is the most important pathogenic agent in P. gingivalis it was
evaluated as a target site against PAD with toluidine blue O (TBO) as a PS.
MATERIALS AND METHODS: We evaluated the potential of FimA using a number of
bioinformatic tools and computer simulation molecular modeling. In silico
analysis was done to predict the hierarchical nature of structure, topology,
backbone, physicochemical properties, and functional characterization of FimA.
RESULTS: The predicted structure of FimA exhibited that it is an anionic protein
with negative charge (-7.00) in pH7 and random coil which dominates other
secondary structures located outside the cell. It has three motifs with seven
ligand binding site residues and one active site residue which can be a site of
interaction for cationic TBO. CONCLUSION: Based on the results of this study
cationic TBO tends to interact with FimA during PAD as a major target to increase
the efficiency of treatment of perio-endo lesions.
PMID- 27890594
TI - An investigation of trends in precious metal and copper content of RAM modules in
WEEE: Implications for long term recycling potential.
AB - Precious metal (PM) and copper content of dynamic-RAM modules placed on the
market during 1991-2008 has been analysed by AAS following comminution and acid
digestion. Linear regression analysis of compositional data ordered according to
sample chronology was used to identify historic temporal trends in module
composition resulting from changes in manufacturing practices, and to project
future trends for use in more accurate assessment of future recycling potential.
DRAM was found to be 'high grade' waste with: stable levels of gold and silver
over time; 80% reduction in palladium content during 1991-2008; and
0.23g/module/year increase in copper content with a 75% projected increase from
2008 by 2020. The accuracy of future recycling potential projections for WEEE
using current methods based on static compositional data from current devices is
questionable due to likely changes in future device composition. The impact on
recycling potential projections of waste laptops, smart phones, cell phones and
tablets arising in Europe in 2020 resulting from a 75% increase in copper content
is considered against existing projections using static compositional data. The
results highlight that failing to consider temporal variations in PM content may
result in significant discrepancies between projections and future recycling
potential.
PMID- 27890595
TI - The formation and physical stability of two-phase solid dispersion systems of
indomethacin in supercooled molten mixtures with different matrix formers.
AB - Amorphous solid dispersions (SDs) are a promising approach to improve the
dissolution rate of and oral bioavailability of poorly water-soluble drugs. In
some cases multi-phase, instead of single-phase, SD systems with amorphous drug
are obtained. While it is widely assumed that one-phase amorphous systems are
desirable, two-phase systems may still potentially exhibit enhanced stability and
dissolution advantages over undispersed systems. The objective of the present
study was to understand the solid-state properties of two-phase SDs with
amorphous drug and their relation to physical stability. Two different types of
excipients for SD formation were used, one being a polymer and the other a small
molecule excipient. The supercooled molten SDs of a poorly water-soluble
indomethacin (IND) with a graft copolymer, Soluplus(r) (SOL) and sugar alcohol,
xylitol (XYL) were prepared. Supercooled molten SDs of IND with SOL were two
phase glassy suspension in which the amorphous drug was dispersed in an amorphous
polymer matrix. A short-term aging of the SDs led to the formation of glassy
suspensions where the crystalline drug was dispersed in an amorphous polymer
matrix. These were physically stable at room temperature for the time period
studied (RT, 23+/-2 degrees C), but aging at high-humidity conditions (75% RH)
recrystallization to metastable alpha-IND occurred. Interestingly, the SDs with
XYL were two-phase amorphous precipitation systems in which the drug was in an
amorphous form in the crystalline sugar alcohol matrix. The SDs of IND and XYL
exhibited fast drug recrystallization. In conclusion, the preparation method of
two-phase systems via co-melting in association with the rapid quench cooling is
a feasible method for the formulation of poorly water-soluble drugs. The physical
stability of these two-phase systems, however, is dependent on the carrier
material and storage conditions.
PMID- 27890596
TI - Monoacyl phosphatidylcholine inhibits the formation of lipid multilamellar
structures during in vitro lipolysis of self-emulsifying drug delivery systems.
AB - The colloidal structures formed during lipolysis of self-emulsifying drug
delivery systems (SEDDS) might affect the solubilisation and possibly the
absorption of drugs. The aim of the current study is to elucidate the structures
formed during the in vitro lipolysis of four SEDDS containing medium-chain
glycerides and caprylocaproyl polyoxyl-8 glycerides (Labrasol), with or without
monoacyl phosphatidylcholine (MAPC). In situ synchrotron small-angle X-ray
scattering (SAXS) was combined with ex situ cryogenic transmission electron
microscopy (cryo-TEM) and dynamic light scattering (DLS) to elucidate the
generated structures. The SAXS scattering curves obtained during the lipolysis of
MAPC-free SEDDS containing 43-60% w/w Labrasol displayed a lamellar phase peak at
q=2.13nm-1 that increased with Labrasol concentration, suggesting the presence of
multilamellar structures (MLS) with a d-spacing of 2.95nm. However, SEDDS
containing 20-30% w/w MAPC did not form MLS during the lipolysis. The cryo-TEM
and DLS studies showed that MAPC-free SEDDS formed coarse emulsions while MAPC
containing SEDDS formed nanoemulsions during the dispersion in digestion medium.
From the first minute and during the entire lipolysis process, SEDDS both with
and without MAPC generated uni-, bi-, and oligo-lamellar vesicles. The lipolysis
kinetics in the first minutes of the four SEDDS correlated with an increased
intensity of the SAXS curves and the rapid transformation from lipid droplets to
vesicles observed by cryo-TEM. In conclusion, the study elucidates the structures
formed during in vitro lipolysis of SEDDS and the inhibitory effect of MAPC on
the formation of MLS.
PMID- 27890597
TI - Identification, eukaryotic expression and structure & function characterizations
of beta-defensin like homologues from Pelodiscus sinensis.
AB - Defensins are a group of host defense peptides that play a central role in host
innate immune responses. Here, 26 genes encoding beta-defensin-like peptides have
been identified for the first time in Pelodiscus sinensis using database mining
approach. Phylogenetic study confirmed that beta-defensins are fast evolving
genes with high rates of sequence substitutions. The expression level of several
selected genes in different tissues was examined by RT-PCR. Ps-BDs mainly adopt
beta-strands and/or alpha-helix conformations homology modeled by Rosetta
program. Further, Ps-BD2 was expressed in Pichia pastoris and purified using Ni
NTA column and RT-HPLC. As expected, the rPs-BD2 showed strong antimicrobial
activity, but displayed a negligible hemolytic and cytotoxic activity on human
erythrocytes and Raw 264.7 murine macrophage cells, respectively. Our results
suggested that the Ps-BD2 was produced efficiently in P. pastoris expression
system, which makes the large-scale use of rPs-BDs possible in the future
clinical practice.
PMID- 27890598
TI - Small and large number discrimination in goldfish (Carassius auratus) with
extensive training.
AB - Previous studies on relative quantity discrimination in birds and mammals with
training procedures have employed hundreds or thousands of trials whereas studies
with fish typically use dozens of trials. The goal of this study was to examine
whether more extensive training improves the performance of fish tested on
stimuli in the small (<4) and large (>4) number range. Goldfish were trained with
dot array stimuli using the ratio 0.5 (2 vs. 4, 6 vs. 12) across two blocks of
training sessions with a total of approximately 1200 trials. They were tested
after each block of training sessions with the ratios 0.33 (1 vs. 3, 5 vs. 15),
0.5 (2 vs. 4, 6 vs. 12), and 0.67 (2 vs. 3, 10 vs. 15). Performance exceeded 90%
correct on both test blocks. Accuracy was not affected by manipulating the
surface area, density, or space of stimuli. Performance was best on the ratio 0.5
in test block 1, but ratio-independent in test block 2. There was no difference
in performance in the small vs. large number range across the study. These
results suggest that fish given extensive training can achieve accuracy on a
numerical task comparable to well-trained birds, humans, or non-human primates.
PMID- 27890599
TI - Adenosine in sperm physiology.
AB - It has long been known that ATP and cAMP are deeply involved in sperm function
whereas the role of adenosine and adenosine receptors is still far from being
totally construed. The presence of adenosine in male reproductive tract and
adenosine receptors on spermatozoa is strongly suggestive of a functional role of
these receptors in sperm physiology and function. Spermatozoa are highly
differentiated cells with fertility as the only goal. This paper, by an extensive
review of the literature, outlines our current understanding of the role and
effects of adenosine and adenosine receptors in spermatogenesis and in the
acquisition of sperm fertilizing capacity which occurs in the femal genital
tract, where the motile sperm fertilize an egg to form a zygote.
PMID- 27890600
TI - Folate status and colorectal cancer risk: A 2016 update.
AB - The consensus of epidemiologic evidence indicates that an abundant intake of
foodstuffs rich in folate conveys protection against the development of
colorectal cancer, and perhaps some other common cancers as well. Pre-clinical
models substantiate that the relationship is a genuinely causal one. Pre-clinical
models have also lent mechanistic insights into the biochemical and molecular
pathways by which adequate folate exposure conveys these protective effects, and
human studies are beginning to confirm the relevance of this mechanistic
understanding to human cancer biology. Enhancement of genetic stability appears
to be a major mechanism by which folate sufficiency protects against
carcinogenesis. To date, the Wnt signaling cascade has been the pathway most
examined in this regard. The relationship between folate exposure and colorectal
cancer risk is a complex one, in part because a number of extrinsic and intrinsic
factors act as effect modifiers. This review discusses how the intake of the
other three B-vitamins integral to the 1-carbon pathway acts as one such effect
modifier. In addition, two concepts that remain matters of considerable debate
are whether parental intake of folate impacts on subsequent cancer risk in the
offspring, and whether excessive intakes of folate may have a paradoxical cancer
promoting effect: observations underlying these two concepts are presented as
well.
PMID- 27890601
TI - Tramadol: Effects on sexual behavior in male rats are mainly caused by its 5-HT
reuptake blocking effects.
AB - Tramadol is a well-known and effective analgesic. Recently it was shown that
tramadol is also effective in human premature ejaculation. The inhibitory effect
of tramadol on the ejaculation latency is probably due to its mechanism of action
as a MU-opioid receptor agonist and noradrenaline/serotonin (5-HT) reuptake
inhibitor. In order to test this speculation, we tested several doses of tramadol
in a rat model of male sexual behavior and investigated two types of drugs
interfering with the MU-opioid and the 5-HT system. First the MU-opioid receptor
agonist properties of tramadol were tested with naloxone, a MU-opioid receptor
antagonist. Second, the effects of WAY100,635, a 5-HT1A receptor antagonist, were
tested on the behavioral effects of tramadol. Finally the effects of paroxetine,
a selective serotonin reuptake inhibitor, combined with naloxone or WAY100,635
treatment, were compared to the effects of tramadol combined with these drugs.
Results showed that naloxone, at a sexually inactive dose, could only partially
antagonize the inhibitory effect of tramadol. Moreover, low and behaviorally
inactive doses of WAY100,635, strongly decreased sexual behavior when combined
with a behaviorally inactive dose of tramadol. Finally we showed that the effects
of paroxetine on sexual behavior resembled the effects of tramadol, indicating
that tramadol's inhibitory effects on sexual behavior are primarily and mainly
caused by its SSRI properties and that its MU-opioid receptor agonistic activity
only contributes marginally. These findings support the hypothesis that tramadol
exerts inhibition of premature ejaculations in men by its 5-HT reuptake
inhibiting properties.
PMID- 27890603
TI - A novel inhibitor of endocannabinoid catabolic enzymes sheds light on behind the
scene interplay between chronic pain, analgesic tolerance, and heroin dependence.
AB - From the Aristotelian ancient Greece, pain has been associated with appetites or
emotions and is opposite to pleasure. Reward and addiction is also linked to
pleasure and compulsive drug seeking reinstates pleasure. Alleviation of chronic
pain can induce a euphoric phase similar to what is found in addiction. Both
chronic pain and addiction are recognized as a disease of the central nervous
system. They share many characteristics and brain regions/mechanisms. Evidence
points to the usefulness of cannabinoids as a new class of agents to add to the
pharmaceutical toolbox in the management of chronic pain. Wilkerson and
colleagues, in this issue, examine SA-57, an inhibitor of two different
endocannabinoid catabolic enzymes FAAH and MAGL, demonstrating its analgesic
effectiveness and morphine-sparing properties in a chronic pain model, as well as
its ability to reduce heroin seeking behavior in a self-administration paradigm
in mice. This timely study emphasizes the need for development of more
efficacious chronic pain therapeutics with minimized abuse potential and/or
reinforcing properties. It also highlights the need for better understanding of
the overlapping circuitry of chronic pain, reward, and addiction.
PMID- 27890602
TI - The endocannabinoid hydrolysis inhibitor SA-57: Intrinsic antinociceptive
effects, augmented morphine-induced antinociception, and attenuated heroin
seeking behavior in mice.
AB - Although opioids are highly efficacious analgesics, their abuse potential and
other untoward side effects diminish their therapeutic utility. The addition of
non-opioid analgesics offers a promising strategy to reduce required
antinociceptive opioid doses that concomitantly reduce opioid-related side
effects. Inhibitors of the primary endocannabinoid catabolic enzymes fatty acid
amide hydrolase (FAAH) and monoacylglycerol lipase (MAGL) show opioid-sparing
effects in preclinical models of pain. As simultaneous inhibition of these
enzymes elicits enhanced antinociceptive effects compared with single enzyme
inhibition, the present study tested whether the dual FAAH-MAGL inhibitor SA-57
[4-[2-(4-chlorophenyl)ethyl]-1-piperidinecarboxylic acid 2-(methylamino)-2
oxoethyl ester] produces morphine-sparing antinociceptive effects, without major
side effects associated with either drug class. SA-57 dose-dependently reversed
mechanical allodynia in the constriction injury (CCI) of the sciatic nerve model
of neuropathic pain and carrageenan inflammatory pain model. As previously
reported, SA-57 was considerably more potent in elevating anandamide (AEA) than 2
arachidonyl glycerol (2-AG) in brain. Its anti-allodynic effects required
cannabinoid (CB)1 and CB2 receptors; however, only CB2 receptors were necessary
for the anti-edematous effects in the carrageenan assay. Although high doses of
SA-57 alone were required to produce antinociception, low doses of this compound,
which elevated AEA and did not affect 2-AG brain levels, augmented the
antinociceptive effects of morphine, but lacked cannabimimetic side effects.
Because of the high abuse liability of opioids and implication of the
endocannabinoid system in the reinforcing effects of opioids, the final
experiment tested whether SA-57 would alter heroin seeking behavior. Strikingly,
SA-57 reduced heroin-reinforced nose poke behavior and the progressive ratio
break point for heroin. In conclusion, the results of the present study suggest
that inhibition of endocannabinoid degradative enzymes represents a promising
therapeutic approach to decrease effective doses of opioids needed for clinical
pain control, and may also possess therapeutic potential to reduce opioid abuse.
PMID- 27890604
TI - Gender dimorphism in pediatric OSA: Is it for real?
AB - In epidemiologic studies focused on adults, obstructive sleep apnea (OSA) has
higher prevalence in men than in women. The evidence supporting a gender-related
discrepant prevalence of OSA is however much more tenuous in children. Here, we
aimed to review the evidence concerning gender- based differences in the
prevalence of OSA in children, and if so, to examine the evidence with the intent
to identify potential factors that may account for this putative association.
Gender-based perception and reporting of clinical manifestations of OSA may be
modulated by several social and cultural factors in children. Among those
factors, gender may crucially affect the reporting of symptoms such as snoring,
and therefore markedly skew the male:female prevalence ratios of OSA. On the
other hand, hormonal changes associated with puberty, may be playing a role,
albeit a relatively smaller one than previously construed. Gender bias in OSA is
most likely due to complex interactions between several physiological and
epidemiologic factors that are clearly operational in adults. However, the
evidence of clear gender-based differences in OSA prevalence or severity remains
unclear in pre-pubertal children, and may be detectable in adolescents only when
concurrent obesity is also present. Furthermore, no published evidence emerged
supporting increased susceptibility to OSA-related in pre-pubertal boys vs.
girls, except in obese adolescents or in girls with elevated testosterone levels.
Future research in OSA may give clues on the role of gender-related hormonal
changes as a modulating factor in childhood.
PMID- 27890605
TI - Developmental metaplasticity in neural circuit codes of firing and structure.
AB - Firing-rate dynamics have been hypothesized to mediate inter-neural information
transfer in the brain. While the Hebbian paradigm, relating learning and memory
to firing activity, has put synaptic efficacy variation at the center of cortical
plasticity, we suggest that the external expression of plasticity by changes in
the firing-rate dynamics represents a more general notion of plasticity.
Hypothesizing that time constants of plasticity and firing dynamics increase with
age, and employing the filtering property of the neuron, we obtain the elementary
code of global attractors associated with the firing-rate dynamics in each
developmental stage. We define a neural circuit connectivity code as an
indivisible set of circuit structures generated by membrane and synapse
activation and silencing. Synchronous firing patterns under parameter uniformity,
and asynchronous circuit firing are shown to be driven, respectively, by membrane
and synapse silencing and reactivation, and maintained by the neuronal filtering
property. Analytic, graphical and simulation representation of the discrete
iteration maps and of the global attractor codes of neural firing rate are found
to be consistent with previous empirical neurobiological findings, which have
lacked, however, a specific correspondence between firing modes, time constants,
circuit connectivity and cortical developmental stages.
PMID- 27890606
TI - A new hyperbox selection rule and a pruning strategy for the enhanced fuzzy min
max neural network.
AB - In this paper, we extend our previous work on the Enhanced Fuzzy Min-Max (EFMM)
neural network by introducing a new hyperbox selection rule and a pruning
strategy to reduce network complexity and improve classification performance.
Specifically, a new k-nearest hyperbox expansion rule (for selection of a new
winning hyperbox) is first introduced to reduce the network complexity by
avoiding the creation of too many small hyperboxes within the vicinity of the
winning hyperbox. A pruning strategy is then deployed to further reduce the
network complexity in the presence of noisy data. The effectiveness of the
proposed network is evaluated using a number of benchmark data sets. The results
compare favorably with those from other related models. The findings indicate
that the newly introduced hyperbox winner selection rule coupled with the pruning
strategy are useful for undertaking pattern classification problems.
PMID- 27890607
TI - Genotype-phenotype correlations of cysteine replacement in CADASIL.
AB - Cerebral autosomal dominant arteriopathy with subcortical infarcts and
leukoencephalopathy (CADASIL) is characterized by cerebral infarction related to
mutations in the notch homolog protein 3 (NOTCH3). We enrolled 10 patients whose
brain magnetic resonance imaging (MRI) fluid-attenuated inversion recovery images
showed hyperintensities (HIs) in the deep white matter and the external capsule.
We then investigated the mutations in NOTCH3 using direct sequencing within the
region of intron-exon boundaries in exons 2-24 of NOTCH3. Eight patients
harboring NOTCH3 mutations (8 of 10) were identified, including a novel mutation,
p.C162Y, and 3 cases with a sporadic form. Seven patients with cysteine
replacement showed HI in the anterior part of the temporal lobes (ATLs), whereas
these changes were not detected in 1 patient without cysteine replacement,
p.R75P. Reviewing previous reports, we conclude that the patients can clearly be
divided in 2 groups: those with cysteine replacement who showed HI in the ATL and
those without cysteine replacement who showed no HI in the ATL. Our findings
expand the understanding of genotype-phenotype correlations in cerebral autosomal
dominant arteriopathy with subcortical infarcts and leukoencephalopathy.
PMID- 27890608
TI - Speciation, faunal affinities and geographical dispersal of black flies (Diptera:
Simuliidae) in the Oriental Region.
AB - The simuliid fauna of the Oriental Region is reviewed in comparison with those in
five other zoogeographical regions. It is relatively young, represented by only
one genus Simulium, which is regarded as the most specialized among 26 genera of
the family Simuliidae. The Oriental Region has the second largest simuliid fauna
with 524 species or 23.8% of the world total of 2204 extant species. This species
richness is associated with a high speciation index (15.4), reflected especially
by the high speciation rates of two dominant subgenera Gomphostilbia and Simulium
although the number of lineages in the Oriental Region is moderate (34 or 20.6%
of the total 165). The Oriental fauna has relationships with all other
zoogeographical regions at the lineage level, having the highest affinity index
(31.9) with the Palearctic Region. It is inferred that eight of 10 Oriental
subgenera moved during the ice ages from the Palaearctic to the Oriental Regions;
the subgenus Gomphostilbia evolved into 11 species-groups and underwent species
radiation in the Oriental Region. On the other hand, two other subgenera,
Nevermannia and Simulium, moved southward during the ice ages after evolving into
species-groups. In the post-ice ages, most lineages retreated northward, with
different portions of species left in the Oriental Region, although some lineages
failed to retreat and survived as relict lineages in the Oriental Region.
PMID- 27890609
TI - Engineering Dual Begomovirus-Bemisia tabaci Resistance in Plants.
AB - The whitefly Bemisia tabaci is an important pest of many economically important
crops and the vector of begomoviruses (family Geminiviridae). Recently, the
expression of insecticidal proteins and/or toxins or double-stranded (ds)RNA
homologous to B. tabaci genes has been demonstrated to provide the plant with
protection against B. tabaci and the viruses that it transmits.
PMID- 27890610
TI - A novel real-time PCR assay for highly specific detection and quantification of
vaginal lactobacilli.
AB - PCR detection and quantification of vaginal lactobacilli remains problematic
because of the high level of genetic heterogeneity and taxonomic complexity
within the genus Lactobacillus. The aim of the present study was to identify
conserved sequences among the genomes of major species of vaginal lactobacilli
that could be used for the development of a PCR-based method for quantitative
determination of vaginal microbiota-specific lactobacilli. Comparative analysis
of the genomes of several species of vaginal lactobacilli allowed us to identify
conserved regions in the rplK gene, which encodes ribosomal protein L11, and to
design group-specific PCR primers and a probe for selected species from the L.
acidophilus complex, including major vaginal lactobacilli Lactobacillus
crispatus, L. gasseri, L. iners and L. jensenii as well as other species that are
less common in vaginal microbiota. The applicability of the new assay in routine
diagnostic testing was evaluated using a set of clinical samples. The assay was
able to detect and quantify vagina-associated lactobacilli within a wide range of
initial DNA template concentrations, indicating promising potential for clinical
applications.
PMID- 27890611
TI - Histone methyltransferase Setdb1 is indispensable for Meckel's cartilage
development.
AB - The histone methyltransferase Setdb1 represses gene expression by catalyzing
lysine 9 of histone H3 trimethylation. Given that the conventional knockout of
Setdb1 is embryo-lethal at the implantation stage, its role in craniofacial
development is poorly understood. Here, we investigated the role of Setdb1, using
conditional knockout mice-in which Setdb1 was deleted in the Meckel's cartilage
(Setdb1 CKO)-and the mouse chondrogenic cell line ATDC5-in which Setdb1 was
inhibited by siRNA. Deletion of Setdb1 in Meckel's cartilage, the supportive
tissue in the embryonic mandible, led to its enlargement, instead of the
degeneration that normally occurs. Chondrocytes from the Meckel's cartilage of
Setdb1 CKO mice showed increased size. Furthermore, at embryonic days 16.5 and
18.5, part of the perichondrium was disrupted and mineralization was observed in
the Meckel's cartilage. Proliferation analysis showed that inhibition of Setdb1
caused increased proliferation in chondrocytes in the Meckel's cartilage as well
as in ATDC5 cells. Quantitative RT-PCR showed decreased expression of
chondrogenic genes, such as Sox9, Mmp13, Collagen II, and Aggrecan, as a result
of Setdb1 inhibition in ATDC5 cells. Along with these phenomenons, SMAD-dependent
BMP signaling was significantly increased by the loss of Setdb1 in both the
Meckel's cartilage of Setdb1 CKO mice and ATDC5 cells. Therefore, the abnormal
development of Meckel's cartilage in Setdb1 CKO mice is partly due to the
enhanced SMAD-dependent BMP signaling. Overall, to our knowledge, the present
study is the first to show that epigenetic regulation by Setdb1 is indispensable
for the embryonic development of Meckel's cartilage.
PMID- 27890612
TI - In vitro reconstitution and biochemical analyses of the Schizosaccharomyces pombe
nucleosome.
AB - Schizosaccharomyces pombe, which has a small genome but shares many physiological
functions with higher eukaryotes, is a useful single-cell, model eukaryotic
organism. In particular, many features concerning chromatin structure and
dynamics, including heterochromatin, centromeres, telomeres, and DNA replication
origins, are well conserved between S. pombe and higher eukaryotes. However, the
S. pombe nucleosome, the fundamental structural unit of chromatin, has not been
reconstituted in vitro. In the present study, we established the method to purify
S. pombe histones H2A, H2B, H3, and H4, and successfully reconstituted the S.
pombe nucleosome in vitro. Our thermal stability assay and micrococcal nuclease
treatment assay revealed that the S. pombe nucleosome is markedly unstable and
its DNA ends are quite accessible, as compared to the canonical human nucleosome.
These findings are important to understand the mechanisms of epigenetic genomic
DNA regulation in fission yeast.
PMID- 27890613
TI - Activation of PPARgamma does not contribute to macrophage ABCA1 expression and
ABCA1-mediated cholesterol efflux to apoAI.
AB - Activation of macrophage ABCA1/G1 expression and cholesterol efflux is believed
one of the mechanisms by which PPARgamma inhibits atherosclerosis. PPARgamma can
also activate CD36 expression, a receptor for oxLDL, which may supply LXR ligands
to activate LXR-ABCA1/G1 pathways. However, the controversial effects of
PPARgamma on ABCA1 expression have been reported. In this study, we used
peritoneal macrophages isolated from wild type and CD36 deficient (CD36-/-) mice
to clarify if PPARgamma ligands can influence ABCA1 expression by CD36 function.
We found that CD36 deficiency had no effect on cholesterol efflux and ABCA1/ABCG1
expression at basal levels. In both cell types, PPARgamma ligands (15d-PGJ2,
troglitazone and pioglitazone) reduced ABCA1 expression and ABCA1-mediated
cholesterol efflux to apoAI, with most by troglitazone. LXR ligand-induced ABCA1
expression and cholesterol efflux was attenuated by PPARgamma ligands. Associated
with decreased ABCA1 protein levels, ABCA1 mRNA and promoter activity were
reduced by PPARgamma ligands. Furthermore, high expressing PPARgamma reduced
ABCA1 expression and LXR-activated ABCA1 promoter in a CD36-independent manner.
In contrast, ABCG1 expression was induced by PPARgamma ligands while inhibited by
PPARgamma inactivation. Taken together, our study suggests that enhancement of
macrophage cholesterol metabolism by PPARgamma is not contributed by activating
ABCA1 expression and ABCA1-mediated cholesterol efflux to apoAI, which is not
involved by CD36 expression either.
PMID- 27890614
TI - Structural insights into the binding of lauric acid to CYP107L2 from Streptomyces
avermitilis.
AB - Streptomyces avermitilis is an actinobacterium known to produce clinically useful
macrolides including avermectins. CYP107L2 from S. avermitilis shares a high
sequence similarity with the PikC (CYP107L1) from S. venezuelae. To elucidate the
structural features of CYP107L2, we conducted biochemical and structural
characterization of CYP107L2 from S. avermitilis. The CYP107L2 gene was cloned,
and its recombinant protein was expressed and purified. The CYP107L2 showed a low
spin state of heme, and the reduced form yielded the CO difference spectra with a
maximal absorption at 449 nm. Binding of pikromycin and lauric acid yielded the
typical type I spectra with Kd values of 4.8 +/- 0.3 and 111 +/- 9 MUM,
respectively. However, no metabolic product was observed in the enzyme reaction.
X-ray crystal structures of the ligand-free CYP107L2 and its complex with lauric
acid were determined at the resolution of 2.6 and 2.5 A, respectively. CYP107L2
showed a well-conserved CYP structure with a wide-open substrate-binding cavity.
The lauric acid is bound mainly via hydrophobic interactions with the carboxylate
group of lauric acid coordinated to the heme of P450. Glu-40 and Leu-382 residues
in the CYP107L2 complex with lauric acid showed significant conformational
changes to provide plentiful room for the lauric acid in the substrate-binding
site.
PMID- 27890615
TI - EGR2 is a gonadotropin-induced survival factor that controls the expression of
IER3 in ovarian granulosa cells.
AB - Pituitary gonadotropins are key hormones that orchestrate the growth and
development of ovarian follicles. However, limited information is available on
intra-ovarian factors that mediate the actions of gonadotropins. In this study,
we identified that the early growth response 2 gene (EGR2) is a gonadotropin
inducible gene in granulosa cells of rats and humans. Analysis of consensus EGR
binding elements (EBEs) showed that the immediate early response 3 gene (IER3) is
a novel transcriptional target gene of EGR2 as confirmed by the luciferase assay,
electrophoretic mobility-shift assay (EMSA), chromatin immunoprecipitation
(ChIP), and western blot analysis. Overexpression of EGR2 promoted survival of
KGN human granulosa-derived cells in which IER3 acts as a mediator; knockdown of
EGR2 induced death in KGN cells. Additionally, EGR2 was found to regulate the
expression of myeloid cell leukemia 1 (MCL-1), which belongs to the BCL-2 family
of proteins regulating cell survival. Thus, this study identified a novel
signaling axis, comprised of gonadotropins-EGR2-IER3, which is important for the
survival of granulosa cells during folliculogenesis.
PMID- 27890616
TI - Cerium chloride stimulated controlled conversion of B-to-Z DNA in self-assembled
nanostructures.
AB - DNA adopts different conformation not only because of novel base pairs but also
while interacting with inorganic or organic compounds. Self-assembled branched
DNA (bDNA) structures or DNA origami that change conformation in response to
environmental cues hold great promises in sensing and actuation at the nanoscale.
Recently, the B-Z transition in DNA is being explored to design various
nanomechanical devices. In this communication we have demonstrated that Cerium
chloride binds to the phosphate backbone of self-assembled bDNA structure and
induce B-to-Z transition at physiological concentration. The mechanism of
controlled conversion from right-handed to left-handed has been assayed by
various dye binding studies using CD and fluorescence spectroscopy. Three
different bDNA structures have been identified to display B-Z transition. This
approach provides a rapid and reversible means to change bDNA conformation, which
can be used for dynamic and progressive control at the nanoscale.
PMID- 27890617
TI - Polycistronic tRNA and CRISPR guide-RNA enables highly efficient multiplexed
genome engineering in human cells.
AB - CRISPR/Cas9 has been widely used for genomic editing in many organisms. Many
human diseases are caused by multiple mutations. The CRISPR/Cas9 system provides
a potential tool to introduce multiple mutations in a genome. To mimic
complicated genomic variants in human diseases, such as multiple gene deletions
or mutations, two or more small guide RNAs (sgRNAs) need to be introduced all
together. This can be achieved by separate Pol III promoters in a construct.
However, limited enzyme sites and increased insertion size lower the efficiency
to make a construct. Here, we report a strategy to quickly assembly multiple
sgRNAs in one construct using a polycistronic-tRNA-gRNA (PTG) strategy. Taking
advantage of the endogenous tRNA processing system in mammalian cells, we
efficiently express multiple sgRNAs driven using only one Pol III promoter. Using
an all-in-one construct carrying PTG, we disrupt the deacetylase domain in
multiple histone deacetylases (HDACs) in human cells simultaneously. We
demonstrate that multiple HDAC deletions significantly affect the activation of
the Wnt-signaling pathway. Thus, this method enables to efficiently target
multiple genes and provide a useful tool to establish mutated cells mimicking
human diseases.
PMID- 27890618
TI - Tracking protons from respiratory chain complexes to ATP synthase c-subunit: The
critical role of serine and threonine residues.
AB - F1Fo-ATP synthase is a multisubunit enzyme responsible for the synthesis of ATP.
Among its multiple subunits (8 in E. coli, 17 in yeast S. cerevisiae, 16 in
vertebrates), two subunits a and c are known to play a central role controlling
the H+ flow through the inner mitochondrial membrane which allows the subsequent
synthesis of ATP, but the pathway followed by H+ within the two proteins is still
a matter of debate. In fact, even though the structure of ATP synthase is now
well defined, the molecular mechanisms determining the function of both F1 and FO
domains are still largely unknown. In this study, we propose a pathway for proton
migration along the ATP synthase by hydrogen-bonded chain mechanism, with a key
role of serine and threonine residues, by X-ray diffraction data on the subunit a
of E. coli Fo.
PMID- 27890619
TI - Primary iris leiomyoma.
AB - Intraocular leiomyomas are uncommon and usually occur in the ciliary body.
Primary leiomyoma of the iris is both rare and a difficult diagnosis to make,
given melanocytic tumors are more common and may be amelanotic. The somewhat
controversial diagnosis of iris leiomyoma requires further confirmation by
immunohistochemistry and electron microscopy. Herein, we describe a 58-year-old
man with a 2-mm round translucent pink lesion of the iris. The tumor was excised
by sector iridectomy. Immunohistochemistry showed positivity for both smooth
muscle actin and desmin and negativity for S-100, HMB45, SOX10, MelanA, CD31,
CD34, and h-caldesmon. Epstein-Barr virus-associated smooth muscle tumor was
excluded by chromogenic in situ hybridization-Epstein-Barr virus-encoded RNA.
Ultrastructural analysis showed cytoplasmic myofilaments with focal fusiform
densities and micropinocytotic vesicles. Our review of previous literature
confirmed the unusual nature of this tumor. Primary iris leiomyoma should be
considered in the differential of an amelanotic S-100-immunonegative iris tumor.
PMID- 27890620
TI - Drug-induced corneal epithelial changes.
AB - Drugs across many pharmacologic classes induce corneal epithelial changes. Many
of these drugs have cationic amphiphilic structures, with a hydrophobic ring and
hydrophilic cationic amine side chain that allow them to cross cell membranes.
These drugs lead to intracellular phospholipid accumulation, often manifested in
the cornea by vortex keratopathy, with no effect on visual acuity and few ocular
symptoms. Other drugs, notably antineoplastic agents, produce a fine diffuse
corneal haze, sometimes accompanied by decreased vision that can be dose
limiting. Still other medications cause crystalline epithelial precipitation that
might require debridement for resolution. An understanding of the variety of
drugs involved, the multiple mechanisms responsible, and the systemic diseases
that produce similar changes can lead to improved management strategies for
patients with corneal epithelial deposits. In most cases, drug therapy need not
be modified or discontinued, but if visual acuity is affected, close
collaboration with the prescribing physician can result in determining an
optimized dose that treats systemic disease and minimizes these deposits.
Additionally, close monitoring might be required if the drug is also associated
with other ocular findings, such as optic neuropathy or retinopathy.
PMID- 27890621
TI - Nasal drug delivery: Design of a novel mucoadhesive and in situ gelling polymer.
AB - The aim of the present study was to establish a novel polymeric excipient for
liquid nasal dosage forms exhibiting viscosity increasing properties, improved
mucoadhesion and stability towards oxidation in solution. In order to achieve
this goal, 2-mercaptonicotinic acid was first coupled to l-cysteine by disulfide
exchange reaction and after purification directly attached to the polymeric
backbone of xanthan gum by carbodiimide mediated amide bond formation. The
resulting conjugate was characterized with respect to the amount of coupled
ligand, the in situ gelling behavior, mucoadhesive properties and stability
towards oxidation. Furthermore, the influence of preactivated polymers on ciliary
beat frequency (CBF) of porcine nasal epithelial cells was investigated. Results
showed, that 252.52+/-20.54MUmol of the ligand was attached per gram polymer. No
free thiol groups could be detected on the polymeric backbone indicating entire
preactivation. Rheological investigations of polymer mucus mixtures revealed a
1.7-fold and 2.5-fold enhanced mucoadhesion of entirely preactivated xanthan (Xan
Cys-MNA) compared to thiolated xanthan (Xan-Cys) and unmodified xanthan (Xan).
Tensile force evaluation reported a 2.87 and 5.11-fold higher total work of
adhesion (TWA) as well as a 1.63 and 2.41-fold higher maximum detachement force
of Xan-Cys-MNA compared to Xan-Cys and Xan. In the presence of H2O2 as an
oxidizing agent Xan-Cys-MNA showed unlike Xan-Cys no increase in viscosity,
indicating high stability towards oxidation. Addition of CaCl2 to Xan-Cys-MNA
solutions caused a decrease in viscosity at nevertheless higher total viscosity.
Results from CBF studies proved nasal safety for the novel conjugate. According
to these results, entirely preactivated thiolated xanthan gum seems to be a
promising excipient for nasal dosage forms in order to improve drug
bioavailability.
PMID- 27890622
TI - Multi-functional nanoparticles as theranostic agents for the treatment & imaging
of pancreatic cancer.
AB - : Theranostics has received considerable attention since both therapy and imaging
modalities can be integrated into a single nanocarrier. In this study,
fluorescent iron oxide (FIO) nanoparticles and gemcitabine (G) encapsulated
poly(lactide-co-glycolide) (PLGA) nanospheres (PGFIO) conjugated with human
epidermal growth factor receptor 2, (HER-2) antibody (HER-PGFIO) were prepared
and characterized. HER-PGFIO showed the magnetic moment of 10emu/g, relaxivity
(r2) of 773mM-1s-1 and specific absorption rate (SAR) of 183W/g. HER-PGFIO showed
a sustained release of gemcitabine for 11days in PBS (pH 7.4). In vitro
cytotoxicity evaluation of HER-PGFIO in 3D MIAPaCa-2 cultures showed 50%
inhibitory concentration (IC50) of 0.11mg/mL. Subcutaneous tumor xenografts of
MIAPaCa-2 in SCID mice were developed and the tumor regression study at the end
of 30days showed significant tumor regression (86+/-3%) in the HER-PGFIO with
magnetic hyperthermia (MHT) treatment group compared to control group. In vivo
MRI imaging showed the enhanced contrast in HER-PGFIO+MHT treated group compared
to control. HER-PGFIO showed significant tumor regression and enhanced MRI in
treatment groups, which could be an effective nanocarrier system for the
treatment of pancreatic cancer. STATEMENT OF SIGNIFICANCE: Combination therapies
are best suitable to treat pancreatic cancer. Theranostics are the next
generation therapeutics with both imaging and treatment agents encapsulated in a
single nanocarrier. The novelty of the present work is the development of
targeted nanocarrier that provides chemotherapy, thermotherapy and MRI imaging
properties. The present work is the next step in developing the nanocarriers for
pancreatic cancer treatment. Different treatment modalities embedding into a
single nanocarrier is the biggest challenge that was achieved without
compromising the functionality of each other. The surface modification of
polymeric nanocarriers for antibody binding and their multifunctional abilities
will appeal to wider audience.
PMID- 27890623
TI - SIRT1 protects osteoblasts against particle-induced inflammatory responses and
apoptosis in aseptic prosthesis loosening.
AB - : We hypothesized that SIRT1 downregulation in osteoblasts induced by wear
particles was one of the reasons for particle-induced osteolysis (PIO) in total
joint arthroplasty failure. In the present study, the expression of SIRT1 was
examined in osteoblasts treated with TiAl6V4 particles (TiPs) and CoCrMo
particles (CoPs) from materials used in prosthetics and specimens from PIO animal
models. To address whether SIRT1 downregulation triggers inflammatory responses
and apoptosis in osteoblasts, the effect of a SIRT1 activator, resveratrol on the
expression of inflammatory cytokines and apoptosis in particle-treated
osteoblasts was tested. The results demonstrated that SIRT1 expression was
significantly downregulated in particle-treated osteoblasts and PIO animal
models. Both pharmacological activation and overexpression of SIRT1 dramatically
reduced the particle-induced expression of inflammatory cytokines and osteoblast
apoptosis through NF-kappaB and p53 signaling, respectively. Furthermore, in PIO
animal models, resveratrol significantly reduced the severity of osteolysis.
Collectively, the results of the present study indicated that SIRT1 plays a vital
role in the pathogenesis of aseptic loosening, and further treatment targeted at
SIRT1 possibly lead to novel approaches for prevention of aseptic prosthesis
loosening. STATEMENT OF SIGNIFICANCE: Aseptic loosening is the most common cause
of total hip arthroplasty (THA) and total knee arthroplasty (TKA) failure and
revision surgery. However, there is still no effective therapeutic target in the
clinical treatment. Besides, the underlying mechanism of aseptic loosening is
largely unknown. The result of our study indicated that SIRT1 has the ability to
effectively regulate the wear particle-induced inflammatory responses, apoptosis,
osteolysis in particle-stimulated osteoblasts and particle-induced osteolysis
animal models. Our study provides a potential target for the prevention and
treatment of aseptic loosening and further investigated the underlying mechanism
of aseptic loosening, which may make contribution to decrease the incidence of
THA and TKA failure in the clinical practice.
PMID- 27890625
TI - Pharmacological treatment optimization for stable chronic obstructive pulmonary
disease. Proposals from the Societe de Pneumologie de Langue Francaise.
AB - The Societe de Pneumologie de Langue Francaise proposes a decision algorithm on
long-term pharmacological COPD treatment. A working group reviewed the literature
published between January 2009 and May 2016. This document lays out proposals and
not guidelines. It only focuses on pharmacological treatments except
vaccinations, smoking cessation treatments and oxygen therapy. Any COPD
diagnosis, based on pulmonary function tests, should lead to recommend smoking
cessation, vaccinations, physical activity, pulmonary rehabilitation in case of
activity limitation, and short-acting bronchodilators. Symptoms like dyspnea and
exacerbations determine the therapeutic choices. In case of daily dyspnea and/or
exacerbations, a long-acting bronchodilator should be suggested (beta-2 agonist,
LABA or anticholinergics, LAMA). A clinical and lung function reevaluation is
suggested 1 to 3 months after any treatment modification and every 3-12 months
according to the severity of the disease. In case of persisting dyspnea, a fixed
dose LABA+LAMA combination improves pulmonary function (FEV1), quality of life,
dyspnea and decreases exacerbations without increasing side effects. In case of
frequent exacerbations and a FEV1<=70%, a fixed dose long-acting bronchodilator
combination or a LABA+ inhaled corticosteroids (ICS) combination can be proposed.
A triple combination (LABA+LAMA+ICS) is indicated when exacerbations persist
despite one of these combinations. Dyspnea in spite of a bronchodilator
combination or exacerbations in spite of a triple combination should lead to
consider other pharmacological treatments (theophylline if dyspnea, macrolides if
exacerbations, low-dose opioids if refractory dyspnea).
PMID- 27890624
TI - Mitochondrial cAMP prevents apoptosis modulating Sirt3 protein level and OPA1
processing in cardiac myoblast cells.
AB - Mitochondria, responding to a wide variety of signals, including oxidative
stress, are critical in regulating apoptosis that plays a key role in the
pathogenesis of a variety of cardiovascular diseases. A number of mitochondrial
proteins and pathways have been found to be involved in the mitochondrial
dependent apoptosis mechanism, such as optic atrophy 1 (OPA1), sirtuin 3 (Sirt3),
deacetylase enzyme and cAMP signal. In the present work we report a network among
OPA1, Sirt3 and cAMP in ROS-dependent apoptosis. Rat myoblastic H9c2 cell lines,
were treated with tert-butyl hydroperoxide (t-BHP) to induce oxidative stress
dependent apoptosis. FRET analysis revealed a selective decrease of mitochondrial
cAMP in response to t-BHP treatment. This was associated with a decrease of Sirt3
protein level and proteolytic processing of OPA1. Pretreatment of cells with
permeant analogous of cAMP (8-Br-cAMP) protected the cell from apoptosis
preventing all these events. Using H89, inhibitor of the protein kinase A (PKA),
and protease inhibitors, evidences have been obtained that ROS-dependent
apoptosis is associated with an alteration of mitochondrial cAMP/PKA signal that
causes degradation/proteolysis of Sirt3 that, in turn, promotes acetylation and
proteolytic processing of OPA1.
PMID- 27890626
TI - The appressorium of the rice blast fungus Magnaporthe oryzae remains mitotically
active during post-penetration hyphal growth.
AB - To investigate the mitotic dynamics of an appressorium, we used live-cell
confocal imaging of a fluorescence-based mitotic reporter strain of Magnaporthe
oryzae. We present evidence that the M. oryzae appressorium remains viable and
mitotically active well after host penetration. These results suggest the
potential roles of the appressorium during post-penetration proliferation of
invasive hyphae. Our studies also revealed that a mitotic appressorial nucleus
undergoes extreme constriction and elongation as it migrates through the
penetration peg in a manner analogous to mitosis during cell-to-cell movement of
invasive hyphae. Understanding the mechanisms underlying these pathogen-specific
nuclear dynamics may provide new targets for disease control.
PMID- 27890627
TI - Nuclear dynamics during ascospore germination in Sordaria macrospora.
AB - The ascomycete Sordaria macrospora has a long history as a model organism for
studying fungal sexual development. Starting from an ascospore, sexual fruiting
bodies (perithecia) develop within seven days and discharge new ascospores.
Sexual development has been studied in detail, revealing genes required for
perithecium formation and ascospore germination. However, the germination process
per se has not yet been examined. Here I analyze nuclear dynamics during
ascospore germination using a fluorescently labeled histone. Live-cell imaging
revealed that nuclei are transported into germination vesicles that form on one
side of the spore. Polar growth is established from these vesicles.
PMID- 27890628
TI - MRI in all MR patients: Is this the "Gold Standard" approach?
PMID- 27890629
TI - ApoB is superior to LDL-C or non-HDL-C as a lipid marker for predicting the
presence and severity of atherosclerosis in female patients with myocardial
infarction.
PMID- 27890630
TI - Arteriovenous renal replacement therapy in end-stage left-sided heart failure
patients has a detrimental effect on patients with impaired right ventricular
function.
AB - OBJECTIVE: Chronic intermittent renal replacement therapy(RRT) is an alternate
method of decongestion for patients presenting with diuretic-resistant, end-stage
heart failure(HF) and cardiorenal syndrome. The optimal method of vascular access
has not been confirmed. This study investigated the 6-month outcomes of patients
with end-stage HF after the creation of arteriovenous communications (AVC)
compared with other means of RRT. METHODS: We treated 40 patients with chronic,
intermittent, ambulatory RRT, of whom 15 (37.5%; Group A) underwent creation of
AVC, and 25 (62.5%; Group B) received intraperitoneal (n=6) or internal jugular
catheters (n=19) with the goal of achieving body weight stabilization and relief
from congestion. RESULTS: The characteristics of the two groups were similar.
According to Cox regression analysis, the 6-month rate of death or re
hospitalization for HF was significantly higher in Group A (73%) than in Group B
(44%); hazard ratio (HR): 2.58; 95% confidence interval (CI) 1.2-6.2; P=0.02.
Over a 6-month follow-up, the cumulative survival was significantly shorter
(P=0.03) in Group A (13.8+/-10 weeks) than in Group B (20.7+/-7 weeks). In the 15
patients who received AVC, the only independent predictor of adverse outcome at 6
months was serum total bilirubin concentration (HR 2.5; 95% CI 1.1-5.7, p=0.02),
whereas in the 25 patients who underwent other means of RRT, pulmonary vascular
resistance (PVR) was identified as a risk factor for hospitalization or death at
1-year follow-up (HR 1.26; 95% CI 1.1-1.57, p=0.04). CONCLUSION: In patients with
end-stage HF, the creation of AVC for intermittent RRT was followed by a
significant increase in morbidity and mortality in comparison to the safe and
effective placement of permanent central venous catheters. Patients with elevated
PVR seem to comprise a group at high risk for adverse outcomes after central
catheter insertion.
PMID- 27890632
TI - Evidence of genotypes 1 and 3 of avian hepatitis E virus in wild birds.
AB - Although the presence of four genotypes of avian hepatitis E virus (HEV) in
chickens has been demonstrated, its natural host range is still barely known. In
this study, swab samples from 626 wild birds originating from 62 bird species
were investigated for HEV detection by molecular methods. The aim was to explore
the cross-species infection of avian HEV and to compare the genetic diversity
between strains infecting chicken and wild birds. In total, 8 positive samples
from 4 different bird species (song thrush, little owl, feral pigeon and common
buzzard) were identified and further confirmed by partial sequencing of ORF3.
Based on a 237bp fragment of the capsid gene retrieved from 5 samples,
phylogenetic analysis revealed the presence of avian HEV genotypes 1 and 3 in
wild birds. The wild bird isolates shared 82.7-84.8% and 85.7-100% nucleotide
sequence identity, respectively, to chicken isolates from the corresponding
genotype. For two of the genotype 1 samples (14-2901 and 14-2906), from feral
pigeons, genotype assignment could be also confirmed by phylogenetic analysis
based on partial nucleotide sequence of the helicase gene. For the first time,
the appearance of genotype 1 in Europe was detected, which together with close
genetic relationship between HEVs present in chickens and wild birds indicates
cross-species transmission.
PMID- 27890634
TI - Pushing policy that promotes equity in active living - From the outside and from
the inside.
AB - This is an invited commentary article, and so no abstract is required. By way of
a brief description, this article tells two success stories in which policies
were changed to increase equity in active living, and identifies the keys to
success in each case.
PMID- 27890633
TI - Comparison analysis of microRNAs in response to EV71 and CA16 infection in human
bronchial epithelial cells by high-throughput sequencing to reveal differential
infective mechanisms.
AB - Hand, foot, and mouth disease (HFMD) mainly caused by Enterovirus 71 (EV71) and
coxsackievirus A16 (CA16) infections which presented significantly different
clinical manifestations. Nevertheless, the factors underlying these differences
remain unclear. Recently, the functions of microRNAs (miRNAs) in pathogen-host
interactions have been highlighted. Here, we performed comprehensive miRNA
profiling in EV71- and CA16-infected human bronchial epithelial (16HBE) cells at
multiple time points using high-throughput sequencing. The results showed that
154 known and 47 novel miRNAs exhibited remarkable differences in expression. Of
these, 65 miRNAs, including 58 known and 7 novel miRNAs, presented opposite
trends in EV71- and CA16-infected samples. Subsequently, we mainly focused on the
56 known differentially expressed miRNAs by further screening for targets
prediction. GO and pathway analysis of these targets demonstrated that 18
biological processes, 7 molecular functions, 1 cellular component and 123
pathways were enriched. Among these pathways, Cadherin signalling pathway, Wnt
signalling pathway and angiogenesis showed significant alterations. The
regulatory networks of these miRNAs with predicted targets, GOs, pathways and
transcription factors were determined, which suggested that miRNAs displayed
intricate regulatory mechanisms during the infection phase. Consequently, we
specifically analysed the hierarchical GO categories of the predicted targets
involved in adhesion. The results indicated that the distinct changes induced by
EV71 and CA16 infection may be partly linked to airway epithelial barrier
function. Taken together, our data provide useful insights that help elucidate
the different host-pathogen interactions following EV71 and CA16 infection and
might offer novel therapeutic targets for these infections.
PMID- 27890635
TI - HOS1 Facilitates the Phytochrome B-Mediated Inhibition of PIF4 Function during
Hypocotyl Growth in Arabidopsis.
AB - Upon exposure to light, developing seedlings undergo photomorphogenesis, as
illustrated by inhibition of hypocotyl elongation, cotyledon opening, and leaf
greening. During hypocotyl photomorphogenesis, light signals are sensed by
multiple photoreceptors, among which the red/far-red light-sensing phytochromes
have been extensively studied. However, it is not fully understood how the
phytochromes modulate hypocotyl growth. Here, we demonstrated that HIGH
EXPRESSION OF OSMOTICALLY RESPONSIVE GENES 1 (HOS1), which is known to either act
as E3 ubiquitin ligase or affect chromatin organization, inhibits the
transcriptional activation activity of PHYTOCHROME INTERACTING FACTOR 4 (PIF4), a
key transcription factor that promotes hypocotyl growth. Consistent with the
negative regulatory role of HOS1 in hypocotyl growth, HOS1-defective mutants
exhibited elongated hypocotyls in the light. Notably, phyB induces HOS1 activity
in inhibiting PIF4 function. Taken together, these observations provide a
molecular basis for the phyB-mediated suppression of hypocotyl growth in
Arabidopsis.
PMID- 27890631
TI - Evasion of host immune defenses by human papillomavirus.
AB - A majority of human papillomavirus (HPV) infections are asymptomatic and self
resolving in the absence of medical interventions. Various innate and adaptive
immune responses, as well as physical barriers, have been implicated in
controlling early HPV infections. However, if HPV overcomes these host immune
defenses and establishes persistence in basal keratinocytes, it becomes very
difficult for the host to eliminate the infection. The HPV oncoproteins E5, E6,
and E7 are important in regulating host immune responses. These oncoproteins
dysregulate gene expression, protein-protein interactions, posttranslational
modifications, and cellular trafficking of critical host immune modulators. In
addition to the HPV oncoproteins, sequence variation and dinucleotide depletion
in papillomavirus genomes has been suggested as an alternative strategy for
evasion of host immune defenses. Since anti-HPV host immune responses are also
considered to be important for antitumor immunity, immune dysregulation by HPV
during virus persistence may contribute to immune suppression essential for HPV
associated cancer progression. Here, we discuss cellular pathways dysregulated by
HPV that allow the virus to evade various host immune defenses.
PMID- 27890636
TI - Brassica rapa Genome 2.0: A Reference Upgrade through Sequence Re-assembly and
Gene Re-annotation.
PMID- 27890637
TI - Antiplasmodial activity of Heinsia crinita (Rubiaceae) and identification of new
iridoids.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Heinsia crinita is used in traditional medicine
for the treatment of febrile illness and erectile dysfunction. Its stem bark
powder is found in some peripheral markets in the Democratic Republic of the
Congo (DRC) as a remedy against malaria. Investigations were conducted on crude
extracts of leaves, fruits and stem barks in view to validate their use and to
determine which plant part possesses the best antiplasmodial properties.
MATERIALS AND METHODS: Different plant parts were extracted with methanol,
ethanol and dichloromethane. Based on the preliminary assays, the dichloromethane
extract of the stem bark was subjected to fractionation using preparative HPLC
system and column chromatography. This step led to the isolation of two new
iridoids which had their structures elucidated by NMR, UV, MS and FT-IR
spectroscopic techniques. Extracts and pure compounds were tested in vitro
against the 3D7 strain of Plasmodium falciparum. The inhibition of the parasite
growth was evaluated in vitro by colorimetric method (p-LDH assay) and their
cytotoxicity evaluated in vitro against the human non-cancer fibroblast cell line
(WI38) through WST1 assay. The in vivo antiplasmodial activity was assessed by
the inhibition of Plasmodium berghei growth in infected mice treated with the
ethanol extract of H. crinita stem bark at the concentrations of 200 and
300mg/Kg/day per os, using a protocol based on the 4-d suppressive test of Peters
and compared to a non-treated negative control group of mice (growth =100%).
Finally the antioxidant activity of the same extract was evaluated using ABTS,
DPPH and cell-based assays. RESULTS: A moderate in vitro antiplasmodial activity
was observed for the dichloromethane extract of the stem bark of H. crinita (IC50
=29.2+/-1.39ug/mL) and for the two new iridoids, lamalbide 6, 7, 8- triacetate
(IC50 =16.39+/-0.43ug/mL) as well as for its aglycone lamiridosin 6, 7, 8
triacetate (IC50 =0.44.56+/-1.12ug/mL). The ethanolic stem bark extract (200 and
300mg/kg/day, oral route) showed a moderate in vivo antimalarial activity in
Plasmodium berghei-infected mice with 27.84+/-2.75% and 48.54+/-3.76% of
inhibition of the parasite growth, respectively (p<0.01).). This extract
displayed high cellular antioxidant activity using dichlorofluorescein-diacetate
(DCFDA) on HL-60 monocytes. These crude extracts and pure compounds tested at the
higher concentration of 100ug/mL did not show any cytotoxicity against WI38
cells. CONCLUSIONS: The results showed that H. crinita extracts possess
antimalarial activity and contain some unusual iridoids with moderate
antiplasmodial activity, therefore justifying to some extent its traditional use
by the local population in DRC for this purpose. This is the first report of the
isolation and antiplasmodial activity of these two new iridoids.
PMID- 27890638
TI - Aberrant base excision repair pathway of oxidatively damaged DNA: Implications
for degenerative diseases.
AB - In cellular organisms composition of DNA is constrained to only four nucleobases
A, G, T and C, except for minor DNA base modifications such as methylation which
serves for defence against foreign DNA or gene expression regulation.
Interestingly, this severe evolutionary constraint among other things demands DNA
repair systems to discriminate between regular and modified bases. DNA
glycosylases specifically recognize and excise damaged bases among vast majority
of regular bases in the base excision repair (BER) pathway. However, the
mismatched base pairs in DNA can occur from a spontaneous conversion of 5
methylcytosine to thymine and DNA polymerase errors during replication. To
counteract these mutagenic threats to genome stability, cells evolved special DNA
repair systems that target the non-damaged DNA strand in a duplex to remove
mismatched regular DNA bases. Mismatch-specific adenine- and thymine-DNA
glycosylases (MutY/MUTYH and TDG/MBD4, respectively) initiated BER and mismatch
repair (MMR) pathways can recognize and remove normal DNA bases in mismatched DNA
duplexes. Importantly, in DNA repair deficient cells bacterial MutY, human TDG
and mammalian MMR can act in the aberrant manner: MutY and TDG removes adenine
and thymine opposite misincorporated 8-oxoguanine and damaged adenine,
respectively, whereas MMR removes thymine opposite to O6-methylguanine. These
unusual activities lead either to mutations or futile DNA repair, thus indicating
that the DNA repair pathways which target non-damaged DNA strand can act in
aberrant manner and introduce genome instability in the presence of unrepaired
DNA lesions. Evidences accumulated showing that in addition to the accumulation
of oxidatively damaged DNA in cells, the aberrant DNA repair can also contribute
to cancer, brain disorders and premature senescence. For example, the aberrant
BER and MMR pathways for oxidized guanine residues can lead to trinucleotide
expansion that underlies Huntington's disease, a severe hereditary
neurodegenerative syndrome. This review summarises the present knowledge about
the aberrant DNA repair pathways for oxidized base modifications and their
possible role in age-related diseases.
PMID- 27890639
TI - Oxidized C5-methyl cytosine bases in DNA: 5-Hydroxymethylcytosine; 5
formylcytosine; and 5-carboxycytosine.
AB - Recent reports suggest that the Tet enzyme family catalytically oxidize 5
methylcytosine in mammalian cells. The oxidation of 5-methylcytosine can result
in three chemically distinct species - 5-hydroxymethylcytsine, 5-formylcytosine,
and 5-carboxycytosine. While the base excision repair machinery processes 5
formylcytosine and 5-carboxycytosine rapidly, 5-hydroxymethylcytosine is stable
under physiological conditions. As a stable modification 5-hydroxymethylcytosine
has a broad range of functions, from stem cell pluriopotency to tumorigenesis.
The subsequent oxidation products, 5-formylcytosine and 5-carboxycytosine, are
suggested to be involved in an active DNA demethylation pathway. This review
provides an overview of the biochemistry and biology of 5-methylcytosine
oxidation products.
PMID- 27890640
TI - An mtDNA mutation accelerates liver aging by interfering with the ROS response
and mitochondrial life cycle.
AB - Mitochondrial dysfunction affects liver metabolism, but it remains unclear
whether this interferes with normal liver aging. We investigated several
mitochondrial pathways in hepatocytes and liver tissue from a conplastic mouse
strain compared with the control C57BL/6NTac strain over 18 months of life. The
C57BL/6NTac-mtNODLtJ mice differed from C57BL/6NTac mice by a point mutation in
mitochondrial-encoded subunit 3 of cytochrome c oxidase. Young C57BL/6NTac
mtNODLtJ mice showed reduced mitochondrial metabolism but similar reactive oxygen
species (ROS) production to C57BL/6NTac mice. Whereas ROS increased almost
equally up to 9 months in both strains, different mitochondrial adaptation
strategies resulted in decreasing ROS in advanced age in C57BL/6NTac mice, but
persistent ROS production in C57BL/6NTac-mtNODLtJ mice. Only the conplastic
strain developed elongated mitochondrial networks with artificial loop
structures, depressed autophagy, high mitochondrial respiration and up-regulated
antioxidative response. Our results indicate that mtDNA mutations accelerate
liver ballooning degeneration and carry a serious risk of premature organ aging.
PMID- 27890641
TI - Specific point mutations in key redox enzymes are associated with chemoresistance
in epithelial ovarian cancer.
AB - Oxidative stress plays an important role in the pathophysiology of ovarian
cancer. Resistance to chemotherapy presents a significant challenge for ovarian
cancer treatment. Specific single nucleotide polymorphisms (SNPs) in key redox
enzymes have been associated with ovarian cancer survival and progression. The
objective of this study was to determine whether chemotherapy induces point
mutations in key redox enzymes that lead to the acquisition of chemoresistance in
epithelial ovarian cancer (EOC). Human EOC cell lines and their chemoresistant
counterpart were utilized for this study. Specific SNPs in key redox enzymes were
analyzed by TaqMan SNP Genotyping. Activities and levels of key redox enzymes
were determined by real-time RT-PCR, ELISA and a greiss assay. Point mutations in
key redox enzymes were introduced into sensitive EOC cells via the CRISPR/Cas9
system. Cell viability and IC50 for cisplatin were determined by the MTT Cell
Proliferation Assay. Data was analyzed with SPSS using Student's two-tailed t
tests and One-way ANOVA followed by Dunnett's or Tukey's post hoc tests, p<0.05.
Here, we demonstrate that chemoresistant EOC cells are characterized by a further
enhancement in oxidative stress as compared to sensitive counterparts.
Additionally, chemoresistant EOC cells manifested specific point mutations, which
are associated with altered enzymatic activity, in key redox enzymes that are not
detected in sensitive counterparts. Supplementation of an antioxidant was able to
successfully sensitize EOC cells to chemotherapeutics. Causality was established
by the induction of these point mutations in sensitive EOC cells, which resulted
in a significant increase in the level of chemoresistance. These findings
indicate that chemotherapy induces specific point mutations in key redox enzymes
that contribute to the acquisition of chemoresistance in EOC cells, highlighting
a potential novel mechanism. Identification of targets for chemoresistance with
either biomarker and/or screening potential will have a significant impact for
the treatment of this disease.
PMID- 27890642
TI - Protective effect of quercetin on high-fat diet-induced non-alcoholic fatty liver
disease in mice is mediated by modulating intestinal microbiota imbalance and
related gut-liver axis activation.
AB - Gut microbiota is involved in obesity, metabolic syndrome and the progression of
nonalcoholic fatty liver disease (NAFLD). It has been recently suggested that the
flavonoid quercetin may have the ability to modulate the intestinal microbiota
composition, suggesting a prebiotic capacity which highlights a great therapeutic
potential in NAFLD. The present study aims to investigate benefits of
experimental treatment with quercetin on gut microbial balance and related gut
liver axis activation in a nutritional animal model of NAFLD associated to
obesity. C57BL/6J mice were challenged with high fat diet (HFD) supplemented or
not with quercetin for 16 weeks. HFD induced obesity, metabolic syndrome and the
development of hepatic steatosis as main hepatic histological finding. Increased
accumulation of intrahepatic lipids was associated with altered gene expression
related to lipid metabolism, as a result of deregulation of their major
modulators. Quercetin supplementation decreased insulin resistance and NAFLD
activity score, by reducing the intrahepatic lipid accumulation through its
ability to modulate lipid metabolism gene expression, cytochrome P450 2E1
(CYP2E1)-dependent lipoperoxidation and related lipotoxicity. Microbiota
composition was determined via 16S ribosomal RNA Illumina next-generation
sequencing. Metagenomic studies revealed HFD-dependent differences at phylum,
class and genus levels leading to dysbiosis, characterized by an increase in
Firmicutes/Bacteroidetes ratio and in Gram-negative bacteria, and a dramatically
increased detection of Helicobacter genus. Dysbiosis was accompanied by
endotoxemia, intestinal barrier dysfunction and gut-liver axis alteration and
subsequent inflammatory gene overexpression. Dysbiosis-mediated toll-like
receptor 4 (TLR-4)-NF-kappaB signaling pathway activation was associated with
inflammasome initiation response and reticulum stress pathway induction.
Quercetin reverted gut microbiota imbalance and related endotoxemia-mediated TLR
4 pathway induction, with subsequent inhibition of inflammasome response and
reticulum stress pathway activation, leading to the blockage of lipid metabolism
gene expression deregulation. Our results support the suitability of quercetin as
a therapeutic approach for obesity-associated NAFLD via its anti-inflammatory,
antioxidant and prebiotic integrative response.
PMID- 27890644
TI - Epithelial Membrane Protein 2 and beta1 integrin signaling regulate APC-mediated
processes.
AB - Adenomatous Polyposis Coli (APC) plays a critical role in cell motility,
maintenance of apical-basal polarity, and epithelial morphogenesis. We previously
demonstrated that APC loss in Madin Darby Canine Kidney (MDCK) cells increases
cyst size and inverts polarity independent of Wnt signaling, and upregulates the
tetraspan protein, Epithelial Membrane Protein 2 (EMP2). Herein, we show that APC
loss increases beta1 integrin expression and migration of MDCK cells. Through 3D
in vitro model systems and 2D migration analysis, we have depicted the molecular
mechanism(s) by which APC influences polarity and cell motility. EMP2 knockdown
in APC shRNA cells revealed that APC regulates apical-basal polarity and cyst
size through EMP2. Chemical inhibition of beta1 integrin and its signaling
components, FAK and Src, indicated that APC controls cyst size and migration, but
not polarity, through beta1 integrin and its downstream targets. Combined, the
current studies have identified two distinct and novel mechanisms required for
APC to regulate polarity, cyst size, and cell migration independent of Wnt
signaling.
PMID- 27890645
TI - Spatial and temporal characterization of endometrial mesenchymal stem-like cells
activity during the menstrual cycle.
AB - The human endometrium is a highly dynamic tissue with the ability to cyclically
regenerate during the reproductive life. Endometrial mesenchymal stem-like cells
(eMSCs) located throughout the endometrium have shown to functionally contribute
to endometrial regeneration. In this study we examine whether the menstrual cycle
stage and the location in the endometrial bilayer (superficial and deep portions
of the endometrium) has an effect on stem cell activities of eMSCs (CD140b+CD146+
cells). Here we show the percentage and clonogenic ability of eMSCs were constant
in the various stages of the menstrual cycle (menstrual, proliferative and
secretory). However, eMSCs from the menstrual endometrium underwent significantly
more rounds of self-renewal and enabled a greater total cell output than those
from the secretory phase. Significantly more eMSCs were detected in the deeper
portion of the endometrium compared to the superficial layer but their clonogenic
and self-renewal activities remained similar. Our findings suggest that eMSCs are
activated in the menstrual phase for the cyclical regeneration of the
endometrium.
PMID- 27890646
TI - Laparoscopic hysterectomy with morcellation vs abdominal hysterectomy for
presumed fibroids: an updated decision analysis following the 2014 Food and Drug
Administration safety communications.
AB - Previous decision analyses demonstrate the safety of minimally invasive
hysterectomy for presumed benign fibroids, accounting for the risk of occult
leiomyosarcoma and the differential mortality risk associated with laparotomy.
Studies published since the 2014 Food and Drug Administration safety
communications offer updated leiomyosarcoma incidence estimates. Incorporating
these studies suggests that mortality rates are low following hysterectomy for
presumed benign fibroids overall, and a minimally invasive approach remains a
safe option. Risk associated with morcellation, however, increases in women age
>50 years due to increased leiomyosarcoma rates, an important finding for patient
centered discussions of treatment options for fibroids.
PMID- 27890647
TI - Reducing preterm birth by a statewide multifaceted program: an implementation
study.
AB - BACKGROUND: A comprehensive preterm birth prevention program was introduced in
the state of Western Australia encompassing new clinical guidelines, an outreach
program for health care practitioners, a public health program for women and
their families based on print and social media, and a new clinic at the state's
sole tertiary level perinatal center for referral of those pregnant women at
highest risk. The initiative had the single aim of safely lowering the rate of
preterm birth. OBJECTIVE: The objective of the study was to evaluate the outcomes
of the initiative on the rates of preterm birth both statewide and in the single
tertiary level perinatal referral center. STUDY DESIGN: This was a prospective
population-based cohort study of perinatal outcomes before and after 1 full year
of implementation of the preterm birth prevention program. RESULTS: In the state
overall, the rate of singleton preterm birth was reduced by 7.6% and was lower
than in any of the preceding 6 years. This reduction amounted to 196 cases
relative to the year before the introduction of the initiative and the effect
extended from the 28-31 week gestational age group onward. Within the tertiary
level center, the rate of preterm birth in 2015 was also significantly lower than
in the preceding years. CONCLUSION: A comprehensive and multifaceted preterm
birth prevention program aimed at both health care practitioners and the general
public, operating within the environment of a government-funded universal health
care system can significantly lower the rate of early birth. Further research is
now required to increase the effect and to determine the relative contributions
of each of the interventions.
PMID- 27890648
TI - Bupropion sustained release for pregnant smokers: a randomized, placebo
controlled trial.
AB - BACKGROUND: Bupropion is used to treat depression during pregnancy. However, its
usefulness as a smoking cessation aid for pregnant women is not fully known.
OBJECTIVE: The objective of the study was to evaluate the preliminary efficacy of
bupropion sustained release for smoking cessation during pregnancy. STUDY DESIGN:
We conducted a randomized, prospective, double-blind, placebo-controlled, pilot
trial. Pregnant women who smoked daily received individualized behavior
counseling and were randomly assigned to a 12 week, twice-a-day treatment with
150 mg bupropion sustained release or placebo. The primary study objectives were
to determine whether bupropion sustained release reduces nicotine withdrawal
symptoms on the quit date and during the treatment period compared with placebo
and whether it increases 7 day point prevalence abstinence at the end of the
treatment period and at the end of pregnancy. RESULTS: Subjects in the bupropion
(n = 30) and placebo (n = 35) groups were comparable in age, smoking history,
number of daily smoked cigarettes, and nicotine dependence. After controlling for
maternal age and race, bupropion sustained release reduced cigarette cravings
(1.5 +/- 1.1 vs 2.1 +/- 1.2, P = .02) and total nicotine withdrawal symptoms (3.8
+/- 4.3 vs 5.4 +/- 5.1, P = .028) during the treatment period. Administration of
bupropion sustained release reduced tobacco exposure, as determined by levels of
carbon monoxide in exhaled air (7.4 +/- 6.4 vs 9.1 +/- 5.8, P = .053) and
concentrations of cotinine in urine (348 +/- 384 ng/mL vs 831 +/- 727 ng/mL, P =
.007) and increased overall abstinence rates during treatment (19% vs 2%, P =
.003). However, there was no significant difference in 7 day point prevalence
abstinence rates between the 2 groups at the end of medication treatment (17% vs
3%, P = .087) and at the end of pregnancy (10% vs 3%, P = .328). CONCLUSION:
Individual smoking cessation counseling along with the twice-daily use of 150 mg
bupropion sustained release increased smoking cessation rates and reduced
cravings and total nicotine withdrawal symptoms during the treatment period.
However, there was no significant difference in abstinence rates between groups
at the end of medication treatment and at the end of pregnancy, likely because of
the small sample size. A larger study is needed to confirm these findings and to
examine the potential benefit/ risk ratio of bupropion sustained release for
smoking cessation during pregnancy.
PMID- 27890649
TI - The plateauing of cesarean rates in industrialized countries.
PMID- 27890643
TI - Coordination of DNA single strand break repair.
AB - The genetic material of all organisms is susceptible to modification. In some
instances, these changes are programmed, such as the formation of DNA double
strand breaks during meiotic recombination to generate gamete variety or class
switch recombination to create antibody diversity. However, in most cases,
genomic damage is potentially harmful to the health of the organism, contributing
to disease and aging by promoting deleterious cellular outcomes. A proportion of
DNA modifications are caused by exogenous agents, both physical (namely
ultraviolet sunlight and ionizing radiation) and chemical (such as benzopyrene,
alkylating agents, platinum compounds and psoralens), which can produce numerous
forms of DNA damage, including a range of "simple" and helix-distorting base
lesions, abasic sites, crosslinks and various types of phosphodiester strand
breaks. More significant in terms of frequency are endogenous mechanisms of
modification, which include hydrolytic disintegration of DNA chemical bonds,
attack by reactive oxygen species and other byproducts of normal cellular
metabolism, or incomplete or necessary enzymatic reactions (such as
topoisomerases or repair nucleases). Both exogenous and endogenous mechanisms are
associated with a high risk of single strand breakage, either produced directly
or generated as intermediates of DNA repair. This review will focus upon the
creation, consequences and resolution of single strand breaks, with a particular
focus on two major coordinating repair proteins: poly(ADP-ribose) polymerase 1
(PARP1) and X-ray repair cross-complementing protein 1 (XRCC1).
PMID- 27890650
TI - DiAna, an ImageJ tool for object-based 3D co-localization and distance analysis.
AB - We present a new plugin for ImageJ called DiAna, for Distance Analysis, which
comes with a user-friendly interface. DiAna proposes robust and accurate 3D
segmentation for object extraction. The plugin performs automated object-based co
localization and distance analysis. DiAna offers an in-depth analysis of co
localization between objects and retrieves 3D measurements including co
localizing volumes and surfaces of contact. It also computes the distribution of
distances between objects in 3D. With DiAna, we furthermore introduce an original
method, which allows for estimating the statistical significance of object co
localization. DiAna offers a complete and intuitive 3D image analysis tool for
biologists.
PMID- 27890651
TI - Biofilm is associated with chronic streptococcal meningoencephalitis in fish.
AB - Biofilms are aggregates of attached microbial organisms whose existence on
tissues is often recognised as a mechanism for the establishment of most chronic
diseases. Herein we investigated the ability of piscine Streptococcus agalactiae,
an important aquatic pathogen, for adaptation to this sessile lifestyle in vitro
and in the brain of a tilapia fish model. Piscine S. agalactiae exhibited a weak
attachment to polystyrene plates and expressed a low biofilm phenotype under the
study conditions. Furthermore, fluorescent in situ hybridization and confocal
laser scanning microscopy revealed discrete aggregates of attached S. agalactiae
within brain tissues and around meningeal surfaces. They were embedded in an
exopolysaccharide containing matrix, intractable to inflammatory response and
showed some level of resistance to penicillin despite proven susceptibility on
sensitivity test. Intracellular bacterial aggregates were also observed,
moreover, antibody mediated response was not demonstrated during infection.
Nucleated erythrocytes appear to facilitate brain invasion possibly via the
Trojan horse mechanism leading to a granulomatous inflammation. We have
demonstrated that biofilm is associated with persistence of S. agalactiae and the
development of chronic meningoencephalitis in fish.
PMID- 27890652
TI - Inhibition of ERK/MAPK suppresses avian leukosis virus subgroup A and B
replication.
AB - We have previously shown that the extracellular signal-regulated kinase/mitogen
activated protein kinase (ERK/MAPK) pathway contributes to subgroup J avian
leukosis virus (ALV-J) replication and tumorigenicity. However, a role for
ERK/MAPK signaling in ALV-A and ALV-B replication is unknown. In this study we
successfully constructed and recovered a recombinant form of ALV-A strain GD13-1
which showed similarities in growth to the parental wild type virus in vitro. ALV
subgroups J, A or B all triggered ERK2 activation in primary CEF cells. ERK/MAPK
inhibition markedly suppressed ALV-A and ALV-B replication as shown by extremely
low levels of viral transcription and virus protein production. This finding
provides evidence that ERK/MAPK signaling responses play important roles in ALV
replication and may represent novel drug targets for therapeutic intervention
strategies.
PMID- 27890653
TI - Recent advances in lithotripsy technology and treatment strategies: A systematic
review update.
AB - INTRODUCTION: Shock wave lithotripsy (SWL) is a well - established treatment
option for urolithiasis. The technology of SWL has undergone significant changes
in an attempt to better optimize the results while reducing failure rates. There
are some important limitations that restrict the use of SWL. In this review, we
aim to place these advantages and limitations in perspective, assess the current
role of SWL, and discuss recent advances in lithotripsy technology and treatment
strategies. METHODS: A comprehensive review was conducted to identify studies
reporting outcomes on ESWL. We searched for literature (PubMed, Embase, Medline)
that focused on the physics of shock waves, theories of stone disintegration, and
studies on optimising shock wave application. Relevant articles in English
published since 1980 were selected for inclusion. RESULTS: Efficacy has been
shown to vary between lithotripters. To maximize stone fragmentation and reduce
failure rates, many factors can be optimized. Factors to consider in proper
patient selection include skin - to - stone distance and stone size. Careful
attention to the rate of shock wave administration, proper coupling of the
treatment head to the patient have important influences on the success of
lithotripsy. CONCLUSION: Proper selection of patients who are expected to respond
well to SWL, as well as attention to the technical aspects of the procedure are
the keys to SWL success. Studies aiming to determine the mechanisms of shock wave
action in stone breakage have begun to suggest new treatment strategies to
improve success rates and safety.
PMID- 27890654
TI - Sublobectomy versus lobectomy for stage I non-small cell lung cancer in the
elderly.
AB - OBJECTIVE: The aim of our study was to compare the operative characteristics and
long term survival for elderly patients with stage I non-small cell lung cancer
(NSCLC) who underwent sublobectomy versus lobectomy. METHODS: We identified 245
consecutive elderly patients (>=65y) with pathologic stage I NSCLC who underwent
lobectomy or sublobectomy at our institution between 2006 and 2012, and assessed
the operative characteristics, recurrence, and survival differences between these
approaches. RESULTS: A total of 39 patients underwent sublobectomy and 206
patients had lobectomy. There were significantly more COPD (p = 0.046) and low
percent of predicted FEV1 (p = 0.034) in sublobectomy patients compared to the
lobectomy group. Sublobectomy patients had significantly shorter operating time
(p = 0.001), less blood loss (p = 0.000), and trended toward fewer chest tube
days (p = 0.001) and shorter hospital length of stay (p = 0.030). The 1-, 3-, and
5-year survival rates in patients with lobectomy were 91.3, 77.7, and 64.1%,
respectively, and has no significantly difference with those underwent
sublobectomy (87.2, 74.4, and 61.5%, respectively, p = 0.623). Subgroups survival
analysis showed no significant difference in the OS and DFS for patients with T <
2 cm or %FEV1<80%, but survival after sublobectomy was worse if performed on
patients with larger tumours (T >= 2 cm) or relatively strong lung function
(%FEV1>=80%). CONCLUSION: We concluded that sublobectomy might achieve similar
survival rates when compared with lobectomy in elderly stage I NSCLC patients,
especially for patients with low %FEV1 and stage IA tumours less than 2 cm in
diameter.
PMID- 27890655
TI - Silver nanoparticle treatment ameliorates biliary atresia syndrome in rhesus
rotavirus inoculated mice.
AB - Biliary atresia (BA) is a neonatal biliary system disease closely associated with
viral infection and bile duct inflammation. Silver nanoparticles (AgNps) have
previously revealed antiviral and anti-inflammatory properties. In this study, we
have investigated the effects of AgNps in the treatment of the Rhesus rotavirus
inoculation induced BA in mice. The morphology, liver histopathology, clinical
biochemistry examination, and inflammatory cells were analyzed in BA mice.
Results indicated that AgNps could significantly increase the survival rate of BA
mice, and reduce jaundice and weight lost and the liver enzymes and bilirubin
metabolism clinical parameters were close to the normal levels. Diminished
numbers of NK cells were observed by flow cytometry analysis and
immunohistochemical staining. Furthermore, the viral load was reduced and
transcripts for TGF-beta mRNA were augmented after AgNps treatment. Collectively,
our results suggest that AgNps treatment has beneficial effects on the BA mouse
model partially through upregulation of TGF-beta.
PMID- 27890656
TI - Nanoparticle delivery of siRNA against TWIST to reduce drug resistance and tumor
growth in ovarian cancer models.
AB - Epithelial ovarian cancer (EOC) is the most deadly gynecologic malignancy on
account of its late stage at diagnosis and frequency of drug resistant
recurrences. Novel therapies to overcome these barriers are urgently needed.
TWIST is a developmental transcription factor reactivated in cancers and linked
to angiogenesis, metastasis, cancer stem cell phenotype, and drug resistance,
making it a promising therapeutic target. In this work, we demonstrate the
efficacy of TWIST siRNA (siTWIST) and two nanoparticle delivery platforms to
reverse chemoresistance in EOC models. Polyamidoamine dendrimers and mesoporous
silica nanoparticles (MSNs) carried siTWIST into target cells and led to
sustained TWIST knockdown in vitro. Mice treated with cisplatin plus MSN-siTWIST
exhibited lower tumor burden than mice treated with cisplatin alone, with most of
the effect coming from reduction in disseminated tumors. This platform has
potential application for overcoming the clinical challenges of metastasis and
chemoresistance in EOC and other TWIST overexpressing cancers.
PMID- 27890657
TI - Targeting tumor highly-expressed LAT1 transporter with amino acid-modified
nanoparticles: Toward a novel active targeting strategy in breast cancer therapy.
AB - Designing active targeting nanocarriers with increased cellular accumulation of
chemotherapeutic agents is a promising strategy in cancer therapy. Herein, we
report a novel active targeting strategy based on the large amino acid
transporter 1 (LAT1) overexpressed in a variety of cancers. Glutamate was
conjugated to polyoxyethylene stearate as a targeting ligand to achieve LAT1
targeting PLGA nanoparticles. The targeting efficiency of nanoparticles was
investigated in HeLa and MCF-7 cells. Significant increase in cellular uptake and
cytotoxicity was observed in LAT1-targeting nanoparticles compared to the
unmodified ones. More interestingly, the internalized LAT1 together with
targeting nanoparticles could recycle back to the cell membrane within 3 h,
guaranteeing sufficient transporters on cell membrane for continuous cellular
uptake. The LAT1 targeting nanoparticles exhibited better tumor accumulation and
antitumor effects. These results suggested that the overexpressed LAT1 on cancer
cells holds a great potential to be a high-efficiency target for the rational
design of active-targeting nanosystems.
PMID- 27890658
TI - Magnetic mapping of iron in rodent spleen.
AB - Evaluation of iron distribution and density in biological tissues is important to
understand the pathogenesis of a variety of diseases and the fate of exogenously
administered iron-based carriers and contrast agents. Iron distribution in
tissues is typically characterized via histochemical (Perl's) stains or
immunohistochemistry for ferritin, the major iron storage protein. A more
accurate mapping of iron can be achieved via ultrastructural transmission
electron microscopy (TEM) based techniques, which involve stringent sample
preparation conditions. In this study, we elucidate the capability of magnetic
force microscopy (MFM) as a label-free technique to map iron at the nanoscale
level in rodent spleen tissue. We complemented and compared our MFM results with
those obtained using Perl's staining and TEM. Our results show how MFM mapping
corresponded to sizes of iron-rich lysosomes at a resolution comparable to that
of TEM. In addition MFM is compatible with tissue sections commonly prepared for
routine histology.
PMID- 27890660
TI - The effects of expressive writing before or after punch biopsy on wound healing.
AB - OBJECTIVE: Recent studies have shown that written emotional disclosure
(expressive writing) performed in the two weeks prior to wounding improves
healing of punch biopsy wounds. In many clinical settings, it would be more
practical for patients to perform this intervention after wounding. The aim of
this study was to investigate whether expressive writing could speed the healing
of punch biopsy wounds if writing was performed after wounds were made. METHODS:
One hundred and twenty-two healthy participants aged between 18 and 55years were
randomly allocated to one of four groups in a 2 (intervention) by 2 (timing)
design. Participants performed either expressive writing or neutral writing,
either before or after receiving a 4mm punch biopsy wound. Wounds were
photographed on day 10 (primary endpoint) and day 14 after the biopsy to measure
epithelisation. Participants also completed questionnaires on stress and affect
two weeks prior to the biopsy, on the day of biopsy and two weeks after biopsy.
RESULTS: There was a significant difference in healing at day 10 between groups,
chi2(3, N=97)=8.84, p=0.032. A significantly greater proportion of participants
who performed expressive writing before the biopsy had fully reepithelialised
wounds on day 10 compared to participants who performed neutral writing either
before or after wounding, with no other significant differences between groups.
Amongst people who wrote expressively after wounding, those who finished writing
over the first 6days were significantly more likely to be healed at 14days than
those who finished writing later. There were significant differences in positive
and negative affect over the healing period between the pre and post expressive
writing groups. CONCLUSIONS: Expressive writing can improve healing if it is
performed prior to wounding. Performing expressive writing after wounding may be
able to improve healing depending on the timing of writing and wound assessment.
Expressive writing causes affect to worsen followed by subsequent improvement and
it is important to consider this in the timing of intervention delivery. Further
research with patient groups is required to determine the clinical relevance of
these findings.
PMID- 27890659
TI - RNA nanoparticles harboring annexin A2 aptamer can target ovarian cancer for
tumor-specific doxorubicin delivery.
AB - A novel modified nucleic acid nanoparticle harboring an annexin A2 aptamer for
ovarian cancer cell targeting and a GC rich sequence for doxorubicin loading is
designed and constructed. The system utilizes a highly stable three-way junction
(3WJ) motif from phi29 packaging RNA as a core structure. A phosphorothioate
modified DNA aptamer targeting annexin A2, Endo28, was conjugated to one arm of
the 3WJ. The pRNA-3WJ motif retains correct folding of attached aptamer, keeping
its functions intact. It is of significant utility for aptamer-mediated targeted
delivery. The DNA/RNA hybrid nanoparticles remained intact after systemic
injection in mice and strongly bound to tumors with little accumulation in
healthy organs 6 h post-injection. The Endo28-3WJ-Sph1/Dox intercalates
selectively enhanced toxicity to annexin A2 positive ovarian cancer cells in
vitro. The constructed RNA/DNA hybrid nanoparticles can potentially enhance the
therapeutic efficiency of doxorubicin at low doses for ovarian cancer treatment
through annexin A2 targeted drug delivery.
PMID- 27890661
TI - Studying brain-regulation of immunity with optogenetics and chemogenetics; A new
experimental platform.
AB - The interactions between the brain and the immune system are bidirectional.
Nevertheless, we have far greater understanding of how the immune system affects
the brain than how the brain affects immunity. New technological developments
such as optogenetics and chemogenetics (using DREADDs; Designer Receptors
Exclusively Activated by Designer Drugs) can bridge this gap in our
understanding, as they enable an unprecedented mechanistic and systemic analysis
of the communication between the brain and the immune system. In this review, we
discuss new experimental approaches for revealing neuronal circuits that can
participate in regulation of immunity. In addition, we discuss methods,
specifically optogenetics and chemogenetics, that enable targeted neuronal
manipulation to reveal how different brain regions affect immunity. We describe
how these techniques can be used as an experimental platform to address
fundamental questions in psychoneuroimmunology and to understand how neuronal
circuits associate with different psychological states can affect physiology.
PMID- 27890662
TI - A genetic association study of CSMD1 and CSMD2 with cognitive function.
AB - The complement cascade plays a role in synaptic pruning and synaptic plasticity,
which seem to be involved in cognitive functions and psychiatric disorders.
Genetic variants in the closely related CSMD1 and CSMD2 genes, which are
implicated in complement regulation, are associated with schizophrenia. Since
patients with schizophrenia often show cognitive impairments, we tested whether
variants in CSMD1 and CSMD2 are also associated with cognitive functions per se.
We took a discovery-replication approach, using well-characterized Scandinavian
cohorts. A total of 1637 SNPs in CSMD1 and 206 SNPs in CSMD2 were tested for
association with cognitive functions in the NCNG sample (Norwegian Cognitive
NeuroGenetics; n=670). Replication testing of SNPs with p-value<0.001 (7 in CSMD1
and 3 in CSMD2) was carried out in the TOP sample (Thematically Organized
Psychosis; n=1025) and the BETULA sample (Betula Longitudinal Study on aging,
memory and dementia; n=1742). Finally, we conducted a meta-analysis of these SNPs
using all three samples. The previously identified schizophrenia marker in CSMD1
(SNP rs10503253) was also included. The strongest association was observed
between the CSMD1 SNP rs2740931 and performance in immediate episodic memory (p
value=5*10-6, minor allele A, MAF 0.48-0.49, negative direction of effect). This
association reached the study-wide significance level (p?1.2*10-5). SNP
rs10503253 was not significantly associated with cognitive functions in our
samples. In conclusion, we studied n=3437 individuals and found evidence that a
variant in CSMD1 is associated with cognitive function. Additional studies of
larger samples with cognitive phenotypes will be needed to further clarify the
role of CSMD1 in cognitive phenotypes in health and disease.
PMID- 27890663
TI - Hepatitis B: A cross-sectional survey of knowledge, attitudes and practices
amongst backpackers in Thailand.
AB - BACKGROUND: In 2013, 200 million tourists visited countries that are endemic for
hepatitis B virus (HBV). Backpackers are potentially at greater risk of hepatitis
B than other travellers yet exposure to HBV remains under researched in this
population. METHOD: A cross-sectional survey of backpackers visiting two islands
in Thailand was performed during early 2015. Participation in activities with
high HBV exposure risk was recorded, alongside rates of vaccination and an
evaluation of knowledge and attitudes towards the risk of HBV. RESULTS: 1680
questionnaires were completed and analysed; the median participant age was 24
(range: 18-68) and 47.9% were male. 20.8% took part in activities with a high
risk of HBV exposure. Over two-thirds of the sample were not protected against
HBV. 24% were able to correctly identify HBV transmission methods. 44.1%
underestimated the risk of HBV in Thailand. CONCLUSIONS: The proportion of
backpackers participating in high-risk activities was double the level found in
previous studies that have examined the HBV exposure risk amongst travellers to
endemic countries. Voluntary risk activities were the largest source of potential
exposure to HBV and rates of vaccination are low. Backpackers should be
considered for routine vaccination and education on risk behaviours should be
included in the pre-travel consultation.
PMID- 27890664
TI - Do alcohol-based hand rubs reduce the incidence of acute diarrhea during military
deployments? A prospective randomized trial.
AB - BACKGROUND: Acute diarrhea remains a public health concern in armed forces
deployed in tropical areas where access to water and soap is limited. This study
aims to assess the effectiveness of alcohol-based hand rubs (ABHR) on incidence
of diarrhea in poor hygiene conditions. METHOD: A prospective randomized trial
was conducted between November 2014 and January 2015 among French military troops
deployed in Africa to compare a group of soldiers receiving usual hand hygiene
recommendations (control group), to a group of soldiers who received ABHR in
addition to usual hand hygiene recommendations (intervention group). Data on
diarrhea and hygiene behaviors were collected using self-questionnaires. The
incidence rate of diarrhea episodes in groups was compared. RESULTS:
Participation rate was 59% (236/400). The proportion of individuals who used ABHR
was 97% in the intervention group and 62% in the control group. The overall
incidence rate of diarrheal episodes was observed to be in the region of 60 per
100 persons-month without any significant difference between groups after
adjustment on confounding factors (p = 0.93). Handwashing with soap was used on
average 4 times a day in the control group and twice a day in the intervention
group (p = 0.93). It was the only significant protective factor for diarrhea (p <
10-3). CONCLUSION: Our results support that supplying soap and good quality water
should be a priority on the field.
PMID- 27890665
TI - Antibiotic resistance and international travel: Causes and consequences.
PMID- 27890666
TI - When less is best - Why non U.S. born patients could have less MRSA.
PMID- 27890667
TI - Investigation of carbapenem resistance and the first identification of Klebsiella
pneumoniae carbapenemase (KPC) enzyme among Escherichia coli isolates in Turkey:
A prospective study.
AB - BACKGROUND: The aim of this study was to determine the presence of carbapenem
resistance and carbapenemase production in Escherichia coli isolates from
clinical samples in Turkey. METHODS: The prospective study included a total of
4.052 Escherichia coli isolates collected from patients admitted to a hospital
from March 2011 to May 2012. We used ertapenem disc for screening carbapenemase
production, and the confirmation was performed by using Etest. The resistance
mechanisms and genetic relatedness of the carbapenem resistant strains were
investigated by using PCR (polymerase chain reaction) and pulsed-field gel
electrophoresis (PFGE), respectively. RESULTS: Among the 4.052 E. coli isolates,
24 (0.59%) were found to be carbapenem resistant. Of these, only 5 isolates were
positive for OXA-48 and 2 isolates were positive for Klebsiella pneumoniae
carbapenemase (KPC)-2. The KPC-2 producing E. coli strains (n = 2) were both
isolated from the same patient. The blaKPC genes were confirmed using DNA
sequence analysis. The genetic relationship between the 24 E. coli strains
studied by PFGE revealed that the strains were genetically unrelated.
CONCLUSIONS: This article confirms, to our knowledge for the first time, the
detection of KPC-2-producing E. coli in Turkey, with OXA-48 being the most
frequent carbapenemase in the study.
PMID- 27890668
TI - The next generation of antimicrobial peptides (AMPs) as molecular therapeutic
tools for the treatment of diseases with social and economic impacts.
AB - Anti-infective drugs have had a key role in the contemporary world, contributing
to dramatically decrease mortality rates caused by infectious diseases worldwide.
Antimicrobial peptides (AMPs) are multifunctional effectors of the innate immune
system of mucosal surfaces and present antimicrobial activity against a range of
pathogenic viruses, bacteria, and fungi. However, the discovery and development
of new antibacterial drugs is a crucial step to overcome the great challenge
posed by the emergence of antibiotic resistance. In this review, we outline
recent advances in the development of novel AMPs with improved antimicrobial
activities that were achieved through characteristic structural design. In
addition, we describe recent progress made to overcome some of the major
limitations that have hindered peptide biosynthesis.
PMID- 27890669
TI - Doxorubicin: nanotechnological overviews from bench to bedside.
AB - Doxorubicin (DOX) is considered one of the most effective chemotherapeutic
agents, used as a first-line drug in numerous types of cancer. Nevertheless, it
exhibits serious adverse effects, such as lethal cardiotoxicity and dose-limiting
myelosuppression. In this review, we focus on the description and the clinical
benefits of different DOX-loaded nanotechnological platforms, not only those
commercially available but also the ones that are currently in clinical phases,
such as liposomes, polymeric nanoparticles, polymer-drug conjugates, polymeric
micelles and ligand-based DOX-loaded nanoformulations. Although some DOX-based
nanoproducts are currently being used in the clinical field, it is clear that
further research is necessary to achieve improvements in cancer therapeutics.
PMID- 27890670
TI - Updating molecular properties during early drug discovery.
AB - Current multiparameter optimization (MPO) strategies make use of few experimental
physicochemical descriptors (i.e., solubility at physiological pH and
lipophilicity in the octanol/water system). Here, we show how new trends in drug
discovery (i.e., large and flexible molecules for 'difficult' targets) call for
the integration of ad hoc descriptors in MPO approaches. In particular, to rank,
select, and optimize drug candidates, it could be relevant to have experimental
data relating to the acid-base properties and the folding of the molecule to mask
polar groups (so-called 'chameleonic' properties). We propose two strategies to
quantify ionization and chameleonic properties and discuss their practical
integration in property criteria profiles.
PMID- 27890671
TI - Target-based approaches for the discovery of new antimycobacterial drugs.
AB - Tuberculosis (TB) is a major global health problem and control of the disease is
hampered by the increasing emergence of multidrug resistance (MDR) strains. Novel
drugs are urgently needed to overcome drug resistance. Among the most relevant
targets of the past 3 years, herein we consider nine enzymes that have been
studied in a target-based approach. These targets are involved mainly in the
biosynthesis of the cell wall, alpha-glucan, coenzyme A and acyl carrier protein
precursor, and in energy production, DNA metabolism, and pyrimidine synthesis.
Some leads and many hits have been discovered using a target-based approach and
can be further developed in a drug discovery process.
PMID- 27890672
TI - Epigenetics of cell fate reprogramming and its implications for neurological
disorders modelling.
AB - The reprogramming of human induced pluripotent stem cells (hiPSCs) proceeds in a
stepwise manner with reprogramming factors binding and epigenetic composition
changes during transition to maintain the epigenetic landscape, important for
pluripotency. There arises a question as to whether the aberrant epigenetic state
after reprogramming leads to epigenetic defects in induced stem cells causing
unpredictable long term effects in differentiated cells. In this review, we
present a comprehensive view of epigenetic alterations accompanying
reprogramming, cell maintenance and differentiation as factors that influence
applications of hiPSCs in stem cell based technologies. We conclude that sample
heterogeneity masks DNA methylation signatures in subpopulations of cells and
thus believe that beside a genetic evaluation, extensive epigenomic screening
should become a standard procedure to ensure hiPSCs state before they are used
for genome editing and differentiation into neurons of interest. In particular,
we suggest that exploitation of the single-cell composition of the epigenome will
provide important insights into heterogeneity within hiPSCs subpopulations to
fast forward development of reliable hiPSC-based analytical platforms in
neurological disorders modelling and before completed hiPSC technology will be
implemented in clinical approaches.
PMID- 27890673
TI - Functional validation of ABHD12 mutations in the neurodegenerative disease PHARC.
AB - ABHD12 mutations have been linked to neurodegenerative PHARC (polyneuropathy,
hearing loss, ataxia, retinitis pigmentosa, and early-onset cataract), a rare,
progressive, autosomal, recessive disease. Although ABHD12 is suspected to play a
role in the lysophosphatidylserine and/or endocannabinoid pathways, its precise
functional role(s) leading to PHARC disease had not previously been
characterized. Cell and zebrafish models were designed to demonstrate the causal
link between an identified new missense mutation p.T253R, characterized in ABHD12
from a young patient, the previously characterized p.T202I and p.R352* mutations,
and the associated PHARC. Measuring ABHD12 monoacylglycerol lipase activity in
transfected HEK293 cells demonstrated inhibition with mutated isoforms. Both the
expression pattern of zebrafish abhd12 and the phenotype of specific antisense
morpholino oligonucleotide gene knockdown morphants were consistent with human
PHARC hallmarks. High abhd12 transcript levels were found in the optic tectum and
tract, colocalized with myelin basic protein, and in the spinal cord. Morphants
have myelination defects and concomitant functional deficits, characterized by
progressive ataxia and motor skill impairment. A disruption of retina
architecture and retinotectal projections was observed, together with an
inhibition of lens clarification and a low number of mechanosensory hair cells in
the inner ear and lateral line system. The severe phenotypes in abhd12 knockdown
morphants were rescued by introducing wild-type human ABHD12 mRNA, but not by
mutation-harboring mRNAs. Zebrafish may provide a suitable vertebrate model for
ABHD12 insufficiency and the study of functional impairment and potential
therapeutic rescue of this rare, neurodegenerative disease.
PMID- 27890674
TI - Passive immunotherapy for Middle East Respiratory Syndrome coronavirus infection
with equine immunoglobulin or immunoglobulin fragments in a mouse model.
AB - Middle East Respiratory Syndrome (MERS) is a highly lethal pulmonary infection
caused by a coronavirus (CoV), MERS-CoV. With the continuing spread of MERS-CoV,
prophylactic and therapeutic treatments are urgently needed. In this study, we
prepared purified equine F(ab')2 from horses immunized with MERS-CoV virus-like
particles (VLPs) expressing MERS-CoV S, M and E proteins. Both IgG and F(ab')2
efficiently neutralized MERS-CoV replication in tissue culture. Passive transfer
of equine immune antibodies significantly reduced virus titers and accelerated
virus clearance from the lungs of MERS-CoV infected mice. Our data show that
horses immunized with MERS-CoV VLPs can serve as a primary source of protective
F(ab')2 for potential use in the prophylactic or therapeutic treatment of exposed
or infected patients.
PMID- 27890675
TI - Synergistic drug combination effectively blocks Ebola virus infection.
AB - Although a group of FDA-approved drugs were previously identified with activity
against Ebola virus (EBOV), most of them are not clinically useful because their
human blood concentrations are not high enough to inhibit EBOV infection. We
screened 795 unique three-drug combinations in an EBOV entry assay. Two sets of
three-drug combinations, toremifene-mefloquine-posaconazole and toremifene
clarithromycin-posaconazole, were identified that effectively blocked EBOV entry
and were further validated for inhibition of live EBOV infection. The individual
drug concentrations in the combinations were reduced to clinically relevant
levels. We identified mechanisms of action of these drugs: functional inhibitions
of Niemann-Pick C1, acid sphingomyelinase, and lysosomal calcium release. Our
findings identify the drug combinations with potential to treat EBOV infection.
PMID- 27890676
TI - Combined in vitro and in silico approaches to the assessment of stimulant
properties of novel psychoactive substances - The case of the benzofuran 5-MAPB.
AB - Novel psychoactive substances (NPS) are increasingly prevalent world-wide
although their pharmacological characteristics are largely unknown; those with
stimulant properties, due to interactions with the dopamine transporter (DAT),
have addictive potential which their users may not realise. We evaluated the
binding of 1-(1-benzofuran-5-yl)-N-methylpropan-2-amine (5-MAPB) to rat striatal
DAT by means of quantitative autoradiography with [125I]RTI-121, and the effects
of 5-MAPB on electrically-evoked dopamine efflux by fast-cyclic voltammetry in
rat brain slices. 5-MAPB displaced [125I]RTI-121 in a concentration-dependent
manner, with significant effects at 10 and 30MUM. The voltammetry data suggest
that 5-MAPB reduces the rate of dopamine reuptake; while the peak dopamine efflux
was not increased, the area under the curve was augmented. 5-MAPB can also cause
reverse dopamine transport consistent with stimulant properties, more similar to
amphetamine than cocaine. Molecular modelling and docking studies compared the
binding site of DAT in complex with 5-MAPB to dopamine, amphetamine, 5-APB, MDMA,
cocaine and RTI-121. This structural comparison reveals a binding mode for 5-MAPB
found in the primary binding (S1) site, central to transmembrane domains 1, 3, 6
and 8, which overlaps with the binding modes of dopamine, cocaine and its
analogues. Atomistic molecular dynamics simulations further show that, when in
complex with 5-MAPB, DAT can exhibit conformational transitions that
spontaneously isomerize the transporter into inward-facing state, similarly to
that observed in dopamine-bound DAT. These novel insights, offered by the
combination of computational methods of biophysics with neurobiological
procedures, provide structural context for NPS at DAT and relate them with their
functional properties at DAT as the molecular target of stimulants.
PMID- 27890677
TI - Time course of organ of Corti degeneration after noise exposure.
AB - From our permanent collection of plastic-embedded flat preparations of chinchilla
cochleae, 22 controls and 199 ears from noise-exposed animals were used to
determine when, postexposure, hair cell (HC) and supporting cell (SC)
degeneration were completed. The exposed ears were divided into four groups based
on exposure parameters: 0.5- or 4-kHz octave band of noise at moderate (M) or
high (H) intensities. Postexposure survival ranged from <1 h to 2.5 y. Ears fixed
<= 0-12 h postexposure were called 'acute'. For 'chronic' ears, postexposure
survival was >=7 d for groups 0.5M and 4M, >= 1 mo for the 4H group and >=7 mo
for the 0.5H group. The time course of inner-ear degeneration after noise
exposure was determined from data in the 0.5H and 4H groups because these groups
contained ears with intermediate survival times. Outer hair cells (OHCs) began
dying during the exposure. OHC loss slowed down beyond 1 mo but was still
present. Conversely, much inner hair cell loss was delayed until 1-3 wk
postexposure. Outer pillar and inner pillar losses were present at a low level in
acute ears but increased exponentially thereafter. These results are the first to
demonstrate quantitatively that hair cells (HCs) and supporting cells (SCs) may
continue to degenerate for months postexposure. With short postexposure
survivals, the remaining SCs often had pathological changes, including: buckled
pillar bodies, shifted Deiters' cell (DC) nuclei, detachment of DCs from the
basilar membrane and/or splitting of the reticular lamina. These pathological
changes appeared to allow endolymph and perilymph to intermix in the fluid spaces
of the organ of Corti, damaging additional HCs, SCs and nerve fibers. This
mechanism may account for some postexposure degeneration. In ears exposed to
moderate noise, some of these SC changes appeared to be reversible. In ears
exposed to high-level noise, these changes appeared to indicate impending
degeneration.
PMID- 27890678
TI - Hot spot mapping of protein surfaces with TEMPOL: Bovine pancreatic RNase A as a
model system.
AB - TEMPOL spin-label has been used to identify surface exposure of protein nuclei
from NMR analysis of the induced paramagnetic relaxation enhancements (PRE). The
absence of linear dependence between atom depths and observed PRE reveals that
specific mechanisms drive the approach of the paramagnet to the protein surface.
RNase A represents a unique protein system to explore the fine details of the
information offered by TEMPOL induced PRE, due to the abundance of previous
results, obtained in solution and in the crystal, dealing with surface dynamics
behavior of this protein. MD simulations in explicit solvent have been performed,
also in the presence of TEMPOL, in order to delineate the role of intermolecular
hydrogen bonds (HB) on PRE extents. Comparison of our results with the ones
obtained from multiple solvent crystal structure (MSCS) studies yields
information on the specificities that these two techniques have for
characterizing protein-ligand interactions, a fundamental step in the development
of reliable surface druggability predictors.
PMID- 27890679
TI - Evaluation of non-supervised MALDI mass spectrometry imaging combined with
microproteomics for glioma grade III classification.
AB - An integrated diagnosis using molecular features is recommended in the 2016 World
Health Organization (WHO) classification. Our aim was to explore non-targeted
molecular classification using MALDI mass spectrometry imaging (MALDI MSI)
associated to microproteomics in order to classify anaplastic glioma by
integration of clinical data. We used fresh-frozen tissue sections to perform
MALDI MSI of proteins based on their digestion peptides after in-situ trypsin
digestion of the tissue sections and matrix deposition by micro-spraying. The
generated 70MUm spatial resolution image datasets were further processed by
individual or global segmentation in order to cluster the tissues according to
their molecular protein signature. The clustering gives 3 main distinct groups.
Within the tissues the ROIs (regions of interest) defined by these groups were
used for microproteomics by micro-extraction of the tryptic peptides after on
tissue enzymatic digestion. More than 2500 proteins including 22 alternative
proteins (AltProt) are identified by the Shotgun microproteomics. Statistical
analysis on the basis of the label free quantification of the proteins shows a
similar classification to the MALDI MSI segmentation into 3 groups. Functional
analysis performed on each group reveals sub-networks related to neoplasia for
group 1, glioma with inflammation for group 2 and neurogenesis for group 3. This
demonstrates the interest on these new non-targeted large molecular data
combining both MALDI MSI and microproteomics data, for tumor classification. This
analysis provides new insights into grade III glioma organization. This specific
information could allow a more accurate classification of the biopsies according
to the prognosis and the identification of potential new targeted therapeutic
options. This article is part of a Special Issue entitled: MALDI Imaging, edited
by Dr. Corinna Henkel and Prof. Peter Hoffmann.
PMID- 27890680
TI - The putative role of MALDI-MSI in the study of Membranous Nephropathy.
AB - Membranous Nephropathy (MN) is an immunocomplex mediated renal disease that
represents one of the most frequent glomerulopathies worldwide. This glomerular
disease can manifest as primary (idiopathic) or secondary and this distinction is
crucial when choosing the most appropriate course of treatment. In secondary
cases, the best strategy involves treating the underlying disease, whereas in
primary forms, the identification of confirmatory markers of the idiopathic
etiology underlining the process is requested by clinicians. Among those
currently reported, the positivity to circulating antigens (PLA2R, IgG4 and
THSD7A) was demonstrated in approximately 75% of iMN patients, while
approximately 1 in 4 patients with iMN still lack a putative diagnostic marker.
Ultimately, the discovery of biomarkers to help further stratify these two
different forms of glomerulopathy seems mandatory. Here, MALDI-MSI was applied to
FFPE renal biopsies from histologically diagnosed primary and secondary MN
patients (n=20) in order to detect alterations in their tissue proteome. MALDI
MSI was able to generate molecular signatures of primary and secondary MN, with
one particular signal (m/z 1459), identified as Serine/threonine-protein kinase
MRCK gamma, being over-expressed in the glomeruli of primary MN patients with
respect to secondary MN. Furthermore, a number of signals that could
differentiate the different forms of iMN that were positive to PLA2R or IgG4 were
detected, as well as a further set of signals (m/z 1094, 1116, 1381 and 1459)
that could distinguish these patients from those who were negative to both. These
signals could potentially represent future targets for the further stratification
of iMN patients. This article is part of a Special Issue entitled: MALDI Imaging,
edited by Dr. Corinna Henkel and Prof. Peter Hoffmann.
PMID- 27890681
TI - Continent Anal Urinary Diversion in Classic Bladder Exstrophy: 45-Year
Experience.
AB - OBJECTIVE: To evaluate the long-term outcomes in patients with classic bladder
exstrophy and continent anal urinary diversion (CAD) for continence, upper
urinary tract status, secondary malignancies, and sexual function. PATIENTS AND
METHODS: The medical records of 82 exstrophy patients having undergone CAD in our
department between 1970 and 2015 were reviewed. Patients were invited for follow
up examinations and asked to complete validated questionnaires relating to sexual
function. RESULTS: Thirty-two of 57 eligible patients with a median follow-up of
23.9 years were included in the study. Ninety-seven percent of patients were
fully continent during daytime. Upper urinary tract and renal function remained
stable in 75% and 87%, respectively. Five patients developed secondary
malignancies originating from the rectal reservoir. Forty-one percent received
prophylactic alkaline substitution. Sexual function as measured by the Female
Sexual Function Index and the International Index on Erectile Function was
negatively affected in all domains in both genders. Eighty-six percent of
patients had a stable relationship and 35% were married. Five women conceived a
total of 6 healthy children. Paternity rate was 40%. CONCLUSION: CAD constitutes
an effective treatment option with acceptable long-term outcomes in exstrophy
patients in whom all attempts at restoring the lower urinary tract have failed.
Long-term follow-up of the upper urinary tract, assessment of acid-base balance,
and endoscopy of the rectosigmoid reservoir are paramount for the safety of this
type of management. Evaluation of sexual dysfunction should be an active part of
follow-up.
PMID- 27890682
TI - Implementation of Dynamically Updated Prediction Models at the Point of Care at a
Major Cancer Center: Making Nomograms More Like Netflix.
PMID- 27890683
TI - The Effect of Obesity on Perioperative Outcomes for Open and Minimally Invasive
Prostatectomy.
AB - OBJECTIVE: To compare the impact of obesity on perioperative outcomes between
open radical prostatectomy (ORP) and minimally invasive prostatectomy (MIP).
METHODS: Using the National Surgical Quality Improvement Program public use files
for 2008-2013, we identified patients undergoing prostatectomy using Current
Procedural Terminology codes. Those without body mass index (BMI) or comorbidity
information were excluded. BMI was treated as a categorical variable according to
the World Health Organization classification. Demographic and comorbid conditions
were compared between BMI groups, and multivariable logistical regression was
used to identify independent predictors of adverse perioperative events. RESULTS:
We identified 17,693 MIP and 4674 ORP for analysis. Of the entire cohort, only
18.7% had a BMI within the normal range (18.5-24.9), whereas the remaining 81.3%
were at least overweight (BMI > 25). Class I, II, and III obesity accounted for
25.0%, 7.0%, and 2.3% of the cohort, respectively. Overall, complications were
higher with ORP (19.0%) than with MIP (5.3%), which held true across all BMI
categories. The rate of wound, renal, thromboembolic, infectious, neurologic,
Clavien grade III-V, and overall complications among MIP were directly related to
BMI. Only wound and renal complications were related to BMI in ORP. In
multivariable analysis, obesity was found to be an independent predictor of
wound, renal, and thromboembolic complications. CONCLUSION: Obesity has a larger
impact on morbidity for MIP compared to ORP. Overall morbidity, however, remains
lower for MIP across all BMI groups.
PMID- 27890684
TI - Reply by the Authors.
PMID- 27890685
TI - Bilateral Transvesicoscopic Cross-trigonal Ureteric Reimplantation in Children:
Surgical Subtleties and a Prospective Summary.
AB - OBJECTIVE: To prospectively evaluate the surgical technique and results of
bilateral transvesicoscopic cross-trigonal ureteric reimplantation (TVUR) in
children with vesicoureteric reflux (VUR) and compare the results and surgical
subtleties with the existing literature. MATERIALS AND METHODS: From January 2010
to December 2015, children between 2 and 14 years of age with bilateral primary
VUR grades II-IV underwent bilateral TVUR at a tertiary referral center in
Northern India. The grade of VUR was II in 12 patients, III in 19 patients, and
IV in 3 patients. All surgeries were performed by a single surgeon. Success was
defined as the absence of VUR on direct radionuclide cystogram at 8 weeks.
RESULTS: Seventeen patients (34 refluxing ureters) underwent bilateral TVUR
during the study period. They included 13 girls and 4 boys. The median age was
4.6 years (range: 2-14 years). Two patients required conversion to open surgery.
Resolution of VUR was seen in 16 patients (32 out of 34 ureters). Hydronephrosis
resolved on postoperative ultrasonography in all patients with low-grade reflux
(lower than grade IV) and all except one patient with grade IV reflux.
CONCLUSION: TVUR is a feasible method with success rate equal to that of open
technique if patient selection is good. Success rate is low in high-grade reflux,
and dilated and tortuous ureter. Subtle modifications in the surgical steps can
make significant contribution toward learning this minimally invasive technique.
PMID- 27890686
TI - Cardiac and Skeletal Muscle Metastases From Squamous Cell Carcinoma of the
Bladder: Positron Emission Tomography-Computed Tomography Findings.
AB - We describe the positron emission tomography-computed tomography (PET-CT)
findings of a patient who presented to the emergency room with chest pain and
palpitations and underwent a radical cystectomy 6 months earlier because of a
squamous cell carcinoma of the bladder (SCCB). Cardiac catheterization ruled out
coronary artery occlusion, but PET-CT showed multiple F-18-fluorodeoxyglucose
avid lesions involving multiple skeletal muscles and the heart, consistent with
metastases. A CT-guided biopsy of 1 skeletal muscle lesion confirmed a metastasis
with the same pathologic features as the SCCB. To our knowledge, this is the
first reported case of an SCCB with metastases to multiple skeletal muscles and
myocardium detected with PET-CT.
PMID- 27890687
TI - Revisiting Sports Precautions in Children With Solitary Kidneys and Congenital
Anomalies of the Kidney and Urinary Tract.
AB - This review article explores sports and recreational precautions in children with
solitary kidneys. In 2001, the American Academy of Pediatrics published
recommendations for activity in children with medical conditions. Those with
solitary kidneys were graded a "qualified yes": no restriction in noncontact
sports, and individual assessment for limited-contact, contact, and collision
sports. Recent trauma data suggest that classification according to the degree of
contact is inaccurate. We propose an updated, data-driven classification of
sports or recreation according to the risk of high-grade renal trauma or loss of
renal unit. Given the paucity of literature on the topic and lack of consensus,
children with congenital renal anomalies should exercise caution in both sports
and recreation.
PMID- 27890688
TI - Weight loss is associated with improvements in cognitive function among
overweight and obese people: A systematic review and meta-analysis.
AB - Whilst obesity is associated with a higher risk of cognitive impairment, the
influence of weight loss on cognitive function in obese/overweight people is
equivocal. We conducted a meta-analysis of randomized controlled trials (RCTs)
and longitudinal studies evaluating the influence of voluntary weight loss on
cognitive function in obese/overweight individuals. Articles were acquired from a
systematic search of major databases from inception till 01/2016. A random effect
meta-analysis of weight loss interventions (diet, physical activity, bariatric
surgery) on different cognitive domains (memory, attention, executive functions,
language and motor speed) was conducted. Twenty studies (13 longitudinal
studies=551 participants; 7 RCTs=328 treated vs. 140 controls) were included.
Weight loss was associated with a significant improvement in attention and memory
in both longitudinal studies and RCTs, whereas executive function and language
improved in longitudinal and RCT studies, respectively. In conclusion,
intentional weight loss in obese/overweight people is associated with
improvements in performance across various cognitive domains. Future adequately
powered RCTs are required to confirm/refute these findings.
PMID- 27890690
TI - Successful outcome with minimally invasive plate osteosynthesis for
periprosthetic tibial fracture after total knee arthroplasty.
AB - INTRODUCTION: The incidence of periprosthetic fractures after total knee
arthroplasty (TKA) is increasing, and treatment is becoming more necessary. In
periprosthetic tibial fractures, the stem of the tibial component largely
occupies the medullary canal of the proximal tibia, which limits the selection of
implants. The purpose of this study was to evaluate the effectiveness of the
minimally invasive plate osteosynthesis (MIPO) technique with locking plates for
periprosthetic tibial fractures after TKA. MATERIALS AND METHODS: Sixteen
patients with periprosthetic tibial fracture after TKA were included. There were
6 type II and 10 type III fractures according to the Felix classification. Ten
patients had fractures in the proximal metaphysis, and 6 in the diaphysis. MIPO
using locking plates was performed on the medial side in 4 cases, the lateral
side in 2 cases, and both in 10 cases. Radiographic results included time to
union, alignment, and malunion. Clinical results included range of motion (ROM),
functional activity data, Knee Society scores, and complications. RESULTS:
Fourteen of 16 fractures achieved union at 17.1 weeks (range, 14-24)
postoperatively. There were 2 failures that required a secondary procedure.
Except one for 1 case with varus malunion, all had acceptable alignment. Mean ROM
at the final follow-up was 108.8 degrees (range, 15-135 degrees ), and 15
patients recovered pre-injury knee joint activity. Mean knee and function scores
were 88.9 (range, 77-100) and 83.3 (range, 60-100), respectively. Knees with
fewer than 8 cortices giving purchase to screws in the proximal segment showed
higher failure rates (P=0.025). DISCUSSION: MIPO with locking plates can achieve
satisfactory results for periprosthetic tibial fractures after TKA. Rigid
fixation of the proximal segment may be necessary for successful outcome. LEVEL
OF EVIDENCE: IV.
PMID- 27890691
TI - Minimum four-year subsequent surgery rates of cervical disc replacement versus
fusion: A meta-analysis of prospective randomized clinical trials.
AB - INSTRUCTION: Anterior cervical discectomy and fusion (ACDF) is a well-accepted
surgical management strategy for radiculopathy and/or myelopathy. However,
complications sometimes required subsequent surgery at the operated and adjacent
levels. Artificial cervical disc replacement (ACDR) has been accepted as the most
extensive non-fusion procedure and is designed to preserve motion. However, some
specific complications can result in subsequent surgery, thus, attracting the
attention of investigators. HYPOTHESIS: Compared with ACDF, ACDR had a lower
subsequent surgery rates at both operated and adjacent levels after a minimum of
four-years of follow-up. MATERIAL AND METHODS: A meta-analysis was performed with
articles published prior to February 2016. Only randomized controlled trials that
reported a minimum of 4 years of follow-up with regard to the rates of subsequent
surgeries after ACDR compared with ACDF were included in this study. Two
reviewers independently screened the articles and data following the PRISMA
statement. RESULTS: Our data showed that the pooled overall rate of subsequent
surgery at the operated level and adjacent levels was lower in the ACDR group
(7.4%) than in the ACDF group (16.8%) (P=0.0006). For subsequent surgery at the
operated level, patients who received ACDR had a lower rate of subsequent surgery
than patients who received ACDF (P<0.0001). With respect to the adjacent level,
ACDR also had fewer subsequent surgeries compared with ACDF (P<0.0001).
CONCLUSION: ACDR had significantly fewer subsequent surgical interventions
compared with ACDF, However, a review of the literature showed that there were an
insufficient number of studies with respect to subsequent surgery with a minimum
of 4 years of follow-up. Hence, longer-term, multicenter studies are needed for
better evaluation of the rate of subsequent surgery after ACDR. LEVEL OF
EVIDENCE: Level I, meta-analysis of high-powered prospective randomized trials.
PMID- 27890692
TI - Rapid identification of Robinsoniella peoriensis using specific 16S rRNA gene PCR
primers.
AB - Robinsoniella peoriensis is a Gram-positive, spore-forming anaerobic bacterium
initially isolated and characterized from swine manure and feces. Since then
strains of this species have been identified from a variety of mammalian and
other GI tracts. More recently strains of this species have been isolated from a
plethora of human infections. Therefore, it is of great interest to develop
methods to rapidly identify this microorganism in the medical and other
laboratories. This report describes the use of PCR primers targeting the 16S rRNA
gene of R. peoriensis to identify strains of this bacterium.
PMID- 27890693
TI - Developmental study of the Proboscis Extension Response to heat in Rhodnius
prolixus along the life cycle.
AB - Triatominae are blood-sucking insects that localise their hosts using a range of
sensory signals to find food, and among them, the heat emitted by the hosts. Heat
is one of the main short-range cues in vertebrate hosts, able to trigger alone
the Proboscis Extension Response (PER) that precedes the bite. Previous studies
demonstrated that heat responsiveness of fifth-instar nymphs is maximum to
moderate temperatures (30-35 degrees C) compatible with those of their vertebrate
host's body surface. This study investigated whether this thermal preference for
biting is maintained along the life cycle of R. prolixus, from the first larval
instar to male and female adults. The results showed that PER rates were at
maximum around 30-35 degrees C and decreased for a warmer temperature. The same
thermal preference was maintained all along the life cycle, despite the increase
in the size of the antennae linked to the growth. Interestingly, a decreased
thermal responsiveness was stated in males as compared with larval instars and
females. This decrease might reveal a lower motivation for host-seeking and might
have an impact on males's vectorial competence.
PMID- 27890694
TI - Cord blood IgG and the risk of severe Plasmodium falciparum malaria in the first
year of life.
AB - Young infants are less susceptible to severe episodes of malaria but the targets
and mechanisms of protection are not clear. Cord blood antibodies may play an
important role in mediating protection but many studies have examined their
association with the outcome of infection or non-severe malaria. Here, we
investigated whether cord blood IgG to Plasmodium falciparum merozoite antigens
and antibody-mediated effector functions were associated with reduced odds of
developing severe malaria at different time points during the first year of life.
We conducted a case-control study of well-defined severe falciparum malaria
nested within a longitudinal birth cohort of Kenyan children. We measured cord
blood total IgG levels against five recombinant merozoite antigens and antibody
function in the growth inhibition activity and neutrophil antibody-dependent
respiratory burst assays. We also assessed the decay of maternal antibodies
during the first 6months of life. The mean antibody half-life range was
2.51months (95% confidence interval (CI): 2.19-2.92) to 4.91months (95% CI: 4.47
6.07). The rate of decline of maternal antibodies was inversely proportional to
the starting concentration. The functional assay of antibody-dependent
respiratory burst activity predicted significantly reduced odds of developing
severe malaria during the first 6months of life (Odds ratio (OR) 0.07, 95% CI:
0.007-0.74, P=0.007). Identification of the targets of antibodies mediating
antibody-dependent respiratory burst activity could contribute to the development
of malaria vaccines that protect against severe episodes of malaria in early
infancy.
PMID- 27890695
TI - Nitric oxide-polyamines cross-talk during dormancy release and germination of
apple embryos.
AB - Nitric oxide (NO) and polyamines (PAs) belong to plant growth and development
regulators. These compounds play a key role in numerous physiological processes
e.g. seed germination. Based on the suggestion of overlapping of NO and PAs
biosynthetic pathways, we demonstrated a cross-talk of NO and PAs in regulation
of embryonic dormancy release. The aim of the work was to investigate an impact
of PAs (Put, Spd and Spm) or NO short-term fumigation on nitrite, urea, Arg and
ornithine (Orn) content, NO synthase-like (NOS-like) and arginase activity in
axes of apple (Malus domestica Borkh.) embryos during dormancy alleviation and at
the stage of termination of germination sensu stricto. NO, Put/Spd induced
dormancy breakage and germination of apple embryos corresponded to stimulation of
urea cycle and high free Arg pool in seedlings roots. After two days of the
culture Put and Spd stimulated Arg dependent NO formation, inhibition of which
was observed after Spm application. Put or Spd application as well as NO short
term pretreatment of apple embryos influenced level of ubiquitin-conjugated
proteins. Higher abundance of such modified proteins correlated well to the
declined content of nitrated proteins, suggesting their important role in
regulation of embryo germination. NO led to stimulation of embryos germination by
increasing level of free PAs (mostly Put). While transcriptomic approach showed
down regulation of Spm synthesis and up-regulation of Spm degradation by NO,
confirming negative role of Spm over-accumulation in embryo dormancy removal. Our
data clearly indicate positive relationship of NO-Put/Spd acting as dormancy
removing factors.
PMID- 27890689
TI - Developmental toxicity of nicotine: A transdisciplinary synthesis and
implications for emerging tobacco products.
AB - While the health risks associated with adult cigarette smoking have been well
described, effects of nicotine exposure during periods of developmental
vulnerability are often overlooked. Using MEDLINE and PubMed literature searches,
books, reports and expert opinion, a transdisciplinary group of scientists
reviewed human and animal research on the health effects of exposure to nicotine
during pregnancy and adolescence. A synthesis of this research supports that
nicotine contributes critically to adverse effects of gestational tobacco
exposure, including reduced pulmonary function, auditory processing defects,
impaired infant cardiorespiratory function, and may contribute to cognitive and
behavioral deficits in later life. Nicotine exposure during adolescence is
associated with deficits in working memory, attention, and auditory processing,
as well as increased impulsivity and anxiety. Finally, recent animal studies
suggest that nicotine has a priming effect that increases addiction liability for
other drugs. The evidence that nicotine adversely affects fetal and adolescent
development is sufficient to warrant public health measures to protect pregnant
women, children, and adolescents from nicotine exposure.
PMID- 27890697
TI - A Stem Cell Donor for Every Adult Requiring an Allograft for Acute Lymphoblastic
Leukemia?
PMID- 27890698
TI - Clementine juice has the potential for drug interactions - In vitro comparison
with grapefruit and mandarin juice.
AB - Adverse drug interactions due to grapefruit juice are well known prompting
warnings even in drug labels. Similar issues have not been reported for
clementines and available data is scarce, despite of genetic descent. We observed
substantially increased tacrolimus trough concentrations in a renal transplant
patient consuming high clementine amounts and, thus, scrutinised the effects of
clementine juice on drug metabolism and drug transporters in vitro and compared
it to the effects of mandarin and grapefruit juice. All citrus juices profoundly
induced several drug transporters and drug metabolising enzymes, whereas the
effects of grapefruit juice were most pronounced (e.g. 156-fold and 34-fold
induction of cytochrome P450 (CYP) 3A4 mRNA by grapefruit juice and clementine
juice, respectively). However, the juices also inhibited e.g. CYP3A4, raising the
question which effect prevails in vivo. Using an enzymatic activity assay, we
demonstrated that at least in vitro CYP3A4 inhibition prevails for both
grapefruit and clementine juice, whereas for CYP1A2 induction appears to
predominate. Thus, inhibition of CYP3A4 is presumably the underlying reason for
the observed increase in the concentrations of the CYP3A4 substrate tacrolimus in
the patient. Taken together, our data indicate that clementine juice as well as
grapefruit juice and to a lesser extent also mandarin juice can induce several
important drug metabolising enzymes and drug transporters, but also inhibit some
of these proteins. Our data indicate that clementine juice similar to grapefruit
juice bears the potential for profound interactions with drugs potentially
leading to adverse drug effects e.g. through over-exposure to CYP3A4 substrates.
PMID- 27890699
TI - Ayurvedic management of spondyloepiphyseal dysplasia tarda, a rare hereditary
disorder.
AB - Spondyloepiphyseal dysplasia tarda (SEDT) is a rare genetic disease in which
patient suffers from short stature, short trunk and neck with disproportionately
long arms, coxa vara, skeletal features such as barrel shaped chest, kyphosis,
scoliosis and early arthropathy. Only limited medical and surgical management is
available in modern medicine. A 15 years old male suffering from SEDT and
diagnosed as Vata vyadhi was treated with Panchakarma therapy and selected
Ayurvedic oral medicines. Ayurvedic treatment was directed to ameliorate the
orthopaedic clinical conditions in this case. Panchakarma procedures such as
Shalishastika pinda svedana for a month and Mustadi yapana basti for 16 days were
given along with oral Ayurvedic medicines. Same Panchakarma procedures were
repeated after an interval of 2 months. A combination of Ayurvedic oral medicines
such as Trayodashanga guggulu-500 mg twice a day, Dashmool kvatha (decoction of
roots of 10 herbs) 40 ml twice a day, Eranda paka 10 g twice a day, Shiva gutika
500 mg twice a day and Dashmoolarista-20 ml (with equal water) twice a day were
prescribed. Eight scales based Medical outcome study (MOS) - 36 item short form -
health surveys was assessed for outcome which shows good improvement. Kyphosis,
scoliosis and pain were moderately reduced. Clinical experience of this case
indicates that Ayurvedic herbs along with Panchakarma can play a major role in
the management of hereditary disorder SEDT.
PMID- 27890696
TI - Nitric oxide synthase and structure-based inhibitor design.
AB - Once it was discovered that the enzyme nitric oxide synthase (NOS) is responsible
for the biosynthesis of NO, NOS became a drug target. Particularly important is
the over production of NO by neuronal NOS (nNOS) in various neurodegenerative
disorders. After the various NOS isoforms were identified, inhibitor development
proceeded rapidly. It soon became evident, however, that isoform selectivity
presents a major challenge. All 3 human NOS isoforms, nNOS, eNOS (endothelial
NOS), and iNOS (inducible NOS) have nearly identical active site structures thus
making selective inhibitor design especially difficult. Of particular importance
is the avoidance of inhibiting eNOS owing to its vital role in the cardiovascular
system. This review summarizes some of the history of NOS inhibitor development
and more recent advances in developing isoform selective inhibitors using
primarily structure-based approaches.
PMID- 27890700
TI - Biochanin-A attenuates neuropathic pain in diabetic rats.
AB - BACKGROUND: Soya supplements are used in the treatment of neuropathic pain.
Previous reports reveal that consumption of soy diet before nerve injury prevents
the development of neuropathic pain in rats. Biochanin-A, a soy isoflavone, has a
naturally occurring inhibitor of fatty acid amide hydrolase (FAAH) that
metabolized endocannabinoids. OBJECTIVE: The objective was to evaluate efficacy
of biochanin-A in streptozotocin (STZ) induced neuropathic pain in rat model.
MATERIALS AND METHODS: Diabetes mellitus was induced by an injection of STZ at a
dose of 45 mg/kg, i.v. into tail vein of male albino Wistar rats. Biochanin-A was
dosed at 0.1, 1 and 5 mg/kg by intraperitoneal (i.p.) administration in diabetic
neuropathic rats. Mechanical hyperalgesia and allodynia was measured using
Randall-Selitto analgesymeter and manual von Frey filaments of increasing weights
respectively. Paw withdrawal threshold (PWT) and percent PWT was determined with
respect to both hyperalgesia and allodynia. RESULTS: Treatment of biochanin-A at
three different levels of 0.1, 1 and 5 mg/kg had not significantly altered serum
glucose levels throughout the treatment period. In hyperalgesia study, acute
treatment with higher dose exhibited 51.1% reversal of paw withdrawal threshold
(PWT) while with chronic treatment, efficacy declined to 22.5% reversal of PWT.
In allodynia study, acute treatment reversed PWT by 79.4% while with chronic
treatment, efficacy was raised to 88.2% reversal of PWT. CONCLUSION: Biochanin-A
demonstrated better efficacy in reversing mechanical allodynia than mechanical
hyperalgesia. Biochanin-A could be a good drug candidate for further studies to
establish the mechanism of attenuation of neuropathic pain.
PMID- 27890701
TI - Evaluation for substitution of stem bark with small branches of Myrica esculenta
for medicinal use - A comparative phytochemical study.
AB - BACKGROUND: Over exploitation of many traditional medicinal plants like Myrica
esculenta has become a threat and in the near future, many medicinal plants may
be unavailable for use of industry. OBJECTIVE: Present study outlines the concept
of plant part substitution. Stem bark and small branches of M. esculenta are
compared on the basis of physicochemical analysis, phytochemical analysis, total
phenolic contents, total flavonoid contents and high performance thin layer
chromatography (HPTLC) to evaluate the possibilities of using small branches in
place of stem bark. MATERIAL AND METHODS: Physicochemical parameters and
preliminary phytochemical screening were carried out using standard methods.
Total phenolic and total flavonoid contents were estimated spectrophotometrically
using Folin-Ciocalteu and aluminum chloride method, respectively. CAMAG HPTLC
system equipped with semi-automatic applicator was used for HPTLC profiling. n
Hexane, ethyl acetate and ethanol extracts of stem bark and small branches were
developed in suitable mobile phase using standard procedures and visualized in UV
254 and 366 nm and in white light after derivatization within anisaldehyde
sulphuric acid reagent. RESULTS: Phytochemical analysis and HPTLC profile of
different extracts showed the presence of almost similar phytochemicals in both
stem bark and small branches. CONCLUSION: Similarities in phytochemical analysis
and HPTLC profile of various extracts suggests that small branches may be used in
place of stem bark. The study provides the base for further study to use small
branches as a substitute of stem bark of M. esculenta.
PMID- 27890702
TI - FOXOs in the impaired heart: New therapeutic targets for cardiac diseases.
AB - Cardiac diseases have a high morbidity and mortality and affect the global
population. Based on recent accumulating evidence, Forkhead box O (FOXOs) play
important roles in cardiac diseases. Therefore, a summary of the current
literature on the molecular mechanisms and roles of FOXOs in the heart will
provide valuable information. In this review, we first briefly introduce the
molecular features of FOXOs. Then, we discuss the regulation and cardiac actions
of the FOXO pathways. Based on this background, we expand our discussion to the
roles of FOXOs in several major cardiac diseases, such as ischemic cardiac
diseases, diabetic cardiomyopathy and myocardial hypertrophy. Then, we describe
some methodological problems associated with the FOXO gene-modified animal
models. Finally, we discuss potential future directions. The information reviewed
here may be significant for the design of future studies and may increase the
potential of FOXOs as therapeutic targets.
PMID- 27890703
TI - Vitamin B6 metabolism in microbes and approaches for fermentative production.
AB - Vitamin B6 is a designation for the six vitamers pyridoxal, pyridoxine,
pyridoxamine, pyridoxal 5'-phosphate (PLP), pyridoxine 5'-phosphate, and
pyridoxamine. PLP, being the most important B6 vitamer, serves as a cofactor for
many proteins and enzymes. In contrast to other organisms, animals and humans
have to ingest vitamin B6 with their food. Several disorders are associated with
vitamin B6 deficiency. Moreover, pharmaceuticals interfere with metabolism of the
cofactor, which also results in vitamin B6 deficiency. Therefore, vitamin B6 is a
valuable compound for the pharmaceutical and the food industry. Although vitamin
B6 is currently chemically synthesized, there is considerable interest on the
industrial side to shift from chemical processes to sustainable fermentation
technologies. Here, we review recent findings regarding biosynthesis and
homeostasis of vitamin B6 and describe the approaches that have been made in the
past to develop microbial production processes. Moreover, we will describe novel
routes for vitamin B6 biosynthesis and discuss their potential for engineering
bacteria that overproduce the commercially valuable substance. We also highlight
bottlenecks of the vitamin B6 biosynthetic pathways and propose strategies to
circumvent these limitations.
PMID- 27890704
TI - Cryopreservation of adult cervid testes.
AB - Several species of cervids are currently classified as threatened or endangered
due to a rapid decline in their populations. Sperm cryopreservation, in
association with assisted reproductive technologies, can find application for the
conservation of endangered cervids. In cases of unsuccessful sperm retrieval
through other means prior to the death of the animal, adult testis is the only
source of sperm. Recovery of viable sperm from adult testes depends on the
effective preservation of testicular tissues through optimization of
cryopreservation protocols. The present study evaluated combinations of 10%
dimethyl sulfoxide (DMSO) with 0% or 80% fetal bovine serum (FBS) and 20% DMSO
with 0 or 20% FBS for the cryopreservation of testicular tissues of three adult
cervids using uncontrolled slow freezing protocol. The cryopreserved testis was
compared to chilled tissue without cryoprotectants. Results revealed that
testicular tissues of barking deer cryopreserved in 20% DMSO (D20) had all the
analyzed 7 parameters (number of TNP1-, PRM2 and acrosin-expressing cells/tubule
and, the number of viable, morphologically normal, acrosome intact, Annexin V
negative sperm) comparable to the chilled testis. However, testicular tissues of
sambhar and hog deer cryopreserved only in D20S20 had 5 of 7 parameters
comparable to the chilled testis. In conclusion, D20 is acceptable for
cryopreservation of barking deer and D20S20 for sambar and hog deer testes.
PMID- 27890705
TI - Selective rehearsal is affected by the emotionality of the encoding context in
item-method directed forgetting: An event-related potential study.
AB - Emotional items are often remembered more clearly than neutral items. However,
whether stimuli embedded in an emotional context are more resistant to directed
forgetting than those presented in a neutral context remains unclear. This
question was tested by recording event-related potentials (ERPs) in an item
method directed forgetting paradigm involving neutral words that were embedded in
neutral or negative contexts. During the study phase, participants were asked to
associate a neutral word with a negative or neutral picture. A remember (R) or
forget (F) cue was then designated to indicate whether the word was a to-be
remembered (TBR) or to-be-forgotten (TBF) word. In the test phase, participants
were asked to identify all previously presented old words regardless of the R/F
cues. The behavioral results indicated a significant interaction between the
valence of the encoding contexts and the R/F cues. The hit rate was lower for the
TBR words encoded in negative contexts relative to those encoded in neutral
contexts. No such valence effect was observed in the hit rates of the TBF words.
For the ERP data, the R cues elicited a P3b-like effect that has been linked to
the selective rehearsal of the TBR items. This effect was more sustained in the
negative encoding context than in the neutral context. The F cues elicited a
frontal positivity that has been linked to the active inhibition of the TBF
words; however, this positivity was not modulated by the valence of the encoding
context. The sustained P3b-like effect for the R cues in the negative encoding
context might reflect a compensative encoding for the TBR words caused by the
attention-capturing negative contexts. Therefore, we argue that the emotional
context affected the selective elaboration of the TBR words; however, we also
argue that there was no supportive evidence of an emotional effect on the
forgetting of TBF items.
PMID- 27890706
TI - The sphingosine-1-phosphate receptor: A novel therapeutic target for multiple
sclerosis and other autoimmune diseases.
AB - Multiple sclerosis (MS) is a prototype autoimmune disease of the central nervous
system (CNS). Currently, there is no drug that provides a cure for MS. To date,
all immunotherapeutic drugs target relapsing remitting MS (RR-MS); it remains a
daunting medical challenge in MS to develop therapy for secondary progressive MS
(SP-MS). Since the approval of the non-selective sphingosine-1-phosphate (S1P)
receptor modulator FTY720 (fingolimod [Gilenya(r)]) for RR-MS in 2010, there have
been many emerging studies with various selective S1P receptor modulators in
other autoimmune conditions. In this article, we will review how S1P receptor may
be a promising therapeutic target for SP-MS and other autoimmune diseases such as
psoriasis, polymyositis and lupus.
PMID- 27890707
TI - Novel mutation in DOCK8-HIES with severe phenotype and successful
transplantation.
AB - BACKGROUND: Hyper-IgE syndrome (HIES) due to DOCK8 deficiency is an autosomal
recessive (AR) primary combined immunodeficiency which results in significant
morbidity and mortality at a young age. Different mutations in the DOCK8 gene can
lead to variable severity of the disease. OBJECTIVE: We evaluated the genetic
mutations in three related patients with severe clinical manifestations
suggestive of AR HIES. We also explored whether treatment with stem cell
transplantation could lead to complete disease resolution. METHOD: We examined
the clinical manifestations and immunological workup of these patients. Their DNA
was also screened for causative mutation. Post transplantation, clinical and
immunological data for the transplanted patient was also collected. RESULTS: All
patients had a severe course of the disease with rarely reported severe
complications in HIES. One patient died with lymphoma while another died with
progressive multifocal leukoencephalopathy (PML) due to a slow virus. All our
patients had two novel mutations in the DOCK8 gene. One of these mutations was a
novel pathogenic mutation and explains the severity of the disease (homozygous
splice site mutation at position 5 after the end of exon 45), while the other
mutation was mostly non-pathogenic. Hematopoietic stem cell transplantation
(HSCT) was performed in the youngest patient with excellent engraftment and full
reversibility of the clinical manifestations. CONCLUSION: We report 3 patients
from a consanguineous family diagnosed with AR-HIES due to a novel pathogenic
mutation in DOCK8 gene leading to fatal outcome in 2 patients and complete
resolution of the clinical and immunological features in the third patient by
HSCT.
PMID- 27890708
TI - Fbxl18 targets LRRK2 for proteasomal degradation and attenuates cell toxicity.
AB - Dominantly inherited mutations in leucine-rich repeat kinase 2 (LRRK2) are the
most common causes of familial Parkinson's disease (PD) and LRRK2 polymorphisms
are associated with increased risk for idiopathic PD. However, the molecular
mechanisms by which these mutations cause PD remain uncertain. In vitro studies
indicate that disease-linked mutations in LRRK2 increase LRRK2 kinase activity
and LRRK2-mediated cell toxicity. Identifying LRRK2-interacting proteins and
determining their effects on LRRK2 are important for understanding LRRK2 function
and for delineating the pathophysiological mechanisms of LRRK2 mutations. Here we
identified a novel protein, F-box and leucine-rich repeat domain-containing
protein 18 (Fbxl18) that physically associates with LRRK2. We demonstrated that
Fbxl18 is a component of a Skp1-Cullin1-F-box ubiquitin ligase complex that
regulates the abundance of LRRK2 by selectively targeting phosphorylated LRRK2
for ubiquitination and proteasomal degradation. Knockdown of endogenous Fbxl18
stabilized LRRK2 abundance while protein kinase C activation enhanced LRRK2
degradation by Fbxl18. Dephosphorylation of LRRK2 blocked Fbxl18 association with
LRRK2. Taken together, we have identified potential mechanisms for LRRK2
regulation by kinase signaling pathways. Furthermore, Fbxl18 prevented caspase
activation and cell death caused by LRRK2 and PD-linked mutant LRRK2. This
reveals novel targets for developing potential therapies for familial and
idiopathic PD.
PMID- 27890710
TI - In vivo and vitro characterization of the effects of kisspeptin-13, endogenous
ligands for GPR54, on mouse gastrointestinal motility.
AB - Kisspeptin (KP), the endogenous ligand of GPR54, is a mammalian amidated
neurohormone, which belongs to the RF-amide peptide family. However, in contrast
with the related members of the RF-amide family, little information is available
regarding its role in the gastrointestinal motility. With regard to the recent
data suggesting KP play an important role in food intake, and while
gastrointestinal motility are closely related to it. Thus, in the present work,
effects of central administration of KP-13, one of the endogenous active
isoforms, on gastrointestinal motility were investigated. The results indicated
that intracerebroventricular (i.c.v.) infused of KP-13 significantly facilitated
gastrointestinal transit, bead expulsion and fecal pellet output, respectively,
while has no effect on gastric emptying. The effects were significantly reversed
by GPR54 antagonist 234, but not GnRH receptor antagonist Cetrorelix. However,
i.p. injected of KP-13 or compound 5 (10mg/kg), a high metabolic stability
kisspeptin analog, did not affect gastrointestinal transit, suggesting that KP-13
or compound 5 facilitated gastrointestinal transit through the activation of
central GPR54. Then the gastrointestinal motility-enhancing effects were also
presented after infusion of KP-13 into the hypothalamus. In vitro, KP-13 (10-6M)
also modulated colonic contraction, but not in the stomach and small intestine.
Similarly, KP-13 (10-6M)-induced contractions of circular and longitudinal
colonic muscle were significantly attenuated by antagonist 234 (10-6M). In
conclusion, all the results indicated that KP-13 promoted gastrointestinal
motility through the activation of GPR54, which implicate that KP/GPR54 system
might be a new target to treat gastrointestinal function disorder.
PMID- 27890709
TI - Role of major and brain-specific Sgce isoforms in the pathogenesis of myoclonus
dystonia syndrome.
AB - Loss-of-function mutations in SGCE, which encodes epsilon-sarcoglycan (epsilon
SG), cause myoclonus-dystonia syndrome (OMIM159900, DYT11). A "major" epsilon-SG
protein derived from CCDS5637.1 (NM_003919.2) and a "brain-specific" protein,
that includes sequence derived from alternative exon 11b (CCDS47642.1,
NM_001099400.1), are reportedly localized in post- and pre-synaptic membrane
fractions, respectively. Moreover, deficiency of the "brain-specific" isoform and
other isoforms derived from exon 11b may be central to the pathogenesis of DYT11.
However, no animal model supports this hypothesis. Gene-trapped ES cells (CMHD
GT_148G1-3, intron 9 of NM_011360) were used to generate a novel Sgce mouse model
(C57BL/6J background) with markedly reduced expression of isoforms derived from
exons 3' to exon 9 of NM_011360. Among those brain regions analyzed in adult
(2month-old) wild-type (WT) mice, cerebellum showed the highest relative
expression of isoforms incorporating exon 11b. Homozygotes
(SgceGt(148G1)Cmhd/Gt(148G1)Cmhd or SgceGt/Gt) and paternal heterozygotes
(Sgcem+/pGt, m-maternal, p-paternal) showed 60 to 70% reductions in expression of
total Sgce. Although expression of the major (NM_011360) and brain-specific
(NM_001130189) isoforms was markedly reduced, expression of short isoforms was
preserved and relatively small amounts of chimeric epsilon-SG/beta-galactosidase
fusion protein was produced by the Sgce gene-trap locus. Immunoaffinity
purification followed by mass spectrometry assessments of Sgcem+/pGt mouse brain
using pan- or brain-specific epsilon-SG antibodies revealed significant
reductions of epsilon-SG and other interacting sarcoglycans. Genome-wide gene
expression data using RNA derived from adult Sgcem+/pGt mouse cerebellum showed
that the top up-regulated genes were involved in cell cycle, cellular
development, cell death and survival, while the top down-regulated genes were
associated with protein synthesis, cellular development, and cell death and
survival. In comparison to WT littermates, Sgcem+/pGt mice exhibited "tiptoe"
gait and stimulus-induced appendicular posturing between Postnatal Days 14 to 16.
Abnormalities noted in older Sgcem+/pGt mice included reduced body weight,
altered gait dynamics, and reduced open-field activity. Overt spontaneous or
stimulus-sensitive myoclonus was not apparent on the C57BL/6J background or mixed
C57BL/6J-BALB/c and C57BL/6J-129S2 backgrounds. Our data confirm that mouse Sgce
is a maternally imprinted gene and suggests that short Sgce isoforms may
compensate, in part, for deficiency of major and brain-specific Sgce isoforms.
PMID- 27890711
TI - The endogenous lipid N-arachidonoyl glycine is hypotensive and nitric oxide-cGMP
dependent vasorelaxant.
AB - N-arachidonoyl glycine (NAGLY), is the endogenous lipid that activates the G
protein-couple receptor 18 (GPR18) with vasodilatory activity in resistance
arteries. This study investigates its hemodynamic effects and mechanisms of
vasorelaxation. Hemodynamic effects of NAGLY in rats were assessed using a Biopac
system and its vascular responses were assessed using a wire myograph. NAGLY
(1mg/kg) decreased blood pressure by 69.4+/-5.5% and reduced renal blood flow by
88+/-12% and the effects were not sensitive to inhibition by O-1918 (3mg/kg). In
resistant vessels, NAGLY (1-30uM) induced concentration- and endothelium
dependent vasorelaxation and the effect was inhibited by the nitric oxide
synthase inhibitor, L-NAME (300uM), a cGMP synthase inhibitor, ODQ (10uM), the
antagonists of "endothelial anandamide" receptor, rimonabant (3uM) and O-1918
(10uM) and the inhibitor of Na+/Ca2+ exchanger (NCX), KB-R7943 (10uM). On the
other hand, NAGLY-induced vasorelaxation was not affected by CID 16020046 (GPR55
antagonist), AM 251 (cannabinoid CB1 receptor antagonist), AM 630 (cannabinoid
CB2 receptor antagonist), capsazepine (TRPV1 antagonist), indomethacin
(cyclooxygenase inhibitor), TRAM34 (IKCa channel blocker), iberiotoxin (BKCa
channel blocker) and GW9662 (PPAR? antagonist). At low concentrations of
carbachol, NAGLY potentiated carbachol-induced vasorelaxation. NAGLY is an
endothelium-dependent vasodilator and hypotensive lipid. The vasorelaxation is
predominantly via activation of nitric oxide-cGMP pathway and NCX and probably
mediated by the "endothelial anandamide" receptor, while the hypotensive effect
of NAGLY appears not to involve the anandamide receptor. NAGLY also potentiates
carbachol-induced vasorelaxation, the mechanism of which might involve
stimulation of NO release.
PMID- 27890712
TI - Quantitative CT Measures of Bronchiectasis in Smokers.
AB - BACKGROUND: Bronchiectasis is frequent in smokers with COPD; however, there are
only limited data on objective assessments of this process. The objective was to
assess bronchovascular morphology, calculate the ratio of the diameters of
bronchial lumen and adjacent artery (BA ratio), and identify those measurements
able to discriminate bronchiectasis. METHODS: We collected quantitative CT (QCT)
measures of BA ratios, peak wall attenuation, wall thickness (WT), wall area, and
wall area percent (WA%) at matched fourth through sixth airway generations in 21
ever smokers with bronchiectasis (cases) and 21 never-smoking control patients
(control airways). In cases, measurements were collected at both bronchiectatic
and nonbronchiectatic airways. Logistic analysis and the area under receiver
operating characteristic curve (AUC) were used to assess the predictive ability
of QCT measurements for bronchiectasis. RESULTS: The whole-lung and fourth
through sixth airway generation BA ratio, WT, and WA% were significantly greater
in bronchiectasis cases than control patients. The AUCs for the BA ratio to
predict bronchiectasis ranged from 0.90 (whole lung) to 0.79 (fourth-generation).
AUCs for WT and WA% ranged from 0.72 to 0.75 and from 0.71 to 0.75. The artery
diameters but not bronchial diameters were smaller in bronchiectatic than both
nonbronchiectatic and control airways (P < .01 for both). CONCLUSIONS: Smoking
related increases in the BA ratio appear to be driven by reductions in vascular
caliber. QCT measures of BA ratio, WT, and WA% may be useful to objectively
identify and quantify bronchiectasis in smokers. TRIAL REGISTRY:
ClinicalTrials.gov; No.: NCT00608764; URL: www.clinicaltrials.gov.
PMID- 27890713
TI - Allogeneic Human Mesenchymal Stem Cells in Patients With Idiopathic Pulmonary
Fibrosis via Intravenous Delivery (AETHER): A Phase I Safety Clinical Trial.
AB - BACKGROUND: Despite Food and Drug Administration approval of 2 new drugs for
idiopathic pulmonary fibrosis (IPF), curative therapies remain elusive and
mortality remains high. Preclinical and clinical data support the safety of human
mesenchymal stem cells as a potential novel therapy for this fatal condition. The
Allogeneic Human Cells (hMSC) in patients with Idiopathic Pulmonary Fibrosis via
Intravenous Delivery (AETHER) trial was the first study designed to evaluate the
safety of a single infusion of bone marrow-derived mesenchymal stem cells in
patients with idiopathic pulmonary fibrosis. METHODS: Nine patients with mild to
moderate IPF were sequentially assigned to 1 of 3 cohorts and dosed with a single
IV infusion of 20, 100, or 200 * 106 human bone marrow-derived mesenchymal stem
cells per infusion from young, unrelated, men. All baseline patient data were
reviewed by a multidisciplinary study team to ensure accurate diagnosis. The
primary end point was the incidence (at week 4 postinfusion) of treatment
emergent serious adverse events, defined as the composite of death, nonfatal
pulmonary embolism, stroke, hospitalization for worsening dyspnea, and clinically
significant laboratory test abnormalities. Safety was assessed until week 60 and
additionally 28 days thereafter. Secondary efficacy end points were exploratory
and measured disease progression. RESULTS: No treatment-emergent serious adverse
events were reported. Two nontreatment-related deaths occurred because of
progression of IPF (disease worsening and/or acute exacerbation). By 60 weeks
postinfusion, there was a 3.0% mean decline in % predicted FVC and 5.4% mean
decline in % predicted diffusing capacity of the lungs for carbon monoxide.
CONCLUSIONS: Data from this trial support the safety of a single infusion of
human mesenchymal stem cells in patients with mild-moderate IPF. TRIAL REGISTRY:
ClinicalTrials.gov; No.: NCT02013700; URL: www.clinicaltrials.gov.
PMID- 27890715
TI - Effects of atrazine on ovarian growth, in the estuarine crab Neohelice granulata.
AB - Atrazine, a herbicide that is intensively used in Argentina, was assayed to
evaluate the alteration of reproduction in a wild species of crustaceans. Adult
females of the estuarine crab Neohelice granulata were exposed to formulated
atrazine during the 3-month pre-reproductive period. Three atrazine
concentrations (0.03, 0.3 and 3mg/L) were assayed, together with a water dilution
control. At the end of the exposure period, several variables concerning the
energetic status of animals were measured, such as weight gain, glycemia, and
energy reserves in both muscle and hepatopancreas. The gonadosomatic index (GSI)
was also determined, as well as the proportion and relative area of each oocyte
type in histological sections. Besides, the total content of vitellogenin
proteins (Vg) in both ovary and hepatopancreas was measured. A significant
(p<0.05) decrease of glycogen content was observed in muscle, while a significant
(p<0.05) lower area of both previtellogenic and vitellogenic oocytes was verified
in the ovary by effect of atrazine, in correspondence with a Vg content
significantly (p<0.05) diminished in the ovary and augmented in the
hepatopancreas. Besides, a higher proportion of previtellogenic oocytes were seen
by effect of atrazine. Taken together, these results indicate a clear reduction
and delay in the ovarian growth of the studied species, during the period at
which the ovary normally grows up prior to spawning. On the other hand, a
decrease of Vg content was observed at 0.3 and 3mg/L of atrazine after 24-h in
vitro assays carried out with ovarian explants, providing additional evidence
about the inhibitory role of this herbicide on the ovarian growth. These results
encourage future research on deleterious effects of atrazine on crustacean
reproduction.
PMID- 27890714
TI - A Randomized Trial of the Amikacin Fosfomycin Inhalation System for the
Adjunctive Therapy of Gram-Negative Ventilator-Associated Pneumonia: IASIS Trial.
AB - BACKGROUND: Clinical failures in ventilator-associated pneumonia (VAP) caused by
gram-negative bacteria are common and associated with substantial morbidity,
mortality, and resource utilization. METHODS: We assessed the safety and efficacy
of the amikacin fosfomycin inhalation system (AFIS) for the treatment of gram
negative bacterial VAP in a randomized double-blind, placebo-controlled, parallel
group, phase 2 study between May 2013 and March 2016. We compared standard of
care in each arm plus 300 mg amikacin/120 mg fosfomycin or placebo (saline),
delivered by aerosol twice daily for 10 days (or to extubation if < 10 days) via
the investigational eFlow Inline System (PARI GmbH). The primary efficacy end
point was change from baseline in the Clinical Pulmonary Infection Score (CPIS)
during the randomized course of AFIS/placebo, using the subset of patients with
microbiologically proven baseline infections with gram-negative bacteria.
RESULTS: There were 143 patients randomized: 71 to the AFIS group, and 72 to the
placebo group. Comparison of CPIS change from baseline between treatment groups
was not different (P = .70). The secondary hierarchical end point of no mortality
and clinical cure at day 14 or earlier was also not significant (P = .68) nor was
the hierarchical end point of no mortality and ventilator-free days (P = .06).
The number of deaths in the AFIS group was 17 (24%) and 12 (17%) in the placebo
group (P = .32). The AFIS group had significantly fewer positive tracheal
cultures on days 3 and 7 than placebo. CONCLUSIONS: In this trial of adjunctive
aerosol therapy compared with standard of care IV antibiotics in patients with
gram-negative VAP, the AFIS was ineffective in improving clinical outcomes
despite reducing bacterial burden. TRIAL REGISTRY: ClinicalTrials.gov; No.:
NCT01969799; URL: www.clinicaltrials.gov.
PMID- 27890716
TI - A field study of hemolymph yolk protein levels in a bivalve (Unio tumidus) and
future considerations for bivalve yolk protein as endocrine biomarker.
AB - Induction of yolk protein in male fish is a recognized biomarker for estrogenic
exposure because the estrogen-dependent induction mechanism is well investigated
and there is a clear difference in yolk protein levels of unexposed males and
females. Attempts have been made to use induction of bivalve yolk protein as
biomarker for estrogenic exposure. However, several biomarker validation criteria
have not yet been investigated e.g. an in-depth understanding of the induction
mechanism and background variability is needed and reliable detection assays are
yet to be developed. To obtain background knowledge about yolk protein levels
freshwater bivalves (Unio tumidus) were collected in an uncontaminated Danish
lake over the course of a year (33 collection dates). The hemolymph yolk protein
concentration of 569 individuals was determined by a species specific enzyme
linked immunosorbent assay (ELISA) and male and female gonadal development cycles
were established. The average yolk protein levels of males and females collected
at each sampling date overlapped in some periods; the male and female range was
66,946 - 169,692 ng/mL and 88,731 - 681,667 ng/mL, respectively. Because male and
female hemolymph yolk protein levels overlap, great care should be taken if yolk
protein induction in bivalve hemolymph is considered as endocrine biomarker.
PMID- 27890717
TI - Ecdysone receptor (EcR) and ultraspiracle (USP) genes from the cyclopoid copepod
Paracyclopina nana: Identification and expression in response to water
accommodated fractions (WAFs).
AB - Ecdysteroid hormones are pivotal in the development, growth, and molting of
arthropods, and the hormone pathway is triggered by binding ecdysteroid to a
heterodimer of the two nuclear receptors; ecdysone receptors (EcR) and
ultraspiracle (USP). We have characterized EcR and USP genes, and their 5'
untranslated region (5'-UTR) from the copepod Paracyclopina nana, and studied
mRNA transcription levels in post-embryonic stages and in response to water
accommodated fractions (WAFs) of crude oil. The open reading frames (ORF) of EcR
and USP were 1470 and 1287bp that encoded 490 and 429 amino acids with molecular
weight of 121.18 and 105.03kDa, respectively. Also, a well conserved DNA-binding
domain (DBD) and ligand-binding domain (LBD) were identified which confirmed by
phylogenetic analysis. Messenger RNA transcriptional levels of EcR and USP were
developmental stage-specific in early post-embryonic stages (N3-4). However, an
evoked expression of USP was observed throughout copepodid stage and in adult
females. WAFs (40 and 80%) were acted as an ecdysone agonist in P. nana, and
elicited the mRNA transcription levels in adults. Developmental stage-specific
transcriptional activation of EcR and USP in response to WAFs was observed. USP
gene was down-regulated in the nauplius in response to WAF, whereas up-regulation
of USP was observed in the adults. This study represents the first data of
molecular elucidation of EcR and USP genes and their regulatory elements from P.
nana and the developmental stage specific expression in response to WAFs, which
can be used as potential biomarkers for environmental stressors with
ecotoxicological evaluations in copepods.
PMID- 27890718
TI - Thalassaemia screening and confirmation of carriers in parents.
AB - Haemoglobinopathies are among the most common inherited monogenic disorders
worldwide. Thalassaemia screening for carrier status is recommended for adults of
reproductive age if suspected of being at risk. Conventional laboratory methods
for screening include the assessment of haematological indices, and high
performance liquid chromatography, capillary electrophoresis or isoelectric
focusing to measure the levels of HbA2 and HbF, and to identify haemoglobin
variants. Each screening method has its advantages and disadvantages, the main
disadvantage being that none can fully resolve all variants. The complex nature
of the genetics of haemoglobinopathies necessitates expertise in the
interpretation of screening results to evaluate the most likely genotypes, which
must then be confirmed using the DNA diagnosis. This review highlights the limits
and pitfalls of each screening technique, and outlines a rational combination of
different methods to overcome issues in thalassaemia carrier detection.
PMID- 27890719
TI - Casting a smaller net into a bigger donor pool: A single center's experience with
the new kidney allocation system.
AB - The new kidney allocation system (KAS) provides additional allocation points for
candidates with broad HLA sensitization in an effort to increase transplant rates
for this underserved population. Following the implementation of KAS, our center
lowered the HLA antibody threshold for listing unacceptable antigens from a
cytotoxicity crossmatch level to a flow cytometric crossmatch level increasing
Calculated Panel Reactive Antibody (CPRA) values and allocation points, yet
restricting acceptable donor HLA phenotypes. As a result, many sensitized
candidates were transitioned from 50% to 98% CPRA categories into the 99% CPRA
regional share and 100% CPRA national share categories. Exposure to these larger
donor pools significantly increased transplantation with compatible donors for
100% CPRA candidates, but regional sharing was not sufficient to increase
transplantation rates for our 99% CPRA candidates. Competition within the 100%
CPRA cohort identified inequities for 99.99-100.0% CPRA candidates and
highlighted the continued need for desensitization therapies to reduce
immunological barriers and provide transplant opportunities for the most highly
sensitized candidates.
PMID- 27890720
TI - The Lawa model: A sustainable, integrated opisthorchiasis control program using
the EcoHealth approach in the Lawa Lake region of Thailand.
AB - Opisthorchiasis caused by the carcinogenic liver fluke Opisthorchis viverrini is
a major foodborne parasitic zoonotic disease in Thailand and neighboring Mekong
countries. The infection is associated with several hepatobiliary diseases
including cholangiocarcinoma (CCA). The rates of CCA in regions where the
parasite is endemic are unprecedented. Extensive research on various aspects of
opisthorchiasis has been conducted in recent decades. However, the current status
of O. viverrini infection in the country is approaching 85% prevalence in certain
endemic areas even after more than 30years of control programs in Thailand. The
complex life cycle of the fluke, which involves several hosts/environments, makes
it difficult to control by conventional methods. Therefore, a new control
strategy using the EcoHealth/One Health approach named the "Lawa model" was
introduced into the liver fluke endemic Lawa Lake region in Khon Kaen Province.
This program has been underway for over ten years. The program includes treatment
with anthelmintic drugs, novel intensive health education methods both in the
communities and in schools, ecosystem monitoring and active community
participation. We developed the "Liver fluke-free school program" as a part of
the Lawa model with successful results. All key stakeholders were empowered to
obtain competency in their control activities for the sustainability of the
program in the community. Nowadays, the infection rate in the 12 villages
surrounding the lake has declined to less than 10% from an average of 60% at the
start. The Cyprinid fish species now show less than 1% prevalence of infection
compared with a maximum of 70% during the baseline survey. No infected Bithynia
snails in the lake were found during recent field studies. Of the lessons learned
from the Lawa model, the importance of community participation is one practical
and essential component. The key to the success of the project is multi
stakeholder participation with the active local Health Promoting Hospitals and
the village health volunteers. The idea of the Lawa model is on the national
agenda against liver fluke infection and CCA and is being scaled up to work in
larger areas in Thailand. Internationally, the "Lawa model" is one of two
programs that are showcased as successful control programs for helminths by the
WHO Neglected Zoonotic Diseases (NZD4). Several media outlets have broadcast news
reports about the program.
PMID- 27890721
TI - Reactive gamma-ketoaldehydes as novel activators of hepatic stellate cells in
vitro.
AB - AIMS: Products of lipid oxidation, such as 4-hydroxynonenal (4-HNE), are key
activators of hepatic stellate cells (HSC) to a pro-fibrogenic phenotype.
Isolevuglandins (IsoLG) are a family of acyclic gamma-ketoaldehydes formed
through oxidation of arachidonic acid or as by-products of the cyclooxygenase
pathway. IsoLGs are highly reactive aldehydes which are efficient at forming
protein adducts and cross-links at concentrations 100-fold lower than 4
hydroxynonenal. Since the contribution of IsoLGs to liver injury has not been
studied, we synthesized 15-E2-IsoLG and used it to investigate whether IsoLG
could induce activation of HSC. RESULTS: Primary human HSC were exposed to 15-E2
IsoLG for up to 48h. Exposure to 5MUM 15-E2-IsoLG in HSCs promoted cytotoxicity
and apoptosis. At non-cytotoxic doses (50 pM-500nM) 15-E2-IsoLG promoted HSC
activation, indicated by increased expression of alpha-SMA, sustained activation
of ERK and JNK signaling pathways, and increased mRNA and/or protein expression
of cytokines and chemokines, which was blocked by inhibitors of JNK and NF-kB. In
addition, IsoLG promoted formation of reactive oxygen species, and induced an
early activation of ER stress, followed by autophagy. Inhibition of autophagy
partially reduced the pro-inflammatory effects of IsoLG, suggesting that it might
serve as a cytoprotective response. INNOVATION: This study is the first to
describe the biological effects of IsoLG in primary HSC, the main drivers of
hepatic fibrosis. CONCLUSIONS: IsoLGs represent a newly identified class of
activators of HSC in vitro, which are biologically active at concentrations as
low as 500 pM, and are particularly effective at promoting a pro-inflammatory
response and autophagy.
PMID- 27890723
TI - Global gene expression changes in the prefrontal cortex of rabbits with
hypercholesterolemia and/or hypertension.
AB - Although many studies have identified a link between hypercholesterolemia or
hypertension and cognitive deficits, till date, comprehensive gene expression
analyses of the brain under these conditions is still lacking. The present study
was carried out to elucidate differential gene expression changes in the
prefrontal cortex (PFC) of New Zealand white rabbits exposed to
hypercholesterolemia and/or hypertension with a view of identifying gene networks
at risk. Microarray analyses of the PFC of hypercholesterolemic rabbits showed
850 differentially expressed genes (DEGs) in the cortex of hypercholesterolemic
rabbits compared to controls, but only 5 DEGs in hypertensive rabbits compared to
controls. Up-regulated genes in the PFC of hypercholesterolemic rabbits included
CIDEC, ODF2, RNASEL, FSHR, CES3 and MAB21L3, and down-regulated genes included
FAM184B, CUL3, LOC100351029, TMEM109, LOC100357097 and PFDN5. Comparison with our
previous study on the middle cerebral artery (MCA) of the same rabbits showed
many differentially expressed genes in common between the PFC and MCA, during
hypercholesterolemia. Moreover, these genes tended to fall into the same
functional networks, as revealed by IPA analyses, with many identical node
molecules. These include: proteasome, insulin, Akt, ERK1/2, histone, IL12,
interferon alpha and NFkappaB. Of these, PSMB4, PSMD4, PSMG1 were chosen as
representatives of genes related to the proteasome for verification by
quantitative RT-PCR. Results indicate significant downregulation of all three
proteasome associated genes in the PFC. Immunostaining showed significantly
increased number of Abeta labelled cells in layers III and V of the cortex after
hypercholesterolemia and hypertension, which may be due to decreased proteasome
activity and/or increased beta- or gamma-secretase activity. Knowledge of altered
gene networks during hypercholesterolemia and/or hypertension could inform our
understanding of the link between these conditions and cognitive deficits in
vascular dementia or Alzheimer's disease.
PMID- 27890722
TI - Diabetes-induced hepatic oxidative stress: a new pathogenic role for glycated
albumin.
AB - Increased oxidative stress and advanced glycation end-product (AGE) formation are
major contributors to the development of type 2 diabetes. Here plasma proteins
e.g. albumin can undergo glycoxidation and play a key role in diabetes onset and
related pathologies. However, despite recent progress linking albumin-AGE to
increased oxidative stress and downstream effects, its action in metabolic organs
such as the liver remains to be elucidated. The current study therefore
investigated links between oxidative perturbations and biochemical/structural
modifications of plasma albumin, and subsequent downstream effects in transgenic
db/db mouse livers and HepG2 cells, respectively. Our data reveal increased
oxidative stress biomarkers and lipid accumulation in plasma and livers of
diabetic mice, together with albumin glycoxidation. Purified mouse albumin
modifications resembled those typically found in diabetic patients, i.e. degree
of glycation, carbonylation, AGE levels and in terms of chemical composition.
Receptor for AGE expression and reactive oxygen species production were
upregulated in db/db mouse livers, together with impaired proteolytic,
antioxidant and mitochondrial respiratory activities. In parallel, acute exposure
of HepG2 cells to glycated albumin also elicited intracellular free radical
formation. Together this study demonstrates that AGE-modified albumin can trigger
damaging effects on the liver, i.e. by increasing oxidative stress, attenuating
antioxidant capacity, and by impairment of hepatic proteolytic and respiratory
chain enzyme activities.
PMID- 27890724
TI - Clinical characteristics and antimicrobial susceptibilities of anaerobic
bacteremia in an acute care hospital.
AB - This study investigated the clinical features of anaerobic bacteraemia in an
acute-care hospital, and evaluated the antimicrobial susceptibility of these
isolates to commonly available antibiotics. Microbiological and epidemiological
data from 2009 to 2011were extracted from the laboratory information system and
electronic medical records. One hundred and eleven unique patient episodes
consisting of 116 anaerobic isolates were selected for clinical review and
antibiotic susceptibility testing. Susceptibilities to amoxicillin-clavulanate,
clindamycin, imipenem, metronidazole, moxifloxacin, penicillin and piperacillin
tazobactam were performed using Etest strips with categorical interpretations
according to current CLSI breakpoints. Metronidazole-resistant and carbapenem
resistant anaerobic Gram-negative bacilli were screened for the nim and cfiA
genes. Clinical data was obtained retrospectively from electronic medical
records. During the 3 year period, Bacteroides fragilis group (41%), Clostridium
species (14%), Propionibacterium species (9%) and Fusobacterium species (6%) were
the most commonly isolated anaerobes. Patients with anaerobic bacteraemia that
were included in the study were predominantly above 60 years of age, with
community-acquired infections. The most commonly used empiric antibiotic
therapies were beta-lactam/beta-lactamase inhibitor combinations (44%) and
metronidazole (10%). The crude mortality was 25%, and appropriate initial
antibiotic therapy was not significantly associated with improved survival. Intra
abdominal infections (39%) and soft-tissue infections (33%) accounted for nearly
three-quarters of all bacteraemia. Antibiotics with the best anaerobic activity
were imipenem, piperacillin-tazobactam, amoxicillin-clavulanate and
metronidazole, with in-vitro susceptibility rates of 95%, 95%, 94% and 92%
respectively. Susceptibilities to penicillin (31%), clindamycin (60%) and
moxifloxacin (84%) were more variable. Two multidrug-resistant isolates of
Bacteroides species were positive for nim and cfiA genes respectively, while
another two imipenem-resistant Fusobacterium species were negative for cfiA
genes. This study demonstrated that anaerobic bacteraemia in our patient
population was predominantly associated with intra-abdominal and soft-tissue
infections. Overall antibiotic resistance was high for penicillin and
clindamycin, and the presence of emerging resistance to carbapenems and
metronidazole warrants further monitoring.
PMID- 27890726
TI - Sources of antibiotics: Hot springs.
AB - The discovery of antibiotics heralded an era of improved health care. However,
the over-prescription and misuse of antibiotics resulted in the development of
resistant strains of various pathogens. Since then, there has been an incessant
search for discovering novel compounds from bacteria at various locations with
extreme conditions. The soil is one of the most explored locations for
bioprospecting. In recent times, hypersaline environments and symbiotic
associations have been investigated for novel antimicrobial compounds. Among the
extreme environments, hot springs are comparatively less explored. Many
researchers have reported the presence of microbial life and secretion of
antimicrobial compounds by microorganisms in hot springs. A pioneering research
in the corresponding author's laboratory resulted in the identification of the
antibiotic Fusaricidin B isolated from a hot spring derived eubacteria,
Paenibacillus polymyxa, which has been assigned a new application for its anti
tubercular properties. The corresponding author has also reported anti-MRSA and
anti-VRE activity of 73 bacterial isolates from hot springs in India.
PMID- 27890727
TI - Synthetic tambjamine analogues induce mitochondrial swelling and lysosomal
dysfunction leading to autophagy blockade and necrotic cell death in lung cancer.
AB - Current pharmacological treatments for lung cancer show very poor clinical
outcomes, therefore, the development of novel anticancer agents with innovative
mechanisms of action is urgently needed. Cancer cells have a reversed pH gradient
compared to normal cells, which favours cancer progression by promoting
proliferation, metabolic adaptation and evasion of apoptosis. In this regard, the
use of ionophores to modulate intracellular pH appears as a promising new
therapeutic strategy. Indeed, there is a growing body of evidence supporting
ionophores as novel antitumour drugs. Despite this, little is known about the
implications of pH deregulation and homeostasis imbalance triggered by ionophores
at the cellular level. In this work, we deeply analyse for the first time the
anticancer effects of tambjamine analogues, a group of highly effective anion
selective ionophores, at the cellular and molecular levels. First, their effects
on cell viability were determined in several lung cancer cell lines and patient
derived cancer stem cells, demonstrating their potent cytotoxic effects. Then, we
have characterized the induced lysosomal deacidification, as well as, the massive
cytoplasmic vacuolization observed after treatment with these compounds, which is
consistent with mitochondrial swelling. Finally, the activation of several
proteins involved in stress response, autophagy and apoptosis was also detected,
although they were not significantly responsible for the cell death induced.
Altogether, these evidences suggest that tambjamine analogues provoke an
imbalance in cellular ion homeostasis that triggers mitochondrial dysfunction and
lysosomal deacidification leading to a potent cytotoxic effect through necrosis
in lung cancer cell lines and cancer stem cells.
PMID- 27890725
TI - Functional selectivity at G-protein coupled receptors: Advancing cannabinoid
receptors as drug targets.
AB - The phenomenon of functional selectivity, whereby a ligand preferentially directs
the information output of a G-protein coupled receptor (GPCR) along (a)
particular effector pathway(s) and away from others, has redefined traditional
GPCR signaling paradigms to provide a new approach to structure-based drug
design. The two principal cannabinoid receptors (CBRs) 1 and 2 belong to the
class-A GPCR subfamily and are considered tenable therapeutic targets for several
indications. Yet conventional orthosteric ligands (agonists, antagonists/inverse
agonists) for these receptors have had very limited clinical utility due to their
propensity to incite on-target adverse events. Chemically distinct classes of
cannabinergic ligands exhibit signaling bias at CBRs towards individual subsets
of signal transduction pathways. In this review, we discuss the known signaling
pathways regulated by CBRs and examine the current evidence for functional
selectivity at CBRs in response to endogenous and exogenous cannabinergic ligands
as biased agonists. We further discuss the receptor and ligand structural
features allowing for selective activation of CBR-dependent functional responses.
The design and development of biased ligands may offer a pathway to therapeutic
success for novel CBR-targeted drugs.
PMID- 27890728
TI - The role of alkyl chain length of monothiol-terminated alkyl carboxylic acid in
the synthesis, characterization, and application of gelatin-g-poly(N
isopropylacrylamide) carriers for antiglaucoma drug delivery.
AB - : To improve ocular bioavailability and extend pharmacological response, this
study aims to investigate the role of alkyl chain length of monothiol-terminated
alkyl carboxylic acids in the synthesis, characterization, and application of
gelatin-g-poly(N-isopropylacrylamide) (GN) biodegradable in situ gelling carriers
for antiglaucoma drug delivery. In the presence of mercaptoacetic acid (MAA),
mercaptopropionic acid (MPA), mercaptobutyric acid (MBA), or mercaptohexanoic
acid (MHA) as a chain transfer agent, the carboxylic end-capped poly(N
isopropylacrylamide) samples were prepared by free radical polymerization
technique. Our results showed that with increasing alkyl chain length, the
hydrophobicity of thermo-responsive polymer segments significantly increased,
mainly due to an increase in CH stretching frequencies. In addition, the greater
hydrophobic association favored the decrease in both phase transition temperature
and weight loss of GN copolymers, thereby accelerating their temperature
triggered gelation process and retarding the degradation progress under
physiological conditions. The benefits from these features allowed the
pilocarpine carriers to increase drug payload and extend drug release.
Irrespective of carbon number of monothiol-terminated alkyl carboxylic acid, the
synthesized GN materials exhibited high tolerance to corneal endothelial cells
without any evidence of inhibited proliferation, viability loss, inflammatory
stimulation, and functional abnormality, indicating good biocompatibility.
Results of clinical observations and histological examinations demonstrated that
the therapeutic efficacies in treating glaucomatous damage are in response to in
vivo drug release profiles from various intracamerally injected GN carriers. The
research findings suggest the influence of alkyl chain length of chain transfer
agent-mediated polymer hydrophobicity and degradability on pharmacological
bioavailability and action of pilocarpine in a glaucomatous rabbit model.
STATEMENT OF SIGNIFICANCE: Considering that glaucoma is a chronic disease that
requires long-term medical therapy to preserve vision in patients, it is highly
desirable to augment pharmacological bioavailability and govern release profile
by tuning the properties of drug delivery carriers. For the first time, the
present study provide striking evidence that the alkyl chain length of monothiol
terminated alkyl carboxylic acid related to the synthesis of biodegradable in
situ gelling copolymers plays a key role in molecular functionalization of
intracameral delivery systems for ocular administration and controlled release of
antiglaucoma medications. The therapeutic efficacies in treating glaucomatous
damage are in response to in vivo pilocarpine release profiles modulated by the
carbon number of thermo-responsive polymer segment-mediated carrier
hydrophobicity and degradability.
PMID- 27890729
TI - Maturation of human embryonic stem cell-derived cardiomyocytes (hESC-CMs) in 3D
collagen matrix: Effects of niche cell supplementation and mechanical
stimulation.
AB - : Cardiomyocytes derived from human embryonic stem cells (hESC-CMs) are regarded
as a promising source for regenerative medicine, drug testing and disease
modeling. Nevertheless, cardiomyocytes are immature in terms of their contractile
structure, metabolism and electrophysiological properties. Here, we fabricate
cardiac muscle strips by encapsulating hESC-CMs in collagen-based biomaterials.
Supplementation of niche cells at 3% to the number of hESC-CMs enhance the
maturation of the hESC-CMs in 3D tissue matrix. The benefits of adding
mesenchymal stem cells (MSCs) are comparable to that of adding fibroblasts. These
two cell types demonstrate similar effects in promoting the compaction and cell
spreading, as well as expression of maturation markers at both gene and protein
levels. Mechanical loading, particularly cyclic stretch, produces engineered
cardiac tissues with higher maturity in terms of twitch force, elastic modulus,
sarcomere length and molecular signature, when comparing to static stretch or non
stretched controls. The current study demonstrates that the application of niche
cells and mechanical stretch both stimulate the maturation of hESC-CMs in 3D
architecture. Our results therefore suggest that this 3D model can be used for in
vitro cardiac maturation study. STATEMENT OF SIGNIFICANCE: Cardiomyocytes derived
from human embryonic stem cells (hESC-CMs) are regarded as being a promising
source of cells for regenerative medicine, drug testing and disease modeling.
Nevertheless, cardiomyocytes are immature in terms of their contractile
structure, metabolism and electrophysiological properties. In the current study,
we have fabricated cardiac muscle strips by encapsulating hESC-CMs in collagen
based biomaterials and demonstrated that supplementation of mesenchymal niche
cells as well as provision of mechanical loading particularly stretching have
significantly promoted the maturation of the cardiomyocytes and hence improved
the mechanical functional characteristics of the tissue strips. Specifically,
with 3% niche cells including both fibroblasts and mesenchymal stem cells, a more
mature hESC-CMs derived cardiac strip was resulted, in terms of compaction and
spreading of cells, and upregulation of molecular signature in both gene and
protein expression of maturation. Mechanical loading, particularly cyclic
stretch, produces engineered cardiac tissues with higher maturity in terms of
molecular signature markers and functional parameters including twitch force,
elastic modulus and sarcomere length, when comparing with static stretch or non
stretched controls. The current study demonstrates that the application of niche
cells and mechanical stretch both stimulate the maturation of hESC-CMs in 3D
architecture, resulting in more mature cardiac strips. Our results contribute to
bioengineering of functional heart tissue strips for drug screening and disease
modeling.
PMID- 27890730
TI - Cathodic voltage-controlled electrical stimulation of titanium for prevention of
methicillin-resistant Staphylococcus aureus and Acinetobacter baumannii biofilm
infections.
AB - : Antibiotic resistance of bacterial biofilms limits available treatment methods
for implant-associated orthopaedic infections. This study evaluated the effects
of applying cathodic voltage-controlled electrical stimulations (CVCES) of -1.5V
and -1.8V (vs. Ag/AgCl) to coupons of commercially pure titanium (cpTi) incubated
in cultures of methicillin-resistant Staphylococcus aureus (MRSA) and
Acinetobacter baumannii (A. baumannii) as a method of preventing bacterial
attachment. Stimulations were applied for 2, 4, and 8h and coupon-associated and
planktonic colony-forming units (CFU) were enumerated following stimulation.
Compared to open circuit potential (OCP) controls, CVCES for 4h at -1.8V
significantly reduced coupon-associated MRSA CFU by 99.9% (1.30*104vs. 4.45*107,
p=0.047) and A. baumannii coupon-associated CFU by 99.9% (1.64*104vs. 5.93*107,
p=0.001) and reduced planktonic CFU below detectable levels for both strains.
CVCES at -1.8V for 8h also reduced coupon-associated and planktonic CFU below
detectable levels for each strain. CVCES at -1.5V for 4 and 8h, and -1.8V for 2h
did not result in clinically relevant reductions. For 4 and 8h stimulations, the
current density was significantly higher for -1.8V than -1.5V, an effect directly
related to the rate of water and oxygen reduction on the cpTi surface. This
significantly increased the pH, a suspected influence in decreased CFU viability.
The voltage-dependent electrochemical properties of cpTi likely contribute to the
observed antimicrobial effects of CVCES. This study revealed that CVCES of
titanium could prevent coupon-associated and planktonic CFU of Gram-positive MRSA
and Gram-negative A. baumannii from reaching detectable levels in a magnitude
dependent and time-dependent manner. STATEMENT OF SIGNIFICANCE: Periprosthetic
joint infection is a devastating outcome of total joint arthroplasty and has led
to increased patient morbidity and rising healthcare costs. Current treatments
are limited by the growing prevalence of antimicrobial resistant biofilms.
Therefore, there is a growing interest in the prevention of bacterial
colonization of implants. Previous work has shown that cathodic voltage
controlled electrical stimulation (CVCES) of titanium is effective both in vitro
and in vivo as an antimicrobial strategy to eradicate established implant
associated biofilm infections. The present study revealed that CVCES of titanium
coupons also has utility in preventing coupon-associated and planktonic colony
forming units of Gram-positive methicillin-resistant Staphylococcus aureus and
Gram-negative Acinetobacter baumannii from reaching detectable levels in a
magnitude-dependent and time-dependent manner.
PMID- 27890731
TI - Mechanisms of Cdc42-mediated rat MSC differentiation on micro/nano-textured
topography.
AB - : Micro/nano-textured titanium surface topography promotes osteoblast
differentiation and the Wnt/beta-catenin signaling pathway. However, the response
of rat bone mesenchymal stem cells (MSCs) to micro/nano-textured topography, and
the underlying mechanisms of its effects, are not well understood. We
hypothesized that cell division cycle 42 protein (Cdc42), a key member of the Rho
GTPases family, may regulate rat MSCs morphology and osteogenic differentiation
by micro/nano-textured topography, and that crosstalk between Cdc42 and Wnt/beta
catenin is the underlying mechanism. To confirm the hypothesis, we first tested
rat MSCs' morphology, cytoskeleton, and osteogenic differentiation on micro/nano
textured topography. We then examined the cells' Wnt pathway and Cdc42 signaling
activity. The results show that micro/nano-textured topography enhances MSCs'
osteogenic differentiation. In addition, the cells' morphology and cytoskeletal
reorganization were dramatically different on smooth surfaces and
micropitted/nanotubular topography. Ligands of the canonical Wnt pathway, as well
as accumulation of beta-catenin in the nucleus, were up-regulated by micro/nano
textured topography. Cdc42 protein expression was markedly increased under these
conditions; conversely, Cdc42 silencing significantly depressed the enhancement
of MSCs osteogenic differentiation by micro/nano-textured topography. Moreover,
Cdc42si attenuated p-GSK3beta activation and resulted in beta-catenin cytoplasmic
degradation on the micro/nano-textured topography. Our results indicate that
Cdc42 is a key modulator of rat MSCs morphology and cytoskeletal reorganization,
and that crosstalk between Cdc42 and Wnt/beta-catenin signaling though GSK3beta
regulates MSCs osteogenic differentiation by implant topographical cues.
STATEMENT OF SIGNIFICANCE: Topographical modification at micro- and nanoscale is
widely applied to enhance the tissue integration properties of biomaterials.
However, the response of bone mesenchymal stem cells (MSCs) to the micro/nano
textured topography and the underlying mechanisms are not well understood. This
study shows that the micropitted/nanotubular hierarchical topography produced by
etching and anodic oxidation treatment drives fusiform cell morphology,
cytoskeletal reorganization as well as better MSCs osteogenic differentiation.
The cross-talk between Cdc42 pathway and Wnt/beta-catenin pathway though GSK3beta
modulates the osteoinductive effect of the micro/nano-textured topography on
MSCs. This finding sheds light on a novel mechanism involved in micro/nano
textured surface-mediated MSCs osteogenic differentiation and is a major step in
the development of new surface modifications aiming to accelerate and enhance the
process of osseointegration.
PMID- 27890732
TI - Programmed near-infrared light-responsive drug delivery system for combined
magnetic tumor-targeting magnetic resonance imaging and chemo-phototherapy.
AB - : In this study, an intelligent drug delivery system was developed by capping
doxorubicin (DOX)-loaded hollow mesoporous CuS nanoparticles (HMCuS NPs) with
superparamagnetic iron oxide nanoparticles (IONPs). Under near infrared (NIR)
light irradiation, the versatile HMCuS NPs could exploit the merits of both
photothermal therapy (PTT) and photodynamic therapy (PDT) simultaneously. Herein,
the multifunctional IONPs as gatekeeper with the enhanced capping efficiency were
supposed to realize "zero premature release" and minimize the adverse side
effects during the drug delivery in vivo. More importantly, the hybrid metal
nanoplatform (HMCuS/DOX@IONP-PEG) allowed several emerging exceptional
characteristics. Our studies have substantiated the hybrid nanoparticles
possessed an enhanced PTT effect due to coupled plasmonic resonances with an
elevated heat-generating capacity. Notably, an effective removal of IONP-caps
occurred after NIR-induced photo-hyperthermia via weakening of the coordination
interactions between HMCuS-NH2 and IONPs, which suggested the feasibility of
sophisticated controlled on-demand drug release upon exposing to NIR stimulus
with spatial/temporal resolution. Benefiting from the favorable magnetic tumor
targeting efficacy, the in vitro and in vivo experiments indicated a remarkable
anti-tumor therapeutic efficacy under NIR irradiation, resulting from the
synergistic combination of chemo-phototherapy. In addition, T2-weighted magnetic
resonance imaging (MRI) contrast performance of IONPs provided the identification
of cancerous lesions. Based on these findings, the well-designed drug delivery
system via integration of programmed functions will provide knowledge for
advancing multimodality theranostic strategy. STATEMENT OF SIGNIFICANCE: As we
all know, a series of shortcomings of conventional chemotherapy such as limited
stability, rapid clearing and non-specific tumor targeting ability remain a
significant challenge to achieve successful clinical therapeutic efficiency in
cancer treatments. Fortunately, developing drug delivery system under the
assistance of multifunctional nanocarries might be a great idea. For the first
time, we proposed an intelligent drug delivery system by capping DOX-loaded
hollow mesoporous CuS nanoparticles (HMCuS NPs) with multifunctional IONPs to
integrate programmed functions including enhanced PTT effect, sophisticated
controlled drug release, magnetic targeting property and MR imaging. The results
showed HMCuS/DOX@IONP-PEG could significantly enhance anti-tumor therapeutic
efficacy due to the synergistic combination of chemo-phototherapy. By this
delicate design, we believe such smart and extreme versatile all-in-one drug
delivery platform could arouse broad interests in the fields of biomaterials,
nanotechnology, and drug delivery system.
PMID- 27890733
TI - Differences in the onset mode of ventricular tachyarrhythmia between patients
with J wave in anterior leads and those with J wave in inferolateral leads.
AB - BACKGROUND: The pathophysiological mechanism of J wave in anterior leads (A
leads) and inferolateral leads (L-leads) remains unclear. OBJECTIVE: We
investigated the onset mode and circadian distribution of ventricular
tachyarrhythmia (VTA) episodes between patients with early repolarization
syndrome (ERS) and Brugada syndrome (BrS). METHODS: The study enrolled 35
patients with ERS and 52 patients with type 1 BrS with spontaneous ventricular
fibrillation who were divided into 4 groups: ERS(A+L) (n = 15), patients with ERS
who had a non-type 1 Brugada pattern electrocardiogram in any A-leads (second to
fourth intercostal spaces) in control and/or after drug provocation tests; ERS(L)
(n = 20), patients with ERS with J wave only in L-leads; BrS(A) (n = 24),
patients with BrS without J wave in L-leads; and BrS(A+L) (n = 28), patients with
BrS with J wave in L-leads. The onset mode of 206 VTAs obtained from
electrocardiograms or implantable cardioverter-defibrillators and the circadian
distribution of 352 VTAs were investigated in the 4 groups. RESULTS: Three groups
with J wave in A-leads, ERS(A+L), BrS(A), and BrS(A+L), had higher incidences of
nocturnal (63%, 43%, and 47%, respectively) and sudden onset VTAs (67%, 97%, and
86%, respectively) with longer coupling intervals of premature ventricular
contractions (388.8, 397.3, and 385.6 ms, respectively) than the ERS(L) group
with J wave only in L-leads (25%, P = .0019; 19%, P < .0001; and 330.6 ms, P =
.0004, respectively), the last of which mainly displayed VTAs with a short-long
short sequence. CONCLUSION: The onset mode of VTAs was different between patients
with J wave in A-leads and patients with J wave in only L-leads. The underlying
mechanism of J wave may differ between A-leads and L-leads.
PMID- 27890734
TI - Catheter ablation and the left atrial appendage: Should we embrace isolation?
PMID- 27890735
TI - Variability in obstructive sleep apnea: Analysis of pacemaker-detected
respiratory disturbances.
AB - BACKGROUND: Obstructive sleep apnea (OSA) is associated with several
cardiovascular conditions. Some pacemakers feature specific algorithms detecting
respiratory cycles and deriving indices well correlated with the identification
of polysomnography-confirmed severe OSA. OBJECTIVES: The purposes of this study
were to analyze respiratory disturbances measured by a validated algorithm in
clinical practice and to describe their variability over time and their
association with atrial fibrillation. METHODS: Fifty-eight patients implanted
with dual-chamber LivaNova REPLY 200 DR or KORA 100 DR pacemakers measuring a
respiratory disturbance index (RDI) were included. An RDI >20 events per hour of
sleep is well correlated with severe OSA as determined by polysomnography.
Patients with >10% nights with invalid RDI measurements were excluded. RESULTS:
The RDI could be measured during 98% of nights. During a mean follow-up of 187 +/
123 days, the individual mean RDI was 19.9 +/- 12.7 and was superior to 20 in 24
patients (41%). An RDI >20 events/h in at least 1 night was observed in 52
patients (90%). The mean day-to-day RDI variability in individual patients was
19% +/- 21%. Patients with the highest burden of severe OSA (as defined by >=75%
of nights with RDI >20 events/h) were older, had a higher prevalence of
hypertension, and were more often implanted for atrioventricular block than
patients with lower burden of severe OSA. No RDI burden or cutoff was a predictor
of atrial fibrillation occurrence. CONCLUSION: OSA is frequent in patients with a
pacemaker and is reliably detected by pacemakers. OSA is highly variable and
could probably be best analyzed in terms of burden.
PMID- 27890736
TI - To the Editor- Perioperative anticoagulation management during thoracoscopic
ablation.
PMID- 27890737
TI - Reply to the Editor-Perioperative anticoagulation management during thoracoscopic
ablation.
PMID- 27890738
TI - Ventricular arrhythmias associated with left ventricular noncompaction:
Electrophysiologic characteristics, mapping, and ablation.
AB - BACKGROUND: Left ventricular noncompaction (LVNC) is a primary cardiomyopathy
that can present with recurrent ventricular arrhythmias (VAs). Data on the
benefit of catheter ablation of VAs in LVNC are lacking. OBJECTIVE: The purpose
of this study was to describe the electrophysiologic features and outcomes of
catheter ablation of VAs in LVNC. METHODS: The cohort consisted of 9 patients
(age 42 +/- 15 years) with diagnosis of LVNC based on established criteria and VA
(ventricular tachycardia [VT] in 3 and frequent premature ventricular
contractions (PVCs) in 6) despite treatment with a mean of 2 +/- 1 antiarrhythmic
drugs. Ablation sites were identified using a combination of entrainment,
activation, late/fractionated potential ablation, and pace-mapping. RESULTS: A
total of 8 patients (89%) had left ventricular (LV) systolic dysfunction (mean
ejection fraction 40% +/- 13%). Patients who presented with VT had evidence of
abnormal electroanatomic substrate involving the mid- to apical segments of the
LV, which matched the noncompacted myocardial segments identified by
preprocedural magnetic resonance imaging or echocardiography. In patients
presenting with frequent PVCs, the site of origin was identified at the papillary
muscles (50%) and/or basal septal regions (67%). After median follow-up of 4
years (range 1-11) and a mean of 1.8 +/- 1.1 procedures, VAs recurred in 1
patient (11%). Significant improvement in LV function occurred in 4 of 8 cases
(50%). No patients died or underwent heart transplantation. CONCLUSION: The VA
substrate in patients with LVNC and VT typically involves the mid-apical LV
segments, whereas focal PVCs often arise from LV basal-septal regions and/or
papillary muscles. Catheter ablation is safe and effective in achieving good VA
control over long-term follow-up in most patients.
PMID- 27890739
TI - Novel insights into the mechanisms of renal sympathetic denervation-induced
neuromodulation in controlling atrial arrhythmias in canines.
PMID- 27890740
TI - Rotor mapping and ablation: Spinning out of control?
PMID- 27890741
TI - Perisylvian GABA levels in schizophrenia and bipolar disorder.
AB - The aim of this study is to measure GABA levels of perisylvian cortices in
schizophrenia and bipolar disorder patients, using proton magnetic resonance
spectroscopy (1H-MRS). Patients with schizophrenia (n=25), bipolar I disorder (BD
I; n=28) and bipolar II disorder (BD-II; n=20) were compared with healthy
controls (n=30). 1H-MRS data was acquired using a Siemens 3T whole body scanner
to quantify right and left perisylvian structures' (including superior temporal
lobes) GABA levels. Right perisylvian GABA values differed significantly between
groups [chi2=9.62, df: 3, p=0.022]. GABA levels were significantly higher in the
schizophrenia group compared with the healthy control group (p=0.002).
Furthermore, Chlorpromazine equivalent doses of antipsychotics correlated with
right hemisphere GABA levels (r2=0.68, p=0.006, n=33). GABA levels are elevated
in the right hemisphere in patients with schizophrenia in comparison to bipolar
disorder and healthy controls. The balance between excitatory and inhibitory
controls over the cortical circuits may have direct relationship with GABAergic
functions in auditory cortices. In addition, GABA levels may be altered by brain
regions of interest, psychotropic medications, and clinical stage in
schizophrenia and bipolar disorder.
PMID- 27890742
TI - Serum uric acid and impaired cognitive function in community-dwelling elderly in
Beijing.
AB - The relationship between serum uric acid (sUA) and cognitive function is
contradictory. This study assessed the association between sUA and cognitive
impairment in 10,039 community-dwelling subjects aged >=55years living in
Beijing, China. Participants underwent determination of sUA and an evaluation of
cognitive function using the scholarship-adjusted Mini-Mental State Examination
(MMSE): MMSE <=17 for illiterates; MMSE<=20 for primary school graduates
(>=6years of education); and MMSE<=24 for junior school graduates or above
(>=9years of education). Among the 10016 persons with valid MMSE scores, the
prevalence of cognitive impairment was 9.14%. A multivariate logistic regression
model including demographic, clinical and genetic parameters was performed to
assess the relationship between sUA and cognitive impairment. Persons with
cognitive impairment had lower sUA levels than those with normal cognitive
function: (302.30+/-82.80 vs. 312.20+/-84.01MUmol/L, p=0.001). After adjusting
for age, sex, lifestyle, relevant diseases and the apolipoprotein E (APOE)
epsilon4 allele, stepwise logistic regression showed that participants with
higher levels of sUA had a lower risk of cognitive impairment (hazard ratio (HR):
0.78; 95% confidence interval (CI): 0.62-0.96; p=0.022). In this baseline cross
sectional population-based sample, high levels of sUA were associated with a
decreased risk of cognitive impairment.
PMID- 27890743
TI - Considering future pharmacotherapy for PTSD.
AB - Posttraumatic stress disorder (PTSD) is a prevalent, disabling, and often chronic
condition that may develop following exposure to a traumatic event. Despite the
immense social and economic ramifications of PTSD, there has been relatively
little recent development of new pharmacotherapies. The majority of
pharmacological randomized clinical trials (RCTs) that has been conducted are now
dated. Existing treatments for PTSD primarily have come out of research that
tested medications developed for other disorders such as antidepressants, anti
hypertensives, antipsychotics, anticonvulsants, and anxiolytics. With an improved
understanding of the complex pathophysiology of PTSD, we consider why it has
taken so long to identify important targets to advance the field by addressing
the underlying pathophysiology in pharmacological interventions. Exciting
developments include research into PTSD-related abnormalities associated with
dysregulation of adrenergic, hypothalamic-pituitary-adrenocortical,
monoaminergic, peptide, glutamatergic, GABAergic, cannabinoid, opioid, and other
neurotransmitter and neuroendocrine systems. Yet, this is a broad list and there
are many unanswered questions. Current research on biomarkers associated with
different clinical phenotypes of PTSD should lead to novel and more specific
pharmacotherapeutic strategies. In this brief review, we consider key questions
regarding current knowledge on pharmacological treatments for PTSD and highlight
evolving practices in future research.
PMID- 27890744
TI - Gene expression of pro-opiomelanocortin and melanocortin receptors is regulated
in the hypothalamus and mesocorticolimbic system following nicotine
administration.
AB - Pro-opiomelanocortin (POMC)-derived peptides and their receptors have been shown
to play important roles in natural and drug-induced reward and reinforcement.
Reward process may involve the regulation of POMC gene expression and the gene
expression of POMC-derived peptide receptors. The present study investigated the
alterations observed in the transcript levels of POMC, melanocortin 3 (MC3R),
melanocortin 4 (MC4R) and mu-opioid receptors (MOR) in the hypothalamus and
mesocorticolimbic system during nicotine exposure. Rats were injected
subcutaneously for 5days with one of the three doses (0.2, 0.4 or 0.6mg/kg/day,
free base) of nicotine and were decapitated one hour after a challenge dose on
the sixth day. mRNA levels of POMC in the hypothalamus, MC3R in the ventral
tegmental area (VTA), MC4R and MOR in the medial prefrontal cortex (mPFC),
nucleus accumbens, dorsal striatum, amygdala, lateral hypothalamic area and VTA
were measured by quantitative real-time PCR. Our results showed that treatment
with 0.6mg/kg/day nicotine upregulated POMC mRNA in the hypothalamus and MC4R
mRNA in the mPFC. Additionally, all three nicotine doses increased MC3R mRNA
expression in the VTA. On the other hand, none of the nicotine doses altered MOR
mRNA levels in the mesocorticolimbic system and associated limbic structures.
These results suggest that nicotine may enhance melanocortin signaling in the
mesocorticolimbic system and this alteration may be an important mechanism
mediating nicotine reward.
PMID- 27890745
TI - Mini-Open Thoracolumbar Corpectomy: Perioperative Outcomes and Hospital Cost
Analysis Compared with Open Corpectomy.
AB - BACKGROUND: Standard open surgical management of thoracolumbar infection, trauma,
and tumor is associated with significant morbidity. We compared perioperative and
immediate postoperative morbidity of open and mini-open thoracolumbar corpectomy
techniques including direct hospital costs. METHODS: We retrospectively reviewed
medical records of all patients who underwent open or mini-open corpectomy.
Demographics (age, sex, body mass index, primary diagnosis), operative data
(length of surgery, estimated blood loss, blood transfusion), surgical level,
preoperative and postoperative neurologic status (using American Spinal Injury
Association Impairment Scale), immediate perioperative complications (within 30
days postoperatively), overall length of stay from admission, length of stay from
surgery, and total direct hospital costs were tabulated and analyzed. RESULTS:
The study included 43 patients, 20 (46.51%) undergoing open corpectomy and 23
(53.48%) undergoing mini-open corpectomy. Clinical and statistically significant
findings in favor of mini-open corpectomy included lower estimated blood loss
(1305 mL vs. 560 mL, P = 0.0072), less blood transfusion (241 mL vs. 667 mL, P =
0.029), shorter overall length of stay (7.2 days vs. 12.2 days, P = 0.047), and
shorter surgery time (376 minutes vs. 295 minutes, P = 0.035) as well as lower
total direct hospital cost ($34,373 vs. $45,376, P = 0.044). There was no
statistically significant difference in postoperative complications between the 2
groups (medical complications 5% vs. 4.3%, P = 0.891; surgical complications 5%
vs. 8.69%, P = 0.534). CONCLUSIONS: Mini-open TL corpectomy is a safe, cost
effective, clinically effective, and less morbid alternative to standard open
thoracotomy surgical techniques.
PMID- 27890746
TI - Treatment Strategy for Isolated Posterior Inferior Cerebellar Artery Dissection.
AB - OBJECTIVE: Isolated dissections that develop on the posterior inferior cerebellar
artery (PICA) require intensive treatment because of their potential fatality.
However, because of the rarity of these dissections, the optimal treatment has
not yet been established. METHODS: We retrospectively reviewed the clinical
records of all patients who underwent any PICA dissection treatment in our
institute over the last 4 years. Ten patients were enrolled, including 7 patients
with subarachnoid hemorrhage (SAH) and 3 with PICA territory infarction.
Dissection was seen at the proximal portion in 8 patients, whereas the remaining
2 patients showed distal PICA dissecting aneurysms. RESULTS: Among the 7 patients
with hemorrhage, 5 were actively treated (trapping and bypass, 2 patients;
surgical clipping, 1 patient; coil embolization, 2 patients). Conservative
management was performed in the other 2 patients. Among the 3 patients with
infarction, 2 received conservative treatment. Endovascular treatment was
performed in 1 patient, who showed rapid progression, aneurysm formation, and
conversion to massive SAH within 10 days after the initial attack. Although 7
patients showed relatively good outcomes (modified Rankin Scale score, <=2) after
30 days of follow-up, 1 patient had a final modified Rankin Scale score of 3. In
addition, the other 2 patients (1 in each group) died as a result of major SAH.
CONCLUSIONS: Given the dynamic clinical course and potential fatality of PICA
dissection, meticulous evaluation, intensive treatment with a diverse range of
modalities, and proper follow-up are required for patients with PICA dissection
to achieve favorable outcomes.
PMID- 27890747
TI - Delayed Visual Loss and Its Surgical Rescue Following Extracranial-Intracranial
Arterial Bypass and Native Internal Carotid Artery Sacrifice.
AB - BACKGROUND: High-flow extracranial-intracranial (EC-IC) bypass followed by
sacrifice of the native internal carotid artery (ICA) is a recognized treatment
option for giant ICA aneurysm and skull base tumor involving the ICA. Distal
clipping at the supraclinoid portion of the ICA is technically straightforward,
but it can potentially compromise ophthalmic artery (OA) perfusion. Because of
the extensive EC-IC anastomoses with the OA, visual symptoms are fortunately
uncommon. We report a patient who developed complete blindness after distal
trapping of the supraclinoid ICA; it was reversed after emergency clip removal.
CASE DESCRIPTION: Our patient is a 47-year-old man with recurrent nasopharyngeal
carcinoma in close proximity to the left petrosal ICA. The first stage of the
procedure involved an EC-IC bypass using radial artery graft, followed by a
second stage with combined craniofacial excision. Trapping of the native ICA was
achieved using a permanent aneurysm clip placed at the supraclinoid ICA distal to
the origin of the OA. He complained of a new onset of complete left eye visual
loss approximately 6 hours after the distal aneurysm clip was applied. He was
immediately sent to the operating theatre for the removal of the supraclinoid
aneurysm clip. On the next day, his vision improved and left pupil became
reactive again. DISCUSSION: OA flow following ICA trapping is complicated and
precarious. Delayed onset of visual loss is possible. Prompt action by direct
exploration and clip removal is needed and can be effective in reversing
blindness.
PMID- 27890748
TI - Small Unruptured Intracranial Aneurysm (<=5 mm) Associated with Epilepsy: Report
of 2 Cases and Literature Review.
AB - BACKGROUND: Owing to the continuing improvements in imaging technology, an
increasing number of epileptogenic small (<=5 mm) unruptured intracranial
aneurysms (sUIAs) are being diagnosed. However, these sUIAs have not been
systematically described and reviewed until now. CASE DESCRIPTION AND LITERATURE
REVIEW: We report 2 patients with sUIAs who initially presented with complex
partial seizures. Scalp electroencephalography identified the seizure activity as
arising from the mesial temporal/frontal areas, but conventional magnetic
resonance imaging (MRI) was normal in both patients. The diagnosis of sUIA was
achieved by cerebral angiography. One of the patients had idiopathic seizures and
sustained a subarachnoid hemorrhage before the final diagnosis of sUIA. Both of
the patients were treated by surgical clipping without resection of the adjacent
discolored brain tissue, and the seizures were controlled after surgery.
Furthermore, we thoroughly reviewed the relevant literature. We analyzed a total
of 5 documented cases, including out 2 cases, and described the clinical
characteristics, diagnosis, underlying mechanism, treatment, and prognosis of
epileptogenic sUIA. CONCLUSIONS: The seizures caused by sUIAs are most likely
related to subclinical hemorrhages. Angiography may be helpful in identifying
seizures associated with sUIA in patients with normal conventional MRI findings.
For epileptogenic sUIA with normal preoperative MRI, clipping without damaging
the surrounding brain tissue may be sufficient to resolve this complex issue.
PMID- 27890749
TI - The Medial and Lateral Lemnisci: Anatomically Adjoined But Functionally Distinct
Fiber Tracts.
AB - OBJECTIVE: The dense and complex distribution of neural structures in the
brainstem makes it challenging to understand their real configuration. We used
the fiber microdissection technique to show the course of the medial and lateral
lemnisci within the brainstem. Although these structures seem anatomically alike,
they are functionally distinct. METHODS: Fifteen human brainstems and 8 brain
hemispheres (formalin-fixed and previously frozen) were dissected and studied
under the operating microscope by applying the fiber microdissection technique.
RESULTS: We delineated and described the medial and lateral lemnisci, noting
their gross elaborate arrangement. These structures are intimately compact and
closely related to one another in their common trajectory through the tegmenta of
the pons and midbrain. However, we were not able to identify the exact origin and
termination of their fibers or the accurate delimitation between the medial
lemniscus, spinothalamic tract, and lateral lemniscus along their course in the
brainstem. CONCLUSIONS: Using the fiber microdissection technique, we were able
to define a general perspective of the topography and architecture of the medial
and lateral lemnisci in the brainstem. This perspective should be incorporated
into interpretations of magnetic resonance imaging techniques, recognizing both
their benefits and limitations. It should also be applied to surgical planning
and strategies to achieve a safer and more precise microsurgical procedure.
PMID- 27890750
TI - The Use of Social Media Communications in Brain Aneurysms and Subarachnoid
Hemorrhage: A Mixed-Method Analysis.
AB - BACKGROUND: The diagnosis of a ruptured or unruptured brain aneurysm has a
significant impact on patients' quality of life and their psychosocial well
being. As a result, patients and caregivers may resort to social media platforms
for support and education. The aim of this report is to evaluate the use of
social media and the online communications regarding brain aneurysms. METHODS:
Three social media platforms (Facebook, Twitter, and YouTube) were assessed for
public content pertaining to brain aneurysms in March 2016. We conducted a mixed
method analysis that includes a descriptive examination of cross-sectional data
and a qualitative evaluation of online communications for thematic analysis. We
assessed categorized data using nonparametric tests for statistical significance.
RESULTS: Our analyses showed that Facebook was the most highly used social media
platform, with 11 relevant pages and 83 groups. Facebook accounts were all
nonprofit foundations or patient support groups. Most users in Facebook groups
were joining private support groups as opposed to public (P < 0.05). The most
frequently viewed category of YouTube videos was on treatment procedures (P <
0.001). Six prominent themes emerged from the coded data of posts and comments:
inspiration and motivation (27.7%), providing and sharing information (26.3%),
requesting information (14.4%), seeking emotional support (12.1%), admiration
(8.3%), and loss and grief (8.3%). CONCLUSIONS: This study is the first to
provide insight into characteristics and patterns of social media communications
regarding brain aneurysms. These findings should serve to inform the treating
physicians of the needs and expectations of individuals affected by brain
aneurysms.
PMID- 27890751
TI - Atlantoaxial Fixation for Basilar Invagination without Obvious Atlantoaxial
Instability (Group B Basilar Invagination): Outcome Analysis of 63 Surgically
Treated Cases.
AB - BACKGROUND: We discuss the rationale of surgical treatment of group B basilar
invagination by atlantoaxial facet joint stabilization and segmental arthrodesis.
METHODS: From January 2010 to April 2016, 63 patients with group B basilar
invagination were surgically treated. All patients had varying degree of
myelopathy-related functional disability. Fifty-two patients had both Chiari
malformation and syringomyelia. All patients were treated by atlantoaxial plate
and screw fixation with the techniques described by us in 1994 and 2004. Foramen
magnum decompression or syrinx manipulation was not carried out in any patient.
Occipital bone and subaxial spinal elements were not included in the fixation
construct. RESULTS: Three patients died in the immediate postoperative phase. In
the remaining patients, there was clinical improvement and no patient's
neurologic function worsened after surgery. In 12 of 38 patients in whom
postoperative magnetic resonance imaging was possible, at a follow-up of at least
3 months, there was reduction in the size of the syrinx. CONCLUSIONS: The
pathogenesis of basilar invagination in group B is related to atlantoaxial
instability. The clinical outcome suggests that the surgical treatment in these
cases should be directed toward atlantoaxial stabilization and aimed at segmental
arthrodesis. Inclusion of the occipital bone in the fixation construct is not
necessary. Foramen magnum decompression and procedures involving manipulation of
Chiari malformation and syringomyelia are not necessary.
PMID- 27890752
TI - Delayed Development of Aneurysms Following Gamma Knife Surgery for Trigeminal
Neuralgia: Report of 2 Cases.
AB - BACKGROUND: Delayed development of intracranial aneurysms is an extremely rare
complication of gamma knife surgery (GKS), with only 6 cases been reported to
date. There are no reported cases after GKS performed to treat trigeminal
neuralgia (TN). Of the 6 aforementioned cases, none referred to the natural
history or pathophysiology of GKS-related intracranial aneurysm formation. CASE
DESCRIPTION: We treated 2 patients with subarachnoid hemorrhage (SAH) resulting
from rupture of an intracranial aneurysm that developed long after GKS. Case 1
involved a 77-year-old man who had undergone GKS to treat recurrent TN after
microvascular decompression surgery. At 13 years after the GSK, he developed SAH
from a ruptured left anterior inferior cerebellar artery in close vicinity to the
left trigeminal nerve. He died from a premature rupture before intervention could
be instituted. Case 2 involved a 72-year-old woman who developed SAH at 9 years
after undergoing GKS for TN. A ruptured left superior cerebellar artery aneurysm
was treated successfully with endovascular occlusion of the parent artery. She
recovered well after the surgery, and was discharged to the rehabilitation
hospital with a modified Rankin Scale score of 2. CONCLUSION: Long-term
observations are necessary after GKS performed for TN owing to the possibility of
formation of intracranial aneurysms near the irradiated region.
PMID- 27890753
TI - Geographically Remote Cerebral Venous Sinus Thrombosis in Patients with
Intracranial Tumors.
AB - Cerebral venous sinus thrombosis (CVST) related to intracranial tumors has most
commonly been recognized as an operative complication related to local operative
factors such as retraction or direct venous injury. CVST may also be caused by
tumor-related factors such as local mass effect but rarely occurs geographically
remote from the site of the tumor. We report 6 cases treated at our institution
of intracranial supratentorial tumors associated with CVST. In each case, the
CVST was remote from the surgical site. In 3 cases CVST was noted at the time of
resection, and 3 cases occurred in a delayed fashion. Each case is discussed in
detail, and the utility of intraoperative magnetic resonance imaging in the early
diagnosis of this complication is highlighted.
PMID- 27890754
TI - Endovascular Treatment of Spontaneous Intracranial Fusiform and Dissecting
Aneurysms: Outcomes Related to Imaging Classification of 309 Cases.
AB - OBJECTIVE: To propose a modified classification system for spontaneous
intracranial dissecting aneurysms (IDAs) that can guide treatment decisions.
METHODS: Between January 2010 and December 2015, 3183 consecutive patients were
referred to our department for endovascular treatment of a cerebral aneurysm.
Among this group, 309 patients harboring a total of 323 spontaneous IDAs were
identified. Based on a modified imaging classification system, spontaneous IDAs
were classified into 4 subtypes: classic dissecting aneurysm, segmental ectasia,
dolichoectatic dissecting aneurysm, and large mural bleeding ectasia. A logistic
regression analysis was conducted to identify predictors of clinical outcomes.
RESULTS: Of the 323 IDAs, 216 (66.87%) were treated with stent-assisted coiling,
47 (14.55%) with internal trapping, and 60 (18.58%) with sole stenting. Of the
309 patients, 8 (2.59%) suffered intraoperative complications, 40 (12.94%)
experienced postoperative complications, 9 died (2.79%), and 1 (0.32%) had severe
disability. The mean duration of clinical follow-up of the 309 patients was 10.40
months (range, 3-72 months). Imaging follow-up was available for 262 patients
(274 IDAs), with a mean duration of 7.10 months (range, 3-60 months), and 24
aneurysm recurrences (9.16%) were noted. Aneurysm type was the sole independent
predictor of different outcomes on logistic regression analysis (P = 0.004).
CONCLUSIONS: There was a strong relationship between the subtypes of spontaneous
IDAs and clinical course. Our classification system is confirmed to be helpful in
assessing patients' prognosis and guiding their treatment.
PMID- 27890755
TI - Predictors of Shunt Insertion in Aneurysmal Subarachnoid Hemorrhage.
AB - OBJECTIVE: Hydrocephalus is a common complication of aneurysmal subarachnoid
hemorrhage (aSAH), requiring permanent cerebrospinal fluid (CSF) diversion in up
to two thirds of patients. Factors that predict permanent CSF diversion are not
well established. METHODS: An exploratory analysis of 149 patients enrolled in
the CARAS (Cerebral Aneurysm Renin Angiotensin System) study was performed in an
effort to identify factors predictive of permanent CSF diversion after aSAH; only
the 135 patients surviving the initial hospitalization were included in the
present study. CARAS was a prospective, multicenter study investigating the
impact of genetic polymorphisms in patients with aSAH and enrolled patients from
September 2012 to January 2015. RESULTS: One hundred and forty-nine patients with
aSAH were enrolled in CARAS, with 135 (90.6%) patients surviving the initial
hospitalization. Sixty-four of these patients (47.4%) required permanent CSF
diversion. Multivariable analysis identified the following as independent risk
factors: sympathomimetic illicit drug use, external ventricular drain (EVD)
insertion, and hyponatremia. A scoring system based on EVD insertion (2 points),
Hunt and Hess grade (1 point if grade >=4) and modified Fisher computed
tomography grade (1 point if grade 4) produced an area under the curve of 0.8 (P
< 0.001). CONCLUSIONS: Sympathomimetic illicit drug use, EVD insertion, and
hyponatremia are the strongest predictors of shunt insertion in patients with
aSAH. Moreover, a scoring system based on EVD insertion, Hunt and Hess grade, and
modified Fisher computed tomography grade can reliably predict the need for shunt
placement in patients with aSAH.
PMID- 27890756
TI - Cavernous Angioma of the Cerebral Aqueduct.
AB - BACKGROUND: Among the rare intraventricular cavernomas, purely intra-aqueductal
cavernomas are exceptionally rare. CASE DESCRIPTION: A 62-year-old patient
presented with progressive headache, memory loss, gait instability, and urinary
incontinence. Magnetic resonance imaging showed the presence of a mass lesion
located in the lumen of the cerebral aqueduct, associated with triventricular
hydrocephalus. CONCLUSIONS: We discuss the rationale that led us to treat
hydrocephalus with neuroendoscopy, which offered the possibility to directly
inspect the intra-aqueductal lesion, make the diagnosis of cavernoma, and treat
symptoms resulting from hydrocephalus without increasing the risk of bleeding.
PMID- 27890757
TI - Percutaneous Endoscopic Removal of a Lumbar Epidural Angiolipoma via Interlaminar
Approach: A Technical Report.
AB - BACKGROUND: Although percutaneous endoscopic technique has been routinely used in
the treatment of disk herniation, there are few reports on its application in the
management of intraspinal tumors. We present a case report of lumbar epidural
angiolipoma that was totally removed by percutaneous endoscopic technique. CASE
DESCRIPTION: A 63-year-old man presented with a 4-month history of progressively
worsening low back pain. No abnormal neurologic finding was noted on physical
examination, and magnetic resonance imaging demonstrated a dorsally located L2-3
epidural lesion, suggestive of a noninfiltrating angiolipoma. During the
operation, an 8-mm skin incision was made, and a dilator was bluntly inserted
toward the interlaminar space, followed by insertion of a working cannula onto
the ligamentum flavum and placement of the endoscope. The interlaminar space was
enlarged by resection of part of the lower rim of the right L2 vertebral laminae,
and the right side of the interlaminar ligamentum flavum was removed to expose
the tumor. The tumor was totally removed piecemeal under endoscopic guidance, and
pathologic examination confirmed the diagnosis of angiolipoma. CONCLUSIONS: This
report supports the application of percutaneous endoscopic technique in the
surgical resection of noninfiltrating extradural lumbar angiolipoma.
PMID- 27890758
TI - Surgical Outcome of Patients with Very Small Intracranial Aneurysms: A Single
Center Experience from Southern Iran.
AB - OBJECTIVES: To report the surgical outcome of very small intracranial aneurysms
(VSIAs; <=3 mm) in a large referral neurovascular center in Southern Iran.
METHODS: This cross-sectional study was conducted in Southern Iran during a 6
year period between 2010 and 2016. We included all patients with VSIAs (<=3 mm)
who underwent surgery in our center. All patients were operated on by a single
neurosurgeon. Preoperative and postoperative computed tomography angiography and
intraoperative imaging with indocyanine green video angiography were performed in
all cases. The short-term and long-term outcome were determined by Glasgow Coma
Scale (GCS) and modified Rankin Scale. RESULTS: A total of 62 VSIAs in 52
patients were treated during the study period. There were no remnants and the
complete occlusion rate was 100%. None of the patients experienced rebleeding.
The 6-month mortality was 0% in unruptured VSIA, 3.8% in ruptured VSIA, and 5.7%
in ruptured intracranial aneurysms other than VSIAs. Most patients had a
favorable outcome (84.6%). An unfavorable outcome was associated with increased
age (P = 0.027), higher rates of hypertension (P = 0.022) and ischemic heart
disease (P = 0.023), lower GCS score on admission (P < 0.001), higher Hunt and
Hess grade (P < 0.001), higher rate of preoperative ventriculoperitoneal shunt
insertion (P = 0.040), and subarachnoid hemorrhage (P = 0.015). CONCLUSIONS:
Surgical clipping of ruptured and unruptured VSIAs is a safe and effective
modality of treatment associated with low mortality and morbidity. Age,
comorbidities (hypertension, ischemic heart disease), GCS score on admission,
Hunt and Hess grade, preoperative ventriculoperitoneal shunt insertion, and
subarachnoid hemorrhage are important predictors of outcome in patients with
VSIAs undergoing surgery.
PMID- 27890759
TI - Transforaminal Percutaneous Endoscopic Lumbar Diskectomy with Percutaneous
Epidural Neuroplasty in Lumbar Disk Herniation: Technical Note.
AB - OBJECTIVE: Percutaneous endoscopic lumbar diskectomy and percutaneous epidural
neuroplasty is a commonly used minimally invasive spinal surgery in lumbar disk
herniation. But to our knowledge, there is no report about the results of
percutaneous endoscopic lumbar diskectomy with percutaneous epidural neuroplasty.
We did this study to evaluate the effect of percutaneous endoscopic lumbar
diskectomy with percutaneous epidural neuroplasty in lumbar disk herniation.
METHODS: We report a series of cases about techniques and results of percutaneous
endoscopic lumbar diskectomy with percutaneous epidural neuroplasty in lumbar
disk herniation, as well as introduce technical procedures and advantages.
RESULTS: Seven patients improved axial back pain with percutaneous endoscopic
lumbar diskectomy with percutaneous epidural neuroplasty and had no
complications. The percutaneous endoscopic lumbar diskectomy with percutaneous
epidural neuroplasty has many advantages with removing lumbar disk herniation in
procedures. CONCLUSIONS: Percutaneous endoscopic lumbar diskectomy with
percutaneous epidural neuroplasty is a safe and effective surgical method in
lumbar disk herniation.
PMID- 27890760
TI - Increased Short-Term Mortality in Patients with Spontaneous Intracerebral
Hemorrhage and its Association with Admission Glucose Levels and Leukocytosis.
AB - OBJECTIVE: Spontaneous intracerebral hemorrhage (ICH) can be a devastating event.
An increased glucose level in patients with ICH is known to be related to poor
outcomes, including acute leukocytosis, which is a well-established response to
ICH. The purpose of this study was to evaluate the association between admission
laboratory factors and 3-month mortality in patients with spontaneous
supratentorial ICH. METHODS: We performed a Kaplan-Meier analysis to evaluate the
risk factors for 3-month mortality in patients with ICH. We used univariate and
multivariate Cox regression analyses to calculate hazard ratios with 95%
confidence intervals for short-term mortality based on clinical and laboratory
factors. The area under the receiver operating characteristic curve was used to
determine the laboratory risk factors that predicted mortality. RESULTS: In
total, 538 patients from our hospital admitted with primary spontaneous
supratentorial ICH over an 8-year period were enrolled in this study. Higher
leukocyte counts (hazard ratio, 1.019; 95% confidence interval, 1.012-1.027; P <
0.001) and glucose levels on admission were associated with higher 3-month
mortality. The receiver operating characteristic curve analysis showed that the
areas under the curve of ICH volume, glucose, and leukocyte counts were 0.696
(cutoff value, 41.63), 0.687 (cutoff value, 134), and 0.642 (cutoff value, 9.4),
respectively. CONCLUSIONS: Higher admission white blood cell counts and glucose
levels were associated with higher 3-month mortality in patients with spontaneous
ICH. These data show that an altered glucose metabolism and inflammatory state
after ICH may be related to early deterioration after an ICH.
PMID- 27890761
TI - The Coexistence of Extradural Arteriovenous Fistula and Soft Tissue Arteriovenous
Malformation Within the Same Metamere.
AB - BACKGROUND: Spinal arteriovenous shunts are a common spinal vascular disorder.
However, they can have a misleading clinical presentation and poor prognosis.
They are classified into 4 types according to shunt points and drainage route,
among which extradural arteriovenous fistula (eAVF) is the most rare, comprising
only 1% of all spinal arteriovenous shunts. We report an extremely rare case of
coexistent eAVF at the craniocervical junction and soft tissue arteriovenous
malformation within the same metamere. CASE DESCRIPTION: A 30-year-old man
presented with neck pain. T2-weighted magnetic resonance imaging revealed a flow
void at the right craniocervical junction compressing the spinal cord.
Angiography revealed eAVF at the craniocervical junction. The patient was treated
via transvenous embolization. After the procedure, shunt flow and symptoms
markedly decreased. During the operation, an extracranial arteriovenous
malformation under the occipital skin was detected immediately after occluding
the shunt point. CONCLUSIONS: This case indicates that transvenous embolization
can be an effective treatment for eAVF and supports some hypotheses of
arteriovenous malformation development. Additionally, it emphasizes the
importance of examining a lesion with multiple modalities, including angiography,
three-dimensional angiography, and cone-beam computed tomography, to understand
the anatomy of the lesion.
PMID- 27890762
TI - The Clinical Value of Intraoperative Mobile Computed Tomography in Managing High
Risk Surgical Patients with Traumatic Brain Injury-A Single Tertiary Trauma
Center Experience.
AB - OBJECTIVE: A subset of surgically treated patients with traumatic brain injury
(TBI) cannot be stabilized by initial surgery. Mobile computed tomography (CT)
provides real-time information for diagnosis in these TBI surgically high-risk
(TBI-SHR) patients. The objective of this study was to analyze a 5-year series of
TBI-SHR patients to evaluate the impact of intraoperative mobile CT (imCT) on
prognosis. METHODS: Of 1017 surgically treated patients with TBI retrospectively
reviewed over a 5-year period (2009-2013), 59 patients required second operations
within 72 hours of their initial surgery because of progressive or delayed
hematomas (TBI-SHR group). Their clinical, radiographic, and intraoperative
findings were compared among 19 patients who received imCT versus 40 patients who
received fixed-unit CT. RESULTS: Our TBI-SHR group accounted for 5.8% of all
surgically treated patients with TBI. The use of imCT led to a change in surgical
plan in 56% of patients with TBI intraoperatively. Younger patients (<=55 years;
P < 0.05) with multifocal hemorrhage on preoperative CT (P = 0.033) and with an
intraoperative unexpected event such as intraoperative intracranial pressure >20
mm Hg or acute brain swelling after adequate decompression (P = 0.003 and 0.004,
respectively) significantly benefited from imCT in the TBI-SHR group. imCT also
provided a quicker diagnosis (P < 0.001), led to a trend toward shorter intensive
care unit stays (P = 0.077), and was associated with better neurologic outcomes
at discharge days (P = 0.044). CONCLUSIONS: The use of imCT is associated with
better neurologic outcomes at discharge days compared with the use of fixed-unit
CT in TBI-SHR patients.
PMID- 27890763
TI - Positional Occlusion of Vertebral Artery Due to Cervical Spondylosis as Rare
Cause of Wake-up Stroke: Report of Two Cases.
AB - BACKGROUND: Positional compression of the vertebral artery (VA) owing to cervical
spondylosis is an uncommon cause of stroke. We report two cases of cervical
spondylosis causing wake-up stroke, which is extremely rare. CASE DESCRIPTION:
The first patient was a 78-year-old woman with vertigo and vomiting lasting from
waking up. Magnetic resonance imaging (MRI) and angiography documented right
cerebellar infarction, and stenosis of the right cervical VA. Dynamic angiography
revealed that the right VA was occluded when her neck was extended or rotated to
the right. Computed tomography (CT) angiography revealed the right VA compression
by the right C5 superior articular process osteophytes. The second patient was a
77-year-old man with dysarthria from waking up. MRI and angiography revealed
right cerebellar infarction associated with the right VA stenosis and the left VA
occlusion at C5-C6, whose cause was diagnosed as compression caused by bilateral
uncovertebral osteophytes on CT angiography. Dynamic angiography showed that neck
rotation to the right aggravated the right VA stenosis while recanalizing the
left VA. Both patients were treated with a free radical scavenger (edaravone) and
heparin, and underwent surgical decompression of VAs 14 or 18 days after onset.
No recurrent ischemic events occurred thereafter. CONCLUSIONS: Magnetic resonance
angiography of extracranial cervical VAs may be useful as an initial screening
test for VA compression secondary to cervical spondylosis as a rare cause of wake
up stroke, especially in cases of cerebral infarction in the posterior
circulation with no evidences of causative arrhythmia and intracranial lesions.
PMID- 27890764
TI - Spontaneous Spinal Epidural Hematoma: A Study of 55 Cases Focused on the Etiology
and Treatment Strategy.
AB - BACKGROUND: Spontaneous spinal epidural hematoma (SSEH) is a rare neurologic
emergency of the spinal cord. Its cause and treatment strategy remain
controversial. This study aimed to evaluate a significant cause of SSEH and to
discuss the treatment strategy according to the clinical outcomes of patients in
2 institutions. METHODS: Fifty-five cases of SSEH treated at our institutions
between February 2002 and February 2016 were retrospectively analyzed. RESULTS:
The mean age of the first SSEH onset was 31.8 years. The follow-up rate was
72.7%, with 28 patients (70%) showing satisfactory clinical outcomes. Forty
patients received preoperative spinal digital subtraction angiography. Spinal
epidural (extradural) arteriovenous fistula was detected in 6 patients (15%), 5
of whom showed 1 type of special slow-flow shunt. Nineteen patients (34.5%)
suffered from multiple episodes until they underwent invasive treatments or last
follow-up. Rebleeding was confirmed in 8 patients. None of the patients had a
subsequent episode or rebleeding after invasive treatment. The risk factors for
poor clinical outcome included advanced age at initial onset (P = 0.020), a short
progression interval (P = 0.030), no symptom relief after admission (P = 0.011),
hypesthesia (P = 0.017), complete spinal cord injury (P = 0.001), and hematoma
below the T4 level (P = 0.014). CONCLUSIONS: Spinal epidural (extradural)
arteriovenous fistula is a significant cause of SSEH. Standard spinal digital
subtraction angiography is necessary for patients with SSEH. Conservative
treatment could not prevent occurrence of multiple episodes or rebleeding in
patients. Microsurgery should be recommended as the preferred treatment strategy
for SSEH. Endovascular embolization is also recommended if applicable.
PMID- 27890765
TI - Revascularization of Moyamoya Angiopathy in Older Adults.
AB - BACKGROUND: Moyamoya angiopathy most often manifests in patients in the second
and third decades of life. Although uncommon, it can also manifest later in life.
We present our results in patients >50 years old with moyamoya angiopathy who
were treated with surgical revascularization via either direct bypass or indirect
bypass (encephaloduroarteriosynangiosis). METHODS: A retrospective review was
conducted to identify patients with moyamoya disease who were treated with
surgical revascularization at our institution between 2002 and 2015. Outcomes and
complications were analyzed. RESULTS: We identified 33 patients with moyamoya
angiopathy >50 years old (mean age 59.0 years +/- 7.6) who were treated with
surgical revascularization of 45 affected hemispheres. Of the affected
hemispheres, 27 (60%) were treated with indirect bypasses and 18 (40%) were
treated with direct bypasses. Neurologic complications occurred in 4 (12%)
patients. The mean length of follow-up was 18.7 months +/- 18.6; 4 patients were
lost to follow-up. At last follow-up, 11 of 18 (61%) direct bypasses were patent.
Treatment failed in 5 of 45 (11%) treated hemispheres (stroke in 2 and persistent
transient ischemic attacks in 3). In terms of functional outcome at last follow
up, 16 of 29 (55%) patients were the same as before surgery, 10 (35%) were
better, and 3 (10%) were worse (including 1 death). CONCLUSIONS: Although
uncommon, moyamoya angiopathy can manifest in older adults. Surgical
revascularization is a reasonable treatment option with good functional outcomes
and an acceptable complication rate.
PMID- 27890766
TI - United States Medical Licensing Examination Step 1 Scores Directly Correlate with
American Board of Neurological Surgery Scores: A Single-Institution Experience.
AB - BACKGROUND: Neurosurgery residency is becoming an increasingly competitive match.
The process of screening and ranking applicants is a multifactorial process that
lacks uniformity across residency programs. A significant factor is the
applicant's performance on the United States Medical Licensing Examination
(USMLE) Step 1. USMLE Step 1 scores are often used to project future success in
residency and performance on specialty boards like the American Board of
Neurological Surgery (ABNS) examination. The authors of this study investigate
the strength of correlations between USMLE Step 1 and ABNS scores. METHODS: Data
were extracted from records of graduating residents from the neurosurgery
residency program at the University of California, Los Angeles, between 2003 and
2010. Twenty-one residents were selected. USMLE Step 1 scores were deidentified
and paired with ABNS scores. Correlation and regression analyses were performed.
RESULTS: Higher USMLE Step 1 scores significantly correlated with higher ABNS
scores (P = 0.01; Spearman correlation coefficient, 0.7). CONCLUSIONS: USMLE Step
1 and ABNS scores are directly correlated. USMLE Step 1 scores will continue to
be a valuable measure of projected success on ABNS written examinations, but more
sophisticated measures are needed.
PMID- 27890767
TI - Implications of Vestibular Schwannoma Consistency: Analysis of 140 Cases
Regarding Radiologic and Clinical Features.
AB - OBJECTIVE: To evaluate the effects of vestibular schwannoma (VS) consistency on
internal auditory canal (IAC) widening, magnetic resonance imaging appearance,
presenting symptoms, and facial nerve outcome. MATERIAL AND METHODS: We performed
a retrospective analysis of 140 consecutive patients presenting with unilateral
VS who underwent surgical treatment at the Department of Neurosurgery, Tuebingen
University, Germany. Operative videos were analyzed, and the tumors were
classified into soft and firm according to resectability with an ultrasonic
aspirator at 40% power. IAC opening was measured in preoperative bone-window
computed tomography on the pathologic and healthy sides, and the percentage of
widening between both sides was calculated. Tumor signal intensity was assessed
on T2-weighted magnetic resonance imaging scans. Preoperative and postoperative
findings in the patient reports were documented. RESULTS: Widening of the IAC due
to presence of the VS occurred in 118 patients (84.3%). The degree of IAC
widening on the tumor side compared to the other side ranged from 0.1 to 10.1 mm
(mean 2.6 mm). The mean widening of the IAC in relation to the healthy side was
1.9 mm in soft tumors and 3.6 mm in firm tumors. A significant correlation was
found between tumor consistency and degree of widening of the IAC (P < 0.0001).
No significant correlation was found between tumor intensity (on T2-weighted
imaging) and tumor consistency. In the early postoperative course, patients with
soft tumors had better facial nerve function than those having firm tumors.
However, at the last examination no difference between both groups was found.
CONCLUSION: The consistency of VS has an impact on the immediate postoperative
outcome. Widening on bony computed tomography scan, but not T2 intensity on
magnetic resonance imaging, predicts whether the tumor is soft or firm.
PMID- 27890768
TI - Proton Pump Inhibitor and Histamine-2 Receptor Antagonist Use and Iron
Deficiency.
AB - BACKGROUND & AIMS: Proton pump inhibitors (PPIs) and histamine-2 receptor
antagonists (H2RAs) suppress gastric acid production, which can inhibit iron
absorption. However, few data exist regarding whether these medications increase
the risk of clinical iron deficiency. METHODS: A community-based case-control
study evaluated the association between acid-suppressing medication use and the
subsequent risk of iron deficiency. It contrasted 77,046 patients with new iron
deficiency diagnoses (January 1999-December 2013), with 389,314 controls.
Medication exposures, outcomes, and potential confounders used electronic
databases. We excluded patients with pre-existing risk factors for iron
deficiency. Associations were estimated using conditional logistic regression.
RESULTS: Among cases, 2343 (3.0%) received a prior >=2-year supply of PPIs and
1063 (1.4%) received H2RAs (without PPI use). Among controls, 3354 (0.9%)
received a prior >=2-year supply of PPIs and 2247 (0.6%) H2RAs. Both >=2 years of
PPIs (adjusted odds ratio, 2.49; 95% confidence interval, 2.35-2.64) and >=2
years of H2RAs (odds ratio, 1.58; 95% CI, 1.46-1.71) were associated with an
increased subsequent risk for iron deficiency. Among PPI users, the associations
were stronger for higher daily doses (>1.5 vs <0.75 PPI pills/d; P value
interaction = .004) and decreased after medication discontinuation (P-trend <
.001). Some of the strongest associations were among persons taking >1.5 pills
per day for at least 10 years (odds ratio, 4.27; 95% CI, 2.53-7.21). No similar
strong associations were found for other commonly used prescription medications.
CONCLUSIONS: Among patients without known risk factors for iron deficiency,
gastric acid inhibitor use for >=2 years was associated with an increased
subsequent risk of iron deficiency. The risk increased with increasing potency of
acid inhibition and decreased after medication discontinuation.
PMID- 27890769
TI - Real-Time Monitoring of Results During First Year of Dutch Colorectal Cancer
Screening Program and Optimization by Altering Fecal Immunochemical Test Cut-Off
Levels.
AB - BACKGROUND & AIMS: After careful pilot studies and planning, the national
screening program for colorectal cancer (CRC), with biennial fecal immunochemical
tests (FITs), was initiated in The Netherlands in 2014. A national information
system for real-time monitoring was developed to allow for timely evaluation.
Data were collected from the first year of this screening program to determine
the importance of planning and monitoring for optimal screening program
performance. METHODS: The national information system of the CRC screening
program kept track of the number of invitations sent in 2014, FIT kits returned,
and colonoscopies performed. Age-adjusted rates of participation, the number of
positive test results, and positive predictive values (PPVs) for advanced
neoplasia were determined weekly, quarterly, and yearly. RESULTS: In 2014, there
were 741,914 persons invited for FIT; of these, 529,056 (71.3%; 95% CI, 71.2%
71.4%) participated. A few months into the program, real-time monitoring showed
that rates of participation and positive test results (10.6%; 95% CI, 10.5%
10.8%) were higher than predicted and the PPV was lower (42.1%; 95% CI, 41.3%
42.9%) than predicted based on pilot studies. To reduce the burden of unnecessary
colonoscopies and alleviate colonoscopy capacity, the cut-off level for a
positive FIT result was increased from 15 to 47 MUg Hb/g feces halfway through
2014. This adjustment decreased the percentage of positive test results to 6.7%
(95% CI, 6.6%-6.8%) and increased the PPV to 49.1% (95% CI, 48.3%-49.9%). In
total, the first year of the Dutch screening program resulted in the detection of
2483 cancers and 12,030 advanced adenomas. CONCLUSIONS: Close monitoring of the
implementation of the Dutch national CRC screening program allowed for instant
adjustment of the FIT cut-off levels to optimize program performance.
PMID- 27890771
TI - Echocardiographic Determinants of One-Year All-Cause Mortality in Patients With
Chronic Heart Failure Complicated by Significant Functional Tricuspid
Regurgitation.
AB - BACKGROUND: Right ventricular (RV) dysfunction plays an important role in chronic
heart failure (CHF). We evaluated the echocardiographic determinants of 1-year
all-cause mortality in CHF patients with clinically relevant functional tricuspid
regurgitation (TR). METHODS AND RESULTS: A total of 101 consecutive CHF patients
(mean age 74 +/- 10 years, 53% male) with moderate or severe functional TR were
enrolled. Each patient underwent at least 2 echocardiography examinations in an
interval of >6 months. Clinical follow-up was made after a median of 305
(interquartile range 164-365) days after the last echocardiography. The primary
end point was all-cause mortality. Forty-two patients (42%) died during follow
up. Baseline right atrial (RA) area, TR volume increase and RV enlargement over
time were significantly higher in nonsurvivors than survivors (all P < .05).
Compared to baseline levels, systolic pulmonary artery pressure (sPAP) was
significantly reduced in nonsurvivors during follow-up echocardiography (54 +/-
19 vs 49 +/- 21 mm Hg; P = .010), but significantly increased in survivors (48 +/
17 vs 54 +/- 17 mm Hg; P = .001). Multivariable survival analysis suggested that
baseline RA area >=27 cm2 (hazard ratio [HR] 2.41, 95% confidence interval [CI]
1.21-4.80; P = .013), follow-up TR proximal isovelocity surface area regurgitant
volume increase >=15 mL (HR 2.27, 95% CI 1.20-4.31; P = .012), RV middle diameter
increase >=10 mm (HR 2.38, 95% CI 1.10-5.11; P = .027), and sPAP reduction >=10
mm Hg (HR 3.04, 95% CI 1.51-6.13; P = .002) were determinants of 1-year all-cause
mortality after the last echocardiography. Patients with 2 or 3 of these
determinants were faced with significantly increased 1-year mortality (88% or
100%). CONCLUSIONS: Dynamic RV morphologic and functional changes during serial
echocardiography are associated with significantly increased mortality risk in
CHF patients with moderate or severe functional TR.
PMID- 27890770
TI - Fibrosis and Fibrotic Gene Expression in Pediatric and Adult Patients With
Idiopathic Dilated Cardiomyopathy.
AB - BACKGROUND: Although fibrosis seems to be prognostic for adverse outcomes in
adults with idiopathic dilated cardiomyopathy (IDC), little is known about the
prevalence and development of fibrosis in pediatric IDC hearts. We hypothesized
that there is less activation of fibrosis at a molecular level in pediatric IDC
hearts than in failing adult hearts. METHODS AND RESULTS: Pediatric hearts were
analyzed histologically to determine the prevalence of fibrosis. Left ventricular
tissue from adult and pediatric IDC hearts and adult and pediatric nonfailing
(NF) hearts were subjected to quantitative reverse-transcription polymerase chain
reaction to study the expression of important mRNAs that affect fibrosis. We
found age-specific differences between IDC and NF hearts in the regulation of
noncoding galectin-3, Corin, matrix metalloproteinase (MMP) 2, MMP-9, tissue
inhibitor of metalloproteinase (TIMP) 2, and TIMP-3. We also found markers that
were similarly altered in both adult and pediatric IDC hearts (interleukin-1
receptor-like 1 receptor, TIMP-1, and TIMP-4). Finally, microRNAs 29a-c were
significantly decreased in the pediatric IDC patients. CONCLUSIONS: Pediatric IDC
patients demonstrate age-specific differences in the molecular pathways
implicated in fibrosis in the adult heart. At the ultrastructural level the
unique gene expression pattern appears to limit fibrosis in the failing pediatric
heart.
PMID- 27890773
TI - Primary photosensitization caused by ingestion of Froelichia humboldtiana by
dairy goats.
AB - This study aimed to describe the first report of an outbreak of primary
photosensitization in dairy goats caused by Froelichia humboldtiana. The disease
occurred from March to May 2014, in a mixed flock of 15 Saanen, Alpine, and
crossbred lactating goats. The entire flock was affected; however, the Saanen and
cross-bred goats developed more severe lesions, and their milk production
decreased by as much as 75%. Lesions were progressive and consisted of skin
hyperemia, edema, and necrosis, especially in depigmented areas. An experimental
study with two goats resulted in photodermatitis, similar to that observed in the
natural cases, 10-14 days after the beginning of plant ingestion. Serum
activities of aspartate aminotransferase (AST) and -glutamyltransferase (GGT)
and, direct, indirect, and total bilirubin serum concentrations were within the
normal ranges. In conclusion, F. humboldtiana causes primary photosensitization
in goats with a negative impact on milk production.
PMID- 27890772
TI - Integrative analysis of DNA methylation and gene expression in butyrate-treated
CHO cells.
AB - The cellular mechanisms responsible for the versatile properties of CHO cells as
the major production cell line for biopharmaceutical molecules are not entirely
understood yet, although several 'omics' data facilitate the understanding of CHO
cells and their reactions to environmental conditions. However, genome-wide
studies of epigenetic processes such as DNA methylation are still limited. To
prove the applicability and usefulness of integrating DNA methylation and gene
expression data in a biotechnological context, we exemplarily analyzed the time
course of cellular reactions upon butyrate addition in antibody-producing CHO
cells by whole-genome bisulfite sequencing and CHO-specific cDNA microarrays.
Gene expression and DNA methylation analyses showed that pathways known to be
affected by butyrate, including cell cycle and apoptosis, as well as pathways
potentially involved in butyrate-induced hyperproductivity such as central energy
metabolism and protein biosynthesis were affected. Differentially methylated
regions were furthermore found to contain binding-site motifs of specific
transcription factors and were hypothesized to represent regulatory regions
closely connected to the cellular response to butyrate. Generally, our experiment
underlines the benefit of integrating DNA methylation and gene expression data,
as it provided potential novel candidate genes for rational cell line development
and allowed for new insights into the butyrate effect on CHO cells.
PMID- 27890774
TI - Inhibition of local effects induced by Bothrops erythromelas snake venom:
Assessment of the effectiveness of Brazilian polyvalent bothropic antivenom and
aqueous leaf extract of Jatropha gossypiifolia.
AB - Bothrops erythromelas is a snake of medical importance responsible for most of
the venomous incidents in Northeastern Brazil. However, this species is not
included in the pool of venoms that are used in the Brazilian polyvalent
bothropic antivenom (BAv) production. Furthermore, it is well known that
antivenom therapy has limited efficacy against venom-induced local effects,
making the search for complementary alternatives to treat snakebites an important
task. Jatropha gossypiifolia is a medicinal plant widely indicated in folk
medicine as an antidote for snakebites, whose effectiveness against Bothrops
jararaca venom (BjV) has been previously demonstrated in mice. In this context,
this study assessed the effectiveness of the aqueous extract (AE) of this plant
and of the BAv against local effects induced by B. erythromelas venom (BeV).
Inhibition of BeV-induced edematogenic and hemorrhagic local effects was assayed
in mice in pre-treatment (treatment prior to BeV injection) and post-treatment
(treatment post-envenomation) protocols. Inhibition of proteolytic, phospholipase
A2 (PLA2) and hyaluronidase enzymatic activities of BeV were evaluated in vitro.
BAv cross-reactivity and estimation of antibody titers against BeV and BjV were
assessed by Ouchterlony double diffusion test. The results show that in pre
treatment protocol AE and BAv presented very similar effects (about 70% of
inhibition for edematogenic and 40% for hemorrhagic activities). However, BAv
poorly inhibited edema and hemorrhage in post-envenomation protocol, whilst, in
contrast, AE was significantly active even when used after BeV injection. AE was
able to inhibit all the tested enzymatic activities of BeV, while BAv was active
only against hyaluronidase activity, which could justify the low effectiveness of
BAv against BeV-induced local effects in vivo. Ouchterlony's test showed positive
cross-reactivity against BeV, but the antibody titers were slightly higher
against BjV. Together, these data indicate that despite the presence of
immunological cross-reactivity, Brazilian polyvalent bothropic antivenom
presented low inhibitory potential against biological and enzymatic effects of
BeV, illustrating the need for new strategies in the production of antivenom with
broad neutralizing potential in the treatment of Bothrops spp. envenomation
throughout the country. Together, the results highlight the antiophidic potential
of J. gossypiifolia, suggesting that it can be considered a potential adjuvant in
the treatment of bothropic envenomation local effects.
PMID- 27890775
TI - Expanding the neutralization scope of the EchiTAb-plus-ICP antivenom to include
venoms of elapids from Southern Africa.
AB - EchiTAb-plus-ICP is an antivenom prepared from plasma of horses hyperimmunized
with the venoms of the carpet viper (Echis ocellatus), the puff adder (Bitis
arietans) and the black-necked spitting cobra (Naja nigricollis). Therefore, the
use of this antivenom has been limited to Western Africa. In order to expand the
neutralization scope of EchiTAb-plus-ICP, we supplemented the immunogenic mixture
with the venoms of B. arietans, the black mamba (Dendroaspis polylepis), the
Mozambique spitting cobra (Naja mossambica), the snouted cobra (N. annulifera),
and the rinkhals (Hemachatus haemachatus) from Swaziland. The ability of the
expanded-scope antivenom, hereby named EchiTAb + ICP, to neutralize the venoms of
B. arietans, D. polylepis, N. mossambica and H. haemachatus was similar to those
of FAV Afrique and the SVA African antivenoms. In comparison to the SAIMR
antivenom, the expanded-scope EchiTAb + ICP had lower ability to neutralize the
venom of B. arietans, but similar ability to neutralize the venoms of D.
polylepis, N. mossambica and H. haemachatus. Owing to its low protein
concentration, the expanded-scope EchiTAb + ICP had lower ability to neutralize
the venom of N. annulifera than FAV Afrique and the SAIMR antivenoms. However,
when formulated at a protein concentration as high as FAV Afrique and SAIMR
antivenoms, the expanded-scope EchiTAb + ICP showed similar capacity to
neutralize this poorly immunogenic venom. Our results encourage the transition to
the new EchiTAb + ICP antivenom, with an expanded neutralization scope that
includes venoms of some of the most medically important elapids from Southern
Africa. Clinical trials are required to determine the minimum effective-safe dose
of the new EchiTAb + ICP for each type of envenomation.
PMID- 27890776
TI - Epigallocatechin-3-gallate alleviates paraquat-induced acute lung injury and
inhibits upregulation of toll-like receptors.
AB - AIMS: To evaluate the detoxifying effect of epigallocatechin-3-gallate (EGCG) on
paraquat (PQ)-induced acute lung injury in mice, and to explore the action
mechanisms. MAIN METHODS: Following administration of PQ, the mice received a
low, a medium or a high dose of EGCG daily for three days. Histopathology of the
lungs were examined by H&E staining. The levels of inflammatory cytokines, such
as TNF-alpha, IL-1beta and IL-6, in the bronchoalveolar lavage fluid were
measured by enzyme-linked immunosorbent assay. Activation of NF-kappaB was
assessed by Western blot and electrophoretic mobility gel shift assay. The
expression of toll-like receptor (TLR)-2, 4, 9 and TLR adaptors (MyD88 and TRAF6)
was detected by Western blot and immunohistochemical staining. The protective
effect of EGCG against PQ toxicity was validated in vitro using A549 lung cancer
cell line. KEY FINDINGS: Treatment with EGCG dose-dependently attenuated PQ
induced acute lung injury in mice by reducing alveolar edema, hemorrhage,
inflammatory cell infiltration and production of inflammatory cytokines. EGCG
inhibited the activation of NF-kappaB and the upregulation of TLR 2, 4 and 9 as
well as their adaptors MyD88 and TRAF6 in the lungs following PQ challenge. In
addition, EGCG significantly reduced PQ-induced cell death, cytokine production,
activation of NF-kappaB, and upregulation of TLRs and adaptors in A549 cells.
SIGNIFICANCE: Our data suggest that TLR-mediated activation of NF-kappaB in the
non-immune pulmonary cells could be involved in PQ-induced acute lung injury, and
it may serve as a target of EGCG against PQ pulmonary toxicity.
PMID- 27890777
TI - Psychological wellbeing in survivors of cardiac arrest, and its relationship to
neurocognitive function.
AB - OBJECTIVE: To characterise psychological wellbeing in survivors of out-of
hospital cardiac arrest (OHCA), and examine its relationship to cognitive
function. PATIENTS: Forty-one highly functioning cardiac arrest survivors were
drawn from the follow-up cohort of a randomised controlled trial of initial
airway management in OHCA (ISRCTN:18528625). DESIGN: Psychological wellbeing was
assessed with a self-report questionnaire (the Depression Anxiety and Stress
Scale; DASS) and cognitive function was examined using the Delayed Matching to
Samples (DMS) test from the Cambridge Neuropsychological Test Automated Battery
(CANTAB). RESULTS: Mean anxiety levels were significantly higher in this patient
group than normative data drawn from the general population (p=0.046). Multiple
regression analyses showed that cognitive function, measured by the DMS, did not
predict any of the DASS scales. CONCLUSIONS: Anxiety plays an important role in
determining perceived QoL in high functioning survivors, but psychological
wellbeing is unrelated to cognitive function in this group. To achieve a
comprehensive assessment of wellbeing, resuscitation research should consider
outcomes beyond neurological function alone.
PMID- 27890778
TI - Investigating the spatial specificity of S2-SSFP fMRI: A Monte Carlo simulation
approach.
AB - The desirable spatial specificity of spin echo (SE) fMRI cannot be efficiently
utilized in high fields due to specific absorption rate (SAR) and B1
inhomogeneity problems. Consequently, S2-SSFP fMRI has been suggested as an
alternative to mitigate these problems. Nevertheless, no accurate analysis has
been performed thus far to evaluate spatial specificity of this technique. To
study spatial specificity, we performed Monte Carlo simulations for evaluating
the micro-vasculature contribution in functional contrast along with vessel size
sensitivity estimations for a range of relevant imaging parameters. Results
showed a spatial specificity at the level of SE fMRI. Simulations further
revealed that similar to SE fMRI, an effective echo time (TE) close to the tissue
T2 maximizes the micro-vasculature contribution in the obtained contrast. The
amount of this contribution, however, showed a slight decrease at ultra-high
fields compared to SE fMRI. As for vessel size sensitivity, simulations presented
a pattern for S2-SSFP similar to SE fMRI but with a minor shift toward larger
vessels. These results are in general agreement with reported experimental
studies. Our findings also suggest that the effect of older pathways, rather than
primary SE pathway, might be responsible for the observed discrepancies between
S2 and SE. Based on this study, provided that optimum experimental parameters are
used, S2, with its desirable micro-vasculature contribution and high sensitivity
to small vessels, is a promising low SAR approach to replace SE fMRI in high
field.
PMID- 27890779
TI - Fast triple-spin-echo Dixon (FTSED) sequence for water and fat imaging.
AB - A number of 'Dixon' techniques based on fast spin echo (FSE) sequence have been
proposed and successfully used in many branches of medicine. Some require only
one scan, but most of them need multiple scans and long scan times. This article
describes a new fast triple-spin-echo Dixon (FTSED) technique suitable for ultra
high field MRI, in which three specific time shifts are introduced in the echo
train; thus, three images with defined water-fat phase-differences (0, pi, 2pi)
are encoded in the phase of the acquired images without extreme restrictions upon
the echo duration. The water and fat images are then calculated by iterative
least-squares estimation method. The sequence was successfully implemented at a
9.4T ultra-high field MRI system and tested on a phantom and a rat.
PMID- 27890780
TI - A Clinical Score to Predict Appendicitis in Older Male Children.
AB - OBJECTIVE: To develop a clinical score to predict appendicitis among older, male
children who present to the emergency department with suspected appendicitis.
METHODS: Patients with suspected appendicitis were prospectively enrolled at 9
pediatric emergency departments. A total of 2625 patients enrolled; a subset of
961 male patients, age 8-18 were analyzed in this secondary analysis. Outcomes
were determined using pathology, operative reports, and follow-up calls. Clinical
and laboratory predictors with <10% missing data and kappa > 0.4 were entered
into a multivariable model. Resultant beta-coefficients were used to develop a
clinical score. Test performance was assessed by calculating the sensitivity,
specificity, positive predictive value, negative predictive value, and likelihood
ratios. RESULTS: The mean age was 12.2 years; 49.9% (480) had appendicitis, 22.3%
(107) had perforation, and the negative appendectomy rate was 3%. In patients
with and without appendicitis, overall imaging rates were 68.6% (329) and 84.4%
(406), respectively. Variables retained in the model included maximum tenderness
in the right lower quadrant, pain with walking/coughing or hopping, and the
absolute neutrophil count. A score >=8.1 had a sensitivity of 25% (95% confidence
interval [CI], 20%-29%), specificity of 98% (95% CI, 96%-99%), and positive
predictive value of 93% (95% CI, 86%-97%) for ruling in appendicitis.
CONCLUSIONS: We developed an accurate scoring system for predicting appendicitis
in older boys. If validated, the score might allow clinicians to manage a
proportion of male patients without diagnostic imaging.
PMID- 27890782
TI - Bromodomain Histone Readers and Cancer.
AB - Lysine acetylation of histone proteins is a fundamental post-translational
modification that regulates chromatin structure and plays an important role in
gene transcription. Aberrant levels of histone lysine acetylation are associated
with the development of several diseases. Acetyl-lysine modifications create
docking sites for bromodomains, which are structurally conserved modules present
in transcription-associated proteins that are termed "reader" proteins.
Bromodomain-containing reader proteins are part of multiprotein complexes that
regulate transcription programs, which are often associated with profound
phenotypic changes. Many bromodomain-containing proteins are aberrantly expressed
in diseases, as best studied in cancers, where bromodomain proteins impact the
expression of oncogenes and anti-apoptotic proteins. Thus, bromodomain readers of
histone acetylation have emerged as attractive targets for cancer drug discovery,
prompting immense interest in epigenetic-focused, medicinal chemistry to develop
structurally guided chemical probes of bromodomains. Here, we describe
bromodomain-containing proteins with defined roles in cancer and highlight recent
progress in the development of bromodomain inhibitors.
PMID- 27890781
TI - Promoting Early Brain and Child Development: Perceived Barriers and the
Utilization of Resources to Address Them.
AB - OBJECTIVE: Efforts to promote early brain and child development (EBCD) include
initiatives to support healthy parent-child relationships, tools to identify
family social-emotional risk factors, and referrals to community programs to
address family risk factors. We sought to examine if pediatricians perceive
barriers to implementing these activities, and if they utilize resources to
address those barriers. METHODS: Data were analyzed from 304 nontrainee
pediatricians who practice general pediatrics and completed a 2013 American
Academy of Pediatrics Periodic Survey. Sample weights were used to decrease
nonresponse bias. Bivariate comparisons and multivariable regression analyses
were conducted. RESULTS: At least half of the pediatricians agreed that barriers
to promoting EBCD include: a lack of tools to promote healthy parent-child
relationships, a lack of tools to assess the family environment for social
emotional risk factors, and a lack of local resources to address family risks.
Endorsing a lack of tools to assess the family environment as a barrier was
associated with using fewer screening tools and community resources. Endorsing a
lack of local resources as a barrier was associated with using fewer community
resources and fewer initiatives to promote parent-child relationships. Interest
in pediatric mental health was associated with using more initiatives to promote
healthy parent-child relationships, screening tools, and community resources.
CONCLUSIONS: Although the majority of pediatricians perceive barriers to
promoting EBCD, few are routinely using available resources to address these
barriers. Addressing pediatricians' perceived barriers and encouraging interest
in pediatric mental health may increase resource utilization and enhance efforts
to promote EBCD.
PMID- 27890784
TI - Identifying Residues that Determine SCF Molecular-Level Interactions through a
Combination of Experimental and In silico Analyses.
AB - The stem cell factor (SCF)/c-Kit receptor tyrosine kinase complex-with its
significant roles in hematopoiesis and angiogenesis-is an attractive target for
rational drug design. There is thus a need to map, in detail, the SCF/c-Kit
interaction sites and the mechanisms that modulate this interaction. While most
residues in the direct SCF/c-Kit binding interface can be identified from the
existing crystal structure of the complex, other residues that affect binding
through protein unfolding, intermolecular interactions, allosteric or long
distance electrostatic effects cannot be directly inferred. Here, we describe an
efficient method for protein-wide epitope mapping using yeast surface display. A
library of single SCF mutants that span the SCF sequence was screened for
decreased affinity to soluble c-Kit. Sequencing of selected clones allowed the
identification of mutations that reduce SCF binding affinity to c-Kit. Moreover,
the screening of these SCF clones for binding to a structural antibody helped
identify mutations that result in small or large conformational changes in SCF.
Computational modeling of the experimentally identified mutations showed that
these mutations reduced the binding affinity through one of the three scenarios:
through SCF destabilization, through elimination of favorable SCF/c-Kit
intermolecular interactions, or through allosteric changes. Eight SCF variants
were expressed and purified. Experimentally measured in vitro binding affinities
of these mutants to c-Kit confirmed both the yeast surface display selection
results and the computational predictions. This study has thus identified the
residues crucial for c-Kit/SCF binding and has demonstrated the advantages of
using a combination of computational and combinatorial methods for epitope
mapping.
PMID- 27890785
TI - Genomic Characterization of Dysplastic Nevi Unveils Implications for Diagnosis of
Melanoma.
AB - A well-defined risk factor and precursor for cutaneous melanoma is the dysplastic
nevus. These benign tumors represent clonal hyperproliferation of melanocytes
that are in a senescent-like state, but with occasional malignant transformation
events. To portray the mutational repertoire of dysplastic nevi in patients with
the dysplastic nevus syndrome and to determine the discriminatory profiles of
melanocytic nevi (including dysplastic nevi) from melanoma, we sequenced exomes
of melanocytic nevi including dysplastic nevi (n = 19), followed by a targeted
gene panel (785 genes) characterization of melanocytic nevi (n = 46) and primary
melanomas (n = 42). Exome sequencing revealed that dysplastic nevi harbored a
substantially lower mutational load than melanomas (21 protein-changing mutations
versus >100). Known "driver" mutations in genes for melanoma, including CDKN2A,
TP53, NF1, RAC1, and PTEN, were not found among any melanocytic nevi sequenced.
Additionally, melanocytic nevi including dysplastic nevi showed a significantly
lower frequency and a different UV-associated mutational signature. These results
show that although melanocytic nevi and dysplastic nevi harbor stable genomes
with relatively few alterations, progression into melanomas requires additional
mutational processes affecting key tumor suppressors. This study identifies
molecular parameters that could be useful for diagnostic platforms.
PMID- 27890783
TI - The Proline/Glycine-Rich Region of the Biofilm Adhesion Protein Aap Forms an
Extended Stalk that Resists Compaction.
AB - Staphylococcus epidermidis is one of the primary bacterial species responsible
for healthcare-associated infections. The most significant virulence factor for
S. epidermidis is its ability to form a biofilm, which renders the bacteria
highly resistant to host immune responses and antibiotic action. Intercellular
adhesion within the biofilm is mediated by the accumulation-associated protein
(Aap), a cell wall-anchored protein that self-assembles in a zinc-dependent
manner. The C-terminal portion of Aap contains a 135-aa-long, proline/glycine
rich region (PGR) that has not yet been characterized. The region contains a set
of 18 nearly identical AEPGKP repeats. Analysis of the PGR using biophysical
techniques demonstrated the region is a highly extended, intrinsically disordered
polypeptide with unusually high polyproline type II helix propensity. In contrast
to many intrinsically disordered polypeptides, there was a minimal temperature
dependence of the global conformational state of PGR in solution as measured by
analytical ultracentrifugation and dynamic light scattering. Furthermore, PGR was
resistant to conformational collapse or alpha-helix formation upon the addition
of the osmolyte trimethylamine N-oxide or the cosolvent 2,2,2-trifluoroethanol.
Collectively, these results suggest PGR functions as a resilient, extended stalk
that projects the rest of Aap outward from the bacterial cell wall, promoting
intercellular adhesion between cells in the biofilm. This work sheds light on
regions of low complexity often found near the attachment point of bacterial cell
wall-anchored proteins.
PMID- 27890787
TI - Inflammatory Linear Verrucous Epidermal Nevus with a Postzygotic GJA1 Mutation Is
a Mosaic Erythrokeratodermia Variabilis et Progressiva.
PMID- 27890786
TI - Heat Increases the Editing Efficiency of Human Papillomavirus E2 Gene by Inducing
Upregulation of APOBEC3A and 3G.
AB - Apolipoprotein B mRNA-editing catalytic polypeptide (APOBEC) 3 proteins have been
identified as potent viral DNA mutators and have broad antiviral activity. In
this study, we demonstrated that apolipoprotein B mRNA-editing catalytic
polypeptide 3A (A3A) and A3G expression levels were significantly upregulated in
human papillomavirus (HPV)-infected cell lines and tissues. Heat treatment
resulted in elevated expression of A3A and A3G in a temperature-dependent manner
in HPV-infected cells. Correspondingly, HPV-infected cells heat-treated at 44
degrees C showed accumulated G-to-A or C-to-T mutation in HPV E2 gene. Knockdown
of A3A or A3G could promote cell viability, along with the lower frequency of A/T
in HPV E2 gene. In addition, regressing genital viral warts also harbored high G
to-A or C-to-T mutation in HPV E2 gene. Taken together, we demonstrate that
apolipoprotein B mRNA-editing catalytic polypeptide 3 expression and editing
function was heat sensitive to a certain degree, partly explaining the mechanism
of action of local hyperthermia to treat viral warts.
PMID- 27890788
TI - Genetic testing among Spanish pediatric neurologists: Knowledge, attitudes and
practices.
AB - Advances in genetic testing applied to child neurology have enabled the
development of genetic tests with greater sensitivity in elucidating an etiologic
diagnosis for common neurological conditions. The objective of the current study
was to examine child neurologists' perspectives and insights into genetic
testing. We surveyed 118 Spanish child neurologists, exploring their knowledge,
attitudes, and practices concerning genetic tests. All of them had requested at
least one genetic test in the past six months. Global developmental delay or
intellectual disability in absence of a strong specific etiologic suspicion and
autism spectrum disorders were the disorders for which genetic testing was most
frequently requested. The most commonly requested genetic test was CGH-array.
Overall, child neurologist perception of readiness for making genetic-related
decisions was not bad, although many would like to have a greater support from
geneticists and were interested in increasing the time dedicated to genetics
within their continuing education program. These data have important implications
for future practice, research, and education.
PMID- 27890789
TI - Cyclosporin derivatives inhibit hepatitis B virus entry without interfering with
NTCP transporter activity.
AB - BACKGROUND & AIMS: The sodium taurocholate co-transporting polypeptide (NTCP) is
the main target of most hepatitis B virus (HBV) specific entry inhibitors.
Unfortunately, these agents also block NTCP transport of bile acids into
hepatocytes, and thus have the potential to cause adverse effects. We aimed to
identify small molecules that inhibit HBV entry while maintaining NTCP
transporter function. METHODS: We characterized a series of cyclosporine (CsA)
derivatives for their anti-HBV activity and NTCP binding specificity using HepG2
cells overexpressing NTCP and primary human hepatocytes. The four most potent
derivatives were tested for their capacity to prevent HBV entry, but maintain
NTCP transporter function. Their antiviral activity against different HBV
genotypes was analysed. RESULTS: We identified several CsA derivatives that
inhibited HBV infection with a sub-micromolar IC50. Among them, SCY446 and SCY450
showed low activity against calcineurin (CN) and cyclophilins (CyPs), two major
CsA cellular targets. This suggested that instead, these compounds interacted
directly with NTCP to inhibit viral attachment to host cells, and have no
immunosuppressive function. Importantly, we found that SCY450 and SCY995 did not
impair the NTCP-dependent uptake of bile acids, and inhibited multiple HBV
genotypes including a clinically relevant nucleoside analog-resistant HBV
isolate. CONCLUSIONS: This is the first example of small molecule selective
inhibition of HBV entry with no decrease in NTCP transporter activity. It
suggests that the anti-HBV activity can be functionally separated from bile acid
transport. These broadly active anti-HBV molecules are potential candidates for
developing new drugs with fewer adverse effects. LAY SUMMARY: In this study, we
identified new compounds that selectively inhibited hepatitis B virus (HBV)
entry, and did not impair bile acid uptake. Our evidence offers a new strategy
for developing anti-HBV drugs with fewer side effects.
PMID- 27890790
TI - Efficacy and tolerability of an IFN-free regimen with DCV/ASV for elderly
patients infected with HCV genotype 1B.
AB - BACKGROUND & AIMS: Anti-hepatitis C virus (HCV) therapy by interferon (IFN)-free
regimen with oral direct-acting antiviral drugs are tolerable in aged patients,
with fewer adverse effects than IFN-based therapies. We investigated the efficacy
and tolerability of an IFN-free anti-HCV therapy in extremely aged patients, as
well as the survival benefit of sustained virologic response (SVR). METHODS:
Following IFN-free therapy with daclatasvir and asunaprevir, tolerability and SVR
rate were compared between 115 HCV genotype 1-infected patients aged 80years or
older, 151 patients in their 70s (?70 and <80years), and 115 patients under the
age of 70. One-year mortality and morbidity in patients aged ?80years were
compared between SVR patients and propensity score-matched patients with
persistent HCV infection. RESULTS: The SVR rate was 96.5% in patients ?80years,
comparable to that in patients aged ?70 and <80years (95.4%) and patients aged
<70years (93.9%). There were no differences in treatment discontinuation rate
(2.6%, 1.3%, and 0.9%, respectively). One-year mortality was significantly lower
in SVR patients (2.7%) than in patients with persistent HCV infection (15.3%,
p=0.0016). Whereas 1-year mortality due to liver-related diseases was 8.1% in
patients with persistent HCV infection who were aged ?80years, no SVR patients
died from liver diseases within 1-year after the end of therapy. CONCLUSIONS: IFN
free therapy for HCV infection was associated with high tolerability and
antiviral efficacy, even in patients aged ?80years. In addition, there seemed to
be a survival benefit from the eradication of HCV in this population. LAY
SUMMARY: IFN-free therapy with oral direct-acting antiviral drugs (daclatasvir
and asunaprevir) for HCV infection showed similar tolerability and antiviral
efficacy in patients aged ?80years as in younger patients (patients aged ?70 and
<80years and patients aged <70years), with an SVR rate over 90% and no severe
adverse effects. There was a survival benefit from the eradication of HCV even in
patients aged ?80years.
PMID- 27890791
TI - Fecal microbiota manipulation prevents dysbiosis and alcohol-induced liver injury
in mice.
AB - BACKGROUND & AIMS: Alcoholic liver disease (ALD) is a leading cause of liver
failure and mortality. In humans, severe alcoholic hepatitis is associated with
key changes to intestinal microbiota (IM), which influences individual
sensitivity to develop advanced ALD. We used the different susceptibility to ALD
observed in two distinct animal facilities to test the efficiency of two
complementary strategies (fecal microbiota transplantation and prebiotic
treatment) to reverse dysbiosis and prevent ALD. METHODS: Mice were fed alcohol
in two distinct animal facilities with a Lieber DeCarli diet. Fecal microbiota
transplantation was performed with fresh feces from alcohol-resistant donor mice
to alcohol-sensitive receiver mice three times a week. Another group of mice
received pectin during the entire alcohol consumption period. RESULTS: Ethanol
induced steatosis and liver inflammation, which were associated with disruption
of gut homeostasis, in alcohol-sensitive, but not alcohol resistant mice. IM
analysis showed that the proportion of Bacteroides was specifically lower in
alcohol-sensitive mice (p<0.05). Principal coordinate analysis showed that the IM
of sensitive and resistant mice clustered differently. We targeted IM using two
different strategies to prevent alcohol-induced liver lesions: (1) pectin
treatment which induced major modifications of the IM, (2) fecal microbiota
transplantation which resulted in an IM very close to that of resistant donor
mice in the sensitive recipient mice. Both methods prevented steatosis, liver
inflammation, and restored gut homeostasis. CONCLUSIONS: Manipulation of IM can
prevent alcohol-induced liver injury. The IM should be considered as a new
therapeutic target in ALD. LAY SUMMARY: Sensitivity to alcoholic liver disease
(ALD) is driven by intestinal microbiota in alcohol fed mice. Treatment of mice
with alcohol-induced liver lesions by fecal transplant from alcohol fed mice
resistant to ALD or with prebiotic (pectin) prevents ALD. These findings open new
possibilities for treatment of human ALD through intestinal microbiota
manipulation.
PMID- 27890792
TI - Validating the ALBI grade: Its current and future use in HCC prognostication.
PMID- 27890793
TI - Reply to: 'Validating the ALBI grade: Its current and future use in HCC
prognostication'.
PMID- 27890794
TI - Target regulation of PI3K/Akt/mTOR pathway by cannabidiol in treatment of
experimental multiple sclerosis.
AB - This study was aimed to investigate whether treatment with purified cannabidiol
(CBD) may counteract the development of experimental multiple sclerosis (MS), by
targeting the PI3K/Akt/mTOR pathway. Although the PI3K/Akt/mTOR pathway was found
to be activated by cannabinoids in several immune and non-immune cells,
currently, there is no data about the effects of CBD in the PI3K/Akt/mTOR
activity in MS. Experimental Autoimmune Encephalomyelitis (EAE), the most common
model of MS, was induced in C57BL/6 mice by immunization with myelin
oligodendroglial glycoprotein peptide (MOG)35-55. After EAE onset, which occurs
approximately 14days after disease induction, mice were daily intraperitoneally
treated with CBD (10mg/kg mouse) and observed for clinical signs of EAE. At
28days from EAE-induction, mice were euthanized and spinal cord tissues were
sampled to perform immunohistochemical evaluations and western blot analysis. Our
results showed a clear downregulation of the PI3K/Akt/mTOR pathway following EAE
induction. CBD treatment was able to restore it, increasing significantly the
phosphorylation of PI3K, Akt and mTOR. Also, an increased level of BNDF in CBD
treated mice seems to be involved in the activation of PI3K/Akt/mTOR pathway. In
addition, our data demonstrated that therapeutic efficacy of CBD treatment is due
to reduction of pro-inflammatory cytokines, like IFN-gamma and IL-17 together
with an up-regulation of PPARgamma. Finally, CBD was found to promote neuronal
survival by inhibiting JNK and p38 MAP kinases. These results provide an
interesting discovery about the regulation of the PI3K/Akt/mTOR pathway by
cannabidiol administration, that could be a new potential therapeutic target for
MS management.
PMID- 27890795
TI - Inhibition of histone/lysine acetyltransferase activity kills CoCl2-treated and
hypoxia-exposed gastric cancer cells and reduces their invasiveness.
AB - Hypoxia enhances immortality and metastatic properties of solid tumors.
Deregulation of histone acetylation has been associated with several metastatic
cancers but its effect on hypoxic responses of cancer cells is not known. This
study aimed at understanding the effectiveness of the hydrazinocurcumin, CTK7A,
an inhibitor of p300 lysine/histone acetyltransferase (KAT/HAT) activity, in
inducing apoptosis of gastric cancer cells (GCCs) exposed to cobalt chloride
(CoCl2), a hypoxia-mimetic chemical, or 1% O2. Here, we show that CTK7A-induced
hydrogen peroxide (H2O2) generation in CoCl2-exposed and invasive gastric cancer
cells (GCCs) leads to p38 MAPK-mediated Noxa expression and thereafter,
mitochondrial apoptotic events. Noxa induction in normal immortalized gastric
epithelial cells after CTK7A and hypoxia-exposure is remarkably less in
comparison to similarly-treated GCCs. Moreover, hypoxia-exposed GCCs, which have
acquired invasive properties, become apoptotic after CTK7A treatment to a
significantly higher extent than normoxic cells. Thus, we show the potential of
CTK7A in sensitizing hypoxic and metastatic GCCs to apoptosis induction.
PMID- 27890797
TI - 3-Bromopyruvate treatment induces alterations of metabolic and stress-related
pathways in glioblastoma cells.
AB - : Glioblastoma (GBM) is the most common and aggressive brain tumour of adults.
The metabolic phenotype of GBM cells is highly dependent on glycolysis;
therefore, therapeutic strategies aimed at interfering with glycolytic pathways
are under consideration. 3-Bromopyruvate (3BP) is a potent antiglycolytic agent,
with a variety of targets and possible effects on global cell metabolism. Here we
analyzed the changes in protein expression on a GBM cell line (GL15 cells) caused
by 3BP treatment using a global proteomic approach. Validation of differential
protein expression was performed with immunoblotting and enzyme activity assays
in GL15 and U251 cell lines. The results show that treatment of GL15 cells with
3BP leads to extensive changes in the expression of glycolytic enzymes and stress
related proteins. Importantly, other metabolisms were also affected, including
pentose phosphate pathway, aminoacid synthesis, and glucose derivatives
production. 3BP elicited the activation of stress response proteins, as shown by
the phosphorylation of HSPB1 at serine 82, caused by the concomitant activation
of the p38 pathway. Our results show that inhibition of glycolysis in GL15 cells
by 3BP influences different but interconnected pathways. Proteome analysis may
help in the molecular characterization of the glioblastoma response induced by
pharmacological treatment with antiglycolytic agents. SIGNIFICANCE: Alteration of
the glycolytic pathway characterizes glioblastoma (GBM), one of the most common
brain tumours. Metabolic reprogramming with agents able to inhibit carbohydrate
metabolism might be a viable strategy to complement the treatment of these
tumours. The antiglycolytic agent 3-bromopyruvate (3BP) is able to strongly
inhibit glycolysis but it may affect also other cellular pathways and its precise
cellular targets are currently unknown. To understand the protein expression
changes induced by 3BP, we performed a global proteomic analysis of a GBM cell
line (GL15) treated with 3BP. We found that 3BP affected not only the glycolytic
pathway, but also pathways sharing metabolic intermediates with glycolysis, such
as the pentose phosphate pathway and aminoacid metabolism. Furthermore, changes
in the expression of proteins linked to resistance to cell death and stress
response were found. Our work is the first analysis on a global scale of the
proteome changes induced by 3BP in a GBM model and may contribute to clarifying
the anticancer potential of this drug.
PMID- 27890796
TI - Temporal profiles of plasma proteome during childhood development.
AB - Human blood plasma proteome reflects physiological changes associated with a
child's development as well as development of disease states. While age-specific
normative values are available for proteins routinely measured in clinical
practice, there is paucity of comprehensive longitudinal data regarding changes
in human plasma proteome during childhood. We applied TMT-10plex isobaric
labeling-based quantitative proteomics to longitudinally profile the plasma
proteome in 10 healthy children during their development, each with 9 serial time
points from 9months to 15years of age. In total, 1828 protein groups were
identified at peptide and protein level false discovery rate of 1% and with at
least two razor and unique peptides. The longitudinal expression profiles of 1747
protein groups were statistically modeled and their temporal changes were
categorized into 7 different patterns. The patterns and relative abundance of
proteins obtained by LC-MS were also verified with ELISA. To our knowledge, this
study represents the most comprehensive longitudinal profiling of human plasma
proteome to date. The temporal profiles of plasma proteome obtained in this study
provide a comprehensive resource and reference for biomarker studies in childhood
diseases. Biological significance: A pediatric plasma proteome database with
longitudinal expression patterns of 1747 proteins from neonate to adolescence was
provided to the research community. 970 plasma proteins had age-dependent
expression trends, which demonstrated the importance of longitudinal profiling
study to identify the potential biomarkers specific to childhood diseases, and
the requirement of strictly age-matched clinical samples in a cross-sectional
study in pediatric population.
PMID- 27890798
TI - Performance of the subcutaneous implantable cardioverter-defibrillator in
patients with a primary prevention indication with and without a reduced ejection
fraction versus patients with a secondary prevention indication.
AB - BACKGROUND: The subcutaneous implantable defibrillator (S-ICD) provides an
alternative to the transvenous ICD for the prevention of sudden cardiac death,
but has not been well studied in the most commonly treated transvenous ICD
patient population, namely, primary prevention (PP) patients with left
ventricular dysfunction. OBJECTIVE: The analyses in the present study were
designed to compare clinical outcomes for PP patients with and without a reduced
ejection fraction (EF) and secondary prevention (SP) patients implanted with the
S-ICD. METHODS: All patients 18 years and older from the S-ICD IDE study and the
EFFORTLESS Registry with available data as of November 18, 2013, were included (n
= 856; mean follow-up duration 644 days). Outcomes were evaluated in 2 analyses:
(1) comparing all PP patients (n = 603, 70.4%) with all SP patients (n = 253,
29.6%) and (2) comparing all PP patients with an EF <=35% (n = 379) with those
with an EF >35% (n = 149, 17.4%). RESULTS: No differences were observed in
mortality, complications, inappropriate therapy, or ability to convert
ventricular tachyarrhythmias between SP and PP patients. However, SP patients had
a higher incidence of appropriate therapy than did PP patients (11.9% vs 5.0%; P
= .0004). In the PP subanalysis, the cohort with an EF <=35% had significantly
older patients with more comorbidities and higher mortality (3.0% annually vs
0.0%). Despite these differences, device-related complications, conversion
efficacy, and incidence of inappropriate shock therapies were not significantly
different between PP subgroups. CONCLUSION: The S-ICD performs well in protecting
patients with either PP or SP implant indications from sudden cardiac death.
Within PP patients, device performance was independent of EF.
PMID- 27890799
TI - Enhancing gilthead seabream immune status and protection against bacterial
challenge by means of antigens derived from Vibrio parahaemolyticus.
AB - In an attempt to control the proliferation of the pathogenic bacterium Vibrio
parahaemolyticus in gilthead seabream (Sparus aurata), the immunostimulant effect
of lysate and ToxA from this bacterium was evaluated. Fish were intraperitoneally
injected twice (first injection, day 1 of the experiment; second injection, day
7) and sampled after one week (on days 8 and 15). Afterwards, all fish specimens
were experimentally infected with V. parahaemolyticus and mortality was recovered
for 1 week. Fish injected with lysate, ToxA and phosphate buffer saline (control)
showed 100%, 50% and 0% survival, respectively, when challenged with the
pathogen. Skin mucus immune parameters and immune-related gene expression in skin
and spleen were also evaluated. The results showed that mucus immune parameters
were enhanced in the lysate and ToxA groups compared with the values obtained for
fish from the control group. Expression of IL-1beta, TNF-alpha, C3 and IgM genes
was significantly up-regulated in the lysate and ToxA groups, principally after
infection with the bacterium. Interestingly, TLR5 gene expression increased in
fish immunized with lysate. The most prominent histological characteristic in gut
from infected fish was the presence of a great number of intraepithelial
leucocytes as well as inflammation of the submucosa, while severe hydropic
degeneration and hemosiderosis were detected in liver from infected fish.
Injection of lysate or ToxA had a protective effect against the deleterious
consequences of subsequent infection with V. parahaemolyticus in gut and liver.
The findings underline the potential of lysate and ToxA as potent preventive
antigens against this kind of vibriosis.
PMID- 27890800
TI - Metabolic responses of Haliotis diversicolor to Vibrio parahaemolyticus
infection.
AB - Vibrio parahemolyticus is a devastating bacterial pathogen that often causes
outbreak of vibriosis in abalone Haliotis diversicolor. Elucidation of metabolic
mechanisms of abalones in responding to V. parahemolyticus infection is essential
for controlling the epidemic. In this work, 1H NMR-based metabolomic techniques
along with correlation and network analyses are used to investigate
characteristic metabolites, as well as corresponding disturbed pathways in
hepatopancreas and gill of H. diversicolor after V. parahemolyticus infection for
48 h. Results indicate that obvious gender- and tissue-specific metabolic
responses are induced. Metabolic responses in female abalones are more clearly
observed than those in males, which are primarily manifested in the accumulation
of branched-chain amino acids and the depletion of organic osmolytes (homarine,
betaine and taurine) in the infected gills of female abalones, as well as in the
depletion of glutamate, branched-chain and aromatic amino acids in the infected
hepatopancreases of female abalones. Moreover, based on major metabolic functions
of the characteristic metabolites, we have found that V. parahemolyticus
infection not only cause the disturbance in energy metabolism, nucleotide
metabolism and osmotic balance, but also induce oxidative stress, immune stress
and neurotoxic effect in different tissues with various mechanisms. Our study
provides details of metabolic responses of abalones to V. parahemolyticus
infection and will shed light on biochemical defence mechanisms of male and
female hosts against pathogen infection.
PMID- 27890801
TI - EUS-guided paclitaxel injection as an adjunctive therapy to systemic chemotherapy
and concurrent external beam radiation before surgery for localized or
locoregional esophageal cancer: a multicenter prospective randomized trial.
AB - BACKGROUND AND AIMS: OncoGel (Protherics Salt Lake City, Inc, Salt Lake City, UT)
is paclitaxel (PTX) formulated in a thermosensitive, biodegradable copolymer for
focused cytotoxicity and radiosensitization. A phase 2a study suggested that EUS
guided PTX injection into esophageal tumors subsequently receiving radiotherapy
was safe. METHODS: In an international multicenter, prospective, randomized phase
2b study, patients with local or locoregional adenocarcinoma or squamous cell
carcinoma (SCC) of the esophagus/gastroesophageal junction and eligible for
neoadjuvant chemoradiotherapy (CRT) before surgery were randomized to standard of
care (SOC) plus EUS-guided PTX injection or SOC alone. PTX was injected in 0.5 to
1.0 mL aliquots throughout the tumor. Planned CRT as SOC was intravenous 5
fluorouracil for the first 4 days (weeks 1 and 5), intravenous cisplatin on the
first day of each 5-fluorouracil course, and radiotherapy over 5.5 weeks.
Patients were evaluated weekly during CRT and re-evaluated at 12 weeks for
surgical eligibility and CT for change in overall tumor volume. RESULTS: The
analysis included 137 patients (97 males; mean age, 58 +/- 9.1 years) randomized
to PTX + SOC (n = 72) and SOC (n = 65) by using a modified intention-to-treat
approach. Overall response by tumor volume between the PTX (12.5%) and the SOC
group (20.0%; P = .24; odds ratio, 0.57; 95% confidence interval, 0.23-1.44) was
similar. Pathologic complete response was higher in the SOC group (26.2% vs
12.5%; P = .046); however, 12-month survival (P = .412) and the overall frequency
of 1 or more adverse events (P = .17) were similar between the 2 groups.
CONCLUSIONS: SOC + PTX is safe but does not improve overall survival or overall
tumor response at the primary tumor site for patients with local or locoregional
cancer of the esophagus/gastroesophageal junction. (Clinical trial registration
number: NCT00573131.).
PMID- 27890802
TI - Highly water-absorbing silk yarn with interpenetrating network via in situ
polymerization.
AB - Silk was modified via in situ polymerization of two monomers acrylamide and
sodium acrylate by swelling in an effective LiBr dissolution system. Swelling of
natural silks in LiBr solutions of low concentration was clearly observed under
optical microscope, and their conformational changes were revealed by X-ray
diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. Dissolution
tests and FTIR spectra of these modified silks suggested the presence of
interpenetrating network of polyacrylamide and poly(sodium acrylate) in the silk
yarns. These modified silks exhibited superior water absorption to that of raw
silk and greatly improved mechanical properties in both dry and wet states. These
novel modified silks also showed low cytotoxicity towards skin keratinocytes,
having potential applications in biomedical textiles. This modification method by
in situ polymerization after swelling in LiBr provides a new route to highly
enhance the properties and performance of silk for various applications.
PMID- 27890803
TI - Neural signatures of phonological deficits in Chinese developmental dyslexia.
AB - There has been debate on whether phonological deficits explain reading difficulty
in Chinese, since Chinese is a logographic language which does not employ
grapheme-phoneme-correspondence rules and remote memorization seems to be the
main method to acquire reading. In the current study, we present neuroimaging
evidence that the phonological deficit is also a signature of Chinese dyslexia.
Specifically, we found that Chinese children with dyslexia (DD) showed reduced
brain activation in the left dorsal inferior frontal gyrus (dIFG) when compared
to both age-matched controls (AC) and reading-matched controls (RC) during an
auditory rhyming judgment task. This suggests that the phonological processing
deficit in this region may be a signature of dyslexia in Chinese, rather than a
difference due to task performance or reading ability, which was matched on DD
and RC. At exactly the same region of the left dIFG, we found a positive
correlation between brain activation and reading skill in DD, suggesting that the
phonological deficit is associated with the severity of dyslexia. We also found
increased brain activation in the right precentral gyrus in DD than both AC and
RC, suggesting a compensation of reliance on articulation. Functional
connectivity analyses revealed that DD had a weaker connection between the left
superior temporal gyrus (STG) and fusiform gyrus (FG) than the two control
groups, suggesting that the reduced connection between phonology and orthography
is another neural signature of dyslexia. In contrast, DD showed greater
connectivity between the left dIFG and the left inferior parietal lobule (IPL)
than both control groups, suggesting a reduced segregation between the language
network and default mode network in dyslexic children. We also found that
connectivity between the left STG and the left dIFG was sensitive to task
performance and/or reading skill rather than being dyslexic or not, because AC
was greater than both RC and DD, while the connectivity between the left middle
occipital gyrus (MOG) and left STG was sensitive to age, because both AC and DD
were greater than RC. In summary, our study provides the very first neurological
evidence of phonological deficits in Chinese developmental dyslexia and we
successfully distinguished variations of brain activity/functional connectivity
due to age, performance, and dyslexia by comparing AC, RC, and DD.
PMID- 27890805
TI - Predicting brain-age from multimodal imaging data captures cognitive impairment.
AB - The disparity between the chronological age of an individual and their brain-age
measured based on biological information has the potential to offer clinically
relevant biomarkers of neurological syndromes that emerge late in the lifespan.
While prior brain-age prediction studies have relied exclusively on either
structural or functional brain data, here we investigate how multimodal brain
imaging data improves age prediction. Using cortical anatomy and whole-brain
functional connectivity on a large adult lifespan sample (N=2354, age 19-82), we
found that multimodal data improves brain-based age prediction, resulting in a
mean absolute prediction error of 4.29 years. Furthermore, we found that the
discrepancy between predicted age and chronological age captures cognitive
impairment. Importantly, the brain-age measure was robust to confounding effects:
head motion did not drive brain-based age prediction and our models generalized
reasonably to an independent dataset acquired at a different site (N=475).
Generalization performance was increased by training models on a larger and more
heterogeneous dataset. The robustness of multimodal brain-age prediction to
confounds, generalizability across sites, and sensitivity to clinically-relevant
impairments, suggests promising future application to the early prediction of
neurocognitive disorders.
PMID- 27890806
TI - The endocrine disrupting potential of monosodium glutamate (MSG) on secretion of
the glucagon-like peptide-1 (GLP-1) gut hormone and GLP-1 receptor interaction.
AB - Monosodium glutamate (MSG) is a suspected obesogen with epidemiological evidence
positively correlating consumption to increased body mass index and higher
prevalence of metabolic syndrome. ELISA and high content analysis (HCA) were
employed to examine the disruptive effects of MSG on the secretion of
enteroendocrine hormone glucagon-like peptide-1 (GLP-1) and GLP-1 receptor (GLP
1R), respectively. Following 3h MSG exposure of the enteroendocrine pGIP/neo: STC
1 cell line model (500MUg/ml) significantly increased GLP-1 secretion (1.8 fold;
P<=0.001), however, 72h exposure (500MUg/ml) caused a 1.8 fold decline (P<=0.05).
Also, 3h MSG exposure (0.5-500MUg/ml) did not induce any cytotoxicity (including
multiple pre-lethal markers) but 72h exposure at 250-500MUg/ml, decreased cell
number (11.8-26.7%; P<=0.05), increased nuclear area (23.9-29.8%; P<=0.001) and
decreased mitochondrial membrane potential (13-21.6%; P<=0.05). At 500MUg/ml, MSG
increased mitochondrial mass by 16.3% (P<=0.01). MSG did not agonise or
antagonise internalisation of the GLP-1R expressed recombinantly in U2OS cells,
following GLP-1 stimulation. In conclusion, 72h exposure of an enteroendocrine
cell line at dietary levels of MSG, results in pre-lethal cytotoxicity and
decline in GLP-1 secretion. These adverse events may play a role in the
pathogenesis of obesity as outlined in the obesogen hypothesis by impairing GLP-1
secretion, related satiety responses and glucose-stimulated insulin release.
PMID- 27890807
TI - Diosmin-induced senescence, apoptosis and autophagy in breast cancer cells of
different p53 status and ERK activity.
AB - Relatively low bioavailability of plant-derived nutraceuticals with anticancer
properties may limit their usefulness for prevention and therapy of cancer. In
the present study, we have screened for nutraceuticals (n=30) that would act at
low micromolar range against phenotypically distinct breast cancer cell lines,
namely MCF-7 (ER+, PR+/-, HER2-), MDA-MB-231 (ER-, PR-, HER2-) and SK-BR-3 (ER-,
PR-, HER2+), and diosmin, a citrus fruit flavonoid belonging to a flavone
subclass, was selected. MCF-7 cell line was found to be the most sensitive to
diosmin treatment. Diosmin caused G2/M cell cycle arrest, elevation in p53, p21
and p27 levels and stress-induced premature senescence when used at lower
concentrations (5 and 10MUM). Diosmin (20MUM) also promoted apoptosis that was
not observed in normal human mammary epithelial cells (HMEC). Diosmin stimulated
oxidative and nitrosative stress, DNA damage and changes in global DNA
methylation patterns. The status of p53 (wild type versus mutant) and the levels
of phosphorylated ERK1/2 in a steady state, and diosmin-induced autophagy may
reflect diverse response to diosmin treatment in MCF-7, MDA-MB-231 and SK-BR-3
cells, which in turn results in different cell fates. Taken together, diosmin
that acts at low micromolar range against breast cancer cells may be considered
as a promising candidate for anticancer therapy.
PMID- 27890808
TI - Use of physiologically based kinetic modeling-facilitated reverse dosimetry of in
vitro toxicity data for prediction of in vivo developmental toxicity of
tebuconazole in rats.
AB - Toxicological hazard and risk assessment largely rely on animal testing. For
economic and ethical reasons, the development and validation of reliable
alternative methods for these animal studies, such as in vitro assays, are
urgently needed. In vitro concentration-response curves, however, need to be
translated into in vivo dose-response curves for risk assessment purposes. In the
present study, we translated in vitro concentration-response data of the
antifungal compound tebuconazole, obtained in the ES-D3 cell differentiation
assay, into predicted in vivo dose-response data for developmental toxicity using
physiologically based kinetic (PBK) modeling-facilitated reverse dosimetry. Using
the predicted in vivo dose-response data BMD(L)10 values for developmental
toxicity in rat were calculated and compared with NOAEL values for developmental
toxicity data in rats as reported in the literature. The results show that the
BMDL10 value from predicted dose-response data are a reasonable approximation of
the NOAEL values (ca. 3-fold difference). It is concluded that PBK modeling
facilitated reverse dosimetry of in vitro toxicity data is a promising tool to
predict in vivo dose-response curves and may have the potential to define a point
of departure for deriving safe exposure limits in risk assessment.
PMID- 27890804
TI - Quantitative sodium MR imaging: A review of its evolving role in medicine.
AB - Sodium magnetic resonance (MR) imaging in humans has promised metabolic
information that can improve medical management in important diseases. This
technology has yet to find a role in clinical practice, lagging proton MR imaging
by decades. This review covers the literature that demonstrates that this delay
is explained by initial challenges of low sensitivity at low magnetic fields and
the limited performance of gradients and electronics available in the 1980s.
These constraints were removed by the introduction of 3T and now ultrahigh (>=7T)
magnetic field scanners with superior gradients and electronics for proton MR
imaging. New projection pulse sequence designs have greatly improved sodium
acquisition efficiency. The increased field strength has provided the expected
increased sensitivity to achieve resolutions acceptable for metabolic
interpretation even in small target tissues. Consistency of quantification of the
sodium MR image to provide metabolic parametric maps has been demonstrated by
several different pulse sequences and calibration procedures. The vital roles of
sodium ion in membrane transport and the extracellular matrix will be reviewed to
indicate the broad opportunities that now exist for clinical sodium MR imaging.
The final challenge is for the technology to be supplied on clinical >=3T
scanners.
PMID- 27890809
TI - Germ cell responses to doxorubicin exposure in vitro.
AB - Anthracyclines such as doxorubicin (Dox), widely used to treat various types of
tumours, may result in induced testicular toxicity and oxidative stress. The
present investigation was designed to determine whether exposure of isolated and
purified mouse germ cells to Dox induces DNA damage in the form of strand breaks
(presumably) resulting in apoptosis and to investigate the relative sensitivity
of specific cell types. DNA damage was assessed using the Comet assay and the
presence of apoptosis was determined by TUNEL assay. Isolated mouse germ cells
were treated with different concentrations (0.05, 0.5 and 1mM, respectively) of
Dox, and fixed 1h after treatment. The incidences of both DNA damage shown by
single cell gel-electrophoresis and of apoptosis increased significantly in each
specific cell type in a concentration-dependent manner. The DNA damage and
apoptosis incidences gradually increased with concentration from 0.05 to 1mM with
Dox. Our results indicate that apoptosis plays a vital role in the induction of
germ cell phase-specific toxicity caused by Dox with pre-meiotically and
meiotically dividing spermatogonia and spermatocytes respectively as highly
susceptible target cells.
PMID- 27890811
TI - Enteric fever in Barcelona: Changing patterns of importation and antibiotic
resistance.
AB - BACKGROUND: Enteric fever's incidence is decreasing among residents of high
income countries, although it's rising in travelers coming from low-resource
endemic settings. The study's aim is to describe epidemiological, clinical and
laboratory features of patients with enteric fever. METHODS: Retrospective
descriptive study of enteric fever cases diagnosed at a Tropical Medicine Unit in
Barcelona, 1993-2012. RESULTS: Out of 40 patients, 31(77,5%) were returning
travelers, and 70% of them had been in Southern Asia. In the rest of patients
without an antecedent of a recent travel, the infection occurred mainly before
year 2000. The more frequently reported symptoms were fever and diarrhea, lacking
significant differences between S. typhi and S. paratyphi infections. Quinolones
were used as empiric treatment in 47.2% of patients, 36.1% received 3rd
generation cephalosporins, 2.78% azithromycin and 13.89% other combinations.
Resistance to quinolones in the S. paratyphi group (66.7%) was significantly
higher compared with the S. typhi group (20%) (p:0.02). 22.5% of patients had
treatment failure and 23.6% patients presented complications, none of them had
been previously vaccinated. CONCLUSIONS: The diagnosis of enteric fever was more
frequent among travelers coming from Southern-East Asia. Quinolone resistance is
widely spread, particularly in S. paratyphi serotypes and should not be
considered as first choice treatment anymore.
PMID- 27890812
TI - Burden of tuberculosis in migrants in Honduras: Potential implications for spread
of resistant mycobacteria.
PMID- 27890813
TI - International travel is a risk factor for extended-spectrum beta-lactamase
producing Enterobacteriaceae acquisition in children: A case-case-control study
in an urban U.S. hospital.
AB - BACKGROUND: Extended-spectrum beta-lactamase-producing Enterobacteriaceae (ESBL)
infections are increasing in both adults and children. The aim of this study was
to describe the epidemiology of children with ESBL in an ethnically-diverse
population, to determine what proportion of these infections were community
onset, and to identify risk factors predisposing children to ESBL acquisition.
METHODS: A case-case-control study of children aged 0-18 years was conducted from
2012 to 2014. Patients with ESBL (detected via VITEK2) were matched 1:1:5 (based
on age, sex, specimen source, and healthcare setting) with non-ESBL and
uninfected controls. Data on prior antibiotic and healthcare exposure,
international travel, prior urinary tract infection (UTI), comorbid
gastrointestinal (GI), genitourinary (GU), neurologic, and immunocompromising
conditions were collected and compared. RESULTS: Seventy-six patients were
identified with 85 ESBL infections, of which 77 (91%) were E. coli. ESBL was
isolated most frequently from urine (n = 72, 85%). Most infections were community
onset (n = 76, 89%) and were managed in the ambulatory setting (n = 47, 62%). On
multivariate analysis, international travel (p < 0.001, OR 8.93; CI 2.92-27.78),
comorbid GI condition (p = 0.002, OR 2.65, CI 1.36-5.15), Asian race (p = 0.005,
OR 2.56, CI 1.34-4.89) and prior UTI (p < 0.001, OR 8.06, CI 3.47-18.87) were
significant risk factors for ESBL. CONCLUSION: Most ESBL infections in this study
were community-onset. To our knowledge, this is the first description of
international travel as a risk factor for ESBL acquisition in children in the
United States.
PMID- 27890814
TI - Response to comment by Juan Segura-Aguilar: New preclinical model are required to
discover neuroprotective compound in Parkinson's disease.
PMID- 27890810
TI - Interaction of language, auditory and memory brain networks in auditory verbal
hallucinations.
AB - Auditory verbal hallucinations (AVH) occur in psychotic disorders, but also as a
symptom of other conditions and even in healthy people. Several current theories
on the origin of AVH converge, with neuroimaging studies suggesting that the
language, auditory and memory/limbic networks are of particular relevance.
However, reconciliation of these theories with experimental evidence is missing.
We review 50 studies investigating functional (EEG and fMRI) and anatomic
(diffusion tensor imaging) connectivity in these networks, and explore the
evidence supporting abnormal connectivity in these networks associated with AVH.
We distinguish between functional connectivity during an actual hallucination
experience (symptom capture) and functional connectivity during either the
resting state or a task comparing individuals who hallucinate with those who do
not (symptom association studies). Symptom capture studies clearly reveal a
pattern of increased coupling among the auditory, language and striatal regions.
Anatomical and symptom association functional studies suggest that the
interhemispheric connectivity between posterior auditory regions may depend on
the phase of illness, with increases in non-psychotic individuals and first
episode patients and decreases in chronic patients. Leading hypotheses involving
concepts as unstable memories, source monitoring, top-down attention, and hybrid
models of hallucinations are supported in part by the published connectivity
data, although several caveats and inconsistencies remain. Specifically, possible
changes in fronto-temporal connectivity are still under debate. Precise
hypotheses concerning the directionality of connections deduced from current
theoretical approaches should be tested using experimental approaches that allow
for discrimination of competing hypotheses.
PMID- 27890815
TI - Passive drug permeation through membranes and cellular distribution.
AB - Although often overlooked, passive mechanisms can lead to significant
accumulation or restriction of drugs to intracellular sites of drug action. These
mechanisms include lipoidal diffusion of ionized species and pH partitioning
according to the electrochemical potential and to pH gradients that exist across
subcellular compartments, respectively. These mechanisms are increasingly being
exploited in the design of safe and effective drugs for the treatment of a wide
variety of diseases. In this work, the authors review these efforts and the
associated passive mechanisms of cellular drug permeation. A generic mathematical
model of the cell is provided and used to illustrate concepts relevant to steady
state intracellular distribution. Finally, the authors review methods for
estimating determinant parameters and measuring the net effect at the level of
unbound intracellular drug concentrations.
PMID- 27890816
TI - Pathophysiology of hemophilic arthropathy and potential targets for therapy.
AB - Hemophilia is a congenital clotting factor deficiency characterized by
spontaneous and trauma-related bleeding. Spontaneous bleeding shows a
predilection for joints, and repeated hemarthroses lead to a disabling condition
called hemophilic arthropathy. Treatment of this condition consists of preventing
joint bleeding on the one hand and orthopedic surgery as a last resort on the
other. Up till now, there is no disease modifying therapy available to fill the
gap between these extremes. This review provides an overview of the pathogenesis
of hemophilic arthropathy in order to identify potential targets for therapy.
Joint bleeding induces synovial inflammation, cartilage degeneration and bone
damage. These processes interact with each other and result in a vicious circle.
Hemarthrosis promotes synovial hypertrophy and neoangiogenesis, increasing the
susceptibility to mechanical damage and subsequent bleeding. The inflamed
synovium affects the cartilage, while cartilage is also directly affected by
blood via the release of cytokines and metalloproteinases, and via hydroxyl
radical formation inducing chondrocyte apoptosis. Apart from the inflammatory
pathways, iron plays a pivotal role in this process, as does the fibrinolytic
system. Considering its pathogenesis, potential targets for disease modifying
therapy in hemophilic arthropathy are iron, inflammation, vascular remodeling,
hyperfibrinolysis, bone remodeling and cartilage regeneration. So far, iron
chelators, anti-inflammatory therapy, anti-fibrinolytics and bone remodeling
agents have demonstrated beneficial effects, predominantly in a preclinical
setting. There is still a long way to go before these interventions will
translate into clinical practice. The most important challenges are: establishing
a universal outcome measure to predict efficacy in humans, and determination of
the optimal route and timing to administer disease modifying therapy.
PMID- 27890818
TI - The anabolic steroid nandrolone alters cannabinoid self-administration and brain
CB1 receptor density and function.
AB - Clinical and pre-clinical observations indicate that anabolic-androgenic steroids
can induce neurobiological changes that alter the rewarding effects of drugs of
abuse. In this study, we investigated the effect of the anabolic steroid
nandrolone on the rewarding properties of the cannabinoid CB1 receptor agonist
WIN55,212-2 (WIN) in rats. Lister Hooded male rats were treated intramuscularly
with nandrolone (15mg/kg) or vehicle for 14 consecutive days, and then allowed to
self-administer WIN (12.5MUg/kg/infusion) intravenously. After reaching stable
drug intake, self-administration behavior was extinguished to examine drug- and
cue-induced reinstatement of cannabinoid-seeking behavior. Other behavioral
parameters presumed to influence drug-taking and drug-seeking behaviors were
examined to gain more insight into the behavioral specificity of nandrolone
treatment. Finally, animals were sacrificed for analysis of CB1 receptor density
and function in selected brain areas. We found that nandrolone-treated rats self
administered up to 2 times more cannabinoid than vehicle-treated rats, but
behaved similarly to control rats when tested for drug- and cue-induced
reinstatement of cannabinoid-seeking behavior. Enhanced cannabinoid intake by
nandrolone-treated rats was not accompanied by changes in locomotor activity,
sensorimotor gating, or memory function. However, our molecular data show that
after chronic WIN self-administration nandrolone-treated rats display altered CB1
receptor density and function in selected brain areas. We hypothesize that
increased cannabinoid self-administration in nandrolone-treated rats results from
a nandrolone-induced decrease in reward function, which rats seem to compensate
by voluntarily increasing their cannabinoid intake. Altogether, our findings
corroborate the hypothesis that chronic exposure to anabolic-androgenic steroids
induces dysfunction of the reward pathway in rats and might represent a potential
risk factor for abuse of cannabis and other drugs in humans.
PMID- 27890819
TI - Reply to the letter addressed by Amr A. EL-Arabey "Dual function of OCT-2 and
MATE1 in Cisplatin induced nephrotoxicity".
PMID- 27890817
TI - sec-Butylpropylacetamide (SPD), a new amide derivative of valproic acid for the
treatment of neuropathic and inflammatory pain.
AB - Chronic pain is a multifactorial disease comprised of both inflammatory and
neuropathic components that affect ~20% of the world's population. sec
Butylpropylacetamide (SPD) is a novel amide analogue of valproic acid (VPA)
previously shown to possess a broad spectrum of anticonvulsant activity. In this
study, we defined the pharmacokinetic parameters of SPD in rat and mouse, and
then evaluated its antinociceptive potential in neuropathic and acute
inflammatory pain models. In the sciatic nerve ligation (SNL) model of
neuropathic pain, SPD was equipotent to gabapentin and more potent than its
parent compound VPA. SPD also showed either higher or equal potency to VPA in the
formalin, carrageenan, and writhing tests of inflammatory pain. SPD showed no
effects on compound action potential properties in a sciatic nerve preparation,
suggesting that its mechanism of action is distinct from local anesthetics and
membrane stabilizing drugs. SPD's activity in both neuropathic and inflammatory
pain warrants its development as a potential broad-spectrum anti-nociceptive
drug.
PMID- 27890820
TI - Recent developments in natural product-based drug discovery for tuberculosis.
AB - Natural products (NPs) have been at the origin of several established drugs
against tuberculosis (TB). Although the current clinical TB pipeline does not
feature any candidates derived from new NP scaffolds, numerous novel NPs or NP
analogs have been discovered in the recent past with promising activity against
Mycobacterium tuberculosis (Mtb). This includes newly discovered structures as
well as known NP classes that had not been previously recognized to be active
against Mtb. These compounds could help to replenish the dry clinical TB pipeline
and, thus, contribute to improvements in the treatment of a devastating disease.
PMID- 27890821
TI - Molecular dynamics-driven drug discovery: leaping forward with confidence.
AB - Given the significant time and financial costs of developing a commercial drug,
it remains important to constantly reform the drug discovery pipeline with novel
technologies that can narrow the candidates down to the most promising lead
compounds for clinical testing. The past decade has witnessed tremendous growth
in computational capabilities that enable in silico approaches to expedite drug
discovery processes. Molecular dynamics (MD) has become a particularly important
tool in drug design and discovery. From classical MD methods to more
sophisticated hybrid classical/quantum mechanical (QM) approaches, MD simulations
are now able to offer extraordinary insights into ligand-receptor interactions.
In this review, we discuss how the applications of MD approaches are
significantly transforming current drug discovery and development efforts.
PMID- 27890822
TI - Visual light effects on mitochondria: The potential implications in relation to
glaucoma.
AB - Light of different wave-lengths have the potential to interact with four major
mitochondrial protein complexes that are involved in the generation of ATP.
Neurones of the central nervous system have an absolute dependence on
mitochondrial generated ATP. Laboratory studies show that short-wave or blue
light (400-480nm) that impinges on the retina affect flavin and cytochrome
constituents associated with mitochondria to decrease the rate of ATP formation,
stimulate ROS and results in cell death. This suggests that blue light could
potentially have a negative influence on retinal ganglion cell (RGC) mitochondria
that are abundant and not shielded by macular pigments as occurs for
photoreceptor mitochondria. This might be of significance in glaucoma where it is
likely that RGC mitochondria are already affected and therefore be more
susceptible to blue light. Thus simply filtering out some natural blue light from
entering the eye might be beneficial for the treatment of glaucoma. Long-wave or
red light (650-800nm) affects mitochondrial complex IV or cytochrome oxidase to
increase the rate of formation of ATP and ROS causing the generation of a number
of beneficial factors. Significantly, laboratory studies show that increasing the
normal amount of natural red light reaching rat RGC mitochondria in situ,
subjected to ischemia, proved to be beneficial. A challenge now is to test
whether extra red light delivered to the human retina can slow-down RGC loss in
glaucoma. Such a methodology has also the advantage of being non-invasive. One
very exciting possibility might be in the production of a lens where solar UV
light is convertes to add to the amount of natural red light entering the eye.
PMID- 27890823
TI - Assessment of thyroid function in intensive care unit patients by liquid
chromatography tandem mass spectrometry methods.
AB - OBJECTIVES: Patients with non-thyroidal illness syndrome have many abnormalities
in thyroid hormone tests. Such patients have medical comorbidities associated
with low serum proteins and are on multiple medications that interfere with
thyroid hormone measurement by immunoassay platforms. It is unknown if these
thyroid hormone measurements reflect physiologic conditions or if they are
artifacts of testing methodology. METHODS: Fifty patients were selected from the
intensive care unit (ICU) from our institution. Total and free thyroid hormones
in plasma were measured by gold standard liquid chromatography-tandem mass
spectrometry (LC-MSMS). The results were compared to the Roche Cobas 6000.
Patient medical comorbidities and binding protein levels were assessed. RESULTS:
Concentrations of total 3,5,5'-triidothyronine (TT3) and total thyroxine (TT4)
were significantly more likely to be low by LC-MSMS compared to immunoassay. Free
3,5,5'-triidothyronine (FT3) levels were similar by immunoassay and LC-MSMS.
However, FT4 concentrations were mildly elevated for many patients when measured
by ultrafiltration LC-MSMS (19/50, 38%) compared to 1/50 (2%) when measured by
immunoassay (p=0.0001). Decreased albumin and thyroxine binding globulin were
common and patients were on an average of 11.7+/-5.0 medications, all factors
known to interfere with results found on immunoassays. CONCLUSIONS: Marked
discrepancies in thyroid hormone measurement were noted between reference LC-MSMS
and a common immunoassay platform. It is hypothesized that T4 binding to low
affinity albumin is displaced by several drugs, raising concentrations of FT4 by
LC-MSMS compared to immunoassay, and that the immunoassay values are falsely
decreased due to low binding proteins in our patient population.
PMID- 27890824
TI - Evaluating a switch from meconium to umbilical cord tissue for newborn drug
testing: A retrospective study at an academic medical center.
AB - BACKGROUND: The objective of this study was to compare detection rates of newborn
drug exposure at an academic medical center transitioning from meconium to
umbilical cord tissue toxicology testing. METHODS: We performed an Institutional
Review Board-approved retrospective chart review on all newborns (n=2072) for
whom newborn drug testing was ordered at our academic medical center between June
2012 and August 2015 (in August 2013, umbilical cord tissue became the preferred
specimen). RESULTS: Meconium toxicology testing was positive for at least one
compound in 221 cases (21.3% of 1037 total specimens), with non-medical drug use
identified in 85 cases (8.2%). Umbilical cord tissue toxicology testing was
positive for at least one compound in 302 cases (29.2%), with non-medical drug
use identified in 107 cases (10.3%). Of the cases involving non-medical drug use,
the most common compounds detected were tetrahydrocannabinol and amphetamines.
Non-medical drug use did not differ significantly between meconium and umbilical
cord tissue, either as a total or for classes of drugs such as amphetamines,
cannabinoids, and opiates. Maternal non-medical use of tramadol (not tested for
in meconium) was identified in 5 cases (0.4%). There were significant differences
in rate of detection of iatrogenic medications. Specifically, morphine,
lorazepam, phenobarbital, and codeine were more commonly detected in meconium,
while oxycodone was more commonly detected in umbilical cord tissue. CONCLUSIONS:
Umbilical cord tissue toxicology testing yielded a similar detection rate
compared to meconium testing. The use of umbilical cord tissue avoids detection
of medications given to the neonate prior to meconium collection.
PMID- 27890825
TI - The expression of chemorepulsive guidance receptors and the regenerative
abilities of spinal-projecting neurons after spinal cord injury.
AB - Spinal cord injury (SCI) in mammals leads to permanent loss of function because
axons do not regenerate in the central nervous system (CNS). To date, treatments
based on neutralizing inhibitory environmental cues, such as the myelin
associated growth inhibitors and chondroitin sulfate proteoglycans, or on adding
neurotrophic factors, have had limited success in enhancing regeneration.
Published studies suggested that multiple axon guidance cues (repulsive guidance
molecule (RGM) family, semaphorins, ephrins, and netrins) persist in adult
animals, and that their expression is upregulated after CNS injury. Moreover,
many adult CNS neurons continue to express axon guidance receptors. We used the
advantages of the lamprey CNS to test the hypotheses that the regenerative
abilities of spinal-projecting neurons depend upon their expression of
chemorepulsive guidance receptors. After complete spinal transection, lampreys
recover behaviorally, and injured axons grow selectively in their correct paths.
However, the large identified reticulospinal (RS) neurons in the lamprey brain
are heterogeneous in their regenerative abilities - some are high regeneration
capacity neurons (probability of axon regeneration >50%), others are low
regeneration capacity neurons (<30%). Here we report that the RGM receptor
Neogenin is expressed preferentially in the low regeneration capacity RS neurons
that regenerate poorly, and that downregulation of Neogenin by morpholino
antisense oligonucleotides enhances regeneration of RS axons after SCI. Moreover,
lamprey CNS neurons co-express multiple guidance receptors (Neogenin, UNC5 and
PlexinA), suggesting that the regenerative abilities of spinal-projecting neurons
might reflect the summed influences of the chemorepulsive guidance receptors that
they express.
PMID- 27890826
TI - Relevant variations and neuroprotecive effect of hydrogen sulfide in a rat
glaucoma model.
AB - Glaucoma is an irreversible and blinding neurodegenerative disease of the eye,
and is characterized by progressive loss of retinal ganglion cells (RGCs). Since
endogenous hydrogen sulfide (H2S) was reported to be involved in
neurodegeneration in the central nervous system, the authors aimed to develop a
chronic ocular hypertension (COH) rat model simulating glaucoma and therein test
the H2S level together with the retinal protein expressions of related synthases,
and further investigated the effect of exogenous H2S supplement on RGC survival.
COH rat model was induced by cross-linking hydrogel injection into anterior
chamber, and the performance of the model was assessed by intraocular pressure
(IOP) measurement, RGC counting and retinal morphological analysis. Endogenous
H2S level was detected along with the retinal protein expressions of H2S-related
synthases cystathionine beta-synthase (CBS), cystathionine gamma-lyase (CSE) and
3-mercaptopyruvate sulfurtransferase (3-MST) in the COH rats. Retinal H2S level
and RGC survival were evaluated again after NaHS (a H2S donor) treatment in the
COH rats. The results showed that the COH model succeeded in simulating glaucoma
features, and retinal H2S level decreased significantly when the retinal protein
expressions of CBS, CSE and 3-MST were downregulated generally in the COH rats.
Furthermore, the decrease of retinal H2S level and loss of RGCs were both
improved by NaHS treatment in experimental glaucoma, without obvious variation of
IOP. Our study revealed that the intracameral injection of cross-linking hydrogel
worked efficiently in modeling glaucoma, and H2S had protective effect on RGCs
and might be involved in the pathological mechanism of glaucomatous neuropathy.
PMID- 27890828
TI - Alterations of motor cortical microcircuit in a depressive-like mouse model
produced by light deprivation.
AB - Depression is one of the most prevalent and life-threatening forms of mental
illness. The heavy social burden imposed by this disorder calls for a better
understanding of its pathogenesis. Light deficiency is an important factor
potentially leading to depression. However, how the light deficiency affects
neural microcircuit underlying depression remains largely unknown. This study
investigated the properties of morphology, electrophysiology, and synaptology of
layer V pyramidal cells (L5PCs) in the motor cortex of a mouse model with
depressive behavioral phenotype that was produced by light deprivation (LD). The
depressive behavioral phenotype was characterized by increased immobility and
decreased locomotor activity in behavioral tests. LD decreased burst firing
neurons and suppressed the intrinsic excitability of L5PCs, and also reduced the
neuronal morphological complexity as evidenced by simplified basal and apical
dendrites. Moreover, LD reduced the synaptic connecting probability of L5PCs.
These alterations of the simplified morphology, the suppressed excitability, and
the reduced connecting probability of L5PCs together could well explain the
depression-like behaviors of the mouse model. However, it was surprising to find
that the excitatory postsynaptic potentials (EPSPs) of single L5PC connections
were significantly enhanced and the paired pulse ratio (EPSP2/EPSP1) was
significantly increased. These synaptological results indicate that the absolute
synaptic strength of single L5PC connections was enhanced and the transmitter
release probability was increased although the connections between L5PCs became
sparse. Therefore, a compensation mechanism accompanied the negative changes that
were consistent with the depressive behavioral phenotype. Our findings from the
motor cortex of depression-like behavior mice may underlie the neural
microcircuit mechanism of depression, providing insights into the pathogenesis of
depression at a level of single neurons and synaptic connections.
PMID- 27890829
TI - Antenatal exposure to antidepressants is associated with altered brain
development in very preterm-born neonates.
AB - BACKGROUND: Antenatal exposure to selective serotonin reuptake inhibitors (SSRIs)
is associated with an enhanced risk of preterm birth. Very preterm-born neonates
(<32weeks' gestation) antenatally-exposed to SSRIs may show altered brain
development. OBJECTIVE: To examine whether antenatal-SSRI exposure was associated
with adverse neonatal brain microstructural and metabolic development using
diffusion tensor and magnetic resonance spectroscopic imaging. DESIGN/METHODS: Of
177 neonates enrolled, 14 (8%) were antenatally exposed to SSRIs. Neonates were
scanned twice (median week 32; interquartile range [IQR]: 30.4-33.6) and again at
term-equivalent age (40.1, IQR: 38.6-42.1). Using a region-of-interest approach,
N-acetylaspartate to choline ratios (NAA/Cho), lactate to choline ratios, white
and gray matter fractional anisotropy (FA), mean, axial, radial diffusivity (MD,
AD, RD) values were extracted from white and gray matter subcortical regions.
Neurodevelopment was assessed at 18 months, corrected age. RESULTS: SSRI-exposed
neonates exhibited increased FA and decreased MD, AD and RD values in the
superior white matter (p<0.05). FA values in the basal ganglia and thalamus were
significantly lower in neonates antenatally exposed to SSRIs, compared to non
exposed (p=0.004). Lower NAA/Cho values (p=0.04) and higher Lactate/Cho values
(p=0.004) in posterior gray matter were evident in neonates exposed to SSRIs. No
association with antenatal-SSRI exposure and neurodevelopment was evident.
CONCLUSIONS: Given the importance of treating depression in mothers at risk for
preterm delivery, the impact of antenatal-SSRIs on early brain development
requires further attention. Future research is directed at determining the
mechanism of this relationship and the contribution of maternal mood.
PMID- 27890827
TI - Adolescent GBR12909 exposure induces oxidative stress, disrupts parvalbumin
positive interneurons, and leads to hyperactivity and impulsivity in adult mice.
AB - The adolescent period in mammals is a critical period of brain maturation and
thus represents a time of susceptibility to environmental insult, e.g.
psychosocial stress and/or drugs of abuse, which may cause lasting impairments in
brain function and behavior and even precipitate symptoms in at-risk individuals.
One likely effect of these environmental insults is to increase oxidative stress
in the developing adolescent brain. Indeed, there is increasing evidence that
redox dysregulation plays an important role in the development of schizophrenia
and other neuropsychiatric disorders and that GABA interneurons are particularly
susceptible to alterations in oxidative stress. The current study sought to model
this adolescent neurochemical "stress" by exposing mice to the dopamine
transporter inhibitor GBR12909 (5mg/kg; IP) during adolescence (postnatal day 35
44) and measuring the resultant effect on locomotor behavior and probabilistic
reversal learning as well as GABAergic interneurons and oxidative stress in
adulthood. C57BL6/J mice exposed to GBR12909 showed increased activity in a novel
environment and increased impulsivity as measured by premature responding in the
probabilistic reversal learning task. Adolescent GBR12909-exposed mice also
showed decreased parvalbumin (PV) immunoreactivity in the prefrontal cortex,
which was accompanied by increased oxidative stress in PV+ neurons. These
findings indicate that adolescent exposure to a dopamine transporter inhibitor
results in loss of PV in GABAergic interneurons, elevations in markers of
oxidative stress, and alterations in behavior in adulthood.
PMID- 27890831
TI - Neural plasticity during motor learning with motor imagery practice: Review and
perspectives.
AB - In the last decade, many studies confirmed the benefits of mental practice with
motor imagery. In this review we first aimed to compile data issued from
fundamental and clinical investigations and to provide the key-components for the
optimization of motor imagery strategy. We focused on transcranial magnetic
stimulation studies, supported by brain imaging research, that sustain the
current hypothesis of a functional link between cortical reorganization and
behavioral improvement. As perspectives, we suggest a model of neural adaptation
following mental practice, in which synapse conductivity and inhibitory
mechanisms at the spinal level may also play an important role.
PMID- 27890830
TI - Time-course of glial changes in the hyperhomocysteinemia model of vascular
cognitive impairment and dementia (VCID).
AB - Vascular cognitive impairment and dementia (VCID) is the second leading cause of
dementia behind Alzheimer's disease (AD) and is a frequent co-morbidity with AD.
Despite its prevalence, little is known about the molecular mechanisms underlying
the cognitive dysfunction resulting from cerebrovascular disease. Astrocytic end
feet almost completely surround intraparenchymal blood vessels in the brain and
express a variety of channels and markers indicative of their specialized
functions in the maintenance of ionic and osmotic homeostasis and gliovascular
signaling. These functions are mediated by end-foot enrichment of the aquaporin 4
water channel (AQP4), the inward rectifying potassium channel Kir4.1 and the
calcium-dependent potassium channel MaxiK. Using our hyperhomocysteinemia (HHcy)
model of VCID we examined the time-course of astrocytic end-foot changes along
with cognitive and neuroinflammatory outcomes. We found that there were
significant astrocytic end-foot disruptions in the HHcy model. AQP4 becomes
dislocalized from the end-feet, there is a loss of Kir4.1 and MaxiK protein
expression, as well as a loss of the Dp71 protein known to anchor the Kir4.1,
MaxiK and AQP4 channels to the end-foot membrane. Neuroinflammation occurs prior
to the astrocytic changes, while cognitive impairment continues to decline with
the exacerbation of the astrocytic changes. We have previously reported similar
astrocytic changes in models of cerebral amyloid angiopathy (CAA) and therefore,
we believe astrocytic end-foot disruption could represent a common cellular
mechanism of VCID and may be a target for therapeutic development.
PMID- 27890832
TI - Operative Treatment of Type 2 Endoleaks Involving the Inferior Mesenteric Artery.
AB - BACKGROUND: We report the results of the operative treatment for type 2 endoleak
(T2E) involving the inferior mesenteric artery (IMA) using the transarterial
embolization (TAE) or the video laparoscopic ligation (VLS). METHODS: Between
January 2005 and December 2015, we retrospectively analyzed 901 patients treated
with endovascular aortic repair (EVAR): 883 (98%) had 1 valid postoperative
radiologic evaluation, at least. All patients with operative repair for IMA
related T2E entered the final analysis. Indication of their operative repair was
sac enlargement (>5 mm within 6 months or >1 cm from the preoperative diameter,
irrespectively of the postoperational time) and/or its persistence >12 months.
RESULTS: We detected 192 (21.7%) T2Es, overall. We identified 37 (4.2%) IMA
related T2Es, and treated 21 cases (VLS n = 11, TAE n = 10). Aneurysm-related
mortality and major or minor morbidity was never observed. Time of intervention
did not differ significantly (minutes, VLS = 97 +/- 46 vs. TAE = 95 +/- 21, P =
0.901). Hospitalization was significantly lower in the TAE group (days, 4 +/- 2
vs. 1 +/- 0.4, P < 0.001). No patient was lost at a mean follow-up of 46 +/- 32
months (range, 1-110; median, 48). At last follow-up, sac diameter was
significantly more stable in the VLS (mm, 60 +/- 10 vs. 55 +/- 7, P = 0.593) than
that in the TAE group (mm, 57 +/- 9 vs. 63 +/- 10, P = 0.050). The IMA-related
T2E reintervention rate was not significantly different between the groups (VLS,
n = 0 [0%] vs. TAE, n = 2 [20.0%], P = 0.213). Secondary aortic reintervention
rate was 28.6% (n = 6), and secondary open conversion rate was 9.5% (VLS, n = 1
[9.1%] vs. TAE, n = 1 [10.0%], P = 1). CONCLUSIONS: In authors' experience,
operative treatment of IMA-related T2E was safe; VLS proved to be effective and
durable in sealing this type of T2E. Patients receiving correction of IMA-related
T2E after EVAR remained at risk for development of any type of endoleaks, as well
as at risk of reintervention.
PMID- 27890833
TI - Pulse Wave Analysis after Treatment of Abdominal Aortic Aneurysms with the
Ovation Device.
AB - BACKGROUND: Ovation aortic stent-graft system is a new device for the
endovascular treatment of Abdominal Aortic Aneurysms achieving fixation via a 35
mm long, rigid anchored suprarenal stent and sealing stent at the infrarenal
level by a means of a polymer-filling pair of inflatable O-rings, which cause
narrowing of flow lumen and regional stenosis. Thus, concerns have been raised
regarding hemodynamic consequences associated with this new design. Our
preliminary report showed no significant increase of aortic pulse wave velocity
(aPWV) immediately after implantation of the ovation in 3 patients. We studied
further the hemodynamic implications of the Ovation implantation in 6 patients
for a follow-up of 6 months. METHODS: A brachial cuff-based automatic
oscillometric device (Mobil-O-Graph; IEM, Stolberg, Germany) was used to perform
noninvasively pulse wave analysis and stiffness estimation. Measurements were
held preoperatively in 6 patients treated for abdominal aortic aneurysm, at the
end of the first postoperative week, first and sixth postoperative month. Changes
in systolic and diastolic blood pressure (cSyst, cDiast), heart rate, aPWV,
augmentation index (AI@75), augmentation pressure (AP), and in the amplitude
ratio of the reflected-to-forward pressure waves (reflection magnitude, RM) were
recorded and compared. Significant change in any parameter was examined via
analysis of variance repeated measures. RESULTS: The cSyst was 132 +/- 19.6, 127
+/- 17.63, 131.3 +/- 19.96, and 129.83 +/- 31.72 mm Hg (P = 0.81) and cDiast was
88 +/- 10.58, 86.83 +/- 11.72, 89.83 +/- 16.01, and 98.5 +/- 24.56 mm Hg (P =
0.40). The heart rate showed an increasing yet nonsignificant tendency (67 +/-
10.60, 75.1 +/- 8.63, 74.33 +/- 8.89, and 70.66 +/- 6.65 beats/min, 0.27). The
aPWV remained constant (11.61 +/- 1.88, 11.6 +/- 1.74, 11.8 +/- 2.08, and 11.85
+/- 2.30 m/sec, P = 0.79). Similarly, RM (71.16 +/- 9.94, 60.66 +/- 11.79, 61.5
+/- 14.47, and 64.5 +/- 3.78), AI@75 (33.83 +/- 12.25, 22.16 +/- 7.93, 27.83 +/-
11.23, and 19.5 +/- 14.72), and AP (18.33 +/- 10.36, 9.83 +/- 4.91, 11.5 +/-
9.22, and 12 +/- 15.08 mm Hg) remained practically unaltered during the follow-up
period (P value: 0.79, 0.25, 0.10, and 0.27), respectively. CONCLUSIONS: The
implantation of the Ovation stent graft does not cause increase in aortic
stiffness or in pulse wave reflection in the mid-period. The rationale of
investigating noninvasively the impact of aortic stent grafts on the mechanical
properties and the hemodynamic parameters should be encouraged because such
findings may contribute to further development of newer endograft designs.
PMID- 27890834
TI - Eagle Syndrome Presenting after Blunt Trauma.
AB - As classically described, Eagle syndrome is an entity where patients develop pain
or neurologic manifestations arising from an elongated styloid process and/or an
ossified stylohyoid ligament irritating or compressing adjacent cranial nerves or
the carotid arteries. Over the past few years, there have been reports of actual
injury to the internal carotid artery with dissection, occlusion, and strokes. We
present 3 cases identified after blunt trauma: 1 due to carotid compression and 2
due to actual injury to the internal carotid artery. Eagle syndrome should be a
consideration in any patient with a carotid injury due to blunt trauma or
suffering a syncopal episode which led to blunt trauma. Carotid stenting is an
effective treatment modality for injury to the carotid artery when
anticoagulation is contraindicated. Styloidectomy is performed for symptoms due
to carotid artery compression or if there is concern for future carotid injury
from the styloid process.
PMID- 27890835
TI - Acute Kidney Injury after Open Repair of Intact Abdominal Aortic Aneurysms.
AB - BACKGROUND: These last years, considerable attention has been given to renal
issues following endovascular aortic repair but acute kidney injury (AKI) also
remains one of the most frequent complications following open repair (OR). Since
AKI definition has evolved, our aim was to review the etiology, incidence,
classifications, and consequences of AKI after OR for intact abdominal aortic
aneurysm (AAA). METHODS: A review of the English language literature published
between 2004 and 2016 was conducted according to the Preferred Reporting Items
for Systematic Reviews and Meta-Analyses (PRISMA) criteria. Studies regarding
ruptured AAA, combination of emergency and elective repairs without results
stratification, and thoracoabdominal aneurysms were excluded, as well as studies
not using recent consensual AKI classifications. RESULTS: In total, 6 studies
including 658 patients (394 from prospective studies and 264 from retrospective
studies) used recent consensual classifications (risk injury failure loss end
stage renal disease [RIFLE], Acute Kidney Injury Network classification [AKIN],
kidney disease-improving global outcomes [KDIGO], and Aneurysm Renal Injury Score
[ARISe]) and were considered for analysis. After infrarenal clamping, AKI rates
were quite similar between studies, observed in 24% cases when using the RIFLE
classification, 20% cases with the AKIN criteria, and 26.3% cases when using the
ARISe classification. Pooled rates of renal replacement therapy (RRT) and 30-day
mortality were 0.3% and 1.4%, respectively. In the long term, no patient mandated
chronic RRT. After suprarenal clamping, 2 retrospective studies used the RIFLE
criteria to define the incidence of AKI, and the pooled AKI rate was 36.8%. The
AKI rate was 26.5% in smaller retrospective study using the KDIGO criteria. Rates
of RRT and 30-day mortality were 3.8% and 2.9%, respectively. In the long term,
1.2-3% of patients mandated chronic RRT. CONCLUSIONS: AKI is a common but
generally transient complication after OR for AAA. Its incidence depends on
definitions used, and few studies were found to use recent consensual AKI
criteria. In the future, large prospective studies using consensual AKI
definitions will facilitate comparison between repair strategies.
PMID- 27890836
TI - Microcirculation Evaluated by Intraoperative Fluorescence Angiography after
Tibial Bypass Surgery.
AB - BACKGROUND: Intact microperfusion of the foot in the critical ischemic limb
remains a crucial condition for ulcer healing. Aim of this clinical study was to
evaluate the immediate effect of tibial bypass surgery on the microcirculation by
usage of fluorescence angiography. METHODS: Prospective analysis of 33 patients
presenting with critical limb ischemia (Rutherford IV-VI) undergoing tibial
bypass surgery was performed. Macroperfusion was investigated by measurement of
ankle-brachial index. For assessment of microperfusion, intraoperative
fluorescence angiography (SPY Elite, NOVADAQ) was undertaken during general
anesthesia immediately before and after operation. For each investigation, a
fluorescence dye (Indocyanine green) with an amount of 0.1 mg/kg was administered
intravenously. Follow-up investigations were performed to assess the clinical
outcome. RESULTS: The mean follow-up time was 8.28 (+/-4.46) months. The
parameters Ingress (IN) and Ingress rate (InR) of the fluorescence dye
representing the microcirculation showed a significant improvement to
preoperative values and correlated with the ankle-brachial index significantly.
Furthermore, a significant difference between the individual improvement of the
microcirculation parameters (preoperative-postoperative) between patients with
postoperative clinical improvement (wound healing, absence of rest pain) and
those without could be demonstrated (InR: P = 0.039, IN: P = 0.036). CONCLUSIONS:
The parameters IN and InR significantly reproduced the postoperative improvement
of the microcirculation. An increased ankle-brachial index significantly
correlated with increased microcirculation parameters. A better clinical outcome
was found in those patients who had a higher relative improvement of
microcirculation postoperatively.
PMID- 27890837
TI - Association between Prehospital Time and Mortality following Blunt Thoracic
Aortic Injuries.
AB - BACKGROUND: Blunt thoracic aortic injuries (BTAIs) can be lethal, but advances in
trauma systems and surgical care have helped reduce mortality. The purpose of
this study is to investigate whether time from injury to presentation is
associated with mortality following BTAI. METHODS: Using the Ontario Trauma
Registry, all patients were identified who were hospitalized with BTAI between
1999 and 2009. Variables including age, sex, Injury Severity Score, Charlson
comorbidity index, systolic blood pressure on admission, operative intervention,
and time from injury to presentation were analyzed using multivariate logistic
regression to determine independent predictors of mortality. RESULTS: We
identified 264 cases of BTAI that survived until hospital admission. Of these,
220 patients had documented time from injury to presentation to hospital. Most,
68.2% (n = 150), presented within 60 min of injury. On adjusted multivariate
analysis, a prehospital time of <=60 min independently predicted higher mortality
(odds ratio 0.27, 95% confidence interval 0.08-0.89, P = 0.03). Tight clustering
was seen with prehospital time and mortality. CONCLUSIONS: Rather than reducing
mortality as hypothesized, a shorter time between BTAI and hospital presentation
(<60 min) is associated with increased mortality. This may be the result of
selection bias with the quicker transport of more severely injured patients.
PMID- 27890839
TI - Rupture of a True Profunda Femoris Artery Aneurysm: Two Case Reports and Review
of the English Language Literature.
AB - Profunda femoris artery aneurysms (PFAAs) are very rare and easily overlooked
because they are located deeply within thigh muscle. PFAAs have a high rate of
rupture in comparison with other peripheral arterial aneurysms, resulting in
emergency surgical procedures with significant morbidity. PFAA is diagnosed with
color arterial Doppler ultrasound, although computed tomography angiography
remains the best imaging method to precisely define the exact site and length of
arterial involvement. PFAAs should be treated surgically once diagnosed, even if
they are asymptomatic due to the high incidence of complications. Currently,
around 28 patients with PFAA rupture, including the 2 cases from this report,
have been described in the English language literature. In this report, we
describe the diagnosis and treatment of 2 true ruptured aneurysms of the profunda
femoris artery with 2 different approaches, and will review the relevant
literature.
PMID- 27890838
TI - Preoperative Evaluation of the Renal Function before the Treatment of Abdominal
Aortic Aneurysms.
AB - BACKGROUND: Chronic impaired renal function constitutes a major risk factor of
morbi-mortality during the treatment of an abdominal aortic aneurism (AAA). The
inflammatory state due to the AAA could result in a reduction in the muscular
mass and an overestimation of the glomerular filtration rate (GFR) with the usual
formulas. The objective of this study was to determine if the formulas used to
evaluate the estimated GFR were adapted in patients with AAA. MATERIALS AND
METHODS: Between August 2013 and November 2014, we conducted an exploratory study
to evaluate the renal function before surgery for AAA in 28 patients. The renal
function was evaluated by (1) the dosage of plasmatic creatinine, (2) the GFR
estimated with the Cockroft-Gault, Modification of Diet in Renal Disease (MDRD),
and chronic kidney disease epidemiology collaboration (CKD-EPI) formulas, (3) the
creatinine clearance (CC), and (4) the direct measurement of the GFR with a
reference method (iohexol clearance). Statistical analysis was carried out to
compare and correlate the GFR estimated by the various formulas with the GFR
measured by the reference technique. RESULTS: The study included 21 men (75%) and
7 women (25%), with a median age of 76 years (58-89). The measured GFR was
correlated with the GFR estimated from the CKD-EPI (rho = 0.78, P < 0.0001), the
MDRD (rho = 0.78, P < 0.0001), the Cockroft-Gault (rho = 0.65, P = 0.0002), and
CC (rho = 0.86, P < 0.0001). However, there were important individual variations
between estimated and measured GFR. As regards the detection of the patients
presenting a GFR <60 mL/min/1.73 m2, the sensitivities of the CKD-EPI, MDRD,
Cockroft-Gault formulas and CC were 64%, 64%, 71%, and 70%, respectively.
Specificities were 71%, 79%, 57%, and 100%, respectively. The estimation of the
GFR by the CKD-EPI formula had the lowest bias (-3.0). Bland-Altman plots
indicated that the estimation of the GFR by the CKD-EPI formula had the best
performance in comparison with the other methods. CONCLUSIONS: This study found a
statistical correlation between the measurement of the GFR and the various
formulas available to estimation the GFR among AAA patients. The CKD-EPI formula
is most appropriate. However, there were important individual variations between
the measurement and the estimations of the GFR. A larger scale study is necessary
to determine the profile of the patients with a risk of error in the estimation
of the GFR. The French recommendations on the evaluation of the renal function
before AAA treatment remain based on serum creatinine and should be revalued.
PMID- 27890840
TI - Infected Iliac Pseudoaneurysm after Cardiac Catheterization Complicated by
Girdlestone Pseudoarthroplasty for Recurrent Infection of Hip Prosthesis.
AB - We report a massive infected pseudoaneurysm of the right external iliac artery in
the setting of Girdlestone pseudoarthroplasty (GSA) for chronic infection. Around
the time of her GSA, the patient underwent cardiac catheterization with resultant
pseudoaneurysm formation. Infection was likely due to spread from her previous
chronic infection. Delay in presentation occurred due to attribution of her
symptoms to her GSA. The patient underwent ligation of the right external iliac
artery and hip disarticulation and was discharged on antibiotics. At follow-up,
she had significantly reduced pain. Although vascular complications from
orthopedic procedures are well described, this case seems to have been caused by
secondary infection of her iatrogenic external iliac artery pseudoaneurysm.
PMID- 27890842
TI - Treatment of a Patient with Abdominal Aortic Aneurysm and Hepatocellular
Carcinoma.
AB - BACKGROUND: The surgical tactics in cases of abdominal aortic aneurysms (AAA) and
intra-abdominal malignancy are not uniform in the literature and are still a
matter of debate. In this case report, we present a patient with coexisting AAA
and primary liver cancer managed by simultaneous open AAA repair and liver
resection After laparotomy and intraoperative liver ultrasonography that
confirmed resectability of the tumor, aneurysm repair was performed with aorto
aortic tube grafting after interrenal cross-clamping Radiofrequency-assisted
liver transection was performed to complete an anterior anatomic resection of
liver segments VI and VII. The postoperative course was uneventful and the
patient was discharged on a postoperative day 10. METHODS: This was prospective
follow up of one patient. RESULTS: The patient is free from disease at 18-month
follow-up. CONCLUSIONS: The best treatment strategy for patients with AAA and
malignant disease is still not clearly defined. Strategy selection is made
individually according to the risk of rupture of AAA, general condition of the
patient, experience of the teams that should perform the procedure and estimated
life expectancy after resection of malignant disease.
PMID- 27890841
TI - Development of a Scoring System for the Prediction of Early Graft Failure after
Peripheral Arterial Bypass Surgery.
AB - BACKGROUND: An occluded lower limb arterial bypass is associated with poor
prognosis for the limb. Currently, no risk assessment method to estimate the risk
of early graft failure exists. Aim of this study was to investigate the effect of
various potential factors on early graft failure of infrainguinal bypass surgery
and to develop a risk-scoring model to predict it. METHODS: A prospective
observational clinical study was performed. One hundred infrainguinal bypass
procedures (60 autologous and 40 synthetic grafts), throughout a 3-year period
were included. Nearly, 84 patients suffered by chronic limb ischemia, whereas 16
by acute limb ischemia or popliteal aneurysm disease. Various possible factors
including demographic data, atherosclerosis predisposing factors, and technical
details of the procedure were examined as possible causes of early graft failure.
Using a combination of univariable and multivariable analysis techniques, the
most significant factors were extracted, and a simple predicting risk-scoring
system of early graft failure was calculated. RESULTS: The overall early graft
failure rate was 14%. The factors related to it at a statistically significant
level, 0.05, were the female gender, a bypass performed after a previous
ipsilateral lower limb angioplasty, a redo procedure on the same limb, and a
distal anastomosis at an inframalleolar level (pedal bypass). After internal
validation, the FARP2-predicting scoring system was formed as following: Female
gender 1 point (F), bypass after a previous Angioplasty 1 point (A), Redo bypass
1 point (R), and Pedal bypass 2 points (P2). An overall score equal or greater
than 2, provided an early graft failure prediction with sensitivity of 100%,
specificity 86%, positive predictive value 54%, and negative predictive value of
100% (area under the receiver operator characteristic curve: 0.959). CONCLUSIONS:
FARP2 is a simple scoring system for predicting early graft failure after an
infrainguinal bypass procedure. Further external validation in larger populations
is needed.
PMID- 27890843
TI - Total Endovascular Aortic Repair in a Patient with Marfan Syndrome.
AB - BACKGROUND: The aim of this study is to describe a total endovascular aortic
repair with branched and fenestrated endografts in a young patient with Marfan
syndrome and a chronic aortic dissection. Open surgery is the gold standard to
treat aortic dissections in patients with aortic disease and Marfan syndrome.
METHODS: In 2000, a 38-year-old man with Marfan syndrome underwent open ascending
aorta repair for an acute type A aortic dissection. One year later, a redo
sternotomy was performed for aortic valve replacement. In 2013, the patient
presented with endocarditis and pulmonary infection, which necessitated
tracheostomy and temporary dialysis. In 2014, the first stage of the endovascular
repair was performed using an inner branched endograft to exclude a 77-mm distal
arch and descending thoracic aortic aneurysm. In 2015, a 63-mm thoracoabdominal
aortic aneurysm was excluded by implantation of a 4-fenestrated endograft. Follow
up after both endovascular repairs was uneventful. RESULTS: Total aortic
endovascular repair was successfully performed to treat a patient with arch and
thoraco-abdominal aortic aneurysm associated with chronic aortic dissection and
Marfan syndrome. The postoperative images confirmed patency of the endograft and
its branches, and complete exclusion of the aortic false lumen. CONCLUSIONS:
Endovascular repair is a treatment option in patients with connective tissue
disease who are not candidates for open surgery. Long-term follow-up is required
to confirm these favorable early outcomes.
PMID- 27890844
TI - Validation of a Decision Tree to Streamline Infrainguinal Vein Graft
Surveillance.
AB - BACKGROUND: Duplex ultrasound (DU)-based graft surveillance remains
controversial. The aim of this study was to assess the ability of a recently
proposed decision tree in identifying high-risk grafts which would benefit from
DU-based surveillance. MATERIALS AND METHODS: Consecutive patients undergoing
infrainguinal vein graft bypass from January 2008 to December 2015 were
identified from the National Vascular registry and enrolled in a duplex
surveillance program. An early postoperative DU was performed at a median of 6
weeks (range: 4-9 weeks). Grafts were classified into high risk or low risk based
on the findings of the earliest postoperative scan and 4 established risk factors
for graft failure (diabetes, smoking, infragenicular distal anastomosis, and
revision bypass surgery) using a classification and regression tree (CRT). The
accuracy of the CRT model was evaluated using area under receiver operator
characteristic (AROC) curve. RESULTS: About 278 vein graft bypasses were
performed; 29 grafts had occluded by the first surveillance visit; 249 vein
grafts were entered into surveillance. Sixty-four (23%) developed critical
stenosis. Overall 30-month primary patency, primary-assisted patency, and
secondary patency rates were 71.2%, 77.2%, and 80.1%, respectively. AROC for
prediction of graft stenosis or occlusion was 83% (95% confidence interval [CI]:
78-87%). The sensitivity and specificity of the CRT model for prediction of graft
stenosis or occlusion were 95% (95% CI: 88-98%) and 52.2% (95% CI: 45-60%).
CONCLUSIONS: A prediction model based on commonly recorded clinical variables and
early postoperative DU scan is accurate at identifying grafts which are at high
risk of failure. These high-risk grafts may benefit from DU-based surveillance.
PMID- 27890845
TI - Physician-Modified C3 Excluder Endograft as the Preferred Solution to Treat a
Juxtarenal Para-Anastomotic Aneurysm.
AB - Feasibility and early satisfactory results of physician-modified endografts have
been reported. Most reports described the use of Cook Dacron aortic endografts
(Cook Medical, Inc., Bloomington, IN). However, in some specific anatomic
features (short aortic length, narrow aorta), the use of this device may not be
appropriate.We here report a case of juxtarenal aortic aneurysm proximal to a
former aortobifemoral graft in a high surgical risk patient. Due to a narrow
proximal neck and a short aortic length, a physician-modified Gore C3 Excluder
device (W. L. Gore & Associates, Inc., Flagstaff, AR) incorporating a
fenestration for a large accessory renal artery was implanted. At 6-month follow
up, the aneurysm remains excluded and computed tomography scan demonstrates
patent renal vessels.Surgeon-modified Gore C3 Excluder device implantation is
feasible. Because of specific device characteristics (short main body,
repositioning ability), it represents a valuable alternative in high-risk
patients with juxtarenal aneurysms not amenable to other techniques.
PMID- 27890846
TI - Successful Hybrid Treatment of a Traumatic Rupture of the Left Primitive Carotid
Artery in a Polytraumatized Patient: A Case Report.
AB - Traumatic injury of the left common carotid is a rare but severe disease, and is
an uncommon situation in emergency units. Thoracic aortic lesions are often
associated with other injuries, making their treatment challenging. We report the
case of a successful hybrid approach to treat this lesion using a total supra
aortic vessel debranching and a thoracic endovascular graft to cover the rupture,
in a 38-year-old polytraumatized patient. After aortic, abdominal, and orthopedic
surgery, the patient recovered well. The 1-year follow-up showed a good result of
this hybrid treatment. This technique should be considered in polytraumatized
patients with aortic arch lesions.
PMID- 27890847
TI - Fenestrated and Branched Stent Grafting in Complex Aneurysmatic Aortic Disease: A
Single-Center Early Experience.
AB - BACKGROUND: The aim of this study is to present our early experience and
highlight the technical difficulties associated with the use of fenestrated and
branched stent grafts to treat patients with juxtarenal abdominal aortic aneurysm
(AAA), pararenal AAA, and thoracoabdominal aortic aneurysms (TAAAs). METHODS: A
prospectively held database maintained at our department was queried for patients
who have undergone branched and fenestrated stent grafting for AAA or TAAA
treatment. Indication for repair, comorbidity precluding open repair, technical
challenges associated with the repair, as well as operative mortality, morbidity,
and reintervention rate were evaluated. RESULTS: A total of 8 patients underwent
repair with a fenestrated or branched stent graft. All patients had aneurysmal
degeneration of the juxtarenal aorta, pararenal aorta, and thoracoabdominal aorta
not suitable to standard endovascular techniques. Two patients had a prior aortic
repair, a failed migrated stent graft, and an old surgical tube graft after an
open repair. One patient had a type III TAAA and 1 patient had a postdissection
TAAA type I. For all patients, target vessel success rate was 96.4% (27/28) and
mean hospital stay was 6.0 days (range 3-21). Thirty-day and 1-year mortality
were 0%. Mean follow-up was 23 months (range 7-45). Two endoleaks occurred, 1
type III and 1 type II, which were treated endovascularly. No death or major
complication occurred during follow-up. CONCLUSIONS: Fenestrated and branched
endovascular stent grafts can be used to repair juxtarenal AAA, pararenal AAA,
and TAAA in patients with significant comorbidities. However, several technical
challenges have to be overcome due to the unique complex aortic pathology of each
patient.
PMID- 27890849
TI - Hybrid Treatment of a Suprarenal Aortic Aneurysm with an Infrarenal
Aortobifemoral Surgical Graft and a Fenestrated Stent Graft.
AB - BACKGROUND: The aim of this study was to report a hybrid technique for the
treatment of a suprarenal aortic aneurysm (SAA) in a patient with iliac occlusive
disease. Initially, an infrarenal aortobifemoral surgical graft was implanted,
followed by deployment of a fenestrated bifurcated stent graft at the suprarenal
part of the aorta. METHODS: A 69-year-old man presented with a 51-mm saccular
suprarenal aortic aneurysm and iliac occlusive disease with intermittent
claudication. Both common iliac arteries had been previously treated with kissing
stents. Both external iliac arteries were severely stenosed precluding a total
endovascular approach. RESULTS: The patient was treated with an infrarenal
aortobifemoral surgical graft, facilitating the implantation of a custom-made
fenestrated stent graft with fenestrations for the renal arteries and the
superior mesenteric artery and a scallop for the celiac artery. The procedure was
uneventful. Postoperative computed tomography angiography confirmed complete
exclusion of the aneurysm and patent target vessels. Postoperatively, the patient
did not report claudication symptoms anymore. CONCLUSIONS: A hybrid approach is a
viable alternative to treat patients with complex aortic aneurysms and
simultaneous peripheral occlusive disease, otherwise excluded from fenestrated
stent grafting due to unsuitable access vessels.
PMID- 27890848
TI - Postmarket Clinical Experience with the INCRAFT AAA Stent Graft System for
Challenging Access Routes.
AB - BACKGROUND: The INCRAFT system is a new device that has become available in
Europe in September 2014 after the announcement of the 2-year results of the
INNOVATION trial. However, no data in the "real-world" practice exist. Herein, we
report our early series of consecutive patients treated with the INCRAFT after
its launch on the market. METHODS: Prospectively collected data of patients
enrolled in the INNOVATION trial (group IT) and consecutive patients from CE Mark
approval to April 2015 (group CM) were retrospectively evaluated and compared.
Main outcomes were any device- or procedure-related major adverse event (MAE)
within 30 days and survival and reinterventions during follow-up. RESULTS:
Seventeen patients were included in group IT (median follow-up [95% CI]; 60.4
months [55-63]), and 24 patients were in group CM (12.5 [6.6-13.5]). The
patients' comorbidities were comparable between the groups. The average diameter
of aortic bifurcation and the bilateral external iliac arteries was significantly
smaller in group CM. Adjunctive interventions for iliac artery disease were
performed in 8 patients (33%) in group CM, whereas no adjunctive was required in
group IT. MAEs within 30 days were not significantly different between 2 groups.
Survival and reintervention rates were also equivalent after a median follow-up
of 13 months. CONCLUSIONS: Compared to our patients recruited for the INNOVATION
trial, the patients after CE Mark approval had significantly more challenging
access routes reflecting the difficulties of "real-world" conditions. Despite
frequent adjunctive procedures in group CM, the early performance of the
endograft was encouraging so far. Long-term surveillance of those patients
remains mandatory.
PMID- 27890850
TI - Cheyne-Stokes respiration: Implications for anaesthesiologists.
PMID- 27890851
TI - Protective ventilation during cardiac surgery: More than tidal volume?
PMID- 27890852
TI - Adenocarcinoma Arising From an End Ileostomy in a Patient With Familial
Adenomatous Polyposis.
PMID- 27890853
TI - Chromoendoscopy for Surveillance in Ulcerative Colitis and Crohn's Disease: A
Systematic Review of Randomized Trials.
AB - BACKGROUND & AIMS: Key international guideline agencies recommend dysplasia
surveillance in inflammatory bowel diseases with chromoendoscopy. We performed a
systematic review of randomized trials comparing chromoendoscopy vs other
endoscopic techniques for dysplasia surveillance in inflammatory bowel diseases.
METHODS: We searched MEDLINE, EMBASE, and the Cochrane Central Register of
Controlled Trials for relevant studies published through September 2016. We
estimated risk ratios (RRs) for dichotomous outcomes (all-cause/colorectal cancer
related mortality, time to interval cancer, patients with dysplasia,
total/subtypes of dysplastic lesions, dysplasia detected by targeted biopsies,
adverse events), mean differences for continuous outcomes (procedural time,
costs, total/targeted biopsies), and their 95% confidence intervals (CIs) using a
random-effects model. Subgroup analyses included technique compared with
chromoendoscopy, type of disease, and type of dye. We estimated sensitivity and
specificity of the techniques with reference to histology. RESULTS: We identified
10 randomized trials (n = 1500 participants). There was a higher likelihood of
detecting patients with dysplasia with chromoendoscopy compared with other
techniques (RR, 1.37; 95% CI, 1.04-1.79). Subgroup analyses confirmed this effect
only if chromoendoscopy was compared with standard-definition white-light
endoscopy (RR, 2.12; 95% CI, 1.15-3.91). Chromoendoscopy required a significantly
longer procedural time compared with other techniques (mean difference, 8.91 min;
95% CI, 1.37-16.45). There was no difference in the likelihood of detecting
dysplastic subtypes and dysplasia by targeted biopsies between groups. Test
sensitivity and specificity were similar between groups. CONCLUSIONS: In
surveillance of inflammatory bowel diseases, chromoendoscopy identifies more
patients with dysplasia only when compared with standard-definition white-light
endoscopy. It is associated with longer procedural time with no direct evidence
of effect on preventing all-cause/cancer-specific mortality or time to interval
cancer.
PMID- 27890854
TI - Association Between Low Trough Levels of Vedolizumab During Induction Therapy for
Inflammatory Bowel Diseases and Need for Additional Doses Within 6 Months.
AB - BACKGROUND & AIMS: We investigated whether serum trough levels of vedolizumab, a
humanized monoclonal antibody against integrin alpha4beta7, during the induction
phase of treatment can determine whether patients will need additional doses
(optimization of therapy) within the first 6 months. METHODS: We conducted an
observational study of 47 consecutive patients with Crohn's disease (CD; n = 31)
or ulcerative colitis (UC; n = 16) who had not responded to 2 previous treatment
regimens with antagonists of tumor necrosis factor and were starting therapy with
vedolizumab at 2 hospitals in France, from June 2014 through April 2016. All
patients were given a 300-mg infusion of vedolizumab at the start of the study,
Week 2, Week 6, and then every 8 weeks; patients were also given corticosteroids
during the first 4-6 weeks. Patients not in remission at Week 6 were given
additional doses of vedolizumab at Week 10 and then every 4 weeks (extended
therapy or optimization). Remission at Week 6 of treatment was defined as CD
activity score below 150 points for patients with CD and a partial Mayo Clinic
score of <3 points, without concomitant corticosteroids, for patients with UC.
Blood samples were collected each week and serum levels of vedolizumab and
antibodies against vedolizumab were measured using an enzyme-linked immunosorbent
assay. Median trough levels of vedolizumab and interquartile ranges were compared
using the nonparametric Mann-Whitney test. The primary objective was to determine
whether trough levels of vedolizumab measured during the first 6 weeks of
induction therapy associated with the need for extended treatment within the
first 6 months. RESULTS: Based on response to therapy at Week 6, extended
treatment was required for 30 of the 47 patients (23 patients with CD and 7
patients with UC). At Week 2, trough levels of vedolizumab for patients selected
for extended treatment were 23.0 MUg/mL (interquartile range, 14.0-37.0 MUg/mL),
compared with 42.5 MUg/mL in patients who did not receive extended treatment
(interquartile range, 33.5-50.7; P = .15). At Week 6, trough levels of
vedolizumab <18.5 MUg/mL were associated with need for extended therapy (100%
positive predictive value, 46.2%; negative predictive value; area under the
receiver operating characteristic curve, 0.72) within the first 6 months. Among
patients who required extended treatment at Week 10, all of those with trough
levels of vedolizumab <19.0 MUg/mL at Week 6 had achieved clinical remission 4
weeks later (secondary responders). CONCLUSIONS: In a prospective study of
patients with CD or UC receiving induction therapy with vedolizumab, low trough
levels of vedolizumab at Week 6 (<19.0 MUg/mL) are associated with need for
additional doses (given at Week 10 and then every 4 weeks). All patients
receiving these additional doses achieved a clinical response 4 weeks later.
PMID- 27890855
TI - Linker stability influences the anti-tumor activity of acetazolamide-drug
conjugates for the therapy of renal cell carcinoma.
AB - Small molecule-drug conjugates (SMDCs) are increasingly being considered as an
alternative to antibody-drug conjugates (ADCs) for the selective delivery of
anticancer agents to the tumor site, sparing normal tissues. Carbonic anhydrase
IX (CAIX) is a membrane-bound enzyme, which is over-expressed in the majority of
renal cell carcinomas and which can be efficiently targeted in vivo, using
charged derivatives of acetazolamide, a small heteroaromatic sulfonamide. Here,
we show that SMDC products, obtained by the coupling of acetazolamide with
monomethyl auristatin E (MMAE) using dipeptide linkers, display a potent anti
tumoral activity in mice bearing xenografted SKRC-52 renal cell carcinomas. A
comparative evaluation of four dipeptides revealed that SMDCs featuring valine
citrulline and valine-alanine linkers exhibited greater serum stability and
superior therapeutic activity, compared to the counterparts with valine-lysine or
valine-arginine linkers. The most active products substantially inhibited tumor
growth over a prolonged period of time, in a tumor model for which sunitinib and
sorafenib do not display therapeutic activity. However, complete tumor
eradication was not possible even after ten intravenous injection. Macroscopic
near-infrared imaging procedures confirmed that ligands had not lost the ability
to selectively localize at the tumor site at the end of therapy and that the
neoplastic masses continued to express CAIX. The findings are of mechanistic and
of therapeutic significance, since CAIX is a non-internalizing membrane
associated antigen, which can be considered for targeted drug delivery
applications in kidney cancer patients.
PMID- 27890856
TI - siRNA-cell-penetrating peptides complexes as a combinatorial therapy against
chronic myeloid leukemia using BV173 cell line as model.
AB - Chronic myeloid leukemia (CML) is a myeloproliferative disorder caused by a
single gene mutation, a reciprocal translocation that originates the Bcr-Abl gene
with constitutive tyrosine kinase activity. As a monogenic disease, it is an
optimum target for RNA silencing therapy. We developed a siRNA-based therapeutic
approach in which the siRNA is delivered by pepM or pepR, two cell-penetrating
peptides (CPPs) derived from the dengue virus capsid protein. These peptides have
a dual role: siRNA delivery into cells and direct action as bioportides, i.e.
intracellularly bioactive CPPs, targetting cancer-related signaling processes.
Both pepM and pepR penetrate the positive Bcr-Abl+ Cell Line (BV173). Five in
silico designed anti-Bcr-Abl siRNA were selected for in vitro analysis after
thorough screening. The Bcr-Abl downregulation kinetics (48h to 168h) was
followed by quantitative PCR. The bioportide action of the peptide vectors was
evaluated by genome-wide microarray analysis and further validated by testing
BV173 cell cycle and cell proliferation monitoring different genes involved in
housekeeping/cell stress (RPL13A, HPRT1), cell proliferation (ki67), cell
apoptosis (Caspase 3 and Caspase 9) and cell cycle steps (CDK2, CCDN2, CDKN1A).
Assays with a commercial transfection agent were carried out for comparison
purposes. Maximal Bcr-Abl gene knockdown was observed for one of the siRNA when
delivered by pepM at 120h. Both pepM and pepR showed downregulation effects on
proliferative CML-related signaling pathways having direct impact on BV173 cell
cycle and proliferation, thus reinforcing the siRNA effect by acting as
anticancer molecules. With this work we show the therapeutic potential of a CPP
shuttle that combines intrinsic anticancer properties with the ability to deliver
functional siRNA into CML cell models. By such combination, the pepM-siRNA
conjugates lowered Bcr-Abl gene expression levels more extensively than
conventional siRNA delivery technologies and perturbed leukemogenic cell
homeostasis, hence revealing their potential as novel alternative scaffolds for
CML therapy.
PMID- 27890857
TI - Structural insights into a family 39 glycoside hydrolase from the gut symbiont
Bacteroides cellulosilyticus WH2.
AB - Bacteria from the human gut are equipped with an arsenal of carbohydrate-active
enzymes that degrade dietary and host-derived glycans. In this study, we present
the 2.5A resolution crystal structure of a member (GH39wh2) from the human gut
bacteria Bacteroides cellulosilyticus WH2 representative of a new subgroup within
family GH39. Together with 6 other GHs, GH39wh2 belongs to a polysaccharide
utilization locus (PUL) that could be involved in detecting, binding and
hydrolysing a specific carbohydrate species from the intestinal tract. GH39wh2
shares a similar architecture as other members of family GH39 dominated by a
typical (beta/alpha)8-barrel fold harboring the catalytic residues and decorated
by beta-sandwich accessory domains. The GH39wh2 structure unveils an atypical
shallow groove rather than a deep pocket due to drastic rearrangements in surface
loops surrounding the catalytic interface. These structural adaptations seem to
favour recognition of large branched substrates and may explain the lack of
activity of GH39wh2 toward small xylose-based and other typical substrates from
GH39 members, emphasizing the molecular diversity within the GH39 family. A
phylogenetic analysis of the entire GH39 family assigns GH39wh2 as a new
subgroup, consistent with the extensive remodelling of the active site region
that may confer new substrate specificity toward a complex glycan chain.
PMID- 27890858
TI - Predictors and outcome of acute kidney injury after transcatheter aortic valve
implantation: a systematic review and meta-analysis.
AB - AIMS: The aim of this systematic review and meta-analysis was to investigate the
predictors and outcome of acute kidney injury (AKI) after transcatheter aortic
valve implantation (TAVI). METHODS AND RESULTS: There were 35 articles recruiting
13,256 patients included in our study. Hypertension (odds ratio [OR] 1.92, 95%
CI: 1.44 to 2.56), diabetes mellitus (OR 1.33, 95% CI: 1.20 to 1.47), peripheral
artery disease (OR 1.28, 95% CI: 1.14 to 1.45) and a left ventricular ejection
fraction <40% (OR 1.50, 95% CI: 1.19 to 1.88) were identified as significant
independent predictors of AKI. In addition to the aforementioned comorbidities,
procedure-related/post-TAVI factors such as transapical access (OR 1.68, 95% CI:
1.44 to 1.97), major bleeding (OR 1.82, 95% CI: 1.37 to 2.40) and transfusion (OR
1.30, 95% CI: 1.12 to 1.51) were also associated with a higher risk of AKI.
Importantly, the risk of short-term all-cause death increased progressively with
the aggravating severity of AKI (OR, 30 days: stage 1: 3.41; stage 2: 4.0; stage
3: 11.02; one year: stage 1: 1.95; stage 2: 2.82; stage 3: 7.34), as determined
by a univariate analysis. After eliminating confounders, AKI remained linked to a
higher risk for both short-term (30 days: HR 2.12, 95% CI: 1.59 to 2.83) and long
term (>=3 years: HR 1.37, 95% CI: 1.27 to 1.48) all-cause mortality. CONCLUSIONS:
The reason for the occurrence of AKI was multifactorial, including baseline
characteristics, procedure-related and post-TAVI factors. It appeared that even
stage 1 AKI exerted detrimental effects on survival within one year, and AKI was
also independently linked to mortality beyond three years.
PMID- 27890859
TI - Chronic total coronary occlusion treated by percutaneous coronary intervention:
long-term outcome in patients with and without diabetes.
AB - AIMS: Despite technical advancements, long-term outcomes after chronic total
occlusion (CTO) recanalisation remain a subject of debate, especially in diabetic
patients. The aim of this study, therefore, was to assess the very long-term
clinical outcome of diabetic vs. non-diabetic patients in a large cohort from a
high-volume CTO PCI centre according to whether or not CTO recanalisation had
been successfully achieved. METHODS AND RESULTS: Between 2004 and 2012, 1,320
consecutive patients underwent PCI for CTO, 27.4% (362/1320) of whom were
diabetics. We compared cardiac death, target lesion revascularisation (TLR),
myocardial infarction (MI) and combined major adverse cardiac events (MACE) in
patients with successful versus failed PCI (median follow-up 4.2 years). The PCI
success rate was 75% (990/1,320 patients), with no significant differences
between diabetics and non-diabetics (69.8% vs. 75%, respectively, p=0.07).
Successful recanalisation was associated with lower cardiac death rates (13.2%
vs. 17.2%, respectively, p<0.001) and lower MACE (27.5% vs. 33.7%, respectively,
p=0.02). There were no significant differences in TLR (8.9% vs. 14.2% for failed
recanalisation, p=0.29) and MI (4.7% vs. 10% for failed recanalisation).
Successful recanalisation was a predictor of survival (HR 0.5, 95% CI: 0.32-0.81,
p=0.005), whereas diabetes (HR 2.44, 95% CI: 1.52-3.83, p<0,001), left
ventricular ejection fraction (HR 0.96, 95% CI: 0.94-0.99, p=0.004) and age (HR
1.06, 95% CI: 1.03-1.08, per year increment, p<0.0001) were predictors of cardiac
death at follow-up. Cardiac mortality rates varied markedly after failed PCI
between diabetic (20/103, 24.7%) and non-diabetic patients (15/227, 9.3%,
p<0.0001 for comparison between groups), suggesting an interaction between the
presence of diabetes and procedural outcome. CONCLUSIONS: CTO recanalisation was
associated with improved long-term survival, a reduced rate of MACE for up to
nine years, and suggests a greater reduction in cardiac death among diabetic
patients.
PMID- 27890860
TI - Renal denervation by CT-guided periarterial injection of hyperosmolar saline,
vincristine, paclitaxel and guanethidine in a pig model.
AB - AIMS: The aim of the study was to evaluate the feasibility, safety and efficacy
of renal sympathetic denervation with CT-guided periarterial injection of
potentially neurolytic agents in pigs. METHODS AND RESULTS: Unilateral injection
of formulations containing either 5M hyperosmolar saline, vincristine, paclitaxel
or guanethidine around the renal artery was performed in 24 normotensive pigs
with six animals per group. Needle placement and injections were performed under
CT fluoroscopy guidance. Blood pressure measurements and CT scans were performed
immediately before and after the intervention and four weeks after treatment.
After euthanasia, norepinephrine (NE) concentrations of both kidneys were
determined. The renal arteries and surrounding tissue were examined
histologically to evaluate nerve fibre degeneration. Procedures were technically
successful with good periarterial distribution of the injectant in all but one
pig in the guanethidine group. No major adverse events or post-interventional
complications occurred. In the vincristine group, NE concentrations of the renal
parenchyma were lower on the treated side in all pigs with a mean decrease of 53%
(38%-62%, p<0.01) compared to the contralateral control. Correspondingly,
histological examination revealed neural degeneration in all animals treated with
vincristine. In the other groups, no significant drop of NE values, or
histological signs of nerve fibre degeneration were found. CONCLUSIONS: CT-guided
periarterial injection of the different substances was feasible and safe. Renal
sympathetic denervation was achieved with vincristine. In contrast, hyperosmolar
saline, paclitaxel and guanethidine do not seem to be appropriate for renal
denervation in a pig model at the dosage used.
PMID- 27890861
TI - Computed tomography angiography planning identifies the target vessel for optimum
infarct location and improves clinical outcome in alcohol septal ablation for
hypertrophic obstructive cardiomyopathy.
AB - AIMS: Alcohol septal ablation (ASA) is an established treatment option in
hypertrophic obstructive cardiomyopathy (HOCM). ASA is ineffective in some:
inaccurate infarct and inability to identify a vessel contribute. We aimed to
improve accuracy of infarct using CT angiography guidance and provide a more
predictable and satisfactory outcome. METHODS AND RESULTS: Twenty-one successive
patients with symptomatic LVOT obstruction refractory to medication underwent CT
angiography planning to guide ASA. CT was performed using a dual-source CT
system. Alcohol was delivered to the artery identified from CT: in 17/21 this was
a sub-branch of a septal artery, in 2/21 the septal vessel was identified from
the circumflex artery. Peak gradient improved from 98 (IQR 89.50-111.50) mmHg to
14 (IQR 8.50-22) mmHg (p=0.003). Systolic anterior motion (SAM) improved in 18/20
patients. NYHA class improved by >=1 in 18/20. Peak VO2 improved from 79.19% of
predicted value (+/-14.01) to 91.62% (+/-12.02) predicted (p<0.0001). Success at
the first procedure is greater with CT guidance, 17/20 vs. 50/75 with traditional
methods (pre-CT guidance) (p=0.02); 9/20 had six-month CMR with target septum
infarct in all. ASA-related RBBB reduced from 62% to 13% (p=0.0004). CONCLUSIONS:
CT angiography planning improves localisation of infarct and procedural success
at the first attempt in ASA when compared to traditional methods. Follow-up to
six months suggests a symptomatic, functional and haemodynamic improvement.
PMID- 27890862
TI - Randomised, blinded and controlled comparative study of chemical and
radiofrequency-based renal denervation in a porcine model.
AB - AIMS: The blood pressure-lowering effect of percutaneous renal denervation (RDN)
is controversial. The success of RDN may be device-dependent. We sought to
compare the efficacy of RDN by chemical neurolysis using alcohol (Peregrine
System Infusion Catheter; Ablative Solutions, Inc., Menlo Park, CA, USA) to RDN
by radiofrequency (RF) ablation with the single-electrode RF catheter (Symplicity
Flex; Medtronic, Minneapolis, MN, USA) in a porcine model. METHODS AND RESULTS:
This was a prospective, randomised, blinded study. Pigs were assigned to undergo
bilateral RF ablation or chemical neurolysis. Primary endpoints were ablation
depth and renal tissue norepinephrine (NE) concentrations at three-month follow
up. Twelve pigs underwent RF ablation (n=4) or chemical neurolysis by infusion of
0.3 mL (n=4) or 0.6 mL (n=4) alcohol. Ninety days after RF ablation and chemical
neurolysis with 0.3 mL and 0.6 mL of alcohol, mean maximal tissue injury depth
was 3.9+/-1.2 mm, 6.6+/-1.7 mm and 8.2+/-2.2 mm, respectively (p<0.001 for either
dose of alcohol vs. RF ablation). Compared with historical controls, median renal
tissue NE concentration reductions were 66%, 78% and 83% after RF ablation and
chemical neurolysis using 0.3 mL and 0.6 mL alcohol, respectively (p=0.107 for
chemical neurolysis vs. RF ablation). Mean total ablation area was significantly
greater in both (0.3 mL and 0.6 mL) alcohol groups (p=0.0001 for both) than the
RF ablation group (30.8+/-13.7 mm2, 41.6+/-12.4 mm2 and 11.0+/-7.5 mm2,
respectively). CONCLUSIONS: RDN is more effective using chemical neurolysis than
single-electrode RF ablation. Our findings suggest that the efficacy of RDN may
be device-dependent.
PMID- 27890863
TI - The first clinical experience with a novel "locking" microcatheter in chronic
coronary total occlusions.
AB - AIMS: A novel "locking" microcatheter has been developed to address residual
failure modes in chronic total occlusion (CTO) percutaneous coronary intervention
(PCI). The aim of this study was to report the first clinical experience of this
device. METHODS AND RESULTS: The microcatheter was assessed prospectively in 92
unselected CTO cases across six European sites. Overall technical success was
85.9% (79/92) and 97.5% for patients with a J-CTO score of <3 (39/40). Within-CTO
tortuosity was the only lesion characteristic to predict reduced technical
success (OR 0.10 [0.01-0.97], p=0.047). Calcification (OR 0.45 [0.04-5.31],
p=0.53), lesion length >20 mm (OR 0.58 [0.05-6.81], p=0.66) and a blunt proximal
cap (OR 0.47 [0.08-2.90], p=0.42) were not associated with technical failure in
this case series. Locking facilitated guidewire crossing (after initial failure)
of the proximal cap in 23 cases, distal cap in 11 cases and CTO body in 10 cases,
and delivery of the microcatheter through to the distal vessel in 22 cases. The
overall procedural complication rate was 1.1% (1/92) with no major events.
CONCLUSIONS: Guidewire locking with this novel microcatheter assists penetration
and crossing of fibrocalcific anatomy with a high degree of safety. Using this
device in CTO PCI may lead to improved primary wiring and overall procedural
success rates.
PMID- 27890864
TI - Lack of evidence for interventions offered in UK fertility centres.
PMID- 27890865
TI - CSF-PR 2.0: An Interactive Literature Guide to Quantitative Cerebrospinal Fluid
Mass Spectrometry Data from Neurodegenerative Disorders.
AB - The rapidly growing number of biomedical studies supported by mass spectrometry
based quantitative proteomics data has made it increasingly difficult to obtain
an overview of the current status of the research field. A better way of
organizing the biomedical proteomics information from these studies and making it
available to the research community is therefore called for. In the presented
work, we have investigated scientific publications describing the analysis of the
cerebrospinal fluid proteome in relation to multiple sclerosis, Parkinson's
disease and Alzheimer's disease. Based on a detailed set of filtering criteria we
extracted 85 data sets containing quantitative information for close to 2000
proteins. This information was made available in CSF-PR 2.0
(http://probe.uib.no/csf-pr-2.0), which includes novel approaches for filtering,
visualizing and comparing quantitative proteomics information in an interactive
and user-friendly environment. CSF-PR 2.0 will be an invaluable resource for
anyone interested in quantitative proteomics on cerebrospinal fluid.
PMID- 27890866
TI - Claims for fertility interventions: a systematic assessment of statements on UK
fertility centre websites.
AB - OBJECTIVES: Fertility services in the UK are offered by over 200 Human
Fertilisation and Embryology Authority (HFEA)-registered NHS and private clinics.
While in vitro fertilisation (IVF) and intracytoplasmic sperm injection (ICSI)
form part of the National Institute for Health and Care Excellence (NICE)
guidance, many further interventions are offered. We aimed to record claims of
benefit for interventions offered by fertility centres via information on the
centres' websites and record what evidence was cited for these claims. METHODS:
We obtained from HFEA a list of all UK centres providing fertility treatments and
examined their websites. We listed fertility interventions offered in addition to
standard IVF and ICSI and recorded statements about interventions that claimed or
implied improvements in fertility in healthy women. We recorded which claims were
quantified, and the evidence cited in support of the claims. Two reviewers
extracted data from websites. We accessed websites from 21 December 2015 to 31
March 2016. RESULTS: We found 233 websites for HFEA-registered fertility
treatment centres, of which 152 (65%) were excluded as duplicates or satellite
centres, 2 were andrology clinics and 5 were unavailable or under construction
websites. In total, 74 fertility centre websites, incorporating 1401 web pages,
were examined for claims. We found 276 claims of benefit relating to 41 different
fertility interventions made by 60 of the 74 centres (median 3 per website; range
0 to 10). Quantification was given for 79 (29%) of the claims. 16 published
references were cited 21 times on 13 of the 74 websites. CONCLUSIONS: Many
fertility centres in the UK offer a range of treatments in addition to standard
IVF procedures, and for many of these interventions claims of benefit are made.
In most cases, the claims are not quantified and evidence is not cited to support
the claims. There is a need for more information on interventions to be made
available by fertility centres, to support well-informed treatment decisions.
PMID- 27890868
TI - Serological validation of an alveolar echinococcosis rat model with a single
hepatic lesion.
AB - Serology is important for the diagnosis and follow-up of human alveolar
echinococcosis (AE). However, patient conditions are highly variable among those
with AE, and antibody responses in serological follow-up have not been well
defined. We recently described a new AE rat model established by implantation of
small AE tissue into a single arbitrary location in the liver; no metastasis and
dissemination were observed. In the present study, we examined the serological
characteristics in our rat model before and after surgical treatment. The results
showed that antibody responses against crude antigens were increased at one month
after transplantation and similar to those of other model animals. For the
antigen Em18, antibody responses were slower in our rat model than in other
animal models. After surgical resection, changes in antibody responses against
Em18 were similar to those observed in human patients with AE. Because of the
slow growth of lesions, establishment of a single hepatic lesion and patterns of
antibody responses, our rat model may be useful for clarifying follow-up
serodiagnoses in human AE and determining the mechanisms of multi-organ
involvement by primary infection with oncospheres rather than metastasis.
PMID- 27890870
TI - Improving the Quality of Postgraduate Education in Traditional Japanese Kampo
Medicine for Junior Residents: An Exploratory Survey Conducted in Five
Institutions in the Tohoku Area.
AB - Traditional Japanese (Kampo) medicine has been widely applied in general medicine
in Japan. In 2001, the model core curriculum for Japanese medical education was
revised to include Kampo medicine. Since 2007, all 80 Japanese medical schools
have incorporated it within their programs. However, postgraduate training or
instruction of Kampo medicine has not been recognized as a goal for the clinical
training of junior residents by Japan's Ministry of Health, Labour and Welfare;
little is known about postgraduate Kampo medicine education. This exploratory
study investigated attitudes about Kampo medicine among junior residents in
Japanese postgraduate training programs. A questionnaire survey was administered
to junior residents at five institutions in the Tohoku area of Japan. Questions
evaluated residents' experiences of prescribing Kampo medicines and their
expectations for postgraduate Kampo education and training. As a result, 121
residents responded (response rate = 74%). About 96% of participants had
previously received Kampo medicine education at their pre-graduate medical
schools and 64% had prescribed Kampo medications. Specifically, daikenchuto was
prescribed to prevent ileus and constipation after abdominal surgery and
yokukansan was prescribed to treat delirium in the elderly. Residents received on
the-job instruction by attending doctors. Over 70% of participants indicated that
there was a need for postgraduate Kampo medicine education opportunities and
expected lectures and instruction on how to use it to treat common diseases. In
conclusion, we have revealed that junior residents require Kampo medicine
education in Japanese postgraduate training programs. The programs for
comprehensive pre-graduate and postgraduate Kampo education are expected.
PMID- 27890867
TI - Obesity-Related Digestive Diseases and Their Pathophysiology.
AB - Obesity is a growing medical and public health problem worldwide. Many digestive
diseases are related to obesity. In this article, the current state of our
knowledge of obesity-related digestive diseases, their pathogenesis, and the
medical and metabolic consequences of weight reduction are discussed. Obesity
related digestive diseases include gastroesophageal reflux disease, Barrett's
esophagus, esophageal cancer, colon polyp and cancer, nonalcoholic fatty liver
disease, hepatitis C-related disease, hepatocellular carcinoma, gallstone,
cholangiocarcinoma, and pancreatic cancer. Although obesity-related esophageal
diseases are associated with altered mechanical and humoral factors, other
obesity-related digestive diseases seem to be associated with obesity-induced
altered circulating levels of adipocytokines and insulin resistance. The
relationship between functional gastrointestinal disease and obesity has been
debated. This review provides a comprehensive evaluation of the obesity-related
digestive diseases, including pathophysiology, obesity-related risk, and medical
and metabolic effects of weight reduction in obese subjects.
PMID- 27890869
TI - An improved Red/ET recombineering system and mouse ES cells culture conditions
for the generation of targeted mutant mice.
AB - Targeted mutant mice generated on a C57BL/6 background are powerful tools for
analysis of the biological functions of genes, and gene targeting technologies
using mouse embryonic stem (ES) cells have been used to generate such mice.
Recently, a bacterial artificial chromosome (BAC) recombineering system was
established for the construction of targeting vectors. However, gene retrieval
from BACs for the generation of gene targeting vectors using this system remains
difficult. Even when construction of a gene targeting vector is successful, the
efficiency of production of targeted mutant mice from ES cells derived from
C57BL/6 mice are poor. Therefore, in this study, we first improved the strategy
for the retrieval of genes from BACs and their transfer into a DT-A plasmid, for
the generation of gene targeting vectors using the BAC recombineering system.
Then, we attempted to generate targeted mutant mice from ES cell lines derived
from C57BL/6 mice, by culturing in serum-free medium. In conclusion, we
established an improved strategy for the efficient generation of targeted mutant
mice on a C57BL/6 background, which are useful for the in vivo analysis of gene
functions and regulation.
PMID- 27890871
TI - Chagas Disease in Mexico: Report of 14 Cases of Chagasic Cardiomyopathy in
Children.
AB - Chagas disease is a parasitic infection mainly found in Latin America; it is
transmitted by a triatomine, also known as assassin bug or kissing bug. In
humans, the parasite causes mostly cardiac disorders. Two-thirds of the Mexican
territory are regarded as risk areas for vector transmission of Trypanosoma
cruzi, the causal agent. The parasite can be found as a blood-borne
trypomastigote or as an intracellular amastigote. The progression and severity of
lesions could be due to frequent reinfections or to infection by highly virulent
strains. A total of 3,327 individuals younger than 18 years old, living in risk
areas for this disease in the rural setting of the States of Queretaro, San Luis
Potosi, and Veracruz, underwent a seroepidemiological study. Among them, 37
subjects were seropositive for T. cruzi, and were studied to look for signs of
cardiac pathology, which has only been reported in adults. A clinical record was
prepared for all included individuals, and electrocardiography (ECG) and
echocardiography (ECHO) studies were performed; 25 cases showed lesions
compatible with the onset of Chagas cardiomyopathy. The other 12 patients showed
either normal ECG and ECHO data or showed abnormal parameters that were not
regarded as significant. Lesions found in the onset of Chagas cardiomyopathy in
children are herein reported, along with 14 cases of cardiac pathology compatible
with Chagas disease. Our results indicate that patients younger than 18 years can
show a cardiac pathology similar to that observed in adults.
PMID- 27890872
TI - Effect of astigmatism on refraction in children with high hyperopia.
AB - The aim of this study was to evaluate primitively whether the extent and
component of astigmatism influences regression in degree of spherical (DS) and
the best corrected visual acuity (BCVA) of children with hyperopia of +5.00
diopters (D) or greater. Children were screened from the outpatient refraction
database in the Wenling No. 1 People's Hospital in Zhejiang province and in Eye &
ENT Hospital of Fudan University between June 2005 and December 2015. Eligible
eyes were divided into three groups according to the extent of astigmatism: group
of astigmatism <= -2 D of cylinder, group without astigmatism or with astigmatism
>= -0.5 D of cylinder, and the group with astigmatism >= -0.75 D and <= -1.75 D
of cylinder. For the component of astigmatism, eyes with astigmatism as <= -0.75
D of cylinder were divided into 3 groups: with the rule (WTR), against the rule
(ATR) and the group with the oblique. Differences in the changes of BCVA and
refractive error (RE) during follow-up terms were compared within and among
groups. Differences in the mean DS or BCVA were not statistically significant
between groups according to the extent of the astigmatism at the last visit (p =
0.2396 and p = 0.2131, respectively). As for the component of astigmatism, the
group with oblique astigmatism had more severe hyperopia than the group of WTR (p
< 0.0001) and mean BCVA in the group of ATR were better than that of the other
two groups (p < 0.0001) at the first visit. However, the among-group changes were
not significant at the end of the observation (p > 0.1). The regression of DS and
improvement of the BCVA in children with hyperopia of +5.00D or greater may be
irrespective of the component and the extent of astigmatism.
PMID- 27890873
TI - Overabundance of sika deer and immunocontraception.
AB - The impact of deer overabundance is a worldwide problem. Along with habitat
expansion and population increase, damage by sika deer to the forest ecosystem
and agriculture has become a serious issue in Japan. Deer also transmit a number
of diseases and parasites to humans and livestock. The overabundance of deer is a
result of their strong fecundity, and therefore the present situation should, in
theory, be tackled by experts in reproductive biology.
PMID- 27890874
TI - Development of a lipopolysaccharide (LPS)-supplemented adjuvant and its effects
on cell-mediated and humoral immune responses in male rats immunized against
sperm.
AB - Supplementation with lipopolysaccharide (LPS) from non-pathogenic Escherichia
coli was found to enhance the adjuvant effects of a veterinary vaccine adjuvant
(ISA 71VG(r)). Sperm immunization using 71VG as an adjuvant in the immature
period induced infertility in 25% of male rats, whereas this increased to 62.5%
after immunization with 71VG + LPS or Freund's complete adjuvant (FCA). Mean
testicular weight of non-sterile males in the 71VG + LPS group was significantly
lower than that in the 71VG or FCA group. Histological examination of testicular
tissue from sterile males demonstrated severe impairment of spermatogenesis due
to experimental autoimmune orchitis, a cell-mediated autoimmune condition. The
serum anti-sperm titer was elevated in the three sperm-immunized groups relative
to male rats treated with adjuvant alone, but the titer was higher in the 71VG +
LPS and FCA groups than in the 71VG group. We consider that this LPS-supplemented
adjuvant stimulates both humoral and cell-mediated immune responses to an extent
comparable to FCA.
PMID- 27890875
TI - Induction of apoptosis by ethanol extract of Evodia rutaecarpa in HeLa human
cervical cancer cells via activation of AMP-activated protein kinase.
AB - The fruit of Evodia rutaecarpa (Juss.) Benth has been used widely in traditional
medicine therapy. Although it has been shown to possess many pharmacological
activities, the molecular mechanisms of its anti-cancer activity have not been
clearly elucidated. In the present study, we investigated the pro-apoptotic
effects of an ethanol extract isolated from immature fruits of E. rutaecarpa
(EEER) in HeLa human cervical cancer cells. EEER treatment decreased the cell
viability of HeLa cells in a concentration-dependent manner, which was related to
apoptotic cell death resulting from apoptotic body formation, DNA fragmentation,
and an increased population of annexin V+-positive cells. EEER treatment
significantly suppressed anti-apoptotic Bcl-2 expression, leading to subsequent
loss of mitochondrial membrane potential (MMP), while it did not change
expression levels of death receptor (DR)-related proteins. EEER treatment
increased activity of caspase-3 and -9 but not caspase-8, and pretreatment of a
caspase-3 inhibitor markedly attenuated EEER-induced apoptosis. Furthermore, EEER
activated the AMP-activated protein kinase (AMPK) signaling pathway; however,
inhibition of AMPK markedly abrogated EEER-induced apoptosis. Overall, the
results suggest that the apoptotic activity of EEER may be associated with a
caspase-dependent cascade through activation of the intrinsic signaling pathway
connected with AMPK activation. E. rutaecarpa could be a prospective clinical
application to treat human cervical cancer.
PMID- 27890876
TI - Synthesis and Antifungal in Vitro Evaluation of Pyrazolo[3,4-b]pyridines
Derivatives Obtained by Aza-Diels-Alder Reaction and Microwave Irradiation.
AB - A series of pyrazolo[3,4-b]pyridines were prepared by a microwave-assisted aza
Diels-Alder reaction between pyrazolylformimidamides 1 and beta-nitrostyrenes 2
in toluene as the solvent. This procedure provides a simple one-step and
environmentally friendly methodology with good yields for the synthesis of these
compounds. All compounds were tested for antifungal activity against two
clinically important fungi Candida albicans and Cryptococcus neoformans. Within
the compounds of the series bearing a -CH3 group on the carbon C-3 of the azole
ring (3a-e), the compound without a substituent on the p'-phenyl ring (3a),
showed the best activity against both fungi, followed by the p'-Br-phenyl (3c).
Within the compounds of the series bearing a tert-butyl group in the carbon C-3
of the azole ring (3f-j), the non-substituted p'-compound (3f) was the most
active one, followed by (3h) (p'-Br substituted) that showed the best activity
against both fungi. The remaining compounds of this sub-series (3g, i, j) showed
similar moderate activities. The antifungal activity of the compounds of the
series was found to be correlated with a higher log P and a lower dipole moment
in the more active compounds.
PMID- 27890877
TI - Exploring the Multifunctionality of a Novel Long-Chain Amidoamine Amphiphile.
AB - In this review, we demonstrate that the practical applications of a novel long
chain amidoamine derivative with a simple molecular structure (C18AA) are
equivalent to or higher in number than those of supramolecules with complex
molecular structures. Molecular assemblies of C18AA exhibit distinctive thermal
responsiveness; namely, C18AA can form a gel with apolar organic solvents, while
O/W emulsions of C18AA act as a heat-induced gelator that undergoes a phase
transition from solution to gel upon heating. We also show that C18AA emulsions
containing a quaternary ammonium salt develop an iridescent color over a specific
temperature range. Further, molecular assemblies of C18AA serve as high
performance soft templates for the preparation of shape-controlled metal
nanocrystals such as ultrathin Au nanowires and Pd nanowires.
PMID- 27890878
TI - Prevalence of electrocardiographic abnormalities in patients with myasthenia
gravis.
AB - OBJECTIVE: Myasthenia gravis (MG) is an immunological disorder of the
neuromuscular junction, characterized by easy fatigability and weakness of the
skeletal muscles. However, it has sometimes been reported that heart diseases
including cardiomyopathies leading to sudden death have been observed in patients
with MG. We studied the prevalence of electrocardiographic (ECG) abnormalities
and heart disease in patients newly diagnosed with MG who had not received
immunotherapy. METHODS: Fifty-three patients with MG were enrolled in our study.
We reviewed the ECG recordings of all patients on admission, and assessed the
prevalence of ECG abnormalities and heart diseases associated with MG. RESULTS:
Thirty-three (62.2%) patients had ECG abnormalities, including early
repolarization (15 patients), negative T waves (9 patients), left ventricular
hypertrophy (5 patients), and prolonged QTc (4 patients). A higher frequency of
early repolarization was observed in patients with MG compared to healthy
subjects. DISCUSSION: ECG abnormalities in patients with MG were more prevalent
in our series than in the general population. This may be because of the
increasing incidence of MG in the elderly. However, we also observed that younger
patients developed severe heart disease, indicating the possibility of other
mechanisms, such as the presence of antimyocardial antibodies. Clinicians should
be aware of the complications of heart disease in patients with MG, especially
during the perioperative period for thymectomy or thymomectomy.
PMID- 27890879
TI - Egocentric disorientation and heading disorientation: evaluation by a new test
named card placing test.
AB - We recently developed a new clinical test named card placing test (CPT) which can
assess a subject's ability to deal with visuospatial information. The CPT
requires a subject to recreate an array of three cards, each of which was
randomly placed on eight grids around the subject, before (CPT-A) and after the
subject's rotation (CPT-B). With this design, the CPT can assess a subject's
ability to represent visuospatial information either egocentrically (CPT-A) or
allocentrically (CPT-B). We administered the test on two patients with
topographical disorientation; one with egocentric disorientation and another with
heading disorientation. The patient with egocentric disorientation demonstrated
poor performances on both CPT-A and CPT-B. The patient with heading
disorientation, on the other hand, showed good record results for CPT-A but poor
ones for CPT-B. An implication is that the patient with egocentric disorientation
had disorder in an egocentric reference frame per se, while the patient with
heading disorientation could not integrate information on the spatial locations
derived from an egocentric reference frame with that on changes of the body
directions. We suggest that the CPT is a simple and useful clinical test to
evaluate patients with topographical disorientation.
PMID- 27890880
TI - Myopericarditis in a case of anti-signal recognition particle (anti-SRP) antibody
positive myopathy.
AB - A 79 year-old female was admitted to our hospital because of high serum creatine
kinase level together with proximal muscle weakness and pain on grasping. MRI
revealed inflammatory changes in femoral muscles on both sides. Muscle biopsy
showed size irregularity of muscle cells, and necrosis and regeneration of
fibers. Study of antibodies was also consistent with the diagnostic criteria of
anti-signal recognition particle (anti-SRP) antibody-positive myopathy. On
admission, the patient required pericardiocentesis for the management of
exudative pericarditis. Accompanying the aggravation of myositis, negative T wave
in precordial leads on ECG, ventricular extrasystoles and non-sustained
ventricular tachycardia were observed. These abnormalities were resolved with the
improvement of myositis by immunosuppressive treatment. These observations
suggest that the myopericarditis was associated with anti-SRP antibody-positive
myopathy.
PMID- 27890881
TI - Non-convulsive status epilepticus with Takotsubo cardiomyopathy: a case report.
AB - A 61-year-old woman was admitted to our hospital for speech disturbance and mild
consciousness impairment. Despite the absence of seizures or loss of
consciousness, electroencephalographic abnormalities were observed, and the
cerebral blood flow scintigraphy revealed an increase in cerebral blood flow in
the left temporal lobe. These findings suggested a diagnosis of non-convulsive
status epilepticus. On the third day, a twelve-lead electrocardiogram showed a
negative T-wave in I, II, aVf, and V2-6. Moreover, a transthoracic echocardiogram
revealed left ventricular apical akinesis. However, the coronary angiography
showed no evidence of vascular stenotic lesions. We expect the present case
report to contribute to the identification of non-convulsive status epilepticus
with Takotsubo cardiomyopathy.
PMID- 27890882
TI - A case of Brugada syndrome which developed status epilepticus.
AB - A 35-year-old man showed a convulsive attack with consciousness loss and was
suspected of having Brugada syndrome 6 months prior to admission to our hospital.
At the initial examination, the patient showed conjugate deviation, followed by
left limb convulsions and consciousness loss. He regained consciousness after 1
minute, though cardiac arrest from ventricular fibrillation was noted during an
electroencephalography (EEG) examination. Sinus rhythm recovered with
defibrillation, though the convulsions persisted and a Status Epilepticus
developed. The patient was diagnosed with Brugada syndrome and received
implantable cardioverter defibrillator (ICD). After ICD, he has suffered no
further convulsive attacks. Brugada syndrome is an inheritable cardiac disease
causing sudden death by ventricular fibrillation. It is important to consider
both epilepsy and arrhythmia in diagnosis of the seizures.
PMID- 27890883
TI - Questionnaire survey on recruitment for Japanese Neurology Society.
AB - Many claim that they do not have enough neurologists in Japan, but supply and
demand of neurologists remains to be analyzed. To investigate the recruitment for
the Japanese Society of Neurology (JSN), the subcommittee of JSN for education
performed a questionnaire-based survey in 80 medical universities and 271 board
certified education facilities throughout Japan. The response rate to the
questionnaire was 77.5% in medical universities and 42.4% in education
facilities. It was shown that each department of neurology in university recruits
average 2.2 doctors, while they supposed that more than 4 doctors to be recruited
every year. The questionnaire survey included what measures JSN should take in
order to promote recruitment for JSN.
PMID- 27890884
TI - Correspondence and challenges as neurologists to Kumamoto Earthquakes in 2016.
AB - Kumamoto Earthquakes in 2016 severely affected medical circumstances and
condition of each patient with neuro-muscular diseases, in addition to having
destroyed life circumstances of local residence. Number of neuro-muscular disease
patients admitted to the Department of Neurology, Kumamoto University, the only
university hospital in the prefecture, increased approximately twice compared to
usual years. Most of the related facilities were able to admit emergency patients
with neuro-muscular diseases although the hospital buildings were damaged in
various degrees. A number of issues remained unsolved as to emergency contact
system, securement of emergency beds for severe neuro-muscular diseases, and
information system for these patients.
PMID- 27890885
TI - Medication Adherence Improvement By Using Administration Timing Simplification
Protocol (ATSP) in Cardiovascular Disease Patients.
AB - AIMS: In chronic diseases, keeping adherence to medication is very difficult. The
objective of this study was to evaluate the impact of administration timing
simplification protocol (ATSP) on medication adherence and clinical parameters of
cardiovascular diseases. METHODS: 210 out-patients with cardiovascular disease,
who were taking two or more pills of any type of medication per day for more than
one year, were enrolled and randomized. The intervention group followed the
simplified administration schedule of ATSP with two main strategies: 1) moving
medication from "pc" (30 minute after meal) to "stat. pc" (immediately after
meal); and 2) moving medication time from "at evening" to "at morning." In
contrast, the control group maintained the same medication schedule. Both patient
groups were equally educated about the names and effects of the medication.
RESULTS: The intervention group had more pills than the control group with
marginal statistical significance (5.1+/-2.3 vs 4.6+/-1.8, p=0.05). The total
frequency of administration was significantly higher in the intervention group
than that of the control group (2.9+/-1.0 vs 2.6+/-0.9, p=0.03) at the baseline.
In the intervention group, the frequency was significantly decreased to 1.5+/-0.6
times per day after following ATSP application (p<0.01). In both patient groups,
knowledge about the medication was significantly improved by education. However,
medication adherence was only improved in the intervention group. Interestingly,
total cholesterol was significantly decreased in the intervention group (p<0.01).
The decrease in serum cholesterol concentration was significantly correlated with
the improvement in medication adherence evaluated with Morisky Medication
Adherence Scale (MMAS)-8 items (r=0.507, p<0.01). CONCLUSION: ATSP was shown to
be an effective strategy to improve medication adherence in chronic
cardiovascular disease patients.
PMID- 27890886
TI - Anemia in combined antiretroviral treatment-naive HIV-infected patients in China:
A retrospective study of prevalence, risk factors, and mortality.
AB - Anemia is one of the most important complications of HIV infection. In China, the
prevalence, risk factors, and association between anemia and prognosis in HIV
infected patients are poorly elucidated. We analyzed data from 3452 HIV-infected
patients not yet on combined antiretroviral therapy (cART) attending Beijing
Ditan Hospital from June, 2003 to December, 2015. The overall prevalence of
anemia was 9.8% (7.6% mild, 1.9% moderate, and 0.2% severe anemia). Female sex
(odds ratio [OR] = 3.71, 95% confidence interval [CI]: 1.46-6.51, p = 0.003), age
40-59 years (OR = 2.54, 95% CI: 1.59-4.05, p < 0.001), body mass index < 18.5
kg/m2 (OR = 2.23, 95% CI: 1.31-3.79, p = 0.003), baseline HIV RNA CI: 1.32-5.99,
p = 0.007) were risk factors for anemia. Age 40-59 years (adjusted hazard ratio
[AHR] = 5.76, 95% CI: 1.62-20.55, p = 0.007), and anemia - mild (AHR = 7.46, 95%
CI: 1.48-37.50, p = 0.015), moderate (AHR = 9.89, CI: 1.35-72.38, p = 0.024), and
severe (AHR = 28.29, 95% CI: 2.75-290.54, p = 0.005) anemia - were associated
with an increased hazard of death. In this cohort, mild anemia was most common.
Anemia was associated with female sex, older age, lower body mass index, lower
baseline CD4 count, and higher viral load. Moreover, anemia was associated with
an increased risk of death. These findings should promote awareness among
physicians to make a timely diagnosis of HIV and to help physicians prioritize
prevention and intervention strategies for anemia in HIV-infected patients.
PMID- 27890887
TI - Royal jelly improves hyperglycemia in obese/diabetic KK-Ay mice.
AB - The study examined whether royal jelly (RJ) can prevent obesity and ameliorate
hyperglycemia in type 2 diabetes. This study utilized obese/diabetic KK-Ay mice.
RJ (10 mg/kg) was administered by oral gavage. Body weight, plasma glucose and
insulin levels were measured. mRNA and protein levels were determined using
quantitative reverse transcription polymerase chain reaction and western
blotting, respectively. Four weeks of RJ administration improved hyperglycemia
and partially suppressed body weight gain, although the latter effect did not
reach statistical significance. In addition, RJ administration did not improve
insulin resistance. RJ administration suppressed the mRNA expression of glucose-6
phosphatase (G6Pase), a key enzyme of gluconeogenesis, in the liver.
Simultaneously, RJ administration induced adiponectin (AdipoQ) expression in
abdominal fat, adiponectin receptor-1 (AdipoR1) expression in the liver and
phosphorylated AMP-activated protein kinase (pAMPK) expression, which suppressed
G6Pase levels in the livers of KK-Ay mice. pAMPK levels were also increased in
skeletal muscle, but glucose transporter-4 (Glut4) translocation was not
increased in the RJ supplementation group. The improvement in hyperglycemia due
to long-term RJ administration may be because of the suppression of G6Pase
expression through the upregulation of AdipoQ and AdipoR1 mRNA and pAMPK protein
expressions.
PMID- 27890890
TI - Perivascular Hematoma along Pulmonary Artery Complicating Aortic Dissection.
PMID- 27890888
TI - Cloning and expression of a novel catechol-O-methyltransferase in common
marmosets.
AB - Catechol-O-methyltransferase (COMT) catalyzes the O-methylation of endogenous
catechol amines and estrogens and exogenous catechol-type of drugs. A Parkinson's
disease model of common marmoset (Callithrix jacchus) has been widely used in
preclinical studies to evaluate inhibitory potential of new drug candidates on
marmoset COMT. Despite COMT inhibitors could potentiate the pharmacological
action of levodopa on Parkinson's disease in animal models, marmoset COMT cDNA
has not yet been identified and characterized. In this study, a cDNA highly
homologous to human COMT was cloned from marmoset livers. This cDNA encoded 268
amino acids containing a transmembrane region and critical amino acid residues
for catalytic function. The amino acid sequences of marmoset COMT shared high
sequence identity (90%) with human COMT. COMT mRNA was expressed in all five
tissues tested, including brain, lung, liver, kidney and small intestine, and was
more abundant in marmoset liver and kidney. Membrane-bound COMT was
immunochemically detected in livers and kidneys, whereas soluble COMT was
detected in livers, similar to humans. These results indicated that the molecular
characteristics of marmoset COMT were generally similar to the human ortholog.
PMID- 27890889
TI - First molecular detection of tick-borne pathogens in dogs from Jiangxi, China.
AB - In this study, blood samples obtained from 162 dogs in Jiangxi, China, were
employed in molecular screening of canine tick-borne pathogens by PCR and
sequencing. Babesia spp. gene fragment was detected in 12 (7.41%) dogs. All
samples were negative for Hepatozoon spp., Ehrlichia canis, Coxiella spp.,
Borrelia spp., Rickettsia spp. and Anaplasma platys. Species-specific PCR
analysis further confirmed that 8 (4.94%) and 4 (2.47%) dogs were infected by
Babesia canis vogeli and Babesia gibsoni, respectively. Based on our analyses,
Babesia spp. infection in Jiangxi appeared not related to age, gender, breed,
usage, activity and health status or tick infestation history of the dogs. This
is the first molecular report of Babesia canis vogeli and Babesia gibsoni in dogs
from Jiangxi, China.
PMID- 27890891
TI - Mid-Term Clinical Results of VerSys Hip System (Zimmer) Uncemented Total Hip
Replacement Arthroplasty.
AB - : Recent reports suggest that uncemented total hip replacement arthroplasty (THR)
results in favorable short- to mid-term clinical results. In the present study,
we assessed the mid-term clinical results of VerSys Hip System uncemented THR at
our hospital. MATERIALS AND METHODS: We studied patients who received THR using
VerSys Hip System and who could be followed-up more than 3 years. Clinical
records were used to retrospectively identify patient characteristics, which
included age, gender, disease requiring THR, preoperative and postoperative pain
score of the Japan Orthopaedic Association scoring system, range of motion in
flexion and abduction, operating time, intraoperative complication, and
additional operation or revision surgery. Additionally, we investigated the
loosening and alignment of implants from X-ray films. RESULTS: Ninety-one
patients and 108 hip joints were investigated. Subjects were 11 males and 97
females (mean age, 64.6 years). Mean follow-up period was 6.9 years. Reasons for
requiring THR were as follows: secondary osteoarthritis, 87 joints; idiopathic
osteonecrosis of the femoral head, 16 joints; rapidly destructive coxarthrosis, 4
joints; and idiopathic ossification of the labrum, 1 joint. Mean operating time
was 166 minutes. A total of 11 intraoperative fractures occurred, and wiring was
performed in 3 of those cases. Adverse events pertaining to the surgery were
limited; however, another adverse event was that 1 case resulted in
intraoperative perforation of femoral cortex, for which a revision surgery was
performed. There was no dislocation. Pain score using the Japan Orthopaedic
Association scoring system and range of motion tests showed statistically
significant improvement following THR. At the final follow-up, although no
loosening of femoral implants was observed, the loosening of acetabular component
was seen in 1 case. Varus insertion of femoral implant was recognized in 40
joints. Moreover, the average inclination angle of acetabular implants was 52.2
degrees. CONCLUSION: These data suggest that patients receiving VerSys Hip System
uncemented THR demonstrate favorable results pertaining pain score and range of
motion. However, high rate of intraoperative fracture and malalignment of
implants, which may be at a risk of dislocation and/or polyethylene wear in
future, suggests that this implant technique requires improvement.
PMID- 27890892
TI - A Survey of Actual Clinical Practice Concerning Blood Pressure Control among
Patients with Hypertension in Kanagawa 2014.
AB - We performed a cross-sectional survey to investigate actual clinical practice
concerning blood-pressure control among patients with hypertension in Kanagawa.
The guidelines of the Japanese Society of Hypertension (JSH) for the management
of patients with hypertension were revised in 2014. From October 1 to November
30, 2014, questionnaires on the care of patients with hypertension were sent via
post to members of the Kanagawa Physicians Association in Kanagawa Prefecture,
Japan. -Data on 1,105 patients (mean age: 68.4+/-12.3 years, 537 men and 568
women) were obtained. The overall mean systolic blood pressure (BP) of these
patients was 128.7+/-12.1 mmHg for home monitoring and 132.9+/-12.6 mmHg for
office monitoring; diastolic BP was 75.7+/-9.7 for home monitoring and 77.0+/-9.7
mmHg for office monitoring. According to the JSH 2014 guidelines, the target BP
was achieved by 68.1% of all subjects; 89.2% of late-phase elderly patients (75
years or older); 69.1% of young, middle-aged, and early-phase elderly patients
(younger than 75 years except in patients with diabetes mellitus [DM] or chronic
kidney disease [CKD] with proteinuria); 9.3% of patients with DM except late
phase elderly patients; and 11.9% of CKD patients with proteinuria except DM.
Cross-sectional analysis showed that the factors significantly associated with an
increased likelihood of achieving the target BP were as follows: 1) good
medication compliance even for a small number of antihypertensive agents at small
amount of doses in patients 75 years and older; 2) good medication compliance in
patients in younger than 75 years; 3) an older age, a larger proportion in the
female-to-male ratio and a lower body mass index in patients with DM except late
phase elderly patients; and 4) usage of a large number of antihypertensive agents
in CKD patients with proteinuria. Further follow-up surveys are necessary to
investigate changes in clinical practice following the introduction of the
revised guidelines.
PMID- 27890893
TI - Successful Coil Embolization of an Aneurysm in the Arc of Buhler.
AB - In the present report, we describe a case of a patient with an asymptomatic
aneurysm in the arc of Buhler (AOB), which was successfully treated by
transcatheter arterial embolization. The patient presented with severe stenosis
of the celiac trunk, which was suspected to be due to median arcuate ligament
syndrome. Arteriography of the superior mesenteric artery indicated a rapid
stream in an aneurysm in the AOB. Hence, embolization was carefully performed
using detachable coils and microcoils. An arteriography performed after
embolization did not show any aneurysm, and the hepatic artery and splenic artery
could be detected via the pancreatic arcade, originating from the superior
mesenteric artery. The AOB is a persistent embryonic ventral anastomosis present
between the superior mesenteric artery and the celiac artery. This anastomotic
artery is independent of the gastroduodenal artery and the dorsal pancreatic
artery, and is extremely rare, with an incidence of <4%. Aneurysms of the AOB are
even more uncommon, and such cases have been reported in association with
stenosis or occlusion of the celiac trunk. Open surgical aneurysmectomy, with or
without reconstruction, is the conventional treatment for such aneurysms.
However, rapid advances in interventional radiology have enabled the safe and
effective treatment of visceral aneurysms via transcatheter arterial
embolization. Based on the current findings, we believe that transcatheter
arterial embolization is a minimally invasive and valuable method that may serve
as an initial treatment option for aneurysms of the AOB.
PMID- 27890894
TI - Clinical Complete Response from Chemotherapy in an Elderly Patient with
Metastatic Gastric Cancer: A Case Report.
AB - An 81-year-old man was admitted with upper abdominal pain and weight loss.
Esophagogastroduodenoscopy revealed a large tumor located from the gastric angle
to the body. Histological analysis of a biopsy revealed a moderately
differentiated adenocarcinoma. Computed tomography revealed metastases in the
liver and lung and the patient was subsequently diagnosed with metastatic
advanced gastric cancer. He was treated with chemotherapy using S-1 (80 mg/m2)
and cisplatin (CDDP) (60 mg/m2). Twenty-two months after chemotherapy, the
gastric tumor, and the nodules in the liver and lung, had disappeared. We
subsequently diagnosed a clinical complete response. The patient was treated with
further S-1 monotherapy for 7 months after complete response assessment. He has
lived for more than 7 years since the initial diagnosis without recurrence.
Chemotherapy using S-1 and CDDP may be a potent strategy for improving survival
in elderly patients with advanced gastric cancer.
PMID- 27890895
TI - Efficacy of Computed Tomography-Guided Catheter Drainage as the Initial
Intervention and of Omentopexy as the Staged Surgery for a Prosthetic Graft
Infection of Very Late Onset.
AB - On the basis of computed tomography (CT) examination, a prosthetic graft
infection of very late onset was suspected in a 72-year-old man who had undergone
replacement of an bifurcated prosthetic graft 6 years earlier because of an
abdominal aortic aneurysm and bilateral common iliac artery aneurysms. Emergency
CT-guided needle aspiration was performed, and analysis of directly aspirated
fluid confirmed the rapid diagnosis. Instead of conventional emergency surgery,
CT-guided catheter drainage was the initial treatment and led to the gradual
improvement of symptoms and laboratory data. Elective staged surgery was
performed later to examine the cavity around the prosthetic graft. The cavity was
then filled with in-situ omentum. Thus, CT-guided catheter drainage as the
initial treatment and following omentopexy as the staged surgery avoided the need
for highly invasive conventional surgery.
PMID- 27890896
TI - Interventional Treatment of Severe Portal Vein Thrombosis after Living-Donor
Liver Transplantation.
AB - Portal vein thrombosis (PVT) is a rare complication of liver transplantation
which can lead to graft failure and patient death. Treatment can be difficult,
especially in cases of PVT from the intrahepatic portal vein to the proximal
jejunal veins. A 55-year-old woman had undergone living-donor liver
transplantation with splenectomy for end-stage liver cirrhosis due to hepatitis C
with hepatocellular carcinoma. Ten months after transplantation, massive ascites
and slight abdominal pain developed, and computed tomography revealed a PVT
between the intrahepatic portal vein and the superior mesenteric vein. Repeated
interventional radiology procedures were used in combination with thrombolysis,
thrombectomy, and metallic stent replacement to obtain favorable portal flow to
the graft. Five years after being treated, the patient is well, with favorable
portal flow having been confirmed. In conclusion, repeated and assiduous
interventional radiological treatment combined with thrombolytic therapy,
thrombectomy, and metallic stent replacement could be important for severe PVT.
PMID- 27890897
TI - Pott's Puffy Tumor in an Adult: A Case Report and Review of Literature.
AB - Pott's puffy tumor is a subperiosteal abscess of the frontal bone with
osteomyelitis which has become rare because of the widespread use of antibiotics.
Here, we report a case of Pott's puffy tumor in a 46-year-old man who visited the
department of dermatology with painful swelling of the forehead. Despite open
drainage and oral antibiotic therapy, the symptoms recurred twice in the
following month. Computed tomography revealed a fistula of frontal bone. The
eventual diagnosis was Pott's puffy tumor. The patient underwent endoscopic
surgery at the department of otorhinolaryngology and achieved a complete
recovery.
PMID- 27890898
TI - Therapeutic oligonucleotides and delivery technologies: Research topics in Japan.
AB - Oligonucleotides have been gaining considerable attention as promising and
effective candidate therapeutics against various diseases. This special issue is
aimed at providing a better understanding of the recent progress in the
development of oligonucleotide-based therapeutics to encourage further research
and innovation in this field to achieve these advancements. Several Japanese
scientists have been invited to contribute to this issue by describing their
recent findings, overviews, insights, or commentaries on rational designing of
therapeutic oligonucleotide molecules and their novel delivery technologies,
especially nanocarrier systems.
PMID- 27890899
TI - Drug delivery system of therapeutic oligonucleotides.
AB - Therapeutic oligonucleotides are promising technologies. Nevertheless,
improvement of their efficacy is an important issue. Introducing this drug
delivery system (DDS) makes for a great enhancement for delivery of
oligonucleotides to targeted tissue or cells. The strategy of DDS for therapeutic
oligonucleotides is divided into four categories, A) single piece of
oligonucleotide, B) oligonucleotide-ligand conjugate, C) oligonucleotide-polymer
conjugate, and D) nanoparticle. In this review we will describe those basic
concepts, especially for the technology of conjugating ligand. In addition, we
developed a new technology, heteroduplex oligonucleotide (HDO), binding ligand
molecule to antisense oligonucleotide indirectly. We also outline alpha
tocopherol (a natural isomer of vitamin E) conjugated HDO.
PMID- 27890900
TI - In vitro and in vivo biophysical properties of oligonucleotides containing 5'
thio nucleosides.
AB - Phosphorothioate modification is one of the most widely investigated and
promising chemical modifications in oligonucleotide (ON) based therapeutics.
Structurally similar 5'-thio or phosphorothiolate-modified nucleotides, in which
a 5'-bridging oxygen is replaced with a sulfur atom, are gaining importance for
ON-based research. Several reports have been published describing the synthesis
of 5'-thio-modified ONs but no detailed in vitro and in vivo data are available.
Here, we report the synthesis of 5'-thio-modified 2'-deoxy-5-methylcytidine. 5'
Thio-modified thymidine and 2'-deoxy-5-methylcytidine were incorporated into
target ONs, then we evaluated their binding affinity, nuclease stability, RNase H
mediated scission, stability in blood serum, and in vitro and in vivo activity.
This is the first report showing the influence of 5'-thio-modified antisense ONs
in in vitro and in vivo experiments.
PMID- 27890901
TI - Can colorectal delivery technology provide a platform for enteral oligonucleotide
based therapeutics?
AB - Nucleic acid-based therapeutics including antisense and siRNA oligonucleotides
has been expected as an innovative treatment for intractable diseases. Oral drug
delivery is the most patient-friendly route of administration but developing an
effective delivery system for oligonucleotides remains a major challenge. In this
commentary, we discuss the potential benefits of the colorectal route as another
platform for the development of oral oligonucleotide therapeutics. The importance
of the targeting or the availability of oligonucleotides in targeted tissue is
highlighted in contrast to systemic availability, while the liver-targeted
enteral siRNA delivery technology that we recently developed is introduced.
PMID- 27890904
TI - Pharmacokinetic studies of the recombinant chicken interferon-alpha in broiler
chickens.
AB - In this study, 24 male and female broiler chickens at 30-day-old were divided
into three groups with 8 animals in each group. The animals were administered
with recombinant chicken interferon-alpha (rChIFN-alpha) at a dose of 1.0 * 106
IU/kg intravenously, intramuscularly or subcutaneously, respectively. Serum
samples were collected at different time points post administration, and the
titers of rChIFN-alpha in the blood were determined by cytopathic effect
inhibition assay. The results showed that the pharmacokinetic characteristics of
rChIFN-alpha by intramuscular injection and subcutaneous injection were fitted to
one compartment open model, and the Tmax was 3.21 +/- 0.79 hr and 3.95 +/- 0.85
hr, respectively, and the elimination half-life (T1/2) was 6.20 +/- 2.77 hr and
5.03 +/- 3.70 hr, respectively. In contrast, the pharmacokinetics of rChIFN-alpha
via intravenous injection was in line with the open model of two-compartment and
was eliminated in the first order, and the elimination half-life (T1/2) was 4.61
+/- 0.84 hr. In addition, compared with those in the intravenous group and the
subcutaneous group, the bioavailability of rChIFN-alpha in the intramuscular
group was 82.80%. In conclusion, rChIFN-alpha was rapidly absorbed and slowly
eliminated after intramuscular administration of single dose of rChIFN-alpha
aqueous formulations. Thus, rChIFN-alpha can be used as a commonly-used
therapeutic agent.
PMID- 27890905
TI - Bone morphogenetic protein 1 is expressed in porcine ovarian follicles and
promotes oocyte maturation and early embryonic development.
AB - In the present study, we tried to determine whether bone morphogenetic protein 1
(BMP1) plays a role in ovarian follicular development and early embryo
development. We systematically investigated the expression and influence of BMP1
during porcine follicle and early embryonic development. Immunohistochemistry
demonstrated that the BMP1 protein is expressed in granular cells and oocytes
during follicular development, from primary to pre-ovulatory follicles, including
atretic follicles and the corpus luteum. The mRNA expression of BMP1
significantly increased as the porcine follicles grew. Immunofluorescence
analysis indicated that BMP1 was expressed in cumulus-oocyte complexes (COCs),
oocytes and porcine embryos during early in vitro culture. qPCR and western blot
analysis showed that the expression of BMP1 was significantly up-regulated in
mature porcine oocytes and COCs compared to immature oocytes and COCs. BMP1 is
expressed in early porcine embryos, and its expression reaches a peak at the 8
cell stage. To determine the effect of BMP1 on the maturation of oocytes and the
development of early embryos, various concentrations of BMP1 recombinant protein
or antibody were added to the in vitro culture media, respectively. BMP1
significantly affected the porcine oocyte maturation rate, the cleavage rate and
the blastocyst development rate of embryos cultured in vitro in a positive way,
as well as the blastocyst cell number. In conclusion, BMP1 is expressed
throughout porcine ovarian follicle development and early embryogenesis, and it
promotes oocyte maturation and the developmental ability of embryos during early
in vitro culture.
PMID- 27890907
TI - Relationship between serum gonadotropin concentrations and thyroid volume in
women with polycystic ovary syndrome.
PMID- 27890906
TI - Accuracy of the evaluation method for alkaline agents' bactericidal efficacies in
solid, and the required time of bacterial inactivation.
AB - An alkaline agent, namely food additive grade calcium hydroxide (FdCa (OH)2) in
the powder form, was evaluated for its bactericidal efficacies in chicken feces
at pH 13. The point for this evaluation was neutralization of the alkaline
agent's pH at the time of bacterial recovery, since otherwise the results are
substantially misleading. Without neutralization of the FdCa (OH)2 pH, the spiked
bacteria were killed within min at the time of recovery in aqueous phase, but not
in the solid form in feces, hence, it has been demonstrated that when bacteria
were in solid, it took longer time than in liquid for the alkaline agent to
inactivate them down to the acceptable level (>=3 log10 CFU/ml).
PMID- 27890908
TI - Electronic cigarettes: a new challenge for Polish public health.
PMID- 27890909
TI - Symptoms mimicking Sjogren syndrome in a heterozygous carrier of CFTR deltaF508
mutation.
PMID- 27890910
TI - Left circumflex coronary artery aneurysm with arteriovenous fistula to the
coronary sinus presenting as acute coronary syndrome.
PMID- 27890911
TI - Suprapedicular Foraminal Endoscopic Approach to Lumbar Lateral Recess
Decompression Surgery to Treat Degenerative Lumbar Spinal Stenosis.
AB - BACKGROUND To discuss the strategy of suprapedicular foraminal endoscopic
approach to lumbar lateral recess decompression and evaluate the safety and
effectiveness of this strategy. MATERIAL AND METHODS Complete clinical
information of 52 cases of lumbar lateral recess decompression with therapy of
suprapedicular foraminal endoscopic approach were analyzed during the period from
February 2010 to April 2014 in the Third Hospital of Hebei. All patients were
followed up for 24 months, and VAS, JOA, ODI, and LRD were compared between
preoperative and postoperative therapy and changes of FA. Intraoperative and
postoperative complications were recorded and the safety of the surgery was
evaluated. The surgical "excellent" and "good" rates were evaluated using MacNab
score. RESULTS VAS scores for lumbago and leg pain at 3, 6, 12, and 24 months
after surgery were significantly lower than before surgery (p<0.05). JOA scores
at 12 and 24 months after surgery were significantly higher than before surgery
(p<0.05). ODI at 12 and 24 months after surgery were significantly lower than
before surgery (p<0.05). LRD after surgery was higher (p<0.05), and FA was lower
than before surgery. CONCLUSIONS Use of the suprapedicular foraminal endoscopic
approach to lumbar lateral recess decompression is safe and effective, and this
minimally invasive treatment can achieve satisfactory results, especially for
elderly patients with complicated underlying diseases.
PMID- 27890912
TI - Performance of Laparoscopic Pancreatoduodenectomy for Solid Pseudopapillary Tumor
of Pancreas.
AB - BACKGROUND Solid pseudopapillary tumor of the pancreas (Frantz tumor) is a rare,
low-grade malignant neoplasm. Laparoscopic pancreatoduodenectomy is a good
approach for tumors located in the pancreatic head. We present two successful
cases in young women. CASE REPORT A 19-year-old woman was admitted to Department
of Digestive Surgery due to epigastric pain, nausea, and vomiting. Computed
tomography scan of the abdomen was performed, and a 3.0*2.2 cm solid tumor
localized in the head of the pancreas was observed. After the diagnosis of Frantz
tumor of the pancreas, the patient underwent laparoscopic pancreatoduodenectomy.
Histopathology confirmed solid and cystic pseudopapillary tumor. The
postoperative course was uneventful, and after 8 months the patient remained
disease-free. An 18-year-old woman was admitted at the emergency room with
abdominal pain, vomiting, and diarrhea. Computed tomography scans revealed a mass
of 4.1*3.3 cm in size in the head of the pancreas consistent with a solid
pseudopapillary tumor. Laparoscopic pancreatoduodenectomy was performed by two
expert surgeons who divided the work: one did resection and the other did
reconstruction. The patient was discharged at postoperative day 6 without
complications, and during five months of follow-up the patient has been well and
without disease. CONCLUSIONS Laparoscopic pancreatoduodenectomy can be performed
safely in patients with Frantz tumor by surgeons with expertise in laparoscopic
surgery, technical skill, and adequate equipment.
PMID- 27890913
TI - Early local immune defences in the respiratory tract.
AB - The respiratory immune response consists of multiple tiers of cellular responses
that are engaged in a sequential manner in order to control infections. The
stepwise engagement of effector functions with progressively increasing host
fitness costs limits tissue damage. In addition, specific mechanisms are in place
to promote disease tolerance in response to respiratory infections. Environmental
factors, obesity and the ageing process can alter the efficiency and regulation
of this tiered response, increasing pathology and mortality as a result. In this
Review, we describe the cell types that coordinate pathogen clearance and tissue
repair through the serial secretion of cytokines, and discuss how the environment
and comorbidity influence this response.
PMID- 27890915
TI - Pharmacological evidence: a new therapeutic approach to the treatment of chronic
heart failure through SUR2B/Kir6.1 channel in endothelial cells.
AB - Both iptakalim (Ipt) and natakalim (Nat) activate the SUR2B/Kir6.1 channel, an
ATP-sensitive potassium channel (KATP) subtype, with high selectivity. In this
study we investigated the therapeutic effects of Ipt and Nat against
isoproterenol-induced chronic heart failure (ISO-CHF) in rats, and demonstrated a
new therapeutic approach to the treatment of CHF through activation of the
SUR2B/Kir6.1 channel in endothelial cells. In ISO-CHF rats, oral administration
of Nat (1, 3, 9 mg.kg-1.d-1) or Ipt (3 mg.kg-1.d-1) for 60 days significantly
improved cardiac dysfunction, reversed cardiac remodeling, significantly
attenuated the pathological increases in BNP levels, and improved endothelial
dysfunction by adjusting the balance between endothelin and NO systems. The
therapeutic effects of Nat were prevented by the selective KATP blocker
glibenclamine (Gli, 50 mg.kg-1.d-1), confirming that these effects were mediated
through activation of the SUR2B/Kir6.1 channel in endothelial cells. The
molecular mechanisms underlying the therapeutic effects of Nat were further
addressed using proteomic methods. We identified 724 proteins in the plasma of
ISO-CHF rats; 55 proteins were related to Nat. These differentially expressed
proteins were mainly involved in single-organism processes and the regulation of
biological quality relative to CHF, including proteasome (Psm) and ATP protein
clusters. We screened out PRKAR2beta, GAS6/eNOS/NO and NO/PKG/VASP pathways
involved in the amelioration of CHF among the 24 enriched pathways. We further
confirmed 6 protein candidates, including PRKAR2beta, GAS6 and VASP, which were
involved in the endothelial mechanisms, and ATP, TIMP3 and AGT, which contributed
to its cardiovascular actions. This study demonstrates a new pharmacological
approach to the treatment of CHF through activation of the SUR2B/Kir6.1 channel
in endothelial cells, and that the eNOS/VASP pathways are involved in its
signaling mechanisms.
PMID- 27890914
TI - Dendritic cell migration in health and disease.
AB - Dendritic cells (DCs) are potent and versatile antigen-presenting cells, and
their ability to migrate is key for the initiation of protective pro-inflammatory
as well as tolerogenic immune responses. Recent comprehensive studies have
highlighted the importance of DC migration in the maintenance of immune
surveillance and tissue homeostasis, and also in the pathogenesis of a range of
diseases. In this Review, we summarize the anatomical, cellular and molecular
factors that regulate the migration of different DC subsets in health and
disease. In particular, we focus on new insights concerning the role of migratory
DCs in the pathogenesis of diseases of the skin, intestine, lung, and brain, as
well as in autoimmunity and atherosclerosis.
PMID- 27890916
TI - Polydatin ameliorates Staphylococcus aureus-induced mastitis in mice via
inhibiting TLR2-mediated activation of the p38 MAPK/NF-kappaB pathway.
AB - Recent studies show that Polydatin (PD) extracted from the roots of Polygonum
cuspidatum Sieb, a widely used traditional Chinese remedies, possesses anti
inflammatory activity in several experimental models. In this study, we
investigated the anti-inflammatory effects of PD on Staphylococcus aureus-induced
mastitis in mice and elucidated the potential mechanisms. In mice with S aureus
induced mastitis, administration of PD (15, 30, 45 mg/kg, ip) or dexamethasone
(Dex, 5 mg/kg, ip) significantly suppressed the infiltration of inflammatory
cells, ameliorated the mammary structural damage, and inhibited the activity of
myeloperoxidase, a biomarker of neutrophils accumulation. Furthermore, PD
treatment dose-dependently decreased the levels of TNF-alpha, IL-1beta, IL-6 and
IL-8 in the mammary gland tissues. PD treatment also dose-dependently decreased
the expression of TLR2, MyD88, IRAK1, IRAK4 and TRAF6 as well as the
phosphorylation of TAK1, MKK3/6, p38 MAPK, IkappaB-alpha and NF-kappaB in the
mammary gland tissues. In mouse mammary epithelial cells (mMECs) infected by S
aureus in vitro, pretreatment with PD dose-dependently suppressed the upregulated
pro-inflammatory cytokines and signaling proteins, and the nuclear translocation
of NF-kappaB p65 and AP-1. A TLR2-neutralizing antibody mimicked PD in its
suppression on S aureus-induced upregulation of MyD88, p-p38 and p-p65 levels in
mMECs. PD (50, 100 MUg/mL) affected neither the growth of S aureus in vitro, nor
the viability of mMECs. In conclusion, PD does not exhibit antibacterial activity
against S aureus, its therapeutic effects in mouse S aureus-induced mastitis
depend on its ability to down-regulate pro-inflammatory cytokine levels via
inhibiting TLR2-mediated activation of the p38 MAPK/NF-kappaB signaling pathway.
PMID- 27890917
TI - Sulforaphane suppresses EMT and metastasis in human lung cancer through miR-616
5p-mediated GSK3beta/beta-catenin signaling pathways.
AB - Sulforaphane is a common antioxidant selectively abundant in cruciferous plants,
which exhibits effective anti-cancer actions in control of tumorigenesis or
progression of various cancers. A recent study has shown that sulforaphane
attenuates the EGFR signaling pathway in non-small cell lung cancer (NSCLC),
suggesting its potential anti-metastatic effects. In this study we assessed the
involvement of sulforaphane and miR-616-5p in epithelial-mesenchymal transition
(EMT) and NSCLC metastasis. Sulforaphane suppressed the cell proliferation in
human NSCLC cell lines H1299, 95C and 95D with IC50 values of 9.52+/-1.23, 9.04+/
1.90 and 17.35+/-2.03 MUmol/L, respectively. At low concentrations (1-5 MUmol/L),
sulforaphane dose-dependently inhibited the migration and invasion of 95D and
H1299 cells with relatively high metastatic potential. The anti-metastatic action
of sulforaphane was confirmed in 95D and H1299 cell xenografts in vivo. In fresh
NSCLC tissue samples from 179 patients, miR-616-5p levels were upregulated in
late-stage NSCLCs, and strongly correlated with risk of NSCLC recurrence and
metastasis. Consistent with the clinic observation, miR-616-5p levels in the 3
NSCLC cell lines were correlated with their metastatic ability, and were
decreased by sulforaphane treatment. Silencing miR-616-5p markedly suppressed the
migration and invasion of 95D cells in vitro and NSCLC metastasis in vivo.
Further studies revealed that miR-616-5p directly targeted GSK3beta and decreased
its expression, whereas sulforaphane decreased miR-616-5p levels by histone
modification, and followed by inactivation of the GSK3beta/beta-catenin signaling
pathway and inhibition of EMT, which was characterized by loss of epithelial
markers and acquisition of a mesenchymal phenotype in NSCLC cells. Our findings
suggest that sulforaphane is a potential adjuvant chemotherapeutic agent for the
prevention of NSCLC recurrence and metastasis, and miR-616-5p can be clinically
utilized as a biomarker or therapeutic target to inhibit metastasis.
PMID- 27890918
TI - 2-Hydroxy-3-methoxybenzoic acid attenuates mast cell-mediated allergic reaction
in mice via modulation of the FcepsilonRI signaling pathway.
AB - Mast cells are important effector cells in immunoglobulin (Ig) E-mediated
allergic reactions such as asthma, atopic dermatitis and rhinitis. Vanillic acid,
a natural product, has shown anti-oxidant and anti-inflammatory activities. In
the present study, we investigated the anti-allergic inflammatory effects of
ortho-vanillic acid (2-hydroxy-3-methoxybenzoic acid, o-VA) that was a derivative
of vanillic acid isolated from Amomum xanthioides. In mouse anaphylaxis models,
oral administration of o-VA (2, 10, 50 mg/kg) dose-dependently attenuated
ovalbumin-induced active systemic anaphylaxis and IgE-mediated cutaneous allergic
reactions such as hypothermia, histamine release, IgE production and
vasodilation; administration of o-VA also suppressed the mast cell degranulator
compound 48/80-induced anaphylaxis. In cultured mast cell line RBL-2H3 and
isolated rat peritoneal mast cells in vitro, pretreatment with o-VA (1-100
MUmol/L) dose-dependently inhibited DNP-HSA-induced degranulation of mast cells
by decreasing the intracellular free calcium level, and suppressed the expression
of pro-inflammatory cytokines TNF-alpha and IL-4. Pretreatment of RBL-2H3 cells
with o-VA suppressed DNP-HSA-induced phosphorylation of Lyn, Syk, Akt, and the
nuclear translocation of nuclear factor-kappaB. In conclusion, o-VA suppresses
the mast cell-mediated allergic inflammatory response by blocking the signaling
pathways downstream of high affinity IgE receptor (FcepsilonRI) on the surface of
mast cells.
PMID- 27890921
TI - Bacterial Physiology: Quorum sensing controls the cost of CRISPR-Cas.
PMID- 27890919
TI - Human gammadeltaT-cell subsets and their involvement in tumor immunity.
AB - gammadeltaT cells are a conserved population of innate lymphocytes with diverse
structural and functional heterogeneity that participate in various immune
responses during tumor progression. gammadeltaT cells perform potent
immunosurveillance by exerting direct cytotoxicity, strong cytokine production
and indirect antitumor immune responses. However, certain gammadeltaT-cell
subsets also contribute to tumor progression by facilitating cancer-related
inflammation and immunosuppression. Here, we review recent observations regarding
the antitumor and protumor roles of major structural and functional subsets of
human gammadeltaT cells, describing how these subsets are activated and
polarized, and how these events relate to subsequent function in tumor immunity.
These studies provide insights into the manipulation of gammadeltaT-cell function
to facilitate more targeted approaches for tumor therapy.Cellular & Molecular
Immunology advance online publication, 28 November 2016; doi:10.1038/cmi.2016.55.
PMID- 27890920
TI - Protein export through the bacterial Sec pathway.
AB - The general secretory (Sec) pathway comprises an essential, ubiquitous and
universal export machinery for most proteins that integrate into, or translocate
through, the plasma membrane. Sec exportome polypeptides are synthesized as pre
proteins that have cleavable signal peptides fused to the exported mature
domains. Recent advances have re-evaluated the interaction networks of pre
proteins with chaperones that are involved in pre-protein targeting from the
ribosome to the SecYEG channel and have identified conformational signals as
checkpoints for high-fidelity targeting and translocation. The recent structural
and mechanistic insights into the channel and its ATPase motor SecA are important
steps towards the elucidation of the allosteric crosstalk that mediates
secretion. In this Review, we discuss recent biochemical, structural and
mechanistic insights into the consecutive steps of the Sec pathway - sorting and
targeting, translocation and release - in both co-translational and post
translational modes of export. The architecture and conformational dynamics of
the SecYEG channel and its regulation by ribosomes, SecA and pre-proteins are
highlighted. Moreover, we present conceptual models of the mechanisms and
energetics of the Sec-pathway dependent secretion process in bacteria.
PMID- 27890925
TI - DNA elements: The ins and outs of enhancer validation.
PMID- 27890926
TI - Cancer genomics: Single-cell RNA-seq to decipher tumour architecture.
PMID- 27890922
TI - Progress in imaging methods: insights gained into Plasmodium biology.
AB - Over the past decade, major advances in imaging techniques have enhanced our
understanding of Plasmodium spp. parasites and their interplay with mammalian
hosts and mosquito vectors. Cryoelectron tomography, cryo-X-ray tomography and
super-resolution microscopy have shifted paradigms of sporozoite and gametocyte
structure, the process of erythrocyte invasion by merozoites, and the
architecture of Maurer's clefts. Intravital time-lapse imaging has been
revolutionary for our understanding of pre-erythrocytic stages of rodent
Plasmodium parasites. Furthermore, high-speed imaging has revealed the link
between sporozoite structure and motility, and improvements in time-lapse
microscopy have enabled imaging of the entire Plasmodium falciparum erythrocytic
cycle and the complete Plasmodium berghei pre-erythrocytic stages for the first
time. In this Review, we discuss the contribution of key imaging tools to these
and other discoveries in the malaria field over the past 10 years.
PMID- 27890924
TI - Cellular plasticity in kidney injury and repair.
AB - Terminally differentiated cells can be reprogrammed to pluripotency or directly
to another differentiated cell type in vitro, a capacity termed cellular
plasticity. Plasticity is not limited to in vitro manipulations but rather
represents an important aspect of the regenerative response to injury in organs.
Differentiated adult cells retain the capacity to dedifferentiate, adopting a
progenitor-like phenotype after injury or, alternatively, to transdifferentiate,
directly converting to a different mature cell type. Emerging concepts on
cellular plasticity have relevance to our understanding of repair after kidney
injury, including epithelial regeneration. Here we discuss work published in the
past 5 years on the cellular hierarchies and mechanisms underlying kidney injury
and repair, with a particular focus on potential roles for cellular plasticity in
this response.
PMID- 27890928
TI - Identification and characterization of activating ABL1 1b kinase mutations:
impact on sensitivity to ATP-competitive and allosteric ABL1 inhibitors.
AB - Although pathologically activated ABL1 fusion kinases represent well-validated
therapeutic targets, tumor genomic sequencing has identified numerous point
mutations in the ABL1 proto-oncogene of unclear significance. Here we describe
ten novel ABL1 1b point mutations, including two from clinical isolates, that
cause constitutive kinase activation and cellular transformation. All mutants
retained sensitivity to ATP-competitive tyrosine kinase inhibitors (TKIs).
Several substitutions cluster near the myristoyl-binding pocket, the target of
ABL001, a novel clinically active allosteric kinase inhibitor that mimics the
autoinhibitory myristoyl group, and likely activate the kinase by relieving
physiologic autoinhibition. In addition, several mutations activate the kinase
and confer resistance to allosteric inhibition despite a lack of proximity to
this region. We demonstrate that BCR-ABL1 and ABL1 1b point mutations can co
exist in a proportion of clinical cases as a consequence of the chromosome 9
breakpoint location. Collectively, our findings support clinical investigation of
ATP-competitive TKIs in malignancies harboring ABL1 point mutations, and
sequencing of BCR-ABL1 and ABL1 1b in patients with acquired resistance to
allosteric ABL1 inhibitors.
PMID- 27890923
TI - The epigenetic landscape of renal cancer.
AB - The majority of kidney cancers are associated with mutations in the von Hippel
Lindau gene and a small proportion are associated with infrequent mutations in
other well characterized tumour-suppressor genes. In the past 15 years, efforts
to uncover other key genes involved in renal cancer have identified many genes
that are dysregulated or silenced via epigenetic mechanisms, mainly through
methylation of promoter CpG islands or dysregulation of specific microRNAs. In
addition, the advent of next-generation sequencing has led to the identification
of several novel genes that are mutated in renal cancer, such as PBRM1, BAP1 and
SETD2, which are all involved in histone modification and nucleosome and
chromatin remodelling. In this Review, we discuss how altered DNA methylation,
microRNA dysregulation and mutations in histone-modifying enzymes disrupt
cellular pathways in renal cancers.
PMID- 27890927
TI - The AP-1 transcription factor JunB is essential for multiple myeloma cell
proliferation and drug resistance in the bone marrow microenvironment.
AB - Despite therapeutic advances, multiple myeloma (MM) remains an incurable disease,
predominantly because of the development of drug resistance. The activator
protein-1 (AP-1) transcription factor family has been implicated in a multitude
of physiologic processes and tumorigenesis; however, its role in MM is largely
unknown. Here we demonstrate specific and rapid induction of the AP-1 family
member JunB in MM cells when co-cultured with bone marrow stromal cells.
Supporting a functional key role of JunB in MM pathogenesis, knockdown of JUNB
significantly inhibited in vitro MM cell proliferation and survival.
Consistently, induced silencing of JUNB markedly decreased tumor growth in a
murine MM model of the microenvironment. Subsequent gene expression profiling
revealed a role for genes associated with apoptosis, DNA replication and
metabolism in driving the JunB-mediated phenotype in MM cells. Importantly,
knockdown of JUNB restored the response to dexamethasone in dexamethasone
resistant MM cells. Moreover, 4-hydroxytamoxifen-induced activation of a JunB-ER
fusion protein protected dexamethasone-sensitive MM cells against dexamethasone-
and bortezomib-induced cytotoxicity. In summary, our results demonstrate for the
first time a specific role for AP-1/JunB in MM cell proliferation, survival and
drug resistance, thereby strongly supporting that this transcription factor is a
promising new therapeutic target in MM.
PMID- 27890929
TI - Extended time-lapse in vivo imaging of tibia bone marrow to visualize dynamic
hematopoietic stem cell engraftment.
AB - Homing, engraftment and proliferation of hematopoietic stem/progenitor cell
(HSC/HPCs) are crucial steps required for success of a bone marrow transplant.
Observation of these critical events is limited by the opaque nature of bone.
Here we demonstrate how individual HSCs engraft in long bones by thinning one
side of the tibia for direct and unbiased observation. Intravital imaging enabled
detailed visualization of single Sca-1+, c-Kit+, Lineage- (SKL) cell migration to
bone marrow niches and subsequent proliferation to reconstitute hematopoiesis.
This longitudinal study allowed direct observation of dynamic HSC/HPC activities
during engraftment in full color for up to 6 days in live recipients. Individual
SKL cells, but not mature or committed progenitor cells, preferentially homed to
a limited number of niches near highly vascularized endosteal regions, and
clonally expanded. Engraftment of SKL cells in P-selectin and osteopontin
knockout mice showed abnormal homing and expansion of SKL cells. CD150+, CD48-
SKL populations initially engrafted in the central marrow region, utilizing only
a subset of niches occupied by the parent SKL cells. Our study demonstrates that
time-lapse imaging of tibia can be a valuable tool to understand the dynamic
characteristics of functional HSC and niche components in various mouse models.
PMID- 27890930
TI - Histone deacetylase inhibitors interrupt HSP90*RASGRP1 and HSP90*CRAF
interactions to upregulate BIM and circumvent drug resistance in lymphoma cells.
AB - Histone deacetylase (HDAC) inhibitors, which are approved for the treatment of
cutaneous T-cell lymphoma and multiple myeloma, are undergoing evaluation in
other lymphoid neoplasms. How they kill susceptible cells is incompletely
understood. Here, we show that trichostatin A, romidepsin and panobinostat induce
apoptosis across a panel of malignant B cell lines, including lines that are
intrinsically resistant to bortezomib, etoposide, cytarabine and BH3 mimetics.
Further analysis traces the pro-apoptotic effects of HDAC inhibitors to increased
acetylation of the chaperone heat shock protein 90 (HSP90), causing release and
degradation of the HSP90 client proteins RASGRP1 and CRAF, which in turn leads to
downregulation of mitogen-activated protein kinase pathway signaling and
upregulation of the pro-apoptotic BCL2 family member BIM in vitro and in vivo.
Importantly, these pro-apoptotic effects are mimicked by RASGRP1 small
interfering RNA (siRNA) or HSP90 inhibition and reversed by overexpression of
constitutively active MEK1 or siRNA-mediated downregulation of BIM. Collectively,
these observations not only identify a new HSP90 client protein, RASGRP1, but
also delineate a complete signaling pathway from HSP90 acetylation through
RASGRP1 and CRAF degradation to BIM upregulation that contributes to selective
cytotoxicity of HDAC inhibitors in lymphoid malignancies.
PMID- 27890931
TI - A TLR7 agonist enhances the antitumor efficacy of obinutuzumab in murine lymphoma
models via NK cells and CD4 T cells.
AB - Anti-CD20 monoclonal antibodies (mAb) such as rituximab have been proven to be
highly effective at improving outcome in B-cell malignancies. However, many
patients ultimately relapse and become refractory to treatment. The
glycoengineered anti-CD20 mAb obinutuzumab was developed to induce enhanced
antibody-dependent cellular cytotoxicity, antibody-dependent phagocytosis and
direct cell death and was shown to lead to improved outcomes in a randomized
study in B-CLL. We hypothesized that immune stimulation through Toll-like
receptor 7 (TLR7) agonism in combination with obinutuzumab would further enhance
lymphoma clearance and the generation of long-term antitumor immune responses.
Here we demonstrate, in syngeneic human CD20 (hCD20)-expressing models of
lymphoma, that systemic administration of a TLR7 agonist (R848) increases
responses when administered in combination with obinutuzumab and protects against
disease recurrence. Depletion studies demonstrate that primary antitumor activity
is dependent on both NK cells and CD4+ T cells but not on CD8+ T cells. However,
both CD4+ and CD8+ T cells appear necessary for the generation of protective
immunological memory. Importantly, increased tumor-free survival post
obinutuzumab and R848 combination therapy was seen in hCD20 transgenic mice,
which express hCD20 on normal B cells. These findings provide a rationale for
clinical testing of obinutuzumab in combination with systemically administered
TLR7 agonists to further improve outcome.
PMID- 27890932
TI - IL-10 production by CLL cells is enhanced in the anergic IGHV mutated subset and
associates with reduced DNA methylation of the IL10 locus.
AB - Chronic lymphocytic leukemias (CLLs) with unmutated (U-CLL) or mutated (M-CLL)
IGHV have variable features of immunosuppression, possibly influenced by those
CLL cells activated to produce interleukin 10 (IL-10). The two subsets differ in
their levels of anergy, defined by low surface immunoglobulin M levels/signaling
capacity, and in their DNA methylation profile, particularly variable in M-CLL.
We have now found that levels of IL-10 produced by activated CLL cells were
highly variable. Levels were higher in M-CLL than in U-CLL and correlated with
anergy. DNA methylation analysis of IL10 locus revealed two previously
uncharacterized 'variably methylated regions' (CLL-VMRs1/2) in the gene body, but
similarly low methylation in the promoter of both U-CLL and M-CLL. CLL-VMR1/2
methylation was lower in M-CLL than in U-CLL and inversely correlated with IL-10
induction. A functional signal transducer and activator of transcription 3
(STAT3) binding site in CLL-VMR2 was confirmed by proximity ligation and
luciferase assays, whereas inhibition of SYK-mediated STAT3 activation resulted
in suppression of IL10. The data suggest epigenetic control of IL-10 production.
Higher tumor load may compensate the reduced IL-10 production in U-CLL,
accounting for clinical immunosuppression in both subsets. The observation that
SYK inhibition also suppresses IL-10 provides a potential new rationale for
therapeutic targeting and immunological rescue by SYK inhibitors in CLL.
PMID- 27890933
TI - Preclinical activity of CPI-0610, a novel small-molecule bromodomain and extra
terminal protein inhibitor in the therapy of multiple myeloma.
AB - Inhibition of the bromodomain and extra-terminal (BET) proteins is a promising
therapeutic strategy for various hematologic cancers. Previous studies suggest
that BET inhibitors constrain tumor cell proliferation and survival mainly
through the suppression of MYC transcription and activity. However, suppression
of the transcription of additional genes also contributes to the antitumor
activity of BET inhibitors but is less well understood. Here we examined the
therapeutic potential of CPI-0610, a potent BET inhibitor currently undergoing
phase I clinical testing, in multiple myeloma (MM). CPI-0610 displays potent
cytotoxicity against MM cell lines and patient-derived MM cells through G1 cell
cycle arrest and caspase-dependent apoptosis. CPI-0610-mediated BET inhibition
overcomes the protective effects conferred by cytokines and bone marrow stromal
cells. We also confirmed the in vivo efficacy of CPI-0610 in a MM xenograft mouse
model. Our study found IKZF1 and IRF4 to be among the primary targets of CPI
0610, along with MYC. Given that immunomodulatory drugs (IMiDs) stabilize
cereblon and facilitate Ikaros degradation in MM cells, we combined it with CPI
0610. Combination studies of CPI-0610 with IMiDs show in vitro synergism, in part
due to concomitant suppression of IKZF1, IRF4 and MYC, providing a rationale for
clinical testing of this drug combination in MM patients.
PMID- 27890935
TI - NUP98-fusion transcripts characterize different biological entities within acute
myeloid leukemia: a report from the AIEOP-AML group.
PMID- 27890937
TI - Seasonal changes in neophobia and its consistency in rooks: the effect of novelty
type and dominance position.
AB - Neophobia, or the fear of novelty, may offer benefits to animals by limiting
their exposure to unknown danger, but can also impose costs by preventing the
exploration of potential resources. The costs and benefits of neophobia may vary
throughout the year if predation pressure, resource distribution or conspecific
competition changes seasonally. Despite such variation, neophobia levels are
often assumed to be temporally and individually stable. Whether or not neophobia
expression changes seasonally and fluctuates equally for all individuals is
crucial to understanding the drivers, consequences and plasticity of novelty
avoidance. We investigated seasonal differences and individual consistency in the
motivation and novelty responses of a captive group of rooks, Corvus frugilegus,
a seasonally breeding, colonial species of corvid that is known for being
neophobic. We tested the group around novel objects and novel people to determine
whether responses generalized across novelty types, and considered whether
differences in dominance could influence the social risk of approaching unknown
stimuli. We found that the group's level of object neophobia was stable year
round, but individuals were not consistent between seasons, despite being
consistent within seasons. In contrast, the group's avoidance of novel people
decreased during the breeding season, and individuals were consistent year-round.
Additionally, although subordinate birds were more likely to challenge dominants
during the breeding season, this social risk taking did not translate to greater
novelty approach. Since seasonal variation and individual consistency varied
differently towards each novelty type, responses towards novel objects and people
seem to be governed by different mechanisms. Such a degree of fluctuation has
consequences for other individually consistent behaviours often measured within
the nonhuman personality literature.
PMID- 27890938
TI - Chemical kinetic model uncertainty minimization through laminar flame speed
measurements.
AB - Laminar flame speed measurements were carried for mixture of air with eight C3-4
hydrocarbons (propene, propane, 1,3-butadiene, 1-butene, 2-butene, iso-butene, n
butane, and iso-butane) at the room temperature and ambient pressure. Along with
C1-2 hydrocarbon data reported in a recent study, the entire dataset was used to
demonstrate how laminar flame speed data can be utilized to explore and minimize
the uncertainties in a reaction model for foundation fuels. The USC Mech II
kinetic model was chosen as a case study. The method of uncertainty minimization
using polynomial chaos expansions (MUM-PCE) (D.A. Sheen and H. Wang, Combust.
Flame 2011, 158, 2358-2374) was employed to constrain the model uncertainty for
laminar flame speed predictions. Results demonstrate that a reaction model
constrained only by the laminar flame speed values of methane/air flames notably
reduces the uncertainty in the predictions of the laminar flame speeds of C3 and
C4 alkanes, because the key chemical pathways of all of these flames are similar
to each other. The uncertainty in model predictions for flames of unsaturated C3
4 hydrocarbons remain significant without considering fuel specific laminar
flames speeds in the constraining target data set, because the secondary rate
controlling reaction steps are different from those in the saturated alkanes. It
is shown that the constraints provided by the laminar flame speeds of the
foundation fuels could reduce notably the uncertainties in the predictions of
laminar flame speeds of C4 alcohol/air mixtures. Furthermore, it is demonstrated
that an accurate prediction of the laminar flame speed of a particular C4
alcohol/air mixture is better achieved through measurements for key molecular
intermediates formed during the pyrolysis and oxidation of the parent fuel.
PMID- 27890934
TI - EGR2 mutations define a new clinically aggressive subgroup of chronic lymphocytic
leukemia.
AB - Recurrent mutations within EGR2 were recently reported in advanced-stage chronic
lymphocytic leukemia (CLL) patients and associated with a worse outcome. To study
their prognostic impact, 2403 CLL patients were examined for mutations in the
EGR2 hotspot region including a screening (n=1283) and two validation cohorts (UK
CLL4 trial patients, n=366; CLL Research Consortium (CRC) patients, n=490).
Targeted deep-sequencing of 27 known/postulated CLL driver genes was also
performed in 38 EGR2-mutated patients to assess concurrent mutations. EGR2
mutations were detected in 91/2403 (3.8%) investigated cases, and associated with
younger age at diagnosis, advanced clinical stage, high CD38 expression and
unmutated IGHV genes. EGR2-mutated patients frequently carried ATM lesions (42%),
TP53 aberrations (18%) and NOTCH1/FBXW7 mutations (16%). EGR2 mutations
independently predicted shorter time-to-first-treatment (TTFT) and overall
survival (OS) in the screening cohort; they were confirmed associated with
reduced TTFT and OS in the CRC cohort and independently predicted short OS from
randomization in the UK CLL4 cohort. A particularly dismal outcome was observed
among EGR2-mutated patients who also carried TP53 aberrations. In summary, EGR2
mutations were independently associated with an unfavorable prognosis, comparable
to CLL patients carrying TP53 aberrations, suggesting that EGR2-mutated patients
represent a new patient subgroup with very poor outcome.
PMID- 27890936
TI - Increased proportion of mature NK cells is associated with successful imatinib
discontinuation in chronic myeloid leukemia.
AB - Recent studies suggest that a proportion of chronic myeloid leukemia (CML)
patients in deep molecular remission can discontinue the tyrosine kinase
inhibitor (TKI) treatment without disease relapse. In this multi-center,
prospective clinical trial (EURO-SKI, NCT01596114) we analyzed the function and
phenotype of T and NK cells and their relation to successful TKI cessation.
Lymphocyte subclasses were measured from 100 imatinib-treated patients at
baseline and 1 month after the discontinuation, and functional characterization
of NK and T cells was done from 45 patients. The proportion of NK cells was
associated with the molecular relapse-free survival as patients with higher than
median NK-cell percentage at the time of drug discontinuation had better
probability to stay in remission. Similar association was not found with T or B
cells or their subsets. In non-relapsing patients the NK-cell phenotype was
mature, whereas patients with more naive CD56bright NK cells had decreased
relapse-free survival. In addition, the TNF-alpha/IFN-gamma cytokine secretion by
NK cells correlated with the successful drug discontinuation. Our results
highlight the role of NK cells in sustaining remission and strengthen the status
of CML as an immunogenic tumor warranting novel clinical trials with
immunomodulating agents.
PMID- 27890940
TI - Whatever Happened to Formal Methods for Security?
AB - We asked 7 experts 7 questions to find out what has occurred recently in terms of
applying formal methods (FM) to security-centric, cyber problems. We are
continually reminded of the 1996 paper by Tony Hoare "How did Software Get So
Reliable Without Proof?" [1] In that vein, how did we get so insecure with proof?
Given daily press announcements concerning new malware, data breaches, and
privacy loss, is FM still relevant or was it ever? Our experts answered with
unique personal insights. We were curious as to whether this successful
methodology in "safety-critical" has succeeded as well for today's "build it,
hack it, patch it" mindset. Our experts were John McLean (Naval Research Labs),
Paul Black (National Institute of Standards and Technology), Karl Levitt
(University of California at Davis), Joseph Williams (CloudEconomist.Com), Connie
Heitmeyer (Naval Research Labs), Eugene Spafford (Purdue University), and Joseph
Kiniry (Galois, Inc.). The questions and responses follow.
PMID- 27890939
TI - The metal face of protein tyrosine phosphatase 1B.
AB - A new paradigm in metallobiochemistry describes the activation of inactive
metalloenzymes by metal ion removal. Protein tyrosine phosphatases (PTPs) do not
seem to require a metal ion for enzymatic activity. However, both metal cations
and metal anions modulate their enzymatic activity. One binding site is the
phosphate binding site at the catalytic cysteine residue. Oxyanions with
structural similarity to phosphate, such as vanadate, inhibit the enzyme with
nanomolar to micromolar affinities. In addition, zinc ions (Zn2+) inhibit with
picomolar to nanomolar affinities. We mapped the cation binding site close to the
anion binding site and established a specific mechanism of inhibition occurring
only in the closed conformation of the enzyme when the catalytic cysteine is
phosphorylated and the catalytic aspartate moves into the active site. We discuss
this dual inhibition by anions and cations here for PTP1B, the most thoroughly
investigated protein tyrosine phosphatase. The significance of the inhibition in
phosphorylation signaling is becoming apparent only from the functions of PTP1B
in the biological context of metal cations as cellular signaling ions. Zinc ion
signals complement redox signals but provide a different type of control and
longer lasting inhibition on a biological time scale owing to the specificity and
affinity of zinc ions for coordination environments. Inhibitor design for PTP1B
and other PTPs is a major area of research activity and interest owing to their
prominent roles in metabolic regulation in health and disease, in particular
cancer and diabetes. Our results explain the apparent dichotomy of both cations
(Zn2+) and oxyanions such as vanadate inhibiting PTP1B and having insulin
enhancing ("anti-diabetic") effects and suggest different approaches, namely
targeting PTPs in the cell by affecting their physiological modulators and
considering a metallodrug approach that builds on the knowledge of the insulin
enhancing effects of both zinc and vanadium compounds.
PMID- 27890942
TI - Removal of Phosphate from Aqueous Solution Using Alginate/Iron (III) Chloride
Capsules: a Laboratory Study.
AB - Binding phosphate at participation of alginate/FeCl3 capsules was studied with
laboratory experiments. The hydrogel microcapsules were obtained with the
dropping-in method, by gelation of sodium alginate water solution by iron (III)
chloride solution. Phosphate adsorption characteristics were studied in a static
batch system with respect to changes in contact time, initial phosphates
concentration, pH of solution, and temperature. After 24 h of the tests, average
87.5% of phosphate ions were removed from the natural water solutions; after 48
h, an equilibrium was reached. The adsorption data were well fit by the
Freundlich isotherm model. Parameter k of the isotherms amounted from 43.4 to
104.7, whereas parameter n amounted from 0.362 to 0.476. The course of processes
of phosphate adsorption and iron desorption to aquatic phase, as well as changes
in pH, suggests that phosphate adsorption is a major mechanism of phosphate
removal, whereas simultaneously, but at a much lower degree, a process of
precipitation of phosphate by iron (III) ions released from the capsules to the
solution takes its place. Parameters calculated in the Freundlich isotherm
equation show that by using several times smaller amounts of iron, it is possible
to remove similar or bigger amounts of phosphorus than with other adsorbents
containing iron. The alginate/FeCl3 adsorbent removes phosphate in a wide pH
spectrum-from 4 to 10. Results suggest that the proposed adsorbent has potential
in remediation of contaminated waters by phosphate.
PMID- 27890943
TI - Recapitulation of acquired immuno deficiency syndrome associated Kaposi's
sarcoma.
AB - Acquired immuno deficiency syndrome (AIDS) associated Kaposi's sarcoma (KS) is
one of the clinical forms of KS. KS is caused by human herpes viruses 8 or KS
associated herpes virus (KSHV). In India, till now, only 16 cases of AIDS
associated KS was reported. Of all the clinical forms of KS, AIDS associated KS
is distinct in many ways viz.; cutaneous manifestations commonly affects face and
trunk rather than lower limbs, more mucosal lesions, rapidly progressive, and
early systemic involvement. When human immunodeficiency virus (HIV) is co
infected with KSHV, in addition to the other pathogenic factors for the
development of KS, HIV Tat protein promotes the proliferation of cytokine
activated endothelial cells and stimulates KS. Moreover, actions of HIV Tat lead
to the aggressive course of KS in patients with AIDS, compared with the more
confined behavior of KS in HIV-negative persons. Similarly, latency-associated
nuclear antigen of KSHV would enhance HIV replication by activating the long
terminal repeats of HIV-1 through its association with Tat. Effective
antiretroviral treatment in AIDS associated KS results in reduction of the
incidence of AIDS-related KS and regression of the existing lesions. Early
diagnosis and treatment of AIDS associated KS would definitely increase the life
span and quality of the patients.
PMID- 27890941
TI - Five-Year Cancer Survival Rates in Oklahoma from 1997 to 2008.
AB - INTRODUCTION: This study evaluated the five-year observed survival rates of
American Indians/Alaskan Native, African American, and white cancer patients
among various demographic characteristics in Oklahoma focusing on lung and
bronchus, colon and rectum, female breast, and prostate for the cancer patients
diagnosed between 1997 and 2008. METHODS: The five-year observed survival rates
were calculated for overall cancer and specific cancer sites, using Kaplan-Meier
method with data from the Oklahoma Central Cancer Registry. RESULTS: Overall,
51.5% patients diagnosed with cancer survived for five years. For specific sites
we found: 79.2% for female breast cancer survived; 77.5% for prostate cancer;
12.9% for lung and bronchus cancer; and 49.9% for colorectal cancer. CONCLUSIONS:
The five-year observed survival rates in Oklahoma were consistent with national
trends. Overall, cancer survival seems to be improving over time, but there
remains disparity with the AA and AI/AN populations in contrast to whites in
Oklahoma.
PMID- 27890944
TI - Role of high-sensitivity C-reactive protein measurements in HIV patients.
AB - As we herald into the 21st century, the quality of life and the repertoire of
highly active antiretroviral therapy (HAART) have considerably improved. However,
considerable work is still needed to educate the population about primary and
secondary prevention modalities. Moreover, regular monitoring of immune response
with patients on HAART with conventional biomarkers is still a problem in low
resource settings which needs to be addressed. We aim to review high-sensitivity
C-reactive protein as a potential biomarker in this regard.
PMID- 27890945
TI - Zoon balanitis: A comprehensive review.
AB - The diagnosis and management of nonvenereal diseases are always a tough challenge
for the dermatologist due to lack of studies on its diagnostic criteria and
standard treatment guidelines. Zoon balanitis (ZB) is one of the benign
nonvenereal dermatoses, which presents as a solitary, persistent erythematous
plaque usually on the glans penis primarily in the uncircumcised, middle-aged to
old-aged men. Although it was described by Zoon in 1952, its etiopathogenesis
still remains hypothetical. This article provides an overview of the
epidemiology, clinical presentation, histopathological features, and diagnostic
criteria and diagnostic methods of ZB. In addition to this, it is rather very
important to differentiate this lesion from its clinical equivocal lesions such
as erythroplasia of Queyrat, infective and other inflammatory penile dermatoses,
which has been discussed in this review. The treatment modalities have also been
reviewed in details, and the importance of circumcision as the treatment of
choice has been emphasized.
PMID- 27890946
TI - Prevalence of asymptomatic infections in sexually transmitted diseases attendees
diagnosed with bacterial vaginosis, vaginal candidiasis, and trichomoniasis.
AB - BACKGROUND: Sexually transmitted diseases (STD) are a major health problem
affecting mostly young people in both developing and developed countries. STD in
women causes both acute morbidity and complications such as infertility, ectopic
pregnancy, low-birth weight, and prematurity. AIMS: The aim of the study is to
assess the prevalence of bacterial vaginosis, vaginal candidiasis, and
trichomoniasis among asymptomatic females attending STD outpatient department in
a tertiary care hospital in South India. MATERIALS AND METHODS: A retrospective
analysis of data collected from clinical records of 3000 female patients of age
18 to 49 over a period of 12 months (July 2014 to June 2015) was carried out at
the Institute of Venereology, Madras Medical College. Complete epidemiological,
clinical, and investigational data were recorded and analyzed for the prevalence
of bacterial vaginosis, vaginal candidiasis, and trichomoniasis among
asymptomatic patients. RESULTS: About 48.37% (228/470) of bacterial vaginosis
patients were asymptomatic. Nearly 45.38% (116/235) of vaginal candidiasis
patients were asymptomatic and 30.35% (26/87) of trichomoniasis patients were
asymptomatic. The above infections were common in the age group 25-35.
CONCLUSION: Holistic screening protocol was incorporated for all female patients
attending STD clinic even if asymptomatic and should be treated accordingly to
prevent the acquisition of other serious sexually transmitted infections.
PMID- 27890947
TI - Profile of pneumocystis infection in a tertiary care institute in North India.
AB - CONTEXT: Pneumocystis jirovecii pneumonia (PcP) is still remains a common
opportunistic disease in human immunodeficiency virus (HIV) infected individuals.
Study on PcP in developing countries are scarce. AIMS: To study the occurrence of
P. jirovecii infection in clinically suspected individuals in a tertiary care
institute. SETTINGS AND DESIGN: Retrospective study conducted in a tertiary care
hospital. MATERIALS AND METHODS: Two years data regarding respiratory sample
analysis, HIV status, and cluster of differentiation 4 (CD4) cell count of
clinically suspected pneumocystis infection patients with known/unknown HIV
status were analyzed. RESULTS: Data of 45 eligible patients were analyzed. The
majority of the patients were male (between 21 and 50 years of age). Total 26
(57.7%) patients were HIV reactive, of which 14 had CD4 count of <200 cells/mm3.
20 patients (9 HIV reactive and 11 unknown HIV status) were confirmed with
pneumocystosis by direct fluorescent antibody (DFA) staining. Four of 14 HIV
reactive individuals who had CD4 count of <200 cells/mm3 and 5 of 12 HIV reactive
individuals who had CD4 count of >200 cells/mm3 were positive for pneumocystosis.
CONCLUSIONS: Pneumocystis pneumonia is still prevalent in North India and is
mainly affecting patients in economically productive and sexually active age
group. To diagnose pneumocystosis, DFA is an easily available method in resource
limited settings. Appreciating the actual HIV or immunodeficiency status and the
CD4 profile of an individual with symptoms of pneumocystis infection will help
the clinicians in early diagnosis and initiation appropriate therapy in
individuals living with the disease.
PMID- 27890948
TI - Study of infections among human immunodeficiency virus/acquired immunodeficiency
syndrome patients in Shadan Hospital, Telangana, India.
AB - BACKGROUND: Human immunodeficiency virus (HIV) pandemicity is a major concern
today as it causes greater loss of productivity than any other disease. HIV
infection leads to profound immune deficiency and patients become highly
susceptible to opportunistic infections (OIs). HIV epidemic in India is
heterogeneous in nature, both in terms of routes of transmission as well as
geographical spread. AIMS: (1) Determine prevalence of OIs among HIV-seropositive
patients and their relation to CD4 count and to focus on the routes of
transmission. (2) Analyze the route of transmission. METHODS: This is a single
center prospective study including all the patients attending acquired
immunodeficiency syndrome (AIDS) care center during the period of January 2014 to
December 2014. RESULTS: Among 71 patients included in this study, mean age was 30
years, 57.7% (41 patients) were male, 42.3% (30 patients) were female. Mean CD4
cell count of the study group was 260.11 and of patients on antiretroviral
therapy increased subsequently to 553.37 cells/ml. Among the infections, the
prevalence of candidiasis, tuberculosis (TB), tinea infections, seborrheic
dermatitis, giardiasis, cryptosporidiosis, and Entamoeba histolytica were 36.6%,
29.58%, 4.22%, 2.82%, 4.22%, 1.4%, and 1.4%. Most predominant routes were
heterosexual transmission at 94.3%. It was followed by vertical transmission seen
in 2.8%. Homosexual transmission is 1.4% and intravenous drug abuse 1.4%.
CONCLUSION: The frequency of infections among HIV/AIDS patients has got a similar
linear relation with CD4 cell count. This study reports data will serve as a
matrix for future evaluation. It is concluded that candidiasis, TB are the most
common infections in the HIV-seropositive patients in the present study group.
PMID- 27890949
TI - p16 immunostaining as a predictor of anal and cervical dysplasia in women
attending a sexually transmitted infection clinic.
AB - BACKGROUND: Carcinogenesis caused by human papillomavirus (HPV) leads to over
expression of p16 protein. p16 may act as a marker of HPV integration with host
genome and serve as a surrogate marker of HPV oncogenesis. MATERIALS AND METHODS:
A single center study of 75 women (35 HIV-positive and 40 HIV-negative women) was
conducted. Anal and cervical specimens were obtained for cytology and p16
immunostaining. RESULTS: The sensitivity of p16 to diagnose anal and cervical
dysplasia was 50% and 58.8%, respectively, whereas specificity was 98.6% and
100%, respectively. Positive predictive value for anal and cervical was 75% and
100%, whereas negative predictive value was 95.8% and 89.2%, respectively. A
strong relationship between the grade of dysplasia and intensity of p16
immunoscore was observed (Pearson correlation r = 0.666, P < 0.0001 and r =
0.496, P < 0.0001 for anal and cervical, respectively). CONCLUSION: p16
immunostaining with greater specificity for high-grade lesions may improve the
diagnostic accuracy, especially for high-grade lesions which have a high risk of
progression to malignancy and thereby necessitate treatment.
PMID- 27890950
TI - Healthcare-seeking preferences of patients with sexually transmitted infection
attending a tertiary care center in South Kerala.
AB - BACKGROUND: Sexually transmitted infections (STIs) are a major public health
problem in developing countries. These diseases are associated with increased
risk of transmission of human immunodeficiency virus as well as adverse outcomes
on pregnancy and reproductive health. Sexual behavior and healthcare-seeking
behavior are identified as the true risk factors of STIs. METHODS: Hospital-based
cross-sectional study design was adopted. Eighty-five STI patients were studied
regarding the inappropriate treatment-seeking behavior, the nature of the first
point of contact with the health care, the appropriateness of treatment and the
concerns of the patient regarding the services rendered by government health-care
facilities. RESULTS: Among the 85 patients studied, 55.3% were males and 44.7%
were females. Inappropriate treatment-seeking behavior was seen in 29.8% of males
and 36.8% of females. About 59.6% of males and 81.6% of females sought
appropriate treatment from modern medicine practitioners before attending our
institution. Only 7.1% of males and 3.2% of females received appropriate
treatment. The government sector was the choice of treatment for 46.4% males and
93.5% females and this difference was statistically significant (P = 0.00081).
Lack of free medicines, issues of confidentiality, and privacy were the major
service-related issues in the public sector. CONCLUSION: Appropriate treatment at
the first point of contact with the health system is an important measure to
prevent further transmission and development of complications. Health providers
from both private and public sector should be given frequent periodic training
regarding syndromic management of STIs and the training should stress on the need
for risk reduction and condom promotion messages along with medical management.
Program planners should take necessary steps to ensure adequate and continuous
supply of free drugs and tackle issues of confidentiality and privacy.
PMID- 27890951
TI - A situational analysis of sexual and reproductive health issues in physically
challenged people, attending a tertiary care hospital in New Delhi.
AB - BACKGROUND AND OBJECTIVES: Physically challenged people constitute the most
stigmatized sections of society, and are excluded from outreach programs, besides
being considered sexually inactive. They have unaddressed sexual and reproductive
healthcare (SRH) issues, predisposing them to sexual abuse and sexually
transmitted infections (STIs). The huge paucity of data in this field prompted us
to undertake this study. MATERIALS AND METHODS: A cross-sectional survey was
conducted on 100 people with more than 40% of permanent disability, attending
various out/inpatient facilities of a tertiary care hospital in New Delhi, India.
A structured, pretested questionnaire was used to assess SRH issues. Samples were
collected from consenting individuals for diagnosis of various STIs, wherever
relevant. Statistical analysis was done using Pearson's Chi-square test,
considering significant at P <0.05. RESULTS: Most people were in the age group of
15-30 years. Limbs were most commonly affected, and the use of assistive devices
was statistically related to income levels (P = 0.045), 43% was married and 41%
had children. Contraceptive usage was 33%, with a significant association (P =
0.03) with education levels. Issues related to sexual health included conditions
ranging from nerve sensation loss in genitalia to fertility and gynecological
issues, only 10% had received sexual counseling during rehabilitation. There were
several misconceptions prevalent regarding HIV and STIs; 35% of the samples
tested positive for chlamydia IgG. INTERPRETATION AND CONCLUSIONS: This is a
pioneer study on a grossly neglected issue in India. There is a dire need to
overcome hurdles and address the SRH issues of physically challenged people to
achieve the universal WHO goal of "Health for All."
PMID- 27890952
TI - A study of antiretroviral resistance patterns in treatment experienced and naive
human immunodeficiency virus infected-patients.
AB - BACKGROUND: About 10% of the patients had surveillance drug-related mutations for
nonnucleoside reverse transcriptase inhibitors (NNRTIs) and protease inhibitors
(PIs) in an Indian study. It was also reported that resistance was maximum for
nucleoside reverse transcriptase inhibitors (NRTIs) and minimum for PIs. METHODS:
The present study was a cross-sectional assessment of 21 human immunodeficiency
virus (HIV)-infected individuals attending a HIV care center in a tertiary care
center in Mumbai, Maharashtra, India. All HIV-infected individuals included in
the present analysis were tested for CD4 count, viral load, and resistance to
antiretrovirals (ARVs). RESULTS: A total of 13 male and 8 female were included in
the present analysis. Of these, 18 were treatment naive and three were treatment
experienced patients. In treatment-naive patients, the proportion of high-level
resistance (HLR) was 2% for NRTIs, 5% for PIs, and 11% for NNRTIs. In treatment
naive patients, high susceptibility was observed for darunavir (89%) followed by
lopinavir (72%) and fosamprenavir (67%) among PIs. Similarly, susceptibility was
high for NRTIs lamivudine (94%), emtricitabine (94%), and tenofovir (89%).
However, we found HLR for nevirapine (39%) even in treatment-naive patients.
CONCLUSIONS: The proportion of HLR was relatively low for PIs and NRTIs, compared
with NNRTIs in treatment-naive patients. We also reported a high correlation in
resistance patterns among drugs belonging to the same group. Thus, it may be
useful to conduct ARV resistance even in newly infected HIV patients and those
receiving medications for the first time.
PMID- 27890953
TI - Knowledge, attitude, and perception of disease among persons living with human
immunodeficiency virus/acquired immuno deficiency syndrome: A study from a
tertiary care center in North India.
AB - BACKGROUND: Although modification of behavioral practices among human
immunodeficiency virus (HIV)-affected patients is important in decreasing HIV
disease transmission, the knowledge, attitude, and perception studies about HIV
infection rarely include persons living with HIV/acquired immuno deficiency
syndrome (AIDS). AIMS: To assess knowledge, attitude, and perceptions of persons
living with HIV/AIDS for the disease and other epidemiological aspects. MATERIALS
AND METHODS: One-hundred and fifty consecutive persons living with HIV/AIDS were
enrolled for this questionnaire-based cross-sectional, descriptive study.
RESULTS: These 150 patients comprised 93 men and 57 women, aged between 14 and 78
(mean 37.13) years. The majority, 112 (74.67%) patients were between 20 and 50
years of age and 116 (77.3%) patients were either illiterate or high-school
dropouts. Drivers, laborers, and self-employed comprised 69 (74.2%) patients
among affected males. Only 129 (86%) respondents had heard about HIV/AIDS and
knew about its heterosexual transmission. Ninety-eight (65.3%) respondents were
aware of disease transmission from infected blood or needle pricks.
Interestingly, 106 (70.7%) respondents were aware of the importance of using
condom in preventing disease transmission. Television/radio was the most common
sources of information for 135 (90%) patients. Nearly, 69% respondents disfavored
disclosing their disease to friends/colleagues fearing stigmatization.
CONCLUSIONS: Information, education, and communication activities are imperative
to educate persons living with HIV/AIDS about life-long nature of the disease,
modes of its transmission, and significance of preventive measures to bridge the
gaps in their knowledge. While improvement in individual economic status,
education, and health services remains highly desirable, mass media can play a
pivotal role in creating awareness among masses.
PMID- 27890954
TI - Prevalence of Vitamin B12 and folic acid deficiency in HIV-positive patients and
its association with neuropsychiatric symptoms and immunological response.
AB - BACKGROUND: Deficiency of micronutrients is prevalent even before the development
of symptoms of HIV disease and is associated with accelerated HIV disease
progression. AIMS: This study evaluates the prevalence of folate and Vitamin B12
deficiency in HIV-positive patients with or without tuberculosis (TB) and its
association with neuropsychiatric symptoms and immunological response. SETTINGS
AND DESIGN: Cross-sectional, observational study in an outpatient setting.
PATIENTS AND METHODS: Four groups of HIV-positive patients with TB (Group I), HIV
positive patients with neuropsychiatric symptoms (Group II), HIV-positive
patients without neuropsychiatric symptoms or TB (Group III), and HIV-negative
controls with neuropsychiatric symptoms (Group IV). Vitamin B12 and folate
estimation was done using carbonyl metallo-immunoassay method. STATISTICAL
ANALYSIS USED: ANOVA, Kruskal-Wallis and Mann-Whitney, Pearson's correlation.
RESULTS: The prevalence of folic acid deficiency was 27.1% in the Group I, 31.9%
in the Group II, 23.4% in the Group III, and 32% in the Group IV being higher in
patients with neuropsychiatric symptoms in both HIV and non-HIV patients. The
prevalence of Vitamin B12 deficiency was 18.8% in Group I, 9.1% in Group II, 4.8%
in Group III, and 16.7% in Group IV. The patients with folate deficiency had more
severe depression and anxiety. CONCLUSION: Nearly, 30% of the HIV patients had a
folic acid deficiency, and about 10% of the HIV patients had Vitamin B12
deficiency. The folate deficiency was highest among neuropsychiatric patients
with or without HIV infection and Vitamin B12 deficiency was higher among HIV
patients with TB.
PMID- 27890955
TI - Aspergillus meningoencephalitis in a patient with human immunodeficiency virus
infection: Normal cerebrospinal fluid does not mean absence of meningitis.
AB - A male with human immunodeficiency virus infection presented with febrile
encephalopathy followed by seizures and left hemiparesis. Initial imaging with
contrast computerized tomography (CT) scan brain and cerebrospinal fluid (CSF)
examination were normal. Subsequent magnetic resonance imaging brain revealed
bilateral parieto-occipital infarcts with bleed. He did not improve on treatment
with broad-spectrum antibiotics, anti-tubercular drugs, and antifungals. He
finally succumbed to the disease. His CSF culture grew Aspergillus after 2 weeks.
Central nervous system (CNS) aspergillosis can present with variable
presentations, and initial CT scan and CSF examination can be normal, especially
in the immunosuppressed. High index of suspicion is required for the diagnosis of
invasive CNS Aspergillus in the immunosuppressed.
PMID- 27890956
TI - Protein S and protein C deficiency with multiple infarcts in a human
immunodeficiency virus-infected female child.
AB - Thrombotic episodes have occurred in patients with human immunodeficiency virus
(HIV) infection, and various abnormalities leading to hypercoagulable state have
been reported. However, multiple cerebral infarctions in an HIV-infected child
along with protein S and protein C deficiency are rare. We report such a case in
an antiretroviral therapy-naive HIV-infected female child.
PMID- 27890957
TI - Unusual presentation of mucocutaneous leishmaniasis in HIV-infected patient.
AB - Leishmaniasis is caused by protozoan parasite of genus leishmania. Visceral
leishmaniasis, diffuse cutaneous leishmaniasis, and atypical forms of cutaneous
leishmaniasis are common in HIV-infected patients. Our patient presented with an
obstructive mass in nasal cavity and was diagnosed as a case of mucocutaneous
leishmaniasis. Spontaneous healing of lesions in HIV-infected patients is rare
rather they are unresponsive to treatment and have frequent relapses, especially
in patients with low CD4 count. However, in our patient, the lesion improved
significantly after 2 months of highly active antiretroviral therapy and co
trimoxazole prophylaxis.
PMID- 27890958
TI - Nonhealing genital ulcer in AIDS: A diagnostic dilemma!
AB - HIV/AIDS-related immune alteration poses many diagnostic and therapeutic
challenges. HIV-positive 44-year-old male, on second-line antiretroviral therapy
(ART) presented with asymptomatic non healing, well-defined, erythematous ulcer
over penis since 8 months with serosanguinous discharge. Inguinal lymph nodes
were not palpable. Tzanck smear was negative. Biopsy was not done as the patient
was not willing for the same. Acyclovir was given considering herpes infection to
which there was no response, and hence azithromycin and metronidazole were given,
without improvement. Minocycline was given to take care of possible atypical
mycobacterial infection. Due to lack of response, corticosteroid was given for 2
weeks keeping in mind possibility of vasculitis, but there was no improvement.
Although investigations to rule out tuberculous etiology were negative, empirical
anti-Koch's therapy Category 2 was given without response even after 3 months.
Finally, a biopsy was taken from lesion which was suggestive of donovanosis.
Trimethoprim Sulfamethoxazole in higher dose was started to which he responded
after 2 weeks, and therapy was continued till complete response. Patient is on
second-line ART for last 7 years. He is clinically stable, but his CD4 count is
hovering at around 250-300 suggestive of ART failure. Virological evaluation was
not feasible. Diagnostic challenges posed include possibility of resistant
bacterial, viral infection, vasculitis, or drug reaction in a setting of probable
ART failure.
PMID- 27890959
TI - Anti-retroviral therapy's miracle in the treatment of Bowen's disease in a human
immunodeficiency virus-positive patient: A rare case report.
AB - Bowen's disease (BD) is a form of squamous cell carcinoma in situ often
associated with human papillomavirus. Co-infection with human immunodeficiency
virus (HIV) is associated with a greater risk of malignancy. We describe a case
of BD in a 52-year-old unmarried HIV-positive male who presented with extensive
skin lesions of 1-year duration. Histopathology was suggestive of BD. He had been
tried with topical imiquimod cream and cryo-therapy for 6 months. We observed no
response for these above therapies. He was started on with anti-retroviral
therapy (ART) as his CD4 count was 253 cells/mm3. The entire cutaneous lesions
completely disappeared within 6 months of ART, which was an interesting
incidence.
PMID- 27890960
TI - Cryptococcal lymphadenitis in a human immunodeficiency virus-infected patient: A
diagnostic role of fine needle aspiration cytology and special stains.
PMID- 27890961
TI - Circumcision: Viable treatment option for resistant genital warts.
PMID- 27890962
TI - Neurokinin-1 receptor antagonists: A new revolution in antiretroviral treatment?
PMID- 27890963
TI - Successful management of recalcitrant perianal warts with two sessions of
intralesional 5-fluorouracil.
PMID- 27890964
TI - Association of the MDM2 SNP285 Polymorphism with Cancer Susceptibility: A Meta
Analysis.
AB - The mouse double minute 2 (MDM2) gene encodes a negative regulator for p53, and
the polymorphism SNP285 in the promoter region of MDM2 gene has been implicated
in cancer risk, but individual published studies had inconclusive results.
Therefore, we performed this meta-analysis to obtain a more precise estimation
between MDM2 SNP285 polymorphism and risk of cancer. A systematic literature
search was performed using the PubMed, Embase, and Chinese Biomedical (CBM)
databases. Ultimately, 16 published studies comprising 14,573 cases and 9,115
controls were included. Pooled odds ratios (ORs) and 95% confidence intervals
(CIs) were calculated to assess the strength of associations. Overall, MDM2
SNP285 polymorphism was significantly associated with a decreased overall cancer
risk with the heterozygous model (OR = 0.89, 95% CI = 0.79-0.99), and reduced ORs
were observed with other genetic models (dominant: OR = 0.90, 95% CI = 0.79-1.01
and allele comparison: OR = 0.91, 95% CI = 0.80-1.03) but not reaching
statistical significance. Stratification analysis indicated a decreased risk for
ovarian cancer, Caucasians, and studies with relatively large sample size.
Despite some limitations, this meta-analysis indicated that the MDM2 SNP285
polymorphism was associated with a decreased cancer risk, which warrants further
validation in large and well-designed studies.
PMID- 27890965
TI - BEESCOUT: A model of bee scouting behaviour and a software tool for
characterizing nectar/pollen landscapes for BEEHAVE.
AB - Social bees are central place foragers collecting floral resources from the
surrounding landscape, but little is known about the probability of a scouting
bee finding a particular flower patch. We therefore developed a software tool,
BEESCOUT, to theoretically examine how bees might explore a landscape and
distribute their scouting activities over time and space. An image file can be
imported, which is interpreted by the model as a "forage map" with certain
colours representing certain crops or habitat types as specified by the user.
BEESCOUT calculates the size and location of these potential food sources in that
landscape relative to a bee colony. An individual-based model then determines the
detection probabilities of the food patches by bees, based on parameter values
gathered from the flight patterns of radar-tracked honeybees and bumblebees.
Various "search modes" describe hypothetical search strategies for the long-range
exploration of scouting bees. The resulting detection probabilities of forage
patches can be used as input for the recently developed honeybee model BEEHAVE,
to explore realistic scenarios of colony growth and death in response to
different stressors. In example simulations, we find that detection probabilities
for food sources close to the colony fit empirical data reasonably well. However,
for food sources further away no empirical data are available to validate model
output. The simulated detection probabilities depend largely on the bees' search
mode, and whether they exchange information about food source locations.
Nevertheless, we show that landscape structure and connectivity of food sources
can have a strong impact on the results. We believe that BEESCOUT is a valuable
tool to better understand how landscape configurations and searching behaviour of
bees affect detection probabilities of food sources. It can also guide the
collection of relevant data and the design of experiments to close knowledge
gaps, and provides a useful extension to the BEEHAVE honeybee model, enabling
future users to explore how landscape structure and food availability affect the
foraging decisions and patch visitation rates of the bees and, in consequence, to
predict colony development and survival.
PMID- 27890967
TI - Airborne pollen and fungal spores in Garki, Abuja (North-Central Nigeria).
AB - The ambient atmosphere is dominated with pollen and spores, which trigger
allergic reactions and diseases and impact negatively on human health. A survey
of pollen and fungal spores constituents of the atmosphere of Garki, Abuja (North
Central Nigeria) was carried out for 1 year (June 1, 2011-May 31, 2012). The aim
of the study was to determine the prevalence and abundance of pollen and fungal
spores in the atmosphere and their relationship with meteorological parameters.
Airborne samples were trapped using modified Tauber-like pollen trap, and the
recipient solutions were subjected to acetolysis. Results revealed the abundance
of fungal spores, pollen, fern spores, algal cysts and diatoms in decreasing
order of dominance. The atmosphere was qualitatively and quantitatively dominated
by pollen during the period of late rainy/harmattan season than the rainy season.
Numerous fungal spores were trapped throughout the sampling periods among which
Alternaria spp., Fusarium spp., Cladosporium spp. and Curvularia spp. dominated.
These fungi have been implicated in allergic diseases and are dermatophytic,
causing diverse skin diseases. Other pathogenic fungi found in the studied
aeroflora were Dreschlera spp., Helminthosporium spp., Torula spp., Pithomyces
spp., Tetraploa spp., Nigrospora ssp., Spadicoides spp., Puccinia spp. and
Erysiphe graminis. Total pollen and fungal spores counts do not show significant
correlation with meteorological parameters.
PMID- 27890966
TI - Climate change effects on airborne pathogenic bioaerosol concentrations: a
scenario analysis.
AB - The most recent IPCC report presented further scientific evidence for global
climate change in the twenty-first century. Important secondary effects of
climate change include those on water resource availability, agricultural yields,
urban healthy living, biodiversity, ecosystems, food security, and public health.
The aim of this explorative study was to determine the range of expected airborne
pathogen concentrations during a single outbreak or release in a future climate
compared to a historical climatic period (1981-2010). We used five climate
scenarios for the periods 2016-2045 and 2036-2065 defined by the Royal
Netherlands Meteorological Institute and two conversion tools to create hourly
future meteorological data sets. We modelled season-averaged airborne pathogen
concentrations by means of an atmospheric dispersion model and compared these
data to historical (1981-2010) modelled concentrations. Our results showed that
modelled concentrations were modified several percentage points on average as a
result of climate change. On average, concentrations were reduced in four out of
five scenarios. Wind speed and global radiation were of critical importance,
which determine horizontal and vertical dilution. Modelled concentrations
decreased on average, but large positive and negative hourly averaged effects
were calculated (from -67 to +639 %). This explorative study shows that further
research should include pathogen inactivation and more detailed probability
functions on precipitation, snow, and large-scale circulation.
PMID- 27890968
TI - Pollen nightmare: elevated airborne pollen levels at night.
PMID- 27890969
TI - BEHAVIOR OF POST-INSTALLED ANCHORS TESTED BY STEPWISE INCREASING CYCLIC LOAD
PROTOCOLS.
AB - Cyclic loads are a characteristic feature of actions acting on structures and
anchorages during earthquakes. For this reason, seismic qualification of post
installed concrete anchors according to the internationally recognized American
Concrete Institute (ACI) standard ACI 355 is based on cyclic load tests. The
protocols for these tests, however, have limited scientific basis. Therefore, in
the present paper newly-developed test protocols with stepwise-increasing load
amplitudes are utilized to more realistically evaluate anchor seismic
performance. The study focuses on the load-displacement behavior of common anchor
types installed in cracked concrete and subjected to both cyclic tension and
cyclic shear actions. The results confirmed robust behavior for anchors loaded in
cyclic tension even in the presence of crack widths in the anchorage material
larger than currently required by ACI 355. In addition, the critical influence of
low cycle fatigue on the performance of anchors loaded in cyclic shear is
demonstrated.
PMID- 27890970
TI - Enterococcus faecium HDRsEf1 Protects the Intestinal Epithelium and Attenuates
ETEC-Induced IL-8 Secretion in Enterocytes.
AB - The probiotic Enterococcus faecium HDRsEf1 (Ef1) has been shown to have positive
effects on piglet diarrhoea, but the mechanism has not yet been elucidated. In
this study, using the IPEC-J2 cell line to mimic intestinal epithelial cells and
enterotoxigenic Escherichia coli (ETEC) K88ac as a representative intestinal
pathogen, the mechanism underlying Ef1 protection against an enteropathogen was
investigated. The results demonstrated that Ef1 was effective in displacing K88ac
from the IPEC-J2 cell layer. Moreover, Ef1 and its cell-free supernatant (S-Ef1)
modulate IL-8 released by IPEC-J2 cells. Ef1 and its cell-free supernatant showed
the potential to protect enterocytes from an acute inflammatory response. In
addition, Ef1 and its cell-free supernatant increased the transepithelial
electrical resistance (TEER) of the enterocyte monolayer, thus strengthening the
intestinal barrier against ETEC. These results may contribute to the development
of therapeutic interventions using Ef1 in intestinal disorders of piglets.
PMID- 27890971
TI - Veronicastrum axillare Alleviates Lipopolysaccharide-Induced Acute Lung Injury
via Suppression of Proinflammatory Mediators and Downregulation of the NF-kappaB
Signaling Pathway.
AB - Veronicastrum axillare is a traditional medical plant in China which is widely
used in folk medicine due to its versatile biological activities, especially for
its anti-inflammatory effects. However, the detailed mechanism underlying this
action is not clear. Here, we studied the protective effects of V. axillare
against acute lung injury (ALI), and we further explored the pharmacological
mechanisms of this action. We found that pretreatment with V. axillare suppressed
the release of proinflammatory cytokines in the serum of ALI mice. Histological
analysis of lung tissue demonstrated that V. axillare inhibited LPS-induced lung
injury, improved lung morphology, and reduced the activation of nuclear factor
kappaB (NF-kappaB) in the lungs. Furthermore, the anti-inflammatory actions of V.
axillare were investigated in vitro. We observed that V. axillare suppressed the
mRNA expression of interleukin-1beta (IL-1beta), IL-6, monocyte chemotactic
protein-1 (MCP-1), cyclooxygenase-2 (COX-2), and tumor necrosis factor-alpha (TNF
alpha) in RAW264.7 cells challenged with LPS. Furthermore, pretreatment of V.
axillare in vitro reduced the phosphorylation of p65 and IkappaB-alpha which is
activated by LPS. In conclusion, our data firstly demonstrated that the anti
inflammatory effects of V. axillare against ALI were achieved through
downregulation of the NF-kappaB signaling pathway, thereby reducing the
production of inflammatory mediators.
PMID- 27890973
TI - A Dedicated Public Health Cadre: Urgent and Critical to Improve Health in India.
PMID- 27890972
TI - What, Me Worry and Ruminate About DSM-5 and RDoC? The Importance of Targeting
Negative Self-Referential Processing.
AB - Rumination, worry, and other forms of negative self-referential processing (NSRP)
are familiar to everyone, as reflecting on the self is perhaps our most human
characteristic. However, for a substantial subgroup of patients, NSRP arises in
response to intense emotionality, worsening the clinical presentation, and
diminishing the treatment response. The combination of emotionality and NSRP
likely reflects an endophenotype of complicated and treatment refractory patients
who fail to achieve a satisfactory treatment response in our trials and our
clinics. An important next step is to personalize treatments by deliberately
targeting NSRPs within established treatment protocols or in as yet novel
treatments. Enriching treatments with mindfulness meditation is one possible
avenue for personalized care of patients with this hypothesized endophenotype.
PMID- 27890974
TI - Senior Friendly Hospitals: Development and Application of Criteria: A Descriptive
Study.
AB - BACKGROUND: The world's population is rapidly aging. Between 2000 and 2050, the
proportion of the world's population over the age of 60 will double from about
11% to 22%; more so in the developing countries. The prevalence of frailty and
morbidity among the elderly is high. There is a need to assess the "preparedness"
of the health care system including hospitals to respond to the needs of the
elderly. AIM: 1. To develop criteria for a senior friendly hospital and 2. To
assess the feasibility of application of these criteria. MATERIALS AND METHODS: A
descriptive study was done at Bangalore, India, involving 100 subjects sampled by
purposive sampling. Study population consisted of senior citizens, their
caretakers, physicians, hospital support staff, nurses, geriatricians, hospital
administrators, and architects. They were interviewed using a validated
translated interview schedule. The study consisted of two phases; Phase 1:
Developing a checklist to assess senior friendliness of a hospital by using
modified Delphi technique. Phase 2: Application of the checklist thus developed
to selected hospitals in order to assess the feasibility of administration. The
data was then analyzed using Statistical Package for the Social Sciences (SPSS)
for frequencies, proportions, central tendency and dispersion, interclass
reliability, intraclass reliability, and Cronbach's alpha. RESULTS: A checklist
containing 44 items to assess the senior friendliness of a hospital was
developed. The checklist was found feasible and easy to administer. CONCLUSIONS:
The checklist thus developed to assess senior friendliness of a hospital has
wider application as it has a potential to be considered for framing senior
friendly hospital guidelines/policies.
PMID- 27890975
TI - Prevalence of Type 2 Diabetes Mellitus among Urban Sikh Population of Amritsar.
AB - CONTEXT: Type 2 Diabetes Mellitus (T2DM) refers to a group of common metabolic
disorders that share the phenotype of Hyperglycemia. More than 60% of the world's
population with diabetes comes from Asia. AIM: To study the prevalence of Type 2
Diabetes Mellitus among Sikh individuals living in the urban localities of
Amritsar. SETTINGS AND DESIGN: The study was designed in the Faculty of Sports
Medicine & Physiotherapy, Guru Nanak Dev University, Amritsar, Punjab, India. The
data collection was carried out in various urban localities of Amritsar. Blood
samples were analyzed in the Biochemistry laboratory, whereas data analysis and
article preparation was carried out in the Faculty of Sports Medicine and
Physiotherapy. MATERIALS AND METHODS: Multi-stage random sampling was done with a
sample size of 1089 patients. STATISTICAL ANALYSIS: The data was analyzed in
Stata 11.2 software. Various tests used in the study are Mean +/- SD, Pearson Chi
Square Test, Students' t test and multiple logistic regression test. RESULTS: Our
study showed that the prevalence rate of Type 2 Diabetes Mellitus is 23.2% with
the confidence interval of 20.7-25.7. Proportionately more patients with T2DM had
hypertension (46.6%). Likewise proportionately more patients, 67.5% had
hypertrigylerdemia, 67.6% had low HDL levels, 59.2 % had hypercholesterolemia and
73.1% suffered from metabolic syndrome. CONCLUSIONS: Our study clearly indicates
that the young Sikh adults below 40 years of age have similar high BMI, WC and
WHR to that of the older adults above 40 years of age. It is necessary to adopt
appropriate preventive strategies and interventions in high-risk individuals to
curb the growing epidemic of diabetes. Innovative community outreach programs
need to be designed and implemented to create awareness and early screening and
treatment of diabetes, especially in the urban population.
PMID- 27890976
TI - Study Frequency of Hypertension and Obesity and their Relationship with Lifestyle
Factors (Nutritional Habits, Physical Activity, Cigarette Consumption) in Ardabil
City Physicians, 2012-13.
AB - BACKGROUND AND OBJECTIVE: Few studies have been done on lifestyle of Iranian
physicians. As physicians have important role in health promotion, the main goal
of the study was to assess the lifestyle of this influential group. MATERIALS AND
METHODS: A cross-sectional descriptive study was conducted on lifestyle of all
registered physicians of Ardabil hospitals, Iran, 2012-13. In this research, 225
physicians were selected, by using simple random sampling. Demographic and
lifestyle data were obtained by self-report using standard questionnaires,
physical activity by official Iranian short-version of the international physical
activity questionnaire, and dietary intake by food frequency questionnaire.
Weight and height was performed according to standard protocols by using
standardized and zero calibrated instruments. Data were analyzed by inferential
statistics using Statistical Package for the Social Sciences. 16 software.
RESULTS: Findings showed that 8% of participants were hypertensive, 21.3% smoker,
40%-47% inactive, 51.1% overweight, and 18.2% obese. There was a significant
relationship between blood pressure and self-reported lifestyle habits (P <
0.05). And 70.7% of males and 74.1% of females had regular 10-min walking each
day and moderate activity of males was significantly higher than females (P <
0.05). Food frequency weekly consumption of overweight and obese physicians were
significantly higher than normal weight physicians (P < 0.05). CONCLUSION: Few
doctors follow a healthy lifestyle; this may have a negative effect on society
attitude about health.
PMID- 27890977
TI - Health and Socioeconomic Status of the Elderly People Living in Hilly Areas of
Pakhribas, Kosi Zone, Nepal.
AB - BACKGROUND: The rising geriatric population is facing significant health and
social problems in the developing world that are impacting the quality of their
lives. OBJECTIVE: The study describes the general health status and the
socioeconomic characteristics of the elderly people of Pakhribas village
development committee (VDC) of Eastern Nepal. MATERIALS AND METHODS: Descriptive
cross-sectional study was carried out targeting the people aged 60 years and
above in the sample area using a semi-structured questionnaire and convenient
sampling to get the required sample size. A total of 189 elderly people who
consented were interviewed in May 2010. RESULT: The major part of the elderly
population was in the age group of 60-69 years. Seventy-two percent were
illiterate and 75% were still earning with the majority involved in farming. Nine
out of ten were living with their families and still made decisions for the
household, Eighty-two percent did not feel lonely and 88.4% did not report any
misbehavior by the house members. Regarding health, 69% had some diagnosed health
issue with 36% suffering from gastritis and 20.4% from chronic lung disease.
Using the ICD 10 criteria, depression was found among 18%. The most prevalent
geriatric problem was a dental problem as found in 61% even though 87% claimed to
brush their teeth regularly. More than half were suffering from visual difficulty
and the proportions suffering from memory and hearing issues were also
substantive. Smoking habit was found in 60%, and the relation between smoking and
chronic respiratory diseases had a statistical association (P = <0.05). The
geriatric cases who were misbehaved with felt depressed or neglected in the
family (P = <0.0001). CONCLUSIONS: The results of the study show that employment,
family support, and pension schemes have a positive impact on the social status
of the elderly. Health screening clinics should be established in the community
to detect health-related disorders. Elderly populations need health education to
emphasize the importance of personal and dental hygiene and to abstain from
smoking and alcohol.
PMID- 27890979
TI - Comprehensive Index for Community Health Assessment of Typical District
Administrative Units in Maharashtra State, India.
AB - BACKGROUND: Health administrators require status of health of different
administrative units under them. Use of large number of indicators may create
confusion and uncertainty about health status. Availability of a comprehensive
index is certainly useful. OBJECTIVE: To evolve one comprehensive health index
for a district as unit and measure district wise disparity. MATERIALS AND
METHODS: Ten indicators from categories of health outcomes, health system,
determinants of health, and utilization of services were considered. Data for
districts in Maharashtra State were obtained from different sources.For each
indicator the best performing district was given score of 100 and other districts
were given marks proportionately. RESULTS: The comprehensive index for the state
was 0.52. The district scoring lowest value of 0.36 was a tribal district and
scoring highest value of 0.66 was a nontribal district. CONCLUSION: Computing
such index of districts for monitoring and allocation of resources may be useful
managerial tool.
PMID- 27890978
TI - Patterns of Use and Perceptions of Harm of Smokeless Tobacco in Navi Mumbai,
India and Dhaka, Bangladesh.
AB - BACKGROUND: Globally, smokeless tobacco use is disproportionately concentrated in
low-income and middle-income countries like India and Bangladesh. OBJECTIVES: The
current study examined comparative patterns of use and perceptions of harm for
different smokeless tobacco products among adults and youth in Navi Mumbai,
India, and Dhaka, Bangladesh. METHODS: Face-to-face interviews were conducted on
tablets with adult (19 years and older) smokeless tobacco users and youth (16-18
years) users and non-users in Navi Mumbai (n = 1002), and Dhaka (n = 1081).
RESULTS: A majority (88.9%) of smokeless tobacco users reported daily use.
Approximately one-fifth (20.4%) of the sample were mixed-users (used both smoked
and smokeless tobacco), of which about half (54.4%) reported that they primarily
used smokeless over smoked forms like cigarettes or bidis. The proportion of
users planning to quit was higher in India than in Bangladesh (75.7% vs. 49.8%, p
< 0.001). Gutkha was the most commonly used smokeless product in India, and pan
masala in Bangladesh. Among users in Bangladesh, the most commonly reported
reason for using their usual product was the belief that it was "less harmful"
than other types. Perceptions of harm also differed with respect to a
respondent's usual product. Bangladeshi respondents reported more negative
attitudes toward smokeless tobacco compared to Indian respondents. CONCLUSIONS:
The findings highlight the high daily use of smokeless tobacco, and the high
prevalence of false beliefs about its harms. This set of findings reinforces the
need to implement effective tobacco control strategies in low and middle-income
countries like India and Bangladesh.
PMID- 27890980
TI - BMI is a Better Indicator of Cardiac Risk Factors, as against Elevated Blood
Pressure in Apparently Healthy Female Adolescents and Young Adult Students:
Results From a Cross-Sectional Study in Tripura.
AB - BACKGROUND: Anthropometric measures are used as indicators of elevated blood
pressure, but reported to have variable sensitivity among populations. This study
was undertaken to identify the better indicator of Cardiac-risk factors by
statistical comparison of BMI, Waist circumference, and Waist to Height (WtHr)
ratio in apparently healthy adolescents and young adult female students of
Tripura. MATERIALS AND METHODS: A cross-sectional study was conducted in a
resource limited setup on 210 apparently healthy female adolescents and young
adult students in Tripura. Mean (+/-SD) of all parameters were compared (ANOVA)
to recognize significant independent (anthropometric measures) and dependent
factors (blood pressure indices and so on). Correlation (r) analysis was used to
identify the better (p) indicator of blood pressure indices (dependent variable)
and its impact was assessed by Multiple Regression analysis. RESULTS: blood
pressure indices are comparatively higher in obese and overweight participants
with statistically significant (95.5% confidence) mean differences. Significant
correlation with dependent factors is observed with BMI followed by WtHr and
Waist Circumference. Impact of anthropometric measures with blood pressure
Indices is most significant for BMI (P <= 0.020) followed by WtHr (P <= 0.500)
and waist circumference (P <= 0.520). CONCLUSION: BMI is a superior indicator of
blood pressure indices and can identify participants at risk even in apparently
healthy adolescent and young adult females.
PMID- 27890981
TI - Neonatal Cholestasis - Single Centre Experience in Central India.
AB - BACKGROUND: Neonatal cholestasis syndrome (NCS) is a major cause of morbidity and
mortality in infants. The disorder has rarely been studied in centers from
Central India. OBJECTIVES: To study the prevalence, clinical presentation and
etiology of NCS at a tertiary referral center in Central India. MATERIALS AND
METHODS: The study was carried out at a tertiary referral center in Central
India. The study is a descriptive study. The records of all patients with
suspected NCS treated in the Department of Pediatrics from 2007-2012 were
analyzed. RESULTS: One hundred and sixty-eight children had a provisional
diagnosis of NCS. The complete records of 100 children were available for the
study. The median age of presentation was 78 days (range 15-270 days). The male:
female ratio was 1.17:1. The clinical features noted were- jaundice
(100/100,100%), failure to thrive (73,73%), organomegaly (68, 68%), acholic
stools (38,38%), abdominal distention (52,52%) and poor feeding (29, 29%). The
etiology as confirmed by investigations is as follows- neonatal hepatitis
(20,20%), idiopathic neonatal hepatitis (18,18%), biliary atresia (41,41%),
sepsis (14,14%) and others (7,7%). CONCLUSIONS: The proportion of NCS in our
group of patients was 1.2 per 1000 patients. Jaundice, organomegaly and failure
to thrive are the common presentations. Biliary atresia, neonatal hepatitis and
idiopathic neonatal hepatitis were the common etiological factors at our center.
PMID- 27890982
TI - Towards a Better Health Care Delivery System: The Tamil Nadu model.
AB - The Tamil Nadu model of public health is renowned for its success in providing
quality health services at an affordable cost especially to the rural people.
Tamil Nadu is the only state with a distinctive public health cadre in the
district level and also the first state to enact a Public Health Act in 1939.
Tamil Nadu has gained significant ground in the various aspects of health in the
last few decades largely because of the significant reforms in its health sector
which dates back to 1980s which saw rigorous expansion of rural health
infrastructure in the state besides deployment of thousands of multipurpose
health workers as village health nurses in rural areas. Effective implementation
of Universal Immunization Programme, formation of Tamil Nadu Medical Services
Corporation for regulating the drug procurement and promoting generic drugs,
early incorporation of indigenous system of medicine into health care service,
formulation of a health policy in 2003 by the state with special emphasis on low
income, disadvantaged communities alongside efficient implementation of The Tamil
Nadu Health Systems Project (TNHSP) are the major factors which contributed for
the success of the state. The importance of good political commitment and
leadership in the health gains of the state warrants special mention. Moreover,
the economic growth of the state, improved literacy rate, gender equality, and
lowered fertility rate in the last few decades and contributions from the private
sector have their share in the public health success of the state. In spite of
some flaws and challenges, the Tamil Nadu Model remains the prototype health care
delivery system in resource-limited settings which can be emulated by other
states also toward a better health care delivery system.
PMID- 27890983
TI - Child Marriage in Rural Gujarat.
PMID- 27890984
TI - Child Rearing Practices Amongst Brothel-based Commercial Sex Workers.
AB - [This retracts the article on p. 197 in vol. 37, PMID: 23112449.].
PMID- 27890985
TI - Interstitial lung disease (ILD) in India: Insights and lessons from the
prospective, landmark ILD-India registry.
PMID- 27890987
TI - A search for covert precipitating clinical parameters in frequent exacerbators of
chronic obstructive pulmonary disease.
AB - INTRODUCTION: Acute exacerbations are a significant source of morbidity and
mortality associated with chronic obstructive pulmonary disease (COPD). Some
patients suffer an inordinate number of exacerbations while others remain
relatively protected. The aim of this study was to evaluate the potentially
modifiable precipitating parameters of frequent severe exacerbations requiring
hospital admission in COPD. MATERIALS AND METHODS: Consecutive patients admitted
with acute exacerbation of COPD for a period of one year in a tertiary care
hospital were evaluated prospectively. Data regarding the number of exacerbations
in the previous year, current comorbidities, medications, and clinical and
functional status of COPD patients were evaluated. RESULTS: We included 98 COPD
patients (81.63% men) admitted consecutively with exacerbations in our
department. The mean number of severe exacerbations was (2.42 per patient/per
year), and 65% of the patients had frequent severe exacerbations. Multivariate
analysis indicated that serum uric acid, serum total IgE, depression and anxiety,
gastroesophageal reflux disease symptoms, air pollution, poor adherence to
inhaled therapy, and irregular outpatient followup visits were independent
predictors of frequent severe exacerbations. CONCLUSION: COPD patients with
frequent exacerbations should be carefully assessed for modifiable confounding
risk factors regardless of poor lung function to decrease exacerbation frequency
and related poor prognosis. Raised serum total IgE levels may point towards atopy
as an additional comorbidity in COPD while uric acid can have a clinically useful
role in risk stratification in a primary care setting.
PMID- 27890986
TI - Correlation of chest computed tomography findings with dyspnea and lung functions
in post-tubercular sequelae.
AB - AIMS: To study the correlation between dyspnea, radiological findings, and
pulmonary function tests (PFTs) in patients with sequelae of pulmonary
tuberculosis (TB). MATERIALS AND METHODS: Clinical history, chest computed
tomography (CT), and PFT of patients with post-TB sequelae were recorded. Dyspnea
was graded according to the Modified Medical Research Council (mMRC) scale. CT
scans were analyzed for fibrosis, cavitation, bronchiectasis, consolidation,
nodules, and aspergilloma. Semi-quantitative analysis was done for these
abnormalities. Scores were added to obtain a total morphological score (TMS). The
lungs were also divided into three zones and scores added to obtain the total
lung score (TLS). Spirometry was done for forced vital capacity (FVC), forced
expiratory volume in 1 s (FEV1), and FEV1/FVC. RESULTS: Dyspnea was present in
58/101 patients. A total of 22/58 patients had mMRC Grade 1, and 17/58 patients
had Grades 2 and 3 dyspnea each. There was a significant difference in median
fibrosis, bronchiectasis, nodules (P < 0.01) scores, TMS, and TLS (P < 0.0001)
between dyspnea and nondyspnea groups. Significant correlations were obtained
between grades of dyspnea and fibrosis (r = 0.34, P = 0.006), bronchiectasis (r =
0.35, P = 0.004), nodule (r = 0.24, P = 0.016) scores, TMS (r = 0.398, P =
0.000), and TLS (r = 0.35, P = 0.0003). PFTs were impaired in 78/101 (77.2%)
patients. Restrictive defect was most common in 39.6% followed by mixed in 34.7%.
There was a negative but statistically insignificant trend between PFT and
fibrosis, bronchiectasis, nodule scores, TMS, and TLS. However, there were
significant differences in median fibrosis, cavitation, and bronchiectasis scores
in patients with normal, mild to moderate, and severe respiratory defects. No
difference was seen in TMS and TLS according to the severity of the respiratory
defect. CONCLUSION: Both fibrosis and bronchiectasis correlated with dyspnea and
with PFT. However, this correlation was not linear. The overall extent of
radiological abnormalities correlated only with dyspnea but not with PFT.
PMID- 27890988
TI - Variability in proteinase-antiproteinase balance, nutritional status, and quality
of life in stable chronic obstructive pulmonary disease due to tobacco and
nontobacco etiology.
AB - CONTEXT: Although the role of proteinase/antiproteinase imbalance in chronic
obstructive pulmonary disease (COPD) due to tobacco is well established,
information in COPD due to nontobacco etiology is sparse. AIMS: To assess the
variability in metalloproteinase activity in COPD related to tobacco and
nontobacco causes. SETTINGS AND DESIGN: This is a hospital-based, prospective,
observational study. SUBJECTS AND METHODS: Serum matrix metalloproteinase-9 (MMP
9) and tissue inhibitor of metalloproteinases-1 (TIMP-1) were estimated in 200
subjects divided equally into four groups, i.e. COPD in tobacco smokers, COPD in
nonsmokers but with exposure to biomass-related indoor air pollution, smokers
without COPD, and nonsmoking healthy controls. Anthropometric skinfold
measurements, quality of life (QOL) using St. George Respiratory Questionnaire,
and exercise capacity using the 6-min walk test (6-MWT) were carried out. Groups
were compared using analysis of variance and Kruskal-Wallis plus Mann-Whitney U
test to assess differences between groups. The Chi-square and Fisher's exact
tests were used to evaluate associations among categorical variables. Spearman's
rank correlation was calculated to assess the correlation between data. RESULTS:
Patients with COPD due to either tobacco or nontobacco etiology were older, more
malnourished, had worse QOL, and poorer exercise capacity compared to non-COPD
subjects. Triceps, subscapular, and suprailiac skinfold thicknesses were less in
smokers with COPD than biomass-related COPD. MMP-9 and TIMP-1 levels were similar
across all groups. TIMP-1 significantly correlated with 6-MWT among all groups.
CONCLUSIONS: The protease-antiprotease balance in COPD is similar irrespective of
the presence or absence of tobacco exposure but is related to poor exercise
capacity.
PMID- 27890989
TI - The burden of segregated respiratory diseases in India and the quality of care in
these patients: Results from the Asia-Pacific Burden of Respiratory Diseases
study.
AB - BACKGROUND: Chronic respiratory diseases such as asthma, allergic rhinitis (AR),
chronic obstructive pulmonary disease (COPD), and rhinosinusitis are becoming
increasingly prevalent in the Asia-Pacific region. The Asia-Pacific Burden of
Respiratory Diseases study examined the disease and economic burden of AR,
asthma, COPD, and rhinosinusitis across the Asia-Pacific and more specifically
India. OBJECTIVES: To estimate the proportion of adults receiving care for
asthma, AR, COPD, and rhinosinusitis and assess the economic burden, both direct
and indirect of these chronic respiratory disease. SUBJECTS AND METHODS:
Consecutive participants aged >=18 years with a primary diagnosis of asthma, AR,
COPD, or rhinosinusitis were enrolled. Surveys comprising questions about
respiratory disease symptoms, healthcare resource utilization, work productivity,
and activity impairment were completed by treating physicians and participants
during one study visit. Costs, indirect and direct, that contributed to treatment
for each of the four respiratory diseases were calculated. RESULTS: A total of
1000 patients were enrolled. Asthma was the most frequent primary diagnosis
followed by AR, COPD, and rhinosinusitis. A total of 335 (33.5%) patients were
diagnosed with combinations of the four respiratory diseases; the most frequently
diagnosed combinations were asthma/AR and rhinosinusitis/AR. Cough or coughing up
sputum was the primary reason for the current visit by patients diagnosed with
asthma and COPD while AR patients reported a watery, runny nose, and sneezing;
patients with rhinosinusitis primarily reported a colored nasal discharge. The
mean annual cost per patient was US$637 (SD 806). The most significant driver of
direct costs was medications. The biggest cost component was productivity loss.
CONCLUSIONS: Given the ongoing rapid urbanization of India, the frequency of
respiratory diseases and their economic burden will continue to rise. Efforts are
required to better understand the impact and devise strategies to appropriately
allocate resources.
PMID- 27890990
TI - Percutaneous computed tomography-guided aspiration and biopsy of intrathoracic
lesions: Results of 265 procedures.
AB - CONTEXT: Percutaneous computed tomography (CT)-guided needle aspiration and
biopsy technique have developed over time as a method for obtaining tissue
specimen. Although this is a minimally invasive procedure, complications do
occasionally occur. AIMS: The aim of the study was to evaluate the diagnostic
yield and complications of 265 percutaneous CT-guided aspiration and biopsy
procedures performed on various intrathoracic lesions. SETTINGS AND DESIGN: Data
of percutaneous CT-guided aspiration and biopsy procedures of intrathoracic
lesions performed over a 4 year period were retrospectively analyzed. SUBJECTS
AND METHODS: Procedure details, radiological images, and pathological and
microbiological reports were retrieved from radiology records and hospital
information system. Technical success, diagnostic yield, and complication rates
were calculated. RESULTS: Total 265 procedures were performed for lung (n = 179),
mediastinum (n = 73), and pleural lesions (n = 13). Diagnostic yield for lung,
mediastinal, and pleural lesions was 80.7%, 74.2, and 75%, respectively, for core
biopsy specimens. Major complication was noted in only one procedure (0.4%).
Minor complications were noted in 13.6% procedures which could be managed
conservatively. CONCLUSIONS: Percutaneous CT-guided aspiration and biopsy
procedures for intrathoracic lesions are reasonably safe with good diagnostic
yield. Complications are infrequent and conservatively managed in most of the
cases.
PMID- 27890992
TI - Lung malignancy: Diagnostic accuracies of bronchoalveolar lavage, bronchial
brushing, and fine needle aspiration cytology.
AB - BACKGROUND: Early diagnosis of lung cancer plays a pivotal role in reducing lung
cancer death rate. Cytological techniques are safer, economical and provide quick
results. Bronchoscopic washing, brushing and fine needle aspirations not only
complement tissue biopsies in the diagnosis of lung cancer but also comparable.
OBJECTIVES: (1) To find out diagnostic yields of bronchioalveolar lavage,
bronchial brushings, FNAC in diagnosis of lung malignancy. (2) To compare
relative accuracy of these three cytological techniques. (3) To correlate the
cytologic diagnosis with clinical, bronchoscopic and CT findings. (4) Cytological
and histopathological correlation of lung lesions. METHODS: All the patients who
came with clinical or radiological suspicion of lung malignancy in two and a half
year period were included in study. Bronchoalveolar lavage was the most common
type of cytological specimen (82.36%), followed by CT guided FNAC (9.45%) and
bronchial brushings (8.19%). Sensitivity, specificity, positive and negative
predictive value for all techniques and correlation with histopathology was done
using standard formulas. RESULTS: The most sensitive technique was CT FNAC -
(87.25%) followed by brushings (77.78%) and BAL (72.69%). CT FNAC had highest
diagnostic yield (90.38%), followed by brushings (86.67%) and BAL (83.67%).
Specificity and positive predictive value were 100 % each of all techniques.
Lowest false negatives were obtained in CT FNAC (12.5%) and highest in BAL
(27.3%). Highest negative predictive value was of BAL 76.95 % followed by BB
75.59% and CT FNAC 70.59%. CONCLUSION: Before administering antitubercular
treatment every effort should be made to rule out malignancy. CT FNAC had highest
diagnostic yield among three cytological techniques. BAL is an important tool in
screening central as well as in accessible lesions. It can be used at places
where CT guided FNAC is not available or could not be done due to technical or
financial limitations.
PMID- 27890991
TI - Pulmonary alveolar proteinosis: Experience from a tertiary care center and
systematic review of Indian literature.
AB - BACKGROUND: Pulmonary alveolar proteinosis (PAP) is a rare disorder characterized
by deposition of lipoproteinaceous material within alveoli, with a variable
clinical course. Here, we report an experience of management of PAP at our
center. A systematic review of previously reported cases from India is also
included in the article. MATERIALS AND METHODS: This study included patients with
primary PAP managed at our center from 2009 to 2015. Diagnosis of primary PAP was
based on histopathologic diagnosis on bronchoalveolar lavage or transbronchial
lung biopsy and absence of causes of secondary PAP. For systematic review of
Indian publications, the literature search was performed using PubMed and EMBASE
databases using the terms "pulmonary alveolar proteinosis'" or "alveolar
proteinosis" and "India" or "Indian." RESULTS: During the above-specified period,
five patients with diagnosis of PAP were admitted at our center. Median age of
patients was 32 years (interquartile range [IQR] 30.5-59); 80% were female. Mean
duration (+/- standard deviation) of symptoms was 6.2 (+/-1.79) months. Anti
granulocyte-macrophage colony stimulating factor (GM-CSF) antibodies were
elevated in 4 out of 5 patients (80%). For management, whole lung lavage (WLL)
was done for four patients with median volume of 32.5 (IQR 18-74) L per patient.
All the patients showed significant symptomatic as well as improvement in
physiological parameters. Subcutaneous GM-CSF and ambroxol were given to 3
patients and 1 patient, respectively. The median follow-up of all patients was 18
(IQR 5-44) months. A systematic review of all Indian studies of PAP revealed
thirty publications. CONCLUSIONS: WLL is the most common, effective, and safe
therapy in patients with PAP. GM-CSF administration is an efficacious treatment
for patients with incomplete response after WLL.
PMID- 27890993
TI - Ankylosing spondylitis and risk of venous thromboembolism: A systematic review
and meta-analysis.
AB - BACKGROUND: Several immune-mediated inflammatory disorders, such as rheumatoid
arthritis, psoriatic arthritis, and systemic lupus erythematosus have been linked
to an increased risk of venous thromboembolism (VTE). However, the data on
ankylosing spondylitis (AS) are limited. METHODS: We conducted a systematic
review and meta-analysis of observational studies that reported odds ratio,
relative risk, hazard ratio, or standardized incidence ratio comparing the risk
of VTE and possible pulmonary embolism (PE) in patients with AS versus non-AS
participants. Pooled risk ratio and 95% confidence intervals were calculated
using a random-effect, generic inverse variance method of DerSimonian and Laird.
RESULTS: Of 423 potentially relevant articles, three studies met our inclusion
criteria and thus, were included in the data analysis. The pooled risk ratio of
VTE in patients with AS was 1.60 (95% confidence interval: 1.05-2.44). The
statistical heterogeneity of this study was high with an I2 of 93%. CONCLUSION:
Our study demonstrated a statistically significant increased VTE risk among
patients with AS.
PMID- 27890994
TI - Quantitative computed tomography imaging in chronic obstructive pulmonary
disease.
AB - Chronic obstructive pulmonary disease (COPD) is a heterogeneous disease having
small airway inflammation, emphysema, and pulmonary hypertension. It is now clear
that spirometry alone cannot differentiate each component. Quantitative computed
tomography (QCT) is increasingly used to quantify the amount of emphysema and
small airway involvement in COPD. Inspiratory CT guides in assessing emphysema
while expiratory CT identifies areas of air trapping which is a surrogate of
small airway inflammation. By constructing a three-dimensional model of airways,
we can also measure the airway wall thickness of segmental and subsegmental
airways. The aim of this review is to present the current knowledge and
methodologies in QCT of the lung that aid in identifying discrete COPD
phenotypes.
PMID- 27890995
TI - Endotracheobronchial lymphoma: Two unusual case reports and review of article.
AB - The tracheobronchial origin of non-Hodgkin's lymphoma (NHL) is a very rare
presentation, and there are only a few case reports of primary tracheal or
endobronchial NHL. We have two cases of primary tracheobronchial NHL; one case
was incidentally diagnosed as anaplastic large cell lymphoma of endobronchial
origin when a comprehensive workup and surgery were carried out for an
endobronchial aspergilloma which was actually sitting on top of lymphoma. The
second patient was a case of myelodysplastic syndrome who presented with acute
respiratory distress; on thorough workup, he was found to have endotracheal B
cell lymphoma. Both cases were responding well with standard chemotherapy. The
mortality in these kinds of patients is due to disease progression or airway
compromise and treatment complications.
PMID- 27890996
TI - Dry cough in a middle-aged man.
AB - Cough is often a distressing feature and may be caused due to varied reasons. A
39-year-old man presented with complaints of cough and had significant pigeon
exposure. His chest X-ray revealed mediastinal enlargement and computed
tomography chest revealed air trapping and mediastinal lymphadenopathy. Both
endobronchial and transbronchial biopsies revealed granulomas. Thus, the patient
was diagnosed as a case of sarcoidosis and started on steroids. Hypersensitivity
pneumonitis may often mimic sarcoidosis; however, the presence of endobronchial
granulomas will diagnose the latter condition.
PMID- 27890998
TI - Foreign body in the lung following dental procedure.
AB - This is an interesting case report of a foreign body (FB) aspiration in an adult
patient. The FB in question was a dental drill, which accidentally went into the
airways during a dental procedure. The extraction was technically difficult due
to the peripheral location and thin and sharp tip of the FB. The extraction of
this FB required a unique innovation through the rigid bronchoscope.
PMID- 27890997
TI - Complete subglottic tracheal stenosis managed with rigid bronchoscopy and T-tube
placement.
AB - Surgery is the preferred treatment modality for benign tracheal stenosis.
Interventional bronchoscopy is used as a bridge to surgery or in instances when
surgery is not feasible or has failed. Stenosis in the subglottic trachea is
particularly a treatment challenge, in view of its proximity to the vocal cords.
Herein, we describe a patient with complete tracheal stenosis in the subglottic
region, which developed after prolonged intubation and mechanical ventilation.
The patient developed recurrent stenosis despite multiple surgical and endoscopic
procedures. We were able to manage the patient successfully with rigid
bronchoscopy and Montgomery T-tube placement.
PMID- 27890999
TI - Lophomonas blattarum infection in immunocompetent patient.
AB - Lophomonas blattarum (L. blattarum) is a protozoan parasite living in intestinal
tracts of termites and cockroaches. Chen and Meng from China repoted first case
of pulmonary L. blattarum infection in 1993. 137 cases have only been reported in
literature between 1993 to 2013. Majority of these infections occur in
immunocompromised patients and have been reported from China. We report a case of
this rare entity in an immunocompetent young Indian male.
PMID- 27891000
TI - An unusual metastasis of lung adenocarcinoma: Biceps brachii muscle.
AB - Skeletal muscle metastasis of nonsmall cell lung carcinoma (NSCLC) is a rare
occurrence, and the most effective treatment modality is currently unknown. In
this case presentation, we report a patient with NSCLC who underwent palliative
radiotherapy for biceps muscle metastasis of NSLCS. Our case was a 49-year-old
woman who had lung adenocarcinoma with biceps muscle metastasis. She had been
followed up for 2 years due to Stage IV lung adenocarcinoma from whom a biopsy
was taken from a painful mass in right arm that was found to be compatible with
metastasis of lung adenocarcinoma. She had palliative radiotherapy for her
painful mass and systemic chemotherapy was planned. After palliative
radiotherapy, the pain originating from the metastatic mass in right biceps
muscle alleviated. Palliative radiotherapy can be a valuable treatment option for
cases with skeletal muscle metastasis.
PMID- 27891001
TI - Rigid bronchoscopic management of acute respiratory failure in a 30-year-old
woman.
AB - A 30-year-old woman presented with a history of progressive shortness of breath,
cough, and hoarseness. Stridor was audible on examination. Chest X-ray showed
normal lung fields and contrast-enhanced computed tomography thorax showed lower
tracheal occlusion with endoluminal growth. Diagnostic flexible bronchoscopy
demonstrated multiple whitish glistening nodules over both vocal cords and lower
tracheal occlusion by whitish nodular growth. In view of critical central airway
obstruction, rigid bronchoscopy and excision of the lower tracheal growth were
performed. Histopathological examination of the excised specimen demonstrated
features of squamous papillomas. A diagnosis of respiratory papillomatosis was
established. On follow-up surveillance bronchoscopy, there was a gradual
spontaneous regression of the residual lesions, and the patient remains currently
asymptomatic 1 year since the procedure.
PMID- 27891002
TI - Idiopathic dilatation of pulmonary artery.
AB - Idiopathic dilatation of pulmonary arteries (IDPA) is a rare abnormality of
pulmonary arteries, the reported incidence in literature being as low as 0.007%
in autopsy samples. With the improvement in diagnostic modalities, antemortem
diagnosis of IDPA has been increasingly established by excluding diseases that
induce pulmonary arterial enlargement. Here, we present a rare case of idiopathic
dilatation of the pulmonary artery admitted with shortness of breath where IDPA
was diagnosed as an incidental finding using computed tomography pulmonary
angiography and cardiac catheterization.
PMID- 27891003
TI - Obese COPD is associated with higher systemic inflammation - A new COPD
phenotype.
PMID- 27891004
TI - Abnormal central line position on a chest radiograph: Clue to an uncommon
anomaly.
PMID- 27891006
TI - Rare cause of spontaneous subcutaneous emphysema.
PMID- 27891005
TI - Endobronchial ultrasound-guided transbronchial needle aspiration of thyroid:
Report of two cases and systematic review of literature.
AB - Endobronchial ultrasound-guided transbronchial needle aspiration (EBUS-TBNA) is a
minimally invasive and safe technique for a sampling of mediastinal lesions.
Indications for EBUS-TBNA have gradually expanded since its introduction. The
usual approach to cytological sampling of the thyroid gland is percutaneous
ultrasound-guided fine needle aspiration (US-FNA) performed under local
anesthesia. US-FNA may be risky or not feasible in intrathoracic/substernal
thyroid location. Feasibility of aspirating thyroid lesions with EBUS-TBNA has
been occasionally reported. We report two patients wherein EBUS-TBNA was utilized
for thyroid lesion aspiration and definitive diagnosis. We highlight the utility
and safety of EBUS-TBNA in the evaluation of intrathoracic thyroid lesions
wherein image-guided percutaneous aspiration may be risky/sometimes impossible to
perform. A systematic review of literature has also been performed summarizing
and discussing the issues pertaining to EBUS-TBNA of the thyroid gland.
PMID- 27891007
TI - Multicentric Castleman's disease: "A rare entity that mimics malignancy".
PMID- 27891008
TI - Pulmonary strongyloidiasis and hyperinfection in a renal transplant patient.
PMID- 27891009
TI - A forgotten foreign body in bronchus.
PMID- 27891010
TI - Chronic obstructive pulmonary disease and cardiac comorbidities: A cross
sectional study.
PMID- 27891011
TI - Excessive dynamic airway collapse and wheeze: Reasons for contemplation.
PMID- 27891012
TI - Pleural nodules: A rare presentation of extrapulmonary tuberculosis.
PMID- 27891013
TI - A rare cause of lung cavity.
PMID- 27891014
TI - Chronic granulomatous disease may be an underlying cause for invasive
nocardiosis.
PMID- 27891015
TI - Erratum: Enhancing indoor air quality: The air filter advantage.
AB - [This corrects the article on p. 473 in vol. 32, PMID: 26628762.].
PMID- 27891016
TI - Special issue on medicolegal issues in neurology.
PMID- 27891017
TI - Foreword.
PMID- 27891018
TI - Legal challenges in neurological practice.
AB - Clinical neuroscience has made tremendous advances over the last century.
Neurology as a discipline is still considered challenging and at times risky due
to the natural history and progressive course of few of the neurological
diseases. Encouragingly, the patient and their caregivers are now increasingly
willing to be actively involved in making decisions. The patients' relationship
with the doctor is a reflection of the society. A society that is orienting
itself toward "rating" and "feedback" has made this doctor-patient relationship,
a consumer-service provider relationship. This perhaps is due to
commercialization of health that usually accompanies globalization. Moreover, a
rapid influx of information from potential erroneous sources such as the Internet
has also made patient and caregivers not being hesitant to taking legal course in
the case of adverse events during treatment or simply because of dissatisfaction.
The purpose of the legal process initiated by patients with neurological ailments
is more often to compensate for the income lost, physical and psychological
anguish that accompanies disease and its treatment, and to fund treatment or
rehabilitation requirements. However, it is not clearly established if monetary
benefits acquired lead to better opportunities for recovery of the patient. The
consumer protection act and commercialization of medical services may well have
an adverse effect on the doctor and patient relationship. Hence, there is a great
need for all medical professionals to mutually complement and update each other.
This review examines legal (litigation) processes with special interest on
medicolegal system in patients with neurological ailments and the challenges
faced by the neurologist during day-to-day clinical practice.
PMID- 27891019
TI - Medical negligence: Indian legal perspective.
AB - A basic knowledge of how judicial forums deal with the cases relating to medical
negligence is of absolute necessity for doctors. The need for such knowledge is
more now than before in light of higher premium being placed by the Indian forums
on the value of human life and suffering, and perhaps rightly so. Judicial
forums, while seeking to identify delinquents and delinquency in the cases of
medical negligence, actually aim at striking a careful balance between the
autonomy of a doctor to make judgments and the rights of a patient to be dealt
with fairly. In the process of adjudication, the judicial forums tend to give
sufficient leeway to doctors and expressly recognize the complexity of the human
body, inexactness of medical science, the inherent subjectivity of the process,
genuine scope for error of judgment, and the importance of the autonomy of the
doctors. The law does not prescribe the limits of high standards that can be
adopted but only the minimum standard below which the patients cannot be dealt
with. Judicial forums have also signaled an increased need of the doctors to
engage with the patients during treatment, especially when the line of treatment
is contested, has serious side effects and alternative treatments exist.
PMID- 27891020
TI - Preventing medico-legal issues in clinical practice.
AB - The medical profession is considered to be one of the noblest professions in the
world. The practice of medicine is capable of rendering noble service to humanity
provided due care, sincerity, efficiency, and professional skill is observed by
the doctors. However, today, the patient-doctor relationship has almost
diminished its fiduciary character and has become more formal and structured.
Doctors are no longer regarded as infallible and beyond questioning.
Corporatization of health care has made it like any other business, and the
medical profession is increasingly being guided by the profit motive rather than
that of service. On the other hand, a well-publicized malpractice case can ruin
the doctor's career and practice. The law, like medicine, is an inexact science.
One cannot predict with certainty an outcome of cases many a time. It depends on
the particular facts and circumstances of the case, and also the personal notions
of the judge concerned who is hearing the case. The axiom "you learn from your
mistakes" is too little honored in healthcare. The best way to handle medico
legal issues is by preventing them, and this article tries to enumerate the
preventive measures in safeguarding the doctor against negligence suit.
PMID- 27891021
TI - Progress in Medicine: Compensation and medical negligence in India: Does the
system need a quick fix or an overhaul?
AB - In a recent judgment on medical negligence, the Supreme Court awarded
compensation amounting to Rs. 11 crore to a victim, which was to be paid by the
doctors and the private hospital deemed responsible for the wrongful death of a
patient. This landmark decision was by far the largest compensation award in the
history of Indian medical negligence litigation. Hence, the process of
calculating compensation for medical negligence has received great attention and
debate, largely due to the impact that it is going to have on the practice of
medicine within the country, in the near future. However, the method of
calculation of compensation is unpredictable as it varies hugely across different
cases, courts and tribunals resulting, in a loss of faith in the system,
protracted litigation, and frequent appeals. With over 80% of India's healthcare
being provided by the private sector, predictability and uniformity in the
regulation of compensation in medical negligence would benefit the victims and
the doctors concerned. A basic knowledge of how medical negligence compensation
is calculated and adjudicated in the judicial courts of India will aid a doctor
in planning his/her professional indemnity insurance, as well as in practicing
his/her profession without undue worry about facing litigation for alleged
medical negligence. This article addresses the merits and demerits of large
compensation awards, and also discusses whether the system is broken, needs a
quick fix, or a massive overhaul.
PMID- 27891022
TI - The rights of persons with disability bill, 2014: Implications for neurological
disability.
AB - India ratified the United Nations Convention on the Rights of Persons with
Disabilities (UNCRPD) in 2007. This is a welcome step toward realizing the rights
of the persons with disability. The UNCRPD proclaims that disability results from
interaction of impairments with attitudinal and environmental barriers, which
hinders full and active participation in society on an equal basis with others.
Further, the convention also mandates the signatory governments to make suitable
changes in the existing laws of the country, to identify and eliminate obstacles
and barriers, and to comply with the terms of the UNCRPD in order to protect the
rights of the person with disabilities, hence the amendments of the national
laws. Hence, the Government of India drafted the Right of Persons with
Disabilities Bill (RPWD Bill), 2014. It is evident that neurological disorders
are emerging as priority health problems worldwide. They not only contribute to
mortality but also contribute to huge morbidity. Further, shortage of
neurologists, huge treatment gap, and stigma add to the burden. The situation
becomes worse with regard to providing quality care, comprehensive
rehabilitation, and social welfare measures to persons with neurological
disability. There is no doubt that persons with neurological disability do not
get adequate representation, stigmatized and discriminated across the civil
societies, which hinders full and active participation in society. Hence, this
article is a critique of the RPWD Bill, 2014 from the perspective of persons with
neurological and neurosurgical disability. Further, this article also discusses
challenges in quantifying and certifying disability in neurological disability.
PMID- 27891024
TI - Palliative care and the Indian neurologist.
AB - End-of-life care is an integral part of neurology practice, and neuropalliative
medicine is an emerging neurology subspeciality. This begins with serious illness
communication as a protocol-based process that depends on an evaluation of
patient autonomy and accurate prognostication. Communication needs vary between
chronic, life-limiting neurologic illnesses and acute brain injury. In an ideal
situation, the patient's wishes are spelled out in advance care plans and living
wills, and surrogates have only limited choices for implementation. Palliative
care prepares for decline and death as an expected outcome and focuses on
improving the quality of life for both the patients and their caregivers. In the
Intensive Care Unit, this may require clarity on withholding and withdrawal of
treatment. In all locations of care, the emphasis is on symptom control.
Neurologists are the quintessential physicians, and our "dharma" is best served
by empathetically bringing our technical knowledge and communication skills into
easing this final transition for our patients and their families to the best of
our ability.
PMID- 27891023
TI - Capacity issues and decision-making in dementia.
AB - Capacity to make one's own decisions is fundamental to the autonomy of the
individual. Capacity is a functional assessment made by a clinician to determine
if a patient is capable of making a specific decision. Competency is a global
assessment and legal determination made by a judge in court. Capacity evaluation
for a patient with dementia is used to determine whether the patient is capable
of giving informed consent, participate in research, manage their finances, live
independently, make a will, and have ability to drive. Patients with dementia
cannot be assumed to have impaired capacity. Even a patient with moderate or
severe dementia, with obviously impaired capacity may still be able to indicate a
choice and show some understanding. Four key components of decision-making in a
capacity evaluation include understanding, communicating a choice, appreciation,
and reasoning. Assessment of capacity requires a direct interview with the
patient using open-ended questions and may include both informal and formal
approaches depending on the situation and the context. A baseline cognitive
evaluation with a simple test to assess executive function is often useful in
capacity evaluation. All capacity evaluations are situation specific, relating to
the particular decision under consideration, and are not global in scope. The
clinician needs to spend adequate time with the patient and the family allaying
their anxieties and also consider the sociocultural context. The area of capacity
has considerable overlap with law and the clinician treating patients with
dementia should understand the complexities of assessment and the implications of
impaired capacity. It is also essential that the clinician be well informed and
keep meticulous records. It is crucial to strike a balance between respecting the
patient autonomy and acting in his/her best interest.
PMID- 27891025
TI - External syrinx-introduction of a new term.
PMID- 27891026
TI - Anaesthesia management in craniovertebral junctional anomalies.
AB - Craniovertebral Junctional (CVJ) anomalies are developmental disorders that
affect the skeleton and enclosed neuraxis at the junction of cranium and cervical
spine. The high prevalence of airway obstruction and restrictive pulmonary
disease in combination with cardiovascular manifestations poses a high
anaesthetic risk to these patients. This article provides a discussion of
management of anaesthesia in patients with craniovertebral anomalies, the
evaluation of risk factors in these patients and their management, including
emergency airway issues.
PMID- 27891028
TI - Is the existence of cervical rib an advantage for C7 posterior stabilization?
AB - BACKGROUND AND AIM: Defining a new screwing method for C7 posterior stabilization
in case of a cervical rib existence aimed in this report. MATERIALS AND METHODS:
Ten adult patients, five of which without cervical rib (Group 1) and the other
five of which (Group 2) with cervical rib that has been chosen from the radiology
archive. Axial, sagittal, coronal sections of cervical computed tomography and
three-dimensional images were obtained. Lateral mass sizes of all cases were
measured and compared between two groups. The relationship between cervical rib
and lateral mass was identified in Group 2. RESULTS: The mean length, width, and
height of lateral masses were measured respectively, as 5.4, 17.6, and 12.7 mm in
Group 1. The measurement of Group 2 (with cervical rib) revealed the mean length
of 20.7, the width of 20.4, and the height of 15.9 mm. When both groups were
compared, there were no significant differences between the width and height of
the lateral masses. However, axial measurements of Group 2 revealed a remarkable
and significant length for screwing. CONCLUSION: In patients with cervical rib,
directing lateral mass screw toward cervical rib conjoint can present a simple
and reliable alternative method in C7 posterior stabilization process.
PMID- 27891027
TI - Purely extradural spinal nerve root hemangioblastomas.
AB - Spinal nerve root hemangioblastomas present mostly as intradural-extradurally.
Purely extradural spinal nerve root hemangioblastoma is a very rare entity. In
this study, we aimed to analyze epidemiological perspectives of purely extradural
spinal nerve root hemangioblastomas presented in English medical literature in
addition to our own exemplary case. PubMed/MEDLINE was searched using the terms
"hemangioblastoma," "extradural," "spinal," and "nerve root." Demographical
variables of age, gender, concomitant presence of von Hippel-Lindau (VHL)
disease; spinal imaging and/or intraoperative findings for tumor location were
surveyed from retrieved articles. There are 38 patients with purely extradural
spinal nerve root hemangioblastoma. The median age is 45 years (range = 24-72
years). Female:male ratio is 0.6. Spinal levels for purely extradural spinal
nerve root hemangioblastomas, in order of decreasing frequency, are thoracic
(48.6%), cervical (13.5%), lumbar (13.5%), lumbosacral (10.8%), sacral (8.1%),
and thoracolumbar (5.4%). Concomitant presence of VHL disease is 45%. Purely
extradural spinal nerve root hemangioblastomas are very rare and can be confused
with other more common extradural spinal cord tumors. Concomitant presence of VHL
disease is observed in less than half of the patients with purely extradural
spinal nerve root hemangioblastomas. Surgery is the first-line treatment in these
tumors.
PMID- 27891029
TI - Craniovertebral junction 360 degrees : A combined microscopic and endoscopic
anatomical study.
AB - OBJECTIVES: Craniovertebral junction (CVJ) can be approached from various
corridors depending on the location and extent of disease. A three-dimensional
understanding of anatomy of CVJ is paramount for safe surgery in this region. Aim
of this cadaveric study is to elucidate combined microscopic and endoscopic
anatomy of critical neurovascular structures in this area in relation to bony and
muscular landmarks. MATERIALS AND METHODS: Eight fresh-frozen cadaveric heads
injected with color silicon were used for this study. A stepwise dissection was
done from anterior, posterior, and lateral sides with reference to bony and
muscular landmarks. Anterior approach was done endonasal endoscopically.
Posterior and lateral approaches were done with a microscope. In two specimens,
both anterior and posterior approaches were done to delineate the course of
vertebral artery and lower cranial nerves from ventral and dorsal aspects.
RESULTS: CVJ can be accessed through three corridors, namely, anterior,
posterior, and lateral. Access to clivus, foreman magnum, occipital cervical
joint, odontoid, and atlantoaxial joint was studied anteriorly with an endoscope.
Superior and inferior clival lines, supracondylar groove, hypoglossal canal, arch
of atlas and body of axis, and occipitocervical joint act as useful bony
landmarks whereas longus capitis and rectus capitis anterior are related muscles
to this approach. In posterior approach, spinous process of axis, arch of atlas,
C2 ganglion, and transverse process of atlas and axis are bony landmarks. Rectus
capitis posterior major, superior oblique, inferior oblique, and rectus capitis
lateralis (RCLa) are muscles related to this approach. Occipital condyles,
transverse process of atlas, and jugular tubercle are main bony landmarks in
lateral corridor whereas RCLa and posterior belly of digastric muscle are the
main muscular landmarks. CONCLUSION: With advances in endoscopic and microscopic
techniques, access to lesions and bony anomalies around CVJ is becoming easier
and straightforward. A combination of microscopic and endoscopic techniques is
more useful to understand this anatomy and may aid in the development of future
combined approaches.
PMID- 27891031
TI - Spinal compression fractures due to pregnancy-associated osteoporosis.
AB - OBJECTIVES: To report on unique cases of spinal compression fractures due to
pregnancy-associated osteoporosis (PAO) and to suggest a satisfactory treatment
modality. MATERIALS AND METHODS: A single-center retrospective study. We reviewed
the data of 535 patients with osteoporotic spinal compression fractures over a
period of 5-year. Two patients who developed spinal compression fractures due to
PAO were identified and treated. RESULTS: The clinical presentation and blood
investigations ruled out other causes of osteoporosis. Dual-energy X-ray
absorptiometry was used to confirm the diagnosis. All patients improved with
medical management. CONCLUSION: Vertebral fractures due to PAO should be
considered as a differential diagnosis in patients with back pain who are in the
third trimester of pregnancy or in postpartum. Early recognition and appropriate
conservative management would be necessary to prevent complications such as new
vertebral fractures and chronic back pain.
PMID- 27891030
TI - The role of transforaminal percutaneous endoscopic discectomy in lumbar disc
herniations.
AB - OBJECTIVES: To study 1)the efficacy of transforaminal percutaneous endoscopic
lumbar discectomy in lumbar disc herniations.2) limitations and advantages of the
surgical procedure. 3)morbidity and complications associated with the procedure.
MATERIALS AND METHODS: This study was carried out on 120 patients who had single
level herniated disc Pre-operative assessment of VAS and MSS scoring systems were
documented one day prior to surgery. Post operative results were determined by
MacNab criteria and by modified Suezawa and Schreiber clinical scoring system
(MSS score). RESULTS: Maximum patients were in the age group of 31 to 40 years
and 83.43% of the patients were males. 80% patients had lumbar disc herniation at
L4-L5 level, The mean operative time of endoscopic discectomy was 52.28 minutes
and the mean hospital stay was 2.1days.8 cases of L5-S I were abandoned due to
high iliac bone and hence their disc could not be accessed. Out of 112 patients
who underwent operation, 2 patients developed discitis and 1 was found to have
dysesthesia. Also recurrent prolapsed intervertebral disc was seen in 6 cases The
mean preoperative and 6 months follow-up VAS score was 8.4 and 1.89 respectively.
Mean preoperative and 6 months follow-up Modified Suezawa And Schreiber Clinical
Scoring System(MSS Score) was 3.47 and 7.92 respectively. MSS score showed
excellent and good outcome in 82.12% patients and Modified Macnab Criteria showed
excellent and good outcome in 89.3% patients at 6months follow-up. CONCLUSION:
TPELD can be a reasonable alternative to conventional microscopic discectomy for
the treatment of patients with LDH. We also conclude that TPELD is not an
effective procedure for L5-S 1 disc and an open procedure should be opted for
better outcomes.
PMID- 27891032
TI - Clinical anatomy and significance of the thoracic intervertebral foramen: A
cadaveric study and review of the literature.
AB - INTRODUCTION: The literature is lacking information on the anatomy and the
osseous dimensions of the thoracic intervertebral foramen (IVF). We describe the
anatomy of the broader area, and we proceed with morphometric data of the
vertebrae and the foramina. Depiction of these features is provided with imaging
and illustrations. The purpose of this paper is to survey and present the anatomy
of the foramen as a whole and provide baseline statistical data. MATERIALS AND
METHODS: We review relevant literature, and we present data obtained from
skeletal samples of known population and sex. One hundred and nineteen thoracic
vertebrae of ten cadaveric spines from the prefecture of Eastern Macedonia and
Thrace, Greece, were selected. Statistical analysis measuring the vertical height
and the foraminal width of each vertebra was made in accordance with sex.
RESULTS: No statistically important differences referring to the descriptive data
of both sexes were found. However, statistically, important positive correlation
between the vertebral height and the foraminal width was observed, especially for
men. The components of the foramen including arteries and veins passing through
or neighboring it, and the spinal nerves and roots are described and depicted.
CONCLUSIONS: The osseous thoracic IVF reveals a glimpse of the in vivo structure
and alterations of its width may be present in back pain and other degenerative
diseases. Although it is crucial for surgeries and other interventional
procedures of the thoracic spine, little is known about the precise anatomy and
dimensions of this anatomical landmark.
PMID- 27891033
TI - Transthoracic approach for lesions involving the anterior dorsal spine: A
multidisciplinary approach with good outcomes.
AB - INTRODUCTION: Anterior approach provides excellent visualization and access to
the anterior thoracic spine. It may be used alone, in combination with a
posterior midline approach or in a staged or sequential fashion. AIMS: To analyse
our institutional experience in transthoracic approaches and to determine the
safety and benefit of this approach in our patient series. MATERIALS AND METHODS:
A total of 16 patients were operated for varying lesions of body of dorsal
vertebra by the transthoracic approach. The study was for a period of 5 years
from January 2011 to December 2015. Patients age ranged from 25 to 61 years with
an average of 36.4 yrs. There were 7 males and 9 females. In our series 9
patients had Kochs spine, 4 patients were traumatic fracture spine and 3 had
neoplastic lesion. Majority of patients had multiple symptoms with backache being
present in all patients. RESULTS: There was one post operative mortality which
was unrelated to surgery. One patient had post operative delayed kyphosis.
Remaining patients improved in their symptoms following surgery. CONCLUSION: With
careful coordination by thoracic surgeons, neurospinal surgeons and
anaesthetists, the anterior spine approach for dorsal spine is safe and
effective. Adequate preoperative evaluation should stratify the risk and
institute measures to reduce it. Accurate surgical planning and careful surgical
technique are the key to yield a good outcome and to reduce the risk of
complications.
PMID- 27891034
TI - The anatomical perspective of human occipital condyle in relation to the
hypoglossal canal, condylar canal, and jugular foramen and its surgical
significance.
AB - BACKGROUND: The transcondylar approach (TCA) has gained importance in recent era
which enables shorter and direct route to access the lesions ventral to the
brainstem. The important step in this approach is resection of the occipital
condyle (OC). The detailed knowledge of bony anatomy of OC and its relation to
the hypoglossal canal (HC), condylar canal (CC), and jugular foramen (JF) is very
important to avoid any iatrogenic injury during craniovertebral surgeries. The
aim of the present study is to conduct a morphometric and morphological study and
note the variations of the OC and the structures surrounding it in North Indian
population. MATERIALS AND METHODS: The study was carried out on 100 OC.
Morphometric measurements of OC and the distances of HC and JF from the posterior
end of OC were noted. In addition, the extent of the HC and JF in relation to OC,
presence or absence of CC, shape of the OC, and its articular facet were also
noted. RESULTS: The incidence of short OC was seen in 13% skulls. The most common
shape of OC was oval or rhomboid. Even though the articular facet was convex in
majority of skulls but flat (10%) and concave (1%) were also observed. The
external and internal distance of HC from the posterior end of OC was13.83 mm and
10.66 mm on the right side and 15.02 mm and 11.89 mm on the left side. The OC was
related in its middle 1/3 to the HC in 15% skulls and to the whole extent of JF
in 3% skulls. Thirty-four percent skulls displayed the septa in the HC. The CC
was present bilaterally in 38% skulls and unilaterally in 40% skulls. CONCLUSION:
The OC and related structures such as HC, CC, and JF are likely to have
variations in respect to morphometry and morphology. This study may prove helpful
to neurosurgeons operating in this field, especially during TCA where
neurovascular structures emerging from these canals and foramen are more
vulnerable to injury.
PMID- 27891035
TI - Intradiscal and intra-articular facet infiltrations with plasma rich in growth
factors reduce pain in patients with chronic low back pain.
AB - CONTEXT: Low back pain (LBP) is a complex and disabling condition, and its
treatment becomes a challenge. AIMS: The aim of our study was to assess the
clinical outcome of plasma rich in growth factors (PRGF-Endoret) infiltrations
(one intradiscal, one intra-articular facet, and one transforaminal epidural
injection) under fluoroscopic guidance-control in patients with chronic LBP. PRGF
Endoret which has been shown to be an efficient treatment to reduce joint pain.
SETTINGS AND DESIGN: The study was designed as an observational retrospective
pilot study. Eighty-six patients with a history of chronic LBP and degenerative
disease of the lumbar spine who met inclusion and exclusion criteria were
recruited between December 2010 and January 2012. SUBJECTS AND METHODS: One
intradiscal, one intra-articular facet, and one transforaminal epidural injection
of PRGF-Endoret under fluoroscopic guidance-control were carried out in 86
patients with chronic LBP in the operating theater setting. STATISTICAL ANALYSIS
USED: Descriptive statistics were performed using absolute and relative frequency
distributions for qualitative variables and mean values and standard deviations
for quantitative variables. The nonparametric Friedman statistical test was used
to determine the possible differences between baseline and different follow-up
time points on pain reduction after treatment. RESULTS: Pain assessment was
determined using a visual analog scale (VAS) at the first visit before (baseline)
and after the procedure at 1, 3, and 6 months. The pain reduction after the PRGF
Endoret injections showed a statistically significant drop from 8.4 +/- 1.1
before the treatment to 4 +/- 2.6, 1.7 +/- 2.3, and 0.8 +/- 1.7 at 1, 3, and 6
months after the treatment, respectively, with respect to all the time
evaluations (P < 0.0001) except for the pain reduction between the 3rd and 6th
month whose signification was lower (P < 0.05). The analysis of the VAS over time
showed that at the end point of the study (6 months), 91% of patients showed an
excellent score, 8.1% showed a moderate improvement, and 1.2% were in the
inefficient score. CONCLUSIONS: Fluoroscopy-guided infiltrations of
intervertebral discs and facet joints with PRGF in patients with chronic LBP
resulted in significant pain reduction assessed by VAS.
PMID- 27891037
TI - Revisiting cruciate paralysis: A case report and systematic review.
AB - OBJECTIVE: Cruciate paralysis is a rare, poorly understood condition of the upper
craniovertebral junction that allows for selective paralysis of the upper
extremities while sparing the lower extremities. Reported cases are few and best
treatment practices remain up for debate. The purpose of this study was to
conduct a systemic literature review in an attempt to identify prognostic
predictors and outcome trends associated with cases previously reported in the
literature. MATERIALS AND METHODS: We conducted a systematic literature review
for all cases using the term "Cruciate Paralysis," reviewing a total of 37
reported cases. All outcomes were assigned a numerical value based on examination
at the last follow-up. These numerical values were further analyzed and tested
for statistical significance. RESULTS: Of the 37 cases, 78.4% were of traumatic
causes. Of these, there were considerably worse outcomes associated with patients
over the age of 65 years (P < 0.001). Those patients undergoing surgical
treatment showed potentially worse outcomes, with a P value approaching
significance at P = 0.08. CONCLUSION: Numerous cases of trauma-associated
cruciate paralysis have been reported in the literature; however, there remains a
strong need for further study of the condition. While certain risk factors can be
elicited from currently reported studies, insignificant data exist to make any
sound conclusion concerning whether surgical intervention is always the best
method of treatment.
PMID- 27891036
TI - Complete morphometric analysis of jugular foramen and its clinical implications.
AB - INTRODUCTION: Tumors affecting structures in the vicinity of jugular foramen such
as glomus jugulare require microsurgical approach to access this region. These
tumors tend to alter the normal architecture of the jugular foramen by invading
it. Therefore, it is not feasible to have correct anatomic visualization of the
foramen in the presence of such pathologies. Hence, a comprehensive knowledge of
the jugular foramen is needed by all the neurosurgeons while doing surgery in
this region. AIM: Due to the inadequate knowledge of the accurate morphology of
the jugular foramen in different sexes, the aim of this osteological study was to
provide a complete morphometry including gender differences and describe some
morphological characteristics of the jugular foramen in an adult Indian
population. MATERIALS AND METHODS: The study was done on 114 adult human dry
skulls (63 males and 51 females) collected from the osteology museum in the
department. Various dimensions of both endo- and exocranial aspect of jugular
foramen were measured. Presence and absence of domed bony roof of jugular fossa
and compartmentalization of jugular foramen were also noticed. Statistical
analysis was done using Chi-square test and Student's t-test in SPSS version 23.
RESULTS: All the parameters of right jugular foramen were greater than the left
side, except the distance of stylomastoid foramen from lateral margin of jugular
foramen (SMJF) which was greater on the left side. Gender differences between
various measurements of jugular foramen, presence of dome of jugular fossa, and
compartmentalization patterns were reported. CONCLUSION: This study gives
knowledge about the various parameters, anatomical variations of jugular foramen
in both sexes of an adult Indian population, and its clinical impact on the
surgeries of this region.
PMID- 27891038
TI - Accessory atlantoaxial ligament avulsion fracture of the axis: Are there any
clinical implications?
AB - Injuries to the craniocervical support structures are frequently observed in
neurotrauma cases. Stability of this region is of vital importance. Literature
has mainly focused on three major ligaments of the craniocervical junction: The
tectorial membrane, the transverse ligament, and the alar ligaments. However, the
accessory atlantoaxial ligament (ALL) also seems to be involved in craniocervical
stability as shown in cadaveric specimens. Still, the biomechanical importance of
this structure needs to be determined, especially in trauma settings. Here, we
describe a case of isolated traumatic injury to this structure and discuss the
clinical outcome. A 64-year-old polytrauma patient with a remarkable avulsion
fracture at the site of the insertion of the ALL was admitted to our center. We
evaluated the patient both clinical and radiological at admission, after 3 months
and after 1 year. We clinically assessed the upper cervical rotational stability
using the cervical flexion-rotation test. We observed no rotational instability
or any other clinical repercussions at the long-term after an isolated ALL
injury. This case shows that isolated traumatic damage to the ALL is possible.
Unilateral damage to the ALL probably does not cause rotational instability of
the craniocervical junction. In case a similar avulsion fracture is observed, we
recommend performing a magnetic resonance imaging of the craniovertebral region
to assess for any ligamentous lesions.
PMID- 27891039
TI - Chronic Pseudomonas aeruginosa cervical osteomyelitis.
AB - Pseudomonas aeruginosa is a rare cause of osteomyelitis of the cervical spine and
is usually seen in the background of intravenous drug use and immunocompromised
state. Very few cases of osteomyelitis of the cervical spine caused by
pseudomonas aeruginosa have been reported in otherwise healthy patients. This is
a case presentation of a young female, who in the absence of known risk factors
for cervical osteomyelitis presented with progressively worsening neurological
signs and symptoms.
PMID- 27891040
TI - Inadvertent injection of potassium chloride instead of sodium chloride during
treatment of chronic low back ache with epidural injection leading to paraplegia.
AB - Epidural injection of steroid is given for back pain resistant to other
conservative management. Normal saline (NS) is used as diluent in 80 mg
methylprednisolone and a local anesthetic. Due to a similar looking ampoule of NS
and potassium chloride (KCl), there is a probability of accidental use of KCl
instead of NS. We present a case of a 50-year-old male patient having low back
ache refractory to other conservative treatments. Epidural injection of steroid
was given, but accidently KCl was mixed with methylprednisolone instead of NS. He
developed severe cramps in the lower limbs, pruritus, and sweating, and finally
paraplegia. Electrocardiography and blood showed features suggestive of
hyperkalemia. He was given calcium gluconate and potassium chelating agent along
with supportive measures. The patient recovered within 8 h. It is concluded that
calcium gluconate and potassium chelating agent can be used if accidentally KCl
is injected in epidural space.
PMID- 27891041
TI - Spinal intradural hydatid cyst causing arachnoiditis: A rare etiology of cauda
equina syndrome.
AB - This study aims to focus on a rare presentation of spinal hydatid cyst as cauda
equine syndrome and misdiagnosed as intradural extramedullary (IDEM) benign
lesion on magnetic resonance imaging. In this article, we report a case of spinal
hydatid cyst masquerading as IDEM tumor, and intraoperatively, we accidently find
clumped granuloma with severe arachnoiditis and hydatid cyst in lumber region,
which was present as bilateral S1 radiculopathy with cauda equina syndrome. An 11
year-old boy who presented with symptoms and signs of cauda equina syndrome and
planned for surgical excision. His radiological impression was IDEM possibly
neurofibroma. To our surprise, we found multiple intradural cystic lesions with
arachnoiditis. Dissecting in plane cyst was flushed out, and surgical cavity was
irrigated with 3% saline. Postoperatively histopathology and serum tests
confirmed the diagnosis of hydatid cyst. Hydatid disease is rare cause of cauda
equine syndrome which can be miss diagnosed on radiological investigations. A
high index of suspicion should be kept especially in a young patient from the
Indian subcontinent.
PMID- 27891042
TI - Spinal cysticercosis: A report of two cases with review of literature.
AB - Neurocysticercosis is the most common parasitic infection of the central nervous
system worldwide. However, Cysticercosis affecting the spine is considered
extremely rare. We report two cases of spinal cysticercosis with review of
literature.
PMID- 27891043
TI - Time Perspectives Predict Mood States and Satisfaction with Life over and above
Personality.
AB - The present study aimed to test the incremental validity of Time Perspective (TP)
scales in predicting satisfaction with life and mood, over and above the Big Five
personality traits. It also investigated whether the new TP construct of Future
Negative perspective contributed to prediction of these outcomes. Participants (N
= 265) completed four measures: Satisfaction With Life Scale (SWLS), UWIST Mood
Adjective Checklist (UMACL), a modified Zimbardo Time Perspective Inventory
(ZTPI), and NEO-Five Factor Inventory (NEO-FFI). Results confirmed the
incremental validity of TP, although Big Five dimensions were independently
predictive of life satisfaction and certain mood scales. Past Negative TP was the
strongest single predictor of life satisfaction. However, Future Negative TP was
be the strongest mood predictor from the TP universe, after controlling for the
Big Five and remaining TP dimensions. Findings suggest that TP is an important
aspect of personality for understanding individual differences in well-being.
PMID- 27891044
TI - An Investigation of Loneliness and Perceived Social Support Among Single and
Partnered Young Adults.
AB - This study investigated the possible differences between single individuals and
individuals in nonmarital romantic relationships in the domains of emotional
(romantic and family) and social loneliness, and of perceived social support from
family, friends and significant others. Based on a Polish university-student
sample of 315 participants (167 women and 148 men) aged 19 to 25 years (M =
21.90, SD = 2.15), single relationship status was related to greater romantic and
family loneliness, and to less perceived social support from significant others
and family. Women reported a lower level of social loneliness and a higher level
of perceived social support in comparison to men. Relationship status interacted
with gender in predicting perceived social support from significant others and
friends. Finally, the duration of remaining single and significant others'
support were found to be predictive of single young adults' romantic loneliness.
In addition, perceived social support from family and significant others were
found to moderate the relationship between the duration of remaining single and
romantic loneliness. In particular, high family support and medium-high support
from significant others mitigated the negative impact of being single for a long
time on romantic loneliness.
PMID- 27891045
TI - Reinforced Angle-based Multicategory Support Vector Machines.
AB - The Support Vector Machine (SVM) is a very popular classification tool with many
successful applications. It was originally designed for binary problems with
desirable theoretical properties. Although there exist various Multicategory SVM
(MSVM) extensions in the literature, some challenges remain. In particular, most
existing MSVMs make use of k classification functions for a k-class problem, and
the corresponding optimization problems are typically handled by existing
quadratic programming solvers. In this paper, we propose a new group of MSVMs,
namely the Reinforced Angle-based MSVMs (RAMSVMs), using an angle-based
prediction rule with k - 1 functions directly. We prove that RAMSVMs can enjoy
Fisher consistency. Moreover, we show that the RAMSVM can be implemented using
the very efficient coordinate descent algorithm on its dual problem. Numerical
experiments demonstrate that our method is highly competitive in terms of
computational speed, as well as classification prediction performance.
Supplemental materials for the article are available online.
PMID- 27891046
TI - Psychological Inflexibility and Child Anxiety.
AB - Psychological flexibility is the main outcome of acceptance commitment therapy.
Insight into the usefulness of measuring psychological flexibility is an
important step to enable studies on the effectiveness of acceptance commitment
therapy in middle-aged children (8-10 years). For this purpose, we examined the
factor structure, the construct validity and the reliability of the Avoidance and
Fusion Questionnaire for Youth. The Avoidance and Fusion Questionnaire for Youth
taps psychological inflexibility (the opposite of psychological flexibility) in
children and adolescents. Although the questionnaire has been extensively
validated in older children, this is not the case for middle-aged children. The
Avoidance and Fusion Questionnaire for Youth contains 17 items and is constituted
of the subscales cognitive fusion, experiential avoidance and behavioral
ineffectiveness. A shortened 8-item version also exists, the Avoidance and Fusion
Questionnaire for Youth-8, which does not distinguish between these subscales. We
performed a confirmatory factor analysis. Additionally, we assessed the
relationship between psychological flexibility and child anxiety. Children, aged
8-10 years, were recruited via regular primary schools. Of the 459 approached
children, 267 (58 %) parents signed informed consents for their children (Age: M
= 9.18; SD = .79; Sex: nboys = 137, 51 %). Children completed the questionnaires
during regular classes. In this sample, the 17-item version of the Avoidance and
Fusion Questionnaire for Youth was less appropriate for measuring psychological
inflexibility than the 8-item version. Furthermore, we found a significant
positive relationship between psychological inflexibility and child anxiety. We
argue that acceptance commitment therapy would be an interesting candidate for
intervening early on in dysfunctional child anxiety, as acceptance commitment
therapy's cognitive elements require cognitive skills that children are likely to
master early on.
PMID- 27891047
TI - Endoscopic Submucosal Dissection Outcomes for Gastroesophageal Tumors in Low
Volume Units: A Multicenter Survey.
AB - Background and Aims. Endoscopic submucosal dissection (ESD) outcomes have
traditionally been reported from high volume centers in East Asia. Data from low
volume centers in other parts of Asia remain sparse. Methods. A retrospective
survey with a structured questionnaire of 5 tertiary centers in 3 countries in
South East Asia was conducted. Details of training and clinical outcomes of ESD
cases, with follow-up data from these centers, were analyzed. Results. Seven
endoscopists from the 5 centers performed a total of 35 cases of ESD in the upper
gastrointestinal tract (UGIT) over a 6-year duration. Details of the lesions
excised were as follows: median size was 20 mm, morphologically 20 (68.6%) were
flat/depressed and 6 (17.1%) were submucosal, and histologically 27 (77.1%) were
neoplastic. The median duration of ESD procedures was 105 minutes, with an en
bloc resection rate of 91.4%. There was 1 (2.9%) case of delayed bleeding, but no
perforation nor mortality in any of the cases. The recurrence rate after ESD was
5.7%. A prolonged ESD duration was influenced by a larger size of lesion (25 mm,
p = 0.02) but not by factors related to the training experience of endoscopists.
Conclusions. ESD in the UGIT is feasible and safe in low volume centers in Asia.
PMID- 27891048
TI - Metabolic Signatures of Lung Cancer in Sputum and Exhaled Breath Condensate
Detected by 1H Magnetic Resonance Spectroscopy: A Feasibility Study.
AB - OBJECTIVES: Lung cancer is one of the most lethal cancers. Currently, there are
no biomarkers for early detection, monitoring treatment response, and detecting
recurrent lung cancer. We undertook this study to determine if 1H magnetic
resonance spectroscopy (MRS) of sputum and exhaled breath condensate (EBC), as a
noninvasive tool, can identify metabolic biomarkers of lung cancer. MATERIALS AND
METHODS: Sputum and EBC samples were collected from 20 patients, comprising
patients with pathologically confirmed non-small cell lung cancer (n = 10) and
patients with benign respiratory conditions (n = 10). Both sputum and EBC samples
were collected from 18 patients; 2 patients provided EBC samples only. 1H MR
spectra were obtained on a Bruker Avance 400 MHz nuclear magnetic resonance (NMR)
spectrometer. Sputum samples were further confirmed cytologically to distinguish
between true sputum and saliva. RESULTS: In the EBC samples, median
concentrations of propionate, ethanol, acetate, and acetone were higher in lung
cancer patients compared to the patients with benign conditions. Median
concentration of methanol was lower in lung cancer patients (0.028 mM) than in
patients with benign conditions (0.067 mM; P = 0.028). In the combined sputum and
saliva and the cytologically confirmed sputum samples, median concentrations of N
acetyl sugars, glycoprotein, propionate, lysine, acetate, and formate were lower
in the lung cancer patients than in patients with benign conditions. Glucose was
found to be consistently absent in the combined sputum and saliva samples (88%)
as well as in the cytologically confirmed sputum samples (86%) of lung cancer
patients. CONCLUSION: Absence of glucose in sputum and lower concentrations of
methanol in EBC of lung cancer patients discerned by 1H MRS may serve as
metabolic biomarkers of lung cancer for early detection, monitoring treatment
response, and detecting recurrence.
PMID- 27891049
TI - Quantifying the Seafood Consumption Patterns of Recreational Anglers in
Charleston and Berkeley Counties, South Carolina.
AB - This study was designed to provide self-reported data on the frequency of fish
consumption and shellfish consumption in Charleston and Berkeley (CB) counties,
South Carolina. While commercial fishing and recreational fishing have played an
important role in the culture and history of the area, information on the
specific patterns of consumption by recreational anglers has been previously
unavailable. The pilot data presented here will help determine the feasibility of
a large-scale survey of seafood consumption in coastal South Carolina. The
study's sampling frame consisted of CB county anglers who had purchased a
recreational saltwater fishing license for the 2005/2006 year with oversampling
in North Charleston. Survey recipients were asked to provide information on fish
consumption and shellfish consumption, general angling habits, perception of
water and fishing quality, and demographics. Of the 2500 individuals who were
sent questionnaires, about one-fourth responded. Respondents were generally
white, middle, or upper class and highly educated. The majority fished by boat
and most often ate flounder, spotted sea trout, and red drum. Most respondents
ate shrimp several times a month and also supplemented their recreational catch
with seafood purchased from grocery stores, markets, and restaurants. Almost all
respondents had eaten some seafood in the last year, and more than one-fourth ate
seafood twice a week or more. Most anglers responded positively about the area's
fishing and water qualities, but many referred to areas where they would hesitate
to eat their catch. Further research may need to incorporate direct distribution
of surveys to underrepresented groups and financial incentives to encompass a
more diverse population of anglers.
PMID- 27891050
TI - Evaluating the Effect of Oxygen Concentrations on Antibiotic Sensitivity, Growth,
and Biofilm Formation of Human Pathogens.
AB - Standard antimicrobial susceptibility tests are performed in vitro under normal
room oxygen conditions to predict the in vivo effectiveness of antimicrobial
therapy. The aim of this study was to conduct a comprehensive analysis of the
effect of different oxygen levels on the antibiotic susceptibility of two strains
of Staphylococcus aureus, Pseudomonas aeruginosa, and Klebsiella pneumoniae. It
was found that anoxic conditions caused reduced sensitivity of bacteria to
aminoglycoside antibiotics in four of six bacteria used in the study. In
addition, oxygen limitation decreased the susceptibility of P. aeruginosa strains
and K. pneumoniae strains to piperacillin/tazobactam and azithromycin,
respectively. In contrast, five of six bacteria became more susceptible to
tetracycline antibiotics under oxygen-limiting conditions. Our data highlight the
importance of considering the potential in vivo oxygen levels within the
infection site when setting susceptibility breakpoints for evaluating the
therapeutic potential of a drug and its effect on antibiotic sensitivity of the
pathogen.
PMID- 27891051
TI - Analysis of Helicobacter pylori Prevalence in Chittagong, Bangladesh, Based on
PCR and CLO Test.
AB - The pathogenic bacterium Helicobacter pylori is a causative agent of gastric
diseases in Bangladesh as well as throughout the world. This study aimed at
analyzing the prevalence of H. pylori infection among dyspeptic patients in
Chittagong, the second most populous city of Bangladesh, using 16S rRNA-based H.
pylori-specific Polymerase Chain Reaction and Campylobacter-like organism test.
We found that 67% of the population under study was positive for H. pylori
infection. Gastric ulcer and duodenal ulcer disease showed statistically
significant association with H. pylori infection; however, no association of H.
pylori infection was observed in terms of age and gender. This study would play a
crucial role in managing H. pylori-induced gastric diseases by understanding the
current trend of H. pylori infection in the Chittagong region of Bangladesh.
PMID- 27891052
TI - From Ethanol to Salsolinol: Role of Ethanol Metabolites in the Effects of
Ethanol.
AB - In spite of the global reputation of ethanol as the psychopharmacologically
active ingredient of alcoholic drinks, the neurobiological basis of the central
effects of ethanol still presents some dark sides due to a number of unanswered
questions related to both its precise mechanism of action and its metabolism.
Accordingly, ethanol represents the interesting example of a compound whose
actions cannot be explained as simply due to the involvement of a single
receptor/neurotransmitter, a scenario further complicated by the robust evidence
that two main metabolites, acetaldehyde and salsolinol, exert many effects
similar to those of their parent compound. The present review recapitulates, in a
perspective manner, the major and most recent advances that in the last decades
boosted a significant growth in the understanding on the role of ethanol
metabolism, in particular, in the neurobiological basis of its central effects.
PMID- 27891054
TI - Patellofemoral Joint Arthroplasty: Our Experience in Isolated Patellofemoral and
Bicompartmental Arthritic Knees.
AB - INTRODUCTION: Isolated patellofemoral (PF) arthritis is rare, and there is no
complete agreement about the best surgical treatment. The operative treatments
are total knee arthroplasty and patellofemoral replacement (PFR). The incidence
of many early complications of PF arthroplasty has decreased with the
introduction of newer designs. Nowadays, the main cause of revision surgery is
the progression of tibiofemoral osteoarthritis. In the past, PF arthroplasty was
contraindicated in patients with evidence of osteoarthritis or pain in medial or
lateral tibiofemoral compartments. The improvement in implant designs and
surgical techniques has allowed the addition of a monocompartmental arthroplasty
for the medial or lateral tibiofemoral compartment. In this work, we evaluate our
first experience with PF arthroplasty and its combination with unicompartmental
knee arthroplasty. MATERIALS AND METHODS: From May 2014 to March 2016, we treated
14 patients. An isolated PF arthroplasty was performed in six knees (five
patients), and a combined PF and unicompartmental knee arthroplasty was performed
in nine cases. We observed a significant improvement in the clinical and
functional Knee Society Scores (KSSs) after surgery in our patients. RESULTS: We
obtained good results in our cases both for clinical and functional KSSs.
Patellar clunk was recorded in one case. DISCUSSION AND CONCLUSION: We are going
toward a new attitude in which partial osteoarthritic changes could be treated
with partial resurfacing prosthetic solutions such as unicompartmental, bi
unicompartmental or PFR alone, or unicompartmental combined, which respects the
cruciates and achieves maximal bone preservation, which is vital, particularly,
for young patients.
PMID- 27891053
TI - H3 and H4 Lysine Acetylation Correlates with Developmental and Experimentally
Induced Adult Experience-Dependent Plasticity in the Mouse Visual Cortex.
AB - Histone posttranslational modifications play a fundamental role in orchestrating
gene expression. In this work, we analyzed the acetylation of H3 and H4 histones
(AcH3-AcH4) and its modulation by visual experience in the mouse visual cortex
(VC) during normal development and in two experimental conditions that restore
juvenile-like plasticity levels in adults (fluoxetine treatment and enriched
environment). We found that AcH3-AcH4 declines with age and is upregulated by
treatments restoring plasticity in the adult. We also found that visual
experience modulates AcH3-AcH4 in young and adult plasticity-restored mice but
not in untreated ones. Finally, we showed that the transporter vGAT is
downregulated in adult plasticity-restored models. In summary, we identified a
dynamic regulation of AcH3-AcH4, which is associated with high plasticity levels
and enhanced by visual experience. These data, along with recent ones, indicate
H3-H4 acetylation as a central hub in the control of experience-dependent
plasticity in the VC.
PMID- 27891057
TI - Key Difficulties Associated with Cancer Biology.
PMID- 27891055
TI - Imaging Guidance Improves the Results of Viscosupplementation with HANOX-M-XL in
Patients with Ankle Osteoarthritis: Results of a Clinical Survey in 50 Patients
Treated in Daily Practice.
AB - BACKGROUND: The objective of this survey was to assess retrospectively the
interest of performing viscosupplementation using imaging guidance in patients
suffering from ankle osteoarthritis (OA). PATIENTS AND METHODS: This is a
multicenter retrospective survey using a standardized questionnaire. Fifty
patients suffering from ankle OA and treated, in daily clinical practice, with a
single intra-articular injection of a novel viscosupplement made of a combination
of a non-animal cross-linked hyaluronan and mannitol, HANOX M-XL, were included
in the survey. The injection procedure (imaging or landmark guidance),
demographic data, patient's self-evaluation of pain, satisfaction, treatment
efficacy, and tolerability were collected. Predictive factors of both efficacy
and patient's satisfaction were investigated. RESULTS: The percentages of
patients very satisfied/satisfied and not really satisfied/dissatisfied with the
treatment were 68% and 32%, respectively. Efficacy was rated as very good, good,
moderate, and poor by 38%, 30%, 12%, and 20% of the cases, respectively. Efficacy
was unrelated to gender and age and was highly correlated with pain score (P <
0.0001). In satisfied patients, the decrease in consumption of analgesics/non
steroidal anti-inflammatory drugs was >75% in 64% of the cases. Efficacy was
significantly different with regard to imaging guidance. There was a
statistically significant difference in efficacy and satisfaction between
landmark-guided and imaging-guided injections (P = 0.02). The success rate was
2.3 times higher in the imaging-guided group than in the landmark-guided group.
No significant difference was found between patients injected under fluoroscopy
or ultrasound guidance, despite a trend favoring ultrasound (P = 0.09).
Tolerability was rated as very good/good in 47 patients, moderate in two, and
poor in one and was unrelated to the type of guidance. CONCLUSION: This
preliminary study suggests that the use of imaging guidance significantly
optimizes the success rate of ankle viscosupplementation. No safety concern was
observed. LEVEL OF EVIDENCE: III.
PMID- 27891056
TI - Eradication of Helicobacter pylori Infection Restores ki67, p53, and Cyclin D1
Immunoreactivity in the Human Gastric Epithelium.
AB - INTRODUCTION: We evaluated the effect of Helicobacter pylori (HP) eradication on
p53, cyclin D1 expression, and cell proliferation in gastric mucosa. MATERIALS
AND METHODS: We assessed p53, cyclin D1, and ki67 immunoexpression in gastric
mucosa from 31 HP chronic gastritis patients and 12 controls. Reassessment was
performed 6 months after successful HP eradication. RESULTS: Successful
eradication resulted in significant decrease of p53 (1.53 +/- 0.16 vs 0.83 +/-
0.19, P = 0.01) and ki67 (9.84 +/- 0.96 vs 4.77 +/- 0.27, P < 0.001) staining in
the antrum. Similarly, p53 immunoreactivity significantly decreased in the corpus
(1.27 +/- 0.20 vs 0.46 +/- 0.15, P = 0.02), while there was a trend for decreased
corpus cyclin D1 and ki67 expression (0.17 +/- 0.07 vs 0.0, P = 0.08 and 8.71 +/-
1.24 vs 5.85 +/- 0.54, P = 0.09, respectively). Importantly, after successful HP
eradication, the immunoreactivity of the studied parameters was similar to that
of controls. CONCLUSION: Successful HP infection eradication restores p53, cyclin
D1, and ki67 immunoreactivity in the gastric mucosa to the level of controls.
PMID- 27891058
TI - IDO2: A Pathogenic Mediator of Inflammatory Autoimmunity.
AB - Indoleamine 2,3-dioxygenase 2 (IDO2), a homolog of the better-studied tryptophan
catabolizing enzyme IDO1, is an immunomodulatory molecule with potential effects
on various diseases including cancer and autoimmunity. Here, we review what is
known about the direct connections between IDO2 and immune function, particularly
in relationship to autoimmune inflammatory disorders such as rheumatoid arthritis
and lupus. Accumulating evidence indicates that IDO2 acts as a pro-inflammatory
mediator of autoimmunity, with a functional phenotype distinct from IDO1. IDO2 is
expressed in antigen-presenting cells, including B cells and dendritic cells, but
affects inflammatory responses in the autoimmune context specifically by acting
in B cells to modulate T cell help in multiple model systems. Given that
expression of IDO2 can lead to exacerbation of inflammatory responses, IDO2
should be considered a potential therapeutic target for autoimmune disorders.
PMID- 27891059
TI - Ethnic Identity Attachment and Motivation for Weight Loss and Exercise Among
Rural, Overweight, African-American Women.
AB - Rural and minority women are disproportionately impacted by the obesity epidemic;
however, little research has studied the intersection of these disparity groups.
The purpose of this study was to examine the influence of racial identity on
motivation for weight loss and exercise among rural, African-American women with
an obesity-linked chronic disease. A total of 154 African-American women were
recruited from the patient population of a Federally Qualified Health Center in
the rural South to complete a questionnaire battery including the Multigroup
Ethnic Identity Measure and separate assessments of motivation for weight loss
and exercise. Multivariate analyses, controlling for age, education status,
insurance status, and body mass index revealed that attachment to ethnic identity
was predictive of motivation for exercise but not for weight loss. Our findings
suggest that attachment to ethnic identity may be an important factor in
motivation for change among African-American women, particularly with respect to
exercise, with direct implications for the development of culturally and
geographically tailored weight loss interventions.
PMID- 27891061
TI - Noninvasive Positive Pressure Ventilation in Chronic Heart Failure.
AB - Instruction and Objectives. Noninvasive positive pressure ventilation (NPPV)
alleviates sleep-disordered breathing (SDB) and it may improve cardiac function
in SDB patients. Because large randomized controlled trials directly evaluating
the impact of NPPV on cardiac function are lacking, we conducted a meta-analysis
of published data on effectiveness of NPPV in improving cardiac function in
patients with chronic heart failure regardless of SDB presence. Methods.
Controlled trials were identified in PubMed, OVID, and EMBASE databases. Both
fixed and randomized models were used in meta-analysis with primary outcomes of
left ventricular ejection fraction (LVEF). Results. Nineteen studies were
included with a total of 843 patients. Compared to standard medical treatment
(SMT) plus sham-NPPV or SMT only, NPPV plus SMT was associated with improvement
in LVEF (weighted mean difference 5.34, 95% CI, [3.85,6.82]; P < 0.00001) and
plasma brain natriuretic peptide (BNP) level (weighted mean difference -117.37,
95% CI, [-227.22, -7.52]; P = 0.04) and no influence on overall mortality (RR
1.00, 95% CI, [0.96,1.04]; P = 0.95). Conclusions. In the present meta-analysis,
use of NPPV plus SMT improved LVEF and reduced plasma BNP level but did not
improve overall mortality in patients with chronic heart failure.
PMID- 27891062
TI - Results from 10 Years of a CBT Pain Self-Management Outpatient Program for
Complex Chronic Conditions.
AB - Background. Traditional unimodal interventions may be insufficient for treating
complex pain, as they do not address cognitive and behavioural contributors to
pain. Cognitive Behavioural Therapy (CBT) and physical exercise (PE) are
empirically supported treatments that can reduce pain and improve quality of
life. Objectives. To examine the outcomes of a pain self-management outpatient
program based on CBT and PE at a rehabilitation hospital in Toronto, Ontario.
Methods. The pain management group (PMG) consisted of 20 sessions over 10 weeks.
The intervention consisted of four components: education, cognitive behavioural
skills, exercise, and self-management strategies. Outcome measures included the
sensory, affective, and intensity of pain experience, depression, anxiety, pain
disability, active and passive coping style, and general health functioning.
Results. From 2002 to 2011, 36 PMGs were run. In total, 311 patients entered the
program and 214 completed it. Paired t-tests showed significant pre- to
posttreatment improvements in all outcomes measured. Patient outcomes did not
differ according to the number or type of diagnoses. Both before and after
treatment, women reported more active coping than men. Discussion. The PMGs
improved pain self-management for patients with complex pain. Future research
should use a randomized controlled design to better understand the outcomes of
PMGs.
PMID- 27891060
TI - Human metapneumovirus in the preterm neonate: current perspectives.
AB - Premature birth (<37 weeks gestation) occurs in ~11% of all births in the US.
These infants are at risk of chronic lung disease and respiratory conditions,
including bronchopulmonary dysplasia. Respiratory viruses are important causes of
acute respiratory illness (ARI) in preterm infants, leading to rehospitalization,
increased health care burden, and long-term morbidity. Human metapneumovirus
(HMPV) is a paramyxovirus discovered in 2001 that is related to respiratory
syncytial virus. Epidemiologic studies show that HMPV is a leading cause of ARI
in children and adults worldwide. Prematurity is a major risk factor for severe
HMPV disease, requiring hospitalization. Moreover, limited data suggest that HMPV
infection during infancy is associated with asthma and recurrent wheezing, which
are common long-term pulmonary complication of prematurity. HMPV causes
nosocomial outbreaks of ARI in hospitals and long-term care facilities, although
there are few studies of the prevalence of HMPV in neonatal intensive care unit
populations. HMPV is a common and important virus in premature infants, and
caregivers for preterm infants should consider this virus in patients with acute
respiratory symptoms.
PMID- 27891063
TI - Cell division cycle 7-kinase inhibitor PHA-767491 hydrochloride suppresses
glioblastoma growth and invasiveness.
AB - BACKGROUND: Genomic instability is a hallmark of cancer cells, and this cellular
phenomenon can emerge as a result of replicative stress. It is possible to take
advantage of replicative stress, and enhance it in a targeted way to fight cancer
cells. One of such strategies involves targeting the cell division cycle 7
related protein kinase (CDC7), a protein with key roles in regulation of
initiation of DNA replication. CDC7 overexpression is present in different
cancers, and small molecule inhibitors of the CDC7 have well-documented anti
tumor effects. Here, we aimed to test the potential of CDC7 inhibition as a new
strategy for glioblastoma treatment. METHODS: PHA-767491 hydrochloride was used
as the CDC7 inhibitor. Two glioblastoma cell lines (U87-MG and U251-MG) and a
control cell line (3T3) were used to characterize the effects of CDC7 inhibition.
The effect of CDC7 inhibition on cell viability, cell proliferation, apoptosis,
migration, and invasion were analyzed. In addition, real-time PCR arrays were
used to identify the differentially expressed genes in response to CDC7
inhibition. RESULTS: Our results showed that CDC7 inhibition reduces glioblastoma
cell viability, suppresses cell proliferation, and triggers apoptosis in
glioblastoma cell lines. In addition, we determined that CDC7 inhibition also
suppresses glioblastoma cell migration and invasion. To identify molecular
targets of CDC7 inhibition, we used real-time PCR arrays, which showed
dysregulation of several mRNAs and miRNAs. CONCLUSIONS: Taken together, our
findings suggest that CDC7 inhibition is a promising strategy for treatment of
glioblastoma.
PMID- 27891064
TI - Proteomic based approach for characterizing 4-hydroxy-2-nonenal induced oxidation
of buffalo (Bubalus bubalis) and goat (Capra hircus) meat myoglobins.
AB - BACKGROUND: Myoglobin (Mb) is a sarcoplasmic heme protein primarily responsible
for meat color and its chemistry is species specific. 4-hydroxy-2-nonenal (HNE)
is a cytotoxic lipid derived aldehyde detected in meat and was reported to
covalently adduct with nucleophilic histidine residues of Mb and predispose it to
greater oxidation. However, no literature is available on characterization of
lipid oxidation induced oxidation of Indian water buffalo (Bubalus bubalis) and
goat (Capra hircus) myoglobins. METHODS: Present study characterize the Mb
extracted from water buffalo and goat cardiac muscles using two-dimensional gel
electrophoresis (2DE), OFFGEL electrophoresis and mass spectrometry (MS).
Purified buffalo and goat bright red oxymyoglobin were reacted with HNE in-vitro
at physiological pH (7.4) and temperature (37 degrees C) conditions and the
formation of oxidised brown metmyoglobin was measured. The Mb-HNE adducts were
detected using MALDI-TOF MS, whereas specific sites of adduction was determined
using ESI-QTOF MS/MS. RESULTS: Purified buffalo and goat Mb samples revealed a
molecular mass of 17,043.6 and 16,899.9 Daltons, respectively. The 2DE analysis
exhibited 65 (sarcoplasmic protein extract) and 6 (pure Mb) differentially
expressed (P < 0.05) protein spots between buffalo and goat samples. OFFGEL
electrophoresis revealed an isoelectric point of 6.77 and 7.35 respectively, for
buffalo and goat Mb's. In-vitro incubation of HNE with bright red buffalo and
goat oxymyoglobin's at pH 7.4 and 37 degrees C resulted in pronounced (P < 0.05)
oxidation and formation of brown metmyoglobin. MALDI-TOF MS analysis of Mb-HNE
reaction mix revealed covalent binding (via Michael addition) of 3 and 5
molecules of HNE with buffalo and goat Oxy-Mb's, respectively. ESI-QTOF MS/MS
identified seven and nine histidine (HIS) residues of Mb that were readily
adducted by HNE in buffalo and goat, respectively. CONCLUSION: The study
demonstrated better redox stability of buffalo Mb than goat Mb. Our findings
confirm the hypothesis that relative effect of HNE was greater for Mb's with 12
+/- 1 HIS residues than Mb's with 9 HIS residues and helps meat processors in
developing species-specific processing strategies to reduce the color
variability.
PMID- 27891066
TI - In Situ Evaluation of Calcium Phosphate Nucleation Kinetics and Pathways during
Intra- and Extrafibrillar Mineralization of Collagen Matrices.
AB - We revealed that nucleation sites within collagen fibrils determined pathways for
calcium phosphate (CaP) nucleation and its transformation, from amorphous species
to crystalline plates, during the biomineralization process. Using in situ small
angle X-ray scattering (SAXS), we examined the nucleation and growth of CaP
within collagen matrices and elucidated how a nucleation inhibitor, polyaspartic
acid (pAsp), governs mineralization kinetics and pathways at multiple length
scales. Mineralization without pAsp led initially to spherical aggregates of CaP
in the entire extrafibrillar spaces. With time, the spherical aggregates
transformed into plates at the outermost surface of the collagen matrix,
preventing intrafibrillar mineralization inside. However, mineralization with
pAsp led directly to the formation of intrafibrillar CaP plates with a spatial
distribution gradient through the depth of the matrix. The results illuminate
mineral nucleation kinetics and real-time nanoparticle distributions within
organic matrices in solutions containing body fluid components. Because the
macroscale mechanical properties of collagen matrices depend on their mineral
content, phase, and arrangement at the nanoscale, this study contributes to
better design and fabrication of biomaterials for regenerative medicine.
PMID- 27891065
TI - Trends, causes, and risk factors of mortality among children under 5 in Ethiopia,
1990-2013: findings from the Global Burden of Disease Study 2013.
AB - BACKGROUND: Ethiopia has made remarkable progress in reducing child mortality
over the last two decades. However, the under-5 mortality rate in Ethiopia is
still higher than the under-5 mortality rates of several low- and middle-income
countries (LMIC). On the other hand, the patterns and causes of child mortality
have not been well investigated in Ethiopia. The objective of this study was to
investigate the mortality trend, causes of death, and risk factors among children
under 5 in Ethiopia during 1990-2013. METHODS: We used Global Burden of Disease
(GBD) 2013 data. Spatiotemporal Gaussian Process Regression (GPR) was applied to
generate best estimates of child mortality with 95% uncertainty intervals (UI).
Causes of death by age groups, sex, and year were measured using Cause of Death
Ensemble modeling (CODEm). For estimation of HIV/AIDS mortality rate, the
modified UNAIDS EPP-SPECTRUM suite model was used. RESULTS: Between 1990 and 2013
the under-5 mortality rate declined from 203.9 deaths/1000 live births to 74.4
deaths/1000 live births with an annual rate of change of 4.6%, yielding a total
reduction of 64%. Similarly, child (1-4 years), post-neonatal, and neonatal
mortality rates declined by 75%, 64%, and 52%, respectively, between 1990 and
2013. Lower respiratory tract infection (LRI), diarrheal diseases, and neonatal
syndromes (preterm birth complications, neonatal encephalopathy, neonatal sepsis,
and other neonatal disorders) accounted for 54% of the total under-5 deaths in
2013. Under-5 mortality rates due to measles, diarrhea, malaria, protein-energy
malnutrition, and iron-deficiency anemia declined by more than two-thirds between
1990 and 2013. Among the causes of under-5 deaths, neonatal syndromes such as
sepsis, preterm birth complications, and birth asphyxia ranked third to fifth in
2013. Of all risk-attributable deaths in 1990, 25% of the total under-5 deaths
(112,288/435,962) and 48% (112,288/232,199) of the deaths due to diarrhea, LRI,
and other common infections were attributable to childhood wasting. Similarly,
19% (43,759/229,333) of the total under-5 deaths and 45% (43,759/97,963) of the
deaths due to diarrhea and LRI were attributable to wasting in 2013. Of the total
diarrheal disease- and LRI-related deaths (n = 97,963) in 2013, 59%
(57,923/97,963) of them were attributable to unsafe water supply, unsafe
sanitation, household air pollution, and no handwashing with soap. CONCLUSIONS:
LRI, diarrheal diseases, and neonatal syndromes remain the major causes of under
5 deaths in Ethiopia. These findings call for better-integrated newborn and child
survival interventions focusing on the main risk factors.
PMID- 27891068
TI - Can Mouse Imaging Studies Bring Order to Autism Connectivity Chaos?
AB - Functional Magnetic Resonance Imaging (fMRI) has consistently highlighted
impaired or aberrant functional connectivity across brain regions of autism
spectrum disorder (ASD) patients. However, the manifestation and neural
substrates of these alterations are highly heterogeneous and often conflicting.
Moreover, their neurobiological underpinnings and etiopathological significance
remain largely unknown. A deeper understanding of the complex pathophysiological
cascade leading to aberrant connectivity in ASD can greatly benefit from the use
of model organisms where individual pathophysiological or phenotypic components
of ASD can be recreated and investigated via approaches that are either off
limits or confounded by clinical heterogeneity. Despite some obvious limitations
in reliably modeling the full phenotypic spectrum of a complex developmental
disorder like ASD, mouse models have played a central role in advancing our basic
mechanistic and molecular understanding of this syndrome. Recent progress in
mouse brain connectivity mapping via resting-state fMRI (rsfMRI) offers the
opportunity to generate and test mechanistic hypotheses about the elusive origin
and significance of connectional aberrations observed in autism. Here we discuss
recent progress toward this goal, and illustrate initial examples of how the
approach can be employed to establish causal links between ASD-related mutations,
developmental processes, and brain connectional architecture. As the spectrum of
genetic and pathophysiological components of ASD modeled in the mouse is rapidly
expanding, the use of rsfMRI can advance our mechanistic understanding of the
origin and significance of the connectional alterations associated with autism,
and their heterogeneous expression across patient cohorts.
PMID- 27891067
TI - Are healthy smokers really healthy?
AB - Cigarette smoke contains more than 4500 chemicals which have toxic, mutagenic and
carcinogenic effects. Strong evidences have shown that current smokers take a
significantly higher risk of cardiovascular diseases, chronic obstructive
pulmonary disease (COPD) and lung cancer than nonsmokers. However, less attention
has been paid to the smoking induced abnormalities in the individuals defined as
healthy smokers who are normal with spirometry, radiographic images, routine
physical exam and categorized as healthy control group in many researches.
Actually, 'healthy smokers' are not healthy. This narrative review focuses on the
smoking related pathophysiologic changes mainly in the respiratory system of
healthy smokers, including inflammation and immune changes, genetic alterations,
structural changes and pulmonary dysfunction.
PMID- 27891069
TI - Automatic Segmentation of Human Cortical Layer-Complexes and Architectural Areas
Using Ex vivo Diffusion MRI and Its Validation.
AB - Recently, several magnetic resonance imaging contrast mechanisms have been shown
to distinguish cortical substructure corresponding to selected cortical layers.
Here, we investigate cortical layer and area differentiation by automatized
unsupervised clustering of high-resolution diffusion MRI data. Several groups of
adjacent layers could be distinguished in human primary motor and premotor
cortex. We then used the signature of diffusion MRI signals along cortical depth
as a criterion to detect area boundaries and find borders at which the signature
changes abruptly. We validate our clustering results by histological analysis of
the same tissue. These results confirm earlier studies which show that diffusion
MRI can probe layer-specific intracortical fiber organization and, moreover,
suggests that it contains enough information to automatically classify
architecturally distinct cortical areas. We discuss the strengths and weaknesses
of the automatic clustering approach and its appeal for MR-based cortical
histology.
PMID- 27891071
TI - Chronic Progressive Neurodegeneration in a Transgenic Mouse Model of Prion
Disease.
AB - Neurodegenerative diseases present pathologically with progressive structural
destruction of neurons and accumulation of mis-folded proteins specific for each
condition leading to brain atrophy and functional disability. Many animal models
exert deposition of pathogenic proteins without an accompanying neurodegeneration
pattern. The lack of a comprehensive model hinders efforts to develop treatment.
We performed longitudinal quantification of cellular, neuronal and synaptic
density, as well as of neurogenesis in brains of mice mimicking for genetic
Creutzfeldt-Jacob disease as compared to age-matched wild-type mice. Mice
exhibited a neurodegenerative process of progressive reduction in cortical
neurons and synapses starting at age of 4-6 months, in accord with neurologic
disability. This was accompanied by significant decrease in
subventricular/subependymal zone neurogenesis. Although increased hippocampal
neurogenesis was detected in mice, a neurodegenerative process of CA1 and CA3
regions associated with impaired hippocampal-dependent memory function was
observed. In conclusion, mice exhibit pathological neurodegeneration concomitant
with neurological disease progression, indicating these mice can serve as a model
for neurodegenerative diseases.
PMID- 27891072
TI - Estimating Propensity Parameters Using Google PageRank and Genetic Algorithms.
AB - Stochastic Boolean networks, or more generally, stochastic discrete networks, are
an important class of computational models for molecular interaction networks.
The stochasticity stems from the updating schedule. Standard updating schedules
include the synchronous update, where all the nodes are updated at the same time,
and the asynchronous update where a random node is updated at each time step. The
former produces a deterministic dynamics while the latter a stochastic dynamics.
A more general stochastic setting considers propensity parameters for updating
each node. Stochastic Discrete Dynamical Systems (SDDS) are a modeling framework
that considers two propensity parameters for updating each node and uses one when
the update has a positive impact on the variable, that is, when the update causes
the variable to increase its value, and uses the other when the update has a
negative impact, that is, when the update causes it to decrease its value. This
framework offers additional features for simulations but also adds a complexity
in parameter estimation of the propensities. This paper presents a method for
estimating the propensity parameters for SDDS. The method is based on adding
noise to the system using the Google PageRank approach to make the system ergodic
and thus guaranteeing the existence of a stationary distribution. Then with the
use of a genetic algorithm, the propensity parameters are estimated.
Approximation techniques that make the search algorithms efficient are also
presented and Matlab/Octave code to test the algorithms are available at
http://www.ms.uky.edu/~dmu228/GeneticAlg/Code.html.
PMID- 27891074
TI - Interactive Sonification of Spontaneous Movement of Children-Cross-Modal Mapping
and the Perception of Body Movement Qualities through Sound.
AB - In this paper we present three studies focusing on the effect of different sound
models in interactive sonification of bodily movement. We hypothesized that a
sound model characterized by continuous smooth sounds would be associated with
other movement characteristics than a model characterized by abrupt variation in
amplitude and that these associations could be reflected in spontaneous movement
characteristics. Three subsequent studies were conducted to investigate the
relationship between properties of bodily movement and sound: (1) a motion
capture experiment involving interactive sonification of a group of children
spontaneously moving in a room, (2) an experiment involving perceptual ratings of
sonified movement data and (3) an experiment involving matching between sonified
movements and their visualizations in the form of abstract drawings. In (1) we
used a system constituting of 17 IR cameras tracking passive reflective markers.
The head positions in the horizontal plane of 3-4 children were simultaneously
tracked and sonified, producing 3-4 sound sources spatially displayed through an
8-channel loudspeaker system. We analyzed children's spontaneous movement in
terms of energy-, smoothness- and directness-index. Despite large inter
participant variability and group-specific effects caused by interaction among
children when engaging in the spontaneous movement task, we found a small but
significant effect of sound model. Results from (2) indicate that different sound
models can be rated differently on a set of motion-related perceptual scales
(e.g., expressivity and fluidity). Also, results imply that audio-only stimuli
can evoke stronger perceived properties of movement (e.g., energetic, impulsive)
than stimuli involving both audio and video representations. Findings in (3)
suggest that sounds portraying bodily movement can be represented using abstract
drawings in a meaningful way. We argue that the results from these studies
support the existence of a cross-modal mapping of body motion qualities from
bodily movement to sounds. Sound can be translated and understood from bodily
motion, conveyed through sound visualizations in the shape of drawings and
translated back from sound visualizations to audio. The work underlines the
potential of using interactive sonification to communicate high-level features of
human movement data.
PMID- 27891075
TI - BACE1 RNAi Restores the Composition of Phosphatidylethanolamine-Derivates Related
to Memory Improvement in Aged 3xTg-AD Mice.
AB - beta-amyloid (Abeta) is produced by the beta-secretase 1 (BACE1)-mediated
enzymatic cleavage of the amyloid precursor protein through the amyloidogenic
pathway, making BACE1 a therapeutic target against Alzheimer's disease (AD).
Alterations in lipid metabolism are a risk factor for AD by an unknown mechanism.
The objective of this study was to determine the effect of RNA interference
against BACE1 (shBACEmiR) on the phospholipid profile in hippocampal CA1 area in
aged 3xTg-AD mice after 6 and 12 months of treatment compared to aged PS1KI mice.
The shBACEmiR treatment induced cognitive function recovery and restored mainly
the fatty acid composition of lysophosphatidylethanolamine and
etherphosphatidylethanolamine, reduced the cPLA2's phosphorylation, down
regulated the levels of arachidonic acid and COX2 in the hippocampi of 3xTg-AD
mice. Together, our findings suggest, for the first time, that BACE1 silencing
restores phospholipids composition which could favor the recovery of cellular
homeostasis and cognitive function in the hippocampus of triple transgenic AD
mice.
PMID- 27891076
TI - Recombinant Adeno-Associated Virus Serotype 6 (rAAV6) Potently and Preferentially
Transduces Rat Astrocytes In vitro and In vivo.
AB - Recombinant adeno-associated virus vectors are an increasingly popular tool for
gene delivery to the CNS because of their non-pathological nature, low
immunogenicity, and ability to stably transduce dividing and non-dividing cells.
One of the limitations of rAAVs is their preferential tropism for neuronal cells.
Glial cells, specifically astrocytes, appear to be infected at low rates. To
overcome this limitation, previous studies utilized rAAVs with astrocyte-specific
promoters or assorted rAAV serotypes and pseudotypes with purported selectivity
for astrocytes. Yet, the reported glial infection rates are not consistent from
study to study. In the present work, we tested seven commercially available
recombinant serotypes- rAAV1, 2, and 5 through 9, for their ability to transduce
primary rat astrocytes [visualized via viral expression of green fluorescent
protein (GFP)]. In cell cultures, rAAV6 consistently demonstrated the highest
infection rates, while rAAV2 showed astrocytic transduction in some, but not all,
of the tested viral batches. To verify that all rAAV constructs utilized by us
were viable and effective, we confirmed high infectivity rates in retinal
pigmented epithelial cells (ARPE-19), which are known to be transduced by
numerous rAAV serotypes. Based on the in vitro results, we next tested the cell
type tropism of rAAV6 and rAAV2 in vivo, which were both injected in the barrel
cortex at approximately equal doses. Three weeks later, the brains were sectioned
and immunostained for viral GFP and the neuronal marker NeuN or the astrocytic
marker GFAP. We found that rAAV6 strongly and preferentially transduced
astrocytes (>90% of cells in the virus-infected areas), but not neurons (~10%
infection rate). On the contrary, rAAV2 preferentially infected neurons (~65%),
but not astrocytes (~20%). Overall, our results suggest that rAAV6 can be used as
a tool for manipulating gene expression (either delivery or knockdown) in rat
astrocytes in vivo.
PMID- 27891070
TI - Monoaminergic Mechanisms in Epilepsy May Offer Innovative Therapeutic Opportunity
for Monoaminergic Multi-Target Drugs.
AB - A large body of experimental and clinical evidence has strongly suggested that
monoamines play an important role in regulating epileptogenesis, seizure
susceptibility, convulsions, and comorbid psychiatric disorders commonly seen in
people with epilepsy (PWE). However, neither the relative significance of
individual monoamines nor their interaction has yet been fully clarified due to
the complexity of these neurotransmitter systems. In addition, epilepsy is
diverse, with many different seizure types and epilepsy syndromes, and the role
played by monoamines may vary from one condition to another. In this review, we
will focus on the role of serotonin, dopamine, noradrenaline, histamine, and
melatonin in epilepsy. Recent experimental, clinical, and genetic evidence will
be reviewed in consideration of the mutual relationship of monoamines with the
other putative neurotransmitters. The complexity of epileptic pathogenesis may
explain why the currently available drugs, developed according to the classic
drug discovery paradigm of "one-molecule-one-target," have turned out to be
effective only in a percentage of PWE. Although, no antiepileptic drugs currently
target specifically monoaminergic systems, multi-target directed ligands acting
on different monoaminergic proteins, present on both neurons and glia cells, may
represent a new approach in the management of seizures, and their generation as
well as comorbid neuropsychiatric disorders.
PMID- 27891078
TI - Neural and Response Correlations to Complex Natural Sounds in the Auditory
Midbrain.
AB - How natural communication sounds are spatially represented across the inferior
colliculus, the main center of convergence for auditory information in the
midbrain, is not known. The neural representation of the acoustic stimuli results
from the interplay of locally differing input and the organization of spectral
and temporal neural preferences that change gradually across the nucleus. This
raises the question of how similar the neural representation of the communication
sounds is across these gradients of neural preferences, and whether it also
changes gradually. Analyzed neural recordings were multi-unit cluster spike
trains from guinea pigs presented with a spectrotemporally rich set of eleven
species-specific communication sounds. Using cross-correlation, we analyzed the
response similarity of spiking activity across a broad frequency range for
neurons of similar and different frequency tuning. Furthermore, we separated the
contribution of the stimulus to the correlations to investigate whether
similarity is only attributable to the stimulus, or, whether interactions exist
between the multi-unit clusters that lead to neural correlations and whether
these follow the same representation as the response correlations. We found that
similarity of responses is dependent on the neurons' spatial distance for
similarly and differently frequency-tuned neurons, and that similarity decreases
gradually with spatial distance. Significant neural correlations exist, and
contribute to the total response similarity. Our findings suggest that for multi
unit clusters in the mammalian inferior colliculus, the gradual response
similarity with spatial distance to natural complex sounds is shaped by neural
interactions and the gradual organization of neural preferences.
PMID- 27891073
TI - A Hitchhiker's Guide to Functional Magnetic Resonance Imaging.
AB - Functional Magnetic Resonance Imaging (fMRI) studies have become increasingly
popular both with clinicians and researchers as they are capable of providing
unique insights into brain functions. However, multiple technical considerations
(ranging from specifics of paradigm design to imaging artifacts, complex protocol
definition, and multitude of processing and methods of analysis, as well as
intrinsic methodological limitations) must be considered and addressed in order
to optimize fMRI analysis and to arrive at the most accurate and grounded
interpretation of the data. In practice, the researcher/clinician must choose,
from many available options, the most suitable software tool for each stage of
the fMRI analysis pipeline. Herein we provide a straightforward guide designed to
address, for each of the major stages, the techniques, and tools involved in the
process. We have developed this guide both to help those new to the technique to
overcome the most critical difficulties in its use, as well as to serve as a
resource for the neuroimaging community.
PMID- 27891077
TI - Presynaptic G Protein-Coupled Receptors: Gatekeepers of Addiction?
AB - Drug abuse and addiction cause widespread social and public health problems, and
the neurobiology underlying drug actions and drug use and abuse is an area of
intensive research. Drugs of abuse alter synaptic transmission, and these actions
contribute to acute intoxication as well as the chronic effects of abused
substances. Transmission at most mammalian synapses involves neurotransmitter
activation of two receptor subtypes, ligand-gated ion channels that mediate fast
synaptic responses and G protein-coupled receptors (GPCRs) that have slower
neuromodulatory actions. The GPCRs represent a large proportion of
neurotransmitter receptors involved in almost all facets of nervous system
function. In addition, these receptors are targets for many pharmacotherapeutic
agents. Drugs of abuse directly or indirectly affect neuromodulation mediated by
GPCRs, with important consequences for intoxication, drug taking and responses to
prolonged drug exposure, withdrawal and addiction. Among the GPCRs are several
subtypes involved in presynaptic inhibition, most of which are coupled to the
Gi/o class of G protein. There is increasing evidence that these presynaptic Gi/o
coupled GPCRs have important roles in the actions of drugs of abuse, as well as
behaviors related to these drugs. This topic will be reviewed, with particular
emphasis on receptors for three neurotransmitters, Dopamine (DA; D1- and D2-like
receptors), Endocannabinoids (eCBs; CB1 receptors) and glutamate (group II
metabotropic glutamate (mGlu) receptors). The focus is on recent evidence from
laboratory animal models (and some evidence in humans) implicating these
receptors in the acute and chronic effects of numerous abused drugs, as well as
in the control of drug seeking and taking. The ability of drugs targeting these
receptors to modify drug seeking behavior has raised the possibility of using
compounds targeting these receptors for addiction pharmacotherapy. This topic is
also discussed, with emphasis on development of mGlu2 positive allosteric
modulators (PAMs).
PMID- 27891080
TI - Enhanced Burst-Suppression and Disruption of Local Field Potential Synchrony in a
Mouse Model of Focal Cortical Dysplasia Exhibiting Spike-Wave Seizures.
AB - Focal cortical dysplasias (FCDs) are a common cause of brain seizures and are
often associated with intractable epilepsy. Here we evaluated aberrant brain
neurophysiology in an in vivo mouse model of FCD induced by neonatal freeze
lesions (FLs) to the right cortical hemisphere (near S1). Linear multi-electrode
arrays were used to record extracellular potentials from cortical and subcortical
brain regions near the FL in anesthetized mice (5-13 months old) followed by 24 h
cortical electroencephalogram (EEG) recordings. Results indicated that FL animals
exhibit a high prevalence of spontaneous spike-wave discharges (SWDs),
predominately during sleep (EEG), and an increase in the incidence of hyper
excitable burst/suppression activity under general anesthesia (extracellular
recordings, 0.5%-3.0% isoflurane). Brief periods of burst activity in the local
field potential (LFP) typically presented as an arrhythmic pattern of increased
theta-alpha spectral peaks (4-12 Hz) on a background of low-amplitude delta
activity (1-4 Hz), were associated with an increase in spontaneous spiking of
cortical neurons, and were highly synchronized in control animals across
recording sites in both cortical and subcortical layers (average cross
correlation values ranging from +0.73 to +1.0) with minimal phase shift between
electrodes. However, in FL animals, cortical vs. subcortical burst activity was
strongly out of phase with significantly lower cross-correlation values compared
to controls (average values of -0.1 to +0.5, P < 0.05 between groups). In
particular, a marked reduction in the level of synchronous burst activity was
observed, the closer the recording electrodes were to the malformation (Pearson's
Correlation = 0.525, P < 0.05). In a subset of FL animals (3/9), burst activity
also included a spike or spike-wave pattern similar to the SWDs observed in
unanesthetized animals. In summary, neonatal FLs increased the hyperexcitable
pattern of burst activity induced by anesthesia and disrupted field potential
synchrony between cortical and subcortical brain regions near the site of the
cortical malformation. Monitoring the altered electrophysiology of burst activity
under general anesthesia with multi-dimensional micro-electrode arrays may serve
to define distinct neurophysiological biomarkers of epileptogenesis in human
brain and improve techniques for surgical resection of epileptogenic malformed
brain tissue.
PMID- 27891081
TI - The Brain of the Archerfish Toxotes chatareus: A Nissl-Based Neuroanatomical
Atlas and Catecholaminergic/Cholinergic Systems.
AB - Over recent years, the seven-spot archerfish (Toxotes chatareus) has emerged as a
new model for studies in visual and behavioral neuroscience thanks to its unique
hunting strategy. Its natural ability to spit at insects outside of water can be
used in the laboratory for well controlled behavioral experiments where the fish
is trained to aim at targets on a screen. The need for a documentation of the
neuroanatomy of this animal became critical as more research groups use it as a
model. Here we present an atlas of adult T. chatareus specimens caught in the
wild in South East Asia. The atlas shows representative sections of the brain and
specific structures revealed by a classic Nissl staining as well as corresponding
schematic drawings. Additional immunostainings for catecholaminergic and
cholinergic systems were conducted to corroborate the identification of certain
nuclei and the data of a whole brain scanner is available online. We describe the
general features of the archerfish brain as well as its specificities, especially
for the visual system and compare the neuroanatomy of the archerfish with other
teleosts. This atlas of the archerfish brain shows all levels of the neuraxis and
intends to provide a solid basis for further neuroscientific research on T.
chatareus, in particular electrophysiological studies.
PMID- 27891082
TI - Reward and Behavioral Factors Contributing to the Tonic Activity of Monkey
Pedunculopontine Tegmental Nucleus Neurons during Saccade Tasks.
AB - The pedunculopontine tegmental nucleus (PPTg) in the brainstem plays a role in
controlling reinforcement learning and executing conditioned behavior. We
previously examined the activity of PPTg neurons in monkeys during a reward
conditioned, visually guided saccade task, and reported that a population of
these neurons exhibited tonic responses throughout the task period. These tonic
responses might depend on prediction of the upcoming reward, successful execution
of the task, or both. Here, we sought to further distinguish these factors and to
investigate how each contributes to the tonic neuronal activity of the PPTg. In
our normal visually guided saccade task, the monkey initially fixated on the
central fixation target (FT), then made saccades to the peripheral saccade target
and received a juice reward after the saccade target disappeared. Most of the
tonic activity terminated shortly after the reward delivery, when the monkey
broke fixation. To distinguish between reward and behavioral epochs, we then
changed the task sequence for a block of trials, such that the saccade target
remained visible after the reward delivery. Under these visible conditions, the
monkeys tended to continue fixating on the saccade target even after the reward
delivery. Therefore, the prediction of the upcoming reward and the end of an
individual trial were separated in time. Regardless of the task conditions, half
of the tonically active PPTg neurons terminated their activity around the time of
the reward delivery, consistent with the view that PPTg neurons might send reward
prediction signals until the time of reward delivery, which is essential for
computing reward prediction error in reinforcement learning. On the other hand,
the other half of the tonically active PPTg neurons changed their activity
dependent on the task condition. In the normal condition, the tonic responses
terminated around the time of the reward delivery, while in the visible
condition, the activity continued until the disappearance of the saccade target
(ST) after reward delivery. Thus, for these neurons, the tonic activity might be
related to maintaining attention to complete fixation behavior. These results
suggest that, in addition to the reward value information, some PPTg neurons
might contribute to the execution of conditioned task behavior.
PMID- 27891083
TI - Toward a P300 Based Brain-Computer Interface for Aphasia Rehabilitation after
Stroke: Presentation of Theoretical Considerations and a Pilot Feasibility Study.
AB - People with post-stroke motor aphasia know what they would like to say but cannot
express it through motor pathways due to disruption of cortical circuits. We
present a theoretical background for our hypothesized connection between
attention and aphasia rehabilitation and suggest why in this context, Brain
Computer Interface (BCI) use might be beneficial for patients diagnosed with
aphasia. Not only could BCI technology provide a communication tool, it might
support neuronal plasticity by activating language circuits and thereby boost
aphasia recovery. However, stroke may lead to heterogeneous symptoms that might
hinder BCI use, which is why the feasibility of this approach needs to be
investigated first. In this pilot study, we included five participants diagnosed
with post-stroke aphasia. Four participants were initially unable to use the
visual P300 speller paradigm. By adjusting the paradigm to their needs,
participants could successfully learn to use the speller for communication with
accuracies up to 100%. We describe necessary adjustments to the paradigm and
present future steps to investigate further this approach.
PMID- 27891079
TI - Contribution of TMS and rTMS in the Understanding of the Pathophysiology and in
the Treatment of Dystonia.
AB - Dystonias represent a heterogeneous group of movement disorders responsible for
sustained muscle contraction, abnormal postures, and muscle twists. It can affect
focal or segmental body parts or be generalized. Primary dystonia is the most
common form of dystonia but it can also be secondary to metabolic or structural
dysfunction, the consequence of a drug's side-effect or of genetic origin. The
pathophysiology is still not elucidated. Based on lesion studies, dystonia has
been regarded as a pure motor dysfunction of the basal ganglia loop. However,
basal ganglia lesions do not consistently produce dystonia and lesions outside
basal ganglia can lead to dystonia; mild sensory abnormalities have been reported
in the dystonic limb and imaging studies have shown involvement of multiple other
brain regions including the cerebellum and the cerebral motor, premotor and
sensorimotor cortices. Transcranial magnetic stimulation (TMS) is a non-invasive
technique of brain stimulation with a magnetic field applied over the cortex
allowing investigation of cortical excitability. Hyperexcitability of
contralateral motor cortex has been suggested to be the trigger of focal
dystonia. High or low frequency repetitive TMS (rTMS) can induce excitatory or
inhibitory lasting effects beyond the time of stimulation and protocols have been
developed having either a positive or a negative effect on cortical excitability
and associated with prevention of cell death, gamma-aminobutyric acid (GABA)
interneurons mediated inhibition and brain-derived neurotrophic factor
modulation. rTMS studies as a therapeutic strategy of dystonia have been
conducted to modulate the cerebral areas involved in the disease. Especially,
when applied on the contralateral (pre)-motor cortex or supplementary motor area
of brains of small cohorts of dystonic patients, rTMS has shown a beneficial
transient clinical effect in association with restrained motor cortex
excitability. TMS is currently a valuable tool to improve our understanding of
the pathophysiology of dystonia but large controlled studies using sham
stimulation are still necessary to delineate the place of rTMS in the therapeutic
strategy of dystonia. In this review, we will focus successively on the use of
TMS as a tool to better understand pathophysiology, and the use of rTMS as a
therapeutic strategy.
PMID- 27891084
TI - Differential Effects of Visual-Acoustic Biofeedback Intervention for Residual
Speech Errors.
AB - Recent evidence suggests that the incorporation of visual biofeedback
technologies may enhance response to treatment in individuals with residual
speech errors. However, there is a need for controlled research systematically
comparing biofeedback versus non-biofeedback intervention approaches. This study
implemented a single-subject experimental design with a crossover component to
investigate the relative efficacy of visual-acoustic biofeedback and traditional
articulatory treatment for residual rhotic errors. Eleven child/adolescent
participants received ten sessions of visual-acoustic biofeedback and 10 sessions
of traditional treatment, with the order of biofeedback and traditional phases
counterbalanced across participants. Probe measures eliciting untreated rhotic
words were administered in at least three sessions prior to the start of
treatment (baseline), between the two treatment phases (midpoint), and after
treatment ended (maintenance), as well as before and after each treatment
session. Perceptual accuracy of rhotic production was assessed by outside
listeners in a blinded, randomized fashion. Results were analyzed using a
combination of visual inspection of treatment trajectories, individual effect
sizes, and logistic mixed-effects regression. Effect sizes and visual inspection
revealed that participants could be divided into categories of strong responders
(n = 4), mixed/moderate responders (n = 3), and non-responders (n = 4).
Individual results did not reveal a reliable pattern of stronger performance in
biofeedback versus traditional blocks, or vice versa. Moreover, biofeedback
versus traditional treatment was not a significant predictor of accuracy in the
logistic mixed-effects model examining all within-treatment word probes. However,
the interaction between treatment condition and treatment order was significant:
biofeedback was more effective than traditional treatment in the first phase of
treatment, and traditional treatment was more effective than biofeedback in the
second phase. This is consistent with existing theory and data suggesting that
detailed knowledge of performance feedback is most effective in the early stages
of motor learning. Further research is needed to confirm that an initial phase of
biofeedback has a facilitative effect, and to determine the optimal duration of
biofeedback treatment. In addition, there is a strong need for correlational
studies to examine which individuals with residual speech errors are most likely
to respond to treatment.
PMID- 27891085
TI - Pain Perception Can Be Modulated by Mindfulness Training: A Resting-State fMRI
Study.
AB - The multi-dimensional nature of pain renders difficult a holistic understanding
of it. The conceptual framework of pain is said to be cognitive-evaluative, in
addition to being sensory-discriminative and affective-motivational. To compare
participants' brain-behavior response before and after a 6-week mindfulness-based
stress reduction training course on mindfulness in relation to pain modulation,
three questionnaires (the Dallas Pain Questionnaire, Short Form McGill Pain
Questionnaire-SFMPQ, and Kentucky Inventory of Mindfulness) as well as resting
state functional magnetic resonance imaging were administered to participants,
divided into a pain-afflicted group (N = 18) and a control group (N = 16). Our
results showed that the pain-afflicted group experienced significantly less pain
after the mindfulness treatment than before, as measured by the SFMPQ. In
conjunction, an increased connection from the anterior insular cortex (AIC) to
the dorsal anterior midcingulate cortex (daMCC) was observed in the post-training
pain-afflicted group and a significant correlation was found between AIC-daMCC
connectivity and SFMPQ scores. The results suggest that mindfulness training can
modulate the brain network dynamics underlying the subjective experience of pain.
PMID- 27891087
TI - A Monte Carlo Evaluation of Weighted Community Detection Algorithms.
AB - The past decade has been marked with a proliferation of community detection
algorithms that aim to organize nodes (e.g., individuals, brain regions,
variables) into modular structures that indicate subgroups, clusters, or
communities. Motivated by the emergence of big data across many fields of
inquiry, these methodological developments have primarily focused on the
detection of communities of nodes from matrices that are very large. However, it
remains unknown if the algorithms can reliably detect communities in smaller
graph sizes (i.e., 1000 nodes and fewer) which are commonly used in brain
research. More importantly, these algorithms have predominantly been tested only
on binary or sparse count matrices and it remains unclear the degree to which the
algorithms can recover community structure for different types of matrices, such
as the often used cross-correlation matrices representing functional connectivity
across predefined brain regions. Of the publicly available approaches for
weighted graphs that can detect communities in graph sizes of at least 1000,
prior research has demonstrated that Newman's spectral approach (i.e., Leading
Eigenvalue), Walktrap, Fast Modularity, the Louvain method (i.e., multilevel
community method), Label Propagation, and Infomap all recover communities
exceptionally well in certain circumstances. The purpose of the present Monte
Carlo simulation study is to test these methods across a large number of
conditions, including varied graph sizes and types of matrix (sparse count,
correlation, and reflected Euclidean distance), to identify which algorithm is
optimal for specific types of data matrices. The results indicate that when the
data are in the form of sparse count networks (such as those seen in diffusion
tensor imaging), Label Propagation and Walktrap surfaced as the most reliable
methods for community detection. For dense, weighted networks such as correlation
matrices capturing functional connectivity, Walktrap consistently outperformed
the other approaches for recovering communities.
PMID- 27891086
TI - Circuits Regulating Pleasure and Happiness-Mechanisms of Depression.
AB - According to our model of the regulation of appetitive-searching vs. distress
avoiding behaviors, the motivation to display these essential conducts is
regulated by two parallel cortico-striato-thalamo-cortical, re-entry circuits,
including the core and the shell parts of the nucleus accumbens, respectively. An
entire series of basal ganglia, running from the caudate nucleus on one side, to
the centromedial amygdala on the other side, controls the intensity of these
reward-seeking and misery-fleeing behaviors by stimulating the activity of the
(pre)frontal and limbic cortices. Hyperactive motivation to display behavior that
potentially results in reward induces feelings of hankering (relief leads to
pleasure). Hyperactive motivation to exhibit behavior related to avoidance of
misery results in dysphoria (relief leads to happiness). These two systems
collaborate in a reciprocal fashion. In clinical depression, a mismatch exists
between the activities of these two circuits: the balance is shifted to the
misery-avoiding side. Five theories have been developed to explain the mechanism
of depressive mood disorders, including the monoamine, biorhythm, neuro
endocrine, neuro-immune, and kindling/neuroplasticity theories. This paper
describes these theories in relationship to the model (described above) of the
regulation of reward-seeking vs. misery-avoiding behaviors. Chronic stress that
leads to structural changes may induce the mismatch between the two systems. This
mismatch leads to lack of pleasure, low energy, and indecisiveness, on one hand,
and dysphoria, continuous worrying, and negative expectations on the other hand.
The neuroplastic effects of monoamines, cortisol, and cytokines may mediate the
induction of these structural alterations. Long-term exposure to stressful
situations (particularly experienced during childhood) may lead to increased
susceptibility for developing this condition. This hypothesis opens up the
possibility of treating depression with psychotherapy. Genetic and other
biological factors (toxic, infectious, or traumatic) may increase sensitivity to
the induction of relevant neuroplastic changes. Reversal or compensation of these
neuroplastic adjustments may explain the effects of biological therapies in
treating depression.
PMID- 27891088
TI - An EMG Interface for the Control of Motion and Compliance of a Supernumerary
Robotic Finger.
AB - In this paper, we propose a novel electromyographic (EMG) control interface to
control motion and joints compliance of a supernumerary robotic finger. The
supernumerary robotic fingers are a recently introduced class of wearable
robotics that provides users additional robotic limbs in order to compensate or
augment the existing abilities of natural limbs without substituting them. Since
supernumerary robotic fingers are supposed to closely interact and perform
actions in synergy with the human limbs, the control principles of extra finger
should have similar behavior as human's ones including the ability of regulating
the compliance. So that, it is important to propose a control interface and to
consider the actuators and sensing capabilities of the robotic extra finger
compatible to implement stiffness regulation control techniques. We propose EMG
interface and a control approach to regulate the compliance of the device through
servo actuators. In particular, we use a commercial EMG armband for gesture
recognition to be associated with the motion control of the robotic device and
surface one channel EMG electrodes interface to regulate the compliance of the
robotic device. We also present an updated version of a robotic extra finger
where the adduction/abduction motion is realized through ball bearing and spur
gears mechanism. We have validated the proposed interface with two sets of
experiments related to compensation and augmentation. In the first set of
experiments, different bimanual tasks have been performed with the help of the
robotic device and simulating a paretic hand since this novel wearable system can
be used to compensate the missing grasping abilities in chronic stroke patients.
In the second set, the robotic extra finger is used to enlarge the workspace and
manipulation capability of healthy hands. In both sets, the same EMG control
interface has been used. The obtained results demonstrate that the proposed
control interface is intuitive and can successfully be used, not only to control
the motion of a supernumerary robotic finger but also to regulate its compliance.
The proposed approach can be exploited also for the control of different wearable
devices that has to actively cooperate with the human limbs.
PMID- 27891090
TI - Disrupted Nodal and Hub Organization Account for Brain Network Abnormalities in
Parkinson's Disease.
AB - The recent application of graph theory to brain networks promises to shed light
on complex diseases such as Parkinson's disease (PD). This study aimed to
investigate functional changes in sensorimotor and cognitive networks in
Parkinsonian patients, with a focus on inter- and intra-connectivity organization
in the disease-associated nodal and hub regions using the graph theoretical
analyses. Resting-state functional MRI data of a total of 65 participants,
including 23 healthy controls (HCs) and 42 patients, were investigated in 120
nodes for local efficiency, betweenness centrality, and degree. Hub regions were
identified in the HC and patient groups. We found nodal and hub changes in
patients compared with HCs, including the right pre-supplementary motor area
(SMA), left anterior insula, bilateral mid-insula, bilateral dorsolateral
prefrontal cortex (DLPFC), and right caudate nucleus. In general, nodal regions
within the sensorimotor network (i.e., right pre-SMA and right mid-insula)
displayed weakened connectivity, with the former node associated with more severe
bradykinesia, and impaired integration with default mode network regions. The
left mid-insula also lost its hub properties in patients. Within the executive
networks, the left anterior insular cortex lost its hub properties in patients,
while a new hub region was identified in the right caudate nucleus, paralleled by
an increased level of inter- and intra-connectivity in the bilateral DLPFC
possibly representing compensatory mechanisms. These findings highlight the
diffuse changes in nodal organization and regional hub disruption accounting for
the distributed abnormalities across brain networks and the clinical
manifestations of PD.
PMID- 27891089
TI - Effect of Probiotic Supplementation on Cognitive Function and Metabolic Status in
Alzheimer's Disease: A Randomized, Double-Blind and Controlled Trial.
AB - : Alzheimer's disease (AD) is associated with severe cognitive impairments as
well as some metabolic defects. Scant studies in animal models indicate a link
between probiotics and cognitive function. This randomized, double-blind, and
controlled clinical trial was conducted among 60 AD patients to assess the
effects of probiotic supplementation on cognitive function and metabolic status.
The patients were randomly divided into two groups (n = 30 in each group)
treating with either milk (control group) or a mixture of probiotics (probiotic
group). The probiotic supplemented group took 200 ml/day probiotic milk
containing Lactobacillus acidophilus, Lactobacillus casei, Bifidobacterium
bifidum, and Lactobacillus fermentum (2 * 109 CFU/g for each) for 12 weeks. Mini
mental state examination (MMSE) score was recorded in all subjects before and
after the treatment. Pre- and post-treatment fasting blood samples were obtained
to determine the related markers. After 12 weeks intervention, compared with the
control group (-5.03% +/- 3.00), the probiotic treated (+27.90% +/- 8.07)
patients showed a significant improvement in the MMSE score (P <0.001). In
addition, changes in plasma malondialdehyde (-22.01% +/- 4.84 vs. +2.67% +/- 3.86
MUmol/L, P <0.001), serum high-sensitivity C-reactive protein (-17.61% +/- 3.70
vs. +45.26% +/- 3.50 MUg/mL, P <0.001), homeostasis model of assessment-estimated
insulin resistance (+28.84% +/- 13.34 vs. +76.95% +/- 24.60, P = 0.002), Beta
cell function (+3.45% +/- 10.91 vs. +75.62% +/- 23.18, P = 0.001), serum
triglycerides (-20.29% +/- 4.49 vs. -0.16% +/- 5.24 mg/dL, P = 0.003), and
quantitative insulin sensitivity check index (-1.83 +/- 1.26 vs. -4.66 +/- 1.70,
P = 0.006) in the probiotic group were significantly varied compared to the
control group. We found that the probiotic treatment had no considerable effect
on other biomarkers of oxidative stress and inflammation, fasting plasma glucose,
and other lipid profiles. Overall, the current study demonstrated that probiotic
consumption for 12 weeks positively affects cognitive function and some metabolic
statuses in the AD patients. CLINICAL TRIAL REGISTRATION: http://www.irct.ir/,
IRCT201511305623N60.
PMID- 27891091
TI - Amyloid Plaques in Retina for Diagnosis in Alzheimer's Patients: a Meta-Analysis.
AB - Background: Detection of retinal beta-amyloid (Abeta) peptide accumulation is a
novel diagnostic method for Alzheimer's disease (AD), but there is, as yet, no
conclusive evidence of its accuracy. Aim: To identify the diagnostic accuracy of
pathological retinal Abeta detection for AD by a meta-analytic approach. Methods:
Electronic and reference searches were conducted to identify studies related to
the diagnostic effects of retinal Abeta detection in AD that met pre-defined
inclusion criteria. The QUADAS-2 tool was employed to assess the risk of bias,
and Review Manager plus the Open Meta-Analyst were used to perform the data
analysis. Results: From 493 unduplicated reports, five studies with small sample
sizes were included in this review. Six staining methods were employed. The
eligible studies showed extremely broad ranges of sensitivity (0-1.00) and
specificity (0.50-1.00) with substantial heterogeneity. The estimates of positive
likelihood ratio (PLR), negative likelihood ratio (NLR), diagnostic odds ratio
(DOR) were also extremely varied (from 0.71 to 11.57 for PLR, from 0.04 to 1.11
for NLR, and from 0.69 to 297.00 for DOR). Conclusions: The limited number of
eligible studies and their methodological heterogeneity make it impossible to
come to a conclusion whether pathological retinal Abeta detection is an effective
diagnostic tool for AD. More studies, especially large surveys investigating
retina Abeta load with quantitative methods among consecutive or random samples,
are needed to determine the accuracy of Abeta detection for diagnosing AD.
PMID- 27891092
TI - Dihydrotanshinone I Attenuates Atherosclerosis in ApoE-Deficient Mice: Role of
NOX4/NF-kappaB Mediated Lectin-Like Oxidized LDL Receptor-1 (LOX-1) of the
Endothelium.
AB - Dihydrotanshinone I (DHT) is a natural compound extracted from Salvia
miltiorrhiza Bunge which has been widely used for treating cardiovascular
diseases. However, its role in atherosclerosis remains unclear. In this study,
the effect of DHT on atherosclerosis were investigated using apolipoprotein E
deficient (ApoE-/-) mice and endothelial cells. In lipopolysaccharide (LPS)
stimulated human umbilical vein endothelial cells (HUVECs), DHT (10 nM) decreased
lectin-like ox-LDL receptor-1 (LOX-1) and NADPH oxidase 4 (NOX4) expression,
reactive oxygen species (ROS) production, NF-kappaB nuclear translocation, ox-LDL
endocytosis and monocytes adhesion. Silence NOX4 inhibited LPS-induced LOX-1
expression, NF-kappaB nuclear translocation, ox-LDL endocytosis and monocytes
adhesion. In ApoE-/- mice fed with an atherogenic diet, DHT (10 and 25 mg kg-1)
significantly attenuated atherosclerotic plaque formation, altered serum lipid
profile, decreased oxidative stress and shrunk necrotic core areas. The enhanced
expression of LOX-1, NOX4, and NF-kappaB in aorta was also dramatically inhibited
by DHT. In conclusion, these results suggested that DHT showed anti
atherosclerotic activity through inhibition of LOX-1 mediated by NOX4/NF-kappaB
signaling pathways both in vitro and in vivo. This finding suggested that DHT
might be used as a potential vascular protective candidate for the treatment of
atherosclerosis.
PMID- 27891093
TI - Targeting Strategies for Renal Cell Carcinoma: From Renal Cancer Cells to Renal
Cancer Stem Cells.
AB - Renal cell carcinoma (RCC) is a common form of urologic tumor that originates
from the highly heterogeneous epithelium of renal tubules. Over the last decade,
targeting therapies to renal cancer cells have transformed clinical care for RCC.
Recently, it was proposed that renal cancer stem cells (CSCs) isolated from renal
carcinomas were responsible for driving tumor growth and resistance to
conventional chemotherapy and radiotherapy, according to the theory of CSCs; this
has provided the rationale for therapies targeting this aggressive cell
population. Precise identification of renal CSC populations and the complete cell
hierarchy will accurately inform characterization of disease subtypes. This will
ultimately contribute to more personalized and targeted therapies. Here, we
summarize potential targeting strategies for renal cancer cells and renal CSCs,
including tyrosine kinase inhibitors, mammalian target of rapamycin inhibitors
(mTOR), interleukins, CSC marker inhibitors, bone morphogenetic protein-2,
antibody drug conjugates, and nanomedicine. In conclusion, targeting therapies
for RCC represent new directions for exploration and clinical investigation and
they plant a seed of hope for advanced clinical care.
PMID- 27891094
TI - Anti-Acne Activity of Italian Medicinal Plants Used for Skin Infection.
AB - Propionibacterium acnes is implicated in the pathogenesis of acne vulgaris, which
impacts >85% of teenagers. Novel therapies are in high demand and an
ethnopharmacological approach to discovering new plant sources of anti-acne
therapeutics could contribute to filling this void in effective therapies. The
aims of our study were two-fold: (1) To determine if species identified in
ethnopharmacological field studies as having traditional uses for skin and soft
tissue infection (SSTI) exhibit significantly more activity against P. acnes than
species with no such reported use; and (2) Chemically characterize active
extracts and assess their suitability for future investigation. Extracts of
Italian medicinal (for acne and other skin infection) and randomly collected
plants and fungi were screened for growth-inhibitory and anti-biofilm activity in
P. acnes using broth microdilution methods. Bioactive extracts were chemically
characterized by HPLC and examined for cytotoxicity against human keratinocytes
(HaCaTs). Following evaluation of 157 extracts from 10 fungi and 58 plants, we
identified crude extracts from seven species exhibiting growth inhibitory
activity (MICs 64-256 MUg mL-1). All active extracts were examined for
cytotoxicity against HaCaTs; extracts from one fungal and one plant species were
toxic (IC50 256 MUg mL-1). HPLC analysis with chemical standards revealed many of
these extracts contained chlorogenic acid, p-coumaric acid, ellagic acid, gallic
acid, and tannic acid. In conclusion, species used in traditional medicine for
the skin exhibited significantly greater (p < 0.05) growth inhibitory and biofilm
eradication activity than random species, supporting the validity of an
ethnobotanical approach to identifying new therapeutics. The anti-acne activity
of three extracts is reported for the first time: Vitis vinifera leaves,
Asphodelus microcarpus leaves, and Vicia sativa aerial parts.
PMID- 27891095
TI - Differential RNA Expression Profile of Skeletal Muscle Induced by Experimental
Autoimmune Myasthenia Gravis in Rats.
AB - The differential susceptibility of skeletal muscle by myasthenia gravis (MG) is
not well understood. We utilized RNA expression profiling of extraocular muscle
(EOM), diaphragm (DIA), and extensor digitorum (EDL) of rats with experimental
autoimmune MG (EAMG) to evaluate the hypothesis that muscles respond
differentially to injury produced by EAMG. EAMG was induced in female Lewis rats
by immunization with acetylcholine receptor purified from the electric organ of
the Torpedo. Six weeks later after rats had developed weakness and serum
antibodies directed against the AChR, animals underwent euthanasia and RNA
profiling performed on DIA, EDL, and EOM. Profiling results were validated by
qPCR. Across the three muscles between the experiment and control groups, 359
probes (1.16%) with greater than 2-fold changes in expression in 7 of 9 series
pairwise comparisons from 31,090 probes were identified with approximately two
thirds being increased. The three muscles shared 16 genes with increased
expression and 6 reduced expression. Functional annotation demonstrated that
these common expression changes fell predominantly into categories of metabolism,
stress response, and signaling. Evaluation of specific gene function indicated
that EAMG led to a change to oxidative metabolism. Genes related to muscle
regeneration and suppression of immune response were activated. Evidence of a
differential immune response among muscles was not evident. Each muscle had a
distinct RNA profile but with commonality in gene categories expressed that are
focused on muscle repair, moderation of inflammation, and oxidative metabolism.
PMID- 27891096
TI - Systematic Characterization of Dynamic Parameters of Intracellular Calcium
Signals.
AB - Dynamic processes, such as intracellular calcium signaling, are hallmark of
cellular biology. As real-time imaging modalities become widespread, a need for
analytical tools to reliably characterize time-series data without prior
knowledge of the nature of the recordings becomes more pressing. The goal of this
study is to develop a signal-processing algorithm for MATLAB that autonomously
computes the parameters characterizing prominent single transient responses (TR)
and/or multi-peaks responses (MPR). The algorithm corrects for signal
contamination and decomposes experimental recordings into contributions from
drift, TRs, and MPRs. It subsequently provides numerical estimates for the
following parameters: time of onset after stimulus application, activation time
(time for signal to increase from 10 to 90% of peak), and amplitude of response.
It also provides characterization of the (i) TRs by quantifying their area under
the curve (AUC), response duration (time between 1/2 amplitude on ascent and
descent of the transient), and decay constant of the exponential decay region of
the deactivation phase of the response, and (ii) MPRs by quantifying the number
of peaks, mean peak magnitude, mean periodicity, standard deviation of
periodicity, oscillatory persistence (time between first and last discernable
peak), and duty cycle (fraction of period during which system is active) for all
the peaks in the signal, as well as coherent oscillations (i.e., deterministic
spikes). We demonstrate that the signal detection performance of this algorithm
is in agreement with user-mediated detection and that parameter estimates
obtained manually and algorithmically are correlated. We then apply this
algorithm to study how metabolic acidosis affects purinergic (P2) receptor
mediated calcium signaling in osteoclast precursor cells. Our results reveal that
acidosis significantly attenuates the amplitude and AUC calcium responses at high
ATP concentrations. Collectively, our data validated this algorithm as a general
framework for comprehensively analyzing dynamic time-series.
PMID- 27891098
TI - Deceptive Manipulation of Competitive Starting Strategies Influences Subsequent
Pacing, Physiological Status, and Perceptual Responses during Cycling Time
Trials.
AB - Little is currently known regarding competitor influence on pacing at the start
of an event and in particular the subsequent effect on the remaining distance.
The purpose of the present study was to investigate the influence of starting
pace on the physiological and psychological responses during cycling time trials
(TT) utilizing an innovative approach allowing pace to be accurately and
dynamically replicated, as well as deceptively manipulated. Ten competitive male
cyclists completed five 16.1 km TT, two baseline trials performed alone (BLs),
and three with a simulated, dynamic avatar of which they were to match the pace
of for the initial 4 km. The avatar represented either the cyclist's fastest BL
performance (NORM), 105% (FAST), or 95% (SLOW), of fastest BL performance (FBL).
Physiological and psychological responses were measured every quartile of the TT.
Despite manipulating a starting speed of +/- 5% of fastest previous performance,
there was no effect on overall 16.1 km TT performance. Manipulated starting
strategies did however evoke different physiological and perceptual responses.
Whole trial differences found that SLOW produced lower HR, VO2, BLa and RPE than
FBL (p <= 0.03) and higher SE than FAST (p <= 0.03). Additionally, FAST had
greater internal attention than NORM (p < 0.04). Over time all psychological and
physiological variables had a significant condition * quartile interaction in the
initial or second quartile mediated by the prescribed starting strategies.
Furthermore, RPE, affect, and internal attention remained elevated throughout
FAST despite an attenuation in pace during self-selection of pace. There were no
differences in performance time when manipulating a 16.1 km cycling TT starting
strategy. A slow start, encouraged greater positive perceptions, and less
negative physiological consequences than a faster start, and produces no
impairment to performance time. It would therefore be considered an advantage in
a non-drafting event, not to follow pace of fellow, superior competitors at the
start of an event but perform a more negative pacing strategy, with the potential
for a greater speed increase against opponents in the latter stages.
PMID- 27891097
TI - Molecular Characterization of Aquaporin 1 and Aquaporin 3 from the Gills of the
African Lungfish, Protopterus annectens, and Changes in Their Branchial mRNA
Expression Levels and Protein Abundance during Three Phases of Aestivation.
AB - African lungfishes can undergo long periods of aestivation on land during
drought. During aestivation, lungfishes are confronted with desiccation and
dehydration, and their gills become non-functional and covered with a thick layer
of dried mucus. Aquaporins (Aqps) are a superfamily of integral membrane proteins
which generally facilitate the permeation of water through plasma membranes. This
study aimed to obtain the complete cDNA coding sequences of aqp1 and aqp3 from
the gills of Protopterus annectens, and to determine their branchial mRNA and
protein expression levels during the induction, maintenance and arousal phases of
aestivation. Dendrogramic analyses of the deduced Aqp1 and Aqp3 amino acid
sequences of P. annectens revealed their close relationships with those of
Latimeria chalumnae and tetrapods. During the induction phase, there were
significant decreases in the transcript levels of aqp1 and aqp3 in the gills of
P. annectens, but the branchial Aqp1 and Aqp3 protein abundance remained
unchanged. As changes in transcription might precede changes in translation, this
could be regarded as an adaptive response to decrease the protein abundance of
Aqp1 and Aqp3 in the subsequent maintenance phase of aestivation. As expected,
the branchial transcript levels and protein abundance of aqp1/Aqp1 and aqp3/Aqp3
were significantly down-regulated during the maintenance phase, probably
attributable to the shutdown of branchial functions and the cessation of volume
regulation of branchial epithelial cells. Additionally, these changes could
reduce the loss of water through branchial epithelial surfaces, supplementing the
anti-desiccating property of the dried mucus. Upon arousal, it was essential for
the lungfish to restore branchial functions. Indeed, the protein abundance of
Aqp1 recovered partially, with complete recovery of mRNA expression level and
protein abundance of Aqp3, in the gills of P. annectens after 3 days of arousal.
These results provide insights into how P. annectens regulates branchial Aqp
expression to cope with desiccation and rehydration during different phases of
aestivation.
PMID- 27891099
TI - Reduced Phrenic Motoneuron Recruitment during Sustained Inspiratory Threshold
Loading Compared to Single-Breath Loading: A Twitch Interpolation Study.
AB - In humans, inspiratory constraints engage cortical networks involving the
supplementary motor area. Functional magnetic resonance imaging (fMRI) shows that
the spread and intensity of the corresponding respiratory-related cortical
activation dramatically decrease when a discrete load becomes sustained. This has
been interpreted as reflecting motor cortical reorganization and automatisation,
but could proceed from sensory and/or affective habituation. To corroborate the
existence of motor reorganization between single-breath and sustained inspiratory
loading (namely changes in motor neurones recruitment), we conducted a diaphragm
twitch interpolation study based on the hypothesis that motor reorganization
should result in changes in the twitch interpolation slope. Fourteen healthy
subjects (age: 21-40 years) were studied. Bilateral phrenic stimulation was
delivered at rest, upon prepared and targeted voluntary inspiratory efforts
("vol"), upon unprepared inspiratory efforts against a single-breath inspiratory
threshold load ("single-breath"), and upon sustained inspiratory efforts against
the same type of load ("continuous"). The slope of the relationship between
diaphragm twitch transdiaphragmatic pressure and the underlying
transdiaphragmatic pressure was -1.1 +/- 0.2 during "vol," -1.5 +/- 0.7 during
"single-breath," and -0.6 +/- 0.4 during "continuous" (all slopes expressed in
percent of baseline.percent of baseline-1) all comparisons significant at the 5%
level. The contribution of the diaphragm to inspiration, as assessed by the
gastric pressure to transdiaphragmatic pressure ratio, was 31 +/- 17% during
"vol," 22 +/- 16% during "single-breath" (p = 0.13), and 19 +/- 9% during
"continuous" (p = 0.0015 vs. "vol"). This study shows that the relationship
between the amplitude of the transdiaphragmatic pressure produced by a diaphragm
twitch and its counterpart produced by the underlying diaphragm contraction is
not unequivocal. If twitch interpolation is interpreted as reflecting motoneuron
recruitment, this study supports motor reorganization compatible with "diaphragm
sparing" when an inspiratory threshold load becomes sustained.
PMID- 27891100
TI - A Review about Functional Illiteracy: Definition, Cognitive, Linguistic, and
Numerical Aspects.
AB - Formally, availability of education for children has increased around the world
over the last decades. However, despite having a successful formal education
career, adults can become functional illiterates. Functional illiteracy means
that a person cannot use reading, writing, and calculation skills for his/her own
and the community's development. Functional illiteracy has considerable negative
effects not only on personal development, but also in economic and social terms.
Although functional illiteracy has been highly publicized in mass media in the
recent years, there is limited scientific knowledge about the people termed
functional illiterates; definition, assessment, and differential diagnoses with
respect to related numerical and linguistic impairments are rarely studied and
controversial. The first goal of our review is to give a comprehensive overview
of the research on functional illiteracy by describing gaps in knowledge within
the field and to outline and address the basic questions concerning who can be
considered as functional illiterates: (1) Do they possess basic skills? (2) In
which abilities do they have the largest deficits? (3) Are numerical and
linguistic deficits related? (4) What is the fundamental reason for their
difficulties? (5) Are there main differences between functional illiterates,
illiterates, and dyslexics? We will see that despite partial evidence, there is
still much research needed to answer these questions. Secondly, we emphasize the
timeliness for a new and more precise definition that results in uniform
sampling, better diagnosis, conclusion, and intervention. We propose the
following working definition as the result of the review: functional illiteracy
is the incapability to understand complex texts despite adequate schooling, age,
language skills, elementary reading skills, and IQ. These inabilities must also
not be fully explained by sensory, domain-general cognitive, neurological or
mental disorders. In sum, we suggest that functional illiteracy must be more
thoroughly understood and assessed from a theoretical, empirical, and diagnostic
perspective.
PMID- 27891101
TI - Spatio-Temporal Structure, Path Characteristics, and Perceptual Grouping in
Immediate Serial Spatial Recall.
AB - Immediate serial spatial recall measures the ability to retain sequences of
locations in short-term memory and is considered the spatial equivalent of digit
span. It is tested by requiring participants to reproduce sequences of movements
performed by an experimenter or displayed on a monitor. Different organizational
factors dramatically affect serial spatial recall but they are often confounded
or underspecified. Untangling them is crucial for the characterization of working
memory models and for establishing the contribution of structure and memory
capacity to spatial span. We report five experiments assessing the relative role
and independence of factors that have been reported in the literature. Experiment
1 disentangled the effects of spatial clustering and path-length by manipulating
the distance of items displayed on a touchscreen monitor. Long-path sequences
segregated by spatial clusters were compared with short-path sequences not
segregated by clusters. Recall was more accurate for sequences segregated by
clusters independently from path-length. Experiment 2 featured conditions where
temporal pauses were introduced between or within cluster boundaries during the
presentation of sequences with the same paths. Thus, the temporal structure of
the sequences was either consistent or inconsistent with a hierarchical
representation based on segmentation by spatial clusters but the effect of
structure could not be confounded with effects of path-characteristics. Pauses at
cluster boundaries yielded more accurate recall, as predicted by a hierarchical
model. In Experiment 3, the systematic manipulation of sequence structure, path
length, and presence of path-crossings of sequences showed that structure
explained most of the variance, followed by the presence/absence of path
crossings, and path-length. Experiments 4 and 5 replicated the results of the
previous experiments in immersive virtual reality navigation tasks where the
viewpoint of the observer changed dynamically during encoding and recall. This
suggested that the effects of structure in spatial span are not dependent on
perceptual grouping processes induced by the aerial view of the stimulus array
typically afforded by spatial recall tasks. These results demonstrate the
independence of coding strategies based on structure from effects of path
characteristics and perceptual grouping in immediate serial spatial recall.
PMID- 27891102
TI - The Agreement between Parent-Reported and Directly Measured Child Language and
Parenting Behaviors.
AB - Parenting behaviors are commonly targeted in early interventions to improve
children's language development. Accurate measurement of both parenting behaviors
and children's language outcomes is thus crucial for sensitive assessment of
intervention outcomes. To date, only a small number of studies have compared
parent-reported and directly measured behaviors, and these have been hampered by
small sample sizes and inaccurate statistical techniques, such as correlations.
The Bland-Altman Method and Reduced Major Axis regression represent more reliable
alternatives because they allow us to quantify fixed and proportional bias
between measures. In this study, we draw on data from two Australian early
childhood cohorts (N = 201 parents and slow-to-talk toddlers aged 24 months; and
N = 218 parents and children aged 6-36 months experiencing social adversity) to
(1) examine agreement and quantify bias between parent-reported and direct
measures, and (2) to determine socio-demographic predictors of the differences
between parent-reported and direct measures. Measures of child language and
parenting behaviors were collected from parents and their children. Our findings
support the utility of the Bland-Altman Method and Reduced Major Axis regression
in comparing measurement methods. Results indicated stronger agreement between
parent-reported and directly measured child language, and poorer agreement
between measures of parenting behaviors. Child age was associated with difference
scores for child language; however, the direction varied for each cohort. Parents
who rated their child's temperament as more difficult tended to report lower
language scores on the parent questionnaire, compared to the directly measured
scores. Older parents tended to report lower parenting responsiveness on the
parent questionnaire, compared to directly measured scores. Finally, speaking a
language other than English was associated with less responsive parenting
behaviors on the videotaped observation compared to the parent questionnaire.
Variation in patterns of agreement across the distribution of scores highlighted
the importance of assessing agreement comprehensively, providing strong evidence
that simple correlations are grossly insufficient for method comparisons. We
discuss implications for researchers and clinicians, including guidance for
measurement selection, and the potential to reduce financial and time-related
expenses and improve data quality. Further research is required to determine
whether findings described here are reflected in more representative populations.
PMID- 27891103
TI - Aww: The Emotion of Perceiving Cuteness.
PMID- 27891104
TI - Hoarding Symptoms Are Not Exclusive to Hoarders.
AB - Hoarding disorder (HD) was originally conceptualized as a subcategory of
obsessive compulsive disorder (OCD), and numerous studies have in fact focused
exclusively on investigating the comorbidity between OCD and HD. Hoarding
behavior can nevertheless also be found in other clinical populations and in
particular in patients with eating disorders (ED), anxiety disorders (AD), major
depression (MD), and psychotic disorders (PD). The current study was carried out
with the aim of investigating, using a validated instrument such as the Saving
Inventory-Revised (SI-R), the presence of HD symptoms in patients diagnosed with
ED, AD, MD, and PD. Hoarding symptomatology was also assessed in groups of self
identified hoarders and healthy controls. The results revealed that 22.5% of the
ED patients exceeded the cut-off for the diagnosis of HD, followed by 7.7% of the
patients with MD, 7.4% of the patients with AD, and 5.9% of the patients with PD.
The patients with ED had significantly higher SI-R scores than the other groups
in the Acquisition and Difficulty Discarding scales while the AD, MD, and PD
patients were characterized exclusively by Difficulty Discarding. These data
suggest to clinicians that hoarding symptoms should be assessed in other types of
patients and especially in those affected by Bulimia and Binge eating.
PMID- 27891105
TI - Global Cue Inconsistency Diminishes Learning of Cue Validity.
AB - In daily life, we make decisions that are associated with probabilistic outcomes
(e.g., the chance of rain today). People search for and utilize information that
validly predicts an outcome (i.e., we look for dark clouds to indicate the
possibility of rain). In the current study (N = 107), we present a two-stage
learning task that examines how participants learn and utilize predictive
information within a probabilistic learning environment. In the first stage,
participants select one of three cues that gives predictive information about the
outcome of the second stage. Participants then use this information to predict
the outcome in stage two, for which they receive feedback. Critically, only one
of the three cues in stage one gives valid predictive information about the
outcome in stage two. Participants must differentiate the valid from non-valid
cues and select this cue on subsequent trials in order to inform their prediction
of the outcome in stage two. The validity of this predictive information,
however, is reinforced with varying levels of probabilistic feedback (i.e., 75,
85, 95, 100%). A second manipulation involved changing the consistency of the
predictive information in stage one and the outcome in stage two. The results
show that participants, with higher levels of probabilistic feedback, learned to
utilize the valid cue. In inconsistent task conditions, however, participants
were significantly less successful in utilizing higher validity cues. We
interpret this result as implying that learning in probabilistic categorization
is based on developing a representation of the task that allows for goal-directed
action.
PMID- 27891106
TI - Episodic and Semantic Memory Contribute to Familiar and Novel Episodic Future
Thinking.
AB - Increasing evidence indicates that episodic future thinking (EFT) relies on both
episodic and semantic memory; however, event familiarity may importantly affect
the extent to which episodic and semantic memory contribute to EFT. To test this
possibility, two behavioral experiments were conducted. In Experiment 1, we
directly compared the proportion of episodic and semantic memory used in an EFT
task. The results indicated that more episodic memory was used when imagining
familiar future events compared with novel future events. Conversely,
significantly more semantic memory was used when imagining novel events compared
with familiar events. Experiment 2 aimed to verify the results of Experiment 1.
In Experiment 2, we found that familiarity moderated the effect of priming the
episodic memory system on EFT; particularly, it increased the time required to
construct a standard familiar episodic future event, but did not significantly
affect novel episodic event reaction time. Collectively, these findings support
the hypothesis that event familiarity importantly moderates episodic and semantic
memory's contribution to EFT.
PMID- 27891107
TI - Internet-Communication Disorder: It's a Matter of Social Aspects, Coping, and
Internet-Use Expectancies.
AB - Online communication applications such as Facebook, WhatsApp, and Twitter are
some of the most frequently used Internet applications. There is a growing amount
of individuals suffering diminished control over their use of online
communication applications which leads to diverse negative consequences in
offline life. This could be referred to as Internet-communication disorder (ICD).
The current study investigates the role of individual characteristics (e.g.,
psychopathological symptoms, feelings of loneliness) and specific cognitions. In
a sample of 485 participants a structural equation model was tested to
investigate predictors and mediators which may predict an excessive use. The
results emphasize that a higher level of social loneliness and less perceived
social support enhance the risk of a pathological use. The effects of
psychopathological symptoms (depression and social anxiety) as well as individual
characteristics (self-esteem, self-efficacy, and stress vulnerability) on ICD
symptoms are mediated by Internet-use expectancies and dysfunctional coping
mechanisms. The results illustrate mediation effects which are in line with the
theoretical model by Brand et al. (2016). As suggested in the model social
aspects seem to be key predictors of ICD symptoms. Further research should
investigate convergent and divergent factors of other types of specific Internet
use disorders.
PMID- 27891108
TI - The Mediating Role of Psychological Adjustment between Peer Victimization and
Social Adjustment in Adolescence.
AB - There is extensive scientific evidence of the serious psychological and social
effects that peer victimization may have on students, among them internalizing
problems such as anxiety or negative self-esteem, difficulties related to low
self-efficacy and lower levels of social adjustment. Although a direct
relationship has been observed between victimization and these effects, it has
not yet been analyzed whether there is a relationship of interdependence between
all these measures of psychosocial adjustment. The aim of this study was to
examine the relationship between victimization and difficulties related to social
adjustment among high school students. To do so, various explanatory models were
tested to determine whether psychological adjustment (negative self-esteem,
social anxiety and social self-efficacy) could play a mediating role in this
relationship, as suggested by other studies on academic adjustment. The sample
comprised 2060 Spanish high school students (47.9% girls; mean age = 14.34). The
instruments used were the scale of victimization from European Bullying
Intervention Project Questionnaire, the negative scale from Rosenberg Self-Esteem
Scale, Social Anxiety Scale for Adolescents and a general item about social self
efficacy, all of them self-reports. Structural equation modeling was used to
analyze the data. The results confirmed the partial mediating role of negative
self-esteem, social anxiety and social self-efficacy between peer victimization
and social adjustment and highlight the importance of empowering victimized
students to improve their self-esteem and self-efficacy and prevent social
anxiety. Such problems lead to the avoidance of social interactions and social
reinforcement, thus making it difficult for these students to achieve adequate
social adjustment.
PMID- 27891109
TI - Pulling Rank: Military Rank Affects Hormone Levels and Fairness in an Allocation
Experiment.
AB - Status within social hierarchies has great effects on the lives of socially
organized mammals. Its effects on human behavior and related physiology, however,
is relatively little studied. The present study investigated the impact of
military rank on fairness and behavior in relation to salivary cortisol (C) and
testosterone (T) levels in male soldiers. For this purpose 180 members of the
Austrian Armed Forces belonging to two distinct rank groups participated in two
variations of a computer-based guard duty allocation experiment. The rank groups
were (1) warrant officers (high rank, HR) and (2) enlisted men (low rank, LR).
One soldier from each rank group participated in every experiment. At the
beginning of the experiment, one participant was assigned to start standing guard
and the other participant at rest. The participant who started at rest could
choose if and when to relieve his fellow soldier and therefore had control over
the experiment. In order to trigger perception of unfair behavior, an additional
experiment was conducted which was manipulated by the experimenter. In the
manipulated version both soldiers started in the standing guard position and were
never relieved, believing that their opponent was at rest, not relieving them.
Our aim was to test whether unfair behavior causes a physiological reaction.
Saliva samples for hormone analysis were collected at regular intervals
throughout the experiment. We found that in the un-manipulated setup high-ranking
soldiers spent less time standing guard than lower ranking individuals. Rank was
a significant predictor for C but not for T levels during the experiment. C
levels in the HR group were higher than in the LR group. C levels were also
elevated in the manipulated experiment compared to the un-manipulated experiment,
especially in LR. We assume that the elevated C levels in HR were caused by HR
feeling their status challenged by the situation of having to negotiate with an
individual of lower military rank. This would be in line with the observation
that unequally shared duty favored HR in most cases. We conclude that social
status, in the form of military rank affects fairness behavior in social
interaction and endocrine levels.
PMID- 27891110
TI - "My Child has Cerebral Palsy": Parental Involvement and Children's School
Engagement.
AB - Engaged students tend to show school-committed behaviors (e.g., attend classes,
get involved with the learning process), high achievement, and sense of
belonging. However, students with disabilities are prone to show a lack of
engagement with school due to the specific difficulties they have to handle. In
fact, children with disabilities are likely to show poor participation in school
when compared with children without disabilities. This poor involvement is
related to their low autonomy to participate in the school activities, which, in
turn, results in low school engagement. Parents play a crucial role in their
children's education. Parental involvement in school activities promotes
autonomous behaviors and, consequently, school engagement. In fact, extant
literature has shown close relationships between parental involvement, school
engagement, and academic performance. Yet, parental involvement in school
activities of children with Cerebral Palsy (CP) has received little direct
attention from researchers. These children tend to display lower participation
due to the motor, or cognitive, impairments that compromise their autonomy, and
have a high likelihood to develop learning disabilities, with special incidences
in reading and arithmetic. Therefore, our aim is twofold, to understand the
parental styles; and how the perceived parental involvement in school activities
is related to their children school engagement. Hence, 19 interviews were
conducted with one of the parents of 19 children with CP. These interviews
explored the school routines of children and the perceived involvement of parents
in those routines. Additionally, children filled out a questionnaire on school
engagement. Results show that the majority of the parents were clustered in the
Autonomy Allowance and Acceptance and Support parental style, and the majority of
their children were perceived as autonomous. Moreover, about a half of the
children reported a high level of school engagement. Finally, neither children's
autonomous behaviors reported by parents, nor parental style, seem to be related
with the children's level of school engagement. Rehabilitation centers and
schools could consider training parents/caregivers focusing on their educational
needs, promotion of reflections on the usefulness of applying autonomy promotion
strategies with their child, and foster their involvement.
PMID- 27891111
TI - Editorial: Brain Oscillations and Predictive Coding in the Context of Different
Conscious States and Sleep-Wake Cycle: Implications for Decision Making and
Psychopathology.
PMID- 27891113
TI - The Impact of Silver Nanoparticles Produced by Bacillus pumilus As Antimicrobial
and Nematicide.
AB - This study evaluates the potential application of silver nanoparticles (AgNPs) as
antimicrobial or nematicidal agents produced by the extremophile Bacillus
pumilus, which was isolated from the alkaline Wadi El-Natrun Lake in Egypt. The
AgNPs were characterized by ultraviolet-visible absorption spectroscopy,
transmission electron microscopy, and energy dispersive x-ray spectroscopy. The
size of AgNPs formed ranged from 20.12 to 29.48 nm. Panagrellus redivivus was
exposed to different concentrations (0, 50, 100, 150, and 200 MUg/mL) of AgNPs in
a 5 mL nematode suspension (1 * 103 mL-1). The best result occurred at AgNP
concentrations of 150 and 200 MUg/mL, with death rates of 80 and 91%,
respectively, following 48 h of exposure. AgNPs also exhibited potent
antimicrobial properties when using Gram-negative and Gram-positive human
pathogens, with MIC and MBC values of 5 and 10 MUg/mL, respectively. These
laboratory assays prove that biologically synthesized AgNPs are an ecofriendly
material that can be used in lieu of solvents or toxic chemicals.
PMID- 27891112
TI - Deep Brain Stimulation for Tourette's Syndrome: The Case for Targeting the
Thalamic Centromedian-Parafascicular Complex.
AB - Tourette's syndrome (TS) is a neurologic condition characterized by both motor
and phonic tics and is typically associated with psychiatric comorbidities,
including obsessive-compulsive disorder/behavior and attention-deficit
hyperactivity disorder, and can be psychologically and socially debilitating. It
is considered a disorder of the cortico-striato-thalamo-cortical circuitry, as
suggested by pathophysiology studies and therapeutic options. Among these, deep
brain stimulation (DBS) of the centromedian-parafascicular nucleus (CM-Pf) of the
thalamus is emerging as a valuable treatment modality for patients affected by
severe, treatment-resistant TS. Here, we review the most recent experimental
evidence for the pivotal role of CM-Pf in the pathophysiology of TS, discuss
potential mechanisms of action that may mediate the effects of CM-Pf DBS in TS,
and summarize its clinical efficacy.
PMID- 27891114
TI - Comparative Analysis of Two Helicobacter pylori Strains using Genomics and Mass
Spectrometry-Based Proteomics.
AB - Helicobacter pylori, a gastroenteric pathogen believed to have co-evolved with
humans over 100,000 years, shows significant genetic variability. This motivates
the study of different H. pylori strains and the diseases they cause in order to
identify determinants for disease evolution. In this study, we used proteomics
tools to compare two H. pylori strains. Nic25_A was isolated in Nicaragua from a
patient with intestinal metaplasia, and P12 was isolated in Europe from a patient
with duodenal ulcers. Differences in the abundance of surface proteins between
the two strains were determined with two mass spectrometry-based methods, label
free quantification (MaxQuant) or the use of tandem mass tags (TMT). Each
approach used a lipid-based protein immobilization (LPITM) technique to enrich
peptides of surface proteins. Using the MaxQuant software, we found 52 proteins
that differed significantly in abundance between the two strains (up- or
downregulated by a factor of 1.5); with TMT, we found 18 proteins that differed
in abundance between the strains. Strain P12 had a higher abundance of proteins
encoded by the cag pathogenicity island, while levels of the acid response
regulator ArsR and its regulatory targets (KatA, AmiE, and proteins involved in
urease production) were higher in strain Nic25_A. Our results show that
differences in protein abundance between H. pylori strains can be detected with
proteomic approaches; this could have important implications for the study of
disease progression.
PMID- 27891115
TI - Methanotrophy under Versatile Conditions in the Water Column of the Ferruginous
Meromictic Lake La Cruz (Spain).
AB - Lakes represent a considerable natural source of methane to the atmosphere
compared to their small global surface area. Methanotrophs in sediments and in
the water column largely control methane fluxes from these systems, yet the
diversity, electron accepting capacity, and nutrient requirements of these
microorganisms have only been partially identified. Here, we investigated the
role of electron acceptors alternative to oxygen and sulfate in microbial methane
oxidation at the oxycline and in anoxic waters of the ferruginous meromictic Lake
La Cruz, Spain. Active methane turnover in a zone extending well below the
oxycline was evidenced by stable carbon isotope-based rate measurements. We
observed a strong methane oxidation potential throughout the anoxic water column,
which did not vary substantially from that at the oxic/anoxic interface. Both in
the redox-transition and anoxic zones, only aerobic methane-oxidizing bacteria
(MOB) were detected by fluorescence in situ hybridization and sequencing
techniques, suggesting a close coupling of cryptic photosynthetic oxygen
production and aerobic methane turnover. Additions of nitrate, nitrite and to a
lesser degree iron and manganese oxides also stimulated bacterial methane
consumption. We could not confirm a direct link between the reduction of these
compounds and methane oxidation and we cannot exclude the contribution of unknown
anaerobic methanotrophs. Nevertheless, our findings from Lake La Cruz support
recent laboratory evidence that aerobic methanotrophs may be able to utilize
alternative terminal electron acceptors under oxygen limitation.
PMID- 27891116
TI - Complete Genome Sequence of Clostridium estertheticum DSM 8809, a Microbe
Identified in Spoiled Vacuum Packed Beef.
AB - Blown pack spoilage (BPS) is a major issue for the beef industry. Etiological
agents of BPS involve members of a group of Clostridium species, including
Clostridium estertheticum which has the ability to produce gas, mostly carbon
dioxide, under anaerobic psychotrophic growth conditions. This spore-forming
bacterium grows slowly under laboratory conditions, and it can take up to 3
months to produce a workable culture. These characteristics have limited the
study of this commercially challenging bacterium. Consequently information on
this bacterium is limited and no effective controls are currently available to
confidently detect and manage this production risk. In this study the complete
genome of C. estertheticum DSM 8809 was determined by SMRT(r) sequencing. The
genome consists of a circular chromosome of 4.7 Mbp along with a single plasmid
carrying a potential tellurite resistance gene tehB and a Tn3-like resolvase
encoding gene tnpR. The genome sequence was searched for central metabolic
pathways that would support its biochemical profile and several enzymes
contributing to this phenotype were identified. Several putative
antibiotic/biocide/metal resistance-encoding genes and virulence factors were
also identified in the genome, a feature that requires further research. The
availability of the genome sequence will provide a basic blueprint from which to
develop valuable biomarkers that could support and improve the detection and
control of this bacterium along the beef production chain.
PMID- 27891117
TI - Disruption of the Gene Encoding Endo-beta-1, 4-Xylanase Affects the Growth and
Virulence of Sclerotinia sclerotiorum.
AB - Sclerotinia sclerotiorum (Lib.) de Bary is a devastating fungal pathogen with
worldwide distribution. S. sclerotiorum is a necrotrophic fungus that secretes
many cell wall-degrading enzymes (CWDEs) that destroy plant's cell-wall
components. Functional analyses of the genes that encode CWDEs will help explain
the mechanisms of growth and pathogenicity of S. sclerotiorum. Here, we isolated
and characterized a gene SsXyl1 that encoded an endo-beta-1, 4-xylanase in S.
sclerotiorum. The SsXyl1 expression showed a slight increase during the
development and germination stages of sclerotia and a dramatic increase during
infection. The expression of SsXyl1 was induced by xylan. The SsXyl1 deletion
strains produce aberrant sclerotia that could not germinate to form apothecia.
The SsXyl1 deletion strains also lost virulence to the hosts. This study
demonstrates the important roles of endo-beta-1, 4-xylanase in the growth and
virulence of S. sclerotiorum.
PMID- 27891119
TI - Variability in DPA and Calcium Content in the Spores of Clostridium Species.
AB - Spores of a number of clostridial species, and their resistance to thermal
treatment is a major concern for the food industry. Spore resistance to wet heat
is related to the level of spore hydration, which is inversely correlated with
the content of calcium and dipicolinic acid (DPA) in the spore core. It is widely
believed that the accumulation of DPA and calcium in the spore core is a
fundamental component of the sporulation process for all endospore forming
species. We have noticed heterogeneity in the heat resistance capacity and
overall DPA/calcium content among the spores of several species belonging to
Clostridium sensu stricto group: two C. acetobutylicum strains (DSM 792 and
1731), two C. beijerinckii strains (DSM 791 and NCIMB 8052), and a C.
collagenovorans strain (DSM 3089). A C. beijerinckii strain (DSM 791) and a C.
acetobutylicum strain (DSM 792) display low Ca and DPA levels. In addition, these
two species, with the lowest average Ca/DPA content amongst the strains
considered, also exhibit minimal heat resistance. There appears to be no
correlation between the Ca/DPA content and the phylogenetic distribution of the
C. acetobutylicum and C. beijerinckii species based either on the 16S rRNA or the
spoVA gene. This finding suggests that a subset of Clostridium sensu stricto
species produce spores with low resistance to wet heat. Additionally, analysis of
individual spores using STEM-EDS and STXM revealed that DPA and calcium levels
can also vary amongst individual spores in a single spore population.
PMID- 27891120
TI - Two Major Clades of Bradyrhizobia Dominate Symbiotic Interactions with Pigeonpea
in Fields of Cote d'Ivoire.
AB - In smallholder farms of Cote d'Ivoire, particularly in the northeast of the
country, Cajanus cajan (pigeonpea) has become an important crop because of its
multiple beneficial facets. Pigeonpea seeds provide food to make ends meet, are
sold on local markets, and aerial parts serve as forage for animals. Since it
fixes atmospheric nitrogen in symbiosis with soil bacteria collectively known as
rhizobia, C. cajan also improves soil fertility and reduces fallow time. Yet,
seed yields remain low mostly because farmers cannot afford chemical fertilizers.
To identify local rhizobial strains susceptible to be used as bio-inoculants to
foster pigeonpea growth, root nodules were collected in six fields of three
geographically distant regions of Cote d'Ivoire. Nodule bacteria were isolated
and characterized using various molecular techniques including matrix-assisted
laser desorption/ionization time of flight (MALDI-TOF) mass spectrometry (MS) and
DNA sequencing. These molecular analyses showed that 63 out of 85 nodule isolates
belonged to two major clades of bradyrhizobia, one of which is known as the
Bradyrhizobium elkanii super clade. Phylogenies of housekeeping (16S-ITS-23S,
rpoB) and symbiotic (nifH) genes were not always congruent suggesting that
lateral transfer of nitrogen fixation genes also contributed to define the genome
of these bradyrhizobial isolates. Interestingly, no field-, plant-, or cultivar
specific effect was found to shape the profiles of symbiotic strains. In
addition, nodule isolates CI-1B, CI-36E, and CI-41A that belong to distinct
species, showed similar symbiotic efficiencies suggesting that any of these
strains might serve as a proficient inoculant for C. cajan.
PMID- 27891118
TI - Colistin in Pig Production: Chemistry, Mechanism of Antibacterial Action,
Microbial Resistance Emergence, and One Health Perspectives.
AB - Colistin (Polymyxin E) is one of the few cationic antimicrobial peptides
commercialized in both human and veterinary medicine. For several years now,
colistin has been considered the last line of defense against infections caused
by multidrug-resistant Gram-negative such as Acinetobacter baumannii, Pseudomonas
aeruginosa, and Klebsiella pneumoniae. Colistin has been extensively used orally
since the 1960s in food animals and particularly in swine for the control of
Enterobacteriaceae infections. However, with the recent discovery of plasmid
mediated colistin resistance encoded by the mcr-1 gene and the higher prevalence
of samples harboring this gene in animal isolates compared to other origins,
livestock has been singled out as the principal reservoir for colistin resistance
amplification and spread. Co-localization of the mcr-1 gene and Extended-Spectrum
beta-Lactamase genes on a unique plasmid has been also identified in many
isolates from animal origin. The use of colistin in pigs as a growth promoter and
for prophylaxis purposes should be banned, and the implantation of sustainable
measures in pig farms for microbial infection prevention should be actively
encouraged and financed. The scientific research should be encouraged in swine
medicine to generate data helping to reduce the exacerbation of colistin
resistance in pigs and in manure. The establishment of guidelines ensuring a
judicious therapeutic use of colistin in pigs, in countries where this drug is
approved, is of crucial importance. The implementation of a microbiological
withdrawal period that could reduce the potential contamination of consumers with
colistin resistant bacteria of porcine origin should be encouraged. Moreover, the
management of colistin resistance at the human-pig-environment interface requires
the urgent use of the One Health approach for effective control and prevention.
This approach needs the collaborative effort of multiple disciplines and close
cooperation between physicians, veterinarians, and other scientific health and
environmental professionals. This review is an update on the chemistry of
colistin, its applications and antibacterial mechanism of action, and on
Enterobacteriaceae resistance to colistin in pigs. We also detail and discuss the
One Health approach and propose guidelines for colistin resistance management.
PMID- 27891121
TI - Hypovirulence of Sclerotium rolfsii Caused by Associated RNA Mycovirus.
AB - Mycoviruses associated with hypovirulence are potential biological control agents
and could be useful to study the pathogenesis of fungal host pathogens.
Sclerotium rolfsii, a pathogenic fungus, causes southern blight in a wide variety
of crops. In this study, we isolated a series of dsRNAs from a debilitated S.
rolfsii strain, BLH-1, which had pronounced phenotypic aberrations including
reduced pathogenicity, mycelial growth and deficient sclerotia production. Virus
curing and horizontal transmission experiments that eliminated or transmitted,
respectively, all dsRNA elements showed that the dsRNAs were involved in the
hypovirulent traits of BLH-1. Ultrastructure examination also showed hyphae
fracture and cytoplasm or organelle degeneration in BLH-1 hyphal cells compared
to the virus-free strain. Three assembled cDNA contigs generated from the cDNA
library cloned from the purified dsRNA indicated that strain BLH-1 was infected
by at least three novel mycoviruses. One has similarity to the hypovirulence
associated Sclerotinia sclerotiorum hypovirus 2 (SsHV2) in the family
Hypoviridae, and the other two are related to two different unclassified dsRNA
mycovirus families. To our knowledge, this is the first report of S. rolfsii
hypovirulence that was correlated with its associated dsRNA.
PMID- 27891123
TI - Editorial: The Role of Microbial Communities in Tropical Ecosystems.
PMID- 27891122
TI - Membrane-Active Epithelial Keratin 6A Fragments (KAMPs) Are Unique Human
Antimicrobial Peptides with a Non-alphabeta Structure.
AB - Antibiotic resistance is a pressing global health problem that threatens millions
of lives each year. Natural antimicrobial peptides and their synthetic
derivatives, including peptoids and peptidomimetics, are promising candidates as
novel antibiotics. Recently, the C-terminal glycine-rich fragments of human
epithelial keratin 6A were found to have bactericidal and cytoprotective
activities. Here, we used an improved 2-dimensional NMR method coupled with a new
protocol for structural refinement by low temperature simulated annealing to
characterize the solution structure of these kerain-derived antimicrobial
peptides (KAMPs). Two specific KAMPs in complex with membrane mimicking sodium
dodecyl sulfate (SDS) micelles displayed amphipathic conformations with only
local bends and turns, and a central 10-residue glycine-rich hydrophobic strip
that is central to bactericidal activity. To our knowledge, this is the first
report of non-alphabeta structure for human antimicrobial peptides. Direct
observation of Staphylococcus aureus and Pseudomonas aeruginosa by scanning and
transmission electron microscopy showed that KAMPs deformed bacterial cell
envelopes and induced pore formation. Notably, in competitive binding
experiments, KAMPs demonstrated binding affinities to LPS and LTA that did not
correlate with their bactericidal activities, suggesting peptide-LPS and peptide
LTA interactions are less important in their mechanisms of action. Moreover,
immunoprecipitation of KAMPs-bacterial factor complexes indicated that membrane
surface lipoprotein SlyB and intracellular machineries NQR sodium pump and
ribosomes are potential molecular targets for the peptides. Results of this study
improve our understanding of the bactericidal function of epithelial cytokeratin
fragments, and highlight an unexplored class of human antimicrobial peptides,
which may serve as non-alphabeta peptide scaffolds for the design of novel
peptide-based antibiotics.
PMID- 27891124
TI - A Novel Cell Type Enables B. subtilis to Escape from Unsuccessful Sporulation in
Minimal Medium.
AB - Sporulation is the most enduring survival strategy developed by several bacterial
species. However, spore development of the model organism Bacillus subtilis has
mainly been studied by means of media or conditions optimized for the induction
of sporogenesis. Here, I show that during prolonged growth during stationary
phase in minimal medium, B. subtilis undergoes an asymmetric cell division that
produces small and round-shaped, DNA containing cells. In contrast to wild-type
cells, mutants harboring spo0A or spoIIIE/sftA double mutations neither sporulate
nor produce this special cell type, providing evidence that the small round cells
emerge from the abortion of endospore formation. In most cases observed, the
small round cells arise in the presence of sigma H but absence of sigma F
activity, different from cases of abortive sporulation described for rich media.
These data suggest that in minimal media, many cells are able to initiate but
fail to complete spore development, and therefore return to normal growth as
rods. This work reveals that the continuation of asymmetric cell division, which
results in the formation of the small round cells, is a way for cells to delay or
escape from-unsuccessful-sporulation. Based on these findings, I suggest to name
the here described cell type as "dwarf cells" to distinguish them from the well
known minicells observed in mutants defective in septum placement or proper
chromosome partitioning.
PMID- 27891125
TI - Poly-gamma-Glutamic Acids Contribute to Biofilm Formation and Plant Root
Colonization in Selected Environmental Isolates of Bacillus subtilis.
AB - Bacillus subtilis is long known to produce poly-gamma-glutamic acids (gamma-PGA)
as one of the major secreted polymeric substances. In B. subtilis, the regulation
of gamma-PGA production and its physiological role are still unclear. B. subtilis
is also capable of forming structurally complex multicellular communities, or
biofilms, in which an extracellular matrix consisting of secreted proteins and
polysaccharides holds individual cells together. Biofilms were shown to
facilitate B. subtilis-plant interactions. In this study, we show that different
environmental isolates of B. subtilis, all capable of forming biofilms, vary
significantly in gamma-PGA production. This is possibly due to differential
regulation of gamma-PGA biosynthesis genes. In many of those environmental
isolates, gamma-PGA seems to contribute to robustness and complex morphology of
the colony biofilms, suggesting a role of gamma-PGA in biofilm formation. Our
evidence further shows that in selected B. subtilis strains, gamma-PGA also plays
a role in root colonization by the bacteria, pinpointing a possible function of
gamma-PGA in B. subtilis-plant interactions. Finally, we found that several
pathways co-regulate both gamma-PGA biosynthesis genes and genes for the biofilm
matrix in B. subtilis, but in an opposing fashion. We discussed potential
biological significance of that.
PMID- 27891126
TI - The Ly49E Receptor Inhibits the Immune Control of Acute Trypanosoma cruzi
Infection.
AB - The protozoan parasite Trypanosoma cruzi circulates in the blood upon infection
and invades various cells. Parasites intensively multiply during the acute phase
of infection and persist lifelong at low levels in tissues and blood during the
chronic phase. Natural killer (NK) and NKT cells play an important role in the
immune control of T. cruzi infection, mainly by releasing the cytokine IFN-gamma
that activates the microbicidal action of macrophages and other cells and shapes
a protective type 1 immune response. The mechanisms by which immune cells are
regulated to produce IFN-gamma during T. cruzi infection are still incompletely
understood. Here, we show that urokinase plasminogen activator (uPA) is induced
early upon T. cruzi infection and remains elevated until day 20 post-infection.
We previously demonstrated that the inhibitory receptor Ly49E, which is
expressed, among others, on NK and NKT cells, is triggered by uPA. Therefore, we
compared wild type (WT) to Ly49E knockout (KO) mice for their control of
experimental T. cruzi infection. Our results show that young, i.e., 4- and 6-week
old, Ly49E KO mice control the infection better than WT mice, indicated by a
lower parasite load and less cachexia. The beneficial effect of Ly49E depletion
is more obvious in 4-week-old male than in female mice and weakens in 8-week-old
mice. In young mice, the lower T. cruzi parasitemia in Ly49E KO mice is
paralleled by higher IFN-gamma production compared to their WT controls. Our data
indicate that Ly49E receptor expression inhibits the immune control of T. cruzi
infection. This is the first demonstration that the inhibitory Ly49E receptor can
interfere with the immune response to a pathogen in vivo.
PMID- 27891129
TI - Immunological and Translational Aspects of NK Cell-Based Antitumor
Immunotherapies.
AB - Natural killer (NK) cells play a pivotal role in the first line of defense
against cancer. NK cells that are deficient in CD3 and a clonal T cell receptor
(TCR) can be subdivided into two major subtypes, CD56dimCD16+ cytotoxic and
CD56brightCD16- immunoregulatory NK cells. Cytotoxic NK cells not only directly
kill tumor cells without previous stimulation by cytotoxic effector molecules,
such as perforin and granzymes or via death receptor interactions, but also act
as regulatory cells for the immune system by secreting cytokines and chemokines.
The aim of this review is to highlight therapeutic strategies utilizing
autologous and allogenic NK cells, combinations of NK cells with monoclonal
antibodies to induce antibody-dependent cellular cytotoxicity, or immune
checkpoint inhibitors. Additionally, we discuss the use of chimeric antigen
receptor-engineered NK cells in cancer immunotherapy.
PMID- 27891128
TI - Immune Imbalances in Non-Alcoholic Fatty Liver Disease: From General Biomarkers
and Neutrophils to Interleukin-17 Axis Activation and New Therapeutic Targets.
AB - Non-alcoholic fatty liver disease (NAFLD) is an increasing problem worldwide and
is associated with negative outcomes such as cirrhosis, hepatocellular carcinoma,
insulin resistance, diabetes, and cardiovascular events. Current evidence shows
that the immune response has an important participation driving the initiation,
maintenance, and progression of the disease. So, various immune imbalances, from
cellular to cytokines levels, have been studied, either for better compression of
the disease pathophysiology or as biomarkers for severity assessment and outcome
prediction. In this article, we performed a thorough review of studies that
evaluated the role of inflammatory/immune imbalances in the NAFLD. At the
cellular level, we gave special focus on the imbalance between neutrophils and
lymphocytes counts (the neutrophil-to-lymphocyte ratio), and that which occurs
between T helper 17 (Th17) and regulatory T cells as emerging biomarkers. By
extension, we reviewed the reflection of these imbalances at the molecular level
through pro-inflammatory cytokines including those involved in Th17
differentiation (IL-6, IL-21, IL-23, and transforming growth factor-beta), and
those released by Th17 cells (IL-17A, IL-17F, IL-21, and IL-22). We gave
particular attention to the role of IL-17, either produced by Th17 cells or
neutrophils, in fibrogenesis and steatohepatitis. Finally, we reviewed the
potential of these pathways as new therapeutic targets in NAFLD.
PMID- 27891130
TI - Molecular Mechanisms That Underlie the Dynamic Adaptation of Innate Monocyte
Memory to Varying Stimulant Strength of TLR Ligands.
AB - In adaptation to rising stimulant strength, innate monocytes can be dynamically
programed to preferentially express either pro- or anti-inflammatory mediators.
Such dynamic innate adaptation or programing may bear profound relevance in host
health and disease. However, molecular mechanisms that govern innate adaptation
to varying strength of stimulants are not well understood. Using
lipopolysaccharide (LPS), the model stimulant of toll-like-receptor 4 (TLR4), we
reported that the expressions of pro-inflammatory mediators are preferentially
sustained in monocytes adapted by lower doses of LPS, and suppressed/tolerized in
monocytes adapted by higher doses of LPS. Mechanistically, monocytes adapted by
super-low dose LPS exhibited higher levels of transcription factor, interferon
regulatory factor 5 (IRF5), and reduced levels of transcriptional modulator B
lymphocyte-induced maturation protein-1 (Blimp-1). Intriguingly, the inflammatory
monocyte adaptation by super-low dose LPS is dependent upon TRAM/TRIF but not
MyD88. Similar to LPS, we also observed biphasic inflammatory adaptation and
tolerance in monocytes challenged with varying dosages of TLR7 agonist. In sharp
contrast, rising doses of TLR3 agonist preferentially caused inflammatory
adaptation without inducing tolerance. At the molecular level, the differential
regulation of IRF5 and Blimp-1 coincides with unique monocyte adaptation dynamics
by TLR4/7 and TLR3 agonists. Our study provides novel clue toward the
understanding of monocyte adaptation and memory toward distinct TLR ligands.
PMID- 27891131
TI - Viral Evasion Strategies in Type I IFN Signaling - A Summary of Recent
Developments.
AB - The immune system protects the organism against infections and the damage
associated with them. The first line of defense against pathogens is the innate
immune response. In the case of a viral infection, it induces the interferon
(IFN) signaling cascade and eventually the expression of type I IFN, which then
causes an antiviral state in the cells. However, many viruses have developed
strategies to counteract this mechanism and prevent the production of IFN. In
order to modulate or inhibit the IFN signaling cascade in their favor, viruses
have found ways to interfere at every single step of the cascade, for example, by
inducing protein degradation or cleavage, or by mediate protein
polyubiquitination. In this article, we will review examples of viruses that
modulate the IFN response and describe the mechanisms they use.
PMID- 27891133
TI - Modeling Long-Term Corn Yield Response to Nitrogen Rate and Crop Rotation.
AB - Improved prediction of optimal N fertilizer rates for corn (Zea mays L.) can
reduce N losses and increase profits. We tested the ability of the Agricultural
Production Systems sIMulator (APSIM) to simulate corn and soybean (Glycine max
L.) yields, the economic optimum N rate (EONR) using a 16-year field-experiment
dataset from central Iowa, USA that included two crop sequences (continuous corn
and soybean-corn) and five N fertilizer rates (0, 67, 134, 201, and 268 kg N ha
1) applied to corn. Our objectives were to: (a) quantify model prediction
accuracy before and after calibration, and report calibration steps; (b) compare
crop model-based techniques in estimating optimal N rate for corn; and (c)
utilize the calibrated model to explain factors causing year to year variability
in yield and optimal N. Results indicated that the model simulated well long-term
crop yields response to N (relative root mean square error, RRMSE of 19.6% before
and 12.3% after calibration), which provided strong evidence that important soil
and crop processes were accounted for in the model. The prediction of EONR was
more complex and had greater uncertainty than the prediction of crop yield (RRMSE
of 44.5% before and 36.6% after calibration). For long-term site mean EONR
predictions, both calibrated and uncalibrated versions can be used as the 16-year
mean differences in EONR's were within the historical N rate error range (40-50
kg N ha-1). However, for accurate year-by-year simulation of EONR the calibrated
version should be used. Model analysis revealed that higher EONR values in years
with above normal spring precipitation were caused by an exponential increase in
N loss (denitrification and leaching) with precipitation. We concluded that long
term experimental data were valuable in testing and refining APSIM predictions.
The model can be used as a tool to assist N management guidelines in the US
Midwest and we identified five avenues on how the model can add value toward
agronomic, economic, and environmental sustainability.
PMID- 27891132
TI - Impact of Chronic HIV/SIV Infection on T Follicular Helper Cell Subsets and
Germinal Center Homeostasis.
AB - The discovery of broad and potent HIV-1 neutralizing antibodies (bNAbs) has
renewed optimism for developing an effective vaccine against HIV-1. The
generation of most bNAbs requires multiple rounds of B cell receptor affinity
maturation, suggesting a crucial role of follicular helper T (Tfh) cells in their
production. However, less than 1% of HIV-infected patients develop bNAbs that
arise late in the course of infection, indicating probable Tfh and B cell
dysfunctions in this context. Since the last few years, many studies have
characterized Tfh cells from lymph nodes and spleen of HIV-infected individuals
and SIV-infected macaques. Various lymphoid Tfh cell subsets have been
identified, including precursor Tfh (pTfh), germinal center Tfh (GC Tfh), and the
regulatory counterpart of Tfh cells, the follicular regulatory T cells. The
latter have been reported to play a crucial role in the control of T and B cell
crosstalk and GC reactions. More recently, circulating Tfh-like cells (cTfh) have
been identified. Meanwhile, advances in single-cell technologies have made
possible to analyze the transcriptional profiles of low abundant cells, such as
Tfh populations. Using transcriptional signatures, we review here the impact of
chronic SIV/HIV infection on Tfh, GC Tfh, pTfh, and cTfh differentiation and
helper T cell functions with regard to their capacity to induce efficient B cell
maturation. We will explore some hypothesis to explain the increased proportion
of Tfh cells reported in chronically infected individuals and the impact on HIV
pathogenesis.
PMID- 27891127
TI - Regulation of Chemokine Activity - A Focus on the Role of Dipeptidyl Peptidase
IV/CD26.
AB - Chemokines are small, chemotactic proteins that play a crucial role in leukocyte
migration and are, therefore, essential for proper functioning of the immune
system. Chemokines exert their chemotactic effect by activation of chemokine
receptors, which are G protein-coupled receptors (GPCRs), and interaction with
glycosaminoglycans (GAGs). Furthermore, the exact chemokine function is modulated
at the level of posttranslational modifications. Among the different types of
posttranslational modifications that were found to occur in vitro and in vivo,
i.e., proteolysis, citrullination, glycosylation, and nitration, NH2-terminal
proteolysis of chemokines has been described most intensively. Since the NH2
terminal chemokine domain mediates receptor interaction, NH2-terminal
modification by limited proteolysis or amino acid side chain modification can
drastically affect their biological activity. An enzyme that has been shown to
provoke NH2-terminal proteolysis of various chemokines is dipeptidyl peptidase IV
or CD26. This multifunctional protein is a serine protease that preferably
cleaves dipeptides from the NH2-terminal region of peptides and proteins with a
proline or alanine residue in the penultimate position. Various chemokines
possess such a proline or alanine residue, and CD26-truncated forms of these
chemokines have been identified in cell culture supernatant as well as in body
fluids. The effects of CD26-mediated proteolysis in the context of chemokines
turned out to be highly complex. Depending on the chemokine ligand, loss of these
two NH2-terminal amino acids can result in either an increased or a decreased
biological activity, enhanced receptor specificity, inactivation of the chemokine
ligand, or generation of receptor antagonists. Since chemokines direct leukocyte
migration in homeostatic as well as pathophysiologic conditions, CD26-mediated
proteolytic processing of these chemotactic proteins may have significant
consequences for appropriate functioning of the immune system. After introducing
the chemokine family together with the GPCRs and GAGs, as main interaction
partners of chemokines, and discussing the different forms of posttranslational
modifications, this review will focus on the intriguing relationship of
chemokines with the serine protease CD26.
PMID- 27891134
TI - Cucumber (Cucumis sativus L.) Nitric Oxide Synthase Associated Gene1 (CsNOA1)
Plays a Role in Chilling Stress.
AB - Nitric oxide (NO) is a gaseous signaling molecule in plants, transducing
information as a result of exposure to low temperatures. However, the underlying
molecular mechanism linking NO with chilling stress is not well understood. Here,
we functionally characterized the cucumber (Cucumis sativus L.) nitric oxide
synthase-associated gene, NITRIC OXIDE ASSOCIATED 1 (CsNOA1). Expression analysis
of CsNOA1, using quantitative real-time PCR, in situ hybridization, and a
promoter::beta-glucuronidase (GUS) reporter assay, revealed that it is expressed
mainly in the root and shoot apical meristem (SAM), and that expression is up
regulated by low temperatures. A CsNOA1-GFP fusion protein was found to be
localized in the mitochondria, and ectopic expression of CsNOA1 in the A.
thaliana noa1 mutant partially rescued the normal phenotype. When overexpressing
CsNOA1 in the Atnoa1 mutant under normal condition, no obvious phenotypic
differences was observed between its wild type and transgenic plants. However,
the leaves from mutant plant grown under chilling conditions showed hydrophanous
spots and wilting. Physiology tolerance markers, chlorophyll fluorescence
parameter (Fv/Fm), and electrolyte leakage, were observed to dramatically change,
compared mutant to overexpressing lines. Transgenic cucumber plants revealed that
the gene is required by seedlings to tolerate chilling stress: constitutive over
expression of CsNOA1 led to a greater accumulation of soluble sugars, starch, and
an up-regulation of Cold-regulatory C-repeat binding factor3 (CBF3) expression as
well as a lower chilling damage index (CI). Conversely, suppression of CsNOA1
expression resulted in the opposite phenotype and a reduced NO content compared
to wild type plants. Those results suggest that CsNOA1 regulates cucumber
seedlings chilling tolerance. Additionally, under normal condition, we took
several classic inhibitors to perform, and detect endogenous NO levels in wild
type cucumber seedling. The results suggest that generation of endogenous NO in
cucumber leaves occurs largely independently in the (CsNOA1) and nitrate
reductase (NR) pathway.
PMID- 27891135
TI - ROS-Mediated Inhibition of S-nitrosoglutathione Reductase Contributes to the
Activation of Anti-oxidative Mechanisms.
AB - Nitric oxide (NO) has emerged as a signaling molecule in plants being involved in
diverse physiological processes like germination, root growth, stomata closing
and response to biotic and abiotic stress. S-nitrosoglutathione (GSNO) as a
biological NO donor has a very important function in NO signaling since it can
transfer its NO moiety to other proteins (trans-nitrosylation). Such trans
nitrosylation reactions are equilibrium reactions and depend on GSNO level. The
breakdown of GSNO and thus the level of S-nitrosylated proteins are regulated by
GSNO-reductase (GSNOR). In this way, this enzyme controls S-nitrosothiol levels
and regulates NO signaling. Here we report that Arabidopsis thaliana GSNOR
activity is reversibly inhibited by H2O2in vitro and by paraquat-induced
oxidative stress in vivo. Light scattering analyses of reduced and oxidized
recombinant GSNOR demonstrated that GSNOR proteins form dimers under both
reducing and oxidizing conditions. Moreover, mass spectrometric analyses revealed
that H2O2-treatment increased the amount of oxidative modifications on Zn2+
coordinating Cys47 and Cys177. Inhibition of GSNOR results in enhanced levels of
S-nitrosothiols followed by accumulation of glutathione. Moreover, transcript
levels of redox-regulated genes and activities of glutathione-dependent enzymes
are increased in gsnor-ko plants, which may contribute to the enhanced resistance
against oxidative stress. In sum, our results demonstrate that reactive oxygen
species (ROS)-dependent inhibition of GSNOR is playing an important role in
activation of anti-oxidative mechanisms to damping oxidative damage and imply a
direct crosstalk between ROS- and NO-signaling.
PMID- 27891136
TI - Characterization of Salinity Tolerance of Transgenic Rice Lines Harboring HsCBL8
of Wild Barley (Hordeum spontanum) Line from Qinghai-Tibet Plateau.
AB - Rice is more sensitive to salinity, particularly at its early vegetative and
later productive stages. Wild plants growing in harsh environments such as wild
barley from Qinghai-Tibet Plateau adapt to the adverse environment with allelic
variations at the loci responsible for stressful environment, which could be used
for rice genetic improvement. In this study, we overexpressed HsCBL8 encoding a
calcium-sensor calcineurin B-like (CBL) protein in rice. The gene was isolated
from XZ166, a wild-barley (Hordeum spontanum) line originated from Qinghai-Tibet
Plateau. We found that XZ166 responded to high NaCl concentration (200 mM) with
more HsCBL8 transcripts than CM72, a cultivated barley line known for salinity
tolerance. XZ166 is significantly different from CM72 with nucleotide sequences
at HsCBL8. The overexpression of HsCBL8 in rice resulted in significant
improvement of water protection in vivo and plasma membrane, more proline
accumulation, and a reduction of overall Na+ uptake but little change in K+
concentration in the plant tissues. Notably, HsCBL8 did not act on some genes
downstream of the rice CBL family genes, suggesting an interesting interaction
between HsCBL8 and unknown factors to be further investigated.
PMID- 27891137
TI - A User's View of the Parameters Derived from the Induction Curves of Maximal
Chlorophyll a Fluorescence: Perspectives for Analyzing Stress.
AB - Analysis of the fast kinetics of the induction curve of maximal fluorescence
represents a relatively recent development for chlorophyll a fluorescence
measurements. The parameters of the so-called JIP-test are exploited by an
increasingly large community of users to assess plant stress and its
consequences. We provide here evidence that these parameters are capable to
distinguish between stresses of different natures or intensities, and between
stressed plants of different genetic background or at different developmental
stages at the time of stress. It is, however, important to keep in mind that the
JIP-test is inherently limited in scope, that it is based on assumptions which
are not fully validated and that precautions must be taken to ensure that
measurements are meaningful. Recent advances suggest that some improvements could
be implemented to increase the reliability of measurements and the pertinence of
the parameters calculated. We moreover advocate for using the JIP-test in
combination with other techniques to build comprehensive pictures of plant
responses to stress.
PMID- 27891138
TI - Arabidopsis Seed Content QTL Mapping Using High-Throughput Phenotyping: The
Assets of Near Infrared Spectroscopy.
AB - Seed storage compounds are of crucial importance for human diet, feed and
industrial uses. In oleo-proteaginous species like rapeseed, seed oil and protein
are the qualitative determinants that conferred economic value to the harvested
seed. To date, although the biosynthesis pathways of oil and storage protein are
rather well-known, the factors that determine how these types of reserves are
partitioned in seeds have to be identified. With the aim of implementing a
quantitative genetics approach, requiring phenotyping of 100s of plants, our
first objective was to establish near-infrared reflectance spectroscopic (NIRS)
predictive equations in order to estimate oil, protein, carbon, and nitrogen
content in Arabidopsis seed with high-throughput level. Our results demonstrated
that NIRS is a powerful non-destructive, high-throughput method to assess the
content of these four major components studied in Arabidopsis seed. With this
tool in hand, we analyzed Arabidopsis natural variation for these four components
and illustrated that they all displayed a wide range of variation. Finally, NIRS
was used in order to map QTL for these four traits using seeds from the
Arabidopsis thaliana Ct-1 * Col-0 recombinant inbred line population. Some QTL co
localized with QTL previously identified, but others mapped to chromosomal
regions never identified so far for such traits. This paper illustrates the
usefulness of NIRS predictive equations to perform accurate high-throughput
phenotyping of Arabidopsis seed content, opening new perspectives in gene
identification following QTL mapping and genome wide association studies.
PMID- 27891139
TI - Regulation of Phytosiderophore Release and Antioxidant Defense in Roots Driven by
Shoot-Based Auxin Signaling Confers Tolerance to Excess Iron in Wheat.
AB - Iron (Fe) is essential but harmful for plants at toxic level. However, how wheat
plants tolerate excess Fe remains vague. This study aims at elucidating the
mechanisms underlying tolerance to excess Fe in wheat. Higher Fe concentration
caused morpho-physiological retardation in BR 26 (sensitive) but not in BR 27
(tolerant). Phytosiderophore and 2-deoxymugineic acid showed no changes in BR 27
but significantly increased in BR 26 due to excess Fe. Further, expression of
TaSAMS. TaDMAS1, and TaYSL15 significantly downregulated in BR 27 roots, while
these were upregulated in BR 26 under excess Fe. It confirms that inhibition of
phytosiderophore directs less Fe accumulation in BR 27. However, phytochelatin
and expression of TaPCS1 and TaMT1 showed no significant induction in response to
excess Fe. Furthermore, excess Fe showed increased catalase, peroxidase, and
glutathione reductase activities along with glutathione, cysteine, and proline
accumulation in roots in BR 27. Interestingly, BR 27 self-grafts and plants
having BR 26 rootstock attached to BR 27 scion had no Fe-toxicity induced adverse
effect on morphology but showed BR 27 type expressions, confirming that shoot
derived signal triggering Fe-toxicity tolerance in roots. Finally, auxin
inhibitor applied with higher Fe concentration caused a significant decline in
morpho-physiological parameters along with increased TaSAMS and TaDMAS1
expression in roots of BR 27, revealing the involvement of auxin signaling in
response to excess Fe. These findings propose that tolerance to excess Fe in
wheat is attributed to the regulation of phytosiderophore limiting Fe acquisition
along with increased antioxidant defense in roots driven by shoot-derived auxin
signaling.
PMID- 27891141
TI - Editorial: Molecular and Biotechnological Advancements in Hypericum Species.
PMID- 27891140
TI - Drought and Heat Differentially Affect XTH Expression and XET Activity and Action
in 3-Day-Old Seedlings of Durum Wheat Cultivars with Different Stress
Susceptibility.
AB - Heat and drought stress have emerged as major constraints for durum wheat
production. In the Mediterranean area, their negative effect on crop productivity
is expected to be exacerbated by the occurring climate change. Xyloglucan
endotransglucosylase/hydrolases (XTHs) are chief enzymes in cell wall remodeling,
whose relevance in cell expansion and morphogenesis suggests a central role in
stress responses. In this work the potential role of XTHs in abiotic stress
tolerance was investigated in durum wheat. The separate effects of dehydration
and heat exposure on XTH expression and its endotransglucosylase (XET) in vitro
activity and in vivo action have been monitored, up to 24 h, in the apical and
sub-apical root regions and shoots excised from 3-day-old seedlings of durum
wheat cultivars differing in stress susceptibility/tolerance. Dehydration and
heat stress differentially influence the XTH expression profiles and the activity
and action of XET in the wheat seedlings, depending on the degree of
susceptibility/tolerance of the cultivars, the organ, the topological region of
the root and, within the root, on the gradient of cell differentiation. The root
apical region was the zone mainly affected by both treatments in all assayed
cultivars, while no change in XET activity was observed at shoot level,
irrespective of susceptibility/tolerance, confirming the pivotal role of the root
in stress perception, signaling, and response. Conflicting effects were observed
depending on stress type: dehydration evoked an overall increase, at least in the
apical region of the root, of XET activity and action, while a significant
inhibition was caused by heat treatment in most cultivars. The data suggest that
differential changes in XET action in defined portions of the root of young durum
wheat seedlings may have a role as a response to drought and heat stress, thus
contributing to seedling survival and crop establishment. A thorough
understanding of the mechanisms underlying these variations could represent the
theoretical basis for implementing breeding strategies to develop new highly
productive hybrids adapted to future climate scenarios.
PMID- 27891142
TI - Genetic Structure and Evolutionary History of Three Alpine Sclerophyllous Oaks in
East Himalaya-Hengduan Mountains and Adjacent Regions.
AB - The East Himalaya-Hengduan Mountains (EH-HM) region has a high biodiversity and
harbors numerous endemic alpine plants. This is probably the result of combined
orographic and climate oscillations occurring since late Tertiary. Here, we
determined the genetic structure and evolutionary history of alpine oak species
(including Quercus spinosa, Quercus aquifolioides, and Quercus rehderiana) using
both cytoplasmic-nuclear markers and ecological niche models (ENMs), and
elucidated the impacts of climate oscillations and environmental heterogeneity on
their population demography. Our results indicate there were mixed genetic
structure and asymmetric contemporary gene flow within them. The ENMs revealed a
similar demographic history for the three species expanded their ranges from the
last interglacial (LIG) to the last glacial maximum (LGM), which was consistent
with effective population sizes changes. Effects of genetic drift and
fragmentation of habitats were responsible for the high differentiation and the
lack of phylogeographic structure. Our results support that geological and
climatic factors since Miocene triggered the differentiation, evolutionary origin
and range shifts of the three oak species in the studied area and also emphasize
that a multidisciplinary approach combining molecular markers, ENMs and
population genetics can yield deep insights into diversification and evolutionary
dynamics of species.
PMID- 27891143
TI - Comparative Physiological and Proteomic Analysis Reveal Distinct Regulation of
Peach Skin Quality Traits by Altitude.
AB - The role of environment in fruit physiology has been established; however,
knowledge regarding the effect of altitude in fruit quality traits is still
lacking. Here, skin tissue quality characters were analyzed in peach fruit (cv.
June Gold), harvested in 16 orchards located in low (71.5 m mean), or high (495 m
mean) altitutes sites. Data indicated that soluble solids concentration and fruit
firmness at commercial harvest stage were unaffected by alitute. Peach grown at
high-altitude environment displayed higher levels of pigmentation and specific
antioxidant-related activity in their skin at the commercial harvest stage. Skin
extracts from distinct developmental stages and growing altitudes exhibited
different antioxidant ability against DNA strand-scission. The effects of
altitude on skin tissue were further studied using a proteomic approach. Protein
expression analysis of the mature fruits depicted altered expression of 42
proteins that are mainly involved in the metabolic pathways of defense, primary
metabolism, destination/storage and energy. The majority of these proteins were
up-regulated at the low-altitude region. High-altitude environment increased the
accumulation of several proteins, including chaperone ClpC, chaperone ClpB,
pyruvate dehydrogenase E1, TCP domain class transcription factor, and
lipoxygenase. We also discuss the altitude-affected protein variations, taking
into account their potential role in peach ripening process. This study provides
the first characterization of the peach skin proteome and helps to improve our
understanding of peach's response to altitude.
PMID- 27891144
TI - A Developmental and Molecular View of Formation of Auxin-Induced Nodule-Like
Structures in Land Plants.
AB - Several studies have shown that plant hormones play important roles during legume
rhizobia symbiosis. For instance, auxins induce the formation of nodule-like
structures (NLSs) on legume roots in the absence of rhizobia. Furthermore, these
NLS can be colonized by nitrogen-fixing bacteria, which favor nitrogen fixation
compared to regular roots and subsequently increase plant yield. Interestingly,
auxin also induces similar NLS in cereal roots. While several genetic studies
have identified plant genes controlling NLS formation in legumes, no studies have
investigated the genes involved in NLS formation in cereals. In this study, first
we established an efficient experimental system to induce NLS in rice roots,
using auxin, 2,4-D, consistently at a high frequency (>90%). We were able to
induce NLS at a high frequency in Medicago truncatula under similar conditions.
NLS were characterized by a broad base, a diffuse meristem, and increased cell
differentiation in the vasculature. Interestingly, NLS formation appeared very
similar in both rice and Medicago, suggesting a similar developmental program. We
show that NLS formation in both rice and Medicago occurs downstream of the common
symbiotic pathway. Furthermore, NLS formation occurs downstream of cytokinin
induced step(s). We performed a comprehensive RNA sequencing experiment to
identify genes differentially expressed during NLS formation in rice and
identified several promising genes for control of NLS based on their biological
and molecular functions. We validated the expression patterns of several genes
using reverse transcription polymerase chain reaction and show varied expression
patterns of these genes during different stages of NLS formation. Finally, we
show that NLS induced on rice roots under these conditions can be colonized by
nitrogen-fixing bacteria, Azorhizobium caulinodans.
PMID- 27891146
TI - A Self-Organizing Incremental Spatiotemporal Associative Memory Networks Model
for Problems with Hidden State.
AB - Identifying the hidden state is important for solving problems with hidden state.
We prove any deterministic partially observable Markov decision processes (POMDP)
can be represented by a minimal, looping hidden state transition model and
propose a heuristic state transition model constructing algorithm. A new
spatiotemporal associative memory network (STAMN) is proposed to realize the
minimal, looping hidden state transition model. STAMN utilizes the neuroactivity
decay to realize the short-term memory, connection weights between different
nodes to represent long-term memory, presynaptic potentials, and synchronized
activation mechanism to complete identifying and recalling simultaneously.
Finally, we give the empirical illustrations of the STAMN and compare the
performance of the STAMN model with that of other methods.
PMID- 27891145
TI - Contrasting Proteomic and Metabolomic Responses of Bermudagrass to Drought and
Salt Stresses.
AB - Bermudagrass (Cynodon dactylon) is one of tolerant grass species to drought and
salt. The comparative analyses of bermudagrass in response to drought and salt
stresses at the physiological, proteomic, and metabolomic levels were performed
in this study. The physiological results indicated that osmolytes accumulation,
ROS level and antioxidant enzyme activities were extensively changed by drought
and salt stresses. Through comparative proteomic analyses, we successfully
identified a total of 77 proteins involved in photosynthesis, oxidative pentose
phosphate, glycolysis, and redox metabolic pathways when exposed to drought and
salt stresses. Among them, 36 proteins were commonly regulated by both
treatments, while other 40 and 13 proteins were specifically regulated by drought
and salt, respectively. Totally 15 proteins were involved in carbon metabolic
pathway. Moreover, contents of 37 metabolites including amino acids, organic
acids, sugars, and sugar alcohols were regulated by drought and salt treatments.
Among them, 18 commonly modulated metabolites were involved in carbon and amino
acid metabolic pathways. Drought treatment for 21 days caused less accumulation
of sugars and sugar alcohols and increased ROS level in bermudagrass which led to
relatively more severe cell membrane reflected by high EL-value and lower
survival rate when compared to 400 mM salt treatment for 21 days. These results
suggested that drought and 400 mM NaCl stresses for 21 days treatment affected
common and specific changes in bermudagrass, which would provide new insights to
understand the underlying molecular mechanisms and metabolic homeostasis of
bermudagrass in responses to abiotic stresses.
PMID- 27891147
TI - Effect of Neoadjuvant Chemoradiotherapy with Capecitabine versus Fluorouracil for
Locally Advanced Rectal Cancer: A Meta-Analysis.
AB - A meta-analysis was carried out to compare the efficacy and safety of
capecitabine plus radiation with 5-fluorouracil (5-FU) plus radiotherapy (RT) as
neoadjuvant treatment in locally advanced rectal cancer (LARC). We searched the
Cochrane database, Ovid, Medline, Embase, ISI databases, and Chinese Biomedical
Literature Database between January 1998 and October 2014. Trials of capecitabine
compared with 5-FU plus RT as neoadjuvant treatment for LARC were considered for
inclusion. RevMan software was used to analyze these data. Nine trials were
included in this meta-analysis, which covered a total of 3141 patients. The meta
analysis showed that capecitabine group had statistically significant better pCR
rates (OR, 1.34; 95% CI, 1.10-1.64; P = 0.003), T downstaging rates (OR, 1.58;
95% CI, 1.22-2.06; P = 0.0007), N downstaging rates (OR, 2.06; 95% CI, 1.34-3.16;
P = 0.001), less distant metastasis (OR, 0.63; 95% CI, 0.44-0.88; P = 0.007), and
lowered leucocytes (OR, 0.25; 95% CI, 0.11-0.54; P = 0.0005), but with higher
incidence of hand-foot syndrome (HFS) (OR, 4.43; 95% CI, 1.59-12.33; P = 0.004).
Capecitabine was more efficient than 5-FU in terms of tumor response in
neoadjuvant treatment for patients with LARC and favourably low toxicity with the
exception of HFS.
PMID- 27891149
TI - Corynebacterium propinquum: A Rare Cause of Prosthetic Valve Endocarditis.
AB - Nondiphtheria Corynebacterium species are often dismissed as culture
contaminants, but they have recently become increasingly recognized as pathologic
organisms. We present the case of a 48-year-old male patient on chronic
prednisone therapy for rheumatoid arthritis with a history of mitral valve
replacement with prosthetic valve. He presented with fever, dizziness, dyspnea on
exertion, intermittent chest pain, and palpitations. Transesophageal
echocardiography revealed two medium-sized densities along the inner aspect of
the sewing ring and one larger density along the atrial surface of the sewing
ring consistent with vegetation. Two separate blood cultures grew Corynebacterium
propinquum, which were sensitive to ceftriaxone but highly resistant to
vancomycin and daptomycin. The patient completed a course of ceftriaxone and
repeat TEE study and after 6 weeks demonstrated near complete resolution of the
vegetation. To our knowledge, this case represents the first in the literature of
Corynebacterium propinquum causing prosthetic valve endocarditis. The ability of
these organisms to cause deep-seated systemic infections should be recognized,
especially in immune-compromised patients.
PMID- 27891148
TI - Can We Accurately Time the Administration of Antenatal Corticosteroids for
Preterm Labor?
AB - Background. Accurate timing of antenatal corticosteroids (ACS) has resulted in
improved neonatal outcomes. Objectives. Our primary objective was to determine
predictors for optimal timing of ACS in women presenting with spontaneous preterm
labor. Study Design. A retrospective cohort study of women receiving ACS for
spontaneous preterm birth was conducted. Women were included if they presented
with preterm labor or preterm premature rupture of membranes. Accurate timing of
ACS was defined as administration within 7 days of delivery. Maternal demographic
and obstetrics characteristics were compared between the groups receiving ACS <=7
days and >7 days from delivery. Statistical analyses were performed using
parametric and nonparametric tests. P < 0.05 was considered significant. Results.
The study included 215 subjects. Median latency from ACS administration to
delivery was 6 days (IQR 32). Accurate timing of ACS occurred in 113 (53%) women
and was associated with rupture of membranes (OR 13.8, 95% CI 5.9-32.6), cervical
change (OR 7.1, 95% CI 3.0-17.1), and cervical dilation >= 2 cm (OR 3.9, 95% CI
1.5-10.3). Conclusions. Rupture of membranes, cervical change, and cervical
dilation >= 2 cm were strong predictors of optimal timing. 53% of women with
preterm labor received ACS optimally.
PMID- 27891150
TI - Splenic Artery Embolization for the Treatment of Gastric Variceal Bleeding
Secondary to Splenic Vein Thrombosis Complicated by Necrotizing Pancreatitis:
Report of a Case.
AB - Splenic vein thrombosis is a relatively common finding in pancreatitis. Gastric
variceal bleeding is a life-threatening complication of splenic vein thrombosis,
resulting from increased blood flow to short gastric vein. Traditionally,
splenectomy is considered the treatment of choice. However, surgery in
necrotizing pancreatitis is dangerous, because of severe inflammation, adhesion,
and bleeding tendency. In the Warshaw operation, gastric variceal bleeding is
rare, even though splenic vein is resected. Because the splenic artery is also
resected, blood flow to short gastric vein is not increased problematically.
Herein, we report a case of gastric variceal bleeding secondary to splenic vein
thrombosis complicated by necrotizing pancreatitis successfully treated with
splenic artery embolization. Splenic artery embolization could be the best
treatment option for gastric variceal bleeding when splenectomy is difficult such
as in case associated with severe acute pancreatitis or associated with severe
adhesion or in patients with high operation risk.
PMID- 27891151
TI - Giant Coronary Artery Aneurysm Causing Acute Anterior Myocardial Infarction.
AB - A 70-year-old man with hypertension was admitted to our coronary ICU with acute
anterior MI. Emergent primary PCI was planned and coronary angiography was
performed. LAD artery was totally occluded in the proximal segment just after a
huge 32 * 26 mm sized aneurysm. Emergent CABG operation was performed in 75
minutes because of multivessel disease including the RCA and left circumflex
artery. Aneurysm was ligated and coronary bypass was performed using LIMA and
saphenous grafts. The postoperative course of the patient was uneventful. He was
discharged with medical therapy including ASA, clopidogrel, and atorvastatin. He
was asymptomatic at his polyclinic visit in the first month.
PMID- 27891152
TI - Venipuncture Induced Complex Regional Pain Syndrome Presenting as Inflammatory
Arthritis.
AB - Venipuncture is one of the most commonly done medical procedures. We report a
unique case of a 23-year-old young male who presented with features suggestive of
inflammatory arthritis. The symptoms, which initially started on the right side,
also involved the other side after a few weeks. Although the patient's symptoms
and signs were simulating inflammatory arthritis, he had atypical features like
poor response to anti-inflammatory medicines and normal laboratory parameters.
His musculoskeletal ultrasonography was also not suggestive of arthritis. His
history was reviewed and on direct questioning he revealed a history of
venipuncture for blood sample withdrawal, done from right antecubital region for
routine health check on the day prior to the onset of symptoms. Complex regional
pain syndrome was suspected and triple-phase radioisotope bone scan was done
which was highly suggestive of this diagnosis. The patient was managed with
multidimensional approach and responded very well to the treatment. Complex
regional pain syndrome is usually not thought of in the initial differential
diagnosis of inflammatory arthritis. In this report we highlight the need to
elicit the often overlooked history of trivial trauma like venipuncture,
especially in atypical cases of arthritis. Also the role of newer diagnostic
modalities in such cases is emphasized.
PMID- 27891153
TI - PAHs Target Hematopoietic Linages in Bone Marrow through Cyp1b1 Primarily in
Mesenchymal Stromal Cells but Not AhR: A Reconstituted In Vitro Model.
AB - 7,12-Dimethylbenz(a)anthracene (DMBA) rapidly suppresses hematopoietic
progenitors, measured as colony forming units (CFU), in mouse bone marrow (BM)
leading to mature cell losses as replenishment fails. These losses are mediated
by Cyp1b1, independent of the AhR, despite induction of Cyp1b1. BM mesenchymal
progenitor cells (MPC) may mediate these responses since basal Cyp1b1 is
minimally induced. PreB colony forming unit activity (PreB CFU) is lost within 24
hours in isolated BM cells (BMC) unless cocultured with cells derived from
primary MPC (BMS2 line). The mouse embryonic OP9 line, which provides more
efficient coculture support, shares similar induction-resistant Cyp1b1
characteristics. This OP9 support is suppressed by DMBA, which is then prevented
by Cyp1b1 inhibitors. OP9-enriched medium partially sustains CFU activities but
loses DMBA-mediated suppression, consistent with mediation by OP9 Cyp1b1. PreB
CFU activity in BMC from Cyp1b1-ko mice has enhanced sensitivity to DMBA. BMC
gene expression profiles identified cytokines and developmental factors that are
substantially changed in Cyp1b1-ko mice. DMBA had few effects in WT mice but
systematically modified many clustered responses in Cyp1b1-ko mice. Typical BMC
AhR-responsive genes were insensitive to Cyp1b1 deletion. TCDD replicated Cyp1b1
interventions, suggesting alternative AhR mediation. Cyp1b1 also diminishes
oxidative stress, a key cause of stem cell instability.
PMID- 27891155
TI - Rabson Mendenhall Syndrome caused by a novel missense mutation.
AB - BACKGROUND: Rabson Mendenhall syndrome is a rare endocrine condition
characterized by severe insulin resistance and hyperglycemia. It occurs due to
mutations in the insulin receptor gene. Few mutations which are associated with
Rabson Mendenhall syndrome have been identified and reported in the past. The
management of this condition is extremely challenging and will need multi
disciplinary approach. CASE PRESENTATION: An 11 year old boy presented with
polyuria and polydipsia. He was noted to have coarse facies, severe acanthosis
nigricans, hypertrichosis, retarded growth and developmental delay.
Investigations revealed severe hyperglycemia which was poorly responsive to high
doses of insulin. A diagnosis of Rabson Mendenhall syndrome was suspected based
on his physical characteristics in the presence of insulin resistance. Genetic
studies revealed a homozygous missense mutation in the Insulin receptor gene
confirming the diagnosis of Rabson Mendenhall syndrome. This is a novel mutation
which has not been reported previously. CONCLUSION: Rabson Mendenhall syndrome
should be suspected in a patient with characteristic physical features, severe
hyperglycemia and insulin resistance. The genetic studies will not only confirm
the diagnosis but also will help in counselling. Wider collaboration is needed to
identify definitive treatment options for managing this rare condition.
PMID- 27891154
TI - Preparation of Ecofriendly Formulations Containing Biologically Active
Monoterpenes with Their Fumigant and Residual Toxicities against Adults of Culex
pipiens.
AB - Different mixtures of monoterpenes (ketone, alcohol, and alkene) were loaded on
paper discs and wax and their knockdown activities were evaluated against Culex
pipiens adults. Some individual monoterpenes were also evaluated by residual
toxicity technique. Citronella oil as a reference was also loaded separately or
in combination with monoterpenes on paper discs and wax. The ketone monoterpenes
mixture (camphor, menthone, carvone, and fenchone) on paper discs was the most
active (KT50 = 17.20 min) followed by ketone monoterpenes with citronella oil
(KT50 = 20.79 min) and citronella oil alone (KT50 = 28.72 min). Wax formulations
proved that the ketone and alcohol (geraniol, thymol, and menthol) monoterpenes
gave the most activity as knockdown (KT50 = 31.79 and 43.39 min, resp.). Alcohol
monoterpenes formulation recorded KT50 = 43.39 min. Residual activity of tested
individual monoterpenes reported that the menthol was more toxic than camphor and
camphene. Generally, this study suggests that the monoterpenes have the
properties, which make them used as eco-friendly compounds in the control
programs of Cx. pipiens adult. The use of paper discs is more applicable than wax
in the adulticidal formulations.
PMID- 27891156
TI - Usefulness of component resolved analysis of cat allergy in routine clinical
practice.
AB - BACKGROUND: Cat allergy is of great importance, and its prevalence is increasing
worldwide. Cat allergens and house dust mite allergens represent the major indoor
allergens; however, they are ubiquitous. Cat sensitization and allergy are known
risk factors for rhinitis, bronchial hyperreactivity and asthma. Thus, the
diagnosis of sensitization to cats is important for any allergist. METHODS: 70
patients with positive skin prick tests for cats were retrospectively compared
regarding their skin prick test results, as well as their specific immunoglobulin
E antibody profiles with regard to their responses to the native cat extract,
rFel d 1, nFel d 2 and rFel d 4. 35 patients were allergic to cats, as determined
by positive anamnesis and/or nasal provocation with cat allergens, and 35
patients exhibited clinically non-relevant sensitization, as indicated by
negative anamnesis and/or a negative nasal allergen challenge. RESULTS: Native
cat extract serology testing detected 100% of patients who were allergic to cats
but missed eight patients who showed sensitization in the skin prick test and did
not have allergic symptoms. The median values of the skin prick test, as well as
those of the specific immunoglobulin E antibodies against the native cat extract,
were significantly higher for allergic patients than for patients with clinically
non-relevant sensitization. Component based diagnostic testing to rFel d 1 was
not as reliable. Sensitization to nFel d 2 and rFel d 4 was seen only in
individual patients. CONCLUSION: Extract based diagnostic methods for identifying
cat allergy and sensitization, such as the skin prick test and native cat extract
serology, remain crucial in routine clinical practice. In our study, component
based diagnostic testing could not replace these methods with regard to the
detection of sensitization to cats and differentiation between allergy and
sensitization without clinical relevance. However, component resolved allergy
diagnostic tools have individual implications, and future studies may facilitate
a better understanding of its use and subsequently may improve the clinical
management of allergic patients.
PMID- 27891158
TI - Natural Active Ingredients for Diabetes and Metabolism Disorders Treatment.
PMID- 27891157
TI - The In Vitro Antimicrobial Effects of Lavandula angustifolia Essential Oil in
Combination with Conventional Antimicrobial Agents.
AB - The paper focuses on the in vitro antimicrobial activity of Lavandula
angustifolia Mill. (lavender) essential oil in combination with four commercial
antimicrobial agents. Stock solutions of chloramphenicol, ciprofloxacin,
nystatin, and fusidic acid were tested in combination with L. angustifolia
essential oil. The antimicrobial activities of the combinations were investigated
against the Gram-positive bacterial strain Staphylococcus aureus (ATCC 6538) and
Gram-negative Pseudomonas aeruginosa (ATCC 27858) and Candida albicans (ATCC
10231) was selected to represent the yeasts. The antimicrobial effect was
performed using the minimum inhibitory concentration (MIC) microdilution assay.
Isobolograms were constructed for varying ratios. The most prominent interaction
was noted when L. angustifolia essential oil was combined with chloramphenicol
and tested against the pathogen P. aeruginosa (SigmaFIC of 0.29). Lavendula
angustifolia essential oil was shown in most cases to interact synergistically
with conventional antimicrobials when combined in ratios where higher volumes of
L. angustifolia essential oil were incorporated into the combination.
PMID- 27891159
TI - Effects of Health Qigong Exercises on Relieving Symptoms of Parkinson's Disease.
AB - The purpose of this study was to investigate the effects of Health Qigong on the
treatment and releasing symptoms of Parkinson's disease (PD). Fifty-four moderate
PD patients (N = 54) were randomly divided into experimental and control groups.
Twenty-eight PD patients were placed in the experimental group in which the
prescribed medication plus Health Qigong exercise will be used as intervention.
The other 26 PD patients as the control group were treated only with regular
medication. Ten-week intervention had been conducted for the study, and
participants completed the scheduled exercises 5 times per week for 60 minutes
each time (10 minutes for warm-up, 40 minutes for the exercise, and 10 minutes
for cooldown). Data which included the muscle hardness, one-legged blind balance,
physical coordination, and stability was collected before, during, and after the
intervention. Comparisons were made between the experimental and control groups
through the Repeated Measures ANOVA. The results showed that PD patients
demonstrate a significant improvement in muscle hardness, the timed "up and go,"
balance, and hand-eye coordination (the turn-over-jars test). There were no
significant differences between the two groups in gender, age, and course of
differences (P < 0.05). The study concluded that Health Qigong exercises could
reduce the symptoms of Parkinson's disease and improve the body functions of PD
patients in both the mild and moderate stages. It can be added as an effective
treatment of rehabilitation therapy for PD.
PMID- 27891160
TI - HIV sero-discordance among married HIV patients initiating anti-retroviral
therapy in northern Vietnam.
AB - BACKGROUND: In many countries in Asia, the HIV epidemic is in a concentrated
phase, with high prevalence in certain risk groups, such as men who inject drugs.
There is also a rapid increase of HIV among women. The latter might be due to
high levels of sero-discordant couples and increasing transmission from male to
female partners over time. METHODS: All adult married patients initiating
antiretroviral treatment at four out-patient clinics in Quang Ninh province in
north-eastern Vietnam between 2007 and 2009 were asked to participate in the
study. Clinical information was extracted from patients' records, and a
structured questionnaire was used to collect social, demographic and economic
data. RESULTS: Two hundred eighty-eight married patients for whom information on
the HIV status of their spouse was available were included in the study. Overall,
the sero-discordance rate was 58%. The sero-discordance rate was significantly
higher among married males, 71% had spouses not infected, than married females,
of whom 18% had spouses not infected. Other factors associated with a high rate
of sero-discordance were injection drug use (IDU) history, tuberculosis (TB)
history and the availability of voluntary counselling and testing (VCT) in
residential locations. High sero-concordance was associated with
college/university education. CONCLUSION: The sero-discordance was significantly
higher among married males than married females. Other factors also related to
high sero-discordance were history of IDU, history of TB and the availability of
VCT in residential locations. In contrast, college/university education and
female sex were significantly related to low sero-discordance. To contain the
increasing HIV prevalence among women, measures should be taken to prevent
transmission among sero-discordant couples. Trial registration NCT01433601.
PMID- 27891162
TI - Nutrition surveillance using a small open cohort: experience from Burkina Faso.
AB - BACKGROUND: Nutritional surveillance remains generally weak and early warning
systems are needed in areas with high burden of acute under-nutrition. In order
to enhance insight into nutritional surveillance, a community-based sentinel
sites approach, known as the Listening Posts (LP) Project, was piloted in Burkina
Faso by Action Contre la Faim (ACF). This paper presents ACF's experience with
the LP approach and investigates potential selection and observational biases.
METHODS: Six primary sampling units (PSUs) were selected in each livelihood zone
using the centric systematic area sampling methodology. In each PSU, 22 children
aged between 6 and 24 months were selected by proximity sampling. The prevalence
of GAM for each month from January 2011 to December 2013 was estimated using a
Bayesian normal-normal conjugate analysis followed by PROBIT estimation. To
validate the LP approach in detecting changes over time, the time trends of MUAC
from LP and from five cross-sectional surveys were modelled using polynomial
regression and compared by using a Wald test. The differences between prevalence
estimates from the two data sources were used to assess selection and
observational biases. RESULTS: The 95 % credible interval around GAM prevalence
estimates using LP approach ranged between +6.5 %/-6.0 % on a prevalence of 36.1
% and +3.5 %/-2.9 % on a prevalence of 10.8 %. LP and cross-sectional surveys
time trend models were well correlated (p = 0.6337). Although LP showed a slight
but significant trend for GAM to decrease over time at a rate of -0.26 %/visit,
the prevalence estimates from the two data sources showed good agreement over a 3
year period. CONCLUSIONS: The LP methodology has proved to be valid in following
trends of GAM prevalence for a period of 3 years without selection bias. However,
a slight observational bias was observed, requiring a periodical reselection of
the sentinel sites. This kind of surveillance project is suited to use in areas
with high burden of acute under-nutrition where early warning systems are
strongly needed. Advocacy is necessary to develop sustainable nutrition
surveillance system and to support the use of surveillance data in guiding
nutritional programs.
PMID- 27891161
TI - Cases of antiretroviral-associated gynaecomastia reported to the National HIV &
Tuberculosis Health Care Worker Hotline in South Africa.
AB - BACKGROUND: Gynaecomastia is associated with exposure to antiretroviral therapy
(ART), in particular efavirenz. There is limited data on clinical characteristics
of patients with ART-associated gynaecomastia in resource-limited settings and
little guidance on the optimal management of this adverse drug reaction (ADR). We
describe the clinical characteristics, management and outcomes of gynaecomastia
cases reported to the National HIV & Tuberculosis Health Care Worker Hotline in
South Africa. METHODS: We identified all gynaecomastia cases in adolescent boys
and men on ART reported to the hotline between June 2013 and July 2014. We
collected follow up data telephonically at monthly intervals to document clinical
management and outcomes. RESULTS: We received 51 reports of gynaecomastia between
June 2013 and July 2014; 11% of the 475 patient-specific ADR queries to the
hotline. All patients were on efavirenz-based ART. Mean age was 34 years
(standard deviation 12) and seven were adolescents. The median onset of
gynaecomastia was 15 months after efavirenz initiation (interquartile range 6
42). Gynaecomastia was bilateral in 29 patients (57%) and unilateral in 16 (31%).
Serum testosterone was quantified in 25 of 35 patients with follow up data, and
was low in 2 (8%). Efavirenz was replaced with an alternative antiretroviral in
29/35 patients (83%) and gynaecomastia improved in 20/29 (69%). CONCLUSIONS:
Gynaecomastia was a frequently reported ADR in our setting, occurring with
prolonged efavirenz exposure. Testosterone was low in the minority of tested
cases. Most clinicians elected to switch patients off efavirenz, and
gynaecomastia improved in the majority.
PMID- 27891163
TI - The visual system of harvestmen (Opiliones, Arachnida, Chelicerata) - a re
examination.
AB - BACKGROUND: The visual systems in chelicerates are poorly understood, even though
they show strong variation in eye and visual neuropil architecture, thus may
provide valuable insights for the understanding of chelicerate phylogeny and eye
evolution. Comparable morphological characters are desperately sought for
reconstructions of the phylogeny of Chelicerata, especially with respect to
Arachnida. So far, reliable data exist only for Pycnogonida, Xiphosura,
Scorpiones, and Araneae. The few earlier studies of the organisation of the
visual system in harvestmen are contradictory concerning the number, morphology,
and position of the visual neuropils. RESULTS: We undertook a descriptive and
comparative analysis of the neuroanatomy of the visual system in several
phalangid harvestmen species. Various traditional and modern methods were used
that allow comparisons with previous results (cobalt fills, DiI/DiO labelling,
osmium ethyl gallate procedure, and TEM). The R-cells (photoreceptor and
arhabdomeric cells) in the eyes of Opiliones are linked to a first and a second
visual neuropil. The first visual neuropil receives input from all R-cell axons,
in the second only few R-cells terminate in the distal part. Hence, the second
visual neuropil is subdivided in a part with direct R-cell input and a part
without. The arcuate body is located in a subsequent position with direct contact
to the second visual neuropil. CONCLUSIONS: This re-examination comes to
conclusions different from those of all previous studies. The visual system of
phalangid Opiliones occupies an intermediate position between Pycnogonida,
Xiphosura, and Scorpiones on the one side, and Araneae on the other side. The
projection of the R-cells is similar to that in the former grouping, the general
neuropil arrangement to that in the latter taxon. However, more research on the
visual systems in other chelicerate orders is needed in order to draw inferences
on phylogeny or eye evolution.
PMID- 27891164
TI - A low-carbohydrate high-fat diet decreases lean mass and impairs cardiac function
in pair-fed female C57BL/6J mice.
AB - BACKGROUND: Excess body fat is a major health issue and a risk factor for the
development of numerous chronic diseases. Low-carbohydrate diets like the Atkins
Diet are popular for rapid weight loss, but the long-term consequences remain the
subject of debate. The Scandinavian low-carbohydrate high-fat (LCHF) diet, which
has been popular in Scandinavian countries for about a decade, has very low
carbohydrate content (~5 E %) but is rich in fat and includes a high proportion
of saturated fatty acids. Here we investigated the metabolic and physiological
consequences of a diet with a macronutrient composition similar to the
Scandinavian LCHF diet and its effects on the organs, tissues, and metabolism of
weight stable mice. METHODS: Female C57BL/6J mice were iso-energetically pair-fed
for 4 weeks with standard chow or a LCHF diet. We measured body composition using
echo MRI and the aerobic capacity before and after 2 and 4 weeks on diet. Cardiac
function was assessed by echocardiography before and after 4 weeks on diet. The
metabolic rate was measured by indirect calorimetry the fourth week of the diet.
Mice were sacrificed after 4 weeks and the organ weight, triglyceride levels, and
blood chemistry were analyzed, and the expression of key ketogenic, metabolic,
hormonal, and inflammation genes were measured in the heart, liver, and adipose
tissue depots of the mice using real-time PCR. RESULTS: The increase in body
weight of mice fed a LCHF diet was similar to that in controls. However, while
control mice maintained their body composition throughout the study, LCHF mice
gained fat mass at the expense of lean mass after 2 weeks. The LCHF diet
increased cardiac triglyceride content, impaired cardiac function, and reduced
aerobic capacity. It also induced pronounced alterations in gene expression and
substrate metabolism, indicating a unique metabolic state. CONCLUSIONS: Pair-fed
mice eating LCHF increased their percentage of body fat at the expense of lean
mass already after 2 weeks, and after 4 weeks the function of the heart
deteriorated. These findings highlight the urgent need to investigate the effects
of a LCHF diet on health parameters in humans.
PMID- 27891166
TI - Relation of 24-hour urinary caffeine and caffeine metabolite excretions with self
reported consumption of coffee and other caffeinated beverages in the general
population.
AB - BACKGROUND: Caffeine intake is generally estimated by self-reported consumption,
but it remains unclear how well self-report associates with metabolite urinary
excretion. We investigated the associations of self-reported consumption of
caffeinated drinks with urinary excretion of caffeine and its major metabolites
in an adult population. METHODS: We used data from the population-based Swiss
Kidney Project on Genes in Hypertension (SKIPOGH) study. Consumption of
caffeinated coffee, decaffeinated coffee and other caffeinated beverages was
assessed by self-administered questionnaire. Quantification of caffeine,
paraxanthine, theobromine and theophylline was performed by ultra-high
performance liquid chromatography tandem mass spectrometry in 24-h urine.
Association of reported consumption of caffeinated drinks with urinary caffeine
derived metabolites was determined by quantile regression. We then explored the
association between urinary metabolite excretion and dichotomized weekly
consumption frequency of caffeinated coffee, with Receiver Operator
Characteristic (ROC) analysis. RESULTS: In the present analysis, we included 598
individuals (52% women, mean age =46 +/- 17 years). Self-reported caffeinated
coffee intake was positively associated with 24-h urinary excretions of
paraxanthine, theophylline and caffeine (p < 0.001), whereas reported intakes of
decaffeinated coffee and other caffeinated beverages showed no association. In
ROC analysis, optimal discrimination between individuals consuming less than one
caffeinated coffee/week, vs. at least one coffee, was obtained for 24-h urinary
paraxanthine (Area Under Curve (AUC) = 0.868, 95% Confidence Interval (CI)
[0.830;0.906]), with slightly lower performance for theophylline and caffeine,
whereas theobromine did not allow any discrimination. CONCLUSION: Our results
suggest that reported consumption of caffeinated coffee is positively associated
with 24-h urinary excretion of caffeine, paraxanthine, and theophylline, and may
be used as a marker of caffeine intake for epidemiological studies.
PMID- 27891165
TI - Postprandial lipemic and inflammatory responses to high-fat meals: a review of
the roles of acute and chronic exercise.
AB - Postprandial lipemia is an independent risk factor for development of
cardiovascular disease. Postprandial inflammation following the prolonged
elevation of triglycerides occurring subsequent to ingestion of high-fat meals,
provides a likely explanation for increased disease risk. Substantial evidence
has shown that acute exercise is an effective modality for attenuation of
postprandial lipemia following a high-fat meal. However, much of the evidence
pertaining to exercise intensity, duration, and overall energy expenditure for
reducing postprandial lipemia is inconsistent. The effects of these different
exercise variables on postprandial inflammation is largely unknown. Long-term,
frequent exercise, however, appears to effectively reduce systemic inflammation,
especially in at-risk or diseased individuals. With regard to an acute
postprandial response, without a recent bout of exercise, high levels of chronic
exercise do not appear to reduce postprandial lipemia. This review summarizes the
current literature on postprandial and inflammatory responses to high-fat meals,
and the roles that both acute and chronic exercise play. This review may be
valuable for health professionals who wish to provide evidence-based, pragmatic
advice for reducing postprandial lipemia and cardiovascular disease risk for
their patients. A brief review of proposed mechanisms explaining how high-fat
meals may result in pro-inflammatory and pro-atherosclerotic environments is also
included.
PMID- 27891168
TI - Erratum to: Assessment of Vitamin D status in a group of Egyptian children with
non alcoholic fatty liver disease (multicenter study).
AB - [This corrects the article DOI: 10.1186/s12986-016-0112-z.].
PMID- 27891169
TI - Can procedural and substantive elements of decision-making be reconciled in
assessments of mental capacity?
AB - Capacity legislation aims to protect individual autonomy and avoid undue
paternalism as far as possible, partly through ensuring patients are not deemed
to lack capacity because they make an unwise decision. To this end, the law
employs a procedural test of capacity that excludes substantive judgments about
patients' decisions. However, clinical intuitions about patients' capacity to
make decisions about their treatment often conflict with a strict reading of the
legal criteria for assessing capacity, particularly in psychiatry. In this
article I argue that this tension arises because the procedural conception of
capacity is inadequate and does not reflect the clinical or legal realities of
assessing capacity. I propose that conceptualising capacity as having
'recognisable reasons' for a treatment decision provides a practical way of
legitimately incorporating both procedural and substantive elements of decision
making into assessments of capacity.
PMID- 27891167
TI - Effect on body weight and composition in overweight/obese Australian adults over
12 months consumption of two different types of fibre supplementation in a
randomized trial.
AB - BACKGROUND/OBJECTIVES: Higher fibre intakes are associated with risk reduction
for chronic diseases. However, many people find difficulty in consuming
sufficient fibre through their diet. Supplements may be an effective alternative.
We aimed to investigate the effects of PolyGlycopleX(r) (PGX(r)), a proprietary
polysaccharide complex and a proprietary Psyllium product (PgxSylTM) (PSY) on
diet, body weight and composition in overweight and obese individuals.
SUBJECTS/METHODS: This was a double-blind 52 weeks study with 159 people
randomized to 3 groups: control (rice flour); PGX (PGX) and proprietary psyllium
(PSY). Participants did not change any of their usual habits or diet except they
consumed 5 g of supplement taken with a total of 500 ml of water 5-10 min before
meals. RESULTS: Weight was significantly lower in the PGX group compared to
control at 3 (-1.6 kg [0.57, 2.67, p = 0.003]), 6 (-2.6 kg [1.01, 4.13, p =
0.001]) and 12 months (-2.6 kg [0.59, 4.64, p = 0.012]) and in the PSY group
compared to control group at 3 (-1.1 kg [0.07, 2.12, p = 0.037]) and 6 months (
2.4 kg [0.95, 3.93, p = 0.002]). This was a difference of - 2.8% for the PGX
group and - 1.5% for the PSY group compared to control after 12 months
supplementation. Body Fat was significantly lower in PGX compared to control at 6
(-1.8 kg [0.63, 2.95, p = 0.003]) and 12 months (-1.9 kg [0.43, 3.36, p = 0.012])
and in PSY compared to control at 6 (-1.9 kg [0.84, 3.04, p = 0.001]) and 12
months (-1.4 kg [0.08, 2.71, p = 0.038]). CONCLUSIONS: PGX was better than PSY at
maintaining dietary changes and weight loss over the 12 month intervention
period, with no change to exercise. A simple strategy of PGX supplementation may
offer an effective solution to long-term weight-loss and then management without
the need for other nutrient modification. TRIAL REGISTRATION: ANZCTR:
ACTRN12611000415909. Registered 20 April 2011.
PMID- 27891170
TI - Prevalence and predictors of musculoskeletal pain among Danish fishermen -
results from a cross-sectional survey.
AB - BACKGROUND: Fishermen work in a physically challenging work environment. The aim
of this analysis was to estimate the prevalence and predictors of musculoskeletal
pain among Danish fishermen. METHOD: A cross-sectional survey in a random sample
of Danish fishermen was done with application of the Nordic questionnaire
regarding musculoskeletal pain considering lower back, shoulders, hand neck,
knee, upper back elbow, hip and feet. In total, 270 fishermen participated in the
study (response rate: 28%). Workload, vessel type, skipper, duration of work,
sideline occupation, days/weeks of fishing at sea, age, BMI and education were
used as predictors for the overall musculoskeletal pain score (multiple linear
regression) and for each single pain site ( multinomial logistic regression ).
RESULTS: The prevalence of pain was high for all musculoskeletal locations.
Overall, more than 80% of the responding Danish fishermen reported low back pain,
which in 37% lasted for a minimum of 30 days during the past year. In the
multiple linear regression analysis, middle workload was associated with a 32%
(95% CI: 19-46%) and high workload with 60% (95% CI: 46-73%) increased
musculoskeletal pain score compared to low work load. Multinomial logistic
regression models showed that workload was the only predictor for all pain sites,
in particular regarding upper and lower limb pain. CONCLUSION: Although changes
were implemented to improve the fishermen's work environment, the work continues
to be physically demanding and impacting their musculoskeletal pain. Potential
explanation for this unexpected result like increased work pressure and reduced
financial attractiveness in small scale commercial fishery needs to be confirmed
in future research.
PMID- 27891171
TI - A rapid approach to investigate spatiotemporal distribution of phytohormones in
rice.
AB - BACKGROUND: Phytohormones play crucial roles in almost all stages of plant growth
and development. Accurate and simultaneous determination of multiple
phytohormones enabled us to better understand the physiological functions and the
regulatory networks of phytohormones. However, simultaneous determination of
multiple phytohormones in plant is still a challenge due to their low
concentrations, structural and chemical diversity, and complex matrix of plant
tissues. Therefore, development of a simple and selective method for the
simultaneous determination of multiple phytohormones is highly needed. RESULTS:
We developed a clean-up strategy for profiling of multiple phytohormones, which
can overcome the challenge of structural and chemical diversity. By using a one
step dispersive solid-phase extraction (DSPE) combined with UPLC-MS/MS, 54
phytohormones including auxins, ABA, SA, JA, GAs and CKs were simultaneously
analyzed from a single rice sample extract. Using the developed method, we
investigated the spatiotemporal distribution of phytohormones in rice. The
profiling of various tissues of rice at different growth stages revealed the
complexity of metabolic regulation and allocations of phytohormone species.
CONCLUSION: A rapid one-step method was developed for the simultaneous analysis
of six groups of phytohormones, including cytokinins, auxins, salicylic acid,
jasmonates, abscisic acid and gibberellins in a single run, using UPLC-ESI-MS/MS.
The proposed method was successfully applied to investigate spatiotemporal
distribution of multiple phytohormones in rice. The spatiotemporal information
obtained may be helpful for better understanding of phytohormones functions
throughout life cycle of rice when integrated into transcriptome and other omics
data.
PMID- 27891172
TI - Vortex Analysis of Intra-Aneurismal Flow in Cerebral Aneurysms.
AB - This study aims to develop an alternative vortex analysis method by measuring
structure ofIntracranial aneurysm (IA) flow vortexes across the cardiac cycle, to
quantify temporal stability of aneurismal flow. Hemodynamics were modeled in
"patient-specific" geometries, using computational fluid dynamics (CFD)
simulations. Modified versions of known lambda2 and Q-criterion methods
identified vortex regions; then regions were segmented out using the classical
marching cube algorithm. Temporal stability was measured by the degree of vortex
overlap (DVO) at each step of a cardiac cycle against a cycle-averaged vortex and
by the change in number of cores over the cycle. No statistical differences exist
in DVO or number of vortex cores between 5 terminal IAs and 5 sidewall IAs. No
strong correlation exists between vortex core characteristics and geometric or
hemodynamic characteristics of IAs. Statistical independence suggests this
proposed method may provide novel IA information. However, threshold values used
to determine the vortex core regions and resolution of velocity data influenced
analysis outcomes and have to be addressed in future studies. In conclusions,
preliminary results show that the proposed methodology may help give novel
insight toward aneurismal flow characteristic and help in future risk assessment
given more developments.
PMID- 27891173
TI - Laparoscopic cholecystectomy for acute calculous cholecystitis: a retrospective
study assessing risk factors for conversion and complications.
AB - BACKGROUND: The purpose of the study was to identify risk factors for conversion
of laparoscopic cholecystectomy and risk factors for postoperative complications
in acute calculous cholecystitis. The most common complications arising from
cholecystectomy were also to be identified. METHODS: A total of 499 consecutive
patients, who had undergone emergent cholecystectomy with diagnosis of
cholecystitis in Meilahti Hospital in 2013-2014, were identified from the
hospital database. Of the identified patients, 400 had acute calculous
cholecystitis of which 27 patients with surgery initiated as open cholecystectomy
were excluded, resulting in 373 patients for the final analysis. The Clavien
Dindo classification of surgical complications was used. RESULTS: Laparoscopic
cholecystectomy was initiated in 373 patients of which 84 (22.5%) were converted
to open surgery. Multivariate logistic regression identified C-reactive protein
(CRP) over 150 mg/l, age over 65 years, diabetes, gangrene of the gallbladder and
an abscess as risk factors for conversion. Complications were experienced by 67
(18.0%) patients. Multivariate logistic regression identified age over 65 years,
male gender, impaired renal function and conversion as risk factors for
complications. CONCLUSIONS: Advanced cholecystitis with high CRP, gangrene or an
abscess increase the risk of conversion. The risk of postoperative complications
is higher after conversion. Early identification and treatment of acute calculous
cholecystitis might reduce the number of patients with advanced cholecystitis and
thus improve outcomes.
PMID- 27891174
TI - Combination of cisplatin and bromelain exerts synergistic cytotoxic effects
against breast cancer cell line MDA-MB-231 in vitro.
AB - BACKGROUND: Bromelain, which is a cysteine endopeptidase commonly found in
pineapple stems, has been investigated as a potential anti-cancer agent for the
treatment of breast cancer. However, information pertaining to the effects of
combining bromelain with existing chemotherapeutic drugs remains scarce. This
study aimed to investigate the possible synergistic cytotoxic effects of using
bromelain in combination with cisplatin on MDA-MB-231 human breast cancer cells.
METHOD: MDA-MB-231 cells were treated with different concentrations (0.24-9.5 uM)
of bromelain or cisplatin alone, as well as four different combinations of these
two agents to assess their individual and combination effects after 24 and 48 h.
Cell viability was analyzed using an MTT assay. The induction of apoptosis was
assessed using cell cycle analysis and an Annexin V-FITC assay. The role of the
mitochondrial membrane potential in the apoptotic process was assessed using a JC
1 staining assay. Apoptotic protein levels were assessed by western blot analysis
and proteome profiling using an antibody array kit. RESULTS: Single-agent
treatment with cisplatin or bromelain led to dose- and time-dependent decreases
in the viability of the MDA-MB-231 cells at 24 and 48 h. Furthermore, most of the
combinations evaluated in this study displayed synergistic effects against MDA-MB
231 cells at 48 h, with combination 1 (bromelain 2 uM + cisplatin 1.5 uM)
exhibiting the greatest synergistic effect (P = 0.000). The results of subsequent
assays indicated that combination 1 treatment induced apoptosis via mitochondria
mediated pathway. Combination 1 also resulted in significant decreases in the
levels of several apoptotic proteins such as Bcl-x and HSP70, compared with
bromelain (P = 0.002 and 0.000, respectively) or cisplatin (P = 0.000 and 0.001,
respectively) single treatment. Notably, MDA-MB-231 cells treated with
combination 1 showed increased levels of the pro-apoptotic proteins Bax compared
with those treated with bromelain (P = 0.000) or cisplatin single treatment (P =
0.043). CONCLUSION: Bromelain in combination with cisplatin synergistically
enhanced the induction of apoptosis in MDA-MB-231 cells.
PMID- 27891175
TI - Multidetector computer tomography in the pancreatic adenocarcinoma assessment: an
update.
AB - Ductal adenocarcinoma of the pancreas is one of the most aggressive forms of
cancer, with only a minority of cases being resectable at the moment of their
diagnosis. The accurate detection and characterization of pancreatic carcinoma is
very important for patient management. Multidetector-row computed tomography
(MDCT) has become the cross-sectional modality of choice in the diagnosis,
staging, treatment planning, and follow-up of patients with pancreatic tumors.
However, approximately 11% of ductal adenocarcinomas still remain undetected at
MDCT because of the lack of attenuation gradient between the lesion and the
adjacent pancreatic parenchyma. In this systematic literature review we
investigate the current evolution of the CT technique, limitations, and
perspectives in the evaluation of pancreatic carcinoma.
PMID- 27891176
TI - A series of patients with purging type anorexia nervosa who do "tube vomiting".
AB - BACKGROUND: It is important for clinicians to assess their patients' purging
behavior. Various methods of purging, such as self-induced vomiting are well
known. Because patients do not always report their purging behavior, knowing the
clinical signs that indicate the behavior is useful. However, we have experienced
patients who did not have the reported physical signs of self-induced vomiting
because they used hoses instead of their fingers to purge their stomach contents,
which they call "tube vomiting". No other previous studies have reported the use
of hoses as a purging tool. CASE PRESENTATION: We present as our main case a 20
year-old Japanese woman with anorexia nervosa who engaged in "tube vomiting."
Although she recovered well under medical treatment in our hospital, she began to
lose weight and blood potassium soon after discharge. We found that she used a
garden hose instead of her fingers to perform self-induced vomiting,. She
inserted the hose into her stomach and evacuated the stomach contents through it,
without pain. She learned this technique through a blog about eating disorders.
We also present two other similar cases. In fact, many patients discuss "tube
vomiting" on the internet. CONCLUSION: Our experience suggests that a sudden
decrease in the weight and blood potassium level could indicate "tube vomiting".
In addition, because many information resources are available on the internet,
medical practitioners should be aware of these sites.
PMID- 27891178
TI - Enrichment of small pathogenic deletions at chromosome 9p24.3 and 9q34.3
involving DOCK8, KANK1, EHMT1 genes identified by using high-resolution
oligonucleotide-single nucleotide polymorphism array analysis.
AB - BACKGROUND: High-resolution oligo-SNP array allowed the identification of
extremely small pathogenic deletions at numerous clinically relevant regions. In
our clinical practice, we found that small pathogenic deletions were frequently
encountered at chromosome 9p and 9q terminal regions. RESULTS: A review of 531
cases with reportable copy number changes on chromosome 9 revealed142 pathogenic
copy number variants (CNVs): 104 losses, 31 gains, 7 complex chromosomal
rearrangements. Of 104 pathogenic losses, 57 were less than 1 Mb in size,
enriched at 9p24.3 and 9q34.3 regions, involving the DOCK8, KANK1, EHMT1 genes.
The remaining 47 cases were due to interstitial or terminal deletions larger than
1 Mb or unbalanced translocations. The small pathogenic deletions of DOCK8, KANK1
and EHMT1 genes were more prevalent than small pathogenic deletions of NRXN1,
DMD, SHANK3 genes and were only second to the 16p11.2 deletion syndrome, 593-kb
(OMIM #611913). CONCLUSIONS: This study corroborated comprehensive genotype
phenotype large scale studies at 9p24.3 and 9q24.3 regions for a better
understanding of the pathogenicity caused by haploinsufficiency of the DOCK8,
KANK1 and EHMT1 genes. TRIAL REGISTRATION NUMBER: None; it is not a clinical
trial, and the cases were retrospectively collected and analyzed.
PMID- 27891177
TI - Furfural tolerance and detoxification mechanism in Candida tropicalis.
AB - BACKGROUND: Current biomass pretreatment by hydrothermal treatment (including
acid hydrolysis, steam explosion, and high-temperature steaming) and ionic
liquids generally generate inhibitors to the following fermentation process.
Furfural is one of the typical inhibitors generated in hydrothermal treatment of
biomass. Furfural could inhibit cell growth rate and decrease biofuel
productivity of microbes. Candida tropicalis is a promising microbe for the
production of biofuels and value-added chemicals using hemicellulose hydrolysate
as carbon source. In this study, C. tropicalis showed a comparable ability of
furfural tolerance during fermentation. We investigated the mechanism of C.
tropicalis's robust tolerance to furfural and relevant metabolic responses to
obtain more information for metabolic engineering of microbes for efficient
lignocellulose fermentation. RESULTS: Candida tropicalis showed comparable
intrinsic tolerance to furfural and a fast rate of furfural detoxification. C.
tropicalis's half maximal inhibitory concentration for furfural with xylose as
the sole carbon source was 3.69 g/L, which was higher than that of most wild-type
microbes reported in the literature to our knowledge. Even though furfural
prolonged the lag phase of C. tropicalis, the final biomass in the groups treated
with 1 g/L furfural was slightly greater than that in the control groups. By real
time PCR analysis, we found that the expression of ADH1 in C. tropicalis (ctADH1)
was induced by furfural and repressed by ethanol after furfural depletion. The
expression of ctADH1 could be regulated by both furfural and ethanol. After the
disruption of gene ctADH1, we found that C. tropicalis's furfural tolerance was
weakened. To further confirm the function of ctADH1 and enhance Escherichia
coli's furfural tolerance, ctADH1 was overexpressed in E. coli BL21 (DE3). The
rate of furfural degradation in E. coli BL21 (DE3) with pET-ADH1 (high-copy
plasmid) and pCS-ADH1 (medium-copy plasmid) was increased by 1.59-fold and 1.28
fold, respectively. CONCLUSIONS: Candida tropicalis was a robust strain with
intrinsic tolerance to inhibitor furfural. The mechanism of furfural
detoxification and metabolic responses were identified by multiple analyses.
Alcohol dehydrogenase 1 was confirmed to be responsible for furfural
detoxification. C. tropicalis showed a complex regulation system during furfural
detoxification to minimize adverse effects caused by furfural. Furthermore, the
mechanism we uncovered in this work was successfully applied to enhance E. coli's
furfural tolerance by heterologous expression of ctADH1. The study provides
deeper insights into strain modification for biofuel production by efficient
lignocellulose fermentation.
PMID- 27891179
TI - Compensation of feature selection biases accompanied with improved predictive
performance for binary classification by using a novel ensemble feature selection
approach.
AB - MOTIVATION: Biomarker discovery methods are essential to identify a minimal
subset of features (e.g., serum markers in predictive medicine) that are relevant
to develop prediction models with high accuracy. By now, there exist diverse
feature selection methods, which either are embedded, combined, or independent of
predictive learning algorithms. Many preceding studies showed the defectiveness
of single feature selection results, which cause difficulties for professionals
in a variety of fields (e.g., medical practitioners) to analyze and interpret the
obtained feature subsets. Whereas each of these methods is highly biased, an
ensemble feature selection has the advantage to alleviate and compensate for such
biases. Concerning the reliability, validity, and reproducibility of these
methods, we examined eight different feature selection methods for binary
classification datasets and developed an ensemble feature selection system.
RESULTS: By using an ensemble of feature selection methods, a quantification of
the importance of the features could be obtained. The prediction models that have
been trained on the selected features showed improved prediction performance.
PMID- 27891181
TI - Whole-genome sequencing and comparative genomic analysis of Escherichia coli O91
strains isolated from symptomatic and asymptomatic human carriers.
AB - BACKGROUND: The Shiga toxin-producing Escherichia coli (STEC) O91:H21 strains
NCCP15736 and NCCP15737 were isolated during a single outbreak in Korea,
NCCP15736 from a symptomatic carrier and NCCP15737 from an asymptomatic carrier.
To investigate genomic differences between the two strains, we performed whole
genome sequencing of both strains and conducted a comparative genomic analysis.
RESULTS: Using the Illumina HiSeq 2000 platform and Rapid Annotation using the
Subsystem Technology (RAST) server, whole-genome sequences of NCCP15736 and
NCCP15737 were obtained and annotated. Phylogenetic analysis of ten E. coli
strains showed that NCCP15736 and NCCP15737 are evolutionarily close. The two
strains were found to be most close to E. coli O91:NM str. 2009C-3745. The
genomic comparison showed that the fimD gene of NCCP15737 is truncated and that
the truncation could underlie the defects in infection and pathogenicity of
NCCP15737. The two strains showed the same virulence factor profiles, and we
identified 25 virulence factors from NCCP15736 and NCCP15737, respectively. We
identified ten and nine phage-associated regions in the NCCP15736 and NCCP15737
genomes, respectively; the two strains share five of these. CONCLUSIONS:
NCCP15736 and NCCP15737 differ at the genomic level, even though they share
features such as virulence-related genes. NCCP15737 has a deletion in fimD, which
may underlie its asymptomatic character. We conclude that complete genome
sequencing and integration of other types of omics data are needed to fully
reveal the mechanism underlying the asymptomatic character of NCCP15737.
PMID- 27891180
TI - The effect of foot orthoses with forefoot cushioning or metatarsal pad on
forefoot peak plantar pressure in running.
AB - BACKGROUND: Foot orthoses are frequently used in sports for the treatment of
overuse complaints with sufficient evidence available for certain foot-related
overuse pathologies like plantar fasciitis, rheumatoid arthritis and foot pain
(e.g., metatarsalgia). One important aim is to reduce plantar pressure under
prominent areas like metatarsal heads. For the forefoot region, mainly two common
strategies exist: metatarsal pad (MP) and forefoot cushioning (FC). The aim of
this study was to evaluate which of these orthosis concepts is superior in
reducing plantar pressure in the forefoot during running. METHODS: Twenty-three
(13 female, 10 male) asymptomatic runners participated in this cross-sectional
experimental trial. Participants ran in a randomised order under the two
experimental (MP, FC) conditions and a control (C) condition on a treadmill (2.78
ms-1) for 2 min, respectively. Plantar pressure was measured with the in-shoe
plantar pressure measurement device pedar-x(r)-System and mean peak pressure
averaged from ten steps in the forefoot (primary outcome) and total foot was
analysed. Insole comfort was measured with the Insole Comfort Index (ICI, sum
score 0-100) after each running trial. The primary outcome was tested using the
Friedman test (alpha = 0.05). Secondary outcomes were analysed descriptively
(mean +/- SD, lower & upper 95%-CI, median and interquartile-range (IQR)).
RESULTS: Peak pressure [kPa] in the forefoot was significantly lower wearing FC
(281 +/- 80, 95%-CI: 246-315) compared to both C (313 +/- 69, 95%-CI: 283-343; p
= .003) and MP (315 +/- 80, 95%-CI: 280-350; p = .001). No significant difference
was found between C and MP (p = .858). Peak pressures under the total foot were:
C: 364 +/- 82, 95%-CI: 328-399; MP: 357 +/- 80, 95%-CI: 326-387; FC: 333 +/- 81
95%-CI: 298-368. Median ICI sum scores were: C 50, MP 49, FC 64. CONCLUSIONS: In
contrast to the metatarsal pad orthosis, the forefoot cushioning orthosis
achieved a significant reduction of peak pressure in the forefoot of recreational
runners. Consequently, the use of a prefabricated forefoot cushioning orthosis
should be favoured over a prefabricated orthosis with an incorporated metatarsal
pad in recreational runners with normal height arches.
PMID- 27891182
TI - Case-control study of diarrheal disease etiology in individuals over 5 years in
southwest China.
AB - BACKGROUND: Acute diarrhea is one of the major public health problems worldwide.
Most of studies on acute diarrhea have been made on infants aged below 5 years
and few efforts have been made to identify the etiological agents of acute
diarrhea in people over five, especially in China. METHODS: 271 diarrhea cases
and 149 healthy controls over 5 years were recruited from four participating
hospitals between June 2014 and July 2015. Each stool specimen was collected to
detect a series of enteric pathogens, involving five viruses (Rotavirus group A,
RVA; Norovirus, NoV; Sapovirus, SaV; Astrovirus, As; and Adenovirus, Ad), seven
bacteria (diarrheagenic Escherichia coli, DEC; non-typhoidal Salmonella, NTS;
Shigella spp.; Vibrio cholera; Vibrio parahaemolyticus; Aeromonas spp.; and
Plesiomonas spp.) and three protozoa (Cryptosporidium spp., Giardia lamblia, G.
lamblia, and Blastocystis hominis, B. hominis). Standard microbiological and
molecular methods were applied to detect these pathogens. Data was analyzed using
Chi square, Fisher-exact tests and logistic regressions. RESULTS: The prevalence
of at least one enteric pathogen was detected in 29.2% (79/271) acute diarrhea
cases and in 12.1% (18/149) in healthy controls (p < 0.0001). Enteric viral
infections (14.4%) were the most common in patients suffering from acute
diarrhea, followed by bacteria (13.7%) and intestinal protozoa (4.8%). DEC
(12.5%) was the most common causative agent in diarrhea cases, followed by NoV
GII (10.0%), RVA (7.4%) and B. hominis (4.8%). The prevalence of co-infection was
statistically higher (p = 0.0059) in the case group (7.7%) than in the healthy
control (1.3%). RVA-NoV GII (3.0%) was the most common co-infection in
symptomatic cases. CONCLUSIONS: DEC was the most predominant pathogen in diarrhea
cases, but it was largely overlooked because the lack of laboratory capacities.
Because of the high prevalence of co-infections, it is recommended the urgent
development of alternative laboratory methods to assess polymicrobial infections.
Such methodological improvements will result in a better prevention and treatment
strategies to control diarrhea illness in China.
PMID- 27891183
TI - Complete genome of Vibrio parahaemolyticus FORC014 isolated from the toothfish.
AB - BACKGROUND: Foodborne illness can occur due to various pathogenic bacteria such
as Staphylococcus aureus, Escherichia coli and Vibrio parahaemolyticus, and can
cause severe gastroenteritis symptoms. In this study, we completed the genome
sequence of a foodborne pathogen V. parahaemolyticus FORC_014, which was isolated
from suspected contaminated toothfish from South Korea. Additionally, we extended
our knowledge of genomic characteristics of the FORC_014 strain through
comparative analysis using the complete sequences of other V. parahaemolyticus
strains whose complete genomes have previously been reported. RESULTS: The
complete genome sequence of V. parahaemolyticus FORC_014 was generated using the
PacBio RS platform with single molecule, real-time (SMRT) sequencing. The
FORC_014 strain consists of two circular chromosomes (3,241,330 bp for chromosome
1 and 1,997,247 bp for chromosome 2), one plasmid (51,383 bp), and one putative
phage sequence (96,896 bp). The genome contains a total of 4274 putative protein
coding sequences, 126 tRNA genes and 34 rRNA genes. Furthermore, we found 33 type
III secretion system 1 (T3SS1) related proteins and 15 type III secretion system
2 (T3SS2) related proteins on chromosome 1. This is the first reported result of
Type III secretion system 2 located on chromosome 1 of V. parahaemolyticus
without thermostable direct hemolysin (tdh) and thermostable direct hemolysin
related hemolysin (trh). CONCLUSIONS: Through investigation of the complete
genome sequence of V. parahaemolyticus FORC_014, which differs from previously
reported strains, we revealed two type III secretion systems (T3SS1, T3SS2)
located on chromosome 1 which do not include tdh and trh genes. We also
identified several virulence factors carried by our strain, including iron uptake
system, hemolysin and secretion system. This result suggests that the FORC_014
strain may be one pathogen responsible for foodborne illness outbreak. Our
results provide significant genomic clues which will assist in future
understanding of virulence at the genomic level and help distinguish between
clinical and non-clinical isolates.
PMID- 27891184
TI - Effect of antibiotic pre-treatment and pathogen challenge on the intestinal
microbiota in mice.
AB - BACKGROUND: More than 50 years after the discovery of antibiotics, bacterial
infections have decreased substantially; however, antibiotics also may have
negative effects such as increasing susceptibility to pathogens. An intact
microbiome is an important line of defense against pathogens. We sought to
determine the effect of orally administered antibiotics both on susceptibility to
pathogens and on impact to the microbiome. We studied Campylobacter jejuni, one
of the most common causes of human diarrhea, and Acinetobacter baumannii, which
causes wound infections. We examined the effects of antibiotic treatment on the
susceptibility of mice to those pathogens as well as their influence on the mouse
gut microbiome. RESULTS: In C57/BL6 mice models, we explored the effects of
pathogen challenge, and antibiotic treatment on the intestinal microbiota. Mice
were treated with either ciprofloxacin, penicillin, or water (control) for a 5
day period followed by a 5-day washout period prior to oral challenge with C.
jejuni or A. baumannii to assess antibiotic effects on colonization
susceptibility. Mice were successfully colonized with C. jejuni more than 118
days, but only transiently with A. baumannii. These challenges did not lead to
any major effects on the composition of the gut microbiota. Although antibiotic
pre-treatment did not modify pathogen colonization, it affected richness and
community structure of the gut microbiome. However, the antibiotic dysbiosis was
significantly reduced by pathogen challenge. CONCLUSIONS: We conclude that
despite gut microbiota disturbance, susceptibility to gut colonization by these
pathogens was unchanged. The major gut microbiome disturbance produced by
antibiotic treatment may be reduced by colonization with specific microbial taxa.
PMID- 27891185
TI - Spermatozoal cell death-inducing DNA fragmentation factor-alpha-like effector A
(CIDEA) gene expression and DNA fragmentation in infertile men with metabolic
syndrome and normal seminogram.
AB - BACKGROUND: This is the first study to investigate spermatozoal cell death
inducing DNA fragmentation factor-alpha-like effector A (CIDEA) gene expression
and DNA fragmentations in the spermatozoa of men diagnosed with metabolic
syndrome (MS) who have normal seminograms with unexplained infertility, and to
correlate these parameters with seminal glucose concentration. METHODS: This
study included 120 participants: 75 male subjects with MS (38 fertile and 37
infertile), and a control group of 45 fertile males without MS. HOMA-IR, semen
analysis, and biochemical measurement of seminal plasma insulin and glucose
levels were carried out. Spermatozoal insulin gene and CIDEA gene expressions
were performed by the RT-PCR method. The percentage of spermatozoal DNA
fragmentation was also estimated. RESULTS: The spermatozoal insulin and CIDEA
gene expression, as well as the DNA fragmentation, were significantly higher in
the infertile MS group than in the fertile MS group, and significantly higher in
both the MS groups than in the control group. Seminal glucose concentration
showed significant positive correlations with seminal insulin level, spermatozoa
insulin, CIDEA gene expression, and DNA fragmentation. Moreover, there was a
positive correlation between spermatozoa CIDEA gene expression and DNA
fragmentation. CONCLUSIONS: It can be concluded that MS may affect male fertility
at the molecular level, through its possible inducing effect of spermatozoa CIDEA
and insulin gene expression, DNA fragmentation, and increased seminal glucose.
PMID- 27891186
TI - Relationship between vitamin D status, glycemic control and cardiovascular risk
factors in Brazilians with type 2 diabetes mellitus.
AB - OBJECTIVES: Determine the prevalence and identify predictors of hypovitaminosis D
in patients with type 2 diabetes mellitus (T2DM); 2) correlate vitamin D levels
with variables indicative of glycemic control and cardiovascular risk. RESEARCH
DESIGN AND METHODS: We conducted a cross-sectional study with consecutive
patients treated at a University Hospital's Endocrinology outpatient clinic
located at 12 degrees 58'S latitude, between October 2012 and November 2013.
Hypovitaminosis D was defined as 25-hydroxyvitamin D < 30 ng/mL
(chemiluminescence). RESULTS: We evaluated 108 patients with mean duration of
T2DM of 14.34 +/- 8.05 years and HbA1c of 9.2 +/- 2.1%. Mean age was 58.29 +/-
10.34 years. Most were women (72.2%), non-white (89.8%) and had hypertension
(75.9%) and dyslipidemia (76.8%). Mean BMI was 28.01 +/- 4.64 kg/m2; 75.9% were
overweight. The prevalence of hypovitaminosis D was 62%. In multiple logistic
regression, independent predictors of hypovitaminosis D were female gender (OR
3.10, p = 0.02), dyslipidemia (OR 6.50, p < 0.01) and obesity (OR 2.55, p =
0.07). In multiple linear regression, only total cholesterol (beta = -0.36, p <
0.01) and BMI (beta = -0.21, p = 0.04) remained associated with levels of 25
hydroxyvitamin D. CONCLUSIONS: Using currently recommended cutoffs, the
prevalence of hypovitaminosis D in Brazilians with T2DM was as high as that of
non-tropical regions. Female gender, dyslipidemia and obesity were predictors of
hypovitaminosis D. Low levels of 25-hydroxyvitamin D were correlated with high
cholesterol and BMI values. Future studies are needed to evaluate whether vitamin
D replacement would improve these parameters and reduce hard cardiovascular
outcomes.
PMID- 27891187
TI - Mass spectrometry of analytical derivatives. 2. "Ortho" and "Para" effects in
electron ionization mass spectra of derivatives of hydroxy, mercapto and amino
benzoic acids.
AB - Derivatives requiring either anhydrous or aqueous reaction conditions were
prepared for robust and reliable gas chromatography/mass spectrometry (GC/MS)
characterization of hydroxyl, mercapto, and amino benzoic acids Methylation and
trialkylsilytation are employed for blocking the acidic function. Alkyl,
trimethylsilyl, acetyl, perfluoroacyl and alkoxycarbonyl derivatization groups
are introduced to hydroxyl, mercapto and amino functions. The electron ionization
induced fragmentation characteristics of corresponding derivatives are explained
by comparing the MS1 spectra of unlabeled compounds to their 2H and 13C labeled
analogs, and analysis of collision-induced dissociation data from MS2 spectra.
Competing fragmentation alternatives are identified and specific decomposition
processes are detailed that characterize (a) ortho isomers due to interaction or
vicinal functional substituents and (b) para isomers prone to forming para
quinoid type structures. Skeletal and hydrogen rearrangements typical for methyl
benzoates and the blocking groups are considered when discussing diagnostically
important ions. Characteristic ions produced as a result of rearrangements in
ortho isomers are classified, and skeletal rearrangements required to produce
para quinoid type ions specific for para isomers are noted. Key ions for
structure elucidation and differentiation of isomers for derivatives of
substituted benzoic acids by GC/MS are suggested.
PMID- 27891188
TI - Associations between social cognition, skills, and function and subclinical
negative and positive symptoms in 22q11.2 deletion syndrome.
AB - BACKGROUND: Identification of the early signs of schizophrenia would be a major
achievement for the early intervention and prevention strategies in psychiatry.
Social impairments are defining features of schizophrenia. Impairments of
individual layers of social competencies are frequently described in individuals
with 22q11.2 deletion syndrome (22q11.2DS), who have high risk of schizophrenia.
It is unclear whether and to what extent social impairments associate with
subclinical negative and positive symptoms in 22q11.2DS, and which layer of
social impairments are more correlated with schizophrenia-related symptoms. The
aims of this study were to conduct a comprehensive investigation of social
impairments at three different levels (function, skill, and cognition) and their
interrelationship and to determine to what degree the social impairments
correlate to subclinical levels of negative and positive symptoms, respectively,
in a young cohort of 22q11.2DS not diagnosed with schizophrenia. METHODS: The
level of social impairment was addressed using questionnaires and objective
measures of social functioning (The Adaptive Behavior Assessment System), skills
(Social Responsiveness Scale), and cognition (The Awareness of Social Inference
Test and CANTAB Emotional Recognition Task), and the presence of subclinical
symptoms of schizophrenia were evaluated using the Structured Interview for
Prodromal Syndromes in a cross-sectional case-control study of 29 cases and 29
controls, aged 12 to 25 years. Association between social impairment and negative
and positive symptoms levels was examined in cases only. RESULTS: Subjects with
22q11.2DS were highly impaired in social function, social skills, and social
cognition (p <= 6.2 * 10-9) relative to control peers and presented with more
negative (p = 5.8 * 10-11) and positive (p = 7.5 * 10-4) symptoms. In particular,
social functional and skill levels were highly associated with notably
subclinical negative symptoms levels. CONCLUSIONS: This study shows strong
correlations between levels of social impairments and subclinical negative and
positive symptoms. However, longitudinal studies are required to show if social
impairments represent early disease manifestations. If parental or self-reporting
suggests severe social impairment, it should advocate for clinical awareness not
only to social deficits per se but also of potential subclinical psychosis
symptoms.
PMID- 27891189
TI - Variations in DNA methylation of interferon gamma and programmed death 1 in
allograft rejection after kidney transplantation.
AB - BACKGROUND: The role of DNA methylation in the regulation of the anti-donor
directed immune response after organ transplantation is unknown. Here, we studied
the methylation of two mediators of the immune response: the pro-inflammatory
cytokine interferon gamma (IFNgamma) and the inhibitory receptor programmed death
1 (PD1) in naive and memory CD8+ T cell subsets in kidney transplant recipients
receiving immunosuppressive medication. Both recipients experiencing an episode
of acute allograft rejection (rejectors) as well as recipients without rejection
(non-rejectors) were included. RESULTS: CpGs in the promoter regions of both
IFNgamma and PD1 were significantly (p < 0.001) higher methylated in the naive
CD8+ T cells compared to the memory T cell subsets. The methylation status of
both IFNgamma and PD1 inversely correlated with the percentage of IFNgamma or PD1
producing cells. Before transplantation, the methylation status of both IFNgamma
and PD1 was not significantly different from healthy donors. At 3 months after
transplantation, irrespective of rejection and subsequent anti-rejection therapy,
the IFNy methylation was significantly higher in the differentiated effector
memory CD45RA+ (EMRA) CD8+ T cells (p = 0.01) whereas the PD1 methylation was
significantly higher in all memory CD8+ T cell subsets (CD27+ memory; p = 0.02:
CD27- memory; p = 0.02: EMRA; p = 0.002). Comparing the increase in methylation
in the first 3 months after transplantation between rejectors and non-rejectors
demonstrated a significantly more prominent increase in the PD1 methylation in
the CD27- memory CD8+ T cells in rejectors (increase in rejectors 14%, increase
in non-rejectors 1.9%, p = 0.04). The increase in DNA methylation in the other
memory CD8+ T cells was not significantly different between rejectors and non
rejectors. At 12 months after transplantation, the methylation of both IFNgamma
and PD1 returned to baseline levels. CONCLUSIONS: The DNA methylation of both
IFNgamma and PD1 increases the first 3 months after transplantation in memory
CD8+ T cells in kidney transplant recipients. This increase was irrespective of a
rejection episode indicating that general factors of the kidney transplantation
procedure, including the use of immunosuppressive medication, contribute to these
variations in DNA methylation.
PMID- 27891190
TI - Cell-free DNA promoter hypermethylation in plasma as a diagnostic marker for
pancreatic adenocarcinoma.
AB - BACKGROUND: Pancreatic cancer has a 5-year survival rate of only 5-7%.
Difficulties in detecting pancreatic cancer at early stages results in the high
mortality and substantiates the need for additional diagnostic tools. Surgery is
the only curative treatment and unfortunately only possible in localized tumours.
A diagnostic biomarker for pancreatic cancer will have a major impact on patient
survival by facilitating early detection and the possibility for curative
treatment. DNA promoter hypermethylation is a mechanism of early carcinogenesis,
which can cause inactivation of tumour suppressor genes. The aim of this study
was to examine promoter hypermethylation in a panel of selected genes from cell
free DNA, as a diagnostic marker for pancreatic adenocarcinoma. METHODS: Patients
with suspected or biopsy-verified pancreatic cancer were included prospectively
and consecutively. Patients with chronic/acute pancreatitis were included as
additional benign control groups. Based on an optimized accelerated bisulfite
treatment protocol, methylation-specific PCR of a 28 gene panel was performed on
plasma samples. A diagnostic prediction model was developed by multivariable
logistic regression analysis using backward stepwise elimination. RESULTS:
Patients with pancreatic adenocarcinoma (n = 95), chronic pancreatitis (n = 97)
and acute pancreatitis (n = 59) and patients screened, but negative for
pancreatic adenocarcinoma (n = 27), were included. The difference in mean number
of methylated genes in the cancer group (8.41 (95% CI 7.62-9.20)) vs the total
control group (4.74 (95% CI 4.40-5.08)) was highly significant (p < 0.001). A
diagnostic prediction model (age >65, BMP3, RASSF1A, BNC1, MESTv2, TFPI2, APC,
SFRP1 and SFRP2) had an area under the curve of 0.86 (sensitivity 76%,
specificity 83%). The model performance was independent of cancer stage.
CONCLUSIONS: Cell-free DNA promoter hypermethylation has the potential to be a
diagnostic marker for pancreatic adenocarcinoma and differentiate between
malignant and benign pancreatic disease. This study brings us closer to a
clinical useful diagnostic marker for pancreatic cancer, which is urgently
needed. External validation is, however, required before the test can be applied
in the clinic. TRIAL REGISTRATION: ClinicalTrials.gov, NCT02079363.
PMID- 27891191
TI - Birth weight-for-gestational age is associated with DNA methylation at birth and
in childhood.
AB - BACKGROUND: Both higher and lower fetal growth are associated with cardio
metabolic health later in life, suggesting that prenatal developmental
programming determines long-term cardiovascular disease risk. Epigenetic
mechanisms, which orchestrate fetal growth and development, may offer insight on
the early programming of health and disease. We investigated whether birth weight
for-gestational is associated with DNA methylation at birth and mid-childhood,
measured via the Infinium 450K array. METHODS/RESULTS: Participants were from
Project Viva, a pre-birth cohort of pregnant women and their children in Eastern
Massachusetts. After exclusion of participants with maternal type 1 or 2 diabetes
and gestational age <34 weeks, we used DNA methylation assays from 476 venous
umbilical cord blood samples and a subset of 235 who additionally had peripheral
blood samples available in mid-childhood (age 7-10 years). Among 392,918 CpG
sites analyzed, birth weight-for-gestational age z-score was associated with cord
blood DNA methylation at 34 CpGs (false discovery rate P < 0.05), after adjusting
for maternal age, race/ethnicity, education, smoking, parity, delivery mode, pre
pregnancy BMI, gestational diabetes status, child sex, and estimated cord blood
cell proportions based on a cord blood reference panel. Two of these CpGs were
previously reported in epigenome-wide analyses of birth weight, and several other
CpGs map to genes relevant to fetal growth and development. Namely, higher birth
weight-for-gestational age was associated with higher methylation at four CpGs at
the PBX1 locus (e.g., beta (95% CI) for lead signal at cg06750897 = 1.9 (1.2,
2.6)), which encodes a transcription factor that regulates embryonic development.
Birth weight-for-gestational age was also associated with mid-childhood blood DNA
methylation at four of the 34 CpGs identified in cord blood analyses, including
sites at the PBX1 locus described. CONCLUSIONS: We identified CpG sites where
birth weight-for-gestational age was associated with DNA methylation at birth,
and for a subset of these sites, birth weight-for-gestational age was also
associated with DNA methylation at mid-childhood.
PMID- 27891194
TI - Erratum to: Donor age and C1orf132/MIR29B2C determine age-related methylation
signature of blood after allogeneic hematopoietic stem cell transplantation.
AB - [This corrects the article DOI: 10.1186/s13148-016-0257-7.].
PMID- 27891192
TI - The quest for an effective and safe personalized cell therapy using epigenetic
tools.
AB - In the presence of different environmental cues that are able to trigger specific
responses, a given genotype has the ability to originate a variety of different
phenotypes. This property is defined as plasticity and allows cell fate
definition and tissue specialization. Fundamental epigenetic mechanisms drive
these modifications in gene expression and include DNA methylation, histone
modifications, chromatin remodeling, and microRNAs. Understanding these
mechanisms can provide powerful tools to switch cell phenotype and implement cell
therapy. Environmentally influenced epigenetic changes have also been associated
to many diseases such as cancer and neurodegenerative disorders, with patients
that do not respond, or only poorly respond, to conventional therapy. It is clear
that disorders based on an individual's personal genomic/epigenomic profile can
rarely be successfully treated with standard therapies due to genetic
heterogeneity and epigenetic alterations and a personalized medicine approach is
far more appropriate to manage these patients. We here discuss the recent
advances in small molecule approaches for personalized medicine, drug targeting,
and generation of new cells for medical application. We also provide prospective
views of the possibility to directly convert one cell type into another, in a
safe and robust way, for cell-based clinical trials and regenerative medicine.
PMID- 27891193
TI - In epithelial cancers, aberrant COL17A1 promoter methylation predicts its
misexpression and increased invasion.
AB - BACKGROUND: Metastasis is a leading cause of death among cancer patients. In the
tumor microenvironment, altered levels of extracellular matrix proteins, such as
collagens, can facilitate the first steps of cancer cell metastasis, including
invasion into surrounding tissue and intravasation into the blood stream.
However, the degree of misexpression of collagen genes in tumors remains
understudied, even though this knowledge could greatly facilitate the development
of cancer treatment options aimed at preventing metastasis. METHODS: We
systematically evaluate the expression of all 44 collagen genes in breast cancer
and assess whether their misexpression provides clinical prognostic significance.
We use immunohistochemistry on 150 ductal breast cancers and 361 cervical cancers
and study DNA methylation in various epithelial cancers. RESULTS: In breast
cancer, various tests show that COL4A1 and COL4A2 overexpression and COL17A1
(BP180, BPAG2) underexpression provide independent prognostic strength (HR =
1.25, 95% CI = 1.17-1.34, p = 3.03 * 10-10; HR = 1.18, 95% CI = 1.11-1.25, p =
8.11 * 10-10; HR = 0.86, 95% CI = 0.81-0.92, p = 4.57 * 10-6; respectively).
Immunohistochemistry on ductal breast cancers confirmed that the COL17A1 protein
product, collagen XVII, is underexpressed. This strongly correlates with advanced
stage, increased invasion, and postmenopausal status. In contrast,
immunohistochemistry on cervical tumors showed that collagen XVII is
overexpressed in cervical cancer and this is associated with increased local
dissemination. Interestingly, consistent with the opposed direction of
misexpression in these cancers, the COL17A1 promoter is hypermethylated in breast
cancer and hypomethylated in cervical cancer. We also find that the COL17A1
promoter is hypomethylated in head and neck squamous cell carcinoma, lung
squamous cell carcinoma, and lung adenocarcinoma, in all of which collagen XVII
overexpression has previously been shown. CONCLUSIONS: Paradoxically, collagen
XVII is underexpressed in breast cancer and overexpressed in cervical and other
epithelial cancers. However, the COL17A1 promoter methylation status accurately
predicts both the direction of misexpression and the increased invasive nature
for five out of five epithelial cancers. This implies that aberrant epigenetic
control is a key driver of COL17A1 gene misexpression and tumor cell invasion.
These findings have significant clinical implications, suggesting that the
COL17A1 promoter methylation status can be used to predict patient outcome.
Moreover, epigenetic targeting of COL17A1 could represent a novel strategy to
prevent metastasis in patients.
PMID- 27891195
TI - Reflections on a giant of brain science: How lucky we are having Walter J.
Freeman as our beacon in cognitive neurodynamics research.
AB - Walter J. Freeman was a giant of the field of neuroscience whose visionary work
contributed various experimental and theoretical breakthroughs to brain research
in the past 60 years. He has pioneered a number of Electroencephalogram and
Electrocorticogram tools and approaches that shaped the field, while "Freeman
Neurodynamics" is a theoretical concept that is widely known, used, and respected
among neuroscientists all over the world. His recent death is a profound loss to
neuroscience and biomedical engineering. Many of his revolutionary ideas on brain
dynamics have been ahead of their time by decades. We summarize his following
groundbreaking achievements: (1) Mass Action in the Nervous System, from
microscopic (single cell) recordings, through mesoscopic populations, to large
scale collective brain patterns underlying cognition; (2) Freeman-Kachalsky model
of multi-scale, modular brain dynamics; (3) cinematic theory of cognitive
dynamics; (4) phase transitions in cortical dynamics modeled with random graphs
and quantum field theory; (5) philosophical aspects of intentionality,
consciousness, and the unity of brain-mind-body. His work has been admired by
many of his neuroscientist colleagues and followers. At the same time, his
multidisciplinary approach combining advanced concepts of control theory and the
mathematics of nonlinear systems and chaos, poses significant challenges to those
who wish to thoroughly understand his message. The goal of this commemorative
paper is to review key aspects of Freeman's neurodynamics and to provide some
handles to gain better understanding about Freeman's extraordinary intellectual
achievement.
PMID- 27891196
TI - Navigating abstract virtual environment: an eeg study.
AB - Perceptions of different environments are different for different people. An
abstract designed environment, with a degree of freedom from any visual reference
in the physical world requests a completely different perception than a fully or
semi-designed environment that has some correlation with the physical world.
Maximal evidence on the manner in which the human brain is involved/operates in
dealing with such novel perception comes from neuropsychology. Harnessing the
tools and techniques involved in the domain of neuropsychology, the paper
presents nee evidence on the role of pre-central gyrus in the perception of
abstract spatial environments. In order to do so, the research team developed
three different categories of designed environment with different
characteristics: (1) Abstract environment, (2) Semi-designed environment, (3)
Fully designed environment, as experimental sample environments. Perception of
Fully-designed and semi-designed environments is almost the same, [maybe] since
the brain can find a correlation between designed environments and already
experienced physical world. In addition to this, the response to questionnaires
accompanied with a list of buzzwords that have been provided after the
experiments, also describe the characteristics of the chosen sample environments.
Additionally, these results confirm the suitability of continuous
electroencephalography (EEG) for studying Perception from the perspective of
architectural environments.
PMID- 27891198
TI - A novel method for early diagnosis of Alzheimer's disease based on higher-order
spectral estimation of spontaneous speech signals.
AB - One main challenge for medical investigators is the early diagnosis of
Alzheimer's disease (AD) because it provides greater opportunities for patients
to be eligible for more clinical trials. In this study, higher order spectra of
human speech signals during AD were explored to analyze and compare the quadratic
phase coupling of spontaneous speech signals for healthy and AD subjects using
bispectrum and bicoherence. The results showed that the quadratic phase couplings
of spontaneous speech signal of persons with Alzheimer's were reduced compared to
healthy subject. However, the speech phase coupled harmonics shifted to the
higher frequencies in Alzheimer's than healthy subjects. In addition, it was
shown not only are there significant differences between Alzheimer's and control
subjects in parameters estimated, but also the speech patterns appeared to have
fluctuated in both types of spontaneous speech.
PMID- 27891199
TI - Robust frequency recognition for SSVEP-based BCI with temporally local
multivariate synchronization index.
AB - Multivariate synchronization index (MSI) has been proved to be an efficient
method for frequency recognition in SSVEP-BCI systems. It measures the
correlation according to the entropy of the normalized eigenvalues of the
covariance matrix of multichannel signals. In the MSI method, the estimation of
covariance matrix omits the temporally local structure of samples. In this study,
a new spatio-temporal method, termed temporally local MSI (TMSI), was presented.
This new method explicitly exploits temporally local information in modelling the
covariance matrix. In order to evaluate the performance of the TMSI, we performs
a comparison between the two methods on the real SSVEP datasets from eleven
subjects. The results show that the TMSI outperforms the standard MSI. TMSI
benefits from exploiting the temporally local structure of EEG signals, and could
be a potential method for robust performance of SSVEP-based BCI.
PMID- 27891197
TI - The oscillation-like activity in bullfrog ON-OFF retinal ganglion cell.
AB - Oscillatory activity of retinal ganglion cell (RGC) has been observed in various
species. It was reported such oscillatory activity is raised within large neural
network and involved in retinal information coding. In the present research, we
found an oscillation-like activity in ON-OFF RGC of bullfrog retina, and studied
the mechanisms underlying the ON and OFF activities respectively. Pharmacological
experiments revealed that the oscillation-like activity patterns in both ON and
OFF pathways were abolished by GABA receptor antagonists, indicating GABAergic
inhibition is essential for generating them. At the meantime, such activities in
the ON and OFF pathways showed different responses to several other applied
drugs. The oscillation-like pattern in the OFF pathway was abolished by glycine
receptor antagonist or gap junction blocker, whereas that in the ON pathway was
not affected. Furthermore, the blockade of the ON pathway by metabotropic
glutamate receptor agonist led to suppression of the oscillation-like pattern in
the OFF pathway. These results suggest that the ON pathway has modulatory effect
on the oscillation-like activity in the OFF pathway. Therefore, the mechanisms
underlying the oscillation-like activities in the ON and OFF pathways are
different: the oscillation-like activity in the ON pathway is likely caused by
GABAergic amacrine cell network, while that in the OFF pathway needs the
contributions of GABAergic and glycinergic amacrine cell network, as well as gap
junction connections.
PMID- 27891202
TI - New delay-interval-dependent stability criteria for switched Hopfield neural
networks of neutral type with successive time-varying delay components.
AB - This paper deals with the problem of delay-interval-dependent stability criteria
for switched Hopfield neural networks of neutral type with successive time
varying delay components. A novel Lyapunov-Krasovskii (L-K) functionals with
triple integral terms which involves more information on the state vectors of the
neural networks and upper bound of the successive time-varying delays is
constructed. By using the famous Jensen's inequality, Wirtinger double integral
inequality, introducing of some zero equations and using the reciprocal convex
combination technique and Finsler's lemma, a novel delay-interval dependent
stability criterion is derived in terms of linear matrix inequalities, which can
be efficiently solved via standard numerical software. Moreover, it is also
assumed that the lower bound of the successive leakage and discrete time-varying
delays is not restricted to be zero. In addition, the obtained condition shows
potential advantages over the existing ones since no useful term is ignored
throughout the estimate of upper bound of the derivative of L-K functional. Using
several examples, it is shown that the proposed stabilization theorem is
asymptotically stable. Finally, illustrative examples are presented to
demonstrate the effectiveness and usefulness of the proposed approach with a four
tank benchmark real-world problem.
PMID- 27891201
TI - The N170 component is sensitive to face-like stimuli: a study of Chinese Peking
opera makeup.
AB - The N170 component is considered a neural marker of face-sensitive processing. In
the present study, the face-sensitive N170 component of event-related potentials
(ERPs) was investigated with a modified oddball paradigm using a natural face
(the standard stimulus), human- and animal-like makeup stimuli, scrambled control
images that mixed human- and animal-like makeup pieces, and a grey control image.
Nineteen participants were instructed to respond within 1000 ms by pressing the
'F' or 'J' key in response to the standard or deviant stimuli, respectively. We
simultaneously recorded ERPs, response accuracy, and reaction times. The
behavioral results showed that the main effect of stimulus type was significant
for reaction time, whereas there were no significant differences in response
accuracies among stimulus types. In relation to the ERPs, N170 amplitudes
elicited by human-like makeup stimuli, animal-like makeup stimuli, scrambled
control images, and a grey control image progressively decreased. A right
hemisphere advantage was observed in the N170 amplitudes for human-like makeup
stimuli, animal-like makeup stimuli, and scrambled control images but not for
grey control image. These results indicate that the N170 component is sensitive
to face-like stimuli and reflect configural processing in face recognition.
PMID- 27891200
TI - Semantic integration by pattern priming: experiment and cortical network model.
AB - Neural network models describe semantic priming effects by way of mechanisms of
activation of neurons coding for words that rely strongly on synaptic efficacies
between pairs of neurons. Biologically inspired Hebbian learning defines efficacy
values as a function of the activity of pre- and post-synaptic neurons only. It
generates only pair associations between words in the semantic network. However,
the statistical analysis of large text databases points to the frequent
occurrence not only of pairs of words (e.g., "the way") but also of patterns of
more than two words (e.g., "by the way"). The learning of these frequent patterns
of words is not reducible to associations between pairs of words but must take
into account the higher level of coding of three-word patterns. The processing
and learning of pattern of words challenges classical Hebbian learning algorithms
used in biologically inspired models of priming. The aim of the present study was
to test the effects of patterns on the semantic processing of words and to
investigate how an inter-synaptic learning algorithm succeeds at reproducing the
experimental data. The experiment manipulates the frequency of occurrence of
patterns of three words in a multiple-paradigm protocol. Results show for the
first time that target words benefit more priming when embedded in a pattern with
the two primes than when only associated with each prime in pairs. A biologically
inspired inter-synaptic learning algorithm is tested that potentiates synapses as
a function of the activation of more than two pre- and post-synaptic neurons.
Simulations show that the network can learn patterns of three words to reproduce
the experimental results.
PMID- 27891203
TI - Effect of different glucose supply conditions on neuronal energy metabolism.
AB - The glucose-excited neurons in brain can sense blood glucose levels and reflect
different firing states, which are mainly associated with regulation of blood
glucose and energy demand in the brain. In this paper, a new model of glucose
excited neuron in hypothalamus is proposed. The firing properties and energy
consumption of this type of neuron under conditions of different glucose levels
are simulated and analyzed. The results show that the firing rate and firing
duration of the neuron both increase with increasing extracellular glucose
levels, but the maximum energy power for an AP is reduced. Further study suggests
that the neuron firstly absorbs energy substrates (e.g. glucose) from the blood
to prepare for the high energy demand of high-frequency spikes.
PMID- 27891204
TI - Neutral impulsive shunting inhibitory cellular neural networks with time-varying
coefficients and leakage delays.
AB - In this article, we consider a class of neutral impulsive shunting inhibitory
cellular neural networks with time varying coefficients and leakage delays. We
study the existence and the exponential stability of the piecewise differentiable
pseudo almost-periodic solutions and establish sufficient conditions for the
existence and exponential stability of such solutions. An example is provided to
illustrate the theory developed in this work.
PMID- 27891205
TI - The membrane potential process of a single neuron seen as a cumulative damage
process.
AB - A simple integrate-and-fire mechanism of a single neuron can be compared with a
cumulative damage process, where the spiking process is analogous to rupture
sequences of a material under cycles of stress. Although in some cases lognormal
like patterns can be recognized in the inter-spike times under a simple integrate
and-fire mechanism, fatigue life models as the inverse Gaussian distribution and
the Birnbaum-Saunders distribution (which was recently introduced in the neural
activity framework) provide theoretical arguments that make them more suitable
for the modeling of the resulting inter-spike times.
PMID- 27891206
TI - Atomic Structural Evolution during the Reduction of alpha-Fe2O3 Nanowires.
AB - The atomic-scale reduction mechanism of alpha-Fe2O3 nanowires by H2 was followed
using transmission electron microscopy to reveal the evolution of atomic
structures and the associated transformation pathways for different iron oxides.
The reduction commences with the generation of oxygen vacancies that order onto
every 10th [Formula: see text] plane. This vacancy ordering is followed by an
allotropic transformation of alpha-Fe2O3 -> gamma-Fe2O3 along with the formation
of Fe3O4 nanoparticles on the surface of the gamma-Fe2O3 nanowire by a topotactic
transformation process, which shows 3D correspondence between the structures of
the product and its host. These observations demonstrate that the partial
reduction of alpha-Fe2O3 nanowires results in the formation of a unique
hierarchical structure of hybrid oxides consisting of the parent oxide phase,
gamma-Fe2O3, as the one-dimensional wire and the Fe3O4 in the form of
nanoparticles decorated on the parent oxide skeleton. We show that the proposed
mechanism is consistent with previously published and our density functional
theory results on the thermodynamics of surface termination and oxygen vacancy
formation in alpha-Fe2O3. Compared to previous reports of alpha-Fe2O3 directly
transformed to Fe3O4, our work provides a more in-depth understanding with
substeps of reduction, i.e., the whole reduction process follows: alpha-Fe2O3 ->
alpha-Fe2O3 superlattice -> gamma-Fe2O3 + Fe3O4-> Fe3O4.
PMID- 27891207
TI - The Antiapoptosis Effect of Glycyrrhizate on HepG2 Cells Induced by Hydrogen
Peroxide.
AB - This study demonstrated that glycyrrhizate (GAS) could protect HEPG2 cells
against damage and apoptosis induced by H2O2 (1600 MUM, 4 h). Cell viability
assay revealed that GAS was noncytotoxity at concentration 125 ug/mL, and GAS (5
MUg/mL, 25 MUg/mL, and 125 MUg/mL) protected HepG2 cells against H2O2-induced
cytotoxicity. H2O2 induced the HepG2 cells apoptosis, obvious morphologic changes
were observed after Hochest 33258 staining, and more apoptotic cells were counted
in flow cytometry assay compared to that of the natural group. Pretreatment GAS
(5 MUg/mL, 25 MUg/mL, and 125 MUg/mL) prior to H2O2 reverses the morphologic
changes and reduced the apoptotic cells in HepG2 cells. GAS reduced the release
of MDA, increased the activities of superoxide dismutase, and diminished the
release of ALT and AST during oxidative stress in HepG2 cells. After Elisa kit
detecting, GAS inhibited the caspase activity induced by H2O2, GAS decreased the
level of caspase-3 and caspase-9 from mitochondria in dose-dependent manner.
Western blot results showed that pretreatment GAS upregulated the expression of
Bcl-2 and decreased the expression of Bax. These results reveal that GAS has the
cytoprotection in HepG2 cells during ROS exposure by inhibiting the caspase
activity in the mitochondria and influencing apoptogenic factors of the
expression of Bax and Bcl-2.
PMID- 27891208
TI - Endogenous n-3 Fatty Acids Alleviate Carbon-Tetrachloride-Induced Acute Liver
Injury in Fat-1 Transgenic Mice.
AB - n-3 polyunsaturated fatty acids (PUFAs) are beneficial for numerous models of
liver diseases. The probable protective effects of n-3 PUFA against carbon
tetrachloride- (CCl4-) induced acute liver injury were evaluated in a fat-1
transgenic mouse that synthesizes endogenous n-3 from n-6 PUFA. Fat-1 mice and
their WT littermates were fed a modified AIN93 diet containing 10% corn oil and
were injected intraperitoneally with a single dose of CCl4 or vehicle. CCl4
challenge caused severe liver injury in WT mice, as indicated by serum parameters
and histopathological changes, which were remarkably ameliorated in fat-1 mice.
Endogenous n-3 PUFA decreased the elevation of oxidative stress induced by CCl4
challenge, which might be attributed to the activation of Nrf2/keap1 pathway.
Additionally, endogenous n-3 PUFA reduces hepatocyte apoptosis via suppressing
MAPK pathway. These findings indicate that n-3 PUFA has potent protective effects
against acute liver injury induced by CCl4 in mice, suggesting that n-3 PUFA can
be used for the prevention and treatment of liver injury.
PMID- 27891209
TI - Feasibility of Connecting, a Substance-Abuse Prevention Program for Foster Teens
and their Caregivers.
AB - OBJECTIVE: To test the feasibility, usability, and proximal outcomes of
Connecting, an adaptation of a low-cost, self-directed, family-based substance
use prevention program, Staying Connected with Your Teen, with foster families in
a randomized, waitlist control pilot study. METHOD: Families (n = 60) fostering
teens between 11 and 15 years of age were recruited into the study and randomly
assigned into the self-administered program with telephone support from a family
consultant (n = 32) or a waitlist control condition (n = 28). RESULTS: Overall
satisfaction with the program was high, with 100% of parents reporting they would
recommend the program to other caregivers and reporting being "very satisfied" or
"satisfied with the program. Program completion was good, with 62% of families
completing all 91 specified tasks. Analyses of proximal outcomes revealed
increased communication about sex and substance use (posttest1 OR = 1.97, and
2.03, respectively). Teens in the intervention vs. the waitlist condition
reported lower family conflict (OR=.48), and more family rules related to
monitoring (OR = 4.02) and media use (OR = 3.24). Caregivers in the waitlist
group reported significant increases in the teen's positive involvements (partial
eta sq = 17% increase) after receiving the intervention. CONCLUSIONS: Overall,
program participation appeared to lead to stronger family management, better
communication between teens and caregivers around monitoring and media use, teen
participation in setting family rules, and decreased teen attitudes favorable to
antisocial behavior. This small pilot study shows promising results for this
adapted program.
PMID- 27891210
TI - Software-defined Radio Based Measurement Platform for Wireless Networks.
AB - End-to-end latency is critical to many distributed applications and services that
are based on computer networks. There has been a dramatic push to adopt wireless
networking technologies and protocols (such as WiFi, ZigBee, WirelessHART,
Bluetooth, ISA100.11a, etc.) into time-critical applications. Examples of such
applications include industrial automation, telecommunications, power utility,
and financial services. While performance measurement of wired networks has been
extensively studied, measuring and quantifying the performance of wireless
networks face new challenges and demand different approaches and techniques. In
this paper, we describe the design of a measurement platform based on the
technologies of software-defined radio (SDR) and IEEE 1588 Precision Time
Protocol (PTP) for evaluating the performance of wireless networks.
PMID- 27891211
TI - From linked open data to molecular interaction: studying selectivity trends for
ligands of the human serotonin and dopamine transporter.
AB - Retrieval of congeneric and consistent SAR data sets for protein targets of
interest is still a laborious task to do if no appropriate in-house data set is
available. However, combining integrated open data sources (such as the Open
PHACTS Discovery Platform) with workflow tools now offers the possibility of
querying across multiple domains and tailoring the search to the given research
question. Starting from two phylogenetically related protein targets of interest
(the human serotonin and dopamine transporters), the whole chemical compound
space was explored by implementing a scaffold-based clustering of compounds
possessing biological measurements for both targets. In addition, potential hERG
blocking liabilities were included. The workflow allowed studying the selectivity
trends of scaffold series, identifying potentially harmful compound series, and
performing SAR, docking studies and molecular dynamics (MD) simulations for a
consistent data set of 56 cathinones. This delivered useful insights into driving
determinants for hDAT selectivity over hSERT. With respect to the scaffold-based
analyses it should be noted that the cathinone data set could be retrieved only
when Murcko scaffold analyses were combined with similarity searches such as a
common substructure search.
PMID- 27891212
TI - The role of sex-differential biology in risk for autism spectrum disorder.
AB - Autism spectrum disorder (ASD) is a developmental condition that affects
approximately four times as many males as females, a strong sex bias that has not
yet been fully explained. Understanding the causes of this biased prevalence may
highlight novel avenues for treatment development that could benefit patients
with diverse genetic backgrounds, and the expertise of sex differences
researchers will be invaluable in this endeavor. In this review, I aim to assess
current evidence pertaining to the sex difference in ASD prevalence and to
identify outstanding questions and remaining gaps in our understanding of how
males come to be more frequently affected and/or diagnosed with ASD. Though males
consistently outnumber females in ASD prevalence studies, prevalence estimates
generated using different approaches report male/female ratios of variable
magnitude that suggest that ascertainment or diagnostic biases may contribute to
the male skew in ASD. Here, I present the different methods applied and
implications of their findings. Additionally, even as prevalence estimations
challenge the degree of male bias in ASD, support is growing for the long
proposed female protective effect model of ASD risk, and I review the relevant
results from recurrence rate, quantitative trait, and genetic analyses. Lastly, I
describe work investigating several sex-differential biological factors and
pathways that may be responsible for females' protection and/or males' increased
risk predicted by the female protective effect model, including sex steroid
hormone exposure and regulation and sex-differential activity of certain neural
cell types. However, much future work from both the ASD and sex differences
research communities will be required to flesh out our understanding of how these
factors act to influence the developing brain and modulate ASD risk.
PMID- 27891214
TI - One-step immortalization of primary human airway epithelial cells capable of
oncogenic transformation.
AB - BACKGROUND: The ability to transform normal human cells into cancer cells with
the introduction of defined genetic alterations is a valuable method for
understanding the mechanisms of oncogenesis. Easy establishment of immortalized
but non-transformed human cells from various tissues would facilitate these
genetic analyses. RESULTS: We report here a simple, one-step immortalization
method that involves retroviral vector mediated co-expression of the human
telomerase protein and a shRNA targeting the CDKN2A gene locus. We demonstrate
that this method could successfully immortalize human small airway epithelial
cells while maintaining their chromosomal stability. We further showed that these
cells retain p53 activity and can be transformed by the KRAS oncogene.
CONCLUSIONS: Our method simplifies the immortalization process and is broadly
applicable for establishing immortalized epithelial cell lines from primary human
tissues for cancer research.
PMID- 27891215
TI - Hypothesis: may e-cigarette smoking boost the allergic epidemic?
PMID- 27891213
TI - UK guidelines for the management of soft tissue sarcomas.
AB - Soft tissue sarcomas (STS) are rare tumours arising in mesenchymal tissues, and
can occur almost anywhere in the body. Their rarity, and the heterogeneity of
subtype and location means that developing evidence-based guidelines is
complicated by the limitations of the data available. However, this makes it more
important that STS are managed by teams, expert in such cases, to ensure
consistent and optimal treatment, as well as recruitment to clinical trials, and
the ongoing accumulation of further data and knowledge. The development of
appropriate guidance, by an experienced panel referring to the evidence
available, is therefore a useful foundation on which to build progress in the
field. These guidelines are an update of the previous version published in 2010
(Grimer et al. in Sarcoma 2010:506182, 2010). The original guidelines were drawn
up following a consensus meeting of UK sarcoma specialists convened under the
auspices of the British Sarcoma Group (BSG) and were intended to provide a
framework for the multidisciplinary care of patients with soft tissue sarcomas.
This current version has been updated and amended with reference to other
European and US guidance. There are specific recommendations for the management
of selected subtypes of disease including retroperitoneal and uterine sarcomas,
as well as aggressive fibromatosis (desmoid tumours) and other borderline tumours
commonly managed by sarcoma services. An important aim in sarcoma management is
early diagnosis and prompt referral. In the UK, any patient with a suspected soft
tissue sarcoma should be referred to one of the specialist regional soft tissues
sarcoma services, to be managed by a specialist sarcoma multidisciplinary team.
Once the diagnosis has been confirmed using appropriate imaging, plus a biopsy,
the main modality of management is usually surgical excision performed by a
specialist surgeon. In tumours at higher risk of recurrence or metastasis pre- or
post-operative radiotherapy should be considered. Systemic anti-cancer therapy
(SACT) may be utilized in some cases where the histological subtype is considered
more sensitive to systemic treatment. Regular follow-up is recommended to assess
local control, development of metastatic disease, and any late-effects of
treatment. For local recurrence, and more rarely in selected cases of metastatic
disease, surgical resection would be considered. Treatment for metastases may
include radiotherapy, or systemic therapy guided by the sarcoma subtype. In some
cases, symptom control and palliative care support alone will be appropriate.
PMID- 27891216
TI - Evolution of the elaborate male intromittent organ of Xiphophorus fishes.
AB - Internally fertilizing animals show a remarkable diversity in male genital
morphology that is associated with sexual selection, and these traits are thought
to be evolving particularly rapidly. Male fish in some internally fertilizing
species have "gonopodia," highly modified anal fins that are putatively important
for sexual selection. However, our understanding of the evolution of genital
diversity remains incomplete. Contrary to the prediction that male genital traits
evolve more rapidly than other traits, here we show that gonopodial traits and
other nongonopodial traits exhibit similar evolutionary rates of trait change and
also follow similar evolutionary models in an iconic genus of poeciliid fish
(Xiphophorus spp.). Furthermore, we find that both mating and nonmating natural
selection mechanisms are unlikely to be driving the diverse Xiphophorus
gonopodial morphology. Putative holdfast features of the male genital organ do
not appear to be influenced by water flow, a candidate selective force in aquatic
habitats. Additionally, interspecific divergence in gonopodial morphology is not
significantly higher between sympatric species, than between allopatric species,
suggesting that male genitals have not undergone reproductive character
displacement. Slower rates of evolution in gonopodial traits compared with a
subset of putatively sexually selected nongenital traits suggest that different
selection mechanisms may be acting on the different trait types. Further
investigations of this elaborate trait are imperative to determine whether it is
ultimately an important driver of speciation.
PMID- 27891217
TI - Models of Eucalypt phenology predict bat population flux.
AB - Fruit bats (Pteropodidae) have received increased attention after the recent
emergence of notable viral pathogens of bat origin. Their vagility hinders data
collection on abundance and distribution, which constrains modeling efforts and
our understanding of bat ecology, viral dynamics, and spillover. We addressed
this knowledge gap with models and data on the occurrence and abundance of
nectarivorous fruit bat populations at 3 day roosts in southeast Queensland. We
used environmental drivers of nectar production as predictors and explored
relationships between bat abundance and virus spillover. Specifically, we
developed several novel modeling tools motivated by complexities of fruit bat
foraging ecology, including: (1) a dataset of spatial variables comprising
Eucalypt-focused vegetation indices, cumulative precipitation, and temperature
anomaly; (2) an algorithm that associated bat population response with spatial
covariates in a spatially and temporally relevant way given our current
understanding of bat foraging behavior; and (3) a thorough statistical learning
approach to finding optimal covariate combinations. We identified covariates that
classify fruit bat occupancy at each of our three study roosts with 86-93%
accuracy. Negative binomial models explained 43-53% of the variation in observed
abundance across roosts. Our models suggest that spatiotemporal heterogeneity in
Eucalypt-based food resources could drive at least 50% of bat population behavior
at the landscape scale. We found that 13 spillover events were observed within
the foraging range of our study roosts, and they occurred during times when
models predicted low population abundance. Our results suggest that, in southeast
Queensland, spillover may not be driven by large aggregations of fruit bats
attracted by nectar-based resources, but rather by behavior of smaller resident
subpopulations. Our models and data integrated remote sensing and statistical
learning to make inferences on bat ecology and disease dynamics. This work
provides a foundation for further studies on landscape-scale population movement
and spatiotemporal disease dynamics.
PMID- 27891218
TI - Projected changes in climatic suitability for Kinosternon turtles by 2050 and
2070.
AB - Chelonians are expected to be negatively impacted by climate change due to
limited vagility and temperature-dependent sex determination. However, few
studies have examined how freshwater turtle distributions may shift under
different climate change scenarios. We used a maximum entropy approach to model
the distribution of five widespread North American Kinosternon species (K.
baurii, K. flavescens, K. hirtipes, K. sonoriense, and K. subrubrum) under four
climate change scenarios. We found that areas with suitable climatic conditions
for K. baurii and K. hirtipes are expected to decline substantially during the
21st century. In contrast, the area with suitable climate for K. sonoriense will
remain essentially unchanged, while areas suitable for K. flavescens and K.
subrubrum are expected to substantially increase. The centroid for the
distribution of four of the five species shifted northward, while the centroid
for K. sonoriense shifted slightly southward. Overall, centroids shifted at a
median rate of 37.5 km per decade across all scenarios. Given the limited
dispersal ability of turtles, it appears unlikely that range shifts will occur
rapidly enough to keep pace with climate change during the 21st century. The
ability of chelonians to modify behavioral and physiological responses in
response to unfavorable conditions may allow turtles to persist for a time in
areas that have become increasingly unsuitable, but this plasticity will likely
only delay local extinctions.
PMID- 27891219
TI - Environmental selection is a main driver of divergence in house sparrows (Passer
domesticus) in Romania and Bulgaria.
AB - Both neutral and adaptive evolutionary processes can cause population divergence,
but their relative contributions remain unclear. We investigated the roles of
these processes in population divergence in house sparrows (Passer domesticus)
from Romania and Bulgaria, regions characterized by high landscape heterogeneity
compared to Western Europe. We asked whether morphological divergence,
complemented with genetic data in this human commensal species, was best
explained by environmental variation, geographic distance, or landscape
resistance-the effort it takes for an individual to disperse from one location to
the other-caused by either natural or anthropogenic barriers. Using generalized
dissimilarity modeling, a matrix regression technique that fits biotic beta
diversity to both environmental predictors and geographic distance, we found that
a small set of climate and vegetation variables explained up to ~30% of the
observed divergence, whereas geographic and resistance distances played much
lesser roles. Our results are consistent with signals of selection on
morphological traits and of isolation by adaptation in genetic markers,
suggesting that selection by natural environmental conditions shapes population
divergence in house sparrows. Our study thus contributes to a growing body of
evidence that adaptive evolution may be a major driver of diversification.
PMID- 27891220
TI - Diversification in wild populations of the model organism Anolis carolinensis: A
genome-wide phylogeographic investigation.
AB - The green anole (Anolis carolinensis) is a lizard widespread throughout the
southeastern United States and is a model organism for the study of reproductive
behavior, physiology, neural biology, and genomics. Previous phylogeographic
studies of A. carolinensis using mitochondrial DNA and small numbers of nuclear
loci identified conflicting and poorly supported relationships among
geographically structured clades; these inconsistencies preclude confident use of
A. carolinensis evolutionary history in association with morphological,
physiological, or reproductive biology studies among sampling localities and
necessitate increased effort to resolve evolutionary relationships among natural
populations. Here, we used anchored hybrid enrichment of hundreds of genetic
markers across the genome of A. carolinensis and identified five strongly
supported phylogeographic groups. Using multiple analyses, we produced a fully
resolved species tree, investigated relative support for each lineage across all
gene trees, and identified mito-nuclear discordance when comparing our results to
previous studies. We found fixed differences in only one clade-southern Florida
restricted to the Everglades region-while most polymorphisms were shared between
lineages. The southern Florida group likely diverged from other populations
during the Pliocene, with all other diversification during the Pleistocene.
Multiple lines of support, including phylogenetic relationships, a latitudinal
gradient in genetic diversity, and relatively more stable long-term population
sizes in southern phylogeographic groups, indicate that diversification in A.
carolinensis occurred northward from southern Florida.
PMID- 27891221
TI - Brood size moderates associations between relative size, telomere length, and
immune development in European starling nestlings.
AB - For young birds in a nest, body size may have implications for other aspects of
development such as telomere length and immune function. However, it is possible
to predict associations in either direction. On the one hand, there may be trade
offs between growth and telomere maintenance, and growth and investment in immune
function, suggesting there will be negative correlations. On the other hand,
relatively larger individuals might be advantaged in competition with their nest
mates, allowing them to garner more resources overall, leading to positive
correlations. We studied development over the nestling period in 34 nests of wild
European starlings, Sturnus vulgaris. Intrabrood competition is typically more
intense in larger broods. Hence, we predicted that body size should become an
increasingly positive predictor of telomere length and immune functioning as
brood size increases. In partial support of our prediction, there were
significant interactions between brood size and body size in predicting both
erythrocyte telomere length change and plasma levels of the cytokine interleukin
6. The associations between body size and these outcomes went from negative in
the smallest broods to positive in the largest. A further immune marker, high
sensitivity C-reactive protein, showed no systematic patterning with body size or
brood size. Our results confirm that the size to which a nestling grows is
important for telomere dynamics and the development of the immune system, but the
phenotypic associations are moderated by the competitive context.
PMID- 27891222
TI - Epidemiology of Klebsiella pneumoniae bloodstream infections in a teaching
hospital: factors related to the carbapenem resistance and patient mortality.
AB - BACKGROUND: Although Klebsiella pneumoniae bloodstream infections (KP-BSIs) have
recently attracted attention due to an alarming raise in morbidity and mortality,
there have been few reports on the epidemiology of KP-BSIs in mainland China. We
sought to describe the epidemiological, microbiological, and clinical
characteristics of KP-BSIs, focusing on the risk factors of carbapenem resistance
and patient mortality. METHODS: A retrospective analysis of WHONET data of KP-BSI
patients admitted to a teaching hospital in Shanghai, China, between January 1,
2011 and December 31, 2015 was performed, and the annual percentage of patients
with carbapenem-resistant K. pneumoniae (CRKP) was determined. Risk factors
related to the carbapenem resistance and patient mortality were analyzed using
binary logistic regression model. The genetic relatedness of CRKP strains
isolated from intensive care unit (ICU) patients was determined by pulsed-field
gel electrophoresis (PFGE). RESULTS: A total of 293 incidences of KP-BSIs were
identified in a 5-year period, 22.18% of these (65/293) were CRKP strains, and
the proportion of CRKP-BSI in ICU was 59.62% (31/52), equaling the levels
observed in the epidemic regions. A number of KP-BSIs (114), obtained from
January 1, 2014, to December 31, 2015, were further investigated. Skin and soft
tissue infection source (odds ratio [OR] 26.63, 95% confidence interval [CI] 4.8
146.8) and ICU-acquired infection (OR 5.82, 95% CI 2.0-17.2) was shown to be
powerful risk factors leading to the development of CRKP-BSI. The crude 28-day
mortality rates of KP-BSI and CRKP-BSI patients were 22.8% and 33.3%,
respectively. Lung as the probable source of infection (OR 4.23, 95% CI 1.0
17.3), and high Sequential Organ Failure Assessment (SOFA) score (OR 1.40, 95% CI
1.2-1.6) were strong prognostic factors determining crude 28-day KP-BSI mortality
rates. PFGE analysis demonstrated that 10/11 random CRKP isolates in ICU belonged
to the same clonal type. CONCLUSIONS: During the study period, we observed a
significant increase in the occurrence of CRKP infections among the identified KP
BSIs in our hospital and especially in ICU, and we demonstrated that carbapenem
resistance is associated with the increased mortality of KP-BSI patients.
PMID- 27891223
TI - Is ApoE E 4 a good biomarker for amyloid pathology in late onset Alzheimer's
disease?
AB - Amyloid plaques are pathological hallmarks of Alzheimer's Disease (AD) and
biomarkers such as cerebrospinal fluid (CSF) beta-amyloid 1-42 (Abeta1-42) and
amyloid positron emission tomographic (PET) imaging are important in diagnosing
amyloid pathology in vivo. E4 allele of the Apolipoprotein E gene (ApoE E 4),
which is a major genetic risk factor for late onset AD, is an important genetic
biomarker for AD pathophysiology. It has been shown that ApoE E 4 is involved in
Abeta deposition and formation of amyloid plaques. Studies have suggested the
utility of peripheral blood ApoE E 4 in AD diagnosis and risk assessment. However
it is still a matter of debate whether ApoE E 4 status would improve prediction
of amyloid pathology and represent a cost-effective alternative to amyloid PET or
CSF Abeta in resource-limited settings in late onset AD. Recent research suggest
that the mean prevalence of PET amyloid-positivity is 95% in ApoE E 4-positive AD
patients. This short review aims to provide an updated information on the
relationship between ApoE E 4 and amyloid biomarkers.
PMID- 27891224
TI - TCR signaling by conventional CD4+ T cells is required for optimal maintenance of
peripheral regulatory T cell numbers.
AB - To maintain immune tolerance, regulatory T cell (Treg) numbers must be closely
indexed to the number of conventional T cells (Tconvs) so that an adequate
Treg:Tconv ratio can be maintained. Two factors important in this process are the
cytokine interleukin-2 (IL-2) and T cell receptor (TCR) stimulation by major
histocompatibility complex class II (MHC-II). Here, we report that in addition to
TCR stimulation of Tregs themselves, the maintenance of Tregs also requires TCR
signaling by Tconvs. We found that Tconvs produce IL-2 in response to self
peptide-MHC-II complexes and that Tconvs possessing more highly self-reactive
TCRs express more IL-2 at baseline. Furthermore, selective disruption of TCR
signaling in Tconvs led to a trend toward decreased expression of IL-2 and
attenuated their ability to maintain Treg numbers. These data suggest that in
order to maintain an adequate Treg:Tconv ratio, Tregs are continuously indexed to
self-peptide-MHC-II-induced TCR signaling of Tconvs. These results have
implications in attempts to modulate immune tolerance, as Treg numbers adjust to
the self-reactivity, and ultimately IL-2 production by the T cells around them.
PMID- 27891226
TI - Validation of biomarkers to predict response to immunotherapy in cancer: Volume
II - clinical validation and regulatory considerations.
AB - There is growing recognition that immunotherapy is likely to significantly
improve health outcomes for cancer patients in the coming years. Currently, while
a subset of patients experience substantial clinical benefit in response to
different immunotherapeutic approaches, the majority of patients do not but are
still exposed to the significant drug toxicities. Therefore, a growing need for
the development and clinical use of predictive biomarkers exists in the field of
cancer immunotherapy. Predictive cancer biomarkers can be used to identify the
patients who are or who are not likely to derive benefit from specific
therapeutic approaches. In order to be applicable in a clinical setting,
predictive biomarkers must be carefully shepherded through a step-wise, highly
regulated developmental process. Volume I of this two-volume document focused on
the pre-analytical and analytical phases of the biomarker development process, by
providing background, examples and "good practice" recommendations. In the
current Volume II, the focus is on the clinical validation, validation of
clinical utility and regulatory considerations for biomarker development.
Together, this two volume series is meant to provide guidance on the entire
biomarker development process, with a particular focus on the unique aspects of
developing immune-based biomarkers. Specifically, knowledge about the challenges
to clinical validation of predictive biomarkers, which has been gained from
numerous successes and failures in other contexts, will be reviewed together with
statistical methodological issues related to bias and overfitting. The different
trial designs used for the clinical validation of biomarkers will also be
discussed, as the selection of clinical metrics and endpoints becomes critical to
establish the clinical utility of the biomarker during the clinical validation
phase of the biomarker development. Finally, the regulatory aspects of submission
of biomarker assays to the U.S. Food and Drug Administration as well as
regulatory considerations in the European Union will be covered.
PMID- 27891225
TI - Unraveling the role of preexisting immunity in prostate cancer patients
vaccinated with a HER-2/neu hybrid peptide.
AB - BACKGROUND: Cancer vaccines aim at eliciting not only an immune response against
specific tumor antigens, but also at enhancing a preexisting immunity against the
tumor. In this context, we recently reported on the levels of preexisting
immunity in prostate cancer patients vaccinated with the HER-2 hybrid peptide
(AE37), during a phase I clinical trial. The purpose of the current study was to
correlate between preexisting immunity to the native HER-2 peptide, AE36, and
expression of HLA-A2 and -A24 molecules with the clinical outcome. Additionally,
we investigated the ability of the AE37 vaccine to induce an antitumor immune
response against other tumor associated antigens, not integrated in the vaccine
formulation, with respect to the clinical response. METHODS: We analyzed prostate
cancer patients who were vaccinated with the AE37 vaccine [Ii-Key-HER-2/neu(776
790) hybrid peptide vaccine (AE37), which is a MHC class II long peptide vaccine
encompassing MHC class I epitopes, during a phase I clinical trial. Preexisting
immunity to the native HER-2/neu(776-790) (AE36) peptide was assessed by IFNgamma
response or dermal reaction at the inoculation site. Antigen specificity against
other tumor antigens was defined using multimer analysis. Progression free
survival (PFS) was considered as the patients' clinical outcome. Two-tailed
Wilcoxon signed rank test at 95 % confidence interval was used for statistical
evaluation at different time points and Kaplan-Meier curves with log-rank (Mantel
Cox) test were used for the evaluation of PFS. RESULTS: Preexisting immunity to
AE36, irrespectively of HLA expression, was correlated with longer PFS. Specific
CD8+ T cell immunity against E75 and PSA146-151 (HLA-A2 restricted), as well as,
PSA153-161 (HLA-A24 restricted) was detected at relatively high frequencies which
were further enhanced during vaccinations. Specific immunity against PSA153-161
correlated with longer PFS in HLA-A24+ patients. However, HLA-A2+ patients with
high preexisting or vaccine-induced immunity to E75, showed a trend for shorter
PFS. CONCLUSIONS: Our data cast doubt on whether preexisting immunity or epitope
spreading specific for HLA-class I-restricted peptides can actually predict a
favorable clinical outcome. They also impose that preexisting immunity to long
vaccine peptides, encompassing both HLA class II and I epitopes should be
considered as an important prerequisite for the improvement of future
immunotherapeutic protocols. Protocol ID Code: Generex-06-07 National
Organization for Medicines (EOF) ID Code: IS-107-01-06 NEC Study Code:
EED107/1/06 EudraCT Number: 2006-003299-37 Date of registration: 07/06/2006 Date
of enrolment of the first participant to the trial: Nov 1st, 2007.
PMID- 27891228
TI - A probabilistic algorithm to process geolocation data.
AB - BACKGROUND: The use of light level loggers (geolocators) to understand movements
and distributions in terrestrial and marine vertebrates, particularly during the
non-breeding period, has increased dramatically in recent years. However,
inferring positions from light data is not straightforward, often relies on
assumptions that are difficult to test, or includes an element of subjectivity.
RESULTS: We present an intuitive framework to compute locations from twilight
events collected by geolocators from different manufacturers. The procedure uses
an iterative forward step selection, weighting each possible position using a set
of parameters that can be specifically selected for each analysis. The approach
was tested on data from two wide-ranging seabird species - black-browed albatross
Thalassarche melanophris and wandering albatross Diomedea exulans - tracked at
Bird Island, South Georgia, during the two most contrasting periods of the year
in terms of light regimes (solstice and equinox). Using additional information on
travel speed, sea surface temperature and land avoidance, our approach was
considerably more accurate than the traditional threshold method (errors reduced
to medians of 185 km and 145 km for solstice and equinox periods, respectively).
CONCLUSIONS: The algorithm computes stable results with uncertainty estimates,
including around the equinoxes, and does not require calibration of solar angles.
Accuracy can be increased by assimilating information on travel speed and
behaviour, as well as environmental data. This framework is available through the
open source R package probGLS, and can be applied in a wide range of biologging
studies.
PMID- 27891229
TI - Nicotine replacement therapy for agitation and delirium management in the
intensive care unit: a systematic review of the literature.
AB - BACKGROUND: Active smokers are prevalent within the intensive care setting and
place a significant burden on healthcare systems. Nicotine withdrawal due to
forced abstinence on admission may contribute to increased agitation and delirium
in this patient group. The aim of this systematic review was to determine whether
management of nicotine withdrawal, with nicotine replacement therapy (NRT),
reduces agitation and delirium in critically ill patients admitted to the
intensive care unit (ICU). METHODS: The following sources were used in this
review: MEDLINE, EMBASE, and CINAHL Plus databases. Included studies reported
delirium or agitation outcomes in current smokers, where NRT was used as
management of nicotine withdrawal, in the intensive care setting. Studies were
included regardless of design or number of participants. Data were extracted on
ICU classification; study design; population baseline characteristics; allocation
and dose of NRT; agitation and delirium assessment methods; and the frequency of
agitation, delirium, and psychotropic medication use. RESULTS: Six studies were
included. NRT was mostly prescribed for smokers with heavier smoking histories.
Three studies reported an association between increased agitation or delirium and
NRT use; one study could not find any significant benefit or harm from NRT use;
and two described a reduction of symptomatic nicotine withdrawal. A lack of
consistent and validated assessment measures, combined with limitations in the
quality of reported data, contribute to conflicting results. CONCLUSIONS: Current
evidence for the use of NRT in agitation and delirium management in the ICU is
inconclusive. An evaluation of risk versus benefit on an individual patient basis
should be considered when prescribing NRT. Further studies that consider
prognostic balance, adjust for confounders, and employ validated assessment tools
are urgently needed.
PMID- 27891227
TI - Society for Immunotherapy of Cancer consensus statement on immunotherapy for the
treatment of renal cell carcinoma.
AB - Immunotherapy has produced durable clinical benefit in patients with metastatic
renal cell cancer (RCC). In the past, patients treated with interferon-alpha
(IFN) and interleukin-2 (IL-2) have achieved complete responses, many of which
have lasted for multiple decades. More recently, a large number of new agents
have been approved for RCC, several of which attack tumor angiogenesis by
inhibiting vascular endothelial growth factors (VEGF) and VEGF receptors (VEGFR),
as well as tumor metabolism, inhibiting the mammalian target of rapamycin (mTOR).
Additionally, a new class of immunotherapy agents, immune checkpoint inhibitors,
is emerging and will play a significant role in the treatment of patients with
RCC. Therefore, the Society for Immunotherapy of Cancer (SITC) convened a Task
Force, which met to consider the current role of approved immunotherapy agents in
RCC, to provide guidance to practicing clinicians by developing consensus
recommendations and to set the stage for future immunotherapeutic developments in
RCC.
PMID- 27891230
TI - Development of a population pharmacokinetic model of prucalopride in children
with functional constipation.
AB - A recent phase 3 trial of prucalopride in children with functional constipation
(SPD555-303 ClinicalTrials.gov Identifier: NCT01330381) reported negative
efficacy results. Here, we developed a population pharmacokinetic (PK) model of
prucalopride in children to assess prucalopride exposure in SPD555-303. An
initial population PK model in children was developed based on sampled single
dose data from a phase 1 study (PRU-USA-12). This model was subsequently updated
with sampled data from SPD555-303 and used to simulate plasma concentration-time
profiles for children aged 6 months to 18 years who were treated once daily with
prucalopride 0.02, 0.04, or 0.06 mg kg-1 (maximum dose, 2 mg). Simulated PK
profiles were compared with those of adults at the recommended dose of 2 mg once
daily. Data were available from 38 patients (median age, 8.5 years) in PRU-USA-12
and 137 patients (median age, 7.9 years) in SPD555-303. Mean (range) area under
the plasma concentration-time curve (AUC) at steady state was 62.3 (40.5-82.7) ng
mL-1 h (dose, 0.03 mg kg-1) in PRU-USA-12 and 100.3 (22.7-286.0) ng mL-1 h (dose,
0.04 mg kg-1; maximum, 2 mg) in SPD555-303. Prucalopride 0.04 mg kg-1 once daily
in children produced similar maximum plasma concentrations and approximately 10%
lower AUC compared with adults receiving 2 mg once daily. This population PK
analysis indicates that the PK profile of prucalopride in children in SPD555-303
was similar to that observed in adults. The negative efficacy results of SPD555
303 cannot be explained by differences in prucalopride exposure between children
and adults.
PMID- 27891231
TI - A pharmacokinetic evaluation and metabolite identification of the GHB receptor
antagonist NCS-382 in mouse informs novel therapeutic strategies for the
treatment of GHB intoxication.
AB - Gamma-aminobutyric acid (GABA) is an endogenous inhibitory neurotransmitter and
precursor of gamma-hydroxybutyric acid (GHB). NCS-382 (6,7,8,9-tetrahydro-5
hydroxy-5H-benzo-cyclohept-6-ylideneacetic acid), a known GHB receptor
antagonist, has shown significant efficacy in a murine model of succinic
semialdehyde dehydrogenase deficiency (SSADHD), a heritable neurological disorder
featuring chronic elevation of GHB that blocks the final step of GABA
degradation. NCS-382 exposures and elimination pathways remain unknown;
therefore, the goal of the present work was to obtain in vivo pharmacokinetic
data in a murine model and to identify the NCS-382 metabolites formed by mouse
and human. NCS-382 single-dose mouse pharmacokinetics were established following
an intraperitoneal injection (100, 300, and 500 mg/kg body weight) and metabolite
identification was conducted using HPLC-MS/MS. Kinetic enzyme assays employed
mouse and human liver microsomes. Upon gaining an understanding of the NCS-382
clearance mechanisms, a chemical inhibitor was used to increase NCS-382 brain
exposure in a pharmacokinetic/pharmacodynamic study. Two major metabolic pathways
of NCS-382 were identified as dehydrogenation and glucuronidation. The Km for the
dehydrogenation pathway was determined in mouse (Km = 29.5 +/- 10.0 MUmol/L) and
human (Km = 12.7 +/- 4.8 MUmol/L) liver microsomes. Comparable parameters for
glucuronidation were >100 MUmol/L in both species. Inhibition of NCS-382
glucuronidation, in vivo, by diclofenac resulted in increased NCS-382 brain
concentrations and protective effects in gamma-butyrolactone-treated mice. These
initial evaluations of NCS-382 pharmacokinetics and metabolism inform the
development of NCS-382 as a potential therapy for conditions of GHB elevation
(including acute intoxication & SSADHD).
PMID- 27891232
TI - Prevalence of transfusion transmissible infections in blood donors of Pakistan.
AB - BACKGROUND: Transfusion-transmitted infections threaten the safety of patients
requiring blood transfusion, which in turn imposes serious challenges for the
availability of safe blood products that are still affordable in health care
systems with limited resources. The aim of the study was to determine the
prevalence of transfusion-transmitted infections in blood donors and to evaluate
the demographic characteristics of reactive and non-reactive blood donors.
METHODS: A prospective cohort study was conducted at our institute in Karachi,
Pakistan. Donors were required to fill a detailed questionnaire and were screened
for Hepatitis B, Hepatitis C, Human immunodeficiency viruses, Syphilis and
Malaria by ELISA and thick film (malaria). RESULTS: Of the 16,602 blood donors,
16,557 were males and 45 females (mean age 28.6 +/- 2). Nine hundred and seventy
three (5.8%) donations were reactive in any screening assay, with 58 (0.35%)
donations reacting in more than one assay. The prevalence of Hepatitis B,
Hepatitis C, Human immunodeficiency viruses, Syphilis and Malaria was found to be
1.84, 1.7, 0.04, 2.1 and 0.07% respectively. Characteristics among the infections
were evaluated and it was found that unmarried donors had a higher chance to be
infected by Hepatitis B virus and Syphilis as compared to the other infections.
On the other hand, construction workers and married donors were at more risk to
be infected by Syphilis rather than the other infections. In case of co
infections, personnel with different occupations and marital status were infected
by more than one pathogen. CONCLUSION: A substantial percentage of the blood
donor's harbored transfusion-transmitted infections. Prevention of TTIs should be
the main goal right now. There is a need for stringent selection of blood donors
with the emphasis on getting voluntary donations and comprehensive screening of
donor's blood for TTIs using standard methods to ensure the safety of blood
recipient.
PMID- 27891233
TI - Effectiveness and implementation of a community-based prevention programme
targeting anabolic androgenic steroid use in gyms: study protocol of a quasi
experimental control group study.
AB - BACKGROUND: During the past decades, concerns about increased anabolic androgenic
steroid (AAS) use among recreational sportspeople have been raised, yet there is
a paucity of AAS prevention efforts targeting this group. Accordingly, doping
prevention efforts aimed at gyms have been recommended. The overall objective of
the present project is to examine a prevention programme named 100% Pure Hard
Training (100% PHT), which targets AAS use among recreational sportspeople
training in gyms. Specifically, the project aims to: 1) assess the prevalence of
AAS, and its associations with alcohol, illicit drugs, and nutritional
supplements use; 2) examine whether 100% PHT can decrease AAS use in gyms, and 3)
provide insights into which factors facilitate and/or impede implementation of
the programme. METHODS/DESIGN: The intervention group consists of 27 gyms, and 27
gyms serve as controls. Intervention gyms take part in 100% PHT, a community
based programme involving several components: (a) training of key stakeholders
(i.e., gym staff, gym owners, local police, and municipal prevention
coordinators) regarding AAS use; (b) developing an action plan for AAS prevention
for each gym; (c) certification of gyms that follow 100% PHT; (d) cooperative
relationship between stakeholders; (e) annual follow-up of gyms. The project
consists of two studies: Study A will examine the prevalence of AAS use and the
effectiveness of 100% PHT (aims 1 and 2), and data for Study A will be collected
using questionnaires distributed to gym attendees at two assessment points:
baseline (pre-intervention) and follow-up (post-intervention). Study B will
evaluate the implementation of 100% PHT (aim 3), and semi-structured interviews
with participating stakeholders will be carried out post-intervention.
DISCUSSION: Knowledge gained from the present project can be used to develop
community-based doping prevention efforts aimed at recreational sportspeople
training in gyms. Furthermore, it can provide insights into which factors are
important for successful implementation of AAS prevention programmes that target
gyms. Results are also expected to yield information on the prevalence of AAS use
as well as associations between the use of AAS and other licit and illicit
substances, including nutritional supplements, among recreational sportspeople.
TRIAL REGISTRATION: The study was registered retrospectively at isrctn.com
(Identifier: ISRCTN11655041; Registration date: 3 November 2016;).
PMID- 27891234
TI - Normative values for musculoskeletal- and neuromotor fitness in apparently
healthy Norwegian adults and the association with obesity: a cross-sectional
study.
AB - BACKGROUND: Up-to-date research on musculoskeletal- and neuromotor fitness (MSMF)
is lacking. The aims of the present paper were to a) establish normative values
of MSMF by gender and age, and b) to assess how much of the variance in MSMF can
be explained by obesity in adults. METHODS: A random selection of 726 Norwegians
(20-65 years) participated in a national cross-sectional study. Muscular
endurance, muscular strength, explosive power, flexibility and balance were
assessed in addition to waist circumference (WC). RESULTS: Females displayed
significantly higher scores compared to males on muscular endurance of the back
extensors and on the flexibility tests (p < 0.001). Males displayed significantly
higher scores than females (p < 0.001) on handgrip strength, modified push-ups,
and explosive power. An inverse association was found between age and all MSMF
scores for females (Beta:-0.06-(-0.92), p <= 0.044) and males (Beta:-0.15-(0.91),
p <= 0.006), where younger participants displayed higher test scores on all MSMF
tests, compared to older participants. Furthermore, participants showing higher
scores on WC displayed lower scores on the following MSMF tests for both females
and males: muscular endurance of the back extensors, balance, flexibility of the
shoulder, and explosive power (p < 0.001). Additionally, male participants with
higher WC scores showed lower scores on muscular endurance of the upper body and
flexibility of the hamstrings compared to males with lower WC scores (p < 0.001).
CONCLUSIONS: The data provide normative values of MSMF for adults based on age
and gender, and support an inverse relationship of MSMF to age and WC.
PMID- 27891235
TI - Interaction and medical inducement between pharmaceutical representatives and
physicians: a meta-synthesis.
AB - BACKGROUND: It has been proven that the interaction between pharmaceutical
representatives and physicians can directly influence the latter's prescribing
behaviour. This meta-synthesis aims to explore the available studies regarding
the nature of the interaction that takes place between pharmaceutical
representatives and physicians. It highlights the different aspects of that
interaction by investigating the reasons why these meetings happen in the first
place, their benefits and drawbacks and their impact on patients' health and,
ultimately, the health of the public. METHODS: A search for published articles
was conducted in April 2015. Three databases (PubMed, Ovid Medline, and ProQuest)
were searched for articles published between January 2000 and April 2015. Authors
worked autonomously and in pairs to select eligible articles. In this case, the
meta-synthesis approach was used to develop a fuller understanding and to
facilitate new knowledge by bringing together qualitative findings on physician
PR interaction. 'Meta-synthesis' is the process of amalgamation of a group of
similar studies with the aim of developing an explanation for their findings
(Walsh and Downe, J Advanc Nurs 50: 204-211, 2005). A thematic content analysis
was conducted on the 15 included full text articles (qualitative and quantitative
studies) whereby the original authors' understanding of key concepts in each
study was identified and listed in a summary form in the data extraction sheet
under "key findings" column. These findings were then juxtaposed to identify
homogeneity and dissonance (Walsh and Downe, J Advanc Nurs 50: 204-211, 2005).
Homogenous findings were then coded together on a different data extraction table
to form a theme. RESULTS: A total of 15 articles met the inclusion criteria and
were included in this meta-synthesis;six from the United States, two from Libya,
and one each from Turkey, Peru, India, Germany, the United Kingdom, Yemen, and
Japan. Six main themes were derived from the included articles: 1-the frequency
of pharmaceutical representatives' visits, 2-the perceived ethical acceptability
of the interactions between pharmaceutical representatives and physicians, 3-the
attitudes held by physicians towards visits by pharmaceutical representatives, 4
their perception of the effect of such visits on prescription patterns, 5-reasons
to accept or reject pharmaceutical representatives, and lastly, 6-guidelines.
CONCLUSIONS: The physicians referred to pharmaceutical representatives as
efficient and convenient information resources and were willing to meet them and
accept their gifts. It was also evident that most physicians believed that their
prescribing would not be influenced by pharmaceutical representatives.
PMID- 27891236
TI - Morbidity patterns among the welders of eastern Nepal: a cross-sectional study.
AB - BACKGROUND: Welding process has many hazards that the welders are exposed to
resulting in numbers of health effects and diseases. Safety measures and
practices among welders are important ways of preventing or reducing the health
hazards associated with this occupation. We conducted this study to find out the
morbidity patterns among the welders working in eastern Nepal. METHODS: A cross
sectional study was conducted among 300 welders using semi structured
questionnaire. Morbidity categories were classified based on symptoms experienced
in past 6 months. RESULTS: All the welders learned welding by apprenticeship,
without any formal health and safety training. Injury was the most common problem
at work followed by skin problems and eye symptoms. Age of the welders, duration
of employment & welding hours per day were associated with the morbidities among
the welders. CONCLUSIONS: There is a need for occupational health services for
welders in Nepal. While further research may be required to make policy
recommendations, the current study provides a baseline morbidity burden among
these welders to look for interventions to promote health and safety at work for
this neglected group of workers in Nepal.
PMID- 27891237
TI - The impact of fire suppression tasks on firefighter hydration: a critical review
with consideration of the utility of reported hydration measures.
AB - BACKGROUND: Firefighting is a highly stressful occupation with unique physical
challenges, apparel and environments that increase the potential for dehydration.
Dehydration leaves the firefighter at risk of harm to their health, safety and
performance. The purpose of this review was to critically analyse the current
literature investigating the impact of fighting 'live' fires on firefighter
hydration. METHODS: A systematic search was performed of four electronic
databases for relevant published studies investigating the impact of live fire
suppression on firefighter hydration. Study eligibility was assessed using strict
inclusion and exclusion criteria. The included studies were critically appraised
using the Downs and Black protocol and graded according to the Kennelly grading
system. RESULTS: Ten studies met the eligibility criteria for this review. The
average score for methodological quality was 55 %, ranging from 50 % ('fair'
quality) to 61 % ('good' quality) with a 'substantial agreement' between raters
(k = .772). Wildfire suppression was considered in five studies and structural
fire suppression in five studies. Results varied across the studies, reflecting
variations in outcome measures, hydration protocols and interventions. Three
studies reported significant indicators of dehydration resulting from structural
fire suppression, while two studies found mixed results, with some measures
indicating dehydration and other measures an unchanged hydration status. Three
studies found non-significant changes in hydration resulting from wildfire
firefighting and two studies found significant improvements in markers of
hydration. Ad libitum fluid intake was a common factor across the studies finding
no, or less severe, dehydration. CONCLUSIONS: The evidence confirms that
structural and wildfire firefighting can cause dehydration. Ad libitum drinking
may be sufficient to maintain hydration in many wildfire environments but
possibly not during intense, longer duration, hot structural fire operations.
Future high quality research better quantifying the effects of these influences
on the degree of dehydration is required to inform policies and procedures that
ensure firefighter health and safety.
PMID- 27891238
TI - The effect of long working hours on 10-year risk of coronary heart disease and
stroke in the Korean population: the Korea National Health and Nutrition
Examination Survey (KNHANES), 2007 to 2013.
AB - BACKGROUND: Recently, the emergence of long working hours and the associated
conditions such as coronary heart disease (CHD) and stroke have gained attention.
The aim of this study was to investigate the association between long working
hours and the 10-year-risk of CHD and stroke, estimated by Jee's health risk
appraisal model for ischemic heart disease. METHODS: We analyzed data from
Koreans who randomly enrolled in Korean National Health and Nutrition Examination
Survey 2008-2012 and finally included 13,799 participants. The participants were
classified as per their working hours: 0-30 h/week, 31-39 h/week, 40 h/week, 41
50 h/week, 51-60 h/week, 61-70 h/week, 71-80 h/week, and >80 h/week. The risks
for CHD and stroke were determined using Jee's health risk-appraisal model.
Multiple logistic regression was used to analyze the association between working
hours and 10-year risk for CHD. RESULTS: The 10-year risks for CHD and stroke
were significantly and positively associated with working hours in both men and
women. Furthermore, higher risks for CHD and stroke were associated with longer
working hours in women. CONCLUSION: Long working hours are significantly
associated with the risks of CHD and stroke, estimated by Jee's health risk
appraisal model. This study suggests the need for proper management of working
hours to reduce CHD risk and stroke risk in the Korean population.
PMID- 27891239
TI - Two cases of methyl alcohol intoxication by sub-chronic inhalation and dermal
exposure during aluminum CNC cutting in a small-sized subcontracted factory.
AB - BACKGROUND: Methyl alcohol poisoning has been mainly reported in community. Two
cases of methyl alcohol poisoning occurred in a small-sized subcontracted factory
which manufactured smartphone parts in Korea. CASE PRESENTATION: One young female
patient presented with dyspnea and visual disturbance. Another young male patient
presented with visual disturbance and myalgia. They treated with sodium
bicarbonate infusion and hemodialysis for metabolic acidosis. In addition, he
received ethyl alcohol per oral treatment. Her and his urinary methyl alcohol
concentration was detected as 7.632 mg/L, 46.8 mg/L, respectively, although they
were treated hemodialysis. Results of the working environment measurement showed
that the concentration of methyl alcohol (1030.1-2220.5 ppm) in the air exceeded
the time weighted average (200 ppm). They were diagnosed with optic neuropathy
due to methyl alcohol poisoning and still have visual impairment. CONCLUSIONS:
Workers who hired as dispatched employees in a small-sized subcontracted factory
were exposed to high concentrations of methyl alcohol. The workplace had poor
ventilation system. In addition, workers did not wear proper personal protect
equipment. Working environment measurement and annual chekups for workers were
not performed. They were in a blind spot to occupational safety and health. More
attention is needed to protect vulnerable workers' health.
PMID- 27891240
TI - Differences in the clinical manifestations and short-term prognosis of acute
cerebral infarction after exposure to Agent Orange.
AB - BACKGROUND: Agent Orange (AO) is the code name for one of the herbicides and
defoliants used in the Vietnam War. Studies conducted thus far show a significant
correlation between AO and the occurrence of cardiovascular diseases. But there
is little data on the association between AO and stroke, and limited studies have
targeted patient groups exposed to AO. METHOD: Bohun medical center Institutional
Review Board (IRB) approved the study. (ID: 341) We studied patients with acute
ischemic stroke within 7 days of onset in VHS medical center and 4 other general
hospitals. Among them, 91 consecutive patients with previous exposure to AO were
evaluated. For controlled group, 288 patients with no history of AO exposure were
chosen. RESULT: There were 49 (44.0 %) DM patient with a higher frequency in the
exposure group (93 (32.3 %) in control P = 0.045). There were 6 (6.6 %)
hyperlipidemia in exposure group and 69 (24.0 %) in control. (P < 0.002). Small
vessel occlusion was the most common subtype (36, 39.6 %) in exposure group but
in control group, the large artery atherosclesosis was (120, 41.7 %) (P = 0.014).
The NIHSS of the exposure group on admission showed lower scores (median values,
2 and 4, respectively; P = 0.003). The median mRS was 1 for the exposure group
and 2 for the control group, at discharge and after 3 months. After 3 months of
discharge, 55 (60.4 %) in the exposure group and 171 (59.4 %) in the control
group showed below mRS 1 (P = 0.001). CONCLUSION: This study targeted patients
who are Vietnam veteran. There is some difference in vascular risk factors and
clinical manifestations suggest AO exposure has contributed to a certain extent
to the stroke.
PMID- 27891241
TI - No evidence of prenatal diversifying selection at locus or supertype levels in
the dog MHC class II loci.
AB - BACKGROUND: Despite decades of studying, the mechanisms maintaining high
diversity in the genes of the Major Histocompatibility Complex (MHC) are still
puzzling scientists. In addition to pathogen recognition and other functions, MHC
molecules may act prenatally in mate choice and in maternal-foetal interactions.
These interactions are potential selective mechanisms that increase genetic
diversity in the MHC. During pregnancy, immune response has a dual role: the
foetus represents foreign tissue compared to mother, but histo-incompatibility is
required for successful pregnancy. We have studied the prenatal selection in MHC
class II loci (DLA-DQA1, DLA-DQB1 and DLA-DRB1) in domestic dogs by comparing the
observed and expected offspring genotype proportions in 110 dog families. Several
potential selection targets were addressed, including the peptide-binding site,
the MHC locus, three-locus haplotype and supertype levels. For the supertype
analysis, the first canine supertype classification was created based on in
silico analysis of peptide-binding amino-acid polymorphism. RESULTS: In most loci
and levels, no deviation from the expected genotype frequencies was observed.
However, one peptide-binding site in DLA-DRB1 had an excess of heterozygotes
among the offspring. In addition, if the father shared a DLA-DRB1 allele with the
mother, that allele was inherited by the offspring more frequently than expected,
suggesting the selective advantage of a histo-compatible foetus, in contrast to
our expectations. CONCLUSIONS: We conclude that there is some evidence of post
copulatory selection at nucleotide site level in the MHC loci of pet dogs. But
due to no indication of selection at locus, three-locus, or supertype levels, we
estimated that the prenatal selection coefficient is less than 0.3 in domestic
dogs and very likely other factors are more important in maintaining the genetic
diversity in MHC loci.
PMID- 27891242
TI - Community factors related to healthy eating & active living in counties with
lower than expected adult obesity rates.
AB - BACKGROUND: Adult obesity rates in the United States have reached epidemic
proportions, yet vary considerably across states and counties. We sought to
explore community-level factors that may be associated with reduced adult obesity
rates at the county level. METHODS: We identified six U.S. counties that were
positive deviants for adult obesity and conducted semi-structured interviews with
community leaders and government officials involved in efforts to promote
healthier lifestyles. Using site visits and in-depth qualitative interviews, we
identified several recurrent themes and strategies. RESULTS: Participants: 1)
developed a nuanced understanding of their communities; 2) recognized the complex
nature of obesity, and 3) implemented a county-wide strategic approach for
promoting healthy living. This county-wide approachwas used to a) break down
silos and build partnerships, b) access community resources and connections, and
c) transfer ownership to community members. CONCLUSIONS: We found that county
leaders focused on establishing a county-wide structure to connect and support
community-led initiatives to promote healthy living, reduce obesity, and foster
sustainability. Findings from this study can help inform county-level efforts to
improve healthy living and combat the multi-faceted challenges of adult obesity
across the U.S.
PMID- 27891243
TI - A comprehensive musculoskeletal and peripheral nervous system assessment of war
related bilateral upper extremity amputees.
AB - BACKGROUND: Upper limb amputations are one of the unpleasant war injuries that
armed forces are exposed to frequently. The present study aimed to assess the
musculoskeletal and peripheral nervous systems in Iraq-Iran war veterans with
bilateral upper extremity amputation. METHODS: The study consisted of taking a
history and clinical examinations including demographic data, presence and
location of pain, level of amputation, passive and active ranges of movement of
the joints across the upper and lower extremities and spine, manual palpation,
neurological examination, blood circulation pulses and issues related to a
prosthetic limb. In this study, 103 Iranian bilateral upper extremity amputees
(206 amputations) from the Iran-Iraq war were evaluated, and a detailed
questionnaire was also administered. RESULTS: The most common level of amputation
was the finger or wrist level (108, 52.4 %). Based on clinical examination, we
found high frequencies of limited active and passive joint range of movement
across the scapula, shoulder, elbow, wrist and metacarpophalangeal,
interphalangeal and thumb joints. Based on muscle strength testing, we found
varying degrees of weakness across the upper limbs. Musculoskeletal disorders
included epicondylitis (65, 31.6 %), rotator cuff injury (24, 11.7 %), bicipital
tendonitis (69, 33.5 %), shoulder drop (42, 20.4 %) and muscle atrophy (19, 9.2
%). Peripheral nerve disorders included carpal tunnel syndrome in 13 (6.3 %) and
unilateral brachial plexus injury in 1 (1 %). Fifty-three (51.5 %) were diagnosed
with facet joint syndrome at the level of the cervical spine (the most frequent
site). Using a prosthesis was reported by 65 (63.1 %), both left and right sides.
The back was the most common site of pain (71.8 %). CONCLUSION: The high
prevalence of neuro-musculoskeletal disorders among bilateral upper extremity
amputees indicates that they need regular rehabilitation care.
PMID- 27891245
TI - Genetic diversity of Halla horses using microsatellite markers.
AB - BACKGROUND: Currently about 26,000 horses are breeding in Korea and 57.2% (14,776
horses) of them are breeding in Jeju island. According to the statistics
published in 2010, the horses breeding in Jeju island are subdivided into Jeju
horse (6.1%), Thoroughbred (18.8%) and Halla horse (75.1%). Halla horses are
defined as a crossbreed between Jeju and Thoroughbred horses and are used for
horse racing, horse riding and horse meat production. However, little research
has been conducted on Halla horses because of the perception of crossbreed and
people's weighted interest toward Jeju horses. METHOD: Using 17 Microsatellite
(MS) Markers recommended by International Society for Animal Genetics (ISAG),
genomic DNAs were extracted from the hair roots of 3,880 Halla horses breeding in
Korea and genetic diversity was identified by genotyping after PCR was performed.
RESULTS AND CONCLUSION: In average, 10.41 alleles (from 6 alleles in HTG7 to 17
alleles in ASB17) were identified after the analysis using 17 MS Markers. The
mean value of Hobs was 0.749 with a range from 0.612(HMS1) to 0.857(ASB2). Also,
it was found that Hexp and PIC values were lowest in HMS1 (0.607 and 0.548,
respectively), and highest in LEX3(0.859 and 0.843, respectively), and the mean
value of Hexp was 0.760 and that of PIC was 0.728. 17 MS markers used in this
studies were considered as appropriate markers for the polymorphism analysis of
Halla horses. The frequency for the appearance of identical individuals was 5.90
* 10-20 when assumed as random mating population and when assumed as half-sib and
full-sib population, frequencies were 4.08 * 10-15 and 3.56 * 10-8, respectively.
Based on these results, the 17 MS markers can be used adequately for the
Individual Identification and Parentage Verification of Halla horses. Remarkably,
allele M and Q of ASB23 marker, G of HMS2 marker, H and L of HTG6 marker, L of
HTG7 marker, E of LEX3 marker were the specific alleles unique to Halla horses.
PMID- 27891246
TI - Two-headed mutants of the lamprey, a basal vertebrate.
AB - BACKGROUND: This is the first report of two-headed (bicephaly) lamprey twins.
Although lampreys sit at a crucial phylogenetic position, there are only a few
reports on their teratology and developmental abnormalities. RESULTS: Two-headed
mutants were obtained by artificial fertilization in the laboratory as
spontaneous occurrences. All mutants were derived from single fertilizations
using single male and female gametes, suggestive of a genetic background. The
anterio-posterior position of the axonal bifurcation and symmetricity varied in
each mutant. Other malformations were coincidently observed, including
pericardial edema, yolk sac edema and axial bending. Asymmetrical (lateral-
branched) mutants displayed more severe abnormalities in the cranial nerves than
symmetrical mutants. CONCLUSION: Two-headed mutants of the lamprey are described.
These mutants have similar malformations to dorsal blastopore lip-transplanted
lamprey embryos, suggesting that they could be generated by a disorder in head
organizing activity.
PMID- 27891244
TI - The effectiveness of regional cooling for paclitaxel-induced peripheral
neuropathy.
AB - BACKGROUND: There are currently no promising therapies available to treat or
prevent peripheral neuropathy (PN) induced by anticancer drugs in a cumulative
dose-dependent manner. In this study, we investigated the efficacy of regional
cooling of hands and feet in preventing paclitaxel (PTX)-induced PN. METHODS:
Patients with gynecologic cancer who received a tri-weekly cycle of chemotherapy
including PTX at doses of 150-175 mg/m2 were included in this study. Regional
cooling was performed by covering patient hands and feet with cold insulators
during PTX administration (regional cooling group). The primary end-point was
>=grade 2 PN evaluated by the Common Terminology Criteria for Adverse Events
(CTCAE) v4.0. The secondary end-points were the frequency of PN therapeutic drug
use, PTX dose reduction due to PN, and adverse events due to regional cooling.
The efficacy of regional cooling was compared with data retrospectively extracted
from the medical records of patients who did not receive regional cooling
(control group). All end-points were evaluated for up to six cycles. RESULTS:
There were 40 and 142 patients in the regional cooling and control groups,
respectively. As a primary end-point, incidences of >=grade 2 PN in the fourth to
sixth cycles were significantly lower than that in the cooling group (5.0-9.1 %
vs. 19.8-31.6 %, p < 0.05 after the fourth cycle and p < 0.01 after the fifth
cycle). Among secondary end-points, neither the use of PN therapeutic drugs nor
the PTX dose reduction due to PN were significantly lower in the cooling group
than in the control group (27.5 vs. 36.6 %, p = 0.378 and 5.0 vs. 3.5 %, p =
0.645, respectively). There were no serious regional cooling-associated adverse
events such as frostbite. CONCLUSIONS: Regional cooling of hands and feet during
PTX administration might have good effectiveness and tolerability, suggesting
this approach as a potentially effective supportive care to prevent PTX-induced
PN. TRIAL REGISTRATION: The trial approval number in the institution; H25-26.
Registered 5 June 2014.
PMID- 27891247
TI - Librarians and Scientists Partner to Address Data Management: Taking
Collaboration to the Next Level.
AB - PURPOSE: This study looks at the changing way in which the Information Services
Office (ISO) at the National Institute of Standards and Technology (NIST)
provides services to NIST scientific and technical staff throughout their
research and publishing cycles. These services include the more traditional
services of a research library as well as publishing NIST technical reports and
The Journal of Research of NIST, and preserving and exhibiting scientific
instruments and other artifacts. ISO has always prided itself on having a close
relationship with its customers, providing a high level of service, and
developing new services to stay in front of NIST researcher needs. Through a
concerted, strategic effort since the late 1990s, ISO has developed and promoted
relationships with its key customers through its Lab Liaison Program.
DESIGN/METHODOLOGY/APPROACH: This paper discusses the relationship ISO has
developed with the Office of Data and Informatics (ODI), how this relationship
was forged, and how this collaboration will serve as a model for working with the
other labs and programs at NIST. It will also discuss the risks and opportunities
of this new collaborative service model, how ISO positioned itself to become an
equal partner with ODI in the exploration of solutions to data management issues,
and the benefits of the relationship from ODI's perspective. FINDINGS: A pattern
of strategic changes to the services and activities offered by the Lab Liaison
program has put ISO in the position to collaborate as peers with researchers at
NIST. ORIGINALITY/VALUE: This study provides an overview of how ISO made
strategic decisions to incorporate non-traditional services to support data
management at NIST.
PMID- 27891248
TI - Synchrotron 4-dimensional imaging of two-phase flow through porous media.
AB - Near real-time visualization of complex two-phase flow in a porous medium was
demonstrated with dynamic 4-dimensional (4D) (3D + time) imaging at the 2-BM beam
line of the Advanced Photon Source (APS) at Argonne National Laboratory.
Advancing fluid fronts through tortuous flow paths and their interactions with
sand grains were clearly captured, and formations of air bubbles and capillary
bridges were visualized. The intense X-ray photon flux of the synchrotron
facility made 4D imaging possible, capturing the dynamic evolution of both solid
and fluid phases. Computed Tomography (CT) scans were collected every 12 s with a
pixel size of 3.25 um. The experiment was carried out to improve understanding of
the physics associated with two-phase flow. The results provide a source of
validation data for numerical simulation codes such as Lattice-Boltzmann, which
are used to model multi-phase flow through porous media.
PMID- 27891249
TI - Protein Kinase C Epsilon Contributes to NADPH Oxidase Activation in a Pre
Eclampsia Lymphoblast Cell Model.
AB - Pre-eclampsia is a pregnancy-specific disorder characterised by hypertension and
proteinuria, which in severe cases results in multi-system disturbances. The
maternal syndrome is associated with a pro-inflammatory state, consisting of
leukocyte activation, which is thought to contribute to the widespread
endothelial dysfunction. We previously showed increased activation of NADPH
oxidase in pre-eclampsia, in both neutrophils and B-lymphoblast cell lines (B
LCLs). In this study, the mechanism by which NADPH oxidase activity is increased
in pre-eclampsia was further investigated. NADPH oxidase activity was found to be
increased in phorbol-12-myristate-13-acetate (PMA) stimulated B-LCLs isolated
from women with pre-eclampsia. This correlated with an increase in protein kinase
C (PKC) substrate phosphorylation, p47-phox phosphorylation (a regulatory
component of NADPH oxidase) and p47-phox directed-kinase activity. Using ion
exchange and hydroxyapatite chromatography we identified a major peak of PMA
regulated p47-phox kinase activity. Chromatography fractions were probed for PKC
isoforms. We found the major peak of p47-phox kinase activity could not be
separated from the elution profile of PKC epsilon. Using a peptide inhibitor of
PKC epsilon, PMA-induced reactive oxygen species (ROS) production could be
reduced to that of a normal B-LCL. These data suggest a pro-inflammatory role for
PKC epsilon in the pathogenesis of pre-eclampsia.
PMID- 27891250
TI - Diabetic Macular Ischemia Diagnosis: Comparison between Optical Coherence
Tomography Angiography and Fluorescein Angiography.
AB - Purpose. To compare fluorescein angiography (FA) and optical coherence tomography
angiography (OCTA) images of foveal avascular zone (FAZ) in patients with
diabetic retinopathy (DR) with and without diabetic macular ischemia (DMI).
Methods. The Wilcoxon signed-rank test was used to compare area measurements and
p values of <0.05 were considered statistically significant. FA and OCTA images
were independently graded by 2 observers that reached agreement regarding
quantitative DMI according established protocols. The ischemic area was divided
into "large" macular ischemia (superior to 0.32 mm2) and "small" (inferior to
0.32 mm2) groups. Quantitative analyses of the FAZ were performed using custom
software. Results. Thirty-four eyes from 34 diabetic patients were enrolled.
Subjects with DMI presented a mean area on FA and OCTA of 0.68 +/- 0.53 mm2 and
0.58 +/- 0.35 mm2, respectively (p = 0.1374). Patients without DMI presented a
mean area on FA and OCTA of 0.19 +/- 0.67 mm2 and 0.20 +/- 0.79 mm2, respectively
(p = 0.9594). The ICC for the FAZ measurements between the 2 observers on FA and
OCTA was 0.96 and 0.92, respectively. Conclusion. OCTA represents a novel
technique for the diagnosis of DMI and it may become an alternative to FA for
this purpose.
PMID- 27891252
TI - A Small Randomized Controlled Pilot Trial Comparing Mobile and Traditional Pain
Coping Skills Training Protocols for Cancer Patients with Pain.
AB - Psychosocial pain management interventions are efficacious for cancer pain but
are underutilized. Recent advances in mobile health (mHealth) technologies
provide new opportunities to decrease barriers to access psychosocial pain
management interventions. The objective of this study was to gain information
about the accessibility and efficacy of mobile pain coping skills training
(mPCST) intervention delivered to cancer patients with pain compared to
traditional in-person pain coping skills training intervention. This study
randomly assigned participants (N = 30) to receive either mobile health pain
coping skills training intervention delivered via Skype or traditional pain
coping skills training delivered face-to-face (PCST-trad). This pilot trial
suggests that mPCST is feasible, presents low burden to patients, may lead to
high patient engagement, and appears to be acceptable to patients. Cancer
patients with pain in the mPCST group reported decreases in pain severity and
physical symptoms as well as increases in self-efficacy for pain management that
were comparable to changes in the PCST-trad group (p's < 0.05). These findings
suggest that mPCST, which is a highly accessible intervention, may provide
benefits similar to an in-person intervention and shows promise for being
feasible, acceptable, and engaging to cancer patients with pain.
PMID- 27891251
TI - The Role of the Single Incision Laparoscopic Approach in Liver and Pancreatic
Resectional Surgery.
AB - Introduction. Single incision laparoscopic surgery (SILS) has gained increasing
support over the last few years. The aim of this narrative review is to analyse
the published evidence on the use and potential benefits of SILS in hepatic and
pancreatic resectional surgery for benign and malignant pathology. Methods.
Pubmed and Embase databases were searched using the search terms "single incision
laparoscopic", "single port laparoscopic", "liver surgery", and "pancreas
surgery". Results. Twenty relevant manuscripts for liver and 9 for pancreatic
SILS resections were identified. With regard to liver surgery, despite the lack
of comparative studies with other minimal invasive techniques, outcomes have been
acceptable when certain limitations are taken into account. For pancreatic
resections, when compared to the conventional laparoscopic approach, SILS
produced comparable results with regard to intra- and postoperative parameters,
including length of hospitalisation and complications. Similarly, the results
were comparable to robotic pancreatectomies, with the exception of the longer
operative time reported with the robotic approach. Discussion. Despite the
limitations, the published evidence supports that SILS is safe and feasible for
liver and pancreatic resections when performed by experienced teams in the
tertiary setting. However, no substantial benefit has been identified yet,
especially compared to other minimal invasive techniques.
PMID- 27891253
TI - Cutaneous Human Papillomavirus Infection and Development of Subsequent Squamous
Cell Carcinoma of the Skin.
AB - The role of cutaneous human papillomavirus (HPV) infection in the development of
subsequent cutaneous squamous cell carcinoma (SCC) is unknown. Pathologically
confirmed cases of SCC (n = 150) enrolled in a previously conducted case-control
study were included in a retrospective cohort study to examine the association of
cutaneous HPV at the time of SCC diagnosis with the risk of subsequent SCC
development. Data on HPV seropositivity, HPV DNA in eyebrow hairs (EB) and SCC
tumors were available from the parent study. Incidence of subsequent SCC was
estimated using person-years of follow up. Cox Proportional Hazards ratios were
estimated to evaluate the associations of both, HPV seropositivity and HPV DNA
positivity with subsequent SCC. The five year cumulative incidence of subsequent
SCC was 72%. Seropositivity to cutaneous HPV was not associated with the risk of
subsequent SCC (HR = 0.83, 95% CI = 0.41-1.67). Any beta HPV infection in EB was
associated with reduced risk (HR = 0.30, 95% CI = 0.11-0.78) of subsequent SCC
among cases who were positive for beta HPV DNA in tumor tissue. Infection with
beta HPV type 2 (HR = 0.32, 95% CI = 0.12-0.86) in EB was associated with reduced
risk of subsequent SCC among HPV DNA positive SCCs. In conclusion, beta HPV
infection was inversely associated with the risk of subsequent SCC.
PMID- 27891254
TI - Prostate Cancer: Epigenetic Alterations, Risk Factors, and Therapy.
AB - Prostate cancer (PCa) is the most prevalent urological cancer that affects aging
men in South Africa, and mechanisms underlying prostate tumorigenesis remain
elusive. Research advancements in the field of PCa and epigenetics have allowed
for the identification of specific alterations that occur beyond genetics but are
still critically important in the pathogenesis of tumorigenesis. Anomalous
epigenetic changes associated with PCa include histone modifications, DNA
methylation, and noncoding miRNA. These mechanisms regulate and silence hundreds
of target genes including some which are key components of cellular signalling
pathways that, when perturbed, promote tumorigenesis. Elucidation of mechanisms
underlying epigenetic alterations and the manner in which these mechanisms
interact in regulating gene transcription in PCa are an unmet necessity that may
lead to novel chemotherapeutic approaches. This will, therefore, aid in
developing combination therapies that will target multiple epigenetic pathways,
which can be used in conjunction with the current conventional PCa treatment.
PMID- 27891255
TI - Comparison of Subjective and Objective Sleep Estimations in Patients with Bipolar
Disorder and Healthy Control Subjects.
AB - Background. Several studies have described but not formally tested discrepancies
between subjective and objective measures of sleep. Study Objectives. To test the
hypothesis that patients with bipolar disorder display a systematic bias to
underestimate sleep duration and overestimate sleep latency. Methods. Actimetry
was used to assess sleep latency and duration in 49 euthymic participants
(bipolar = 21; healthy controls = 28) for 5-7 days. Participants simultaneously
recorded estimated sleep duration and sleep latency on a daily basis via an
online sleep diary. Group differences in the discrepancy between subjective and
objective parameters were calculated using t-tests and corrected for multiple
comparisons. Results. Patients with bipolar disorder significantly underestimated
their sleep duration but did not overestimate their sleep latency compared to
healthy controls. Conclusions. Studies utilizing diaries or questionnaires alone
in patients with bipolar disorders may systematically underestimate sleep
duration compared to healthy controls. The additional use of objective assessment
methods such as actimetry is advisable.
PMID- 27891256
TI - Scale-Dependent Signal Identification in Low-Dimensional Subspace: Motor Imagery
Task Classification.
AB - Motor imagery electroencephalography (EEG) has been successfully used in
locomotor rehabilitation programs. While the noise-assisted multivariate
empirical mode decomposition (NA-MEMD) algorithm has been utilized to extract
task-specific frequency bands from all channels in the same scale as the
intrinsic mode functions (IMFs), identifying and extracting the specific IMFs
that contain significant information remain difficult. In this paper, a novel
method has been developed to identify the information-bearing components in a low
dimensional subspace without prior knowledge. Our method trains a Gaussian
mixture model (GMM) of the composite data, which is comprised of the IMFs from
both the original signal and noise, by employing kernel spectral regression to
reduce the dimension of the composite data. The informative IMFs are then
discriminated using a GMM clustering algorithm, the common spatial pattern (CSP)
approach is exploited to extract the task-related features from the reconstructed
signals, and a support vector machine (SVM) is applied to the extracted features
to recognize the classes of EEG signals during different motor imagery tasks. The
effectiveness of the proposed method has been verified by both computer
simulations and motor imagery EEG datasets.
PMID- 27891257
TI - MELAS Syndrome with Cardiac Involvement: A Multimodality Imaging Approach.
AB - A 49-year-old man presented with chest pain, dyspnea, and lactic acidosis. Left
ventricular hypertrophy and myocardial fibrosis were detected. The sequencing of
mitochondrial genome (mtDNA) revealed the presence of A to G mtDNA point mutation
at position 3243 (m.3243A>G) in tRNALeu(UUR) gene. Diagnosis of cardiac
involvement in a patient with Mitochondrial Encephalomyopathy, Lactic Acidosis,
and Stroke-like episodes syndrome (MELAS) was made. Due to increased risk of
sudden cardiac death, cardioverter defibrillator was implanted.
PMID- 27891258
TI - Lyme Carditis: An Interesting Trip to Third-Degree Heart Block and Back.
AB - Carditis is an uncommon presentation of the early disseminated phase of Lyme
disease. We present the case of a young female who presented with erythema
migrans and was found to have first-degree heart block which progressed to
complete heart block within hours. After receiving ceftriaxone, there was
complete resolution of the heart block in sequential fashion. Our case
illustrates the importance of early recognition and anticipation of progressive
cardiac conduction abnormalities in patients presenting with Lyme disease.
PMID- 27891259
TI - Neurocardiogenic Syncope and Supraventricular Tachycardia in Association with a
Rare Congenital Aortic Valve Abnormality.
AB - We report a case of a 26-year-old woman who presented with multiple episodes of
syncope over a five-months period of time. Transthoracic echocardiogram had shown
a normal functioning quadricuspid aortic valve (QAV) which was also confirmed on
a transesophageal echocardiogram. Computed tomographic angiography of heart and
coronary arteries showed the QAV with equal size of all aortic cusps and normal
coronary arteries. Intermittent chest pain and palpitations warranted an exercise
stress test. The stress test revealed normal aerobic exertion, with achievement
of 101% of maximal peak heart rate. However, during peak stress, we noted a drop
in her blood pressure significantly resulting in dizziness. No arrhythmias were
noted during the stress test. With recurrent syncope episodes and palpitations,
Holter monitoring was done, revealing supraventricular tachycardia (SVT). We
discuss current available literature and coassociations with QAV. New association
of QAV with SVT needs further analysis.
PMID- 27891260
TI - Intravenous Vitamin C Administered as Adjunctive Therapy for Recurrent Acute
Respiratory Distress Syndrome.
AB - This case report summarizes the first use of intravenous vitamin C employed as an
adjunctive interventional agent in the therapy of recurrent acute respiratory
distress syndrome (ARDS). The two episodes of ARDS occurred in a young female
patient with Cronkhite-Canada syndrome, a rare, sporadically occurring,
noninherited disorder that is characterized by extensive gastrointestinal
polyposis and malabsorption. Prior to the episodes of sepsis, the patient was
receiving nutrition via chronic hyperalimentation administered through a long
standing central venous catheter. The patient became recurrently septic with Gram
positive cocci which led to two instances of ARDS. This report describes the
broad-based general critical care of a septic patient with acute respiratory
failure that includes fluid resuscitation, broad-spectrum antibiotics, and
vasopressor support. Intravenous vitamin C infused at 50 mg per kilogram body
weight every 6 hours for 96 hours was incorporated as an adjunctive agent in the
care of this patient. Vitamin C when used as a parenteral agent in high doses
acts "pleiotropically" to attenuate proinflammatory mediator expression, to
improve alveolar fluid clearance, and to act as an antioxidant.
PMID- 27891261
TI - Perioprosthetic and Implant-Supported Rehabilitation of Complex Cases: Clinical
Management and Timing Strategy.
AB - Treatment of complex perioprosthetic cases is one of the clinical challenges of
everyday practice. Only a complete and thorough diagnostic setup may allow the
clinician to formulate a realistic prognosis to select the abutments to support
prosthetic rehabilitation. Clinical, radiographic, or laboratory parameters used
separately are useless to correctly assign a reliable prognosis to single teeth
except in the case of a clearly hopeless tooth. Therefore, it is crucial to
gather the greatest quantity of data to determine the role that every single
element can play in the prosthetic rehabilitation of the case. The following
report deals with the management of a multidisciplinary periodontally compromised
case in which a treatment strategy and chronology were designed to reach clinical
predictability while reducing the duration of the therapy.
PMID- 27891262
TI - Comment on "Facial Atrophy in Oral Submucous Fibrosis: An Association or a
Coincidence".
PMID- 27891263
TI - Coverage Root after Removing Peripheral Ossifying Fibroma: 5-Year Follow-Up Case
Report.
AB - When lesions in soft tissue reach the gingival margin, they can produce aesthetic
defects during its permanence and after its removal. Periodontal plastic surgery
allows the correction of the gingival contour using different techniques. This
paper is a case report of a peripheral ossifying fibroma removal in the
interproximal area of teeth 21 and 22 in addition to root coverage of the
affected area through two surgical phases: keratinized gingival tissue
augmentation surgery with free gingival graft concurrent with removal of the
lesion and, in a second stage, root coverage by performing coronally advanced
flap technique with a follow-up of five years. The initial results achieved,
which were root coverage of 100% after 6 months, promoted an adequate gingival
contour and prevented the development of a mucogingival defect or a root exposure
with its functional and aesthetic consequences. After five years, the results
showed long term success of the techniques, where the margin remained stable with
complete root coverage and tissues were stable and harmonic in color.
PMID- 27891264
TI - World's First Clinical Case of Gene-Activated Bone Substitute Application.
AB - Treatment of patients with large bone defects is a complex clinical problem. We
have initiated the first clinical study of a gene-activated bone substitute
composed of the collagen-hydroxyapatite scaffold and plasmid DNA encoding
vascular endothelial growth factor. The first patient with two nonunions of
previously reconstructed mandible was enrolled into the study. Scar tissues were
excised; bone defects (5-14 mm) between the mandibular fragments and
nonvascularized rib-bone autograft were filled in with the gene-activated bone
substitute. No adverse events were observed during 12 months of follow-up. In 3
months, the average density of newly formed tissues within the implantation zone
was 402.21 +/- 84.40 and 447.68 +/- 106.75 HU in the frontal and distal regions,
respectively, which correlated with the density of spongy bone. Complete distal
bone defect repair with vestibular and lingual cortical plates formation was
observed in 6 and 12 months after surgery; thereby the posterior nonunion was
successfully eliminated. However, there was partial resorption of the proximal
edge of the autograft entailed to relapse of the anterior nonunion. Thus, the
first clinical data on the safety and efficacy of the gene-activated bone
substitute were obtained. Given a high complexity of the clinical situation the
treatment, results might be considered as promising. NCT02293031.
PMID- 27891265
TI - A Unique Case of Pancreatic Mass due to Pancreatic Elastofibromatosis.
AB - Elastofibroma is a benign tumor of the musculoskeletal system characterized by
the abnormal accumulation of elastinophilic fibers. It has been classically
described for subscapular region but has been reported in several musculoskeletal
sites over the years and rarely even in the GI tract but never in pancreas. We
therefore present the case of a 45-year-old female who presented with
intermittent abdominal pain. CT of abdomen revealed 1.4 cm pancreatic neck lesion
without peripancreatic lymphadenopathy. Endoscopic ultrasound (EUS) guided FNA
was nondiagnostic. Surgical resection was performed with central pancreatectomy.
Histopathology revealed well demarcated nodules of hypocellular collagen with
abundant elastic fibers, characteristic of pancreatic elastofibroma. Treatment is
not needed unless symptomatic and surgical resection is the preferred therapeutic
option when indicated. This case adds another entity to the differential
diagnosis of pancreatic mass lesions.
PMID- 27891266
TI - A Silent and Chronic Complication of Percutaneous Endoscopic Gastrostomy Tube:
Small Bowel Enterocutaneous Fistula.
AB - Percutaneous endoscopic gastrostomy (PEG) has gradually gained the popularity
since its invention and become the most preferred method for gastrostomy
insertion in recent years. PEG is associated with lower morbidity and mortality
and has the advantages of being minimally invasive and more convenient over the
conventional open gastrostomy. However, significant rates of major complication
still occur. Enterocutaneous fistula is one of the key complications that can be
easily neglected due to its asymptomatic nature. We present a case of small bowel
enterocutaneous fistula which was only found 8 years after the PEG insertion,
being diagnosed after the longest duration of delay in diagnosis reported in
literature.
PMID- 27891267
TI - Marginal Zone Lymphoma Complicated by Protein Losing Enteropathy.
AB - Protein losing enteropathy (PLE) refers to excessive intestinal protein loss,
resulting in hypoalbuminemia. Underlying pathologies include conditions leading
to either reduced intestinal barrier or lymphatic congestion. We describe the
case of a patient with long-lasting diffuse abdominal problems and PLE.
Repetitive endoscopies were normal with only minimal lymphangiectasia in
biopsies. Further evaluations revealed an indolent marginal zone lymphoma with
minor bone marrow infiltration. Monotherapy with rituximab decreased bone marrow
infiltration of the lymphoma but did not relieve PLE. Additional treatments with
steroids, octreotide, a diet devoid of long-chain fatty-acids, and parenteral
nutrition did not prevent further clinical deterioration with marked weight loss
(23 kg), further reduction in albumin concentrations (nadir 8 g/L), and a
pronounced drop in performance status. Finally, immunochemotherapy with rituximab
and bendamustine resulted in hematological remission and remarkable clinical
improvement. 18 months after therapy the patient remains free of gastrointestinal
complaints and has regained his body weight with normal albumin levels. We
demonstrate a case of PLE secondary to indolent marginal zone lymphoma. No
intestinal pathologies were detected, contrasting a severe and almost lethal
clinical course. Immunochemotherapy relieved lymphoma and PLE, suggesting that a
high suspicion of lymphoma is warranted in otherwise unexplained cases of PLE.
PMID- 27891268
TI - A Case Report Describing a Rare Presentation of Simultaneous Occurrence of MPO
ANCA-Associated Vasculitis and Rheumatoid Arthritis.
AB - Background. Renal-limited myeloperoxidase vasculitis with simultaneous rheumatoid
arthritis is reported as a rare occurrence. Review of literature suggests that
most patients had a diagnosis of rheumatoid arthritis for several years prior to
presenting with renal failure from myeloperoxidase vasculitis. Case Presentation.
A 58-year-old Caucasian male presented to the hospital experiencing malaise,
fevers, decreased oral intake, nausea, and vomiting for one week duration. His
past medical history consisted of newly diagnosed but untreated rheumatoid
arthritis, hypertension, and non-insulin-dependent diabetes mellitus. He was
found to have acute renal failure, proteinuria, and hypoglycemia. Standard
therapy, including intravenous fluids, did not improve his acute renal failure. A
vasculitis workup resulted in a positive myeloperoxidase anti-neutrophil
cytoplasmic antibody (MPO-ANCA). Renal biopsy revealed crescentic
glomerulonephritis (GN) pauci-immune type, suggestive of MPO-ANCA-associated
vasculitis (MPO-AAV). Treatment consisted of prednisone, cyclophosphamide, and
seven cycles of plasmapheresis, in addition to hemodialysis for uremia. Upon
discharge, he received hemodialysis for another week and continued treatment with
cyclophosphamide and prednisone. Conclusion. Patients with longstanding
rheumatoid arthritis may develop renal failure due to nonsteroidal anti
inflammatory medication use and AA type amyloidosis; however, necrotizing
glomerulonephritis with crescent formation has been rarely reported. This
stresses the importance of early recognition and swift initiation of treatment.
PMID- 27891269
TI - Unruptured Basilar Tip Aneurysm with Internal Septation: Coiling Implications?
AB - An internal septum within a basilar artery aneurysm is an infrequent anomaly and
is very rarely reported in the literature. We report a 62-year-old lady that was
incidentally diagnosed with basilar tip aneurysm. Further imaging with magnetic
resonance imaging (MRI) revealed internal septation within this aneurysm which
was later confirmed with digital subtraction angiography (DSA). She underwent
coil embolisation, which involved technical manipulation of the microcatheter and
the balloon to enable coiling of each separate aneurysm compartment. We present
this case to illustrate the effect of this anatomical variation on the selection
of endovascular treatment strategy.
PMID- 27891270
TI - Primary Diffuse Leptomeningeal Gliomatosis: Radiological/Pathological Features.
AB - We present the case of a 43-year-old lady who presented with headaches, visual
impairment, and seizures, progressing rapidly over the course of a few weeks.
Extensive workup excluded an inflammatory or infectious cause. Imaging studies
revealed diffuse thickening of the leptomeninges and serial CSF analysis showed
raised opening pressures and increased protein levels. A diagnostic biopsy of the
lower thoracic dura confirmed the diagnosis of primary diffuse leptomeningeal
gliomatosis (PDGL). She was managed supportively for her symptoms and
unfortunately she passed away a few weeks later.
PMID- 27891271
TI - Intrahepatic Cholestasis of Pregnancy with Severe Elevation of Bile Acids in the
Setting of Acute Hepatitis C Infection.
AB - Intrahepatic cholestasis of pregnancy (ICP) is a complication of pregnancy
resulting in elevation of serum bile acid levels. ICP is often associated with
underlying liver disease, including hepatitis C. Bile acids in relationship to
the acute infection of hepatitis C virus have not yet been delineated in the
literature. A 26-year-old gravida 4 para 2103 with dichorionic, diamniotic twin
gestation and history of intravenous drug abuse developed ICP in the setting of
acute hepatitis C infection. In addition to clinical symptoms of pruritus and
right upper quadrant pain, she developed severe elevation in bile acids, 239
micromol/L, and transaminitis aspartate aminotransferase 1033 U/L, and alanine
aminotransferase 448 U/L. She received ursodeoxycholic acid and antenatal testing
was performed. Patient delivered vaginally at 33-week gestation following preterm
rupture of membranes. Neonates were admitted to NICU and had uncomplicated
neonatal courses. In the setting of ICP with significant transaminitis and severe
elevation of bile acids, consideration of acute viral hepatitis is important,
especially considering the worsening opioid epidemic and concurrent increase in
intravenous drug use in the United States. Further study is needed regarding the
acute form of HCV infection and its effect on ICP and associated bile acids.
PMID- 27891272
TI - Spontaneous Rupture of Uterine Artery in a 14-Week Pregnant Woman.
AB - We report a case of uterine artery rupture in a woman at 14 weeks' gestation who
presented with abdominal pain, tachycardia, and hypotension and underwent a
diagnostic laparoscopy. During this procedure, a spontaneous rupture of the left
uterine artery was diagnosed and the surgery was converted into a laparotomy. The
artery was bound to its origin and to its distal uterine portion. The patient
exhibited excellent postoperative recovery and was discharged two days after the
surgery. The pregnancy continued without other maternal or fetal complications,
and the patient delivered a healthy newborn via cesarean section at 39 weeks of
gestation.
PMID- 27891273
TI - Orbital Infarction due to Sickle Cell Disease without Orbital Pain.
AB - Sickle cell disease is a hemoglobinopathy that results in paroxysmal arteriolar
occlusion and tissue infarction that can manifest in a plurality of tissues.
Rarely, these infarcted crises manifest in the bony orbit. Orbital infarction
usually presents with acute onset of periorbital tenderness, swelling, erythema,
and pain. Soft tissue swelling can result in proptosis and attenuation of
extraocular movements. Expedient diagnosis of sickle cell orbital infarction is
crucial because this is a potentially sight-threatening entity. Diagnosis can be
delayed since the presentation has physical and radiographic findings mimicking
various infectious and traumatic processes. We describe a patient who presented
with sickle cell orbital crisis without pain. This case highlights the importance
of maintaining a high index of suspicion in patients with known sickle cell
disease or of African descent born outside the United States in a region where
screening for hemoglobinopathy is not routine, even when the presentation is not
classic.
PMID- 27891274
TI - A Rare Case of Lateral Canthal Gouty Tophus Presenting as an Eyelid Mass.
AB - A 41-year-old man with a history of gout presented with an enlarging eyelid
growth. Clinical examination revealed a mildly indurated nodule at the lateral
canthus. Following resection, histopathological examination revealed needle
shaped, crystalline material surrounded by multinucleated giant cells, findings
consistent with gouty tophus. This represents just the sixth case of gouty tophus
of the eyelid reported in the literature.
PMID- 27891275
TI - Tortuous Carotid Artery Extended to Neck Level IIb Mimicking the Metastatic Mass.
AB - Specifically in neck level IIb, the expected normal anatomy does not contain any
vital structures and consequently it might direct a surgeon to perform rapid
surgical dissection of tissues. Therefore aberrant anatomy of the vessels in the
patients may be overlooked during neck dissection. Unexpected and potentially
devastating injuries can be avoided by respecting the possible aberrant anatomy
in any level of the neck. In this case report, a 74-year-old man was presented
with laryngeal carcinoma who was treated with laryngectomy and bilateral neck
dissection. During the left side neck dissection, tortuous internal carotid
artery imitating a metastatic mass was unexpectedly encountered in level IIb. As
in this case, surgeons should keep in mind possible aberrant anatomy during the
neck dissection and perform surgery staying in surgical principles to be safe for
an unforeseen and potential dangerous injuries.
PMID- 27891276
TI - Blue Ear Cyst: A Rare Eccrine Hidrocystoma of the Ear Canal and Successful
Endoscopic Excision.
AB - Aims. Hidrocystomas are benign cystic growths of the apocrine and eccrine sweat
glands. These cystic lesions have been well documented on the face, head, and
neck, but rarely in the external auditory canal. Presentation of Case. A 67-year
old woman presented with a bluish cystic mass partially occluding the external
auditory canal and interfering with hearing aid use. Lesion was excised
completely via a transcanal endoscopic approach with excellent cosmetic results,
no canal stenosis, and no recurrence at 1-year follow-up. Discussion. We present
a rare eccrine hidrocystoma of the external auditory canal and successful
excision of this benign lesion. We describe the surgical management using a
transcanal endoscopic approach and follow-up results. An eccrine gland cyst that
presents as a mass occluding the external auditory canal is quite rare. There are
only a few such cases reported in the literature. These masses can be mistaken
for basal cell carcinomas or cholesterol granulomas but can be easily
differentiated using histopathology. Conclusion. Eccrine hidrocystoma is a cystic
lesion of sweat glands, rarely found in the external auditory canal. A
characteristic bluish hue aids in diagnosis and surgical excision using ear
endoscopy provides excellent control.
PMID- 27891277
TI - A Case of Ameloblastic Fibroodontoma Extending Maxillary Sinus with Erupted
Tooth: Is Transcanine Approach with Alveolectomy Feasible?
AB - Ameloblastic fibroodontoma (AFO) is a rare entity of mixed odontogenic tumors and
frequently arises from posterior portion of the maxilla or mandible in first two
decades of life. Herein, a 35-year-old woman with a noncontributory medical
history who presented with a progressive left maxillary toothache, left maxillary
first molar tooth mobility, and swelling in the left maxillary molar area for the
last 2 months was reported. Radiologically, a tumor that originated from
periapical area of the second mature molar teeth of maxilla was seen and
additively unerupted tooth was not detected. The histopathologic examination
revealed AFO. The patient is disease-free for five years after treated with
limited segmental alveolectomy combining with Caldwell-Luc procedure.
PMID- 27891278
TI - Becker's Nevus Syndrome in a Pediatric Female Patient.
AB - Becker's nevus syndrome is part of the epidermal nevus syndromes and has been
described with a phenotype that includes Becker's nevus, ipsilateral breast
hypoplasia, and variable skeletal malformations. It is more frequent in males
than in females (5 : 1) but is more relevant in females. The diagnosis is
clinically based and the skin lesion must be present and no other numbered
criteria have been established, but with more criteria being present the
possibility of the diagnosis is higher. Regarding the treatment of breast
hypoplasia, the use of antiandrogen medication has demonstrated adequate clinical
response in a dose of 50 mg/day of spironolactone.
PMID- 27891279
TI - Multiple Gastric Erosion Early after a 3 V Lithium Battery (CR2025) Ingestion in
an 18-Month-Old Male Patient: Consideration about the Proper Time of
Intervention.
AB - Introduction. Button battery ingestion is considered an emergency situation in
pediatric patients that needs to be managed promptly; otherwise, it may lead to
serious and potentially lethal complications, especially when it is impacted in
the esophagus. Less attention has been given in cases where the battery passes
into the stomach, with guidelines for emergency intervention being based on the
presence of symptoms. Case Report. We present a case of an 18-month-old male
patient who presented to our emergency department after button battery ingestion.
He did not have any symptoms and no pathological findings were encountered during
clinical examination. X-ray investigation revealed the presence of the battery in
the stomach. The patient was admitted for observation and two hours later he had
two episodes of vomiting. He underwent urgent endoscopic removal of the battery
where multiple acute gastric mucosal erosion in place of direct contact of the
battery's negative pole with the mucosa of the gastric antrum was found.
Conclusion. In specific cases the urgent endoscopic intervention for removal of
an ingested button battery that is located in the stomach even in asymptomatic
patients should be suggested.
PMID- 27891280
TI - Lung Ultrasound in Early Diagnosis of Neonatal Ventilator Associated Pneumonia
before Any Radiographic or Laboratory Changes.
AB - Neonatal pneumonia is reported to be the primary cause of neonatal respiratory
failure and one of the common causes of neonatal hospitalization and death in
developing countries. Chest X-ray was considered the gold standard for diagnosis
of neonatal pneumonia. Lung ultrasonography has been described as a valuable
noninvasive tool for the diagnosis of many neonatal pulmonary diseases. We report
a case of ventilation associated neonatal pneumonia with very early diagnosis
using lung ultrasound before any significant radiographic changes in chest X-ray
or laboratory findings suggestive of infection.
PMID- 27891281
TI - Septooptic Dysplasia with an Associated Arachnoid Cyst.
AB - A 4-week-old male infant presented with hypothermia, hypoglycemia, and
hyperbilirubinemia. His medical history was remarkable for hydrocephalus
secondary to an arachnoid cyst, intermittent hypoglycemia, hypothermia, and poor
feeding requiring nasogastric tube for nutrition. Physical exam revealed
retrognathia, mild hypotonia, micropenis, and clinodactyly. Ophthalmologic exam
demonstrated bilateral optic nerve hypoplasia (ONH). Laboratory data confirmed
inadequate cortisol and growth hormone response to hypoglycemia, a low thyroxine
level, and direct hyperbilirubinemia. Magnetic resonance imaging of the brain
confirmed the known history of arachnoid cyst with hydrocephalus but also
revealed anterior pituitary hypoplasia, absence of the posterior pituitary bright
spot, a thin pituitary stalk, and bilateral optic nerve hypoplasia. A diagnosis
of septooptic dysplasia (SOD) was made. Hormone replacement with hydrocortisone
and levothyroxine was started with improvement in the infant's glycemic control,
thermoregulation, feeding, and cholestasis. This case reinforces the importance
of careful physical examination and laboratory review in a patient with known
history of arachnoid cyst which has been previously described as an associated
feature of optic nerve hypoplasia and hypopituitarism.
PMID- 27891282
TI - A Teenage Girl with Acute Dyspnea and Hypoxemia during Red Blood Cell
Transfusion.
AB - Transfusion-related acute lung injury (TRALI) can cause morbidity and mortality.
We present the case of teenager who developed dyspnea and hypoxemia few hours
after red cell transfusion. After being admitted for close monitoring and oxygen
therapy, her symptoms spontaneously resolved. Message: dyspnea during red cell
transfusion should raise the suspicion of TRALI.
PMID- 27891283
TI - Streptococcus intermedius Causing Necrotizing Pneumonia in an Immune Competent
Female: A Case Report and Literature Review.
AB - We report a case of a 52-year-old immunocompetent Caucasian female treated for
necrotizing Streptococcus intermedius pneumonia and review available literature
of similar cases. Our patient presented with respiratory failure and required
hospitalization and treatment in the intensive care unit. Moreover, she required
surgical drainage of right lung empyema as well as decortication and resection.
The review of literature revealed three cases of S. intermedius pneumonia, one of
which was a mortality. Comparison of the published cases showed a highly varied
prehospital course and radiological presentations, with a symptomatic phase
ranging from 10 days to five months. Radiological findings varied from an
isolated pleural effusion to systemic disease with the presence of brain
abscesses. Immunocompetence appears to correlate well with the overall prognosis.
In addition, smoking appears to be an important risk factor for S. intermedius
pneumonia. In 2 (50%) of cases, pleural fluid analysis identified S. intermedius.
In contrast, no organism was found in our patient, necessitating the acquisition
of lung tissue sample for the diagnosis. In conclusion, both medical and surgical
management are necessary for effective treatment of S. intermedius pneumonia. The
outcome of treatment is good in immunocompetent individuals.
PMID- 27891284
TI - Strangulated Morgagni's Hernia: A Rare Diagnosis and Management.
AB - Morgagni hernia is a rare type of congenital diaphragmatic hernia. It accounts
for only 3% of all diaphragmatic hernias. The defect is small and hernia being
asymptomatic in the majority presents late in adulthood. Obstruction or
incarceration in Morgagni hernia is uncommon. We report a rare occurrence of
strangulated Morgagni hernia. A 40-year-old gentleman presented to our emergency
department with features of intestinal obstruction. Computed tomography of the
chest and abdomen showed a strangulated right Morgagni hernia. An exploratory
laparotomy was performed with resection of the ischemic bowel segment with
anastomosis and a primary repair of the diaphragmatic defect. Postoperative
recovery was uneventful and asymptomatic at follow-up.
PMID- 27891285
TI - Complex Perineal Trauma with Anorectal Avulsion.
AB - Introduction. The objective of this case report is to illustrate a severe
perineal impalement injury, associated with anorectal avulsion and hemorrhagic
shock. Results. A 32-year-old male patient was referred to our hospital for an
impalement perineal trauma, associated with complex pelvic fracture and massive
perineal soft tissue destruction and anorectal avulsion. On arrival, the systolic
blood pressure was 85 mm Hg and the hemoglobin was 7.1 g/dL. The patient was
transported to the operating room, and perineal lavage, hemostasis, and repacking
were performed. After 12 hours in the Intensive Care Unit, the abdominal
ultrasonography revealed free peritoneal fluid. We decided emergency laparotomy,
and massive hemoperitoneum due to intraperitoneal rupture of pelvic hematoma was
confirmed. Pelvic packing controlled the ongoing diffuse bleeding. After 48
hours, the relaparotomy with packs removal and loop sigmoid colostomy was
performed. The postoperative course was progressive favorable, with discharge
after 70 days and colostomy closure after four months, with no long-term
complications. Conclusions. Severe perineal injuries are associated with
significant morbidity and mortality. Their management in high volume centers,
with experience in colorectal and trauma surgery, allocating significant human
and material resources, decreases the early mortality and long-term
complications, offering the best quality of life for patients.
PMID- 27891286
TI - Spontaneous Intramural Duodenal Hematoma: Pancreatitis, Obstructive Jaundice, and
Upper Intestinal Obstruction.
AB - Nontraumatic intramural duodenal hematoma can cause upper gastrointestinal tract
obstruction, upper gastrointestinal hemorrhage, jaundice, and pancreatitis and
may be present in patients with normal coagulation. However the pathogenesis of
the condition and its relationship with acute pancreatitis remain unknown. We
present a case of spontaneous intramural duodenal hematoma and a case of
successful nonoperative treatments.
PMID- 27891287
TI - Sapovirus Gastroenteritis in Young Children Presenting as Distal Small Bowel
Obstruction: A Report of 2 Cases and Literature Review.
AB - Abdominal pain and distention in children are commonly encountered problems in
the pediatric emergency room. The majority of complaints are found to be due to
benign entities such as gastroenteritis and constipation. What confounds these
diagnoses is that young children often deliver a challenging and unreliable exam.
Thus, it often becomes exceedingly problematic to differentiate these benign
conditions from surgical conditions requiring prompt attention including small or
large bowel obstruction, volvulus, and appendicitis. The cases highlight
Sapovirus as a cause of severe abdominal distention and vomiting in children and
this report is the first to describe and demonstrate the impressive radiologic
findings that may be associated with this infection. Surgeons should heed this
information and hesitate to emergently operate on similar children.
PMID- 27891288
TI - Massive Ascites in a Renal Transplant Patient after Laparoscopic Fenestration of
a Lymphocele.
AB - Retroperitoneal lymphocele is a common complication of renal transplantation.
Here, we report the case of a 67-year-old woman with massive ascites after
fenestration surgery for a lymphocele that developed following renal
transplantation. She had been on continuous ambulatory peritoneal dialysis for 9
years. Living donor renal transplantation was performed and an intrapelvic
lymphocele subsequently developed. The lymphocele did not resolve after
aspiration therapy; therefore, laparoscopic fenestration was performed. Although
the lymphocele disappeared, massive ascites appeared in its stead. Half a year
later, the ascites was surgically punctured, which then gradually resolved and
disappeared 6 weeks later. Aspiration therapy should be considered in patients on
long-term peritoneal dialysis, although laparoscopic fenestration is safe and
effective.
PMID- 27891290
TI - A Comparative Study of Assay Performance of Commercial Hepatitis E Virus Enzyme
Linked Immunosorbent Assay Kits in Australian Blood Donor Samples.
AB - Hepatitis E virus (HEV) is transfusion-transmissible and therefore poses a risk
to blood transfusion safety. Seroprevalence studies are useful for estimating
disease burden and determining risk factors. Considerable variability in the
sensitivity of HEV antibody detection assays exists. This study aimed to compare
the performances of commercially available HEV enzyme-linked immunosorbent assays
(ELISA) in Australian blood donor samples. Plasma samples that tested positive (n
= 194) or negative (n = 200) for HEV IgG (Wantai HEV IgG ELISA) were selected. Of
the 194 HEV IgG positive samples, 4 were positive for HEV IgM (Wantai HEV IgM
ELISA). All samples were tested with the MP Diagnostics: HEV IgG ELISA, total
(IgG, IgM, and IgA) HEV antibody ELISA, and HEV IgM ELISA. Of the 194 Wantai HEV
IgG positive samples, 92 (47%) tested positive with the MP Diagnostics HEV IgG
ELISA (kappa = 0.47) and 126 (65%) with MP Diagnostics total HEV antibody assay
(kappa = 0.65). There was poor agreement between Wantai and MP Diagnostics HEV
IgM assays. This study demonstrated poor agreement between the assays tested.
These observations are consistent with previous reports demonstrating significant
variability between HEV ELISAs, highlighting that results of HEV serology should
be interpreted with caution.
PMID- 27891289
TI - nor-BNI Antagonism of Kappa Opioid Agonist-Induced Reinstatement of Ethanol
Seeking Behavior.
AB - Recent work suggests that the dynorphin (DYN)/kappa opioid receptor (KOR) system
may be a key mediator in the behavioral effects of alcohol. The objective of the
present study was to examine the ability of the KOR antagonist norbinaltorphimine
(nor-BNI) to attenuate relapse to ethanol seeking due to priming injections of
the KOR agonist U50,488 at time points consistent with KOR selectivity. Male
Wistar rats were trained to self-administer a 10% ethanol solution, and then
responding was extinguished. Following extinction, rats were injected with
U50,488 (0.1-10 mg/kg, i.p.) or saline and were tested for the reinstatement of
ethanol seeking. Next, the ability of the nonselective opioid receptor antagonist
naltrexone (0 or 3.0 mg/kg, s.c.) and nor-BNI (0 or 20.0 mg/kg, i.p.) to block
U50,488-induced reinstatement was examined. Priming injections U50,488 reinstated
responding on the previously ethanol-associated lever. Pretreatment with
naltrexone reduced the reinstatement of ethanol-seeking behavior. nor-BNI also
attenuated KOR agonist-induced reinstatement, but to a lesser extent than
naltrexone, when injected 24 hours prior to injections of U50,488, a time point
that is consistent with KOR selectivity. While these results suggest that
activation of KORs is a key mechanism in the regulation of ethanol-seeking
behavior, U50,488-induced reinstatement may not be fully selective for KORs.
PMID- 27891291
TI - Knowledge about HIV in a Community Sample of Urban African Americans in the
South.
AB - PURPOSE: Race and HIV are intertwined in complex ways. African Americans,
particularly those residing in the southern United States, are at great risk for
contracting and subsequently transmitting HIV. Research on the extent to which
members of this population understand the risks associated with engaging in
specific behaviors is limited. This paper examines HIV knowledge among at-risk
adult African American men and women and the factors associated with levels of
HIV knowledge. METHODS: Based on a conceptual model derived from Social
Disorganization Theory and Syndemics Theory, interviews were conducted between
2009 and 2011. Questionnaire-based interviews were conducted with 1,864
respondents from 80 strategically-chosen census block groups in Atlanta, Georgia.
An innovative approach to assessing amount of HIV knowledge was implemented, to
derive better estimates of the extent of knowledge. RESULTS: Overall, HIV
knowledge was low (average=43.5% correct answers). Seven factors were identified
as contributing uniquely to having higher levels of knowledge about HIV
transmission: (1) younger age, (2) being educated beyond the high school level,
(3) being gay, lesbian or bisexual, (4) experiencing sexual abuse during
childhood and/or adolescence, (5) drinking alcohol less frequently, (6) knowing a
larger number of HIV-infected persons and (7) knowing anyone currently living
with "full blown" AIDS. CONCLUSION: HIV educational and intervention programs
targeting at-risk African American adults need to develop effective ways of
bolstering a solid understanding of how HIV is/not transmitted. In particular,
efforts need to be targeted toward older adults, those with lower levels of
educational attainment and persons who are not acquainted with anyone who is HIV
infected.
PMID- 27891293
TI - High-kVp Assisted Metal Artifact Reduction for X-ray Computed Tomography.
AB - In X-ray computed tomography (CT), the presence of metallic parts in patients
causes serious artifacts and degrades image quality. Many algorithms were
published for metal artifact reduction (MAR) over the past decades with various
degrees of success but without a perfect solution. Some MAR algorithms are based
on the assumption that metal artifacts are due only to strong beam hardening and
may fail in the case of serious photon starvation. Iterative methods handle
photon starvation by discarding or underweighting corrupted data, but the results
are not always stable and they come with high computational cost. In this paper,
we propose a high-kVp-assisted CT scan mode combining a standard CT scan with a
few projection views at a high-kVp value to obtain critical projection
information near the metal parts. This method only requires minor hardware
modifications on a modern CT scanner. Two MAR algorithms are proposed: dual
energy normalized MAR (DNMAR) and high-energy embedded MAR (HEMAR), aiming at
situations without and with photon starvation respectively. Simulation results
obtained with the CT simulator CatSim demonstrate that the proposed DNMAR and
HEMAR methods can eliminate metal artifacts effectively.
PMID- 27891292
TI - Cancer Stem Cells: Cellular Plasticity, Niche, and its Clinical Relevance.
AB - Cancer handles an estimated 7.6 million deaths worldwide per annum. A recent
theory focuses on the role Cancer Stem Cells (CSCs) in driving tumorigenesis and
disease progression. This theory hypothesizes that a population of the tumor cell
with similar functional and phenotypic characteristics as normal tissue stem
cells are responsible for formation and advancement of many human cancers. The
CSCs subpopulation can differentiate into non-CSC tumor cells and promote
phenotypic and functional heterogeneity within the tumor. The presence of CSCs
has been reported in a number of human cancers including blood, breast, brain,
colon, lung, pancreas prostate and liver. Although the origin of CSCs remains a
mystery, recent reports suggest that the phenotypic characteristics of CSCs may
be plastic and are influenced by the microenvironment specific for the individual
tumor. Such factors unique to each tumor preserve the dynamic balance between
CSCs to non-CSCs cell fate, as well as maintain the proper equilibrium.
Alternating such equilibrium via dedifferentiation can result in aggressiveness,
as CSCs are considered to be more resistant to the conventional cancer treatments
of chemotherapy and radiation. Understanding how the tumoral microenvironment
affects the plasticity driven CSC niche will be critical for developing a more
effective treatment for cancer by eliminating its aggressive and recurring nature
that now is believed to be perpetuated by CSCs.
PMID- 27891294
TI - Prevalence and clinical impact of cachexia in chronic illness in Europe, USA, and
Japan: facts and numbers update 2016.
AB - Cachexia is a serious clinical consequence of almost all chronic diseases when
reaching advanced stages. Its prevalence ranges from 5-15% in end-stage chronic
heart failure to 50-80% in advanced malignant cancer. Cachexia is also frequently
occurring in patients with chronic kidney disease, chronic obstructive pulmonary
disease (COPD) or neurological diseases, and rheumatoid arthritis. Mortality
rates of patients with cachexia range from 15-25% per year in severe COPD through
20-40% per year in patients with chronic heart failure or chronic kidney disease
to 20-80% in cancer cachexia. In the industrialized world (North America, Europe,
and Japan) where epidemiological data are to some degree available, the overall
prevalence of cachexia (due to any disease and not necessarily associated with
hospital admission) is growing with the growth of the chronic illness prevalence,
and it currently affects around 0.5-1.0% of the population, i.e. around 6-12
million people. From this, one can estimate that 1.5-2 million deaths are
occurring in patients with cachexia per year. It is also a very significant
health problem in other parts of the globe, but epidemiological data are scarce.
The multifactorial nature of cachexia is now much better understood, and
particularly, the role of inflammatory mediators and the imbalance of anabolism
and catabolism are considered important therapeutic targets. Several approaches
to develop cachexia and muscle wasting treatments have failed to be successful in
phase III clinical trials, but new approaches are in development. Given the high
prevalence and very high mortality associated with cachexia, advances are
urgently needed for patients worldwide.
PMID- 27891295
TI - Cachexia: looking yet not seeing.
PMID- 27891296
TI - Welcome to the ICD-10 code for sarcopenia.
AB - The new ICD-10-CM (M62.84) code for sarcopenia represents a major step forward in
recognizing sarcopenia as a disease. This should lead to an increase in
availability of diagnostic tools and the enthusiasm for pharmacological companies
to develop drugs for sarcopenia.
PMID- 27891297
TI - Exercise rehabilitation following intensive care unit discharge for recovery from
critical illness: executive summary of a Cochrane Collaboration systematic
review.
AB - Skeletal muscle wasting and weakness are major complications of critical illness
and underlie the profound physical and functional impairments experienced by
survivors after discharge from the intensive care unit (ICU). Exercise-based
rehabilitation has been shown to be beneficial when delivered during ICU
admission. This review aimed to determine the effectiveness of exercise
rehabilitation initiated after ICU discharge on primary outcomes of functional
exercise capacity and health-related quality of life. We sought randomized
controlled trials, quasi-randomized controlled trials, and controlled clinical
trials comparing an exercise intervention commenced after ICU discharge vs. any
other intervention or a control or 'usual care' programme in adult survivors of
critical illness. Cochrane Central Register of Controlled Trials, Medical
Literature Analysis and Retrieval System Online (MEDLINE), Excerpta Medica
Database, and Cumulative Index to Nursing and Allied Health Literature databases
were searched up to February 2015. Dual, independent screening of results, data
extraction, and quality appraisal were performed. We included six trials
involving 483 patients. Overall quality of evidence for both outcomes was very
low. All studies evaluated functional exercise capacity, with three reporting
positive effects in favour of the intervention. Only two studies evaluated health
related quality of life and neither reported differences between intervention and
control groups. Meta-analyses of data were precluded due to variation in study
design, types of interventions, and selection and reporting of outcome
measurements. We were unable to determine an overall effect on functional
exercise capacity or health-related quality of life of interventions initiated
after ICU discharge for survivors of critical illness. Findings from ongoing
studies are awaited. Future studies need to address methodological aspects of
study design and conduct to enhance rigour, quality, and synthesis.
PMID- 27891298
TI - Pt-Decorated g-C3N4/TiO2 Nanotube Arrays with Enhanced Visible-Light
Photocatalytic Activity for H2 Evolution.
AB - Aligned TiO2 nanotube layers (TiNTs) grown by self-organizing anodization of a Ti
substrate in a fluoride-based electrolyte were decorated with graphitic-phase
C3N4 (g-C3N4) via a facile chemical vapor deposition approach. In comparison with
classical TiO2 nanotubes (anatase), the g-C3N4/TiNTs show an onset of the
photocurrent at 2.4 eV (vs. 3.2 eV for anatase) with a considerably high
photocurrent magnitude in the visible range. After further decoration with Pt
nanoparticles, we obtained a visible-light responsive platform that showed,
compared with g-C3N4-free TiNTs, a strong enhancement for photoelectrochemical
and bias-free H2 evolution (15.62 MULh-1 cm-2), which was almost a 98-fold
increase in the H2 production rate of TiNTs (0.16 MULh-1 cm-2). In a wider
context, the g-C3N4-combined 3 D nanoporous/nanotubular structure thus provides a
platform with significant visible-light response in photocatalytic applications.
PMID- 27891299
TI - Tourette Syndrome and Comorbid Neuropsychiatric Conditions.
AB - Tourette syndrome is a neuropsychiatric condition characterized by both motor and
phonic tics over a period of at least 1 year with the onset in childhood or
adolescence. Apart from the tics, most of the patients with Tourette syndrome
have associated neuropsychiatric comorbidities consisting of attention deficit
hyperactivity disorder, obsessive compulsive disorder, rage attacks, sleep
issues, depression, and migraine. Patients may also have physical complications
directly from violent motor tics which can rarely include cervical myelopathy,
arterial dissection, and stroke. The purpose of this article is to review the
associated neuropsychiatric comorbidities of Tourette syndrome with emphasis on
recent research.
PMID- 27891300
TI - Fetal Alcohol Spectrum Disorders (FASD): an Approach to Effective Prevention.
AB - PURPOSE OF REVIEW: The objective of the current contribution is to propose an
evidence-based, six-step approach to develop effective programs for prevention of
fetal alcohol spectrum disorders. RECENT FINDINGS: Despite widespread campaigns
aimed to reduce prenatal alcohol exposure, the number of affected children
continues to be high. Current strategies to reduce prenatal alcohol exposure may
be ineffective or counterproductive. However, proven principles of health
promotion could be applied to reduce drinking in pregnancy. One such approach is
Intervention Mapping (IM), a six-step procedure based on proven principles to
change behaviors. SUMMARY: FASD affects all communities and is an underestimated
problem worldwide. Programs based on proven principles of behavior change are
warranted. Program developers can use pre-existing protocols and strategies from
evidence-based practice, such as Intervention Mapping. Developers who plan their
preventive programs in a systematic and evidence-based manner increase the
chances of success in reducing prenatal alcohol exposure and FASD.
PMID- 27891301
TI - How Far Have We Come in the Field of Nerve Regeneration After Trigeminal Nerve
Injury?
AB - Patients suffering from nerve injury with sensory disturbances or orofacial pain
have greatly reduced quality of life, and it is a big cost for the society.
Abnormal sensations caused by trigeminal nerve injury often become chronic,
severely debilitating, and extremely difficult to treat. In general, non-invasive
treatment such as drug treatment has been insufficient, and there are currently
few available effective treatments. Surgical interventions such as end-to-end
connection or nerve grafting have disadvantages such as donor site morbidity or
formation of neuroma. There is need for optimizing the technique for nerve
repair, especially for the trigeminal nerve system, which has so far not yet been
well explored. Recently, tissue engineering using biodegradable synthetic
material and cell-based therapies represents a promising approach to nerve repair
and it has been reported that mesenchymal stem cell (MSC) has an anti
inflammatory effect and seems to play an important role in nerve healing and
regeneration.
PMID- 27891303
TI - Constructing public health policies in post crisis countries: lessons to learn
from the associations between free-sugars consumption and diabetes, obesity and
dental caries before, during and after sanctions in Iraq.
AB - BACKGROUND: This article aims to provide evidence for an informed public health
policy on free-sugar consumption in post-crisis countries. METHODS: Iraq was
selected as a case study. A systematic search for published data on the
prevalence/incidence of type-2 diabetes, overweight/obesity, dental caries and
free-sugar consumption levels in Iraq was conducted using MEDLINE, the Iraqi
Academic Scientific journals and relevant international organisations' websites.
Comparable data before (1980-1990), during (1991-2002) and after (2003-2015) the
United Nations sanctions (UNS) were included. RESULTS: Ten studies were included.
Quality scores ranged between 3 and 7/8. Free-sugar consumption decreased
dramatically during the UNS (from 50 to 16.3 kg/person/year) and started
increasing afterwards (24.1 kg/person/year). Changes in type-2 diabetes,
overweight/obesity and caries levels mirrored those of free-sugar consumption.
Caries declined markedly during UNS and started increasing afterwards. Comparable
data on diabetes and overweight/obesity were only available for the periods
during and after the UNS. Both of these conditions started increasing with
increased free-sugar consumption after lifting the UNS. CONCLUSIONS: There is a
need to develop a public health policy in post-crisis countries to maintain the
reduction in free-sugar consumption, and hence promote both general and dental
health, by integrating the common risk factor approach into the social
determinant framework.
PMID- 27891302
TI - Epidemiology of Sjogren's Syndrome-from an Oral Perspective.
AB - Oral symptoms are among the most distressing manifestations for patients with
Sjogren's syndrome (SS). The feeling of dry mouth is unpleasant, and
hyposalivation may contribute to difficulty in speaking, chewing and swallowing
and reduced quality of life. Reduced salivary flow increases the risk for dental
caries and problems with prosthetic replacement. It seems that SS is not as
frequently occurring as previously anticipated. Population-based prevalence
studies on primary SS in Europe, conducted on large background populations and in
accordance with the AECG criteria, reported of a prevalence of 1-9 cases per
10,000 people. This gives a combined prevalence of nearly 39/100,000 (~0.04 %).
The cause of Sjogren's syndrome is even now not fully understood, and the
treatment of oral symptoms is still mostly palliative. Hopefully, useful
information will appear from the new methods that are now available for genome
wide association studies, epigenetics, DNA methylation studies, and proteomics.
Similarly, this is anticipated for the immunological side of the story. The
interferon signature, the interferon gamma/interferon alpha mRNA ratio, and
CXCL13 are among the proposed biomarkers of active disease. In this review, we
provide an update on oral aspects of Sjogren's syndrome with emphasis on the
latest publications on these topics.
PMID- 27891305
TI - Single file reciprocating systems: A systematic review and meta-analysis of the
literature: Comparison of reciproc and WaveOne.
AB - The introduction of single-file nickel-titanium (NiTi) reciprocating systems has
been a major breakthrough in the field of endodontics. Thus, the aim of this
study was to compare the available reciprocating systems, Reciproc and WaveOne,
using a meta-analysis with different parameters. A comprehensive electronic
literature search for Reciproc and WaveOne using PubMed and Google scholar was
initially conducted in September 2014 and updated in September 2016. Inclusion
and exclusion criteria were then established. Twenty-six studies were qualified
for the systematic review, and only three studies were considered for the meta
analysis using cyclic fatigue resistance as the main parameter. The time to
fracture for the Reciproc and WaveOne systems ranged from 119.7 sec to 156.4 sec
and 74.8 sec to 99.6 sec, respectively. The pooled difference in mean time to
fracture was longer for the Reciproc system by 45.6 sec. This difference was
statistically significantly at P value < 0.001. In conclusion, our study supports
the finding that Reciproc is more resistant to cyclic fatigue than WaveOne.
However, with regard to other parameters, mixed results were obtained. Well
designed randomized clinical trials comparing both systems under the same
experimental conditions should be done in future studies.
PMID- 27891306
TI - Forces in initial archwires during leveling and aligning: An in-vitro study.
AB - AIM: This in-vitro study measured the force deflection behavior of selected
initial alignment archwires by conducting three-point bending tests under
controlled tests. The study tested three wire designs, namely, co-axial
multistranded stainless steel wires, nickel-titanium, and copper-nickel-titanium
archwires. MATERIALS AND METHODS: The archwires were ligated to a specially
designed metal jig, simulating the arch. A testing machine (Instron) recorded
activation and deactivation forces of different deflections at 37 degrees C.
Forces on activation and deactivation were compared by one-way analysis of
variance (ANOVA). RESULTS: Significant differences (P < 0.05) in activation and
deactivation forces were observed among the tested wires. The co-axial
multistranded wire had the lowest mean activation and deactivation forces,
whereas conventional nickel-titanium wires had more mean activation and
deactivation forces at different deflections. CONCLUSION: The activation and
deactivation forces were higher for nickel-titanium followed by copper-nickel
titanium and co-axial wires. The amount of percentage force loss was more for co
axial wire, indicating that these wires are not ideal for initial leveling and
aligning.
PMID- 27891307
TI - Morphological analysis of palatal rugae pattern in central Indian population.
AB - AIM: The aim of this study was to analyze the morphological study of palatal
rugae pattern in a central Indian population and to determine sex
differentiation. OBJECTIVES: To investigate the distinctive rugae patterns of the
study population and determine the contribution of rugae patterns in gender
identification. MATERIAL AND METHODS: The present cross-sectional study was
conducted among a Central Indian population with a sample size of 500
participants. The study involved 250 males and 250 females who were randomly
selected from the outpatient department of Oral Medicine Diagnosis and Radiology,
Hitkarini Dental College and Hospital, Jabalpur, Madhya Pradesh. After collection
of impression, casts were made and analyzed to evaluate the palatal rugae pattern
in a central Indian population by using Thomas and Kotze classification (1983)
for number, shape, direction, and unification of palatal rugae pattern. The
statistical analysis was carried out using Mann-Whitney test and Chi-square
(chi2) tests for categorical variables. RESULT: Males showed more number of rugae
than females [P = 0.00 (<=0.001)]. Males had more number of wavy rugae pattern
whereas females showed more number of straight rugae patterns [P = 0.00
(<=0.001)]. Males showed more backwardly directed rugae whereas females showed
more forwardly directed rugae [P = 0.00 (<=0.001)]. The unification did not show
any significant difference. CONCLUSION: This study showed that there was a
significant relationship between palatoscopy, human identification, and sex
determination. Thus, palatoscopy can be considered as a cost effective, easy,
unique, and stable method for human identification.
PMID- 27891304
TI - Metastasis to the oral soft tissues: A review of 412 cases.
AB - The present review article analyzes the characteristics of metastases to the oral
soft tissues from 1937 to 2015. An extensive literature search was performed. All
variables such as age, gender, and primary and secondary tumor sites were
analyzed. Metastases to the major salivary glands were not included in this
review. In general, there were 412 metastatic lesions developed in the oral soft
tissues. The male-to-female ratio was approximately 1.8:1. The mean age of the
patients at diagnosis was 58. The lung was the most common primary site and the
gingiva was the most common metastatic site. The greater part of the histological
examination yielded adenocarcinoma. The diagnosis of a metastatic lesion in the
oral cavity is a challenge to the clinicians due to the lack of pathognomonic
signs and symptoms. Oral metastases usually occur in the advanced stages of
cancers, and the interval between appearance and death is usually short. The oral
soft tissue metastasis can be easily recognized compared to the metastasis of
jawbones. Early detection of oral lesions is important in the case of being the
first sign of a malignancy in other parts of body.
PMID- 27891308
TI - Comparative evaluation of the efficacy of fluoride varnish and casein
phosphopeptide - Amorphous calcium phosphate in reducing Streptococcus mutans
counts in dental plaque of children: An in vivo study.
AB - AIM: To assess the comparative efficacy of fluoride varnish and casein
phosphopeptide-amorphous calcium phosphate (CPP-ACP) complex visa viz.
Streptococcus mutans in plaque, and thereby the role that these two agents could
play in the prevention of dental caries. MATERIALS AND METHODS: A cluster sample
of 120 caries inactive individuals belonging to moderate and high caries risk
group were selected from 3-5-year-old age group based on the criteria given by
Krassee and were randomized to four groups, namely, fluoride varnish - Group I,
CPP-ACP complex - Group II, mixture of CPP-ACP complex -Gourp III, and fluoride
and routine oral hygiene procedures as control - Group IV. The results thus
obtained were analyzed using Statistical Package for the Social Sciences (SPSS)
version 16. RESULTS: A statistically significant difference in the pre and post
application scores of S. mutans (P < 0.01) count was observed in all the groups
with CPP-ACP plus fluoride group being the most proficient. CONCLUSION: Materials
such as fluoride varnish, CPP-ACP, and CPP-ACP plus fluoride protects the tooth
structure, preserving the integrity of primary dentition, with the most
encouraging results being with CPP-ACP plus fluoride.
PMID- 27891309
TI - Evaluation of dental and bone age in iron-deficient anemic children of South
India.
AB - AIMS AND OBJECTIVES: Dental and bone age is very essential for the dental
practitioner in planning treatments and is an extra source of information for the
pediatrician, orthopedician, and endocrinologist. There are few published data
regarding collation between dental age, bone age, and chronological age in iron
deficiency anemic children. This study has been undertaken to evaluate and
compare dental age, bone age, and chronological age in children with iron
deficiency anemia. MATERIALS AND METHODS: One hundred iron-deficiency anemic
children were selected in the age group of 8-14 years. Chronological age of the
child was recorded by asking birth date from parents or checking school records.
Dental age was calculated by Demirjians method and bone age was evaluated using
Bjork, Grave, and Brown's method. Unpaired student's t-test and Pearson's
correlation coefficient were the two statistical tests applied to compare dental,
bone, and chronological age. RESULTS: Dental and bone age was significantly lower
(P < 0.001) compared to chronological age. The correlation between the three ages
was positive in both sexes. CONCLUSION: Dental and bone age retardation was a
significant feature in our sample of 100 iron-deficient anemic children. Bone age
and dental age are valuable parameters in assessing the overall growth of the
child. Further studies are required to corroborate our findings.
PMID- 27891310
TI - Assessment of oral health attitudes and behavior among students of Kuwait
University Health Sciences Center.
AB - AIM: The aims of this study were to assess attitudes and behavior of oral health
maintenance among students in four faculties (Medicine, Dentistry, Pharmacy, and
Allied Health) and to compare oral health attitudes and behavior of all students
at Kuwait University Health Sciences Center (KUHSC) based on their academic
level. MATERIALS AND METHODS: Students enrolled in the Faculties of Dentistry,
Medicine, Pharmacy, and Allied Health at KUHSC were evaluated regarding their
oral health attitudes and behavior by an e-mail invitation with a link to the
Hiroshima University Dental Behavior Inventory survey that was sent to all 1802
students with Kuwait University Health Sciences Center e-mail addresses. The data
were analyzed for frequency distributions, and differences among the groups were
assessed using the Mann-Whitney U test, Chi-square test, and Kruskal-Wallis test.
P values less than 0.05 were considered to be statistically significant (P <
0.05). RESULTS: The results of this study indicated that dental students achieved
better oral health attitudes and behavior than that of their nondental
professional fellow students (P < 0.05). Students in advanced academic levels and
female students demonstrated better oral health attitudes and behavior.
CONCLUSION: Dental students and students who were in advanced levels of their
training along with female students demonstrated better oral health practices and
perceptions than students in lower academic levels and male students,
respectively. Additional studies for investigating the effectiveness and
identifying areas requiring modification within the dental curriculum at KUHSC
may be warranted.
PMID- 27891311
TI - Comparison of antibacterial efficacy of coconut oil and chlorhexidine on
Streptococcus mutans: An in vivo study.
AB - AIMS: Streptococcus mutans is the most common organism causing dental caries.
Various chemotherapeutic agents are available that help in treating the bacteria,
with each having their own merits and demerits. Recent research has shown that
coconut oil has anti-inflammatory and antimicrobial action. Therefore, the
present was conducted to determine the antibacterial efficacy of coconut oil and
to compare it with chlorhexidine. MATERIALS AND METHODS: A total of fifty female
children aged 8-12 years were included in the study. Twenty five children were
randomly distributed to each group, i.e., the study group (coconut oil) and the
control group (chlorhexidine). The participants were asked to routinely perform
oil swishing with coconut oil and chlorhexidine and rinse every day in the
morning after brushing for 2-3 minutes. S. mutans in saliva and plaque were
determined using a chairside method, i.e., the Dentocult SM Strip Mutans test.
Patients were instructed to continue oil swishing for 30 days. S. mutans. counts
in plaque and saliva on day 1, day 15, and day 30 were recorded and the results
were compared using Wilcoxon matched pairs signed ranks test. RESULTS: The
results showed that there is a statistically significant decrease in S. mutans.
count from coconut oil as well as chlorhexidine group from baseline to 30 days.
The study also showed that in comparison of coconut oil and chlorhexidine there
is no statistically significant change regarding the antibacterial efficacy.
CONCLUSION: Coconut oil is as effective as chlorhexidine in the reduction of S.
mutans.
PMID- 27891312
TI - Evaluation of Moyer's mixed dentition space analysis in Indian children.
AB - AIM AND OBJECTIVES: Tooth size prediction values are not universal for all ethnic
and racial groups. The present study evaluated the applicability of Moyer's mixed
dentition space analysis in the Marwari community of Rajasthan, India. MATERIALS
AND METHODS: The mesiodistal dimension of permanent mandibular incisors,
maxillary and mandibular canine, and premolars of both sides were measured and
averaged in 200 adolescents (100 males and 100 females) of the Marwari population
in Rajasthan using digital Vernier caliper. Data were statistically analyzed
using Student's t-test. The data were then compared with Moyer's predicted
values. The tooth measurements of male and female participants were compared with
unpaired t-test. RESULTS: Moyer's prediction chart was not comparable with the
study population group. The coefficient of correlation and coefficient of
determination in our study was 0.57 and 0.25, respectively. Mesiodistal width of
measured teeth was lesser in females compared to males for both canine and
premolars (P = 0.471 and P = 0.0001, respectively). CONCLUSION: There was
significant statistical difference between values of the present study and
Moyer's prediction values. Hence, new regression equation and prediction table
can be used to predict mesiodistal dimensions of canine and premolars in Marwari
children of Rajasthan.
PMID- 27891313
TI - Effect of oral health education in the form of Braille and oral health talk on
oral hygiene knowledge, practices, and status of 12-17 years old visually
impaired school girls in Pune city: A comparative study.
AB - AIM: To assess the effect of oral health education (OHE) in the form of Braille
and combination with Oral health talk (OHT) on oral hygiene knowledge, practices,
and status of 12-17 years old visually impaired school girls in Pune city.
MATERIALS AND METHODS: A 6-week comparative study was conducted among 74
residential visually impaired school girls aged 12-17 years, who were trained to
read Braille. The participants were divided into two groups, namely, Group A (n =
37) receiving OHE only in the form of Braille and Group B (n = 37) receiving OHE
in form of Braille and OHT at baseline, 2, and 4-week interval. Oral health
knowledge was assessed using a self-administered, pre-validated, pre-tested
questionnaire typed in Marathi Braille. Assessment of oral hygiene practices and
status was done using standardized proforma and simplified oral hygiene index
(OHI-S), respectively, at baseline and at the end of 6 weeks. Data was analyzed
using paired and unpaired Student's t-test. RESULTS: The results showed a
statistically significant increase in oral health knowledge levels in Group B
(4.95 +/- 1.66) as compared to Group A (2.97 +/- 1.28). There was a significant
increase in the frequency of mouth-rinsing in Group B (97.3%) as compared to
Group A (86.5%) as well as in the tongue cleaning practice in Group B (100%) as
compared to Group A (81.1%) at the end of 6 weeks. CONCLUSION: OHE in the form of
Braille and OHT was more effective than OHE using only Braille.
PMID- 27891315
TI - Awareness about biomedical waste management and knowledge of effective recycling
of dental materials among dental students.
AB - AIMS AND OBJECTIVES: Biomedical waste management has become a concern with
increasing number of dental practitioners in India. Being health care
professionals, dentists should be aware regarding safe disposal of biomedical
waste and recycling of dental materials to minimize biohazards to the
environment. The aim of the present study was to assess awareness regarding
biomedical waste management as well as knowledge of effective recycling and reuse
of dental materials among dental students. MATERIALS AND METHODS: This cross
sectional study was conducted among dental students belonging from all dental
colleges of Bhubaneswar, Odisha (India) from February 2016 to April 2016. A total
of 500 students (208 males and 292 females) participated in the study, which was
conducted in two phases. A questionnaire was distributed to assess the awareness
of biomedical waste management and knowledge of effective recycling of dental
materials, and collected data was examined on a 5-point unipolar scale in
percentages to assess the relative awareness regarding these two different
categorizes. The Statistical Package for Social Sciences was used to analyzed
collected data. RESULTS: Forty-four percent of the dental students were not at
all aware about the management of biomedical waste, 22% were moderately aware,
21% slightly aware, 7% very aware, and 5% fell in extremely aware category.
Similarly, a higher percentage of participants (61%) were completely unaware
regarding recycling and reusing of biomedical waste. CONCLUSION: There is lack of
sufficient knowledge among dental students regarding management of biomedical
waste and recycling or reusing of dental materials. Considering its impact on the
environment, biomedical waste management requires immediate academic assessment
to increase the awareness during training courses.
PMID- 27891314
TI - Comparison of views on the need for continuing education on oral cancer between
general dentists and oral medicine experts: A Delphi survey.
AB - OBJECTIVES: The role of dental professionals in screening for oral cancer has
been limited. The purpose of this study was to investigate and compare the
educational priorities of oral medicine specialists, general dental
practitioners, and doctors of dental surgery with regards to the diagnosis and
management of oral cancers and potential malignant disorders. STUDY DESIGN: This
was a longitudinal survey. MATERIALS AND METHODS: A Delphi survey was directed to
a panel of 25 oral medicine specialists asking them to identify the major
difficulties in diagnosing and managing patients with oral cancer or suspected
malignancy. In a second phase, two groups of generalists were asked to express
their ratings on the issues identified by experts. RESULTS: The response rate of
the experts to the survey was 84%, while only 44% of the generalists
participated. Although the three groups agreed on most of the issues, there were
significant differences of opinions on 10 of the items proposed by specialists (P
< 0.05 from the Kruskal-Wallis test), which were observed mainly between experts
and general dental practitioners (P < 0.017 from the Mann-Whitney U test). The
opinion of the participants about future investments in the field of education
resulted in similar results (P > 0.05 from the Chi-square test), with the
specialists ranking highest on mandatory annual thematic courses, while the
generalists prioritizing more interactive and extensive pre-graduation courses on
oral cancer detection. CONCLUSION: This study confirms a clear need to improve
the educational foundation on oral cancer by a didactic process starting with pre
graduation courses that should involve National Health Care Services, National
Dental Associations, and academia.
PMID- 27891316
TI - Histologic and histomorphometric evaluation of two grafting materials Cenobone
and ITB-MBA in open sinus lift surgery.
AB - AIMS AND OBJECTIVES: Alveolar ridge reduction caused after tooth extraction can
be minimized through ridge preservation and application of graft materials. The
aim of this study was to compare the histologic and histomorphometric aspects of
bone particulated allografts, Cenobone and ITB-MBA, in the reconstruction of
vertical alveolar ridge after maxillary sinus augmentation. MATERIALS AND
METHODS: This clinical trial was performed among 20 patients. The participants
were randomly divided into two groups of 10 participants. The first group
received Cenobone and the second group received ITB-MBA. Tissue samples were
prepared 6 months later at the time of implant installation and after successful
maxillary sinus floor augmentation. Tissue sections were examined under a light
microscope. The data were analyzed by Chi-square and t-test. RESULTS: The mean
trabecular thickness of the samples in the Cenobone group was 13.61 +/- 7.47 MUm
compared to 13.73 +/- 7.37 MUm in the ITB-MBA group (P = 0.93). A mild
inflammation process (Grade 1) was detected in both the groups. The amount of
remaining biomaterial in the Cenobone group was estimated to be 8 +/- 19% vs. 7
+/- 12% in the ITB-MBA group (P = 0.30). Bone formation was reported 49.71% in
the Cenobone group vs. 40.76% in the ITB-MBA group (P = 0.68). The mean newly
formed vessel in the Cenobone group was 0.64 +/- 0.7 vs. 1.5 +/- 2.3 in the ITB
MBA group (P = 0.14). CONCLUSIONS: There was no significant difference between
the two groups of patients regarding trabecular thickness, remaining biomaterial
allograft, and the density of blood vessels after sinus floor elevation; hence,
there was no difference between the two groups regarding implant outcome. More
designed studies as randomized controlled trials and controlled clinical trials,
which evaluate the long-term implant outcome; comparing the different bone graft
materials is also required to improve evidence on survival and success rate.
PMID- 27891317
TI - Outcome of tobacco cessation in workplace and clinic settings: A comparative
study.
AB - AIMS AND OBJECTIVES: Several biological, social, and cultural factors contribute
to the poor outcome of tobacco cessation interventions. Inability to engage large
number of participants is one of the major identifiable factors. The objective of
this study was to compare the outcome of tobacco cessation interventions in the
clinical and workplace settings. MATERIALS AND METHODS: In the present study, we
recruited 100 participants in tobacco cessation clinic (TCC) group and workplace
group (50 participants in each). Both the groups were regularly intervened and
were followed up regularly at 2 weeks, 4 weeks, 3 months, and 6 months. Active
interventions in the form of awareness lectures, focused group discussions, and
if needed, pharmacotherapy (nicotine/non-nicotine replacement therapy) was
carried out for all participants. The outcome was assessed as no change, harm
reduction (>50% reduction), complete cessation, and drop out. Statistical
analysis of the data was done using the Statistical Package for the Social
Sciences version 21.0. RESULTS: At the end of 1 month, there was higher tobacco
cessation rate in the workplace group versus TCC group (n = 22, 44% vs n = 9,
18%; P < 0.0001). The tobacco cessation rate was maintained even after 6 months
of intervention (n = 30, 60% vs n = 12, 24%; P = 0.002) and dropout rate was also
lower among the workplace group than the TCC group (n = 14, 28% vs n = 27, 54%; P
< 0.0001). CONCLUSIONS: Our study findings suggest that the workplace setting has
superior outcome in tobacco cessation and harm reduction than clinical setting.
In addition, it is associated with low dropout rate and the cessation effect is
maintained over a period of 6 months.
PMID- 27891318
TI - Complication of improper management of sodium hypochlorite accident during root
canal treatment.
AB - Sodium Hypochlorite (NaOCl) is a common irrigation solution used in root canal
treatment. It has strong antibacterial and tissue dissolving properties.
Nevertheless, it has some serious complications, some of which are life
threatening. A young male presented with severe chemical burn of the right
infraorbital area and partial necrosis of the hard palate resulting from
extrusion of NaOCl during root canal treatment of the upper right 2nd molar
tooth. The patient had a facial scar, and mucosal damage healed nearly
completely. Several precautions must be taken during NaOCl use to prevent the
spread of the solution into surrounding tissues. Early recognition of NaOCl
accident and proper immediate management are important to achieve the best
possible outcome.
PMID- 27891319
TI - Hyaluronic acid: Hope of light to black triangles.
AB - Interdental papilla construction, especially in the esthetic area, is one of the
most challenging tasks. Interdental papilla loss might occur due to several
reasons as a consequence of periodontal surgery or trauma. The purpose of this
study is to report the reconstruction of lost interdental papilla using
hyaluronic acid gel. Hyaluronic acid is a glycosaminoglycan molecule with anti
inflammatory, anti-edematous properties on periodontal tissues invaded by
submicrobial flora. It enhances wound healing and accelerates periodontal repair
and regeneration. In addition to the field of dentistry, it has been used in
other fields such as orthopedics, ophthalmology, and dermatology. It shows growth
factor interaction, regulates osmotic pressure, and enhances tissue lubrication,
which helps in maintaining the structural and homeostatic integrity of tissues,
hence resulting in beneficial effect on lost interdental papilla. This study was
aimed to reconstruct the lost interdental papilla by injecting 0.2% hyaluronic
acid via nonsurgical approach. It is a noninvasive approach which reduces
patient's postoperative discomfort with marked variations in the volume of
interdental papilla before and after the procedure. As sufficient information is
not available regarding the effectiveness of hyaluronic acid in interdental
papilla construction, this study was conducted.
PMID- 27891320
TI - The Association of VDAC with Cell Viability of PC12 Model of Huntington's
Disease.
AB - It is becoming increasingly apparent that mitochondria dysfunction plays an
important role in the pathogenesis of Huntington's disease (HD), but the
underlying mechanism is still elusive. Thus, there is a still need for further
studies concerning the upstream events in the mitochondria dysfunction that could
contribute to cell death observed in HD. Taking into account the fundamental role
of the voltage-dependent anion-selective channel (VDAC) in mitochondria
functioning, it is reasonable to consider the channel as a crucial element in HD
etiology. Therefore, we applied inducible PC12 cell model of HD to determine the
relationship between the effect of expression of wild type and mutant huntingtin
(Htt and mHtt, respectively) on cell survival and mitochondria functioning in
intact cells under conditions of undergoing cell divisions. Because after 48 h of
Htt and mHtt expression differences in mitochondria functioning co-occurred with
differences in the cell viability, we decided to estimate the effect of Htt and
mHtt expression lasted for 48 h on VDAC functioning. Therefore, we isolated VDAC
from the cells and tested the preparations by black lipid membrane system. We
observed that the expression of mHtt, but not Htt, resulted in changes of the
open state conductance and voltage-dependence when compared to control cells
cultured in the absence of the expression. Importantly, for all the VDAC
preparations, we observed a dominant quantitative content of VDAC1, and the
quantitative relationships between VDAC isoforms were not changed by Htt and mHtt
expression. Thus, Htt and mHtt-mediated functional changes of VDAC, being
predominantly VDAC1, which occur shortly after these protein appearances in
cells, may result in differences concerning mitochondria functioning and
viability of cells expressing Htt and mHtt. The assumption is important for
better understanding of cytotoxicity as well as cytoprotection mechanisms of
potential clinical application.
PMID- 27891321
TI - Protective Immunity Elicited by Oral Immunization of Mice with Salmonella
enterica Serovar Typhimurium Braun Lipoprotein (Lpp) and Acetyltransferase (MsbB)
Mutants.
AB - We evaluated the extent of attenuation and immunogenicity of the DeltalppAB and
DeltalppAB DeltamsbB mutants of Salmonella enterica serovar Typhimurium when
delivered to mice by the oral route. These mutants were deleted either for the
Braun lipoprotein genes (lppA and lppB) or in combination with the msbB gene,
which encodes an acetyltransferase required for lipid A modification of
lipopolysaccharide. Both the mutants were attenuated (100% animal survival) and
triggered robust innate and adaptive immune responses. Comparable levels of IgG
and its isotypes were produced in mice infected with wild-type (WT) S.
typhimurium or its aforementioned mutant strains. The DeltalppAB DeltamsbB mutant
immunized animals resulted in the production of higher levels of fecal IgA and
serum cytokines during later stages of vaccination (adaptive response). A
significant production of interleukin-6 from T-cells was also noted in the
DeltalppAB DeltamsbB mutant-immunized mice when compared to that of the
DeltalppAB mutant. On the other hand, IL-17A production was significantly more in
the serum of DeltalppAB mutant-immunized mice (innate response) with a stronger
splenic T-cell proliferative and tumor-necrosis factor-alpha production. Based on
2-dimensional gel analysis, alterations in the levels of several proteins were
observed in both the mutant strains when compared to that in WT S. typhimurium
and could be associated with the higher immunogenicity of the mutants. Finally,
both DeltalppAB and DeltalppAB DeltamsbB mutants provided complete protection to
immunized mice against a lethal oral challenge dose of WT S. typhimurium. Thus,
these mutants may serve as excellent vaccine candidates and also provide a
platform for delivering heterologous antigens.
PMID- 27891322
TI - Epigenetics in Schistosomes: What We Know and What We Need Know.
AB - Schistosomes are metazoan parasites and can cause schistosomiasis. Epigenetic
modifications include DNA methylation, histone modifications and non-coding RNAs.
Some enzymes involved in epigenetic modification and microRNA processes have been
developed as drugs to treat the disease. Compared with humans and vertebrates, an
in-depth understanding of epigenetic modifications in schistosomes is starting to
be realized. DNA methylation, histone modifications and non-coding RNAs play
important roles in the development and reproduction of schistosomes and in
interactions between the host and schistosomes. Therefore, exploring and
investigating the epigenetic modifications in schistosomes will facilitate drug
development and therapy for schistosomiasis. Here, we review the role of
epigenetic modifications in the development, growth and reproduction of
schistosomes, and the interactions between the host and schistosome. We further
discuss potential epigenetic targets for drug discovery for the treatment of
schistosomiasis.
PMID- 27891323
TI - Reduced CX3CL1 Secretion Contributes to the Susceptibility of Oral Leukoplakia
Associated Fibroblasts to Candida albicans.
AB - Candida leukoplakia (OLK) is a kind of oral leukoplakia combined with chronic
candidal infection, which plays an important role in the malignant transformation
of OLK. However, little is known about the etiology, including susceptibility of
leukoplakia to candidal adhesion, invasion and infection. Some antimicrobial
peptides secreted by oral epithelial cells or fibroblasts potentially have
antifungal activities against Candida albicans (C. albicans). In this study, we
established three co-culture models to simulate different C. albicans-fibroblasts
interactions during progression of candida leukoplakia. The susceptibility of
oral leukoplakia-associated fibroblasts (LKAFs) to C. albicans and its underlying
mechanism were determined. Samples of 14 LKAFs and 10 normal fibroblasts (NFs)
were collected. The co-culture models showed that LKAFs had promoted the
adhesion, invasion, and survival of C. albicans compared with NFs. CX3CL1, a
chemokine with antifungal activity, was less abundant in LKAFs than NFs.
Overexpression of CX3CL1 via transfection in LKAFs could partly restore the
resistance to C. albicans. We also showed that inhibition of ERK could suppress
CX3CL1 secretion. While phosphor-ERK was inhibited in LKAFs compared with NFs.
Besides, the mRNA expression of a shedding enzyme for CX3CL1, disintegrin and
metalloproteinase domain (ADAM) 17 was decreased in LKAFs than NFs. In
conclusion, LKAFs produced and secreted less CX3CL1 by inhibiting the ERK
signaling pathway, thereby contributing to impaired cell resistance to C.
albicans.
PMID- 27891324
TI - High-throughput cell-based compound screen identifies pinosylvin methyl ether and
tanshinone IIA as inhibitors of castration-resistant prostate cancer.
AB - Current treatment options for castration-resistant prostate cancer (CRPC) are
limited. In this study, a high-throughput screen of 4910 drugs and drug-like
molecules was performed to identify antiproliferative compounds in androgen
ablated prostate cancer cells. The effect of compounds on cell viability was
compared in androgen ablated LNCaP prostate cancer cells and in LNCaP cells grown
in presence of androgens as well as in two non-malignant prostate epithelial
cells (RWPE-1 and EP156T). Validation experiments of cancer specific anti
proliferative compounds indicated pinosylvin methyl ether (PSME) and tanshinone
IIA as potent inhibitors of androgen ablated LNCaP cell proliferation. PSME is a
stilbene compound with no previously described anti-neoplastic activity whereas
tanshinone IIA is currently used in cardiovascular disorders and proposed as a
cancer drug. To gain insights into growth inhibitory mechanisms in CRPC, genome
wide gene expression analysis was performed in PSME- and tanshinone IIA-exposed
cells. Both compounds altered the expression of genes involved in cell cycle and
steroid and cholesterol biosynthesis in androgen ablated LNCaP cells. Decrease in
androgen signalling was confirmed by reduced expression of androgen receptor and
prostate specific antigen in PSME- or tanshinone IIA-exposed cells. Taken
together, this systematic screen identified a novel anti-proliferative agent,
PSME, for CRPC. Moreover, our screen confirmed tanshinone IIA as well as several
other compounds as potential prostate cancer growth inhibitors also in androgen
ablated prostate cancer cells. These results provide valuable starting points for
preclinical and clinical studies for CRPC treatment.
PMID- 27891325
TI - Effect of Tocopheryl Acetate on Maternal Cigarette Smoke Exposed Swiss Albino
Mice Inbred Fetus.
AB - INTRODUCTION: Cigarette smoking is worldwide problem which can be correlated with
teratogenicity. Tocopheryl acetate plays as an antioxidant against the oxidative
stress evolved by cigarette smoke exposure during pregnancy. AIM: To study the
effect of maternal exposure to cigarette smoke and Tocopheryl acetate on fetuses
of mice. MATERIALS AND METHODS: Pregnant mice randomly assigned to different
groups (Group I (control), Group II (Tocopheryl acetate), Group III(soyabean oil
used as vehicle for Tocopheryl acetate), Group IV (Cigarette smoke Exposed),
Group V (Cigarette smoke exposed plus Tocopheryl acetate) and Group VI(Cigarette
smoke exposed plus soyabean oil) were exposed to cigarette smoke 3 times a day
for 20 minutes each time and Tocopheryl acetate with dose of 200mg/kg/day in
0.3ml of soyabean oil as vehicle orally through oral gavage from the 5th day of
gestation to 15th day. RESULTS: Cigarette smoke exposed mice showed significant
fetal weight loss, resorption, placental anomalies, severe growth retardation,
venous congestion, haemorrhage, limbs defects and enphalocele. Negligible
abnormalities were seen among the control and Tocopheryl acetate group. Cigarette
smoke exposed group with Tocopheryl acetate exhibited weight gain among the fetus
as well as no gross abnormalities. The oxidative stress was significantly
increased by increasing Malondialdehyde (MDA) 293+/-81.57 MUmol/mg (p<0.0001) and
decreasing Superoxide Dismutase (SOD) 1.43 +/- 0.23mg/ml, (p<0.0001) Reduced
Glutathione (GR) 0.017+/-0.002mg/ml, (p<0.01) and Catalase (CAT) 0.248+/
0.005mg/ml, (p<0.0001). Tocopheryl acetate induced group significantly maintained
the oxidative stress with all p <0.0001. CONCLUSION: It can be concluded that
Tocopheryl acetate may have an ameliorating effect on the cigarette smoke during
pregnancy on fetus.
PMID- 27891326
TI - Determining Angle of Humeral Torsion Using Image Software Technique.
AB - INTRODUCTION: Several researches have been done on the measurement of angles of
humeral torsion in different parts of the world. Previously described methods
were more complicated, not much accurate, cumbersome or required sophisticated
instruments. AIM: The present study was conducted with the aim to determine the
angles of humeral torsion with a newer simple technique using digital images and
image tool software. MATERIALS AND METHODS: A total of 250 dry normal adult human
humeri were obtained from the bone bank of Department of Anatomy. The length and
mid-shaft circumference of each bone was measured with the help of measuring
tape. The angle of humeral torsion was measured directly from the digital images
by the image analysis using Image Tool 3.0 software program. The data was
analysed statistically with SPSS version 17 using unpaired t-test and Spearman's
rank order correlation coefficient. RESULTS: The mean angle of torsion was 64.57
degrees +/-7.56 degrees . On the right side it was 66.84 degrees +/-9.69 degrees
, whereas, on the left side it was found to be 63.31+/-9.50 degrees . The mean
humeral length was 31.6 cm on right side and 30.33 cm on left side. Mid shaft
circumference was 5.79 on right side and 5.63 cm on left side. No statistical
differences were seen in angles between right and left humeri (p>0.001).
CONCLUSION: From our study, it was concluded that circumference of shaft is
inversely proportional to angle of humeral torsion. The length and side of
humerus has no relation with the humeral torsion. With advancement of digital
technology, it is better to use new image softwares for anatomical studies.
PMID- 27891327
TI - Analysis of Fetal Palate to Assist Pre-natal Ultrasound.
AB - INTRODUCTION: Cleft palate is one of the major facial congenital malformation in
newborns. Pre-natal detection of this malformation is limited to detection of
clefting of hard palate but isolated soft palate clefting still remains challenge
for sonologists. As Indian literature is limited present study was attempted to
provide dimensions and position of fetal palate by digitized images. AIM: To
study dimensions, position and differences in parameters between second and third
trimester fetuses. MATERIALS AND METHODS: Median sagittal section of 32 formalin
fixed fetuses was selected from the Department of Anatomy, St John's Medical
College, Bangalore, Karnataka, India. Anatomical landmarks-The Nasion (N),
Sellaturcica (S), Anterior Nasal Spine (ANS), Posterior Nasal Spine (PNS), tip of
Uvula (U) were marked on sections. Length of hard palate (from ANS to PNS),
Length of soft palate (from PNS to U), Hard palate/soft palate angle was defined.
The anterior position of soft palate and its posterior position in relation to
anterior cranial base were marked as N-S-PNS and N-S-U angle, respectively. The
measurements were acquired directly from the digitized images using ImageJ
software. Statistical analysis was done using SPSS 16. RESULTS: The mean values
of ANS-PNS and PNS-U were 23.59+/-3.69mm and 14.39+/-2.70mm, respectively. The
mean values of hard palate/soft palate angle, N-S-PNS and N-S-U angle were
144.720+/-11.11,51.150+/-9.09 and 93.370+/-9.58, respectively. Significant
difference was noted between trimesters for length of hard and soft palate but
not for palatal angles. CONCLUSION: During Pre-natal assessment of cleft palate,
it is important for sonologist to keep in mind that the dimensions of palate
proportionately increased in last two trimesters while the position remains
constant.
PMID- 27891328
TI - Abnormal Course of Right Coronary Artery Together with Variant Left Coronary
Artery and Partial Atresia of Cicumflex Artery.
AB - Heart is supplied by right and left coronary arteries. Therefore, the knowledge
of normal and variant anatomy of both these arteries and their configurations is
indispensable for proper understanding of diagnosis and treatment of dreaded
cardiac diseases. During routine cadaveric dissection, a heart of an adult female
with anomalous course of Right Coronary Artery (RCA), new variant of trifurcated
Left Coronary Artery (LCA) and partial atresia of circumflex (CX) artery was
detected. Trifurcation of LCA into anterior interventricular, CX and a ramus
intermedius without giving accessory branches has been reported. But in the
present case, a variant trifurcation was found having rare configuration i.e.,
the interventricular branch gave two additional branches, a new variant along
with ramus intermedius also giving an additional branch another new variant
followed by partially hypoplastic circumflex artery. Besides this, RCA has an
aberrant course modifying normal supply of heart. This rare configuration of
coronary arteries will facilitate the cardiac surgeons to improve the
understanding of defects of coronary arteries and planning of angiography,
coronary by bypass grafting and other surgical interventions, radiologists for
refining interpretation of imagery and anatomists for new variants.
PMID- 27891329
TI - Effects of Lead in Various Preparatory Stages of Nagabhasma on Function and
Histopathology of Cornu Ammonis of Hippocampus.
AB - INTRODUCTION: Heavy metals are frequently used in the preparations of
traditional/folk medicines. One such preparation in Ayurveda is Nagabhasma, in
which lead is the main ingredient. Lead is non-essential element to the human
body and is known toxic substance to many organ systems. However, it is claimed
that, the highly toxic metallic lead will be converted into health beneficial
organo-metallic compound when raw lead is subjected to various traditional
methods of purification during preparation as mentioned in the ancient medicinal
system. AIM: The present study is designed to evaluate the effect of such
detoxification of lead in various stages of authentically prepared Nagabhasma on
the learning and memory. MATERIALS AND METHODS: Using half of the human
equivalent doses of traditionally prepared Nagabhasma, at intermittent stages of
its preparation were fed orally to healthy Wistar rats for 30 days. After
treatment, the immediate effect and residual effect after 2 months was evaluated
by subjecting them to passive avoidance test. Then rats were sacrificed and
hippocampus was collected for histopathological evaluation. RESULTS: Pure lead
treated animals showed deficit in learning and memory which is indicated by
spending more time in the dark compartment in passive avoidance test. However,
animals treated with stage 1 to 4 Nagabhasma showed gradual increase in the
memory and learning. This observation is substantiated by the findings of the
histopathology of the Cornu Ammonis (CA) region of hippocampus. CONCLUSION: The
results of the present study indicate that, the metallic toxicity of the lead
used in the preparation of bhasma was gradually decreased from stage 1 to stage 4
of preparation. Therefore, the traditional way of preparing the metallic bhasma
is very critical in eliminating the possible health hazardous metallic lead
toxicity.
PMID- 27891330
TI - Evaluation of Lipid Profile and Apolipoproteins in Essential Hypertensive
Patients.
AB - INTRODUCTION: Essential hypertension is one of the most common diseases of the
Indian population contributing greatly to the morbidity, mortality and economic
burden. It has a strong association with cardiovascular disease and abnormal
lipid metabolism. Not only the traditional lipid parameters, but also the novel
lipid components like Apo A1 and Apo B100 also have been identified to play a
role. AIM: The present study was done to evaluate serum lipid profile and Apo A1,
Apo B 100 in essential hypertensive patients and correlate their values with the
degree of hypertension. MATERIALS AND METHODS: Fasting samples from 55 age and
sex matched controls and 55 essential hypertensives were tested for plasma
glucose, serum urea, creatinine, lipid profile, apo A1 and apo B100. The cases
were subclassified based on the severity of hypertension according to JNC
criteria. RESULTS: The study showed a significantly raised value for serum
cholesterol, triacylglycerol, Low Density Lipoprotein (LDL), Very Low-Density
Lipoprotein (VLDL) in the hypertensive patients than the control group whereas
serum High-Density Lipoprotein (HDL) registered a fall in the cases. Apo A1
revealed a non-significant fall in the hypertensive patients. In contrast, there
was a rise in the serum apo B100 in the cases. Apo B100/apo A1 ratio was
significantly raised in both stage I and stage II hypertensive patients in
comparision to the controls. When correlated, serum apo A1 revealed a negative
association where as serum apo B 100 showed a positive association with systolic
and diastolic bloood pressure. Both LDL/HDL and apoB100/apo A1 and apo B100
revealed a significant positive association with both SBP and DBP. However,
apoB100/apo A1 revealed a more positive association in comparision to LDL/HDL
ratio (r=0.749, p<0.001, r=0.756, p<0.001 vs r=0.336, p<0.000, r=0.312, p<0.001).
CONCLUSION: Apo B100/apoA1 has emerged as an important complementary parameter in
addition to traditional lipid ratio for evaluation of risk for future
cardiovascular disease.
PMID- 27891331
TI - Association of Diabetic Nephropathy and Liver Disorders.
AB - INTRODUCTION: Liver disorder is known to be a risk factor for Diabetes Mellitus
(DM) and diabetic patients are at risk of developing liver disorders. Association
of liver and renal disease is less explored in the field of research; hence, we
conducted a retrospective study on this. AIM: To compare the renal and liver
profiles of type II DM patients compared to healthy controls and find the
association between the two profiles in diabetics. MATERIALS AND METHODS: The
renal and liver profiles of 68 type II DM patients and 58 controls were compared.
Estimated Glomerular Filtration Rate (GFR) (eGFR) was calculated using
Modification of Diet in Renal Disease (MDRD) formula and was taken as a tool to
grade different stages of diabetic nephropathy. Comparison of liver profiles
between different stages of diabetic nephropathy was done. Correlations and
associations were studied between eGFR and liver enzymes and Bilirubin. RESULTS:
A significant elevation in Total Bilirubin (TB) (p< 0.15), Direct Bilirubin (DB)
(p< 0.0035), Aspartate Amino Transferase (AST) and Alanine Amino Transferase
(ALT) (p<0.0001) levels in diabetics was noted. An elevated eGFR and a
significant correlation between eGFR and liver enzymes were observed. A
significant association between liver and renal disease has been obtained in
diabetics (p=0.0136). CONCLUSION: Significantly, high liver function tests and
low eGFR were observed in type II diabetics. A significant positive correlation
between liver enzymes (AST and ALT) and eGFR suggest a possible association
between liver and kidney functions in DM.
PMID- 27891332
TI - Is dilution important: Factitious Total Creatine Kinase in case of
Rhabdomyolysis?
AB - Factitious test reports may result in incorrect diagnosis and incorrect
management. Such incorrect diagnosis can be prevented by a vigilant biochemist.
We report a case of Rhabdomyolysis presenting with extremely low total Creatine
Kinase (CK) levels which was factitious. Running the sample in dilution resulted
in a very high value of total CK which could have been missed if the sample was
not run in dilution and the diagnosis of Rhabdomyolysis could have been missed.
PMID- 27891333
TI - Correct Blood Sampling for Blood Gas Analysis.
PMID- 27891334
TI - Life Orientation Test- Revised (LOT-R) Versus Academic Score in Various First
Year Health Professional Students.
AB - INTRODUCTION: Health field per se requires mental, physical and psychological
steadiness and wellbeing. In modern times decline in psychological and physical
health has been observed in student after admission in health education program.
Factors like perfectionism, self-esteem, personal and professional consequence
have affected their academic score directly or indirectly. Life Orientation Test
Revised (LOT-R) instrument measures optimism in relation to self-esteem of
individual. A better score will show more confidence level of the student. AIM:
To find an association of LOT-R with the academic score of health professional
students and assess gender variation. MATERIALS AND METHODS: A total of 350
students enrolled for academic year 2015-16 in health professional program of
medicine, dental and physiotherapy institutes of Sumandeep Vidyapeeth University
were considered. Non-randomized and purposive study was done by providing LOT-R
questionnaire to students. Average academic score of Anatomy and Physiology
course was used for analysis excluding the biochemistry due to non-availability
of tangible data at the time of study. Data was collected, analysed statistically
using independent t-test, ANOVA with post-hoc and correlation analysis. RESULT:
Statistical significant for one-way ANOVA was assessed for academic score between
the group of health professional students. While no statistical correlation of
significance was observed for LOT-R score with that of academic score. As per
gender distribution there was no statistical significant observation for LOT-R
score within the groups. CONCLUSION: The present study highlighted the need of
student's counseling for their approach towards health education; as their
career. Psychological self-reliance and optimism improves the academic score. A
study needs to be compared with the socio-economic status of the student to have
a better understanding of the LOT-R.
PMID- 27891335
TI - A Comparative Evaluation of Different Diagnostic Modalities in the Diagnosis of
Typhoid Fever Using a Composite Reference Standard: A Tertiary Hospital Based
Study in Central India.
AB - INTRODUCTION: Enteric fever, caused by Salmonella spp. is a major cause of
morbidity and mortality worldwide and endemic in many developing countries
including India and other South-East Asian countries. Blood culture is regarded
as the gold standard for diagnosis. Currently, the standard serological method is
tube agglutination with moderate sensitivity and specificity. Dot blot assay
detecting IgM and IgG antibodies to a specific 50kD Outer Membrane Protein (OMP)
antigen of Salmonella spp. is a simple, reliable, affordable and rapid test which
can help in the early diagnosis of typhoid fever. AIM: To systematically evaluate
the different diagnostic modalities against a composite reference standard for
the better diagnosis of typhoid fever in clinically suspected cases of typhoid
fever. MATERIALS AND METHODS: This cross-sectional, prospective analytical study
was carried out at a tertiary care hospital attached to Medical College in
central India from November 2011 to June 2013. A total of 163 blood samples,
collected aseptically from patients clinically diagnosed of enteric fever, were
tested using various component tests like blood culture, Tube Widal and Dot
Enzyme Immuno Assay (Dot EIA) for IgG and/or IgM. Composite Reference Standard
(CRS) was created for defining the confirmed cases of typhoid fever using the
component tests, wherein culture positive and in absence of culture positivity
any two component test positive patients were taken as confirmed cases. All the
component tests were evaluated against the CRS for sensitivity, specificity, PPV
and NPV and their significance in relation to the duration of illness using
statistical tests of significance. RESULTS: Blood culture was positive in 16
(9.81%) whereas, Tube Widal, IgM, IgG and IgM+IgG in combination were positive in
88(54%), 58(35.58%), 30 (18.40%) and 75 (46.01%) respectively. Using a two test
criteria of CRS framed, a total of 104 patients were considered as confirmed
cases. Though specificity of blood culture was 100%, the sensitivity was low with
significant detection rate in 1st week of illness. Tube Widal showed a
sensitivity of 65.38% and specificity of 89.83% with significant detection rate
in 2nd week. Dot blot assay for IgM, IgG and Combined IgM and IgG showed a
sensitivity of 71.15%, 65.28% and 51.72% respectively whereas, the specificity
was 10.16%, 47.45% and 74.57% respectively with significant detection rate in 2nd
and 3rd week of illness. CONCLUSION: It can be concluded that though blood
culture is still the gold standard, Dot blot assay found to have high sensitivity
and good specificity might be a practical alternative test for the rapid
diagnosis of typhoid fever if interpreted with care particularly using a
composite reference standard. Further, it is reliable, simple to perform and
rapid; results being available in 1 hour when compared to 48 hours for blood
culture and 18 hours for Tube Widal test.
PMID- 27891336
TI - Mycobacterium tuberculosis Beijing Genotype in Western Iran: Distribution and
Drug Resistance.
AB - INTRODUCTION: Mycobacterium tuberculosis Beijing genotype is gaining importance
all over the world because this genotype is highly prevalent in several areas and
is also frequently associated with drug resistance. AIM: To identify and
determine the frequency of Beijing genotype and mix infection with Beijing and
non-Beijing in west of Iran and analyse the association between Beijing genotype
and drug resistance. MATERIALS AND METHODS: This cross-sectional study was
conducted on 146 Tuberculosis (TB) samples collected at the TB reference
laboratory in Kermanshah west of Iran from January 2014 to February 2015,
Mycobacterium tuberculosis isolates from sputum samples, detected by microcopy,
biochemical tests and solid culture were included and then the confirmed samples
with Cepheid Xpert MTB/RIF assay were subjected to drug susceptibility tests for
rifampicin, isoniazid, ethambutol using proportional method. The prevalence rate
of Beijing and non-Beijing genotype was determined by Multiplex- Polymerase Chain
Reaction (PCR). RESULT: A total of 15/146 (10%) isolates were diagnosed as
Beijing genotypes and the remaining 131/146(90%) isolates were non-Beijing
genotypes by Multiplex PCR method. Among the 15 Beijing cases, 14 samples have
shown mix infection indicating the presence of both Beijing and non-Beijing
strains in samples. Three isolates from all cases were drug resistant.
Interestingly all drug resistance isolates were from Beijing genotype which shows
strong association between drug resistance and Beijing genotype. Also this
genotype was more prevalent in younger age-group people (p=0.035). CONCLUSION:
Frequency of Beijing genotype in west of Iran is more than other sites of Iran
but less than Asia. According to our result, mix infections with Beijing and non
Beijing, had the most prevalence therefore we should be concerned more about mix
infections. Multiplex-PCR method is feasible, trustworthy and can distinguish mix
infections. It is suggested to perform spoligotyping in addition to multiplex PCR
method to discriminate mix infections.
PMID- 27891337
TI - High Seroprevalence of Dengue Virus Infection in Blood Donors From Delhi: A
Single Centre Study.
AB - INTRODUCTION: The risk of transfusion transmitted dengue has been increasingly
recognized. Blood donors in an endemic area like Delhi may serve as a potential
vehicle for transmission of the infection. Moreover, prevalence of infection in
them would be representative of the true picture of dengue in a population. AIM:
To determine the prevalence of dengue virus infection in blood donors in a
tertiary care centre. MATERIALS AND METHODS: A total of 200 blood donors were
recruited in the study after obtaining informed consent in the Institute of Liver
and Biliary Sciences, New Delhi in July and August 2012. Data regarding clinical
and demographic characteristics was collected using a preformed questionnaire.
Blood samples obtained were subjected to anti-dengue IgM and IgG ELISA as well as
semi-nested Reverse Transcriptase Polymerase Chain Reaction (RT-PCR) for dengue
RNA. RESULTS: Of the study subjects, most were men (97%) with a median age of 28
years (range 19-51 years). Anti-dengue IgG was positive in 116 cases (58%) while
IgM was seen in 27cases (13.5%). Of them, in 25 (12.5%) cases both IgG and IgM
were positive, while only two (1%) cases tested positive for IgM alone. None of
the blood donors were found to be viremic on screening using Nested RT-PCR. A
clear increase of IgG seroprevalence with age was evident. No difference in the
seroprevalence rates in urban vs. rural areas was seen. CONCLUSION: High
seroprevalence of dengue infection was seen in healthy asymptomatic blood donors.
Though evidence of acute infection was found in some, none were found to be
viremic. Larger studies are required to quantify the risk and provide strong
evidence for policies to be made.
PMID- 27891338
TI - Non-O157:H7 Shiga Toxin Producing Diarrhoeagenic Escherichia coli (STEC) in
Southern India: A Tinderbox for Starting Epidemic.
AB - INTRODUCTION: Outbreaks due to non-O157:H7 Shiga toxin producing Escherichia coli
(STEC) resulting in Haemolytic Uraemic Syndrome (HUS) have garnered much
attention because of associated mortality transcending across continents and also
because diarrhoea due to E.coli itself is rare in developed countries. The actual
incidence of non-O157:H7 STEC in sporadic acute diarrhoea is not fully
elucidated, both in developing as well as in developed countries. Due to larger
extent of faecal-oral transmission in developing countries it is prudent to look
for non-O157: H7 STEC in such epidemiological settings because of very high
potential to spread across larger geographical regions and cause life threatening
illness. AIM: To determine the extent of acute diarrhoea caused by Shiga toxin
producing E. coli and measure their genotypic diversity. MATERIALS AND METHODS:
The study was designed as a cross-sectional study and conducted between 2009-2011
in department of Microbiology at JN Medical College Belgaum (Karnataka) and
Regional Medical Research Center, Belgaum (RMRC-ICMR). Stool samples from 300
sporadic cases of acute diarrhoea were processed by microscopy, culture, for the
identification of diarrhoeagenic pathogens viz. Vibrio cholera, Shigella spp.,
Salmonella spp. and protozoan parasites. PCR was performed for the detection of
eae and stx genes in E. coli isolates. Their relatedness was determined by Random
Amplification of Polymorphic DNA (RAPD). RESULTS: PCR detected stx along with eae
in 23.2% culture isolates of E.coli isolated from diarrhoea samples. Only three
isolates were identified as STEC by serology as O59, O60 and O69 serotypes.
Eleven clones were detected by RAPD fingerprinting in the 46 STEC isolates.
CONCLUSION: Non-O157:H7 STEC are prevalent in this region and laboratories shall
look beyond O157:H7 serotype of E.coli. These isolates have potential of causing
outbreaks transcending borders. Hence they shall be reported and efforts be made
to identify their sources and prevent spread.
PMID- 27891339
TI - Bacteriological Profile of Isolates From Urine Samples in Patients of Benign
Prostatic Hyperplasia and or Prostatitis Showing Lower Urinary Tract Symptoms.
AB - INTRODUCTION: The incidence of Benign Prostatic Hyperplasia (BPH) or Prostatitis
is increasing considerably worldwide. The Lower Urinary Tract Symptoms (LUTS) due
to bacterial aetiology are one of the common factors for the complications among
the patients. AIM: To determine the bacterial agents and their antibiotic
sensitivity pattern from the urine samples of patients of BPH or Prostatitis
showing symptoms of LUTS. MATERIALS AND METHODS: The cross-sectional study was
carried out in the Department of Microbiology of Rohilkhand Medical College and
Hospital of Northern India from June 2014 to May 2015. A total of 105 urine
specimens from patients of BPH and/ or Prostatitis were cultured by a semi
quantitative method. The isolated bacteria were identified by colony morphology,
Gram's staining, motility and biochemical tests. Antibiotic sensitivity was done
according to the CLSI 2007 guidelines by disc diffusion method. Data was analysed
by SPSS and Microsoft office 2007. Proportions and percentages were used as
statistical measures. RESULTS: The urine cultures from patients with BPH and or
chronic Prostatitis, showed n=66/105 (62.85%) culture positivity. Out of 66
isolates the frequency was in following order Escherichia coli 21/66 (31.81%),
Klebsiella spp 19/66 (28.78%), Staphylococcus aureus 11/66 (16.66%), Pseudomonas
aeruginosa (10.60%), Proteus spp, Enterococcus spp, Acinetobacter spp and
Citrobacter spp. The most susceptible 1st, 2nd and 3rd line antibiotics for Gram
negative isolates were ampicillin, amikacin and tigecycline respectively. Amongst
the Gram positive isolates, the susceptible 1st, 2nd and 3rd line antibiotics
were cefoxitin, vancomycin, teicoplanin and linezolid. Multidrug resistance was
seen in Escherichia coli (n=6), Klebsiella spp (n=7), Pseudomonas aeruginosa
(n=4) and Staphylococcus aureus (n=3). CONCLUSION: Based on the above findings we
can say that accurate aetiology of the LUTS among the patients of BPH and/or
Prostatitis is warranted to initiate the therapeutic management. Based on our
study we state that the prime pathogens are E.coli, Klebsiella among Gram
negative isolates and S. aureus among Gram positive. The most sensitive drugs are
aminoglycosides, tetracyclines and carbepenems for Gram neagtive isolates and
oxazolidinones and glycopeptides among Gram positive isolates.
PMID- 27891340
TI - Dual Lower Respiratory Tract Infection by Burkholderia cepacia and Acinetobacter
baumannii in A Neonate: A Case Report.
AB - Burkholderia cepacia (B.cepacia) and Acinetobacter baumannii (A.baumannii), the
highly intrinsically resistant nonfermenters are known to cause frequent
infections in immunocomproimsed or hospitalized patients with significant
mortality rate. In this rare clinical presentation, both were simultaneously
isolated from a case of neonatal sepsis with respiratory failure. The prompt
early diagnosis and antibiogram of these nonfermenters were proved to be of
tremendous help in the present case with successful treatment outcome of dual
infection of B.cepacia and A.baumannii. The present case report strongly
emphasises the clinical importance of early accurate diagnosis of these emerging
potential fatal non-fermenters which could otherwise prove fatal in case of any
slight delay or misidentification. Implementation of strict surveillance policy
to monitor the growth of these non-fermenters and draconian infection control
measure holds a key to success in significant reduction of the associated
mortality and morbidity.
PMID- 27891341
TI - Clinicopathological Study of Surface Epithelial Tumours of the Ovary: An
Institutional Study.
AB - INTRODUCTION: It is an established fact that tumours of ovary inherit a spectrum
of histogenetic background, the variety being more than any other organ. Surface
epithelial stromal tumours of ovary being the most common type of ovarian tumours
form a complicating and baffling subject in the history of oncology and hence,
are an interesting topic for study. AIM: The aim of this study was to categorize
the surface epithelial tumours of ovary into benign, borderline and malignant, to
study their clinical and histopathological pattern and to compare their
incidences with other studies. MATERIALS AND METHODS: This is a 5 year (3years of
retrospective + 2 years of prospective) study conducted during the period of June
2006 to May 2011. It consisted of 139 cases (141 tumours/ lesions). The relevant
clinical details about the patient were retrieved from hospital data. RESULTS:
The 141 surface epithelial tumours from 139 cases accounted for 66.2% of all the
ovarian tumours encountered during the study period. The mean age of diagnosis in
our study was 42.4 years. The most common clinical presentation was mass in
abdomen. 90.6% of tumours were unilateral and 9.4% cases were bilateral. Right
sided tumours (59.8%) were more common than left sided tumours (40.14%). 82.3%
were benign tumours, 12.1% were malignant and 5.7% tumours belonged to the
borderline category. CONCLUSION: Surface epithelial tumours present a great
challenge to the gynecologic oncologist because non-neoplastic ovarian lesions
can form a pelvic mass and potentially mimic a neoplasm. Their proper recognition
and histopathologic classification is essential for appropriate management as
malignant tumours are usually picked up at an advanced stage owing to their
asymptomatic nature and inaccessible site for aspiration cytology and biopsy.
Histopathological examination still remains the mainstay in diagnosis of these
neoplasms.
PMID- 27891342
TI - Immunohistochemical Evaluation of Role of Serotonin in Pathogenesis of Psoriasis.
AB - INTRODUCTION: Psoriasis is a common skin disorder characterized by
erythaematosquamous papules and plaques. It is known to be associated with
stressful and depressive disorders. Serotonin is a neurotransmitter that plays a
role in the pathogenesis of inflammatory skin disorders. AIM: To evaluate the
role of serotonin in pathogenesis of psoriasis. MATERIALS AND METHODS: Using
standard immunohistochemical techniques, 24 biopsies from patients with chronic
plaque psoriasis were examined together with 12 biopsies from age and gender
matched healthy subjects as a control group. RESULTS: Both the percentage of
positive cells (p=0.018) and H-score values (p=0.015) of serotonin expression
were significantly higher in psoriasis compared to normal skin. H score of
serotonin expression was significantly higher in cases with totally absent
Granular Cell Layer (GCL) as opposed to those with thin/focally absent GCL
(p=0.011), and in cases with moderate/strong epidermal inflammation compared to
cases with mild inflammation (p=0.035). No significant correlation was detected
between H score of cases and age, disease duration or Psoriasis Area and Severity
Index (PASI) score. CONCLUSION: Serotonin might play a role in development of
psoriasis through its role as a growth factor promoting keratinocyte
proliferation, and as mediator of inflammation and stimulant of T cell
activation. It recruits T cells to sites of cutaneous inflammation and potentiate
macrophage accessory function for T cell activation. Its expression is not
related to the disease severity. Future large-scaled research on population of
different ethnicities including other disease variants is needed. The use of
serotonin receptor antagonists and serotonin reuptake inhibitors may be evaluated
on wide-based studies to put the current observation into action.
PMID- 27891343
TI - Expression of Alpha Methylacyl CoA Racemase (AMACR) in Gastric Adenocarcinoma and
Its Correlation with Helicobacter pylori Infection.
AB - INTRODUCTION: Gastric cancer develops in a multistep progression and is
determined by genetic and environmental factors. Over-expression of Alpha
Methylacyl CoA Racemase (AMACR) is useful in diagnosis of prostate cancer. There
is plenty of genetic alteration that occurs in gastric adenocarcinoma. The
present study was planned to determine if AMACR can be used as a diagnostic
marker in gastric adenocarcinoma similar to prostate cancer. AIM: To study the
expression of AMACR in gastric adenocarcinoma and correlate its expression with
density of Helicobacter pylori. MATERIALS AND METHODS: This cross-sectional,
prospective study was conducted from August 2013-2015. Fifty gastric cancer
biopsies were taken. Adjacent biopsy from normal/reactive mucosa was also taken
from 21 cases. Samples were stained with H&E for morphological details,
Loeffler's methylene blue for Helicobacter pylori and immunohistochemistry (IHC)
was done to check for the expression of AMACR proteins. Statistical analysis was
done using chi square test, Spearman's correlation coefficient and Fisher's exact
test. The p-value <= 0.05 was taken as critical level of significance. RESULTS:
Overexpression of AMACR was observed in 88.89% of intestinal type and 78.05% of
diffuse type adenocarcinoma. AMACR expression was significantly less in adjacent
reactive/dysplastic mucosa. Helicobacter pylori were seen in 8/9 (88.89%) and
35/41(85.36%) cases of intestinal adenocarcinoma and diffuse adenocarcinoma
respectively. When grades of Helicobacter pylori were compared with the
positivity of AMACR, no significant association and correlation was found.
CONCLUSION: The expression of AMACR in neoplastic tissue was significantly higher
as compared to adjacent dysplastic, reactive or normal tissue. Thus, IHC for
AMACR can be used for differentiating the cases of reactive atypia from early
neoplastic lesions similar to its role in prostatic tissue. Helicobacter pylori
does not affect the expression of AMACR in neoplastic gastric lesions.
PMID- 27891344
TI - Role of Scrape Cytology as an Adjunct to Fine Needle Aspiration Cytology in
Diagnosis of Thyroid Lesions.
AB - INTRODUCTION: Scrape cytology is an important diagnostic tool. It has been used
in many tissue types as an adjunct or replacement for frozen section/ intra
operative consultation. AIM: This study was done to evaluate the role of scrape
cytology in the diagnosis of thyroid lesions, its role as an adjunct to Fine
Needle Aspiration Cytology (FNAC) and application of this technique for intra
operative consultation. MATERIALS AND METHODS: A prospective study on 50 thyroid
neoplasms received over a period of 18 months (Nov 2014- March 2016) was
conducted. Scrapings obtained from the fresh cut surface of thyroid specimens
before formalin fixation, were smeared uniformly on to glass slides, and
immediately fixed in 95% ethyl alcohol for rapid Haematoxylin and Eosin (H&E).
Cytological findings were compared with pre-operative FNAC findings and
histopathological diagnosis. Variables taken in to consideration while assessing
the smears included cellularity, nuclear, cytological details and amount of
colloid. RESULTS: There were total of 50 patients. Out of the 50 patients, 15
were diagnosed as benign on scrape; of which 100% of cases were true negative for
malignancy and five malignant cases were diagnosed as benign-false negative rate
of 16.1%; four (8%) were deferred (non-diagnostic) with a true positive rate of
83.3%. Histopathological correlation was available in all cases. The overall
diagnostic accuracy of scrape cytology was 89.1% with sensitivity and specificity
of 83.87% (C.I.; 66.27% to 94.55%) and 100% (C.I; 76.84% to 100.00%)
respectively. Fine Needle Aspiration results were available in 41 cases of which
2 were non-diagnostic. Of the remaining 39 cases 19 were benign and 20 were
malignant with false negative rate of 40% and true positive rate of 60%. The
overall diagnostic accuracy of FNAC was 64.1% with sensitivity and specificity of
60% (C.I.; 40.6% to77.3 %) and 77.78% (C.I; 39.9% to 97.1%) respectively.
CONCLUSION: We conclude that scrape cytology can act as a useful adjunct to FNAC
and is a good procedure for intra-operative consultation. It is especially
helpful in neoplasms diagnosed as suspicious for papillary carcinoma on
aspiration and may obviate the need for a second procedure for completion
thyroidectomy.
PMID- 27891346
TI - Evaluation of Fluorescent Staining for Diagnosis of Leprosy and its Impact on
Grading of the Disease: Comparison with Conventional Staining.
AB - INTRODUCTION: Leprosy is a chronic infectious disease caused by Mycobacterium
leprae (M. leprae). Histopathological examination of skin lesion is the gold
standard for diagnosis. We evaluated the possible role of fluorescent microscopy
in this direction which is increasingly used for rapid screening. AIM: To compare
the efficacy of auramine rhodamine stain with Ziehl-Neelsen and modified Fite
faraco staining in diagnosing M. leprae in tissue sections. STUDY DESIGN:
Experimental, cross-sectional and retrospective study conducted for 4 years.
METHODS AND MATERIALS: Skin biopsies of sixty clinically diagnosed leprosy
patients were stained by Ziehl-Neelsen, Fite-Faraco and fluorescent stain. The
presence of the bacilli and the bacillary index was scored for each case. The
bacillary index by each staining methods were compared. STATISTICAL ANALYSIS:
SPSS v 17 (IBM, New York) used for data analysis. Chi-Square test was used to
calculate significance between differences. The p-value of <0.05 was considered
as statistically significant. Pearson Correlation (r-value determined) was also
used for comparison between groups. RESULTS: Sensitivity of fluorescent stain for
indeterminate and borderline tuberculoid leprosies were 100% each. Positivity
rates and mean bacteriological index with fluorescent stain was higher (43.3 and
11.5 respectively) as compared to that of Ziehl- Neelsen and Fite-faraco when the
bacillary load was less (bacillary index < 3). There was significant correlation
between the three staining types at higher bacillary load. There was a higher
mean bacillary index with fluorescent stain as well as detection of an additional
multibacillary case. CONCLUSION: Fluorescent method is more sensitive than
modified fite-faraco method in detecting lepra bacilli in tissue sections
especially in cases with bacillary index less than three. With its higher
sensitivity, paucibacillary cases could be upgraded to multibacillary thus
affecting treatement decisions.
PMID- 27891345
TI - Voluntary Blood Donation among Students - A Cross-Sectional Study on Knowledge
and Practice vs. Attitude.
AB - INTRODUCTION: The factors influencing blood donation decisions are varied and
complex and one's attitude can influence this decision. AIM: To find the factors
affecting the knowledge and practice of blood donation among college students and
their attitude towards the same. MATERIALS AND METHODS: This cross-sectional
study was conducted among 399 college going students using convenience sampling
from medical, nursing and engineering colleges in Bhubaneswar city, where blood
donation camps were to be held. Data was collected through self-administered
questionnaires and, analysed in SPSS Version 20.0. RESULTS: Knowledge regarding
blood donation was adequate among 228 (57.1%) of the students and, 221 (55.4%)
students had donated blood. Knowledge was significantly better among female
students, medical stream and in those whose parents were in non-medical jobs;
whereas blood donation had been done significantly more by male, non-medical
stream students and by those whose parents were in medical field. Most common
reason for donating blood was a sense of social responsibility and most common
reason of non-donation was fear of the procedure. An 85% of the students were of
the view that they would donate blood if asked. Students suggested that small
incentives like certificates and arranging transport for blood donation would
make it easier to donate. CONCLUSION: Just over half of the students had adequate
knowledge about blood donation and similar percentage had donated blood. There is
this large pool of safe blood in college going students who are willing, but not
tapped as source of blood donation.
PMID- 27891347
TI - Decidual CD56+ Natural Killer Cells in Spontaneous Early Pregnancy Loss- An
Immunohistochemical Study.
AB - INTRODUCTION: Natural killer cells are believed to promote placental and
trophoblastic growth and provide immune- modulation at maternal-fetal interface
in pregnancy and their role in reproductive failure has been a matter of
discussion. AIM: To study CD56+ Natural killer cells in spontaneous pregnancy
loss. MATERIALS AND METHODS: In this prospective observational study, formalin
fixed paraffin embedded sections from products of conception from twenty women
each with spontaneous early pregnancy loss (test group) and elective pregnancy
termination (control group). Immunohistochemical staining with CD 56 monoclonal
antibody was done by avidin-biotin peroxidase technique. CD56+ cells in decidua
were counted under light microscopy by two independent observers in ten high
power fields (40X) and mean cell count taken. Student's paired 't'-test was used
to statistically compare CD56+ NK cell population between the test and control
groups. RESULTS: The mean number of CD56+ NK cells was higher in the decidual
tissue of women who had spontaneous early pregnancy loss (mean+/-SD, 57.55+/
1.79) as compared to the mean number of CD56+ NK cells in the decidual tissue
from women who underwent elective termination (mean+/-SD, 50.9+/-3.46). The
difference was statistically significant (difference of 6.65 with 95% confidence
interval of 4.76 to 8.54, p-value <0.0001). CONCLUSION: This could imply that
CD56+ NK cells have a role in the pathogenesis of spontaneous early pregnancy
loss and further large scale studies can throw more light on the mechanism and
designing of appropriate therapy.
PMID- 27891348
TI - Composite Hemangioendothelioma: Report of a Rare Case.
AB - Composite Hemangioendothelioma (CHE) is a new entity classified under the Heman
gioendothelioma (HE) group of tumours. It is an extremely rare vascular neoplasm
of low to moderate malignancy. Very few cases have been described in the
literature. We herein report a case of CHE arising in a 31-year-old male who
presented with a history of painless slow growing swelling on upper back since
1year. Clinically, the surface of firm and mobile swelling of 1.5x1.5cm showed
focal ulcerations. The histopathological findings were consistent with the
diagnosis of composite hemangioendothelioma. The patient underwent wide local
excision and at the end of five months of follow up there were no features of
local recurrence or metastasis. To the best of our knowledge, this is the first
reported case of CHE from India.
PMID- 27891349
TI - Unusual Presentation of Acute Leukaemia: A Tripod of Cases.
AB - Acute Leukemia is one of the common haematological malignancies encountered with
varied clinical and haematological presentation. In acute leukaemia,
complications like bleeding and infection cause significant morbidity and
mortality, thus overshadowing the thromboembolic events. Among the various
malignant haematological disorders, the association of thromboembolic events is
often noted with acute promyelocytic leukemia, though the overall frequency of
such events remains very low. Acute Lymphoblastic Leukemia (ALL) is, however,
more common than Acute non-lymphoblastic Leukaemia. Usually patients present with
symptoms because of cytopenias, organomegaly, lymphadenopathy and bone pain,
including other skeletal abnormalities. Granular Acute lymphoblastic Leukaemia (G
ALL) may be misdiagnosed as Acute Myeloid Leukemia (AML) because of the presence
of cytoplasmic granules in the lymphoblasts. This variant of ALL is usually noted
in children, but may be seen in adults too. It is also important to note that
asymptomatic skeletal involvement can be seen in 40-60% of patients with ALL, but
pathological fractures and osteolytic lesions along with hypercalcemia at the
time of presentation are very rare. Herein, we present a series of three cases of
acute Leukemia presenting with unusual clinical and other rare haematological
findings.
PMID- 27891350
TI - Hypersensitivity with Inhalational Budesonide: An Under Recognised Entity.
AB - Hypersensitivity reactions are commonly encountered with drugs such as beta
lactams, sulphonamides, allopurinol etc., Corticosteroids are frequently employed
in the treatment of drug induced allergic reactions. Therefore, it is highly
unlikely that a corticosteroid itself may cause such a reaction as an adverse
effect. We had encountered a rare case of hypersensitivity reaction with
inhalational budesonide in an eight-year-old boy. The patient developed
maculopapular rashes over the back, buttocks and legs accompanied with pruritus
within four hours of administration of the first dose. The reaction subsided
within two days on withdrawal of the drug and treatment with oral fexofenadine.
Re-introduction of budesonide by the same route after a month resulted in
appearance of similar reaction. Both the parents of the patient were known cases
of allergic rhinitis suggesting allergic pre-disposition in the family. Causality
analysis using WHO-UMC scale suggested certain association of this allergic
reaction with inhaled budesonide.
PMID- 27891351
TI - Evaluation of Effect of Nishamalaki on STZ and HFHF Diet Induced Diabetic
Neuropathy in Wistar Rats.
AB - INTRODUCTION: Diabetic neuropathy is one of the most common complications
affecting 50% of diabetic patients. Neuropathic pain is the most difficult types
of pain to treat. There is no specific treatment for neuropathy. Nishamalaki
(NA), combination of Curcuma longa and Emblica officinalis used to treat Diabetes
Mellitus (DM). So, efforts were made to test whether NA is useful in prevention
of diabetic neuropathy. AIM: To evaluate the effect of NA on diabetic neuropathy
in type 2 diabetic wistar rats. MATERIALS AND METHODS: Group I (Control) vehicle
treated consists of 6 rats. Diabetes induced in 36 wistar rats with
Streptozotocin (STZ) (35mg/kg) intra-peritoneally followed by High Fat High
Fructose diet. After confirmation of development of diabetes; rats divided into
six groups (n=6). Group II - VII Diabetic Control, NA low dose, NA High dose,
Glibenclamide, Pioglitazone and Epalrestat. Animals received drug treatment for
next 12 weeks. Monitoring of Blood Sugar Level (BSL) done every 15 days and lipid
profile at the end. Eddy's hot plate and tail immersion test performed to assess
thermal hyperalgesia and cold allodynia. Walking function test performed to
assess motor function. RESULTS: Diabetic rats exhibited significant (p<0.001)
hyperalgesia and increased BSL compared to control rats. Dose-dependent
improvement was observed in thermal hyperalgesia & cold allodynia in NA groups.
Activity of NA was more than Glibenclamide, Epalrestat and Pioglitazone in high
dose and comparable in low dose. Nishamalaki improved lipid profile. CONCLUSION:
Apart from controlling hyperglycaemia and reducing lipid levels, NA effectively
prevented the development of diabetic neuropathy.
PMID- 27891352
TI - Herbal Fixed Dose Combinations in Nepal: Growing Concerns in a Developing
Country.
AB - Herbal medicines are mostly prepared as a combination therapy that has been used
since therapeutic was first practiced. Combination products, also known as Fixed
Dose Combinations (FDCs) of herbal remedies are in widespread use in Nepal.
Herbal FDCs are in common practice because it is believed to have better
adherence, less side effects and easy accessibility. Nevertheless, combination
products possess greater risk of adverse effects, increases costs associated with
treatments and leads to an ineffective dosages. Herbal FDCs are used extensively
in Nepal although the rationality beyond the use of these combinations is still
unidentified and at times are questionable. Legislations governing the use of
herbal medicines is lacking in Nepal. Many herbal FDCs are not supported by any
scientific data and test for the presence of ingredients mentioned in the package
insert/container label is always difficult. A FDC of herbal products must be
based on clear criteria that guarantee consumer safety and appropriate
indications. These criteria helps to protect the consumers or patients from the
misleading claims and risk associated with the use of unjustifiable combination
of herbal substances. Strict monitoring from the regulatory body and the public
awareness on the cost as well as advantages and disadvantages of herbal FDCs is
urgently required.
PMID- 27891353
TI - Association of C-Reactive Protein (rs1205) Gene Polymorphism with Susceptibility
to Psoriasis in South Indian Tamils.
AB - INTRODUCTION: Psoriasis is a multi-factorial heritable T-helper Th-1/Th-17
mediated inflammatory disease, affecting the skin. It is associated with co
morbidities such as Cardiovascular Disease (CVD). C-Reactive Protein (CRP) is a
good inflammatory marker. CRP rs1205 polymorphism is associated with circulating
plasma CRP levels. Although there is association between the rs1205 Single
Nucleotide Polymorphism (SNP) and CVD, there are no prior reports regarding the
association of CRP rs1205 SNP with psoriasis susceptibility. AIM: To study the
association of the genetic variant rs1205 in the CRP gene with susceptibility to
the disease and protein levels in South Indian Tamils with psoriasis. MATERIALS
AND METHODS: In this case-control genetic study, 300 cases of psoriasis and 300
age and gender matched controls were genotyped for CRP SNP rs1205 using Taq Man
5'allele discrimination assay at Jawaharlal Institute of Postgraduate Medical
Education and Research, Puducherry, India from February 2014 to January 2016.
Plasma high sensitivity (hs)-CRP levels were estimated by ELISA. Disease severity
was assessed by Psoriasis Area Severity Index (PASI). RESULTS: CRP genetic
variation rs1205 was not associated with psoriasis risk in our South Indian Tamil
population. However, the circulating levels of hs-CRP was significantly higher in
patients with psoriasis, as compared with controls (p < 0.0001) and the protein
levels were significantly associated with disease severity, as assessed by PASI
scoring. No genotype was found significantly associated with PASI or CRP levels.
CONCLUSION: Our results suggest that plasma CRP levels are higher in patients
with psoriasis and correlate with disease severity, whilst CRP rs1205 is not
associated with susceptibility to psoriasis in South Indian Tamils.
PMID- 27891354
TI - Emerging Trends of Intentional Firearm Injuries in Northern India: A Study.
AB - INTRODUCTION: Many developing countries are facing rise in firearm injury cases
owing to communal and ethnic clashes, political violence, armed robberies and
suicide causing huge economic loss to society. On the basis of intention,
injuries can be categorized as intentional and unintentional or accidental
injuries. Intentional injuries will include suicidal, homicidal and assault
related injuries. AIM: This study was undertaken to document the trends and
patterns associated with intentional firearm injuries in order to suggest ways to
curb the emerging trends. MATERIALS AND METHODS: This was a cross-sectional and
descriptive study conducted at Jawaharlal Nehru Medical College and Hospital
(JNMCH), AMU, Aligarh, UP, India from May 2007 to April 2008. The parameters
studied were month wise distribution of cases, age and sex distribution of
victims of intentional gunshot injuries, body part injured, alleged assailant and
medical management provided to the victim. The observed data was entered onto a
worksheet and descriptive statistics were used. RESULTS: A total of 140 cases of
intentional firearm injuries were studied, 133 were assault cases and 7 were
suicide by means of firearm. Maximum number of intentional gunshot injury cases
were reported during the month of September (17.14%) and October (14.29%) and
least during month of December (2.14%). For intentional injuries, 21-40 years was
the high risk age group (91 or 65% cases) with male preponderance (95.71%) and
male to female ratio of 22:1. Preferred site for assault was the trunk, with
50.38% cases with chest abdomen and back injuries. Family feud (20.71%) was the
leading cause of assault and assailant was not known (47.86%) to the victim in
majority of cases of assault injuries. CONCLUSION: Increasing cases of firearms
as a means of assault and suicide, calls for limiting the number of handgun
purchase, regulations to check illegal trade of firearms, accountability of
ammunition used and to develop standardised hospital based data collection system
so that trend and patterns associated with intentional firearm injuries can be
determined.
PMID- 27891355
TI - The Effect of Continuous Enteral Nutrition on Nutrition Indices, Compared to the
Intermittent and Combination Enteral Nutrition in Traumatic Brain Injury
Patients.
AB - INTRODUCTION: Nutrition support is one of the most common care, which is
undertaken for patients who suffered from Traumatic Brain Injury (TBI) and are
admitted in intensive care units. Literature indicates some controversies
regarding the appropriate method of nutrition support in these patients. AIM:
This study was conducted for determining the effect of continuous enteral
nutrition on nutrition indices, compared to the intermittent enteral and
combination nutrition in TBI patients. MATERIALS AND METHODS: In a randomized
clinical trial, 60 TBI patients who were admitted to critical care units of
Taleghani Hospital of Kermanshah-Iran in 2010 recruited to the study. The samples
were allocated to three groups of continuous enteral nutrition, intermittent
enteral nutrition and combination nutrition supports by random sampling. The tool
was a researcher-made checklist. The three methods of nutrition support were
performed to the participants, then nutrition indices of patients were measured
before and during three weeks. Data were analysed using SPSS software,
descriptive, and inferential statistics. RESULTS: The mean of received energy in
the combination group (53.1+/- 18.3%) was higher than continuous (38.5+/-19.7%)
and intermittent (32.2+/-14.7%) groups, significantly (p<0.001). The received
protein was also greater in combination method (67.7+/-16.9%) than continuous
(31.8+/-15.1%) and intermittent groups (17.2+/-10.1%), (p=0.001). The mean of
nitrogen balance was improved in continuous method from -4.7+/- -1.6 to 7.2+/
5.2, (p<0.001) significantly. CONCLUSION: In this study, received energy of
patients was not enough by three methods. However, the continuous method, having
a positive effect on nitrogen balance, reducing hypercatabolism and maintaining
the total body protein, was preferred to brain injury patients compared with
intermittent enteral and parenteral methods that demand more studies.
PMID- 27891356
TI - Attitudes and Perceptions of Medical Undergraduates Towards Mobile Learning (M
learning).
AB - INTRODUCTION: Mobile technology is one of the latest extensions of technological
innovations that can be integrated into medical education. With the aid of these
devices, students learn faster outside the classroom by having quick access to
the internet and easy retrieval of required health related learning resources to
keep alongside of recent trend and development. In medicine practice one has to
continuously update his/her medical knowledge and mobile learning will serve as a
tool for self-directed learning. AIM: To explore the attitudes and perceptions of
undergraduate students towards M-learning. MATERIALS AND METHODS: This
educational research included 90 third year MBBS students having clinical posting
under the Department of Community Medicine from tertiary healthcare institute in
Nashik. Students learning approach was studied with the help of pre-validated
questionnaire to know whether they have deep or surface approach to learning. M
learning group was formed on mobile social app to supplement conventional
teaching-learning. One subject topic (Tuberculosis, Dengue fever/DHF,
Hypertension and Diabetes Mellitus etc.) per week was allotted and after
conventional teaching on first day of week the learning materials for the topic
chosen for that week were uploaded on the group and students could download as
well as share their ideas, learning resources, ask doubts and answer questions at
least twice weekly through this mobile platform anytime, anywhere. At the end of
three months students attitudes and perceptions towards M-learning were studied
by pre-validated structured questionnaires. A five point Likert scale was used
(5= strongly agree to 1= strongly disagree) for answering each item of all three
questionnaires. The score of 60% (90 out of 150) and the score of 75% (30 out of
40) for each item was considered as the measure that indicates whether or not the
student had a positive attitude and perceived the importance of M-learning
respectively. Utilisation of M-learning was also studied. RESULTS: It was found
that 47 (52.2%) students had deep learning approach, 10 (11.1%) students had
surface learning approach. An 80% of students had positive attitude towards M
learning and 76.7% students had perceived the importance of M-learning. A 52.2%
of students were actively involved in M-learning group for learning purpose. But
57.8% students did not download (at least twice weekly) the shared reference
material, 38.9% students never read and/or replied to the questions asked and
60.0% students never asked any doubts/questions related to the discussion.
CONCLUSION: Students had positive attitude and perceived the importance of M
learning. But when they were provided with the opportunity, they did not show
appreciable M-learning utilization. This could be because, M-learning was not
implemented by all departments; also it was not the part of student's regular
assessment and probably a lesser study duration.
PMID- 27891357
TI - Effect of Integrated Approach of Yoga Therapy on Male Obesity and Psychological
Parameters-A Randomised Controlled Trial.
AB - INTRODUCTION: Obesity is a growing global epidemic and cause of non-communicable
diseases. Yoga is one of the effective ways to reduce stress which is one of the
causes of obesity. AIM: To assess the effect of Integrated Approach of Yoga
Therapy (IAYT) yoga module on adult male obesity in an urban setting. MATERIALS
AND METHODS: RCT (Randomized Controlled Trial) was conducted for 14 weeks on
obese male subjects with yoga and control groups. Total number of subjects were
72 and they were randomized into two groups (Yoga n=37, Control n=35). The
subjects were from an urban setting of Mumbai and were doing yoga for the first
time. Special yoga training of IAYT was given to yoga group for one and half hour
for 5 days in a week for 14 weeks. The control group continued regular physical
activities and no specific physical activity was given. The assessments were
anthropometric parameters of weight, Body Mass Index (BMI), MAC (Mid Upper Arm
Circumferences) of Left and Right Arm, Waist Circumference (WC), HC (Hip
Circumference), WHR (Waist Hip Ratio), SKF(Skin Fold Thickness of Biceps,
Triceps, Sub scapular, suprailiac and cumulative), Percentage body fat based on
SKF and Psychological Questionnaires of Perceived Stress Scale (PSS) and AAQW
(Acceptance and Action Questionnaire for Weight Related Difficulty). These were
taken before and after intervention for both yoga and control groups. Within and
between group analysis & correlation of differences from post to pre readings
among the variables, were carried out using SPSS 21. RESULTS: The anthropometric
and psychological parameters were improved in both the groups but changes were
significant in yoga group. CONCLUSION: Incorporating the IAYT for obese male in
urban setting will be effective for obesity treatment and for reducing the
obesity related problems.
PMID- 27891358
TI - The Effect of Stress Management Training through PRECEDE-PROCEED Model on
Occupational Stress among Nurses and Midwives at Iran Hospital, Iranshahr.
AB - INTRODUCTION: Occupational stress is a major factor in hindering the performance
of nurses and midwives and developing physical and mental complications in them.
Given that these two groups are in direct contact with patients more than other
medical staff and stress management training can be effective in the reduction of
occupational stress among the nurses and midwives. AIM: This study was set out to
compare the effect of a PRECEDE-PROCEED based stress management training program
on the occupational stress of the nurses and midwives. MATERIALS AND METHODS:
This quasi-experimental study was conducted on the aforementioned nurses and
midwives. Data collection instruments included the Nursing Stress Scale (NSS).
The training program was held in two 4-hour-long sessions for three months from
1st January to 31st March 2013. RESULTS: The results showed a significant
increase in the PRECEDE scores of both groups by the end of the program, as
compared to the baseline. A significant decrease was also observed in the stress
scores of both groups. There was no difference between groups in these regards.
CONCLUSION: The PRECEDE-based stress management training is effective in the
reduction of occupational stress among the nurses and midwives.
PMID- 27891359
TI - Diabetes Mellitus among Newly Diagnosed Tuberculosis Patients in Tribal Odisha:
An Exploratory Study.
AB - INTRODUCTION: The association between Diabetes Mellitus (DM) and Tuberculosis
(TB) poses a strong public health challenge. Tribal ethnics possess a different
propensity towards infectious and haematological diseases which may influence the
inter-relationship of DM and TB and thus merit separate attention. AIM: To
investigate the prevalence of diabetes in newly diagnosed pulmonary TB patients
of tribal ethnicity in Odisha. MATERIALS AND METHODS: A cross-sectional study was
carried out over a period of 9 months at four designated TB microscopic centres
in a tribal district (Malkangiri) of Odisha. A total of 110 tribal adults newly
diagnosed with pulmonary TB were examined for Fasting Blood Sugar (FBS) level.
Diagnosis of DM and Impaired Fasting Glucose (IFG) were based on cut-off value of
FBS recommended by the WHO. Data was entered and analysed using SPSS version
22.0. RESULTS: The prevalence of diabetes and IFG are found to be 13.9% and 8.9%,
respectively. A significant difference (p<0.05) was observed between the mean
ages of the TB only (45.9 years) and TB-DM co-morbidity patients (53.8 years). No
significant association was found between gender and diabetes. Clinical
characteristics of TB were similar in TB and TB-DM co-morbidity patients.
CONCLUSION: The prevalence of high FBS was found to be higher in newly diagnosed
pulmonary TB patients of tribal ethnicity thus indicating the need for
intensified bidirectional screening. Further studies should be undertaken towards
the risk profiling of diabetes and other lifestyle diseases in this population.
PMID- 27891360
TI - Why Should Viral Markers Be Mandatory in Ocular Surgeries: A Hospital Based
Retrospective Study.
AB - INTRODUCTION: Hepatitis B Virus (HBV), Hepatitis C Virus (HCV) and Human
Immunodeficiency Virus I, II (HIV-I,II) are serious global and public health
problem. Knowledge of the seroprevalence would enable early diagnosis, treatment
of patients and also help in prevention of horizontal spread of infection by
application of universal infection control measures. AIM: To determine the
seroprevalence of HBV, HCV and HIV I, II infection in patients undergoing ocular
surgery. MATERIALS AND METHODS: A retrospective review of 560 patients who had
undergone ocular surgery between Dec 2014 to June 2015 at Regional Institute of
Ophthalmology, Patna, India, was done. Blood samples of patients were tested for
the presence of Hepatitis B Surface Antigen (HBsAg) and anti-HCV antibodies by
Enzyme Linked Immunosorbent Assay (ELISA) method, while HIV I, II was tested by
ELISA and Western Blot as per National AIDS Control Organization (NACO), India
guidelines. The number of patients with positive viral serology, age, sex ratio
and nature of surgery were analysed. RESULTS: Out of 560 patients, 27 (4.8%)
patients were found to be positive for viral serology. HBsAg was positive in 22
patients (3.92%). Out of these 14 patients (2.5%) were male while 8(1.4%) were
females. Anti- HCV was positive in 3 (0.53%) patients. Out of these 2(.35%) were
male and 1 (.17%) was female. HIV was positive in 2 patients (0.35%), both of
whom were males. CONCLUSION: Keeping in view the number of cases of
seropositivity in our study and various studies showing the presence of these
viruses in aqueous and tears, we suggest that screening for viral markers should
be made mandatory before ocular surgery so that early detection and treatment of
patients can be done and risk of horizontal spread is minimized.
PMID- 27891361
TI - Serum FRAP Levels and Pre-eclampsia among Pregnant Women in a Rural Community of
Northern India.
AB - INTRODUCTION: There is a balance between the oxidative and the anti-oxidative
forces in human body. Some studies document decreased level of anti-oxidant in
pre-eclampsia while other studies showed normal level of anti-oxidant in pre
eclampsia and the evidence is equivocal. AIM: The aim of the present study was to
assess enzymatic anti-oxidant activities in pre-eclamptic women and compare it
with normotensive pregnant women with period of gestation between 28 to 36 weeks.
MATERIALS AND METHODS: A community-based cross-sectional survey was conducted
(from November 2012-December 2013) at the Ballabgarh Health and Demographic
Surveillance System (HDSS) site which was managed by Centre for Community
Medicine, All India Institute of Medical Sciences, New Delhi, India. All
registered pregnant women with period of gestation between 28 weeks - 36 weeks
were eligible for inclusion in the study. All eligible pregnant women were
contacted through home visit. A total of 217 pregnant women were enrolled out of
which 209 blood samples were collected from pregnant women. About three ml of
blood from antecubital vein was drawn without use of tourniquet, under aseptic
conditions. It was later analysed for the serum anti-oxidative measures
{Malanoaldehyde, Vitamin C, Ferric Reducing Ability of Plasma (FRAP) levels}.
Data were entered on Epi-Info version 3.5.4. Data management and analysis was
carried out in Stata 11. The means were compared using t-test and p-value stated.
Categorical data was analysed using chi-square test. Logistic regression was used
and adjusted p-value was stated. RESULTS: A total of 217 pregnant women were
eligible for the study and all were enrolled. Out of the 217 blood samples
collected, eight samples accidently got destroyed. A total of 28 out of remaining
209 pregnant women (13.4%) had pre-eclampsia. Mean age (SD) was 22.4 (2.3) years,
mean height (SD) was 156.6 (6.9) cm, mean weight (SD) was 65.1 (9.7) kg in pre
eclampsia group. In pre-eclampsia group mean serum levels of malanoaldehyde,
vitamin C and FRAP was 4.2 (0.26) ng/dl, 0.83 (0.11) mg/dl, 678.0 (35.6) MUmol/L.
It was 4.5 (0.09) ng/dl,0.88 (0.03) mg/dl, 599.3 (13.3) MUmol/L in normotensive
pregnant women group respectively. The difference was statistically significant
for FRAP levels only. CONCLUSION: Serum anti-oxidant levels are not raised among
women with pre-eclampsia.
PMID- 27891362
TI - Health Seeking Behaviour among Tuberculosis Patients in India: A Systematic
Review.
AB - INTRODUCTION: The Revised National Tuberculosis Control Programme's (RNTCP)
passive case finding approach strongly influence the health seeking behaviour of
patients and the timing of health seeking as well. AIM: A systematic review was
carried out to understand the health seeking behaviour, related delays and the
knowledge and attitude regarding Tuberculosis (TB) and the health services linked
with it. MATERIALS AND METHODS: A manual search strategy was adopted using PUBMED
and Google Scholar search engines to obtain research papers in the said subject.
Of 113 articles obtained by the end of this search process 10 full text articles
were finally selected for the purpose of this review. RESULTS: Of the 10 studies
identified, the results were delineated in 7 thematic areas such as: (1)
Knowledge and perception of TB patients regarding TB and health services for TB;
(2) Delays in seeking help; (3) Facility based health seeking behaviour; (4)
Reasons for not seeking care/Delay in seeking care; (5) Geographical pattern
(Rural-Urban) of health seeking; (6) Socio-cultural factors associated with
health seeking; and (7) Gender based health seeking behaviour. CONCLUSION: Health
seeking behaviour and related delays are of utmost importance in TB care from two
important perspectives; firstly TB requires timely treatment and secondly it
requires protracted treatment. Required level of knowledge and positive health
behaviour helps the patients in taking timely help from appropriate health
facility.
PMID- 27891363
TI - Giant Cervical Osteophyte: An Unusual Cause of Dysphagia.
AB - Dysphagia due to skeletal causes is a rare entity. A large cervical osteophyte
can cause mechanical compression of the pharyngo-oesophageal segment leading to
dysphagia. Large cervical osteophytes can occur in cervical spondylosis,
ankylosing spondylitis or Diffuse Idiopathic Skeletal Hyperostosis (DISH). A 60
year-old female came with progressive dysphagia due to a giant cervical
osteophyte anterior to C4 and C5 vertebral bodies causing compression of the
pharyngo-oesophageal segment. The patient was treated by surgical excision of the
osteophyte by orthopaedic surgeons. The patient had complete relief of dysphagia
following excision of the osteophyte.
PMID- 27891364
TI - Desire of Bearing a Child took a Wrong Turn!!
PMID- 27891365
TI - Visual Function and Ocular Status in Children with Disabilities in Special
Schools of Northern India.
AB - INTRODUCTION: Children with disabilities (other than visual impairment) are at a
higher risk of visual impairment as compared to normal population. Majority of
the ocular disorders, like refractive errors and strabismus, are easily
treatable. As these children depend on their visual inputs for social and
academic activities, an early detection and prompt treatment of even a minor
visual problem is of utmost importance for them. AIM: To assess the visual
function and ocular status of children with disabilities other than visual
impairment and to identify the preventable and treatable causes of visual
impairment. MATERIALS AND METHODS: The study was conducted on children aged 3-16
years who were studying in special schools/ rehabilitation centers in Ludhiana.
After prior permission, detailed ocular examination of all the children was done
using standard examination techniques. Cycloplegic retinoscopy and refraction was
also done and spectacles were prescribed. Children requiring further evaluation
were referred to base hospital. RESULTS: A total of 404 children with
disabilities (other than visual disabilities) studying in 7 rehabilitation
centers/ special schools were enrolled. These included children with Cerebral
palsy (12.1%), with Hearing impairment (35.3%), with Attention Deficit
Hyperactive Disorder (ADHD) (3.7%), with Autism (8.6%), with Down syndrome
(12.8%) and with Mental retardation (27.2%). Ocular disorders were seen in
174(43%) children. The most common ocular disorders seen in these children were
refractive errors (23%) and strabismus (18.1%). A total of 93(23%) children were
prescribed spectacles and 37(9.2%) children were referred for further evaluation.
CONCLUSION: Ocular problems are common in children with other disabilities. Delay
in the detection and treatment of these disorders compounds the already existing
disability in these children. Lack of awareness and sensitization among the
parents and teachers is a matter of great concern. Therefore, strategies
regarding increasing awareness, mandatory ocular examination in these children
and early detection and treatment of the ocular disorders is the need of the
hour.
PMID- 27891366
TI - Evaluation of the Central Corneal Thickness with Anterior Segment Optical
Coherence Tomogram after Penetrating Keratoplasty.
AB - INTRODUCTION: Graft central thickness evaluates the graft quality which affects
the outcome of Penetrating Keratoplasty (PK). It varies at different point of
time after PK. Anterior Segment Optical Coherence Tomography (ASOCT) can measure
graft's central thickness with quite high precision. AIM: The purpose of the
study was to monitor the Central Corneal thickness (CCT) with ASOCT after PK and
to evaluate its relationship with the pre-operative diagnosis. MATERIALS AND
METHODS: This is an observational retrospective study where records of optical PK
done in December 2012 and June 2015 were reviewed. Graft central thickness were
analysed by ASOCT for all the patients post-operatively at first post-operative
day, 3 and 6 months post PK by pachymetry scan and the images captured were
analysed for CCT with inbuilt calipers. RESULTS: Fifty one eyes of 50 patients
with age range of 17-80years (mean 51.64years +/-SD 18.45 years) with clear
grafts were reviewed in the present study. All subjects recruited were analysed
for the indications of PK. Adherent leucoma 20(39.21%) was most common indication
for PK. Mean CCT were 647.31+/-90.40, 605.31+/-75.08,564.66+/-66.26 and 537.37+/
64.09 respectively on first post-operative day, 1, 3 and 6 months. Graft CCT
significantly decreased between first post-operative day and 1 month and it
showed further decrease at 3 to 6 months post PK. The CCT at 6 month post-surgery
showed a strongly positive correlation with the Intraocular Pressure (IOP)
(r=0.66) and weakly positive correlation with Best Corrected Visual Acuity (BCVA)
(r=0.28). CONCLUSION: Graft central thickness is considered to be quantitative
method for evaluating corneal oedema post PK. CCT decreases in post-operative
period irrespective of indications of PK.
PMID- 27891367
TI - Comparison of Mustard Oil and Ghee Consumption on the History of Coronary Heart
Disease in Urban Population of India.
AB - INTRODUCTION: Coronary Heart Disease (CHD) is one of the leading causes of
mortality in India, due to high consumption of mustard oil and ghee among urban
population. AIM: To find out the relationship of mustard oil and ghee consumption
on CHD history. MATERIALS AND METHODS: By a random cross-sectional, house-to
house survey in North India, 137 people aged between 40-80 years (70 males and 67
females) were selected by dietary history of Mustard Oil (MO) and Ghee
consumption (G), but having no other CHD precipitating factor. Using food
frequency questionnaire, the study population was divided into two groups based
on the amount of MO and G consumption; Group A (n = 75): MO >1L/month, but G
<0.5Kg/month and Group B (n = 62): MO =0.2 to 0.5L/month but G >1.25Kg/month.
Serum lipid profile estimation and resting ECGs recording were done from all the
subjects. RESULTS: There was no statistical significant difference in CHD history
between the two groups. Mustard Oil had positive correlation with CHD history.
CHD was higher by 50.9% in Group A and was independent of gender. However, the
odds of CHD history were higher among males by 32.2% irrespective of the groups.
CONCLUSION: The results demonstrated that CHD history was associated with higher
relative consumption of mustard oil than ghee and CHD is positively correlated
with increase mustard oil intake, blood level of TG, TC, LDL, VLDL, TC/HDL and
LDL/HDL ratio.
PMID- 27891368
TI - Is the Mean Platelet Volume a Predictive Marker of a Low Apgar Score and Insulin
Resistance in Gestational Diabetes Mellitus? A Retrospective Case-Control Study.
AB - INTRODUCTION: Gestational diabetes is defined as various degrees of glucose
intolerance diagnosed or detected for the first time during pregnancy and is the
most common metabolic complication of pregnancy. Early diagnosis and adequate
treatment are important to prevent complications. Pre-eclampsia, polyhydramnios,
fetalmacrosomia, and operative delivery are some of the complications seen in
pregnant women diagnosed with Gestational Diabetes Mellitus (GDM). AIM: The
present study was designed to determine whether there was an association between
Mean Platelet Volume (MPV) in predicting poor fetal outcome, insulin resistance,
neonatal Apgar scores and gestational age for women with GDM. MATERIALS AND
METHODS: In this retrospective study, we enrolled 101 pregnant women with GDM
together with a group of 138 healthy controls. MPV, insulin and homeostatic model
assessment (HOMA-IR) values were measured at 24-28 weeks of the pregnancy. An
independent samples t-test was used to compare MPV values. Multivariate linear
regression models were used to establish relations between MPV values, HOMA-IR,
insulin levels and Apgar score. RESULTS: There was a significant positive
correlation between MPV values, HOMA-IR and Insulin levels and a negative
correlation with Apgar score at 1 min and 5 min in the GDM group (r=0.227,
p=0.02; r=0.206, p=0.03; r=-0.485, p<0.001; and r=-0.399, p<0.001, respectively).
In the multivariate logistic regression analysis, a high MPV value was most
consistently associated with a low Apgar 1 min score (beta=-0.387, p=0.003) in
the GDM group. An MPV of >8.0 fL had a sensitivity of 82% and a specificity of
75% for the prediction of GDM. CONCLUSION: We investigated the potential of MPV
values in predicting low Apgar scores and insulin resistance in women with GDM.
PMID- 27891369
TI - The Diagnostic Value of Urinalysis in Hemodialysis Patients with Fever, Sepsis or
Suspected Urinary Tract Infection.
AB - INTRODUCTION: The diagnostic validity of urinalysis in asymptomatic Hemodialysis
(HD) patients is low and there is limited data on the diagnostic value of
urinalysis in HD patients with fever, sepsis, or suspected Urinary Tract
Infection (UTI). AIM: The aim of this study was to assess the sensitivity,
specificity, positive and negative predictive value of pyuria, bacteriuria,
Leukocyte Esterase (LE) and nitrite positivity in symptomatic, febrile or/and
septic HD patients. MATERIALS AND METHODS: A single-center, retrospective study
was performed at New York University Lutheran Medical Center, Brooklyn, New York
City, USA, in order to investigate the diagnostic validity of pyuria,
bacteriuria, LE and nitrite positivity in HD patients with admitting diagnosis of
fever, sepsis or UTI from September 2008 to August 2015. RESULTS: A total of 275
HD patients were included in the study. There was significant association between
pyuria of different cut-offs (>5,>10,>50 WBC/HPF) and urine culture positivity
(p<0.001) and growth of >=100,000 CFU/mL (p=0.039), but there was no association
with fever or sepsis. The sensitivity and specificity of pyuria >10 WBC/HPF for
positive urine culture with >100,000 CFU/mL was 86% and 35% respectively
(p=0.025). Pyuria >50 WBC/HPF showed a sensitivity of 66% and a specificity of
58% (p=0.032). There was also association between bacteriuria, LE positivity and
positive urine cultures but not with >=100,000 CFU/mL. CONCLUSION: Our study
results suggest that urinalysis is not a reliable diagnostic tool in febrile
and/or septic HD patients and a urine culture is needed. In such patients,
physicians should also maintain a high level of clinical suspicion for other
potential sources of infection, which may not be initially evident.
PMID- 27891370
TI - Trace Elements in Chronic Haemodialysis Patients and Healthy Individuals-A
Comparative Study.
AB - INTRODUCTION: End Stage Renal Disease (ESRD) patients despite receiving adequate
Haemodialysis (HD) develop significant risk of Cardiovascular Disease (CVD).
Abnormality in levels of trace elements may potentiate vascular injury by
producing sustained inflammation and endothelial dysfunction. Hence, the present
study was undertaken to evaluate the levels of trace elements in patients
receiving HD. AIM: To study the blood levels of arsenic, cadmium, mercury, lead,
chromium, barium, cobalt, caesium and selenium among ESRD patients undergoing HD
and compare it with healthy individuals. MATERIALS AND METHODS: It was a cross
sectional, comparative study done in a tertiary care center. About 40 established
ESRD patients aged above 18 years, belonging to both sexes, undergoing chronic HD
for more than six months were enrolled as Group A (Cases). Patients who had
history of smoking and occupational exposure to heavy metals were excluded from
the study. About 40 age and sex matched apparently healthy individuals attending
health check-up were enrolled as Group B (Controls). Participants of this group
had normal e-GFR by Modification of Diet in Renal Disease (MDRD) equation. About
5ml of fasting venous blood sample was obtained from both groups and analyzed for
trace elements. Chi-square/Fisher's-exact test was used for comparing ratios. A p
value of <0.05 was considered statistically significant. RESULTS: In the present
study, the mean blood levels of arsenic, cadmium, chromium and cobalt was found
to be significantly higher in Group A as compared to Group B with all these
parameters attaining a p-value of <0.001. Similarly, the mean blood levels of
lead and caesium was high in Group A with a p-value of 0.001 each. The blood
levels of mercury and barium did not vary significantly between both the groups
with p=0.656 and 0.096 respectively. The blood levels of anti-oxidant selenium
was lower in Group A, but did not attain statistical significance (p=0.217).
CONCLUSION: The mean blood levels of toxic trace elements were significantly
elevated with a simultaneous reduction in essential trace elements in patients
receiving HD, which probably may contribute to an increase in CVD.
PMID- 27891371
TI - Prediction of Post-operative Mortality in Patients with HCV-related Cirrhosis
Undergoing Non-Hepatic Surgeries.
AB - INTRODUCTION: Patients with chronic liver diseases are at great risk for both
morbidity and mortality during the post-operative period due to the stress of
surgery and the effects of general anaesthesia. AIM: The main aim of this study
was to evaluate the value of Model for End-stage Liver Disease (MELD) score, as
compared to Child-Turcotte-Pugh (CTP) score, for prediction of 30- day post
operative mortality in Egyptian patients with liver cirrhosis undergoing non
hepatic surgery under general anaesthesia. MATERIALS AND METHODS: A total of 60
patients with Hepatitis C Virus (HCV) - related liver cirrhosis were included in
this study. Sensitivity and specificity of MELD and CTP scores were evaluated for
the prediction of post-operative mortality. A total of 20 patients who had no
clinical, biochemical or radiological evidence of liver disease were included to
serve as a control group. RESULTS: The highest sensitivity and specificity for
detection of post-operative mortality was detected at a MELD score of 13.5. CTP
score had a sensitivity of 75%, a specificity of 96.4%, and an overall accuracy
of 95% for prediction of post-operative mortality. On the other side and at a cut
off value of 13.5, MELD score had a sensitivity of 100%, a specificity of 64.0%,
and an overall accuracy of 66.6% for prediction of post-operative mortality in
patients with HCV- related liver cirrhosis. CONCLUSION: MELD score proved to be
more sensitive but less specific than CTP score for prediction of post-operative
mortality. CTP and MELD scores may be complementary rather than competitive in
predicting post-operative mortality in patients with HCV- related liver
cirrhosis.
PMID- 27891372
TI - Experience with Splenic Abscess from Southern India.
AB - INTRODUCTION: Splenic abscess is a rare entity with potentially life threatening
complications. Sparse recent published data are available documenting the
aetiological profile and management of patients with splenic abscess from India.
AIM: To study the clinical profile of splenic abscess. MATERIALS AND METHODS: We
retrospectively collected data from case records of admitted patients with
splenic abscesses, to Nizam's Institute of Medical Sciences and Hospital which is
a multispecialty, tertiary care referral hospital over a period of 15 months
(from March 2014 to May 2015) and parameters studied were age, sex, symptoms,
signs, risk factors, investigations like Ultrasound, CT scan, blood &
microbiological culture, treatment and outcome. RESULTS: Most common presenting
symptom was fever (90%). Mean age was 33.5 years. Five patients (55%) had risk
factors like HIV, leukaemia and diabetes. From pus culture Escherichia coli was
the most common organism (22%) grown. Staphylococcus saureus, Enterococcus
faecium were seen in one each, blood culture grown Cryptococcus neoformans,
Pseudomonas aeroginosa in one each, Plasmodium falciparum was seen on peripheral
smear in one. Three were empirically treated as disseminated koch's. Another was
treated as possible infective endocarditis. All were given antimicrobials, five
(55%) were treated with antimicrobials alone, three (33%) with PCD (Per Cutaneous
Drainage) and one (11%) with sub-total splenectomy. All patients recovered.
CONCLUSION: With early diagnosis and increased use of ultrasound guided
procedures like aspiration or drainage, spleenectomy can be avoided. Optimal
treatment for splenic abscess is yet to be defined and customized to each
patient.
PMID- 27891373
TI - Retrospective Comparison of Clinical Characteristics and In-Hospital Outcomes
among Diabetic and Non-Diabetic Adults with Acute Pyelonephritis.
AB - INTRODUCTION: Acute Pyelonephritis (APN) is a common infection in community.
Diabetes Mellitus (DM) may have different effect on clinical characteristics and
outcomes of APN compared to non-diabetic individuals. AIM: To compare clinical
characteristics and assess outcomes of APN patients with and without DM.
MATERIALS AND METHODS: A retrospective analysis of 122 patients with DM (n=61)
and without DM (n=61) was conducted at a single, private, urban set-up from
Gujarat, India. Clinical symptoms, laboratory investigations, antibiotics
treatment and outcomes in terms of mortality and prolonged hospitalization (10
days and above) were compared in two groups. RESULTS: Mean age was significantly
higher in diabetics than non-diabetics (55.2+/-12.5 vs 41.5+/-17.3, p<0.0001) and
females were proportionally higher in both groups (65.6% Vs 62.3%, p=0.706).
Fever was most frequent symptom (83.6% Vs 90.2%, p=0.283) followed by
nausea/vomiting (50.8% Vs 63.9%, p=0.143), dysuria (66.7% Vs 74.4%, p=0.433) and
flank pain (8.2 Vs 13.1, p=0.379). Backache/back pain (47.5% Vs 29.5%, p=0.041)
and Chronic Kidney Disease (CKD) (63.9% Vs 45.9%, p=0.045) were significantly
higher in diabetics than non-diabetics. Mean hospital stay did not vary
significantly in two groups (7.0+/-3.2 Vs 6.50+/-2.9, p=0.346) but proportion of
patients with longer hospital stay was higher in DM (16.4% Vs 8.2%). Elevated
white cell count, erythrocyte sedimentation rate, C-reactive protein, serum
creatinine and presence of red cell in urine (> 5/ high power field [hpf]) did
not vary significantly in two groups. Cephalosporin-beta-lactamase inhibitor
(Cefaperazone-Sulbactam/Cefepime-Tazobactam) was the most prescribed antibiotic
in both the groups. No deaths were observed in any group during this evaluation
period. Only raised ESR (>30 mm/hr) {Odds Ratio (OR): 1.58, 95% Confidence
Interval (CI) 1.36-1.82, p=0.004} and presence of CKD (OR: 1.71, 95% CI 1.30
2.25, p=0.008) were found to be the significant predictors of prolonged
hospitalization in overall population. CONCLUSION: APN in diabetic and non
diabetic patients has similar clinical characteristics. Impact of diabetes on
clinical outcomes of mortality and prolonged hospitalization warrants further
investigation in a large, randomized, prospective trial.
PMID- 27891374
TI - Effect of Enhanced External Counter Pulsation Treatment on Aortic Blood Pressure,
Arterial Stiffness and Ejection Fraction in Patients with Coronary Artery
Disease.
AB - INTRODUCTION: Enhanced External Counter Pulsation (EECP) is a non-invasive
treatment option for patients with Coronary Artery Disease (CAD). The treatment
has shown to augment diastolic pressure and reduce Left Ventricular (LV) after
load by reducing systemic vascular resistance. The effect of EECP in standard
brachial blood pressure and central haemodynamic parameters are not known. AIM:
We hypothesized that EECP may have differential effect in CAD patients with low
systolic blood pressure when compared to normal systolic pressure and the
mechanism underlying this differential effect may be due to improvement in LV
function. MATERIALS AND METHODS: A total of 72 consecutive patients who underwent
EECP treatment for symptomatic CAD with LV dysfunction were divided into two
groups based on cut-off value of 100mmHg for systolic blood pressure. First group
had patients with brachial systolic blood pressure of >100mmHg and second group
had patients with brachial systolic blood pressure of <=100mmHg. We measured
central aortic systolic pressure, pulse pressure, augmentation index and
augmentation pressure by SphygmoCor device and Ejection Fraction (EF) was
measured by echo-cardiography. All these measurements were carried out prior to
and after completion of 35 days of EECP sessions. RESULTS: Central systolic
pressure, brachial systolic pressure, aortic pulse pressure, augmentation
pressure and augmentation index significantly decreased in patients with normal
brachial systolic pressure with baseline moderate LV dysfunction. Brachial
systolic, aortic systolic and aortic pulse pressure significantly increased with
no change in augmentation index and pressure is observed in patients with
baseline severe LV dysfunction associated with low systolic pressure post EECP
treatment. CONCLUSION: EECP treatment has haemodynamically favourable
differential effect in normal and low brachial systolic pressure and this is
mainly driven by improvement in LV function in patients with symptomatic CAD with
LV dysfunction.
PMID- 27891375
TI - Flow Mediated Dilatation, Carotid Intima Media Thickness, Ankle Brachial Pressure
Index and Pulse Pressure in Young Male Post Myocardial Infarction Patients in
India.
AB - INTRODUCTION: Due to increase in Coronary Artery Disease (CAD) at a younger age,
we should try to diagnose atherosclerotic process and population at risk, at the
earliest. Flow Mediated Dilatation (FMD), Carotid Intima-Media Thickness (CIMT)
and Ankle-Brachial Pressure Index (ABI) are probable markers for early
atherosclerosis and may be useful in coronary risk stratification. AIM: To
compare and correlate the FMD, CIMT, ABI and Pulse Pressure (PP) in young male
patients of Myocardial Infarction (MI) with age and sex matched healthy controls.
MATERIALS AND METHODS: Eighty male patients of MI aged <=45 years, who presented
to the Cardiac Care Unit and Department of Medicine of Guru Teg Bahadur Hospital,
Delhi, India, from November 2010 to April 2012 were recruited consecutively for
this case control study and same number of age and sex matched healthy controls
were also analyzed. Six weeks after MI, FMD of the brachial artery, intima media
thickness of carotid artery, ABPI and PP were measured in the cases and compared
with healthy controls. RESULTS: The FMD was lower among young patients of MI than
controls (p<0.001). CIMT was higher among cases than controls (p=0.001). ABI was
lower among cases than controls (p<0.001). Compared to controls, PP was higher
among cases (p=0.001). In all subjects, a negative correlation between FMD and
CIMT (r=-0.220, p=0.005) and a positive correlation between FMD and ABPI
(r=0.304, p<0.001) was found. A statistically significant negative correlation
was found between endothelial dependent FMD and PP among cases and control groups
(r=-0.209, p=0.007). CONCLUSION: Biophysical parameters were deranged in young
post MI patients. Majority of our young male patients fell in low risk Framingham
risk score but still they manifested with CAD. Despite six weeks of treatment
among young male patients of MI, various biophysical parameters were still
deranged.
PMID- 27891376
TI - Smokeless Tobacco and Stroke - A Clinico-epidemiological Follow-up Study in A
Tertiary Care Hospital.
AB - INTRODUCTION: Among the modifiable risk factors for stroke, tobacco smoking is
well recognized. In some studies the use of Smokeless Tobacco (ST) has also been
contributed as a risk factor for ischemic stroke. Use of ST is very common in
South-East Asia. The form of ST varies according to the geographical and cultural
variation. AIM: To study the various clinical symptoms and radiological findings
of stroke due to different types of ST. MATERIALS AND METHODS: This was a
prospective hospital based study carried out over a period of 2 years. All the
cases within age group of 16 - 60 years and with a clinical and radiological
diagnosis of acute stroke were included in the study. The Fagerstrom Test for
Nicotine Dependence for ST of more than 6 was taken as the inclusion criteria.
Patients having other addictions like smoked tobacco, alcohol, etc., and with
important risk factors like hypertension, diabetes, dyslipidemia were excluded.
The cases were extensively investigated and followed up for at least 6 months.
Analysis was done using the Statistical Package for Social Sciences (SPSS-
version 16.0). Descriptive statistics like percentage, mean were used wherever
appropriate. RESULTS: During a period of 2 years, a total of 54 patients were
studied. Forty two (77.7%) were males and 12(22.3%) were females. The mean age at
presentation was 42.72(+/- 8.6) years and among all 96.3% patients were diagnosed
as ischemic stroke. Among ST, pan was most commonly used in 21(38.9%) patients
with an average of 14.6(+/-3.27) years of addiction. Hemiplegia was the
predominant symptom on presentation (46, 85.2%). According to Oxfordshire Stroke
Classification, partial anterior circulation infarct was most common in
20(38.4%). The mean Modified Rankin scale after 5 days of hospital stay was
3.83(+/-1.03) and after 6 months of follow-up was 2.1(+/-0.8). Patients were
counseled for deaddiction and after 6 months follow-up 48(88.8%) patients had
quit ST. CONCLUSION: ST is an important etiological factor for young ischemic
stroke. This is the first study depicting clinical symptomatology of ST addicted
ischemic stroke patients from India. Considering the increasing prevalence of ST
use in south-east Asia, further long term studies are needed from this region.
PMID- 27891378
TI - Isoniazid Induced Lupus Presenting as Oral Mucosal Ulcers with Pancytopenia.
AB - Drug Induced Lupus Erythematous (DILE) is a rare adverse reaction to a large
variety of drugs including Isoniazid (INH), with features resembling idiopathic
Systemic Lupus Erythematosus (SLE). Diagnosis require identification of a
temporal relationship between drug administered and symptom. It is an
idiosyncratic reaction, with no pre-existing lupus. Our case highlights a rare
presentation of isoniazid induced lupus with profound pancytopenia and mucosal
ulcers, thus posing a diagnostic challenge. The patient was on multidrug
treatment for pulmonary and knee joint tuberculosis. DILE was diagnosed on basis
of strongly positive Anti Nuclear Antibodies (ANA), anti ds DNA and antihistone
antibodies with clinical response to cessation of INH.
PMID- 27891377
TI - Immune Thrombocytopenia as a Presenting Manifestation of Tuberculosis- Challenge
in Resource Constraint Settings.
AB - Tuberculosis can infect almost any organ of our body leading to various
presentations and its complication. Various haematological manifestations of
tuberculosis are well known. But isolated thrombocytopenia and Immune
Thrombocytopenia (ITP) in patients with tuberculosis is very rare. Here we report
a case of young man who presented with acute onset of bleeding manifestations in
the form of epistaxis and macroscopic haematuria with platelets count of 5*109/L.
The patient was diagnosed to have ITP along with clinical and radiological
evidence of active tuberculosis. The patient was treated with Anti Tubercular
Therapy (ATT) along with Intravenous (IV) pulse of methyl prednisolone followed
by tapering dose of oral prednisolone.
PMID- 27891379
TI - Rare Occurrence of Drug Induced Subacute Cutaneous Lupus Erythematosus with
Leflunomide Therapy.
AB - Leflunomide is an immunomodulatory drug exhibiting anti-inflammatory, anti
proliferative and immunosuppressive effects. It has been widely used for
treatment of active rheumatoid arthritis. Despite its good safety profile
cutaneous side effects like alopecia, eczema, pruritis and dry skin have been
reported with Leflunomide use. Skin ucleration, vasculitis, lichenoid drug rash
and Subacute Cutaneous Lupus Erythematosus (SCLE) have been rarely reported with
its use. A rare case of Leflunomide induced SCLE is being reported in a female
patient with rheumatoid arthritis. The clinical features, histopathological and
immunological characteristics were consistent with drug induced SCLE. Withdrawal
of Leflunomide along with short course of topical steroids resulted in resolution
of symptoms suggesting the drug to be the culprit. As this drug comes into
widespread use, it remains to be seen whether more cases of DI-SCLE will occur/be
reported. Fortunately, such a condition till times appears rare and is reversible
once the drug is discontinued thus avoiding over evaluation and over treatment if
the triggering drug is recognized.
PMID- 27891380
TI - Is Transoesophageal Echocardiography Necessary for the Percutaneous Management of
Lutembacher Syndrome: A Case Report.
AB - Lutembacher syndrome is defined as a combination of congenital Atrial Septal
Defect (ASD) with an acquired Mitral Stenosis (MS). There are various challenges
involved in the percutaneous management of Lutembacher syndrome. Here, we present
a case that had a very small Left Atrium (LA) and Left Ventricle (LV) cavities
with an anteriorly placed ASD. We used Transoesophageal echocardiography (TEE) to
take a separate interatrial septal puncture and complete the Balloon Mitral
Valvotomy (BMV) procedure. Finally both the defects in interatrial septum were
closed with the help of a large device.
PMID- 27891381
TI - Post Colonoscopy Ischaemic Colitis in a Patient without Risk Factors for Colon
Ischemia: A Case Report.
AB - Ischaemic colitis is the most common form of intestinal ischaemia and is more
common in the elderly and in the people having risk factors for colonic
ischaemia. Colonoscopy procedure itself is a rare cause of ischaemic colitis.
Fewer than 20 cases of ischaemic colitis caused by colonoscopy procedure have
been reported in the english literature till date. This patient presented to us
within hours of routine colonoscopy with rectal bleeding and lower abdominal
pain. On repeat colonoscopy, the patient had mucosal oedema and ulcerations in
the descending colon and sigmoid colon. Computed tomography of abdomen and biopsy
of the involved segment confirmed ischaemic colitis. The patient recovered with
conservative management.
PMID- 27891382
TI - A Case of Immune Thrombocytopenic Purpura Secondary to Pulmonary Tuberculosis.
AB - The haematological abnormalities associated with active pulmonary tuberculosis
were known to human beings since decades but Immune Thrombocytopenic Purpura
(ITP) secondary to pulmonary tuberculosis have been reported only in a couple of
instances. We report a 27 year-old male patient who was admitted to our hospital
with fever, shortness of breath, haematuria, epistaxis and generalized petechiae.
The sputum positivity for Acid Fast Bacilli (AFB) and chest X-ray reports were
suggestive of active pulmonary tuberculosis in our patient. Clinical and
laboratory parameters including bone marrow aspiration cytology diagnosed the
case to be ITP. Patient was put on Directly Observed Treatment and Short course
(DOTS) category-1 Anti-Tuberculosis Therapy (ATT) and prednisone following which
thrombocytopenia was corrected and there was complete recovery of the patient
without recurrence of thrombocytopenia.
PMID- 27891383
TI - Ruptured Sinus of Valsalva with Infective Endocarditis Complicated with Post
Infectious Acute Glomerulonephritis: A Rare Case Presentation.
AB - Ruptured Sinus of Valsalva (RSOV) is a rarely seen disease condition. RSOV can
have varied presentations from being asymptomatic with just a cardiac murmur to
profound hypotension. There has been simultaneous occurrence of RSOV with
Infective Endocarditis (IE) in literature. Glomerulonephritis has also been
reported in approximately 20% patients with IE. Large amount of proteinuria or
decline in kidney functions is rarely encountered and mostly this finding has
been incidental on routine evaluation. The co-existence of all the three
conditions in a single patient is rare. This case was diagnosed to have RSOV with
IE and was also diagnosed with post-infectious glomerulonephritis on renal
biopsy. Patient was advised corrective cardiac surgery, but due to financial
constraints, patient could not be operated and he died. Here, we report for the
first time an unusual presence of both RSOV and sub-aortic membrane with IE
complicated by glomerulonephritis.
PMID- 27891384
TI - Bioresorbable Scaffolds: Current Evidences in the Treatment of Coronary Artery
Disease.
AB - Percutaneous coronary revascularization strategies have gradually progressed over
a period of last few decades. The advent of newer generation drug-eluting stents
has significantly improved the outcomes of Percutaneous Coronary Intervention
(PCI) by substantially reducing in-stent restenosis and stent thrombosis.
However, vascular inflammation, restenosis, thrombosis, and neoatherosclerosis
due to the permanent presence of a metallic foreign body within the artery limit
their usage in complex Coronary Artery Disease (CAD). Bioresorbable Scaffolds
(BRS) represent a novel approach in coronary stent technology. Complete
resorption of the scaffold liberates the treated vessel from its cage and
restores pulsatility, cyclical strain, physiological shear stress, and
mechanotransduction. In this review article, we describe the advances in this
rapidly evolving technology, present the evidence from the pre-clinical and
clinical evaluation of these devices, and provide an overview of the ongoing
clinical trials that were designed to examine the effectiveness of BRS in the
clinical setting.
PMID- 27891385
TI - When Pulmonary Function Test is Available, Should we Wait for the COPD Symptoms
to Develop?
AB - Adolescent smokers are more likely to be addicted to nicotine and develop a
chronic habit. Chronic smoking has a direct impact on quality of life and life
expectancy. Repeated environmental exposure and smoke inhalation can be
deleterious to health. In order to evaluate the core functioning of the lungs,
Pulmonary Function Tests (PFTs) are conducted. This panel of tests should be
advised for all patients complaining of shortness of breath. Since clinical
features resulting from chronic smoking tend to appear late in the course of the
disease, PFTs are immensely useful for early identification of abnormalities in
asymptomatic adult smokers. Numerous studies have shown that normal PFT
parameters begin to deteriorate immediately after smoking is initiated. However,
most physicians prefer to wait for characteristic signs and symptoms of Chronic
Obstructive Pulmonary Disease (COPD) to develop before proceeding with PFTs in
the patients. This leads to inadvertent and often dangerous delay in reaching a
definitive diagnosis and initiating treatment. Therefore, we undertook this
review to determine whether conducting PFTs in asymptomatic adult smokers can
facilitate the early detection and/or prevention of COPD. We reviewed and
analyzed articles from PubMed, Google Scholar, Index Medicus, WHO Global Health
Library and Scopus, which specifically demonstrated the presence of abnormal PFT
changes in asymptomatic adult smokers. With PFTs, we now have the advantage of
diagnosing early changes in the lung volumes. Hence, we conclude that PFTs should
be performed early in smokers and cessation of smoking should be encouraged to
check the increasing incidence of COPD.
PMID- 27891386
TI - A Case of Interosseous Membrane Calcification.
PMID- 27891387
TI - Continuous Ambulatory Peritoneal Dialysis Catheter Tunnel Breakdown and its
Repair.
PMID- 27891388
TI - Desmoid Tumours in Familial Adenomatous Polyposis: Review of 17 Patients from a
Portuguese Tertiary Center.
AB - INTRODUCTION: Desmoid Tumours (DT) are benign tumours with an estimated incidence
of 2-4 per million per year. Between 7-16% of them are associated with Familial
Adenomatous Polyposis (FAP) and are mostly parietal or intra-abdominal. They are
a challenge in relation to their unpredictable natural course, associated
complications and difficult treatment. AIM: The aim of the present study was to
review the occurrence, management and follow-up of DT on FAP patients treated
consecutively at a tertiary care center. MATERIALS AND METHODS: A retrospective
review of clinical data from patients treated consecutively between 1993 and
2014. Patients' data was gathered from clinical records. Data collection included
the following variables: demographic data, genotype, FAP phenotype, data on FAP
related surgery, DT diagnosis, location, size and number, DT treatment, patients'
status and follow-up data. RESULTS: The study population consisted of 17 patients
from 9 families; with a mean age of 41 years, mostly women (59%) and most with a
mutation either on codon 232 or 554. Most tumours had an intra-abdominal
component (59%) with a mean size of 5cm. Fifteen patients were first treated with
pharmacotherapy (Non-steroidal Anti-inflammatory Drugs (NSAIDs) and Tamoxifen).
Five patients (29%) underwent surgery, 4 of them for complications of intra
abdominal tumours and 1 patient for abdominal wall tumours. Two patients
underwent chemotherapy in relation to aggressive intra-abdominal disease. The
mean follow-up time since diagnosis of DT was 123 months. Overall, 2 patients had
remission, 11 patients had regression or stabilized disease, and 2 patients had
progression. One patient died due to surgical complications. CONCLUSION:
Diagnosis of DT is based on clinical symptoms, without the need for screening,
although imaging plays an important role once diagnosis is suspected. The
treatment approach is conservative on most patients, leaving surgery for DT
related complications. The follow-up of patients with DT is also based on
clinical symptoms.
PMID- 27891389
TI - A Comparative Study of Single Incision versus Conventional Four Ports
Laparoscopic Cholecystectomy.
AB - INTRODUCTION: Cholelithiasis is one of the most common disorders of the digestive
tract encountered by general surgeons worldwide. Conventional or open
cholecystectomy was the mainstay of treatment for a long time for this disease.
In the 1980s laparoscopic surgery revolutionized the management of biliary tract
diseases. It brought about a revolutionary change in the basic concepts of
surgical principles and minimal access surgery gradually started to be
acknowledged as a safe means of carrying out surgeries. AIM: To investigate the
technical feasibility, safety and benefit of Single Incision Laparoscopic
Cholecystectomy (SILC) versus Conventional Four Port Laparoscopic Cholecystectomy
(C4PLC). MATERIALS AND METHODS: This prospective randomized control trial was
conducted to compare the advantages if any between the SILC and C4PLC. Thirty two
patients underwent SILC procedure and C4PLC, each. The age of the patients ranged
from 16-60years. Other demographic data and indications for cholecystectomy were
comparable in both the groups. Simple comparative statistical analysis was
carried out in the present study. Results on continuous variables are shown in
Mean +/- SD; whereas results on categorical variables are shown in percentage (%)
by keeping the level of significance at 5%. Intergroup analysis of the various
study parameters was done by using Fisher exact test. SPSS version 22 was used
for statistical analysis. RESULTS: The mean operating time was higher in the SILC
group (69 +/- 4.00 mins vs. 38.53 +/- 4.00 mins) which was of statistical
significance (p=<0.05). Furthermore, the patients of the SILC group had less post
operative pain, with lesser analgesic requirements (p=<0.05), shorter hospital
stay and earlier return to normal activity. CONCLUSION: SILC is feasible and safe
in trained hands. It did not compromise the procedural safety, or lead to any
complication. The operating time was longer otherwise it has almost similar
clinical outcomes to those of C4PLC.
PMID- 27891390
TI - Effectiveness of Centchroman on Regression of Fibroadenosis and Mastalgia.
AB - INTRODUCTION: Fibroadenosis and mastalgia are common among the women in
reproductive age group. Treatment for these conditions is not yet standardised.
Most of the drugs used for fibroadenosis and mastalgia are expensive and have
side effects. AIM: To find out the efficacy of centchroman, a Selective Estrogens
Receptor Modulator (SERM) on regression of fibroadenosis and mastalgia. MATERIALS
AND METHODS: Fifty one patients with mastalgia/fibroadenosis were treated with
centchroman 30mg once daily on alternate days for a period of 3 months and
followed up weekly for six months with Mastalgia chart and Visual Analog Scale
(VAS) pain score. RESULTS: Before starting treatment, four patients presented
with pain score of 6 followed by, 37 patients with a score of 4 and 10 patients
with a score of 2. All the patients were started on tab centchroman 30mg OD
alternate days and were reviewed on weekly basis with Mastalgia chart and VAS
pain score for three months. On fifth and sixth visit, 46 patients reported with
pain score 0, one with pain score of 2 and four with pain score of 6. The
efficacy of centchroman was found to be significant (p-value = 0.001). Three
patients (5.9%) reported epigastric pain and ten patients (19.6%) reported
menstrual delay. A total of 38 patients did not complain of any side effect.
CONCLUSION: Our study proves that centchroman is a safe and cost effective drug
with significant efficacy on regression of fibroadenosis and mastalgia with
minimal side effect.
PMID- 27891391
TI - Identification and Cost of Disposable Endourological Devices for Nephrolithiasis:
A Cross-Sectional Study Among Urological Trainees.
AB - INTRODUCTION: Knowledge on health economics among urology trainees is not
formally assessed. The cost of commonly utilised endourological devices may not
be considered by trainees. AIM: The present study was conducted with the aim to
assess whether urology trainees were knowledgeable on identification and cost of
commonly used disposable devices in the management of nephrolithiasis. MATERIALS
AND METHODS: Forty urology trainees in Ireland were invited to complete a visual
online questionnaire on the identification of 10 frequently utilised disposable
endourological devices. In addition, trainees were requested to estimate the cost
of 12 disposable endourological devices. Responses were stratified according to
trainee grade and urological subspecialty of interest. Data are presented as a
mean +/- standard deviation. RESULTS: The response rate was 70% (28/40).
Endourology was the subspecialty of interest in 21% (n= 6). No trainee correctly
identified all 10 endourological devices and the mean test score was 5.32 +/-
2.28. No trainee accurately estimated the cost for all 12 devices assessed. The
cost of endourological devices was underestimated by ?67.13 +/- ?60.76 per
device. A total of 54% (n=15) of trainees underestimated the total cost of
disposable devices used during standard flexible ureterorenoscopy, laser
lithotripsy and JJ stent insertion by ?303.66 +/- ?113.83. CONCLUSION: Our
findings indicate deficiencies in trainee knowledge on endourological devices and
their associated costs. Incorporating a health economics module into postgraduate
urology training may familiarise trainees with healthcare expenditure within
their departments.
PMID- 27891392
TI - A Study of Evaluation and Management of Rare Congenital Breast Diseases.
AB - INTRODUCTION: Polymastia and polythelia may be asymptomatic or cause pain,
restriction of arm movement, milk discharge, cosmetic problems or anxiety.
Cosmesis is the main indication for surgical excision of accessory breasts in
axilla. In addition it also confirms the diagnosis and allays the patient's fear
of harbouring a malignancy. AIM: To evaluate the presentation of symptoms,
investigations required for diagnosis and the management to improve the treatment
protocols in patients with breast diseases. MATERIALS AND METHODS: This
retrospective study on breast diseases presenting as supernumerary breasts and
nipples was conducted in the Department of Surgery between January 2013 and
January 2016 at MMIMS Research and hospital, Mullana, Ambala. Patients were
evaluated for breast diseases, either benign or malignant in both genders. A
total of 32 cases diagnosed as accessory breasts disease were retrieved from the
hospital archive. The clinical and radiological evaluation was done in the form
of ultrasound and mammography wherever necessary. Accessory breast tissues were
excised under general anesthesia and histopathological examinations were done.
RESULTS: Out of 32 cases: 1(3.125%) male patient had unilateral and 1(3.125%)
male had bilateral accessory nipple, 7 (21.87%) females had unilateral and
1(3.125%) had bilateral accessory nipple, 1 (3.125%) diagnosed as accessory
axillary fibroadenoma in female, 16(50%) presented as unilateral and 5 (15.62%)
had bilateral swelling in the axilla as accessory breast. Patients underwent
surgical excision and in 8(25%) cases z- shaped incision was made in view of
better cosmesis. Patients were followed up upto 6 months postoperatively. There
were no residual swelling and movements of the arm over the shoulder joint were
normal. In 3(9.37%) cases, wound dehiscence occurred; in 2 (6.25%) cases
lymphoedema formation was seen. These were successfully managed conservatively.
CONCLUSION: As breast swellings either fibroadenoma or carcinoma are common
entities to come across everywhere but accessory breasts are rarely encountered
especially in rural areas because of less awareness. The study found that there
was tendency to neglect the swelling as there were minimal symptoms present. We
also came across a rare entity, accessory breast and accessory nipples. A
clinician should not ignore such cases taking as simple swelling because of
chances of discovering a malignancy can occur.
PMID- 27891393
TI - Day Care vs Overnight Stay after Laparoscopic Cholecystectomy even with Co
morbidity and a Possible Second Surgery: A Patient's Choice.
AB - INTRODUCTION: Laparoscopic Cholecystectomy (LC) has become the gold standard for
symptomatic gall stone disease. It is being practiced as a day care procedure in
healthy individuals in American Society of Anaesthesialogists (ASA) grade I and
II. It is not yet established in presence of co-morbidity and when a second
surgery is added. In most of the study, patient's choice and the psycho-social
factors were not considered in deciding the day care procedure. AIM: To find the
safety of LC and a second surgery as day care in presence of compensated co
morbidity. To study the choice of the patient whether to stay in hospital or go
home after declaring them fit for day care. MATERIALS AND METHODS: All the
patients of symptomatic cholelithiasis with co-morbidity and associations were
evaluated and made uncompromising for elective surgery. All the LC were done at
8mmHg CO2 peumo-peritoneal pressure using harmonic scalpel as the energy source
for dissection of gall bladder from the liver bed. Cases with conversion and
placement of drain were excluded. RESULTS: A total of 1029 out of 1042 patients
was included from Jan 2005 to Jan 2015. The age range was 38 to 91years (mean
44.65, SD 14.15). There were 634 females and 395 males. A total of 121(11.7%) of
them had co-morbidity and associations. A total of 72(7%) had undergone a second
surgery. Only 0.8% had real day care. A total of 95.7% had overnight stay even
after fulfilling all the criteria. Only 0.2% needed re-admission in 30 days and
one required intervention. CONCLUSION: Patients like to stay over night in the
hospital even if found fit for day care after LC. Overnight stay makes them
happy, psycho-socially confident in developing nation and best suited for all
patients including co-morbidity.
PMID- 27891394
TI - Obesity Not Necessary, Risk of Symptomatic Cholelithiasis Increases as a Function
of BMI.
AB - INTRODUCTION: Obesity is a well-established risk factor for cholelithiasis. But
most of the studies have actually tried to establish the risk of cholelithiasis
in overweight and obese people. Very few studies have addressed the issue of
cholelithiasis in patients with otherwise normal Body Mass Index (BMI). In this
study we have tried to establish if there is any relationship between increasing
BMI and cholelithiasis. AIM: To establish a relationship between increasing BMI
and risk of cholelithiasis. MATERIALS AND METHODS: Retrospective analysis was
carried out after doing a prospective pilot study. Ten years data of patients
admitted to surgery ward with complaints of pain abdomen was reviewed. Patients
with cholelithiasis were segregated as cases and patients with some other
diagnosis were selected as controls. Patients with incidental diagnosis of
cholelithiasis were excluded. Appropriate analytical tools were used to draw the
results using SPSS(c) 20. RESULTS: Over 11,000 patients data was reviewed and
7,182 patients were selected for inclusion into the study. Major exclusion was
due to incomplete availability of data. Cholelithiasis group had 2,872 patients
and rest of the patients served as controls against them. Female patients
outnumbered their male counterparts in cholelithiasis group. Mean age of the
gallstone patients was 37.09 years, almost 2 years younger than their controls.
Mean BMI of all patients was 23.55 kg/m2 and in cholelithiasis and control group
was 24.93 and 22.62, respectively (df=1, F>1635.395, p<0.001). Gender specific
comparison also yielded a significant difference df=3, F=547.238, p<0.001). The
difference in the way the patients were distributed among the ethnic groups
(Nepalis, Bhutias, Lepchas and others) was also significant (df=3, F=34.234,
p<0.001). Most important outcome was that the majority of the patients in the
cholelithiasis group were within the normal BMI range. CONCLUSION: We concluded
that it's not only the overweight or obese patients who develop symptomatic
cholelithiasis but also the individuals with normal BMI. The risk of symptomatic
cholelithiasis increases with every increase in BMI. Risk of symptomatic
cholelithiasis also increases in women and as the age advances.
PMID- 27891395
TI - Bellini Duct Carcinoma: A Rare Entity.
AB - Bellini duct carcinoma of kidney derives from collecting duct and is associated
with an aggressive course and extremely poor prognosis. Here, we report an
interesting case of Collecting Duct Carcinoma (CDC) with Inferior Vena Cava (IVC)
thrombus and large retroperitoneal lymph nodes and diffuse desmoplastic reaction.
The patient underwent left open radical nephrectomy with IVC thrombectomy and
regional lymphadenectomy. Based on morphological and immunohistochemical
analysis, diagnosis of collecting duct (Bellini duct) carcinoma was made.
Presently, patient is on adjuvant chemotherapy with gemcitabine and cisplatin and
under follow-up.
PMID- 27891396
TI - Endoscopic Excision of Symptomatic Simple Bone Cyst at Skull Base.
AB - Seizure is a classical feature of intra axial brain parenchymal lesion. Simple
bone cyst is an unusual bony pathology at skull base presenting with unexpected
symptoms of complex partial seizures. Skull base neuro-endoscopy has managed such
lesions more effectively with reduced post-operative morbidity as compared to
transcranial approach. This case report discusses a 20-year-old male who
presented with 3 episodes of seizure over a time period of 10 months. MRI brain
revealed T1 hypo and T2 hyper intense cystic lesion in middle cranial fossa with
no enhancement on contrast administration. CT scan showed cystic lesion involving
greater wing and pterygoid plate of sphenoid on left side. CT cisternographic
evaluation showed CSF outpouching in the sphenoid air sinus. Excision of the
cystic lesion was carried out through endoscopic transmaxillary transpterygoid
approach. Histopathological examination showed the lesion to be a simple bone
cyst.
PMID- 27891397
TI - Spontaneous Subdural Haematoma Developing Secondary to Arachnoid Cyst Rupture.
AB - Arachnoid cysts are congenital, benign, non-neoplastic, extra-axial intra
arachnoidal lesions. Arachnoid cysts rarely become symptomatic, with bleeding.
Intracranial haemorrhage as a complication of arachnoid cyst is a very rare
condition. It is well-known that mid-cranial fossa cysts might cause
intracerebral haemorrhage or subdural haematoma secondary to traumas. However,
the occurrence of spontaneous subdural haematoma secondary to arachnoid cysts,
developing without any trauma, is even rarer. A 17-year-old boy presenting with
diplopia and headache, with no history of trauma, was diagnosed with left
temporal lobe arachnoid cyst and left fronto-parietal subdural haematoma. He was
operated on, solely for his haematoma, with no intervention performed for the
arachnoid cyst. Authors suggest that it is sufficient to perform an operation for
the haematoma only -without arachnoid cyst resection- if there is no clinical
presentation specific to the arachnoid cyst itself.
PMID- 27891398
TI - An Unusual Evolution of Krukenberg Tumour: A Case Report.
AB - Krukenberg tumours are rare metastatic tumours of the ovaries characterized by
the presence of mucin-producing neoplastic Signet Ring Cell Carcinoma (SRCC). At
first glance, this tumour may be confused with a primary ovarian tumour. Surgery
and chemotherapy combination have led to improvement in prognosis, but it still
remains severe. We report the case of a 60-year-old woman with a Krukenberg
tumour rising from a low differentiated gastric adenocarcinoma. The patient was
clinically stable for 26 months after surgery until she experienced a prompt
decline and died of cerebral haemorrhage within two weeks. The aim of this
article was to give an overview of the Krukenberg tumour starting from our case
report and comparing it with clinicopathological characteristics of this
pathology derived from a review of recent literature.
PMID- 27891399
TI - Effect of Citalopram in Combination with Omega-3 on Depression in Post-menopausal
Women: A Triple Blind Randomized Controlled Trial.
AB - INTRODUCTION: Depressive disorder is a common and disabling disorder that causes
high rates of morbidity and mortality. Citalopram is an antidepressant drug, of
the Selective Serotonin Reuptake Inhibitor (SSRI) class that has been used for
geriatric depression since a long time ago. Prescription of omega-3 in geriatric
depression has been increased recently; because of more favorable profile of
their side effects. Some of the studies reported that omega-3 is effective in
prevention or treatment of depressive disorders than Citalopram. However, there
are contradictory studies too. AIM: This study aimed to investigate the effect of
a combination of omega-3 and citalopram in the treatment of women with post
menopausal depression. MATERIALS AND METHODS: This triple-blind randomized
controlled trial was conducted on 60 women with post-menopausal depression who
were referred to the Hamadan Fatemieh Hospital. After the participants completed
the DSM-IV questionnaire and depression was confirmed by a psychiatrist,
participants were assigned randomly into two-intervention and control groups. The
patients in the control group received 20mg citalopram along with a placebo while
patients in the intervention group received 20mg citalopram and 1g of omega-3. At
baseline and at the end of the first, second, and fourth weeks, all of the
participants answered the Beck's Depression Inventory (BDI). Descriptive
statistics and t-test, repeated measures analysis of variance and Bonferroni post
hoc test was used to analyse the data. RESULTS: The depression score was 6.1+/
2.41 in intervention and 25.22+/-10.04 in control group, four weeks after
intervention. A decreasing trend was observed in the mean depression scores of
the intervention group during the study. Using repeated measures analysis of
variance, a significant difference was observed between the mean depression
scores of the two groups at the four measurement time-points (p<0.001). The mean
depression scores of the intervention group were significantly lower than the
control group either two weeks (p< 0.001) or four weeks after the treatments (p<
0.001). CONCLUSION: Using omega-3, can reduce the severity of depression in post
menopausal women.
PMID- 27891400
TI - Prevalence of Human Papilloma Virus Infection in Young Primiparous Women During
Postpartum Period: Study from a Tertiary Care Center in Northern India.
AB - INTRODUCTION: Assessment of high-risk Human Papilloma Virus (HPV) prevalence is
important for monitoring long-term decrease in cervical cancer after
implementation of the prophylactic HPV vaccination. AIM: To determine the
prevalence of high-risk HPV infection and cytological abnormalities in young
primiparous women in the age group of 16-26years. MATERIALS AND METHODS: In this
cross-sectional study, 214 primiparous women aged 16-26years were recruited from
a public tertiary health care center postpartum clinic between June 2013 and May
2014. Cytological analysis was performed by Pap smear test and patients underwent
sampling with cervical brushes for HPV-DNA detection and typing by a PCR-based
assay for HPV types 16, 18, 33 and 45. RESULTS: High-risk HPV was detected in 41
(19.2%) women. HPV 16 was found to be most prevalent with 17 (7.9%) samples
testing positive, followed by HPV 18 in nine (4.2%), HPV 45 in six (2.8%) and HPV
31 in four (1.8%) women. Five women tested positive for more than one HPV types.
There were no cases of intraepithelial lesions or cervical cancer. One patient
who had Atypical Cells of Undetermined Significance (ASCUS) on cytology tested
negative for all four HPV genotypes. CONCLUSION: This study provides a geographic
baseline data of high-risk HPV prevalence in young Indian women before
implementation of a vaccination program. The results are important for comparison
with other global regions and monitoring the effect of HPV vaccination.
PMID- 27891401
TI - Premature Ovarian Failure: An Association with Autoimmune Diseases.
AB - INTRODUCTION: Premature Ovarian Failure (POF) is the cessation of ovarian
function before the age of 40 years. POF is reported to be associated with
autoimmune diseases in 20-30% of cases. AIM: Patients presenting with idiopathic
POF were screened for the presence of autoimmune disorders. MATERIALS AND
METHODS: Twenty patients with idiopathic POF were included in the study. Baseline
investigation in all subjects included fasting serum FSH, LH, E2, progesterone,
free T3, free T4, Thyroid-Stimulating Hormone (TSH) and Anti-Thyroperoxidase
(anti-TPO) antibodies, testosterone and Dehydroepiandrosterone (DHEAS) levels.
Fasting and post-glucose (2 hours after 75g of oral glucose) serum calcium and
phosphate were estimated using appropriate assays in biochemistry laboratory.
RESULTS: Seven patients (35%), who presented with secondary amenorrhea, had
thyroid disorders and were already on thyroxine replacement therapy. One patient
also had vitiligo. There was no history of adrenal disorder. Anti-TPO levels were
elevated in two (10%) patients of secondary amenorrhea group. The levels of serum
testosterone were low in three patients. Serum DHEAS levels were low in 13
patients. Blood sugar levels (fasting and 2 hour post 75g glucose load) and
fasting insulin levels were normal. Serum calcium and phosphate levels were
normal in all the patients. CONCLUSION: Thyroid autoimmunity is the most common
autoimmune disease associated with POF. The finding of low DHEAS in a large
percentage of patients (65%), suggests possibility of adrenal dysfunction. This
requires further testing for adrenal reserve and adrenal autoantibodies.
PMID- 27891402
TI - Clinical Analysis of Ectopic Pregnancies in a Tertiary Care Centre in Southern
India: A Six-Year Retrospective Study.
AB - INTRODUCTION: Ectopic Pregnancy (EP) is a life-threatening emergency commonly
encountered by medical practitioners where diagnosis can often be missed. Any
woman in the reproductive age group, presenting with lower abdominal pain or
vaginal bleeding must raise the suspicion of an ectopic pregnancy to prevent
mortality and morbidity. AIM: To review all cases of EP and determine the
incidence of EP. To study the high risk factors and know the types of clinical
presentation, methods of diagnosis, outcome and complications. MATERIALS AND
METHODS: This was a retrospective cohort study, conducted at a tertiary care
medical teaching hospital in Pondicherry, India. Medical records of all women
with an EP between 2009 and 2015 were retrieved. Demographic data, parity, risk
factors, clinical features, mode of management and need for blood transfusion was
noted. Main outcome measures studied were the incidence of EP, risk factors,
mortality and morbidity in these women. STATISTICAL ANALYSIS: Data was entered in
Microsoft Excel spreadsheet and analysed using SPSS software version 19.0. For
categorical variables, data was compiled as frequency and percent. For continuous
variables, data was calculated as mean +/- SD. RESULTS: Seventy-two EP were
diagnosed during the six-year period with an incidence of 9.1/1000 pregnancies.
Majority of women were aged 21-30years (51.39%), 27.8% women were nulliparous.
The most common risk factors were previous abortion (36.1%) and pelvic surgery
(37.50%). Fifteen cases (20.8%) were diagnosed in women who had tubectomy. The
classic triad of lower abdominal pain, amenorrhoea and vaginal bleeding was seen
in 29(40.3%) cases. Ultrasonography was required to arrive at a diagnosis in
28(38.9%) cases. Urine pregnancy test was positive in 100% of cases. Majority
(94.4%) were tubal ectopic pregnancies. Medical management with methotrexate
alone benefitted 10(13.89%) of patients while another four required surgery for
failed medical management. More than half of the patients (59.7%) required blood
transfusion and two (2.8%) had transfusion related acute lung injury. No deaths
were noted. CONCLUSION: Common risk factors for EP must be identified. Use of
transvaginal ultrasonography and human chorionic gonadotropin assay have
revolutionised the management of EP and serve as valuable adjuncts to early
diagnosis and management.
PMID- 27891403
TI - Efficacy of Transcutaneous Electrical Nerve Stimulation in the Treatment of
Overactive Bladder.
AB - INTRODUCTION: Overactive Bladder (OAB) accounts for 40-70% cases of incontinence.
The etiology is unknown though detrusor instability is found in urodynamic
evaluation of almost all cases. Detrusor instability or hyperreflexia can be
inhibited by direct inhibition of impulses in the pre-ganglionic afferent neuron
or by inhibition of bladder pre-ganglionic neurons of the efferent limb of
micturition reflex. Transcutaneous Electrical Nerve Stimulation (TENS) is based
on the gate control theory of abolishing the local micturition reflex arc. AIM:
To assess the effectiveness and safety of TENS in idiopathic OAB. MATERIALS AND
METHODS: It is a prospective experimental study to evaluate the effectiveness of
TENS v/s placebo in reducing OAB symptoms. (n1=20, n2 =20). Ten treatment
sessions (5 sessions/week) of 30 minutes, were conducted. RESULTS: There was a
significant improvement in Overactive Bladder Symptom Scores (OABSS) in TENS
group and 2 patients were completely dry following TENS therapy. CONCLUSION: In
elderly women, patients with OAB where other co-medications have their own
anticholinergic side effects and impairment of cognition is a concern, TENS can
be a useful intervention. TENS units are safe, economical and easily commercially
available.
PMID- 27891404
TI - Is There Any Impact of Copper Intrauterine Device on Female Sexual Functioning?
AB - INTRODUCTION: Intrauterine Device (IUD) is the most preferred modern
contraceptive method in Turkey. Female Sexual Dysfunction (FSD) is defined as
lack of one or more of the components in the sexual response cycle which includes
sexual desire, impaired arousal and inability achieving an orgasm or pain with
intercourse. FSD has multi-factorial aetiology. Advanced age and menopause,
fatigue and stress, psychiatric and neurologic disease, childbirth, pelvic floor
or bladder dysfunction, endometriosis, uterine fibroids, hypertension obesity,
medication and substances, hormonal contraceptives, relationship factors are
known risk factors for FSD. AIM: To investigate if IUD has any impact on female
sexual functioning. MATERIALS AND METHODS: In this cross-sectional study subjects
were divided into two groups. Study group consisted of 92 IUD-users (mean 5.1+/
1.2 years) and the control group consisted of 83 women with no contraception.
Female Sexual Function Index (FSFI) questionnaire was performed to both two
groups. Women with a total score lower than 26.5 were considered as having sexual
dysfunction. RESULTS: The prevalence of FSD was 57.1% among participants. IUD
users had a lower total FSFI score comparing to control group but the difference
was not statistically different (p=0.983). A positive correlation was found
between total FSFI score and duration of IUD (p=0.003). CONCLUSION: No difference
was found in terms of sexual dysfunction between IUD users and women with no
contraception. The prevalence of FSD was very high in both groups which may be
attributed to the socio-cultural factors such as embarrassment of women due to
conservatism.
PMID- 27891405
TI - Complete Heart Block in Pregnancy: A Report of Emergency Caesarean Section in a
Parturient without Pacemaker.
AB - Management of women with Complete Heart Block (CHB) presenting without pacing,
during pregnancy and labour is debatable. Temporary pacemakers have been
routinely inserted for labour and birth probably to withstand any haemodynamic
variations. However, due to lack of large scale prospective studies, the
necessity of this procedure has not been objectively assessed. Also, the most
appropriate anaesthetic technique for caesarean section in women with CHB is yet
to be clarified. We report herein the case of a pregnant woman with CHB who had
uneventful emergency caesarean delivery under spinal anaesthesia without
temporary pacing. She was an unbooked case detected with congenital CHB first
time during active labour; echocardiography showed no structural cardiac disease
and her heart rate increased with atropine. We suggest further research so that
guidelines could be established to prevent unnecessary morbidity and expense of
temporary pacemaker insertion. Newly diagnosed cases of asymptomatic CHB in late
pregnancy should be worked up for chronotropic responsiveness using atropine and
responsive cases may be managed without pacemaker.
PMID- 27891406
TI - Amniotic Fluid Embolism with Isolated Coagulopathy: A Report of Two Cases.
AB - Amniotic Fluid Embolism (AFE) is a catastrophic complication of pregnancy with
high mortality rate. The most common clinical presentation is an abrupt onset of
cardiopulmonary collapse. Here, we present an uncommon variant involving isolated
disseminated intravascular coagulation that developed without antecedent
cardiopulmonary disturbances. Both patients developed symptoms soon after
delivery. Blood test was sent at 14 minutes postpartum for the second patient due
to suspected amniotic fluid embolism. Fetal components were observed in the
uterine veins of the lower uterine segments in both cases. Amniotic fluid
embolism with disseminated intravascular coagulopathy typically progresses faster
than disseminated intravascular coagulopathy associated with other causes and
symptoms. It usually develops within two hours of delivery. Prompt recognition
and treatment of this entity is crucial to survival.
PMID- 27891407
TI - Successful Pregnancy Outcome in a Patient with Solitary Kidney Affected by
Angiomyolipoma: A Rare Case.
AB - Renal angiomyolipoma is a rare benign tumour and its occurrence during pregnancy
is even rare. It is usually diagnosed incidentally. It can increase in size
during pregnancy and can present acutely as rupture with retroperitoneal
haemorrhage, mechanism of which is still unclear. We present a case of successful
pregnancy outcome in a patient with congenital solitary kidney affected by
angiomyolipoma, diagnosed incidentally at 19 years of age. The patient had
conceived twice. Her antenatal and post partum period was uneventful both the
times.
PMID- 27891408
TI - Successful Obstetric Outcome in Dextrocardia with Situs Inversus and Moderate
Pulmonary Hypertension- Rare Case.
AB - Situs inversus is a rare congenital anomaly, which is characterized by right
sided heart (Dextrocardia) and inversely rotated visceral organs of abdomen. In
present case, the patient reported with G3p2l2, 34 weeks of gestational period
along with breathlessness accompanied and labour pains. On further investigation,
she was diagnosed as dextrocardia with situs inversus and moderate pulmonary
artery hypertension along with severe iron deficiency anaemia. Patient had normal
vaginal delivery with intra-partum and post-partum period. Normally, any patient
having situs inversus has a normal life expectancy and is not associated with any
significant morbidity or mortality.
PMID- 27891409
TI - Distal Femur Locking Plate: The Answer to All Distal Femoral Fractures.
AB - INTRODUCTION: Good results have been published by researchers with distal femur
nail, dynamic condylar screw and even addition of a medial plate to a distal
femur locking plate for treating distal femur fractures. By this study, we
explore the capability of a distal femur locking plate to counter distal femur
fractures of extra- articular, partial or intra- articular nature. Positive
results have been published by various groups from all over the world. AIM: To
study the functional and radiological outcome of distal femoral fractures in
skeletally mature patients treated by open reduction and internal fixation with
distal femur locking plate. MATERIALS AND METHODS: This was a prospective study
conducted from January 2012 to March 2014 at the Government Medical College and
Hospital (GMCH) with a 2 year follow-up. Twenty five skeletally mature patients
with post-traumatic distal femur fractures were included. Patients with open
grade 3B and 3C distal femur fractures, according to the Gustilo- Anderson
classification and pathological distal femur fractures were excluded from the
study. Patients with any fracture other than the distal femur in the ipsilateral
limb were excluded from the study. Follow-up at 3 months, 6 months, 1 year and 2
years was carried out and evaluation was done according to the Neer scoring
system. The statistical data analysis was carried out using SPSS version 20 (IBM,
Chicago, USA). The p-value <0.05 was considered significant. RESULTS: Following
all principles of fracture reduction, union was achieved in all patients with
mean time to radiological union being 19 weeks. The mean Range of Motion (ROM)
was 109 degrees with 20 patients having a Neer score graded as excellent to
satisfactory. Our study had nine cases which required additional surgeries. Out
of these, all nine cases required bone grafting, three also required antibiotic
cement bead insertion initially. Three patients developed complications in the
form of infection (two cases) and mal-union (one case) during the course of our
study, but were completely treated by the end of the study. CONCLUSION: Positive
results can be obtained by distal femur locking plate alone as it is the main
implant of choice for distal femur fractures of all varieties. Best outcome is
expected if fracture fixation is done following all the basic principles of
fracture fixation and taking benefit of the mechanical properties of a locking
plate.
PMID- 27891410
TI - Subperiosteal Schwannoma of Pelvis- A Rare Case and Review of Literature.
AB - Schwannoma are benign tumours of the nerve sheath. They are commonly found in the
soft tissue, but its presence in the bone is extremely rare. We herein present a
case of 34-year-old man with two adjacent pelvic subperiosteal schwannomas.
Computed Tomography (CT) scan showed osseous pressure erosion and Magnetic
Resonance Imaging (MRI) showed two cystic signal intensity lesions, one near
superior aspect of right posterior iliac wing and another inferior to it with
adjacent cortical pressure erosion. The tumour was excised en bloc and on
histopathological examination, the diagnosis was confirmed as subperiosteal
schwannoma. To our knowledge, this is the first case report of subperiosteal
schwannoma involving the pelvis. When a surface cystic lesion is encountered,
subperiosteal schwannoma should be considered as a possible differential
diagnosis.
PMID- 27891411
TI - Unusual Presentation of Radial Nerve Entrapment with Lateral Humeral Condyle
Fracture - A Case Report.
AB - Lateral humeral condyle fracture is the second most common fracture around the
elbow in pediatric age group. Acute neurological deficit as a consequence of
nerve injury associated with lateral humeral condyle fractures is very uncommon.
We report a rare presentation of a case of lateral humeral condyle fracture in a
13-year-old boy with acute radial nerve palsy. Patient was operated through a
modified anterolateral approach to the elbow with, exploration of the radial
nerve and internal fixation of the fracture. Complete fracture union at 6 weeks
with good range of movements and full neurological recovery at 12 weeks was seen.
We report this case due to rare combination of radial nerve injury and lateral
humeral condyle fracture. Importance should be given to a thorough neurological
examination during initial clinical examination and proper pre-operative
planning.
PMID- 27891412
TI - Revisited: A Prospective Study on Functional Outcome of Internal Fixation of
Tibial Pilon Fractures with Locking Plate using MIPO.
PMID- 27891413
TI - Cost-Effective Recruitment need for 24x7 Paediatricians in the State General
Hospitals in Relation to the Reduction of Infant Mortality.
AB - INTRODUCTION: According to World Health Organisation (WHO), improvement of
hospital based care can have an impact of upto 30% in reducing Infant Mortality
Rate (IMR), whereas, strengthening universal outreach and family-community based
care is known to have a greater impact. The study intends to assess how far gaps
in the public health facilities contribute towards infant mortality, as 2/3rd of
infant mortality is due to suboptimum care seeking and weak health system. AIM:
To identify cost-effectiveness of employment of additional paediatric manpower to
provide round the clock skilled service to reduce IMR in the present state health
facilities at the district general hospitals. MATERIALS AND METHODS: A cross
sectional observational study was conducted in a tertiary teaching hospital and
district hospitals of 2 districts (Hooghly and Howrah in West Bengal). Factors
affecting infant mortality and shift wise analysis of proportion of infant deaths
were analysed in both tertiary and district level hospitals. Information was
gathered in a predesigned proforma for one year period by verifying hospital
records and by personal interview with service personnel in the health
establishment. SPSS software version 17 (Chicago, IL) was used. The p-value was
calculated by Fischer exact t-test. RESULTS: Available hospital beds per 1000
population were 1.1. Percentage of paediatric beds available in comparison to
total hospital bed was disproportionately lower (10%). Dearth of skilled medical
care provider at odd hours in district hospitals resulted in significantly
greater infant death (p < 0.0001), but was not seen in tertiary hospital. The
investment for appointing four additional paediatricians for round the clock stay
duty was found to be cost-effective. CONCLUSION: Provision of round the clock
availability of skilled medical care may reduce hospital based infant mortality
and it is cost-effective.
PMID- 27891414
TI - Paediatric Urinary Tract Infection: A Hospital Based Experience.
AB - INTRODUCTION: Paediatric Urinary Tract Infection (UTI) is one of the commonly
encountered entities by paediatricians. Studies have shown easy vulnerability of
paediatric urinary tract in any acute febrile illness and a miss in diagnosis
could have long term consequences like renal scaring with its adverse effects.
Bearing these evidence based preludes in view we designed our study to know the
prevalence of UTI in Kashmir province. AIM: Aim of the present study was to know
the prevalence of UTI in febrile children and to know the sensitivity of
different imaging modalities like Renal and Urinary Bladder Ultrasonography
(RUS), Voiding Cystourethrography (VCUG) and Dimercaptosuccinic Acid (DMSA) scan
in diagnosing UTI. MATERIALS AND METHODS: A total of 304 patients, between 2
months to 10 years, with axillary temperature of >= 100.4oF (38oC), who did not
have a definite source for their fever and who were not on antibiotics were
included in the study. Detailed history and through clinical examination was done
to rule out any potential or definite focus of infection as per the predesigned
proforma. Routine urine examination with culture and sensitivity, followed by RUS
and VCUG was done in all patients where routine urine examination was suggestive
of UTI. DMSA was done in only culture proven cases after 6 months to document the
renal scarring. RESULTS: Out of 304 children, 140 were males and 164 were
females, UTI was present in 40 patients who had fever without any apparent cause
giving a prevalence of 13.2%. Escherichia coli (E. coli) were the commonest
isolated organism, followed by Klebsiella and Citrobacter species. Renal and
Urinary Bladder Ultrasonography (RUS) detected Vesicoureteral Reflux (VUR) in 25%
(10/40) while VCUG showed VUR in 55% (22/40) giving a RUS sensitivity of 45% for
detecting VUR. DMSA done only after 6 months in UTI diagnosed patients showed a
renal scarring in 25% (10/40) patients. CONCLUSION: Missing a febrile paediatric
UTI, can prove a future catastrophe if not timely diagnosed and treated.
PMID- 27891415
TI - Urban Rural Comparison of Anthropometry and Menarcheal Status of Adolescent
School Going Girls of Jodhpur, Rajasthan, India.
AB - INTRODUCTION: Adolescence is the formative period of life. Poor adolescent health
translates into poor maternal health leading to increased maternal morbidity with
inter-generational consequences. AIM: To compare anthropometric, socio
demographic, menstrual and nutritional status of rural and urban adolescent
school going girls of Western Rajasthan, India. MATERIALS AND METHODS: A cross
sectional comparative study was conducted in two rural schools and one urban
school of Jodhpur region. Anthropometric, socio-economic (family history,
menstrual history, maternal education) and dietary habit data of 327 (137 urban
and 190 rural) school going adolescent girls aged 11-16 years were collected
using structured questionnaires by a school based survey after consent from
parents and school officials. Height and weight were taken using the standard
procedure. Stunting (height for age) and thinness [Body Mass index (BMI) for age]
were calculated as per the National Center for Health and Statistics (NCHS)
standards. Statistical analysis was done using student t-test, fisher-exact test
and Chi-square test. RESULTS: Mean height was significantly higher in urban girls
while mean BMI of adolescents was significantly higher in rural areas as compared
to their urban counterparts. Growth spurt was between 12-13 years showing maximum
increase in mean height, coinciding with or immediately post-menarche. Menarche
was one year earlier in urban girls as compared to rural girls (p<0.001).
Thinness was more prevalent among females in urban areas and stunting was more
common amongst girls residing in rural areas. CONCLUSION: Life style habits (poor
dietary habits, sedentary life style) of the urban girls may contribute to an
early menarche but rural girls despite having a later onset of menarche; have a
lower final height which may be attributed to their poor nutritional status.
Improving nutrition of rural girls and modifying the life style of urban girls
and educating their mothers will reduce the disparity and lead to better health
and development of adolescent girls in this region and this could be expected to
improve maternal health in future.
PMID- 27891417
TI - A Commonly Missed Well Known Entity- Acute Intermittent Porphyria: A Case Report.
AB - Acute Intermittent Porphyria (AIP) usually presents with abdominal pain,
peripheral neuropathy and psychiatric manifestations. Incidence of AIP being 5 in
1,00,000. We present a case of an 11-year-old male child with multiple cranial
nerve involvement, quadriparesis, focal convulsions, hypertension, hyponatremia
with history of recurrent abdominal pain. His complete haemogram, ultrasonography
(USG) abdomen, renal function tests were normal, he was also evaluated for
tuberculosis which was negative. On further evaluation Electroencephalography
(EEG) was suggestive of a generalised seizure disorder, MRI Brain suggestive of
Posterior Reversible Encephalopathy Syndrome (PRES), Electromyography revealed a
sensory motor axonal polyneuropathy and urine UV fluoresence test was positive
for porphobilinogen which clinched the diagnosis of AIP.
PMID- 27891418
TI - Cotoneaster and Neonatal Jaundice.
PMID- 27891416
TI - Effect of Oral Iron on Markers of Oxidative Stress and Antioxidant Status in
Children with Iron Deficiency Anaemia.
AB - INTRODUCTION: Conflicting reports are available on the relationship of Iron
Deficiency Anaemia (IDA) and iron therapy with oxidative stress. AIM: To study
the levels of markers of oxidative stress and anti-oxidant status in children
with IDA and to assess the effect of iron therapy on the same. MATERIALS AND
METHODS: This prospective, single centre, hospital based study was a sub-study of
a randomized controlled trial conducted in the Department of Paediatrics,
Jawaharlal Nehru Medical College, Aligarh Muslim University, Aligarh, Uttar
Pradesh in collaboration with the Department of Biochemistry (of the same
institution) between October 2009 to February 2011. The sub-study was conducted
in two parts: in the first part, levels of a biomarker of oxidative stress
{Malondialdehyde (MDA)} and anti-oxidant enzymes {Superoxide Dismutase (SOD),
Catalase (CAT), Glutathione Peroxidase (GPx)} were assessed and compared between
67 children with IDA and 31 non-anaemic controls; in the second part, the effect
of oral iron (6mg/kg/day) for eight weeks on these markers was studied in a
subset of 35 children with IDA. The Bivariate correlations procedure was used to
compute pair wise associations for a set of variables. T-tests (Independent
samples t-test/Paired sample t-test) and Non-parametric tests (Mann-Whitney
test/Wilcoxon signed-rank test) were applied as applicable for normally and non
normally distributed data, respectively. RESULTS: Levels of anti-oxidant enzymes
were significantly lower (p<0.001) in children with IDA as compared to controls,
viz., SOD {median, 8.63 (IQR, 8.60-8.66) vs. 9.46 (IQR, 9.14-9.62) units/mg
protein}, CAT {median, 8.49 (IQR, 8.46-8.50) vs. 9.10 (IQR, 9.04-9.14) MUmol
H2O2/min/mg protein} and GPx {median, 49.19 (IQR, 48.99-49.60) vs. 56.94(IQR,
56.80-57.14) mol NADPH oxidized /min/ mg protein}. Whereas, levels of MDA were
significantly higher (p<0.001) in IDA group {median, 1.50 (IQR, 1.48-1.52) vs.
1.24 (IQR, 1.20-1.27) moles/ml of serum}. Levels of Haemoglobin (Hb) and markers
of iron status (serum iron, transferrin saturation and ferritin) had a very
strong, highly significant positive correlation (p<0.001) with levels of anti
oxidant enzymes (SOD, CAT, and GPx) but a very strong, highly significant
negative correlation (p<0.001) with MDA. Total Iron Binding Capacity (TIBC) on
the other hand, had a strong, highly significant (p<0.001) negative correlation
with SOD, CAT, and GPx but a strong, highly significant positive correlation
(p<0.001) with MDA. After eight weeks of daily iron therapy, a highly significant
rise (p<0.001) from baseline was observed in levels of SOD, CAT, and GPx in
subjects with IDA. On the other hand, MDA levels declined significantly
(p<0.001). CONCLUSION: Lipid peroxidation is increased and anti-oxidant defenses
lowered in IDA. These changes, however, may be mitigated effectively with oral
iron therapy.
PMID- 27891419
TI - Evaluation of Hospitalized Intractable Epileptic Children with SPECT Scan in
Ahvaz, South West of Iran.
AB - INTRODUCTION: Seizures are the most frequent neurologic disorder seen in
childhood. Epilepsy is a group of disorders that includes an abnormally increased
susceptibility to seizures. AIM: To examine the effectiveness of SPECT (Single
Photon Emission Computerized Tomography) in detecting seizure foci in 21 Iranian
children who had medically refractory epilepsy. MATERIALS AND METHODS: Children
between 2 to 15 years of age with uncontrolled seizures were investigated using
SPECT scan as a standardized protocol. RESULTS: In 16 cases (76.2%), likely
seizure foci were evident, as were seen in the form of decreased regional blood
flow, while in 5 cases (23.8%), SPECT scan results were normal. Left temporal
lobe was the most common area which had decreased regional blood flow.
CONCLUSION: SPECT scan can potentially be used to investigate children with
uncontrolled seizures.
PMID- 27891420
TI - Suboptimal Performance in Cleft Lip/Palate Children- Who is Responsible?
AB - Information in this article is from an observational study comparing intelligence
in children with cleft lip and palate with normal children. Both groups performed
"draw a man test", the investigator noted the attitude and behaviour of children
and their parents. The study shows low, but normal intelligence quotient in
children with oral defects as compared to normal. The probable reason for sub
normal performance appeared to be overprotective attitude of parents and poor
self esteem of children with oral defects.
PMID- 27891421
TI - Imaging Modality of Choice for Pre-Operative Cochlear Imaging: HRCT vs. MRI
Temporal Bone.
AB - INTRODUCTION: Congenital inner ear malformations occur as a result of the arrest
or aberrance of inner ear development due to the heredity, gene mutation or other
factors. Ever since the availability of cochlear implants, pre-operative
evaluation by imaging of temporal bone has gained much attention. Precise
selection of the candidate for cochlear implant dependent on preoperative
radiological investigations. Only CT (Computed Tomography) and MRI (Magnetic
Resonance Imaging) can provide a better picture of anatomy and pathology. AIM: To
compare pre-operative imaging findings of both MRI and High Resolution Computed
Tomography (HRCT) temporal bone and to find the best modality of choice in
patients with bilateral profound Sensorineural Hearing Loss (SNHL). MATERIALS AND
METHODS: This was a prospective, longitudinal, observational study conducted
between June 2010 to November 2012. A total of 144 temporal bones were evaluated
in 72 children with bilateral profound SNHL with congenital inner ear
malformations. Each temporal bone was considered as a single case (144 cases).
All the patients underwent HRCT and high field MRI study. MRI study included T2 W
axial 3D FIESTA (Fast Imaging Employing Steady-state Acquisition) sequence.
Anatomic abnormalities in each temporal bone were described and noted. For
complete and better evaluation of Vestibulo-Cochlear Nerve (VCN) additional 3D
oblique parasagittal view was taken perpendicular to the internal auditory canal
with a small Field Of View (FOV). RESULTS: HRCT and MRI allowed accurate
detection of inner ear malformations in children with bilateral SNHL. Majority of
the patients presented with multiple structural abnormalities of inner ear. The
common pathologies detected in the study were semicircular canal abnormality
(89/144) followed by cochlear abnormalities (39/144). Most common cochlear
abnormality was Mondini's deformity (14/144). MRI demonstrated absent of
vestibulo-cochlear nerve in 15 cases. CONCLUSION: Few abnormalities of inner ear
are better illustrated on CT, while others are better showed on MRI. Hence,
neither HRCT nor MRI of the brain and temporal bones appears to be adequate
imaging modality rather they are complementary to each other for pre-operative
imaging of cochlear implantation.
PMID- 27891422
TI - Panvascular Disease in Familial Hypercholesterolaemia Treated with Endovascular
Simultaneous Bilateral Carotid Stenting.
AB - Familial Hypercholesterolaemia (FH) is a monogenic autosomal dominant disorder
affecting 1 in 500 individuals. We report a case of 32-year-old female with FH,
previously not on any treatment, who presented with recurrent bilateral Middle
Cerebral Artery (MCA) territory strokes and dyspnoea on exertion due to severe
panvascualar disease involving descending aorta, innominate, subclavian, common
carotid, internal carotid and coronary vessels. Her complete clinical work up was
done and was started on lipid lowering drug treatment and low calorie diet. She
underwent simultaneous bilateral carotid stenting followed by coronary artery
bypass surgery at a later date. In the present scenario we want to emphasize the
importance of early detection and treatment of individuals with FH, failing of
which results in premature and accelerated atherosclerosis causing multisystemic
vascular disease with significant morbidity and mortality. Screening of first
degree relatives is important owing to the autosomal dominant inheritance pattern
of the FH.
PMID- 27891423
TI - Mercedes-Benz Sign.
PMID- 27891424
TI - A Study on the Efficacy of the Addition of Low Dose Dexmedetomidine as an
Adjuvant to Lignocaine in Intravenous Regional Anaesthesia (IVRA).
AB - INTRODUCTION: Intravenous Regional Anaesthesia (IVRA) is a simple, effective
method of providing anaesthesia for short duration surgical procedures on the
extremities, its chief drawbacks are tourniquet pain, short duration of block and
absence of post-operative analgesia. Dexmedetomidine is known to reduce
anaesthetic requirements and also provide analgesia to the patient. AIM: To
evaluate the efficacy of dexmedetomidine as an adjuvant to lignocaine in IVRA
with respect to the quality of the block, tourniquet pain and post-operative
analgesia. MATERIALS AND METHODS: A prospective, randomized, double-blinded study
was conducted on 60 patients scheduled for orthopaedic surgery of the upper limb,
of American Society of Anaesthesiologist's physical status grades I and II. They
were divided into two groups of 30 each. The control group C received 40ml of
0.5% lignocaine with saline and Group D received dexmedetomidine 0.5MUg/kg added
to 40ml of 0.5% lignocaine. The time taken for the onset and recovery of sensory
and motor block, incidence of tourniquet pain, intra-operative and post-operative
Visual Analogue Scale (VAS) scores, duration of post-operative analgesia and any
side effects were noted. Student t-test was used for evaluation of the
demographic data, haemodynamic variables, the onset and recovery times of block,
duration of analgesia and intra-operative analgesic consumption and tourniquet
pain. Friedman's test was used for intra-operative and post-operative VAS and
sedation scores. RESULTS: The onset time of both sensory and motor block were
significantly shortened, the recovery of sensory and motor block was prolonged,
the incidence of tourniquet pain was comparatively lesser and there was
significantly increased duration of post-operative analgesia in the
dexmedetomidine group. Haemodynamic parameters were similar in both groups.
CONCLUSION: The addition of 0.5MUg/kg of dexmedetomidine as an adjuvant to IVRA
effectively enhances the anaesthesia and post-operative analgesia obtained with
lignocaine. The low dose of dexmedetomidine was effective and did not cause any
major side effects.
PMID- 27891425
TI - Estimation of Skin to Subarachnoid Space Depth: An Observational Study.
AB - INTRODUCTION: In a patient, the skin to Subarachnoid Space Depth (SSD) varies
considerably at different levels of the spinal cord. It also varies from patient
to patient at the same vertebral level as per age, sex and Body Mass Index (BMI).
Estimation of the skin to SSD reduces complications related to spinal
anaesthesia. AIM: To measure the skin to SSD in the Indian population and to find
a formula for predicting this depth. MATERIALS AND METHODS: Three hundred adult
patients belonging to American Society of Anaesthesiologist class I and II,
undergoing surgery using spinal anaesthesia in various surgical specialities of
Gauhati Medical College were selected by systemic sampling for this prospective,
observational study. Patients were divided into three groups: Group M containing
male patients, Group F containing non-pregnant female patients, and Group PF
containing pregnant female's patients. SSD was measured after performing lumbar
puncture. The relationship between SSD and patient characteristics were studied,
correlated and statistical analysis was used to find a formula for predicting the
skin to SSD. Statistical analysis was done using Statistical Package for Social
Sciences (SPSS 21.0, Chicago, IL, USA). One-way ANOVA with post-hoc(Bonferroni
correction factor) analysis was applied to compare the three groups. Multivariate
analysis was done for the covariates followed by a multivariate regression
analysis to evaluate the covariates influencing SSD for each group separately.
RESULTS: Mean SSD was 4.37+/-0.31cm in the overall population. SSD in adult males
was 4.49+/-0.19cm which was significantly longer than that observed in female's
4.18+/-0.39cm which was comparable with SSD in parturient 4.43+/-0.19 cm. The
formula for predicting the skin to SSD in the male population was
1.718+0.077*BMI+0.632*Height, in nonpregnant female population was
1.828+0.077*BMI+0.018*Height+0.007*Age and 0.748+0.209*BMI+4.703*Height
0.054*weight in parturient females, respectively. CONCLUSION: Skin to SSD
correlated with the BMI in all the patients in our study.
PMID- 27891426
TI - Palatonasal Fistula Repair - A Case of Unanticipated Difficult Intubation.
AB - Patients with present or previous history of facio-maxillary trauma will mostly
be associated with a difficult airway. Surgical correction of these injuries
might not always correct the altered airway. We report a case of palatonasal
fistula following an old facio-maxillary fracture, which has led to
interpretation of a difficult airway into a normal one. The patient was found to
be having difficult airway during direct laryngoscopy which caused failure to
intubate initially. Though, the patient was successfully intubated in the third
attempt by senior anaesthesiologist making use of manoeuvres and equipment
available, it made an impact for us to do a proper pre-op evaluation of patients
with history of surgical correction of facial injuries and also for being
prepared for can't ventilate and can't intubate situation in such type of cases.
Through, this case report we stress the importance of airway anatomical
alterations following facio-maxillary trauma and their corresponding management.
PMID- 27891427
TI - Anaesthetic Management of a Case of Down's Syndrome with Achalasia Cardia.
AB - Achalasia cardia is a disorder of the gastrointestinal tract characterized by
dilatation of the oesophagus and collection of food and fluids in the oesophagus
leading to massive regurgitation and aspiration of gastric contents. Down's
syndrome has multisystem effects which can also present as difficult airway.
Here, we present a case of a 14-year-old girl, a case of Down's syndrome with
Achalasia cardia and mitral valve prolapse posted for Heller's cardiomyotomy.
Anaesthetic concerns were difficult airway due to Downs's syndrome, massive
aspiration risks of Achalasia cardia and haemodynamic instability due to mitral
regurgitation. In spite of proper preparation of the patient there was massive
regurgitation of oesophageal contents during intubation which was managed
successfully. Haemodynamic changes due to mitral valve prolapse also had to be
taken care of during the intraoperative period. Postoperative period was
uneventful and the child was discharged after one week.
PMID- 27891428
TI - Fiberoptic Guided Retrograde Intubation in an Anticipated Difficult Airway:
Revival of an Antiquated Technique.
AB - Retrograde intubation is an invaluable technique which can be helpful in
anticipated difficult airway situation. In this advanced era where fiberoptic
intubation and video laryngoscopes are in abundant use, retrograde intubation is
a forgotten technique. However, it may be useful in various difficult airway
situations in this advanced era. In our case the patient had a bitter experience
with previous fiberoptic intubation. Owing to that we had planned and performed a
fiber optic guided retrograde intubation, where we had kept the fiberoptic
bronchoscope in the pharynx keeping larynx and vocal cords in the focus to
facilitate the emergence of guide wire through one of the nostrils as well as
direct visual confirmation of intubation. This fiber optic guided retrograde
intubation is a first reported case of its kind in a predicted difficult airway
which can be beneficial in different difficult airway situations.
PMID- 27891429
TI - Delayed Recovery from Anaesthesia: Missing Information.
PMID- 27891430
TI - Study of Barrier to Help Seeking and its Relationships with Disability in
Patients with Headache.
AB - INTRODUCTION: Headache is among the first three most prevalent disorders with a
wide treatment gap due to barriers in help seeking. Headache has been associated
with disability. However, the relationship of barriers to help-seeking and
disability are unexplored. AIM: To find out the barriers to help seeking and its
relationship with headache related disability in patients with headache.
MATERIALS AND METHODS: In this hospital based cross-sectional study, 200
consecutive subjects with headache attending a tertiary care centre were
recruited as per selection criteria and assessed with Sociodemographic & Clinical
Proforma, Mini International Neuropsychiatric Interview (MINI), Barriers to Help
Seeking Scale (BHSS), The Henry Ford Hospital Headache Disability Inventory
(HDI). RESULTS: High mean score was observed on BHSS subscale need for control
and self reliance (19.45; SD +/-9.66) and minimizing problem and resignation
(10.02; SD +/-6.98). Mean score on the HDI was 25.65 (SD +/- 14.09).
Socioeconomic status of the patient was statistically significant and positively
associated with need for control and self reliance (p=0.035), concrete barriers
and distrust of care givers (p=0.039), emotional control (p=0.005), and privacy
(p=0.002). Occupational status had significant association with need for control
and self-reliance (p=0.01), minimizing problem and resignation (p=0.033), and
emotional control (p=0.006). Score on hospital headache disability inventory
significantly predicted the value of score on concrete barriers and distrust of
caregivers domain of HDI (p=0.001). CONCLUSION: Autonomy and under estimation of
seriousness of headache are common barriers to help seeking. Pattern of help
seeking barriers may vary with socio-economic status and occupational status,
while disability varies with gender and severity of headache. Headache associated
disability is positively associated with concrete barriers.
PMID- 27891431
TI - Excessive Daytime Sleepiness in Schizophrenia: A Naturalistic Clinical Study.
AB - INTRODUCTION: Excessive Daytime Sleepiness (EDS) and sleep problems are common in
patients with schizophrenia. The symptom of EDS in schizophrenia can be
attributed to various causes including neurobiological changes, sleep disorders,
medication or as a symptom of schizophrenia itself. EDS as a symptom in
schizophrenia has been understudied. AIM: To assess the prevalence of EDS and to
the study the same in patients with first episode and chronic schizophrenia.
MATERIALS AND METHODS: In this cross-sectional study 100 patients suffering from
schizophrenia as per International Classification of Diseases (ICD-10) criteria
were evaluated for sleep quality using the Pittsburgh Sleep Quality Index (PSQI)
and EDS using the Epworth Sleepiness Scale (ESS). The severity of illness was
assessed by Positive and Negative Symptom Scale for Schizophrenia (PANSS) while
cognition was assessed using the Frontal Assessment Battery (FAB) and the Trail
Making Test A and B. The data was statistically analysed. RESULTS: A total of 100
patients (72 male and 28 female) aged 18 to 64years (mean age 30.63 years) were
studied. Poor sleep quality (PSQI > 6) was exhibited by 83% of patients.
Excessive daytime sleepiness (ESS > 7) was found in 32% of patients. There was no
statistically significant difference in various parameters according to the age,
duration of illness or gender. However, first episode patients differed in having
better sleep quality than patients with chronic schizophrenia (p=0.0002).
Cognition was not affected by sleep quality. CONCLUSION: A high prevalence of
sleepiness and poor sleep quality was noted in the entire sample but it did not
have any correlation with age and gender. It also did not affect the cognitive
test scores. Further research in this area is warranted.
PMID- 27891432
TI - Perceived HIV Related Stigma among Patients Attending ART Center of a Tertiary
Care Center in Rural West Bengal, India.
AB - INTRODUCTION: Despite the advent of modern technologies, India is still grappling
with the HIV/AIDS pandemic. Stigma is one of the key aspects which can interfere
with HIV test-seeking behaviour, care-seeking behaviour upon diagnosis, quality
of care given to HIV-positive patients and perception and treatment of People
Living with HIV/AIDS (PLHA) by communities, families, and partners. AIM: To
determine the perceived stigma associated with HIV/AIDS and its correlates among
PLHAs attending the Anti Retroviral Therapy (ART) centre of North Bengal Medical
College, Darjeeling, West Bengal, India. MATERIALS AND METHODS: An observational
cross-sectional study was conducted over a period of four months among 454
patients aged >=18years attending ART centre of North Bengal Medical College.
Perceived stigma among the study subjects were assessed using a face and content
validated version of Berger's HIV stigma scale. Linear regression analysis was
used for statistical analysis of the predictors of perceived stigma. Data were
analysed using SPSS version 16 software. RESULTS: The majority of the study
population had moderate to low stigma. Regression analysis showed that being on
treatment with ART had the highest contribution towards stigma followed by gender
and HIV serostatus of the patients. CONCLUSION: The patients attending ART centre
of North Bengal Medical College had moderate to low level of stigma. In absence
of any efficacious stigma reduction programs in this region, more work needs to
be done to assist PLHA in coping with stigma.
PMID- 27891433
TI - The Clinical Presentation and Outcome of the Institutionalized Wandering Mentally
Ill in India.
AB - INTRODUCTION: There are estimated 400,000 wandering mentally ill persons in
India, found in poor physical state wandering on streets and railway stations;
mainly treated either by government run Hospitals for Mental Health (HMH) or
Psychiatry units of a Government Medical College (GMC). They require psychosocial
rehabilitation along with treatment. AIM: To study the presentation, clinical
profile and rehabilitative outcome of wandering mentally ill admitted in
government psychiatric care facilities. The objective was to establish them as a
distinct psychiatric inpatient population requiring special attention. MATERIALS
AND METHODS: The study was a chart review of all wandering mentally ill patients
institutionalized during a period of two years in two distinct government
facilities. Additionally, clinical staff was interviewed for cross checking the
data and for eliciting problems faced in management. The discharged patients were
contacted to assess the present status. RESULTS: Forty seven patients in HMH and
35 patients in GMC were studied. Wandering mentally ill patients were brought to
mental health facility by helping person (30) and police (23). Majority of them
(61) were picked up from streets and railway station. Most of them (56) belonged
to <40 years age group and communication with them was difficult due to language
barrier in 51. Diagnosed as Psychosis NOS (45) initially, they presented with
poor physical condition, with positive viral markers (25) and pregnancy in
females (4). Most common final diagnosis was schizophrenia (45) along with
prominent negative symptoms and poor cognitive abilities. Forty three of them
showed good improvement on treatment. Forty five gave their address; Relatives
were found in 39 through police, post cards and social workers and were
rehabilitated back to family. CONCLUSION: Wandering mentally ill constitutes a
unique patient population with specific challenges different from other
inpatients in management and rehabilitation. Provisions to take care of this most
vulnerable group of the society and mechanisms to watch for their continuous
implementation are required.
PMID- 27891434
TI - Abdominal Epilepsy in an Adult: A Diagnosis Often Missed.
AB - Abdominal Epilepsy (AE) is a variant of temporal lobe epilepsy and is commonly
seen in pediatric age group. There are however, multiple reports of abdominal
epilepsy in adolescents and even in adults. Chronic and recurrent
gastrointestinal symptoms with one or more neuropsychiatric manifestations are
often the presenting picture for a patient with AE. Such patients therefore, are
more likely to consult a general practioner, a physician, a surgeon or a
gastroenterologist than consulting a psychiatrist or a neurologist. We hereby
present such a case of AE in an adult with review of similar reports.
PMID- 27891435
TI - A Study of Clinical Profile and Quality of Life in Patients with Scabies at a
Rural Tertiary Care Centre.
AB - INTRODUCTION: Scabies is a contagious disease primarily related to poverty and
overcrowding, it rapidly spreads from person to person specially in people with
poor hygiene. We come across many patients from rural areas with scabies. In
India the incidence ranges from 13% to 59% in rural and urban areas. Many people
complain of sleep disturbances and affection of work and leisure activities due
to itching. Very few studies are done in India about the affection of quality of
life in such patients. AIM: To study the demographic details, clinical profile
and quality of life in patients with scabies. MATERIALS AND METHODS: A
prospective, observational and cross-sectional study conducted at Department of
Dermatology and Venereology, rural based tertiary care centre, Gujarat for a
period of 6 months from March to August 2015, after ethical approval from the
institute. Study included all patients with clinical features suggestive of
scabies. The data including age, sex, occupation, education, socioeconomic
status, complaints, past history, family history and clinical features along with
the questionnaire for affection of quality of life were recorded in predesigned
proforma and detailed analysis was done. Total of 102 newly diagnosed scabies
patients attending skin OPD were included in the study. RESULTS: Out of 102
patients, 50.98% were males. The most common age group affected was 21-40 years,
in 44.11% patients. Students were commonly affected with 41.17% followed by
housewives with 20.58% cases. Most common lesion seen was papules in 84.3%
followed by excoriations in 82.3% cases. Maximum 51.6% adults had small effect on
quality of life while 62.5% children reported minimal effect on quality of life.
CONCLUSION: Scabies is an important and commonly encountered health problem which
is highly contagious and if not attended timely and adequately can affect the
quality of life in the form of work affection, sleep disturbances and
psychosocial problems in patients as well as its family members. This could be
easily prevented if the patients of scabies are recognised and treated early and
proper awareness is must to avoid recurrences. The profile of scabies patients
helps in early recognition of scabies even at the peripheral centers.
PMID- 27891436
TI - Platelet Rich Plasma: Efficacy in Treating Trophic Ulcers in Leprosy.
AB - INTRODUCTION: Trophic ulcers secondary to leprosy pose a great stigma to the
patients and remain a challenge to the treating dermatologists. The discovery of
Platelet Rich Plasma (PRP) with its favourable role in wound healing is a boon
for the patients. PRP introduces the growth factors directly into the wound and
aids in rapid healing. AIM: To study the efficacy and safety of PRP in the
healing of trophic ulcers secondary to Hansen's disease in a tertiary care centre
in Southern India. MATERIALS AND METHODS: Based on inclusion and exclusion
criteria, 50 patients were enrolled in the study. PRP was prepared by manual
double spin method. After wound bed preparation, activated PRP was sprayed over
the ulcer and occlusive dressings were applied. Same procedure was repeated every
week until complete re-epithelisation or up to six sittings whichever occurred
earlier. RESULTS: In our study, 46 patients (92%) showed complete healing. In 4
patients (8%), there was marked reduction in wound size with partial re
epithelization. In 88%, complete healing was seen after the fourth sitting. Mean
time for ulcer healing was around 4.38 weeks. CONCLUSION: PRP therapy leads to
faster rate of induction of granulation tissue with rapid healing. Healing had no
direct statistical correlation with the size, site and duration of ulcer, the
leprosy spectrum and associated motor deformities. It is a simple, safe and cost
effective in-office procedure, albeit requiring an optimal set-up and expertise.
PMID- 27891437
TI - Nevus Lipomatosus Cutaneous Superficialis - A Rare Hamartoma: Report of Two
Cases.
AB - Nevus Lipomatosus Cutaneous Superficialis (NLCS) is a rare benign hamartomatous
disorder in which mature collection of adipocytes are present ectopically in the
dermis. NLCS usually presents as multiple, soft, pedunculated, cerebriform,
yellowish or skin colored papules, nodules, or plaques, mostly involving the
pelvic or gluteal region. We herewith report two cases of adult onset classical
NLCS. First case had a classical presentation with lesion on lower back while
second case was associated with some unusual features like occurrence on pubic
area and associated comedo-like lesions.
PMID- 27891438
TI - Blastomycosis-Like Pyoderma- A Rare Case Report.
AB - Blastomycosis-like pyoderma is a rare, cutaneous bacterial infection of skin,
seen in malnourished individuals, in a poor state of health and manifests as
vegetating skin lesions. It is an unusual tissue reaction possibly to bacterial
infection, the most common organism being Staphylococcus aureus. This case report
is of a 35-year-old male who presented with thick verrucous surfaced plaques and
papules on trunk and extremities since 2 months. Investigations revealed anaemia
with hypochromasia, neutrophilic leucocytosis, hypo-proteinemia and hypo
albuminemia with reversal of A/G ratio. Pathergy test was negative. Pus on Gram's
stain showed plenty of pus cells, and negative for AFB and fungal stain. On
culture of pus grew Coagulase negative staphylococcus species. Biopsy showed
acanthosis of epidermis with moderate lymphocytic infiltrates in dermis and
focally a few neutrophils and histiocytes. Patient fulfilled the criteria for
diagnosis of blastomycosis like pyoderma viz., presentation of large verrucous
plaques with pustules and ulcers with elevated border, histologically
neutrophilic infiltration and growth of one pathogenic bacterium on culture.
Patient responded to long-term cefotaxime therapy.
PMID- 27891439
TI - O'Brien's Granuloma- A Case Report.
AB - Actinic granuloma is a self-limiting chronic disorder with elastolytic
granulomas, mainly of the sun exposed skin and unknown pathogenesis. We report a
case of a middle aged woman with multiple annular erythematous lesions.
Histopathology revealed degenerated elastic fibres with histiocyte aggregates
surrounded by multiple giant cells suggestive of elastolytic granulomas. She also
had associated dyslipidemia and hypothyroidism. Actinic granuloma is relatively
rare in the Indian population and needs to be differentiated from conditions like
sarcoidosis and granuloma annulare.
PMID- 27891440
TI - Radiation Therapy in Paediatric Orbital Granulocytic Sarcomas: Experience from a
Tertiary Cancer Center.
AB - INTRODUCTION: Orbital Granulocytic Sarcoma (OGS) is an uncommon manifestation
associated with haematological malignancies. Chemotherapy remains the cornerstone
of the treatment. The role of radiation is not well-defined. AIM: To evaluate the
effect of radiation in OGS and to define an optimal dose for achieving adequate
local control. MATERIALS AND METHODS: This was a retrospective analysis of 11
patients who received radiation therapy to orbit for Granulocytic Sarcoma (GS)
between 2007 and 2014 at a tertiary cancer center in India. Radiotherapy was
planned by three dimensional conformal (3DCRT) techniques. Demographic and
disease characteristics, including clinical, imaging, histopathology and
treatment details in this patient cohort were recorded and their response to
therapy was assessed. RESULTS: The median age was 7 years (Range: 2-16 years).
There were 3 female and 8 male patients. Eight patients were diagnosed as Acute
Myelogenous Leukemia (AML), two patients had Primary Orbital Granulocytic Sarcoma
(POGS) and one had bi-phenotypic leukemia. Median dose was 24.5Gy (Range-15-45
Gy). Two anterior oblique field design were used most commonly. Out of 11
patients, 5 (45.4%) had complete response, 3 (27.27%) had partial response, 1
patient had stable disease (9%) and 2 developed progressive disease (18%). Median
follow-up was 24 months (Range 24-84 months). At last follow-up, 7 (63.6%)
patients were alive and 4 patients (37.4%) were dead due to progressive disease.
CONCLUSION: In patients with residual orbital disease after chemotherapy, low
dose radiation can be used to improve local disease control and improve quality
of life. Local conformal radiotherapy of 24-30 Gy in conventional fractionation
appears optimal with excellent local control and minimal morbidity.
PMID- 27891441
TI - Development and Testing of a Reliable and Valid Patient-Needs Questionnaire for
Breast Cancer Inpatients in China.
AB - INTRODUCTION: Breast cancer patients are demanding more active roles in their
care, especially in the initial diagnosis and treatment stages. At present, there
is no suitable patient questionnaire that appropriately incorporates Chinese
language, habits, and cultural differences. AIM: To develop and validate a
patient-needs questionnaire for female breast cancer inpatients in China.
MATERIALS AND METHODS: The questionnaire structure was based on Maslow's model
and a modern medical model. In the first step, a focus group was used to design
125 questions, of which 64 constituted the initial questionnaire for item
screening with a group of 115 hospitalized patients with breast cancer. Items
were included or excluded based on the evaluation of eight statistical analysis.
Ultimately, 38 items were selected and validated. The reliability and validity of
the 38-item questionnaire were determined in a cohort of 323 patients. RESULTS:
The scale was set up with the 38 selected items. The four primary areas were
disease knowledge, medical environment, psychosocial parameters and sexual
attitudes. Cronbach's coefficient was 0.959. The split-half reliability value was
0.935. Principal component factor analysis extracted four common factors.
CONCLUSION: Our new questionnaire, designed to assess the care needs of Chinese
inpatients with breast cancer is reliable, sensitive, effective, independent and
representative. It can be used in medical practice as a tool for a more complete
assessment of patients' needs.
PMID- 27891442
TI - A Retrospective Evaluation of Clinical Profile of Second Primary Head and Neck
Cancer.
AB - INTRODUCTION: Incidence of Second Primary Malignancy (SPM) after successful
treatment of primary is increasing and may cause the problem for optimal
treatment. AIM: This study was conducted retrospectively to analyse incidence,
disease free survival between malignancies, pattern of treatment and outcome.
MATERIALS AND METHODS: Sixteen out of 22 patients of previously treated cases of
head and neck cancer those develop SPM of head and neck region managed over a
period of January 2012 to December 2015 in Department of Radiotherapy-II, Pt. BD
Sharma PGIMS, Rohtak were analyzed retrospectively. Sixteen patients with
unresectable disease were given reirradiation with external beam radiotherapy.
RESULTS: Median age of presentation of first malignancy was 27 years (Ranged 26
65 years), whereas median age was 60 years for second malignancy (range 45-71
years). All patients were smokers during first malignancy; 87.5% (14/16) had
historyof smoking during second malignancy. Oropharynx (50%) was most common site
of presentation of primary tumor whereas oral cavity was most common site of
presentation in second primary tumor. CONCLUSION: Incidence of Second primary
head and neck tumor after successful treatment for primary Head and neck cancer
are increasing due to newer treatment strategies, longer survival and follow up.
Reirradiation, surgery and or chemotherapy are treatment modalities. However
second primary tumor of this region are associated with poor prognosis.
PMID- 27891444
TI - Paclitaxel Induced Acute ST Elevation Myocardial Infarction: A Rare Case Report.
AB - Paclitaxel, is a frequently used anti-neoplastic agent and is included in various
chemotherapy regimens. The life threatening cardio toxicity associated with its
use and the still unclear pathophysiology, has limited the use of this drug.
Acute myocardial infarction is a rare adverse event associated with this drug. We
report a case of acute ST-elevation myocardial infarction induced by paclitaxel
infusion in a patient of oesophageal carcinoma who was saved by percutaneous
primary intervention. The authors emphasize the awareness of this side effect of
Paclitaxel among the clinicians.
PMID- 27891443
TI - A Randomized Prospective Study of Concurrent Chemo-Radiotherapy vs Accelerated
Hyperfractionation in Advanced Cancer of Head and Neck.
AB - INTRODUCTION: Locally advanced unresectable squamous cell carcinoma of head and
neck has poor locoregional control when treated with conventionally fractionated
Radiation Therapy (RT) alone. However, Concurrent Chemo-Radiotherapy (CRT) and
altered fractionated RT schedules like Accelerated Hyperfractionation (AHF) are
two different treatment strategies that have shown to be associated with better
efficacy as compared to conventional RT alone in such cases. AIM: Aim of the
study was to compare these two treatment strategies i.e., CRT and AHF radiation
treatment to know which is better in terms of clinical outcome and toxicity in
patients of locally advanced unresectable squamous cell carcinoma of head and
neck. MATERIALS AND METHODS: A prospective randomized trial was done to compare
the effect of CRT and of AHF radiation treatment in locally advanced unresectable
head and neck cancer on 15 patients in each arm and followed up over three months
period. RESULTS: At the end of three months after completion of treatment
protocol, complete response was 62% in CRT arm and 53% in AHF arm. In CRT arm and
AHF arm, Grade 3 skin reactions were observed in 100% and 87%, grade 3 mucosal
reactions were in 62% and 67% of cases, respectively. Three patients died in CRT
arm (two due to myelosuppression and associated infection; one during the
treatment and another after two weeks of treatment completion. The third patient
expired after one month of treatment completion at his native place due to
unknown reason). One patient died in AHF arm (during treatment due to cardiac
event). CONCLUSION: Efficacy of AHF was comparable to CRT with lesser toxicity.
So the present study suggests that AHF should be preferred over CRT in locally
advanced, unresectable, squamous cell head and neck cancer followed over three
months non-treatment period.
PMID- 27891445
TI - Breast Cancer with Synchronous Renal Cell Carcinoma: A Rare Presentation.
AB - Primary cancer arising from multiple organs is a well known fact. Synchronous
tumours have been most commonly associated with kidney cancer. Bladder, prostate,
colorectal and lung cancer are the most common synchronous primaries with Renal
Cell Carcinoma (RCC) identified till date. We found metachronous tumours of
breast with RCC in literature search which included both metastatic tumours as
well second primaries. Overall, 25 cases of metastatic breast tumours and eight
cases of second primary in previously treated RCC have been reported in the
literature. Here, we are reporting a case of synchronous presentation of
carcinoma breast with RCC which is very rare because most of the multiple
malignancies reported in the literature are metastatic tumours or metachronous
breast malignancy with RCC.
PMID- 27891446
TI - Requirements for Minimum Sample Size for Sensitivity and Specificity Analysis.
AB - Sensitivity and specificity analysis is commonly used for screening and
diagnostic tests. The main issue researchers face is to determine the sufficient
sample sizes that are related with screening and diagnostic studies. Although the
formula for sample size calculation is available but concerning majority of the
researchers are not mathematicians or statisticians, hence, sample size
calculation might not be easy for them. This review paper provides sample size
tables with regards to sensitivity and specificity analysis. These tables were
derived from formulation of sensitivity and specificity test using Power Analysis
and Sample Size (PASS) software based on desired type I error, power and effect
size. The approaches on how to use the tables were also discussed.
PMID- 27891447
TI - Oral Health Knowledge, Attitudes and Practice Behaviour among Secondary School
Children in Chandigarh.
AB - INTRODUCTION: Oral health knowledge is considered to be an essential prerequisite
for health-related practices and better oral health. Healthy practices adopted at
young age are more sustainable. AIM: Present cross-sectional exploratory study
was planned to assess oral health knowledge and practices of secondary school
students in Chandigarh, India. MATERIALS AND METHODS: A cross-sectional survey
was under-taken amongst 1027 secondary level school students, in Chandigarh,
India. After obtaining informed consent subjects were administered pretested self
administered questionnaire addressing demographics, knowledge, attitude and
practice behaviour of participants. Frequency analysis was done using descriptive
statistics. RESULTS: Survey revealed that only 40% subjects brushed twice daily.
About 17% reported use of dental floss and 20% used either mouthwash or tongue
cleaner as adjuncts. A total of 58% had knowledge that infrequent brushing led to
dental caries, staining of teeth, dental plaque and bleeding from gums. Most of
them knew sweets (92.7%) and soft drinks (67.8%) affected dental health. Only
12.9% visited dentist regularly after every 6-12 months. CONCLUSION: Efficacy of
dental health education can be increased only if health programs are tailored to
directly impinge on attitudes of targeted population, especially school children
in whom healthy practices can be inculcated easily and be sustained for long
times.
PMID- 27891449
TI - Oral Health Status and Treatment Needs of Paniya Tribes in Kerala.
AB - INTRODUCTION: The tribal communities of Kerala have been largely left out of the
gains of the Kerala model of development. AIM: The study was aimed to obtain
baseline data of oral health status and treatment needs of Paniyas, in Kerala,
India. MATERIALS AND METHODS: A descriptive population based survey of adult
Paniya belonging to index age groups of 35-44 years and 65-74 years was
conducted. The study population comprised of 420 subjects drawn from three
talukas by stratified cluster sampling. Modified version of WHO Oral Health
Assessment Form (1997) was used to assess the oral health status. RESULTS: Caries
prevalence was 40%. The mean DMFT in the 35-44 years age group was 1.52+/-1.95
and in 65-74 age group it was 18.47 +/- 13.10. Oral mucosal lesions were seen in
4.52% and 76.9% had periodontal disease. Tooth brushing was reported by 55.5% of
the subjects. Paan chewing, with tobacco or without tobacco, habit was reported
by 89.3%. Bi-variate analyses between the CPI scores and age groups showed high
statistical significance. The maximum mean treatment requirement was for
extraction (1.37 +/- 4.01) and was observed in 65-74 age groups. CONCLUSION: The
lack of basic oral health care access is important for high oral disease burden
in these populations. Efforts are to be done for basic oral health care facility
to these marginal populations.
PMID- 27891448
TI - Can Feulgen Stain be a Reliable Biomarker over PAP Stain for Estimation of
Micronuclei Score?
AB - INTRODUCTION: Malignant transformation of the Potentially Malignant Lesions (PML)
in the oral cavity is associated with elevated mortality rate because of its
aggressive and exceedingly invasive nature. Meticulous diagnosis and prompt
therapy of PML may help prevent malignant conversion in oral lesions.
Carcinogenic insult to oral cells results in chromosomal damage and formation of
Micronuclei (Mn), before the development of clinical symptoms. AIM: To determine
the genotoxic effect of smoking and chewing tobacco on target tissue using Mn
assay and to evaluate the prevalence of other nuclear anomalies associated with
it and to determine the reliability of feulgen stain for Mn assay over
Papaincolau (PAP) stain. MATERIALS AND METHODS: PAP and feulgen staining was done
to study Mn in individuals who were having tobacco habits (smoking and chewing)
without lesion (n=30), individuals who were having tobacco habit (smoking and
chewing) with PML (n=30) and apparently healthy subjects (n=30). Data was
analysed for statistical significance using SPSS 17.0 by Kruskal - Wallis Test
and Bonferronii test. RESULTS: Tobacco habits in the form of smoking and chewing
have mutagenic effects on human chromosomes which is indicated by increased
frequency of Mn in oral exfoliative cells. The mean Mn frequency using feulgen
stain was found to be 12.27 with lesion, 10.23 with without lesion and 3.87 in
controls. Whereas, metanucleated analysis revealed no significant correlation
with the formation of Mn. Non-specific DNA stain (PAP) showed high numbers of Mn
cells in all the groups compared to feulgen. Statistically significant difference
(p<0.0001) was observed when both the stains were compared for Mn numbers.
CONCLUSION: These findings indicate that the individuals having tobacco habits
(smoking and chewing) with lesion have high number of Mn cells, thus supporting
the assay to be used as a reliable biomarker to assess the genotoxic effect of
tobacco in the oral mucosa. The reason for almost twice as high Mn in PAP stained
smears is suggestive of cell injury which is collimated by formation of keratin
bodies, resulting in its misinterpretation as Mn, leading to false positive
results. Hence, it was concluded that PAP stain can be used to identify abnormal
cytological changes resulting from mutagenic agent but not to interpret Mn.
PMID- 27891450
TI - Age and Environment Determined Children's Preference Towards Dentist Attire - A
Cross - Sectional Study.
AB - INTRODUCTION: The attire of the dentist has an influence on child's behaviour in
dental setup. Recent research has shown that the children have preferences
towards the outfit worn by the dentist. AIM: The aim of the study was to
determine the preference of children towards dentists' attire based on various
age groups and environment. MATERIALS AND METHODS: A total of 534 children aged
between 6-11 years participated in the study. Children were divided into three
groups based on their age as younger, middle and older age groups. Photographs of
the dentist in different attires such as white coat, surgical scrubs and regular
outfit were shown to children and the questionnaire was evaluated by a single,
qualified Paediatric dentist in two different environmental set ups, namely
school and dental environment. The anxiety level was evaluated by using Modified
Child Dental Anxiety Scale [MCDAS (f)]. Data was collected and tabulated.
Statistical analysis was done using SPSS version 20.0. RESULTS: A statistically
significant difference was evident in the preference level of children towards
dentist attire (p-value= 0.002). There was a positive correlation in the
preference level of children towards dentist attire in different age groups. A
statistically significant difference was evident in the preference level of
children towards the dentist attire in school and dental environment (p-value
<0.001). CONCLUSION: Younger age group children preferred regular outfit and
middle and older age group preferred white coat and surgical scrubs respectively.
Children preferred white coat in school environment and surgical scrubs in dental
environment.
PMID- 27891451
TI - Assessing the Reliability of Digitalized Cephalometric Analysis in Comparison
with Manual Cephalometric Analysis.
AB - INTRODUCTION: For more than seven decades orthodontist used cephalometric
analysis as one of the main diagnostic tools which can be performed manually or
by software. The use of computers in treatment planning is expected to avoid
errors and make it less time consuming with effective evaluation and high
reproducibility. AIM: This study was done to evaluate and compare the accuracy
and reliability of cephalometric measurements between computerized method of
direct digital radiographs and conventional tracing. MATERIALS AND METHODS:
Digital and conventional hand tracing cephalometric analysis of 50 patients were
done. Thirty anatomical landmarks were defined on each radiograph by a single
investi-gator, 5 skeletal analysis (Steiner, Wits, Tweeds, McNamara, Rakosi
Jarabaks) and 28 variables were calculated. RESULTS: The variables showed
consistency between the two methods except for 1-NA, Y-axis and interincisal
angle measurements which were higher in manual tracing and higher facial axis
angle in digital tracing. CONCLUSION: Most of the commonly used measurements were
accurate except some measurements between the digital tracing with FACAD(r) and
manual methods. The advantages of digital imaging such as enhancement,
transmission, archiving and low radiation dosages makes it to be preferred over
conventional method in daily use.
PMID- 27891452
TI - Clinical Utility of Serum Homocysteine and Folate as Tumor Markers in Oral
Squamous Cell Carcinoma - A Cross-Sectional Study.
AB - INTRODUCTION: Oral Squamous Cell Carcinoma (OSCC) is a common malignancy
involving head and neck. Identifying the markers of molecular levels or
biochemical markers involving the various metabolic reactions associated with the
initiation and biological behavior of individual tumors are very important in
diagnosis and prognosis. AIM: To measure and compare the levels of serum
Homocysteine (Hcy) and serum folate in OSCC patients, smoking group and healthy
subjects and also to assess the clinical utility of serum Hcy as a potential
tumor marker in OSCC. MATERIALS AND METHODS: The study group comprised of 60
subjects, of whom 30 were classified as OSCC cases (GROUP I) and 15 were
classified as smokers without OSCC (GROUP II). The control group included 15
healthy individuals without smoking habit (Group III). Hcy was measured with High
Performance Liquid Chromatography (HPLC). Folate estimation was done by
Chemiluminiscence Immuno Assay (CLIA). Comparison of mean Hcy and folate values
among the groups was done using ANOVA with Post-Hoc Games Howell test. Gender was
compared using Chi-square test. Comparison of mean age was using ANOVA with Post
Hoc Tukey's test. RESULTS: The mean serum folate level in OSCC patients was
5.34ng/mL, 7.68ng/mL in smoking group and 10.99ng/mL in control group. There was
a significant difference in the mean serum folate levels among the three study
groups (p<0.001). The mean serum Hcy in OSCC patients was 23.58MUmol/L,
17.46MUmol/L, in smoking group and 10.76MUmol/l in controls. There was a
significant difference in the mean serum Hcy levels among the three study groups
(p<0.001). CONCLUSION: The present study found an interesting association with
serum Hcy and folate levels in OSCC which could be useful as a biochemical "Tumor
Marker" and thereby providing insights into the onset and progression of the
disease.
PMID- 27891453
TI - Efficacy of Ketamine as an Adjunct to Local Anesthesia in the Surgical Removal of
Impacted Mandibular Third Molars - A Split Mouth Prospective Controlled Clinical
Study.
AB - INTRODUCTION: The removal of impacted teeth is one of the most common procedures
performed by oral and maxillofacial surgeons. Reduction of discomfort post
operatively and efficient local anesthesia are imperative for success in surgical
practice. At sub-anesthetic doses, ketamine has a noticeable analgesic action,
which can be used to supplement local anesthesia with minimal side effects. AIM:
To assess the efficacy of low-dose ketamine as an adjunct to local anesthesia in
the management of pain, swelling and trismus after surgical removal of impacted
mandibular third molars. MATERIALS AND METHODS: Twenty five patients with
bilaterally symmetrical impacted mandibular third molars requiring surgical
removal under local anesthesia were selected for the controlled clinical study.
The third molar sites of all patients enrolled in the trial were randomly
assigned into 2 groups: Local Anesthesia (Lignocaine) Alone [LAA] and Local
Anesthesia plus ketamine [LAK]. 5ml of local anesthetic (Lignocaine Hydrochloride
2% with epinephrine 1:100,000) was injected in the 'LAA' group while the 'LAK'
group received 5ml of local anesthetic plus 0.2mg/kg ketamine. Patients were
blinded to the solution used and the operator recorded the group (LAA or LAK) and
the respective site (Right or Left) for analysis. Bilaterally symmetrical
impacted mandibular molars were removed at an interval of 15 days. RESULTS:
Facial swelling on post-operative days was significantly lower in the LAK group
than in the LAA group (p<0.05). The pain scores on the VAS were significantly
higher in the LAA group than in the LAK group (p<0.05). CONCLUSION: The role of
ketamine in low doses as an analgesic and anti-inflammatory is evident in our
study. The combination of a local anesthetic and sub-anesthetic doses of ketamine
injected for surgical removal of impacted third molars provides good local
anesthesia while alleviating post-operative sequelae for the patient by providing
a degree of post-operative analgesia with less swelling.
PMID- 27891454
TI - Efficacy and Safety of Intralesional Xantinol Nicotinate in the Treatment of
Various Stages of Oral Submucous Fibrosis.
AB - INTRODUCTION: Oral Submucous Fibrosis (OSMF) is one of the most prevalent
potentially malignant disorders seen in South east population since ages. Despite
the extensive amount of research held in this field, its treatment still remains
a challenge. In this study, we present our experience in successfully managing
OSMF with intralesional injections of a peripheral vasodilator namely xantinol
nicotinate. AIM: To determine the efficacy and safety of intralesional xantinol
nicotinate in the treatment of various stages of OSMF. MATERIALS AND METHODS:
This parallel, prospective, clinical study included 60 patients clinically
diagnosed with oral submucous fibrosis divided into two groups. Group I patients
were subjected to intralesional xantinol nicotinate injections bi-weekly for a
period of four months while Group II patients were given intralesional saline
injections biweekly for four months. All the patients were instructed to perform
home mouth opening physiotherapy exercises. At each visit, parameters like
increase in interincisal distance, cheek flexibility, tongue protrusion and
relief from burning sensation and any side effects were measured and recorded.
The drop out figure was zero. RESULTS: At the end of four months, in Group I,
there was an increase in mean values of interincisal distance, cheek flexibility
and tongue protrusion (p<0.001). For burning sensation a significant decrease in
mean value was observed (p<0.001). Whereas, in Group 2 the difference between pre
treatment and post-treatment values was not statistically significant (p>0.001).
On comparing the results of Group 1 and Group 2, statistically significant
difference was observed (p<0.001). CONCLUSION: Xantinol nicotinate, a peripheral
vasodilator, when injected intralesionally in OSMF patients not only provides
relief from burning sensation but also results in increased mouth opening, tongue
protrusion and cheek flexibility.
PMID- 27891455
TI - Unmasking the Effect of Analgesics on Endodontic Diagnosis Using a Novel Bite
Force Sensor Device: A Prospective, Randomized Clinical Trial.
AB - INTRODUCTION: A definitive diagnosis is of primary importance before initiating
any endodontic treatment; yet, there are occasions when the dental professional
is unable to accurately reproduce the patient's chief complaint, as it can pose a
dilemma and may require consideration of multiple variables in order to reach an
accurate diagnosis. So to overcome this problem, a methodical approach in
providing endodontic treatment should be implemented which includes diagnosis,
definitive dental treatment and adjunctive drug therapy, known as the "3D"
strategy. AIM: The purpose of this study was to evaluate the possible "masking"
effect of these analgesics on endodontic diagnosis using a novel bite force
sensor device. MATERIALS AND METHODS: A total of 90 patients with endodontic pain
were selected and they were given either a placebo or 400 mg ibuprofen (brufen)
or 50mg diclofenac sodium (voveron). Both patients and operators were completely
blinded to the drugs administered. Bite force tolerance values were noted before
and one hour after administration of medication using the self designed bite
force sensor. RESULTS: The pre- and post-bite force tolerance values were
tabulated for both contralateral and affected tooth. For the affected tooth,
there was statistically significant difference between pre- and post-bite force
tolerance values in Group I (i.e., ibuprofen) and Group II (i.e., diclofenac
sodium) (p<0.05) with no significant difference observed in Group III (placebo).
CONCLUSION: The easily available over the counter self administered analgesics in
addition to providing symptomatic relief to patients suffering from symptomatic
apical periodontitis may also cloud the definitive diagnosis of the clinician,
thus jeopardising the treatment plan. The self designed bite force sensor was
effective in arriving at a definitive diagnosis in teeth with chronic
irreversible pulpitis with symptomatic apical periodontitis, where the allodynia
has been camouflaged by the use of analgesics like ibuprofen and diclofenac
sodium.
PMID- 27891456
TI - Effect of Salivary pH on Color Stability of Different Flowable Composites - A
Prospective In-vitro Study.
AB - INTRODUCTION: Scientifically and clinically there has been lot of development in
the field of aesthetic dentistry. However, there is limited or restricted
information regarding the color stability of flowable composite materials. AIM:
The aim of this study was to evaluate the spectrophotometric color stability of
three different flowable composite materials with respect to three different pH
of saliva. MATERIALS AND METHODS: The study included 90 different samples. Thirty
samples in each composite group; (Group A: G-aenial universal flo; Group B: Z 350
XT flowable; Group C: Esthet x flow). All samples from each group were immersed
in distilled water for 24 hours. Total color difference (DeltaE) was recorded for
each sample. After this 10 samples from each group were respectively immersed in
6.5, 7 and 7.5 pH of artificial saliva. All samples were kept in dark room for
seven days and then DeltaE for each sample was recorded and was compared to
previous recorded DeltaE for the same sample. RESULTS: Maximum color change was
seen irrespective of material in 6.5 pH of saliva. G-aenial universal flo showed
least change irrespective of pH of saliva. CONCLUSION: Thus, the present study
reveals that acidic pH level affects the coloration of composite resins by
affecting the surface integrity and as reported in previous studies, various
coloring agents in beverages and other dietary components assists the process due
to absorption of these coloring substances into the resin matrix.
PMID- 27891457
TI - Prevalence of Dental Caries Among Primary School Children of India - A Cross
Sectional Study.
AB - INTRODUCTION: In India, the trend indicates an increase in oral health problems
especially dental caries, which has been consistently increasing both in
prevalence and in severity. Children of all age groups are affected by dental
caries. It becomes imperative to collect the data on prevalence of dental caries
and treatment needs to provide preventive care. AIM: To assess the prevalence of
dental caries and treatment needs of 6-11years old Indian school children.
MATERIALS AND METHODS: This was a cross-sectional study. Sampling frame consisted
of 6-11years old primary school children. Study sample consisted of 13,200
children selected from 10 talukas of Belgavi District, Karnataka, India. Clinical
examination for dmft and DMFT was carried out in the school premises by five
teams, each consisting of one faculty, three postgraduate students and five
interns from the KLE VK Institute of Dental Sciences, Belagavi, Karnataka, India.
The examiners were trained and calibrated by the principal investigator.
Statistical analysis was done using Chi-square and t-test. RESULTS: The overall
caries prevalence was 78.9%, mean dmft was 2.97+/-2.62 and mean DMFT was 0.17+/
0.53. The decayed teeth component was the principal component in both dmft and
DMFT indices. The mean dmft in boys was higher compared to girls and it was found
to be statistically significant (p<0.05). CONCLUSION: This study provided us with
the baseline data, using which treatment was provided to all the children
screened. The children were provided treatment at the camp site/dental
hospital/satellite centers and primary health care centers according to the
facilities available.
PMID- 27891458
TI - An In-vitro Comparison of Nano Hydroxyapatite, Novamin and Proargin Desensitizing
Toothpastes - A SEM Study.
AB - INTRODUCTION: Dentinal Hypersensitivity (DH) is commonly encountered clinical
condition in dental practice which affects 8-57% of the adult population
presenting for dental treatment, but the treatment of the same remains doubtful.
AIM: The purpose of this study was to evaluate and compare the effects of three
different desensitizing agents (15% nano hydroxyapatite crystals; 5% novamin and
8% proargin) on dentinal permeability and tubule occlusion in-vitro. Scanning
Electron Microscope (SEM) was used to evaluate the effect of these commercially
available desensitizing toothpastes. MATERIALS AND METHODS: A total of 40 disc
shaped dentin specimens were dissected from extracted premolars. Dentin discs of
1mm were obtained with the help of diamond discs. Ten specimens were randomly
selected and allocated to the evaluation groups A (control group), B (Nano
hydroxyapatite), C (Novamin) and D (Proargin). Each treatment group dentine disc
specimen was treated with the respective slurry for two minutes for a period of
seven days. Each disc was subjected to a pre- and post-treatment SEM analysis to
evaluate the changes occurring in the dentinal tubules. RESULTS: The qualitative
analysis showed no occlusion of the dentinal tubules in Group A (control group)
and Group B (nano-hydroxyapatite) showed 98.1% tubule occlusion. Group C
(Novamin) and D (Proargin) showed 83.1% and 69.1% tubule occlusion respectively.
Quantitative analysis showed a statistical significant difference between Group B
and D (p< 0.005) and no statistical significant difference between group B and C
(p= 0.235). Similarly, there was no significant difference between Group C and D
as (p=0.235). CONCLUSION: It was concluded that all the three desensiting agents
were effective in the dentine tubule occlusion. In addition efficacy of nano
hydroxyapatite toothpaste was greater compared to the other desensiting agents.
PMID- 27891459
TI - Comparison of Antibacterial Efficacy of Turmeric Extract, Morinda Citrifolia and
3% Sodium Hypochlorite on Enterococcus faecalis: An In-vitro Study.
AB - INTRODUCTION: Sodium hypochlorite (NaOCl), the most commonly used irrigant, has
many potential properties like its unique ability to dissolve pulp tissue,
excellent antimicrobial activity, but has a cytotoxic effect when injected into
periapical tissues. It is also known to produce allergic reactions, foul smell
and taste, and potential for corrosion. Facultative organisms such as
Enterococcus faecalis and aerobes like Staphylococcus aureus are considered to be
the most resistant species and one of the possible causes of root canal treatment
failure. So there is a need to find an alternative to sodium hypochlorite to act
against these resistant microorganisms. AIM: To evaluate and compare the
antibacterial efficacy of morinda citrifolia and turmeric extract with 3% NaOCl
as a root canal irrigant, against E. faecalis and S.aureus. MATERIALS AND
METHODS: The antimicrobial efficacy was assessed in vitro using agar well
diffusion method. Agar plates were prepared using Brain-Heart Infusion (BHI)
agar. Cultures of E.faecalis and S.aureus were grown in nutrient broth at 37
degrees C. Plates were incubated for 24 hours at 37 degrees C and microbial zones
of inhibition were recorded. Statistical analysis was performed using ANOVA.
RESULTS: NaOCl (3%) showed larger zones of inhibition than herbal irrigants
against both the microorganisms. Among the herbal irrigants, morinda citrifolia
showed larger zones of inhibition than turmeric hydro-alcoholic extract and
turmeric water extract which was statistically significant (p<0.05). CONCLUSION:
NaOCl (3%) showed maximum antibacterial activity against E. faecalis, followed by
morinda citrifolia and turmeric extracts. Considering the potential for
undesirable properties of NaOCl, use of herbal alternatives in endodontics might
prove to be advantageous.
PMID- 27891460
TI - Assessment and Correlation of Urea and Creatinine Levels in Saliva and Serum of
Patients with Chronic Kidney Disease, Diabetes and Hypertension- A Research
Study.
AB - INTRODUCTION: Serum urea and creatinine are most widely accepted parameters to
assess Chronic Kidney Disease (CKD)status as well as to assess renal status in
susceptible diabetic and hypertensive subjects. AIM: To assess and correlate the
serum and salivary urea and creatinine levels of CKD, diabetes mellitus and
hypertensive subjects. MATERIALS AND METHODS: This cross-sectional study was done
on 120 subjects involving 30 CKD, 30 diabetic, 30 hypertensive subjects and 30
healthy controls. After collection of saliva and blood samples, urea was analyzed
by enzymatic calorimetric method and creatinine by Jaffe's method. Kruskal Wallis
test and Mann Whitney U test were used for comparison between different groups
and correlations between serum and salivary parameters were evaluated by applying
Spearman's correlation test. The p-value <0.05 was considered statistically
significant. RESULTS: The median serum and salivary urea and creatinine levels
were highest in CKD group followed by diabetic, hypertensive groups and controls.
The correlation coefficient for serum urea and salivary urea was 0.977 and for
serum creatinine and salivary creatinine was 0.976, with p-value <0.001.
CONCLUSION: This study showed that there is a significant positive relationship
between salivary and serum urea and creatinine. Thus, salivary urea and
creatinine levels can be used non-invasively to detect serum urea and creatinine
levels respectively in renal disease and diabetic and hypertensive nephropathic
cases.
PMID- 27891461
TI - Comparison of Therapeutic Efficacy of Placental Extract with Dexamethasone and
Hyaluronic Acid with Dexamethasone for Oral Submucous Fibrosis - A Retrospective
Analysis.
AB - INTRODUCTION: Oral Submucous Fibrosis (OSMF) is a potentially malignant disease
of the oral cavity associated with betel nut chewing. The management of OSMF has
been a subject of controversy and no definitive and widely accepted treatment is
currently available for this condition. AIM: To retrospectively evaluate the
therapeutic efficacy of two treatment regimens (placental extract with
dexamethasone and hyaluronic acid with dexamethasone) for the treatment of OSMF.
MATERIALS AND METHODS: The records of the patients diagnosed with OSMF were
obtained from the departmental archives. A total of 25 records were selected and
divided into two study groups based on the treatment regimens, as Group A
(placental extract + dexamethasone) and Group B (hyaluronidase + dexamethasone).
The records were studied for improvement in mouth opening and reduction in
burning sensation. Descriptive statistics, paired t test and student's t test
were used for statistical analysis. RESULTS: In Group A and Group B, the average
increase in mouth opening from baseline record to 8th week of treatment was
3.53+/-1.26mm and 3.65+/-1.42mm respectively and average decrease in burning
sensation, noted by VAS scale, was 5.13+/-1.13 and 4.90 +/-1.29 respectively. The
pre- and post-treatment differences were found to be statistically significant
for both the groups (p<0.001) and for both the treatment outcomes. When the
average difference of the treatment outcomes was compared between the two study
groups, no statistically significant difference was noted (p>0.05). CONCLUSION:
The results of the present study indicate that both the treatment regimens
studied are equally effective in the treatment of oral submucous fibrosis.
PMID- 27891462
TI - Evaluation of Efficacy of Three Different Materials Used in Cheiloscopy -A
Comparative Study.
AB - INTRODUCTION: The imprint produced by the lip is termed as 'lip print' and the
name given to the examination of lip print is referred to as cheiloscopy. AIM:
The present study was done to compare the efficacy of three different materials
used in cheiloscopy - Sudan III, Indigo and Aluminium powder. MATERIAL AND
METHODS: A total of 60 individuals belonging to the age group of 18-25 years were
included in this study. Visible and latent lip prints were reproduced on white
cotton fabric, white satin fabric and white clay cup. The results were
statistically analysed using chi square test. RESULTS: Sudan III dye and
Aluminium powder showed significant results when used for the development of
visible lip prints (p<0.05), but didn't give any significant results for
development of latent lip prints. Indigo dye gave significant results for
development of both visible and latent lip prints (p<0.05). CONCLUSION: The study
reveals the usefulness of visible and latent lip print in individual
identification.
PMID- 27891463
TI - Psychological Analysis of Oral Cancer Patients during Pre-operative Period in
South Indian Population: A Prospective, Quantitative, Multicentre Study.
AB - INTRODUCTION: Oral cancer is prevalent worldwide with high incidence of mortality
and morbidity. It is associated with poor prognosis and low survival rate.
Moreover, patients affected are more likely to develop psychological issues and
thus, addressing psychological needs of such patients is crucial. AIM: The aim of
our study was to assess the quality of life of oral cancer patients in the pre
operative period, thereby providing them with the necessary psychological
support. MATERIALS AND METHODS: A total of 206 patients, scheduled to undergo
treatment for oral cancer were selected from various cancer centres in South
India. After informed consent, 171 patients were finally analysed for their
quality of life using Functional Assessment of Cancer Therapy-General (FACT-G)
version 4; and Functional Assessment of Cancer Therapy Head and Neck (FACT-H&N),
as a Quality of Life (QOL) survey. RESULT: Most of the patients had poor quality
of life and were found to be emotionally distressed pre-operatively as they did
not have proper emotional support from society. CONCLUSION: From the study it was
observed that catering to the psychological needs of oral cancer patients is
important and psychological counselling should be a part of a comprehensive
treatment plan for such patients.
PMID- 27891464
TI - Awareness of Eco-Friendly Dentistry among Dental Faculty and Students of King
Khalid University, Saudi Arabia.
AB - INTRODUCTION: Eco-friendly or green dentistry can be a reality by effectively
designing dental clinics and using more eco-friendly materials in the clinical
practice. AIM: To determine the awareness of eco-friendly dentistry among dental
faculty and students in preparation for future implementation. MATERIALS AND
METHODS: Assessment of knowledge regarding eco-friendly dentistry was done using
an 18 item self-administered questionnaire among 160 participants. After baseline
data collection, the intervention was done by educating participants with a power
point presentation. The post-intervention data was then collected for analysis.
Statistical analysis was done using Wilcoxon's signed rank test and one-way
ANOVA. RESULTS: The educational intervention increased the knowledge about eco
friendly dentistry confirming the importance of continuing education. There was a
statistically significant gain in knowledge among the participants after the
presentation. The gain was highest for department of Preventive Dental Sciences
(PDS) followed by Substitute Dental Sciences (SDS), No specialty, Maxillofacial
Dental Sciences (MDS), and Restorative Dental Sciences (RDS) respectively.
(F=5.5091, p<0.05). CONCLUSION: Lack of knowledge of green dentistry amongst the
dental fraternity is highly prevailing. The same can be substantiated with
effective training in the respective fields if channelized through the curriculum
in an educational set-up.
PMID- 27891465
TI - Practice Perspectives of Left-Handed Clinical Dental Students in India.
AB - INTRODUCTION: Handedness becomes important for students during their training
period. Limited literature is available regarding the same. AIM: The purpose of
this study was to assess the dental practice perspectives and determine the hand
preference and discomfort level among the Left-Handed (LH) clinical dental
students. MATERIALS AND METHODS: A 30-item survey tool was used to conduct a
cross-sectional survey among four successive LH cohorts (third and final year
undergraduates, dental interns and postgraduates) in all the dental colleges of
Bengaluru, Karnataka, India, during the year 2014. RESULTS: A total of 84
students completed the survey, response rate being 100%. About one-third (37%)
reported that their institution was not properly equipped to accommodate LH
students. Majority felt that LH dentists were at a higher risk of developing
musculoskeletal complications. Mouth mirror handling showed equal distribution
for handedness as compared to the other dental activities, whereas discomfort
levels were negligible ("without any difficulty"). Dental practice perspective
scores significantly correlated with the difficulty levels (r=-0.333, p<0.001).
CONCLUSION: Overall, the left-handers had a right dental practice perspective and
their responses indicate a need to address their issues empathetically.
PMID- 27891466
TI - An In-vitro Evaluation of Retention, Colonization and Penetration of Commonly
Used Denture Lining Materials By Candida albicans.
AB - INTRODUCTION: Colonization of the surface by Candida albicans and related Candida
species is one of the major concerns of denture lining materials. AIM: We
evaluated retention, colonization and penetration of the four denture lining
materials namely Molloplast B, Permaflex, GC Soft Liner and Ufi Gel Hard C by
Candida albicans. MATERIAL AND METHODS: a) Evaluation of retention: Five test
discs of each material with smooth surface on one side and rough on the other
were prepared and surface roughness (Ra) was measured with profilometer.
Retention of C. albicans to discs was monitored after one hour of incubation (37
degrees C) with standardized (2.8 x 106 cfu/ml) washed cell suspension. Discs
were stained with acridine orange and attached cells were counted using inverted
microscope; b) Evaluation of colonization and penetration: Eight test discs of
each material in sterile artificial saliva, were inoculated with C. albicans and
incubated for six weeks. Two sections were cut across each test disc to provide
three replicate samples. Candida cells on cut disc sections were fixed,
dehydrated, air dried and viewed via fluorescence microscope; c) Evaluation of
antifungal action: Two test discs of each material were placed onto diagnostic
sensitivity testing the agar plate. After incubation at 37 degrees C for 24
hours, the zone of inhibition formed around the samples were measured at four
places, and the mean calculated. RESULTS: a) All rough surfaces showed higher
retention of C. albicans than smooth surfaces. Among the smooth surfaces,
Molloplast B and GC Soft Liner showed highest and lowest retention of C. albicans
respectively (p=0.0090). Among the rough surfaces, the variation in the retention
of C. albicans was not statistically significant; b) Penetration of C. albicans
was observed through all three sections of the test discs of each material. There
was no statistically significant difference among the test materials; c)
Molloplast B and Permaflex produced a mean zone of inhibition of 16.9+/-4.8mm and
14.80+/-3.8mm respectively. CONCLUSION: a) Smoother surfaces retain fewer cells
than rough surfaces; b) Denture lining materials permit infiltration of Candida
through their structure; c) Denture lining materials have insignificant anti
fungal properties.
PMID- 27891468
TI - Influence of Teaching Strategies and its Order of Exposure on Pre-Clinical Teeth
Arrangement - A Pilot Study.
AB - INTRODUCTION: Teeth arrangement is a vital skill for the undergraduate dental
student. The attainment of skills depends largely on the methodology of teaching.
In a dental curriculum, the students are exposed to a wide variety of inputs and
teaching methodologies from different sources. The educational unit in dental
school must identify the sequence of teaching methods that enhance the learning
and practising ability of students. AIM: The aim of this study was to evaluate
the effectiveness of three different teaching methodologies for teeth arrangement
and compare the differences between the orders of exposure to each teaching
methodology on the development of teeth arrangement skills. MATERIALS AND
METHODS: The first year B.D.S students were study participants and were divided
into three groups A, B, C. They were exposed to three teaching patterns namely
live demonstration with video assisted teaching, group discussion with hand-outs
and lectures with power point presentation. After each teaching methodology,
their skill was assessed. The groups were exposed to three methodologies in
different order for three arrangements. The scores obtained were analysed using
Kruskal Wallis rank sum test and Dunn test for statistical significance. RESULTS:
Significantly higher scores in the teeth arrangement procedure were obtained by
the Group A students who were exposed initially to live demonstration with video
assisted teaching. Difference in the scores was noted among and within the
groups. The difference between Group A and Group C was statistically significant
after both first and third teeth arrangement (p=0.0031, p=0.0057). CONCLUSION:
The study suggests each pre-clinical practice should begin with a live
demonstration to enhance immediate learning absorption followed by lectures with
power point presentation and group discussion for retention of knowledge and
memory retrieval.
PMID- 27891467
TI - An In-vitro Comparative Study of Shear Bond Strength of Composite Resin to
Bleached Enamel using three Herbal Antioxidants.
AB - INTRODUCTION: If adhesive restorations are carried out imme-diately, the Shear
Bond Strength (SBS) to bleached enamel is reduced. The use of antioxidants can be
highlighted since it seems to be a more effective alternative to reduce or remove
the residual oxygen and immediate improvement in SBS. AIM: The purpose of this in
vitro study was an attempt to regain the lost bond strength, for which, the
comparison of SBS of composite resin to bleached enamel was carried out using
various antioxidants i.e., 5% grape seed extract, 5% pine bark extract, 5%
pomegranate peel extract. MATERIALS AND METHODS: Labial enamel surfaces of 50
extracted human maxillary central incisors were randomly divided into 5 groups
based on the antioxidant used as follows: Group I (n=10): Bleaching with 40%
hydrogen peroxide gel for 10 minutes, without the use of an antioxidant; Group II
(n=10): Bleaching followed by the use of 5% grape seed extract solution; Group
III (n=10): Bleaching followed by the use of 5% pine bark extract solution Group
IV (n=10): bleaching followed by the use of 5% pomegranate extract solution; and
Group V (n=10): Control group in which no Bleaching was done. SBS of the
specimens was tested using universal testing machine. The data were tabulated and
statistically analyzed using computer software Statistical Package for Social
Sciences (SPSS) version 16.0. One-way analysis of variance (ANOVA) followed by
Mann Tukey Post hoc test. RESULTS: Mean SBS values were compared in all the
groups and there was a statistically significant difference present among the
groups (p<0.05). The SBS values were observed to be significantly higher in
unbleached teeth (control group) followed by 5% pine bark extract, 5% grape seed
extract, 5% pomegranate extract and the group in which teeth bleached with no
antioxidant used. CONCLUSION: The use of antioxidant immediately after bleaching
the enamel surface, completely neutralizes the deleterious effects of bleaching
and increases the SBS significantly. Among the antioxidants, 5% pine bark extract
application after bleaching showed better bond strength.
PMID- 27891469
TI - Comparison of Anchorage Pattern under Two Types of Orthodontic Mini- Implant
Loading During Retraction in Type A Anchorage Cases.
AB - INTRODUCTION: The orthodontic mini-screws are the mainstay of direct skeletal
anchorage which requires minimal compliance and provides maximal anchorage
control. However, the timing of initiation of orthodontic loading of these mini
screws is not clearly established in the available studies. AIM: The purpose of
this study was to determine the reciprocal effects on mini-screw implant with
immediate loading in comparison to that of delayed loading during retraction.
MATERIALS AND METHODS: The prospective clinical study included a sample of 25
orthodontic patients in the age range of 18-25 years. All the cases were of bi
maxillary proclination with Type-A anchorage demands. All the first premolars
were indicated for extraction. A split mouth technique for each patient was
utilized by loading mini-implant immediately after its placement on one side and
the opposite side implant was loaded after a time lag of two weeks post
insertion. Retraction force of 150g was applied for three months on each side.
The displacement of the head and tail of the implant, molar anterior tooth
retraction was measured on Orthopantomograph (OPG) taken at T1 (initial) and T2
(after three months). A grid method with each 1mm magnified to 500 pixels was
superimposed on OPG and the relative displacements were evaluated. Student's
unpaired 't' test was used for comparison between left and right side and paired
't' test for the parameters on the same side. The p-value equal to or less than
0.05 was taken as statistically significant. RESULTS: The mean displacement of
head of the implant on the immediate loading is 0.57mm where as the tail
exhibited 0.75 mm. The head and tail of the implant on the delayed loading
displaced by 0.35mm and 0.38mm respectively, on an average when data was
analysed. Significant difference between the two types of loading was noted.
CONCLUSION: Delayed loading is beneficial as compared to immediate loading during
extraction space closure.
PMID- 27891470
TI - Determinants of Smokeless Tobacco Consumption and its Cessation among its Current
Users in India.
AB - INTRODUCTION: Global Smokeless Tobacco (SLT) consumption is on the rise and
constitutes a major Public Health problem. Controlling SLT intake is central to
containing the increasing tobacco menace. AIM: The present study was undertaken
to comprehensively explore various factors involved in SLT consumption and its
cessation among current SLT users. MATERIALS AND METHODS: Present study was
conducted among current SLT users visiting Department of Oral Medicine and
Radiology, Manipal College of Dental Sciences (MCODS), Manipal University,
Mangalore, Karnataka, India. A structured, pretested and self-administered
questionnaire was employed for the present study. Knowledge, attitude, behaviour,
worksite practices of respondents towards SLT consumption and its cessation,
barriers to SLT cessation were explored. Information about socio-demographic
variables was also collected. RESULTS: Overall, 170 current SLT users
participated in the present study. Results revealed that the mean knowledge,
attitude, worksite related practices and barrier scores were 9.96(55.33%),
48.89(81.48%), 2.70(33.75%) and 54.25(60.27%) respectively. Correlation analysis
revealed significant association of socio-demographic factors with knowledge,
attitude and worksite related practices (p<0.05). SLT cessation related practices
revealed that majority wished to quit (90%) and significant associations were
noted amongst wish to quit with higher attitude scores; actual quit attempts with
higher attitude, lower barrier scores and difficulty to quit with higher barrier
scores (p<0.05). CONCLUSION: The present study draws attention towards
involvement of complex interactions of various parameters including socio
demographic factors in SLT consumption and its cessation. Hence, it is imperative
to implement multifactorial SLT control approach in order to contain tobacco
menace spread across the globe.
PMID- 27891471
TI - Comparison of Gingival Health and Salivary Parameters among Autistic and Non
Autistic School Children in Riyadh.
AB - INTRODUCTION: Autism is a neuro-developmental disorder which is manifested as
impairment of social interaction, communication and a repetitive behaviour.
Autism can obscure dental treatment for the affected patients; furthermore,
children with autism commonly have destructive oral habits. AIM: The aims of this
study were to evaluate the Modified Gingival Index (MGI), Plaque Index (PI),
salivary pH and buffering capacity of the saliva among autistic children compared
to normal children in Riyadh City that may provide baseline data to enable
comparison and future planning of dental services for autistic children.
MATERIALS AND METHODS: A total of 50 children diagnosed with autism (mean age 8.5
years) were selected from Azzam Autism School, Riyadh City. The control group
consisted of 50 non-autistic school children (mean age 8.7 years), gender
matched, selected from Outpatient Clinic, Riyadh Colleges of Dentistry and
Pharmacy. MGI, PI, salivary pH and salivary buffer capacity tests were done for
all participants. The buffering capacity of the stimulated saliva was grouped
under 'very low', 'low' and 'normal'. Pearson's Chi square and one way ANOVA were
used to find statistical significance if any among the autistic and the normal
control group. RESULTS: The results of the study showed that the mean +/-
standard deviation of MGI, PI and pH of unstimulated resting saliva for autistic
group were 1.82 +/- 0.65, 1.92 +/- 0.35 and 6.8 +/- 0.5 respectively. Normal
control group had values 1.35 +/- 0.85, 1.44 +/- 0.43 and 7 +/- 0.4 respectively.
A statistically significant difference between both groups for all parameters was
found. Salivary buffering capacity was found to be normal for the majority among
both groups. However, 60% children among the autistic group presented with normal
buffering capacity of the stimulated saliva as compared to 70% among the normal
control group. However, this difference was not statistically significant (p =
0.544). CONCLUSION: Children with autism appear to have higher gingival
inflammation, poor oral hygiene and a slightly lower salivary pH as compared to
healthy control group. Special oral health programmes regarding treatment and
maintenance of good oral health should be taken in consideration for autistic
children.
PMID- 27891472
TI - Oral Health Related Quality of Life among Tamil Speaking Adults Attending a
Dental Institution in Chennai, Southern India.
AB - INTRODUCTION: Oral Health-Related Quality of Life (OHRQoL) indicates an
individual's perception of how their well-being and quality of life is influenced
by oral health. It facilitates treatment planning, assessing patient centred
treatment outcomes and satisfaction. AIM: The study aimed to identify the factors
influencing OHRQoL among Tamil speaking South Indian adult population. MATERIALS
AND METHODS: Non-probability sampling was done and 199 subjects aged 20-70 years
were recruited for this observational study. The subjects were requested to fill
a survey form along with the validated Tamil General Oral Health Assessment Index
(GOHAI-Tml) questionnaire in the waiting area following which clinical
examination was done by a single experienced Periodontist. RESULTS: The mean
score with standard deviation for physical dimension was 4.34+/-0.96,
psychological dimension was 4.03+/-1.13 and pain was 4.05+/-1.09 on GOHAI.
Greater impacts were seen for psychosocial dimensions like pleased with the
appearance of teeth/denture Q7 (3.7+/-1.2), worried about the problems with
teeth/denture Q9 (3.7+/-1) and pain or discomfort in teeth Q12 (3.8+/-1).
Functions like swallowing Q3 (4.5+/-0.8) and speaking Q4 (4.6+/-0.7) were
minimally affected. As age increased subjects perceived more negative impacts as
indicated by lower ADD-GOHAI and higher SC-GOHAI scores (p<0.01). Subjects
complaining of bad breath, bleeding gums and Temporomandibular Joint (TMJ)
problems, reported poor OHRQoL (p<0.05). It was observed that as self-perceived
oral and general health status deteriorated, OHRQoL also worsened (p<0.01).
Subjects with missing teeth, cervical abrasion, restorations, gingival recession
and mobility had more impacts on OHRQoL (p<0.05). Subjects diagnosed with
periodontitis had lower OHRQoL as reported on the scale than gingivitis subjects
(p<0.01). CONCLUSION: In this study minimal impact was seen in all the three
dimensions assessed with GOHAI. Factors like age, education, employment status,
income, self-reported oral health, self-perceived general health, satisfaction
with oral health, perceived need for treatment and denture wearing status
influenced perceived OHRQoL. Bad breath, bleeding gums, TMJ problems, more number
of missing teeth, decayed teeth, cervical abrasion, gingival recession and
mobility were associated with poor OHRQoL.
PMID- 27891473
TI - A Comparison of Conventional and Microwave Decalcification and Processing of
Tooth and Mandibular Bone Specimens.
AB - INTRODUCTION: Any laboratory procedure exposes the technician and the
pathologists to the hazardous effects of chemicals. Conventional procedures like
decalcification and histo-processing employed in laboratories are labour intense
and time consuming thereby delaying the report dispatch. The present study was an
attempt to employ a kitchen microwave to hasten the process and facilitate faster
and accurate reporting; thus, benefitting the technician, pathologist and the
patient. AIM: To compare conventional and microwave based decalci-fication,
processing and staining of tooth and mandibular bone specimens using 5% nitric
acid as decalcifying agent. MATERIALS AND METHODS: The sample included formalin
fixed 180 tooth specimens (60 incisors, 60 premolars, 60 molars) and 60
mandibular bone specimens (approx 0.5cm each). The hard tissue specimens were
subjected to varying combination of conventional and microwave decalcification,
processing and staining. The entire procedure was blinded and evaluated by two
examiners. RESULTS: Conventional Decalcification (CD), processing and staining
produced the utmost quality, though consuming a relatively longer duration.
Microwave reduced the total decalcification time by half and retained the
diagnostic quality of the specimens. On the contrary the microwave based
processing and staining caused significant damage to the tissues rendering
sections un-diagnostic. CONCLUSION: A combination of Microwave Decalcification
(MD) followed by Conventional Processing (CP) and staining would be ideal to
hasten the overall laboratory time with minimal compromise on tissue quality.
PMID- 27891474
TI - An Evaluation of Use of Botulinum Toxin Type A in the Management of Dynamic
Forehead Wrinkles - A Clinical Study.
AB - INTRODUCTION: The pursuit of youth and beauty has undergone a resurgence of
interest which is evidenced by increasing cosmetic procedures. Botulinum Toxin
Type A (Botox) is one among the many procedures invented for facial rejuvenation
which denervates certain muscles of facial expression responsible for facial
wrinkles. It has been applied in the forehead, glabella, lateral canthal area and
neck. In maxillofacial area hyperactive forehead wrinkles show sagging. AIM: This
study was aimed to clinically evaluate the efficacy of Botox injection in the
elimination of hyperdynamic forehead wrinkles and the objectives were to compare
pre-operative and post-operative improvement in the number of wrinkles,
photographic grading and patient satisfaction responses after 1st week, 4th week
and 16th week. MATERIALS AND METHODS: A total of 10 patients were randomly
included in the present study who were cooperative, motivated and aesthetically
conscious with moderate to severe forehead wrinkles. Assessment was performed
clinically, photographically (using standardized photographs) and patient
satisfaction responses were recorded at 1st week, 4th week and 16th week.
RESULTS: The study showed a significant difference in the elimination of wrinkles
at rest and in action when assessed at 1st week and 4th week and it was
consistent at 16th week. The patient showed positive satisfaction response
without ptosis of the upper eyelid. CONCLUSION: Treatment with Botox is simple,
safe and an effective modality for reduction of forehead wrinkles. It offers an
alternative management in a cost-effective way when compared to surgical
procedures.
PMID- 27891475
TI - Self-Reported Obstacles to Regular Dental Care among Information Technology
Professionals.
AB - INTRODUCTION: Good oral health is important for an individual as well as social
well-being. Occupational stress and work exhaustion in Information Technology
(IT) professionals may influence the oral health and oral health related quality
of life. AIM: To assess and compare self-reported obstacles for regular dental
care and dental visits among IT professionals based on age, gender, dental
insurance and working days per week. MATERIALS AND METHODS: A cross-sectional
study was conducted among 1,017 IT professionals to assess the self-reported
obstacles to regular oral health care in Hyderabad city, Telangana, India. The
Dental Rejection of Innovation Scale (DRI-S) was employed in this study.
Comparison between means of DRI-S based on variables was done using t-test and
ANOVA. The association between variables and DRI-S was determined using Chi
square test. RESULTS: A total of 1017 participants comprising of 574 (56%) males
and 443 (44%) females participated in the study. As age increased, a significant
increase in mean DRI-S scores was seen for total and individual domains except
for the "Situational" domain wherein higher mean score (9.42+/-2.5; p=0.0006) was
observed among 30-39 years age group. Even though females reported higher mean
scores for total and individual domains when compared to males, nevertheless
significant difference was seen only for total (p=0.03) and "Lack of Knowledge"
(p=0.001) domain. CONCLUSION: Self-reported obstacles to regular dental care was
more with increasing age, increased number of working days per week, irregular
dental visits and absence of dental insurance facility.
PMID- 27891476
TI - Anti bacterial Effectiveness of Electro- Chemically Activated (ECA) Water as a
Root Canal Irrigant- An In-vitro Comparative Study.
AB - INTRODUCTION: Sodium hypochlorite, is the irrigant of choice for many clinicians,
but its strong toxic and damaging effects on vital periapical tissues is always a
matter of concern. So, the search for a root canal irrigant with a broad
antimicrobial spectrum yet with a limited toxicity on vital tissues is always
desirable. AIM: The study evaluated antimicrobial efficacy of Electro-Chemically
Activated (ECA) water as a root canal irrigant against E. faecalis. MATERIALS AND
METHODS: Forty eight single rooted human teeth were decoronated to a length of
15mm. All teeth were divided into four test groups (group A - ECA anolyte, group
B - 1% sodium hypochlorite, group C - 3% sodium hypochlorite, group D - distilled
water) of 12 each. Only 1ml of Ringer's solution and calibrated suspensio of E.
faecalis was injected into each canal, aspirated and placed on agar plates and
incubated aerobically at 37 degrees C for two days. The suspension was aspirated
and spread onto the blood agar plate and incubated. All samples were irrigated
with four test solutions and Brain Heart Infusion (BHI) solution was injected
into each canal then aspirated and spread onto blood agar and incubated. After
inoculations Colony Forming Unit (CFU) and optical density was measured under a
microscope and spectrophotometer. The data obtained were statistically analysed
by one way ANOVA and Dunkan's multiple range test. RESULTS: CFU reduction was not
statistically significant between the test groups. The optical density showed
statistically significant difference between the test groups (p<=0.001).
CONCLUSION: The antimicrobial efficacy of ECA was found to be comparable to
sodium hypochlorite solutions.
PMID- 27891478
TI - Customized Modified Haas Palatal Expansion in Mixed Dentition: A Case Report.
AB - The dental arch perimeter and transpalatal width are the most significant arch
dimensions in the developing individuals. Its management during the primary,
mixed and early permanent dentition are greatly important for the normal
development of the dental arches and significantly improve the occlusion in
adulthood. Malocclusion is a constant source of threat to the facial appearance.
Severe anterior crowding and narrow maxillary arches adversely affect the smile,
facial profile and consequently patient becomes handicapped socially as well as
psychologically. Maxillary expansion has been used in resolving the problems of
crowding, constricted arches and allow for forward growth of the mandible in
growing children. This case report describes the use of a customized modified
Haas appliance in intercepting the maxillary anterior crowding and gain the
transpalatal width and arch perimeter of the maxilla, enhance the smile and
facial profile and to allow the forward mandibular growth without any anterior
occlusal interferences.
PMID- 27891477
TI - Assessment of Periodontal Health Status in Smokers and Smokeless Tobacco Users: A
Cross-Sectional Study.
AB - INTRODUCTION: Oral smokeless tobacco consumption has been considered as a major
risk factor for oral cancer, its role as a risk factor for periodontal disease is
less well documented when compared to that of relationship between smoking and
periodontal disease. AIM: The aim of the present cross-sectional study was to
evaluate the effect of various forms of tobacco consumption i.e., smoking and
smokeless tobacco forms on periodontal status. MATERIALS AND METHODS: The study
population included 120 subjects with the habit of tobacco consumption, based on
form of tobacco use they were divided into Group 1 (smoking), Group 2 (Smokeless
tobacco), and Group 3 (smokers and smokeless tobacco users). The periodontal
status for each group was evaluated by measuring Oral Hygiene Index- Simplified
(OHI-S) and Community Periodontal Index (CPI) for Probing Depth (CPI-PD) and
Attachment Loss (CPI-AL). RESULTS: OHI-S mean scores in Group 1 (3.53+/-1.03),
Group 2 (3.06+/-0.92) and Group 3 (3.45+/-0.96) were similar, which were not
statistically significant (p>0.076). The mean values of CPI-PD were 3.75+/-0.44
in Group 1, 3.65+/-0.48 in Group 2, 3.80+/-0.41 in Group 3 with no significant
difference between the three Groups (p> 0.309). When the mean values of CPI-AL
(0.95+/-0.75 in Group 1, 1.40+/-0.74 in group 2, and 1.55+/-0.60 in Group 3) were
compared in between the Groups, a statistically significant difference was
observed in Group 3 (p<0.001). CONCLUSION: The results showed that tobacco
consumption in both forms caused poor periodontal status, with smokeless tobacco
users having more amount of attachment loss than smokers.
PMID- 27891479
TI - Bilateral Three Rooted Mandibular Premolars and Four Rooted Mandibular First and
Second Molar: A Rare Anatomical Variant.
AB - The mandibular premolars and molars exhibit wide variations in the form of roots
and root canals. A bilateral symmetry of three rooted mandibular first and second
premolar and four rooted mandibular first and second molar in a same patient is a
rare entity and one such case is presented in this case report.
PMID- 27891480
TI - Traumatic Ulcerative Granuloma with Stromal Eosinophila: A Case Report and Review
of Pathogenesis.
AB - Traumatic Ulcerative Granuloma with Stromal Eosinophilia (TUGSE) is an uncommon
condition considered to be a, reactive benign lesion of the oral mucosa, usually
affecting the tongue. Its aetiopathogenesis is still uncertain. However, trauma
has been found to be a contributing factor in a majority of the cases.
Clinically, it often presents as an isolated ulcer or an indurated submucosal
mass. Microscopically, it is characterized by a diffuse polymorphic cell
infiltrate composed predominantly of eosinophils, B and T lymphocytes,
macrophages, and large atypical cells involving the superficial mucosa and
extending deep into the submucosa causing degeneration of the underlying muscle.
TUGSE is rare and may be easily mistaken for a cancer or microbial infection, but
it is self-limiting and tends to resolve spontaneously. Thus, awareness of this
entity is important to emphasize the correct diagnosis of indurated ulcerated
lesions and deliver appropriate and effective treatment. The present case
highlights the clinical aspects, aetiopathogenesis and histopathology of this
uncommon lesion.
PMID- 27891481
TI - A Large Pregnancy Tumor of Tongue - A Case Report.
AB - Pyogenic granuloma is a tissue overgrowth which commonly develops as a response
to irritation or trauma which is usually localized. Though gingiva is said to be
the common site, it also can occur on lip, tongue and buccal mucosa. Pathogenesis
is unclear but trauma, infection and hormonal imbalance are attributed as reasons
for occurrence. Histologically this reactive lesion is filled with immature
fibroblastic connective tissue, profilerative blood vessels and inflammatory
cells. This article presents a case of a large pyogenic granuloma of the tongue
which is a rare site for this tumor in a 27 year old woman.
PMID- 27891482
TI - Treatment Emergent Agranulocytosis with Skin and Gingival Lesions in a Chronic
Lymphocytic Leukemia Patient: A Case Report.
AB - Chronic Lymphocytic Leukaemia (CLL) is a monoclonal lymphoid malignancy
characterized by progressive accumulation of small, mature but functionally
incompetent neoplastic lymphocytes in the peripheral blood, bone marrow and
lymphoid organs. Patients present a variable course and may not require early
intervention unlike other malignancies. Patients with rapidly deteriorating blood
counts, and organomegaly need treatment. Alkylating agent live Bendamustine
combined with Rituximab, anti-CD 20 monoclonal antibody have shown promising
results in such patients. Anaemia, neutropenia and thrombocytopenia have been
reported as treatment emergent events with this combination therapy. Neutrophils
are the major innate defense and their depletion can result in a wide range of
opportunistic infections. This case report discusess the oral and dermal lesions
which emerged with the Rituximab and Bendamustine combination therapy in a
patient with CLL and their management.
PMID- 27891483
TI - Cystadenoma in Retromolar Region: A Case Report.
AB - Cystadenoma is a rare benign salivary gland epithelial tumor that is
characterized by intraluminal papillary projection. Majority of the tumors are
located in minor salivary glands and usually occur in palatal and buccal mucosa,
but rarely found in retromolar area. Clinically it is difficult to differentiate
it from other minor salivary gland tumors, and diagnosis is chiefly based on
characteristic clinical features and immunohistochemistry. We present a case of
Cystadenoma arising from the minor salivary gland in retromolar area of a 44 year
old female patient with a special emphasis on the immunohistochemistry to rule
out the metastatic follicular carcinoma of thyroid.
PMID- 27891484
TI - "Histiocytosis X" - A Rare Case Report.
AB - Histiocytosis X is an idiopathic disease, characterized by a disorder of the
reticulo-endothelial system in the human body. Histopathological studies carried
out right from the 1800s have seen a significant similarity in the pathologic
process of different stages in particular clinical syndromes showing
proliferation of mature histiocytes. It was then modified by Lichenstein in 1953
as "Histiocytosis X". The exact aetiology is unknown; hence, the name
"Histiocytosis X". The disease classically presents with three syndromes namely
Eosinophilic granuloma, Letterer-Siwe disease and Hand-Schuller-Christian
disease. These syndromes present with a spectrum of clinical manifestations with
histiocytic proliferation in the granulomatous lesion. The disease is neither
familial nor hereditary, nor does it have any microbiological pathologic origin.
This disease can be conservatively managed by antibiotics and steroids or
surgical curettage with radiotherapy. We report a five-year-old male child who
was incidentally diagnosed to have Histiocytosis X. This patient was managed with
a moderate surgical procedure with total avoidance of radiotherapy. An adequate
follow-up of this patient shows total regression of the lesion and good bone
healing.
PMID- 27891485
TI - Ameloblastic Carcinoma: A Report of Three Cases.
AB - Malignant odontogenic tumours are rare and represent approximately 1% of all oral
malignancies. Ameloblastic carcinoma is a rare odontogenic tumour, which is
aggressive in nature with extensive local bone destruction that has retained the
features of ameloblastic differentiation and also exhibits cytological features
of malignancy. It occurs primarily in the mandible in a wide range of age groups.
It may arise de-novo or in pre-existing ameloblastoma or odontogenic cyst. The
purpose of this report is to present three cases of ameloblastic carcinoma with
varying presentations as central and peripheral entities.
PMID- 27891486
TI - Eosinophilic Granuloma Presenting as Palatal Swelling.
AB - Swellings involving palate can be challenging to diagnose for the clinician as
they have wide range of origin which can result from developmental, inflammatory,
reactive or a neoplastic process. The clinical presentation of these swellings is
similar and difficult to differentiate from each other. So it is important to
take proper history from the patient and subject the patient to appropriate
laboratory and radiographic investigations and finally biopsy is mandatory for
accurate diagnosis. Thus, this article highlights on various common palatal
swellings, their clinical presentation, and differential diagnosis helpful for
proper diagnosis of swellings associated with palate.
PMID- 27891487
TI - A Rare Case of Pyogenic Granuloma with a Natal Tooth.
AB - Natal teeth are teeth present in the oral cavity at the time of birth. It is
extremely rare to find natal teeth in association with pathological conditions of
the oral cavity. Pyogenic granuloma is a type of inflammatory hyperplasia that
appears as an over exuberant connective tissue response to a stimulus or injury,
in the present case the injurious agent is the natal tooth. The parents of the
eight day old male infant brought the child with a natal tooth associated with a
soft tissue lesion growing from the gum pad. A provisional diagnosis of pyogenic
granuloma was made on behalf of the clinical findings which were confirmed by
histopathology. The natal tooth was extracted and the lesion was surgically
excised. Complete healing of the gumpad took place after excision of the lesion
and extraction of the natal tooth and the child was able to feed normally within
a week. The purpose of this case report is that Pediatric Dentist should be aware
of this rare unusual clinical presentation and plan for an appropriate treatment
modality in order to avoid any future complications.
PMID- 27891488
TI - Management of Recurrent Odontogenic Myxoma of Mandible: A Clinical Case Report.
AB - Odontogenic Myxoma (OM) is a slow growing painless locally aggressive tumor seen
in gnathic bones and is generally asymptomatic. OM is characterized by spindle,
wedge or stellate shaped cells loosely arranged in an abundant mucoid stroma. It
is found incidentally on radiographs and may vary from a unilocular radiolucency
to a multilocular lesion with well-defined or diffuse margins. Treatment includes
surgical management that may range from simple enucleation and curettage to
surgical excision including peripheral osteotomy, segmental resection,
hemimandibulectomy and maxillectomy. Here we are presenting a case report on
odontogenic myxoma with recurrence after conservative treatment.
PMID- 27891490
TI - Is Optimal Management of Recurrent Aphthous Stomatitis Possible? A Reality Check.
AB - Recurrent Aphthous Stomatitis (RAS) is a condition in which aphthous ulcers
repeatedly occur in the oral cavity. It is prevalent in developed countries,
occurring in all ages, geographic regions and races and about 80% of people have
one episode of oral aphthous ulcers before the age of 30 years. With no
laboratory procedures to confirm the diagnosis, treatment is mainly empirical in
nature and focuses on short-term symptomatic management. Although numerous
treatment modalities have been recommended, only a few are evidence based and can
be considered for the optimal management of RAS. Biologic agents are a new
category of drugs which acts by blocking specific pathways associated with the
pathophysiology of neoplastic or immune-mediated diseases. These agents have
targeted immunosuppressive or anti-inflammatory actions. In patients of RAS who
were not responding to standard therapy, etanercept, adalimumab, infliximab and
Interferon-Alpha (INF-alpha) were found to be useful. The objective of this
review was to propose and review a treatment protocol to be followed for the
optimal management of RAS. We reviewed several evidence-based studies and through
this review we recommend topical interventions as the first-line of therapy since
they are associated with low risk of systemic side effects. Due to limitations in
the number of evidence-based trials and the insufficient data to support or
refute the efficacy of the therapies prescribed, larger evidence-based clinical
studies and literature reviews are needed to further improvise the optimal
methodology for the effective management of RAS.
PMID- 27891491
TI - MRI in Dentistry- A Future Towards Radiation Free Imaging - Systematic Review.
AB - INTRODUCTION: Magnetic Resonance Imaging (MRI), being a technique with huge
potential, has become the primary diagnostic investigation for many clinical
problems. Its application now has been successfully used in dentistry to maximize
the diagnostic certainty. AIM: The present review aims to analyze the
applicability, feasibility and efficacy of MRI in the field of dentistry.
MATERIALS AND METHODS: A literature search was performed in main databases like
Pub Med Central, Cochrane Library, Embase and Google Scholar from 1970 up to
December 2015. The 2672 titles that appeared, 25 fulfilled the criteria and were
included in the review. Two articles were hand searched and three articles
through e-mail were also included. RESULTS: The review highlights the increasing
role of MRI in dentistry. In the available literature, it was found that T1 and
T2 weighted images were the acceptable diagnostic images for detection of dental
related diseases. CONCLUSION: MRI can be used in diagnosis and treatment planning
of implants, jaw lesions, diseases of Temporomandibular Joints (TMJ), orthodontic
treatment, endodontic treatment etc., to achieve better prognosis.
PMID- 27891489
TI - Techniques to Evaluate Dental Erosion: A Systematic Review of Literature.
AB - This article reviews different techniques for evaluating dental erosion, weighs
the advantages and disadvantages of these techniques, and presents the latest
trends in the study of dental erosion. In May 2014, an initial search was carried
out in the PubMed/MEDLINE database of indexed journals from 1975 to 2013 using
the following keywords: dental erosion; dental erosion In-vitro; and dental
erosion in-vivo. Bibliographic citations from the papers found were then used to
find other useful sources. The authors categorize the techniques into three
classes: in-vitro, in-vivo and in-vitro/in-vivo. The article discusses the
instrumentation required to use each of these techniques, as well as their
rationale, merits and applications. The emergence of in-vitro/in-vivo techniques
offers the potential to accurately quantify tooth wear in clinical situations.
Cross-sectional as well as longitudinal studies show that these techniques will
improve diagnosis, treatment planning and management of dental erosion.
PMID- 27891493
TI - Mohr-Claussen Syndrome: A Rare Case.
PMID- 27891492
TI - Effect of Magnetic Field on Bone Healing around Endosseous Implants - An In-vivo
Study.
AB - INTRODUCTION: After implant placement, a stress-free healing period of 3-6 months
is a pre-requisite to achieve good osseointegration. If this duration could be
reduced, the patients would feel happier. Eventhough, immediate loading of
implants is a clinically feasible concept; it is not possible in certain
situations. Few studies have shown that Static magnetic field is useful to
promote bone formation faster after the bone is wounded. AIM: This pilot study
was intended to evaluate the tissue response after implant placement under the
influence of magnetic field. MATERIALS AND METHODS: Twenty Tidal Spiral implants
were used for this study. Two implants were placed in each patient in the
anterior mandible corresponding to the B and D regions and the implant on the D
region was exposed to magnetic field using safer magnet (Neodymium Boron Iron)
and the implant on the B region served as a control. Both the implants were
compared for stability using Resonance Frequency Analyzer (RFA) at Days 0, 30, 60
and 90. Mean Implant Stability Quotient (ISQ) values were compared on both sides
using student's paired t-test and repeated measures ANOVA (analysis of variance).
There was a significant difference in the mean ISQ values, hence, a post-hoc test
was done to evaluate whether there is any difference between the follow-ups.
RESULTS: The average ISQ value for implants at 0 day in the B and D regions was
68.6 and 68.7 respectively. The average ISQ value at 30th day, 60th day and 90th
day was 73.25, 76.05 and 78.95 respectively on the magnetic side (D region).
Whereas on the non-magnetic side (B region) at 30th day, 60th day and 90th day
was 68.45, 72.05 and 74.45 respectively. CONCLUSION: The implant stability
quotient values obtained on the magnetic side were significantly greater than on
the non-magnetic side. Positive correlation exists between the magnetic field and
osseointegration.
PMID- 27891494
TI - Modified Distal Shoe Appliance for Multiple Loss of First and Second Primary
Molars.
PMID- 27891495
TI - Proliferative Verrucous Leukoplakia - A Perpetuating Ambiguity.
PMID- 27891496
TI - Interpositional Gap Arthroplasty by Versatile Pedicled Temporalis Myofascial Flap
in the Management of Temporomandibular Joint Ankylosis- A Case Series Study.
AB - Temporomandibular Joint (TMJ) ankylosis is a situation in which the mandibular
condyle is fused to the glenoid fossa by bone or fibrous tissue. The management
of TMJ ankylosis has a complicated chore and it is challenging for the
maxillofacial surgeon because of technical hitches and high rate of re-ankylosis.
Interpositional gap arthroplasty is one of the modalities for its management. A
range of inter-positional materials have been used to avert recurrence after gap
arthroplasty in TMJ ankylosis. The aim of this series was to evaluate the
effectiveness of the temporomyofacial flap in the treatment of TMJ ankylosis as
an interpositional gap arthroplasty. A total of 10 cases with unilateral TMJ
ankylosis were treated by interpositional gap arthroplasty by pedicled temporalis
myofacial flap and evaluated with a follow-up of 6 months to 5 years (Mean 3.3
years) for the functional stability of TMJ. All the patients were successfully
treated. There were no signs of recurrence in any patients up to last follow up
visit. The result showed that temporalis myofascial flap is a preferable choice
for inter-positional gap arthroplasty which proves its versatility as an inter
positional material.
PMID- 27891498
TI - The Participation Patterns of Youth with Down Syndrome.
AB - PURPOSE: The purpose of this paper was to investigate the participation patterns
of children with Down syndrome (DS) using the construct of participation as
defined by the International Classification of Functioning Disability and Health
(ICF). METHODS: Sixty-two children with DS were recruited between the ages of 9
and 17 years. All participants were given an interview-administered version of
the Children's Assessment of Participation and Enjoyment (CAPE) to measure
participation (1). RESULTS: Children with DS participated the most often, based
on frequency, in recreational activities (p < 0.001); social activity types
represented the greatest extension into the community based on with whom the
children participated with (p < 0.05); finally, physical and social activities
represented the greatest extension into the community geographically (p < 0.001).
In addition, children with DS are significantly more active in activities that
are informal in nature. CONCLUSION: Children with DS participate in a number of
activities; however, the extent of their participation within these activities
differs depending on the participation pattern examined. Implications for
educational and community-based programs are discussed.
PMID- 27891497
TI - Mechanisms involved in altered bone metabolism in diabetes: a narrative review.
AB - Many studies have shown that change in metabolism caused by diabetes can
influence the bone metabolism in a way that quality and strength of bone is
decreased. A 6 times and 2 times increased risk of fracture is reported in
patients with type 1 and type 2 diabetes, respectively. There are several
mechanisms by which diabetes can affect the bone. The fact that some of these
mechanisms are acting in opposite ways opens the door for debate on pathways by
which diabetes affects the bones. On the other hand, bone is not a simple organ
that only get influence from other organs, but it is an endocrine organ that by
secreting the agents such as osteocalcin, adiponectin and visfatin which can
affect the insulin sensitivity and metabolism. In this paper we tried to briefly
assess the latest finding in this matter.
PMID- 27891499
TI - A Presumptive Case of Human Rabies: A Rare Survived Case in Rural Ghana.
AB - Rabies remains endemic in Ghana and continues to pose a major public health
threat to humans and animals with a nearly 100% case fatality rate in humans. We
report of a presumptive case of human rabies whose survival represents a rare
occurrence in rural Ghana and worldwide. Lessons from this case study provide a
critically needed focus in helping improve rabies surveillance and case
management in Ghana. We report of the survival of a 36-year-old man who developed
clinical rabies after he was bitten by his dog, while restraining the dog with a
chain. Prior to this, he did not observe any abnormal or rabid behavior in the
dog. Following the bite, he did not immediately resort to hospital treatment, but
rather to traditional application of herbs to the laceration he sustained after
the bite. The reason given for not seeking immediate hospital treatment was that
the dog was not rabid and lack of funds to seek hospital care. However, after 10
days he began to show symptoms of confusion, hydrophobia, and photophobia,
consistent with rabies virus infection, and was subsequently rushed to the
hospital by relatives. At the hospital, he was administered human immune tetanus
immunoglobulin, diazepam, ceftriaxone, paracetamol, and intravenous fluids. No
rabies vaccine was administered. Six days after commencing treatment, the patient
became well, showed neither signs of confusional state, hydrophobia, nor
photophobia. He was discharged home after 13 days of commencing treatment. This
study provides insight on a presumptive case of human rabies that survived
despite non-administration of rabies vaccine after exposure. It also exposes the
weaknesses in the health and veterinary systems in rural Ghana regarding rabies
surveillance and case management.
PMID- 27891500
TI - Interspecies Communication between Pathogens and Immune Cells via Bacterial
Membrane Vesicles.
AB - The production of extracellular vesicles is a universal mechanism for
intercellular communication that is conserved across kingdoms. Prokaryotes
secrete 50-250 nm membrane vesicles (MVs) in a manner that is regulated by
environmental stress and is thought to promote survival. Since many types of host
derived stress are encountered during infection, this implies an important role
for MV secretion in bacterial pathogenesis. Accordingly, MVs produced by gram
positive and gram-negative pathogens contain toxins, virulence factors, and other
molecules that promote survival in the host. However, recent studies have also
shown that bacterial MVs are enriched for molecules that stimulate innate and
adaptive immune responses. As an example, MVs may serve multiple, important roles
in regulating the host response to Mycobacterium tuberculosis (Mtb), an
intracellular pathogen that infects lung macrophages and resides within modified
phagosomes. Previously, we demonstrated that Mtb secretes MVs during infection
that may modulate infected and uninfected immune cells. Our present data
demonstrates that Mtb MVs inhibit the functions of macrophages and T cells, but
promote Major Histocompatibility Complex (MHC) class II antigen presentation by
dendritic cells. We conclude that bacterial MVs serve dual and opposing roles in
the activation of and defense against host immune responses to Mtb and other
bacterial pathogens. We also propose that MV secretion is a central mechanism for
interspecies communication between bacteria and host cells during infection.
PMID- 27891501
TI - Septins and Bacterial Infection.
AB - Septins, a unique cytoskeletal component associated with cellular membranes, are
increasingly recognized as having important roles in host defense against
bacterial infection. A role for septins during invasion of Listeria monocytogenes
into host cells was first proposed in 2002. Since then, work has shown that
septins assemble in response to a wide variety of invasive bacterial pathogens,
and septin assemblies can have different roles during the bacterial infection
process. Here we review the interplay between septins and bacterial pathogens,
highlighting septins as a structural determinant of host defense. We also discuss
how investigation of septin assembly in response to bacterial infection can yield
insight into basic cellular processes including phagocytosis, autophagy, and
mitochondrial dynamics.
PMID- 27891503
TI - TP53 Gene Status Affects Survival in Advanced Mycosis Fungoides.
AB - TP53 is frequently mutated in different types of neoplasms including leukemia and
lymphomas. Mutations of TP53 have also been reported in mycosis fungoides (MF),
the most common type of cutaneous lymphoma. However, little is known about the
frequency, spectrum of mutations, and their prognostic significance in MF. In
this study, we have optimized the protocol for Sanger sequencing of TP53 using
DNA extracted from archival paraffin-embedded biopsies. Of 19 samples from
patients with stage IIB MF or higher, 31% harbored mutations in TP53. Overall
survival of the patients with mutated TP53 was significantly shorter than median
survival in the age- and stage-matched patients treated in our Institution.
Distribution of mutations was heterogenous in TP53 exons; however, C > T
transitions were common suggesting the causal role of ultraviolet radiation. We
propose that TP53 mutation status would be useful for risk stratification of
patients with advanced MF.
PMID- 27891504
TI - Thoracic Aorta Aneurysm Repair in a Patient with a Solitary Kidney: Hybrid
Surgery as a Bailout Procedure.
AB - Thoracic endovascular aortic repair (TEVAR) is an emerging treatment option for
thoracic aorta aneurysms (TAA). Endovascular access is a challenge. We present a
novel TEVAR technique in a patient with single kidney and a 6.4 cm TAA.
Attempting to place a sheath through iliac arteries was unsuccessful. The
decision to proceed to hybrid TEVAR was made. The protection of the solitary
kidney was achieved through axillo-femoral bypass, followed by an end-to-side
anastomosis between the aorta and a bifurcated graft. Through the graft, a stent
was introduced in the thoracic aorta. With the use of contrast material, the
right position of the graft was confirmed.
PMID- 27891502
TI - Diacylglycerol Kinases in T Cell Tolerance and Effector Function.
AB - Diacylglycerol kinases (DGKs) are a family of enzymes that regulate the relative
levels of diacylglycerol (DAG) and phosphatidic acid (PA) in cells by
phosphorylating DAG to produce PA. Both DAG and PA are important second
messengers cascading T cell receptor (TCR) signal by recruiting multiple effector
molecules, such as RasGRP1, PKCtheta, and mTOR. Studies have revealed important
physiological functions of DGKs in the regulation of receptor signaling and the
development and activation of immune cells. In this review, we will focus on
recent progresses in our understanding of two DGK isoforms, alpha and zeta, in
CD8 T effector and memory cell differentiation, regulatory T cell development and
function, and invariant NKT cell development and effector lineage
differentiation.
PMID- 27891506
TI - Synchronization of Dairy Cows Does Not Limit the Behavioral Response to Treatment
in Mixed Treatment Experimental Designs.
AB - In many research studies, animals assigned to different treatments are housed
adjacently or together in a group. One critique of these designs has been the
potential role of behavioral facilitation and synchronization between animals on
different treatments in mixed treatment groups (heterogeneous groups). To
evaluate this, we compared the synchrony of feeding behavior between dairy cows
housed in heterogeneous groups to cows exposed to the same treatment
simultaneously (homogenous groups). Twenty-four cows were exposed to each of the
two treatments over 21 days in a replicated cross-over design. Treatments were
two different schedules of timing of feed delivery: (A) feeding at milking time
and (B) feeding halfway between milking times. For the last 7 days of each
treatment period, feeding behavior was recorded electronically. Kappa
coefficients were calculated for each animal within each group, as an estimate of
agreement that any two cows within a group (i.e., each individual and each other
cow in her group) would both be engaged in feeding activity for any hour of the
day. The level of synchrony was similar for cows within homogenous groups (kappa
= 0.31 +/- 0.030) compared with cows on the same treatment within heterogeneous
groups (kappa = 0.32 +/- 0.037). Within heterogeneous groups, cows on the same
treatment were nearly 50% more synchronized with each other than with those on
the other treatment (kappa = 0.22 +/- 0.029). These results suggest that
synchronization of feeding behavior does not restrict our ability to impose
different treatments on individual cows within a group.
PMID- 27891505
TI - Comparative Genomics of the Conjugation Region of F-like Plasmids: Five Shades of
F.
AB - The F plasmid is the foremost representative of a large group of conjugative
plasmids, prevalent in Escherichia coli, and widely distributed among the
Enterobacteriaceae. These plasmids are of clinical relevance, given their
frequent association with virulence determinants, colicins, and antibiotic
resistance genes. Originally defined by their sensitivity to certain male
specific phages, IncF plasmids share a conserved conjugative system and
regulatory circuits. In order to determine whether the genetic architecture and
regulation circuits are preserved among these plasmids, we analyzed the natural
diversity of F-like plasmids. Using the relaxase as a phylogenetic marker, we
identified 256 plasmids belonging to the IncF/ MOBF12group, present as complete
DNA sequences in the NCBI database. By comparative genomics, we identified five
major groups of F-like plasmids. Each shows a particular operon structure and
alternate regulatory systems. Results show that the IncF/MOBF12 conjugation gene
cluster conforms a diverse and ancient group, which evolved alternative
regulatory schemes in its adaptation to different environments and bacterial
hosts.
PMID- 27891507
TI - Improved Separations of Proteins and Sugar Derivatives Using the Small-Scale
Cross-Axis Coil Planet Centrifuge with Locular Multilayer Coiled Columns.
AB - 1 BACKGROUND: Countercurrent chromatography (CCC) is liquid-liquid partition
chromatography without using a solid support matrix. This technique requires
further improvement of partition efficiency and shortening theseparation time. 2
METHODS: The locular multilayer coils modified with and without mixer glass beads
were developed for the separation of proteins and 4-methylumbelliferyl (MU) sugar
derivatives using the small-scale cross-axis coil planet centrifuge. 3 RESULTS:
Proteins were well separated from each other and the separation was improved at a
low flow rate of the mobile phase. On the other hand, 4-MU sugar derivatives were
sufficiently resolved with short separation time at a highflow rate of the mobile
phase under satisfactory stationary phase retention. 4 CONCLUSION: Effective
separations were achieved using the locular multilayer coil for proteins with
aqueous-aqueous polymer phase systems and for 4-MU sugar derivatives with organic
aqueous two-phase solvent systems by inserting a glass bead into each locule.
PMID- 27891508
TI - Effect of Water Activity and Packaging Material on the Quality of Dehydrated Taro
(Colocasia esculenta (L.) Schott) Slices during Accelerated Storage.
AB - The quality of dehydrated taro slices in accelerated storage (45 degrees C and
75% RH) was determined as a function of initial water activity (aw) and package
type. Color, rehydration capacity, thiamin content, and alpha-tocopherol content
were monitored during 34 weeks of storage in polyethylene and foil laminate
packaging at initial storage aw of 0.35 to 0.71. Initial aw at or below 0.54
resulted in less browning and higher rehydration capacity, but not in
significantly higher alpha-tocopherol retention. Foil laminate pouches resulted
in a higher rehydration capacity and increased thiamin retention compared to
polyethylene bags. Type of packaging had no effect on the color of the samples.
Product stability was highest when stored in foil laminate pouches at 0.4aw.
Sensory panels were held to determine the acceptability of rehydrated taro slices
using samples representative of the taro used in the analytical tests. A hedonic
test on rehydrated taro's acceptability was conducted in Fiji, with panelists
rating the product an average of 7.2 +/- 1.5 on a discrete 9-point scale. Using a
modified Weibull analysis (with 50% probability of product failure), it was
determined that the shelf life of dehydrated taro stored at 45 degrees C was 38.3
weeks.
PMID- 27891509
TI - The Performance of Short-Term Heart Rate Variability in the Detection of
Congestive Heart Failure.
AB - Congestive heart failure (CHF) is a cardiac disease associated with the
decreasing capacity of the cardiac output. It has been shown that the CHF is the
main cause of the cardiac death around the world. Some works proposed to
discriminate CHF subjects from healthy subjects using either electrocardiogram
(ECG) or heart rate variability (HRV) from long-term recordings. In this work, we
propose an alternative framework to discriminate CHF from healthy subjects by
using HRV short-term intervals based on 256 RR continuous samples. Our framework
uses a matching pursuit algorithm based on Gabor functions. From the selected
Gabor functions, we derived a set of features that are inputted into a hybrid
framework which uses a genetic algorithm and k-nearest neighbour classifier to
select a subset of features that has the best classification performance. The
performance of the framework is analyzed using both Fantasia and CHF database
from Physionet archives which are, respectively, composed of 40 healthy
volunteers and 29 subjects. From a set of nonstandard 16 features, the proposed
framework reaches an overall accuracy of 100% with five features. Our results
suggest that the application of hybrid frameworks whose classifier algorithms are
based on genetic algorithms has outperformed well-known classifier methods.
PMID- 27891510
TI - Tropisetron Protects Against Acetaminophen-Induced Liver Injury via Suppressing
Hepatic Oxidative Stress and Modulating the Activation of JNK/ERK MAPK Pathways.
AB - Objectives. To investigate the protective effects of tropisetron on acetaminophen
(APAP-) induced liver injury in a mice model. Methods. C57BL/6 male mice were
given tropisetron (0.3 to 10 mg/kg) 30 minutes before a hepatotoxic dose of
acetaminophen (300 mg/kg) intraperitoneally. Twenty hours after APAP
intoxication, sera alanine aminotransferase (ALT) and aspartate aminotransferase
(AST) levels, hepatic myeloperoxidase (MPO), malondialdehyde (MDA), glutathione
(GSH), and superoxide dismutase (SOD) activities, and liver histopathological
changes were examined. The MAP kinases were also detected by western blotting.
Results. Our results showed that tropisetron pretreatment significantly
attenuated the acute elevations of the liver enzyme ALT level, hepatic MPO
activity, and hepatocytes necrosis in a dose-dependent manner (0.3-10 mg/kg) in
APAP-induced hepatotoxicity mice. Tropisetron (1 and 3 mg/kg) suppressed APAP
induced hepatic lipid peroxidation expression and alleviated GSH and SOD
depletion. Administration of tropisetron also attenuated the phosphorylation of c
Jun-NH2-terminal protein kinase (JNK) and extracellular signal-regulated kinase
(ERK) caused by APAP. Conclusion. Our data demonstrated that tropisetron's
hepatoprotective effect was in part correlated with the antioxidant, which were
mediated via JNK and ERK pathways on acetaminophen-induced liver injury in mice.
PMID- 27891511
TI - Diagnostic Value of CT Arthrography for Evaluation of Osteochondral Lesions at
the Ankle.
AB - Background. To retrospectively determine the diagnostic value of computed
tomography arthrography (CTA) of the ankle in the evaluation of (osteo)chondral
lesions in comparison to conventional magnetic resonance imaging (MRI) and
intraoperative findings. Methods. A total of N = 79 patients had CTAs and MRI of
the ankle; in 17/79 cases surgical reports with statements on cartilage integrity
were available. Cartilage lesions and bony defects at talus and tibia were scored
according to defect depth and size by two radiologists. Statistical analysis
included sensitivity analyses and Cohen's kappa calculations. Results. On CTA,
41/79 and 31/79 patients had full thickness cartilage defects at the talus and at
the tibia, respectively. MRI was able to detect 54% of these defects. For the
detection of full thickness cartilage lesions, interobserver agreement was
substantial (0.72 +/- 0.05) for CTA and moderate (0.55 +/- 0.07) for MRI. In
surgical reports, 88-92% and 46-62% of full thickness defects detected by CTA and
MRI were described. CTA findings changed the further clinical management in 15.4%
of cases. Conclusions. As compared to conventional MRI, CTA improves detection
and visualization of cartilage defects at the ankle and is a relevant tool for
treatment decisions in unclear cases.
PMID- 27891512
TI - A De-O-acylated Lipooligosaccharide-Based Adjuvant System Promotes Antibody and
Th1-Type Immune Responses to H1N1 Pandemic Influenza Vaccine in Mice.
AB - Vaccine adjuvants are agents that are used to promote immune responses to vaccine
antigens and thereby to enhance the protective efficacy of the vaccines. In this
study, we investigated the adjuvant activity of CIA06, an adjuvant system that is
composed of a toll-like receptor 4 agonist de-O-acylated lipooligosaccharide
(dLOS) and aluminum hydroxide, on the H1N1 pandemic influenza vaccine Greenflu
S(r) in mice. CIA06 significantly enhanced influenza-specific serum IgG,
hemagglutination-inhibition, and virus-neutralizing antibody titers, which
eliminated vaccine dose-dependency in the antibody response. Mice immunized with
the CIA06-adjuvanted Greenflu-S showed Th1-type-predominant cytokine profiles,
and both CD4+ and CD8+ T cell responses were induced. Immunization of mice with
the CIA06-adjuvanted vaccine reduced the mortality and morbidity of mice upon
lethal challenges with influenza virus, and no excessive inflammatory responses
were observed in the lung tissues of the immunized mice after viral infection.
These data suggest that the dLOS-based adjuvant system CIA06 can be used to
promote the immune responses to influenza vaccine or to spare antigen dose
without causing harmful inflammatory responses.
PMID- 27891513
TI - Clinic Predictive Factors for Insufficient Myocardial Reperfusion in ST-Segment
Elevation Myocardial Infarction Patients Treated with Selective Aspiration
Thrombectomy during Primary Percutaneous Coronary Intervention.
AB - Background. Insufficient data are available on the potential benefit of selective
aspiration and clinical predictors for no-reflow in STEMI patients undergoing
primary percutaneous coronary intervention (PPCI) adjunct with aspiration
thrombectomy. Objective. The aim of our study was to investigate clinical
predictors for insufficient reperfusion in patients with high thrombus burden
treated with PPCI and manual aspiration thrombectomy. Methods. From January 2011
till December 2015, 277 STEMI patients undergoing manual aspiration thrombectomy
and PPCI were selected and 202 patients with a Thrombolysis in Myocardial
Infarction (TIMI) thrombus grade 4~5 were eventually involved in our study.
According to a cTFC value, patients were divided into Group I (cTFC > 40),
namely, insufficient reperfusion group; Group II (cTFC <= 40), namely, sufficient
reperfusion group. Results. Univariate analysis showed that hypertension,
multivessel disease, time from symptom to PCI (?4.8 hours), and postaspiration
cTFC > 40 were negative predictors for insufficient reperfusion. After
multivariate adjustment, age ? 60 years, hypertension, time from symptom to PCI
(?4.8 hours), and postaspiration cTFC > 40 were independently associated with
insufficient reperfusion in STEMI patients treated with manual aspiration
thrombectomy. Upfront intracoronary GP IIb/IIIa inhibitor (Tirofiban) was
positively associated with improved myocardial reperfusion. Conclusion. Fully
identifying risk factors will help to improve the effectiveness of selective
thrombus aspiration.
PMID- 27891515
TI - The Association between VDR Gene Polymorphisms and Diabetic Retinopathy
Susceptibility: A Systematic Review and Meta-Analysis.
AB - Aims. Studies on the associations of vitamin D receptor (VDR) gene polymorphisms
with diabetic retinopathy (DR) susceptibility reported conflicting results. A
systematic meta-analysis was undertaken to clarify this topic. Methods. A
systematic search of electronic databases (PubMed, EMBASE, and CNKI) was carried
out until March 31, 2016. The pooled odds ratio (OR) and 95% confidence interval
(CI) were used to assess the strength of the association. Results. A total of 7
studies fulfilling the inclusion criteria were included in this meta-analysis
(649 cases and 707 controls). Pooled ORs showed a significant association between
FokI polymorphism and DR risk in all the four genetic models (OR = 1.612
(1.354~1.921), 1.988 (1.481~2.668), 1.889 (1.424~2.505), and 2.674 (1.493~4.790)
in allelic, dominant, recessive, and additive models, resp., PZ < 0.01), but not
for TaqI or BsmI polymorphism (PZ > 0.05). Similar results were found in the
subgroup analysis. Sensitivity analysis indicated that the results were
relatively stable and reliable. Results of Begg's and Egger's tests suggested a
lack of publication bias. Conclusions. Our meta-analysis demonstrated that DR was
significantly associated with VDR gene FokI polymorphism. However, due to the
relatively small sample size in this meta-analysis, further studies with a larger
sample size should be done to confirm the findings.
PMID- 27891516
TI - Functional Region Annotation of Liver CT Image Based on Vascular Tree.
AB - Anatomical analysis of liver region is critical in diagnosis and treatment of
liver diseases. The reports of liver region annotation are helpful for doctors to
precisely evaluate liver system. One of the challenging issues is to annotate the
functional regions of liver through analyzing Computed Tomography (CT) images. In
this paper, we propose a vessel-tree-based liver annotation method for CT images.
The first step of the proposed annotation method is to extract the liver region
including vessels and tumors from the CT scans. And then a 3-dimensional thinning
algorithm is applied to obtain the spatial skeleton and geometric structure of
liver vessels. With the vessel skeleton, the topology of portal veins is further
formulated by a directed acyclic graph with geometrical attributes. Finally,
based on the topological graph, a hierarchical vascular tree is constructed to
divide the liver into eight segments according to Couinaud classification theory
and thereby annotate the functional regions. Abundant experimental results
demonstrate that the proposed method is effective for precise liver annotation
and helpful to support liver disease diagnosis.
PMID- 27891514
TI - Evidence for a "Pathogenic Triumvirate" in Congenital Hepatic Fibrosis in
Autosomal Recessive Polycystic Kidney Disease.
AB - Autosomal recessive polycystic kidney disease (ARPKD) is a severe monogenic
disorder that occurs due to mutations in the PKHD1 gene. Congenital hepatic
fibrosis (CHF) associated with ARPKD is characterized by the presence of hepatic
cysts derived from dilated bile ducts and a robust, pericystic fibrosis. Cyst
growth, due to cyst wall epithelial cell hyperproliferation and fluid secretion,
is thought to be the driving force behind disease progression. Liver fibrosis is
a wound healing response in which collagen accumulates in the liver due to an
imbalance between extracellular matrix synthesis and degradation. Whereas both
hyperproliferation and pericystic fibrosis are hallmarks of CHF/ARPKD, whether or
not these two processes influence one another remains unclear. Additionally,
recent studies demonstrate that inflammation is a common feature of CHF/ARPKD.
Therefore, we propose a "pathogenic triumvirate" consisting of hyperproliferation
of cyst wall growth, pericystic fibrosis, and inflammation which drives CHF/ARPKD
progression. This review will summarize what is known regarding the mechanisms of
cyst growth, fibrosis, and inflammation in CHF/ARPKD. Further, we will discuss
the potential advantage of identifying a core pathogenic feature in CHF/ARPKD to
aid in the development of novel therapeutic approaches. If a core pathogenic
feature does not exist, then developing multimodality therapeutic approaches to
target each member of the "pathogenic triumvirate" individually may be a better
strategy to manage this debilitating disease.
PMID- 27891517
TI - Prognostic Significance of Preoperative Neutrophil-to-Lymphocyte Ratio in
Nonmetastatic Renal Cell Carcinoma: A Large, Multicenter Cohort Analysis.
AB - Background. The prognostic significance of the neutrophil-to-lymphocyte ratio
(NLR) in nonmetastatic renal cell carcinoma (non-mRCC) is controversial, although
NLR has been established as a prognostic factor in several cancers. The objective
of our study was to assess the prognostic significance of preoperative NLR in non
mRCC, based on a large, multicenter cohort analysis. Methods. Totally, 1,284 non
mRCC patients undergoing surgery were enrolled from six institutions between 2000
and 2014. Recurrence-free survival (RFS) and cancer-specific survival (CSS) were
calculated, and the prognostic significance of NLR was evaluated. Results.
Patients with higher NLR had larger tumors (p < 0.001), higher T stage (p <
0.001), worse Eastern Cooperative Oncology Group performance status (p < 0.001),
worse symptoms (p = 0.003), sarcomatoid differentiation (p = 0.004), and tumor
necrosis (p < 0.001). The 5-year RFS and CSS rates were significantly lower in
patients with high NLR than in those with low NLR (each p < 0.001). Multivariate
analysis identified NLR to be an independent predictor of RFS and CSS (each p <
0.05). Moreover, predictive accuracy of multivariate models for RFS and CSS
increased by 2.2% and 4.2%, respectively, with NLR inclusion. Conclusions. Higher
NLR was associated with worse clinical behavior of non-mRCC. Also, NLR was a
significant prognostic factor of both RFS and CSS.
PMID- 27891518
TI - Size and Proportions of Slow-Twitch and Fast-Twitch Muscle Fibers in Human Costal
Diaphragm.
AB - Smaller diaphragmatic motor unit potentials (MUPs) compared to MUPs of limb
muscles lead to the hypothesis that diaphragmatic muscle fibers, being the
generators of MUPs, might be also smaller. We compared autopsy samples of costal
diaphragm and vastus lateralis of healthy men with respect to fibers' size and
expression of slow myosin heavy chain isoform (MyHC-1) and fast 2A isoform (MyHC
2A). Diaphragmatic fibers were smaller than fibers in vastus lateralis with
regard to the mean minimal fiber diameter of slow-twitch (46.8 versus 72.2 MUm, p
< 0.001), fast-twitch (45.1 versus 62.4 MUm, p < 0.001), and hybrid fibers (47.3
versus 65.0 MUm, p < 0.01) as well as to the mean fiber cross-sectional areas of
slow-twitch (2376.0 versus 5455.9 MUm2, p < 0.001), fast-twitch (2258.7 versus
4189.7 MUm2, p < 0.001), and hybrid fibers (2404.4 versus 4776.3 MUm2, p < 0.01).
The numerical proportion of slow-twitch fibers was higher (50.2 versus 36.3%, p <
0.01) in costal diaphragm and the numerical proportion of fast-twitch fibers
(47.2 versus 58.7%, p < 0.01) was lower. The numerical proportion of hybrid
fibers did not differ. Muscle fibers of costal diaphragm have specific
characteristics which support increased resistance of diaphragm to fatigue.
PMID- 27891519
TI - Advances in Colorectal Cancer.
PMID- 27891520
TI - Short-Term Lifestyle Strategies for Sustaining Cognitive Status.
AB - Cognitive decline impacts older adults, particularly their independence. The goal
of this project was to increase understanding of how short-term, everyday
lifestyle options, including physical activity, help an older adult sustain
cognitive independence. Using a secondary analysis of lifestyle choices, we drew
on a dataset of 4,620 community-dwelling elders in the US, assessed at baseline
and one year later using 2 valid and reliable tools, the interRAI Community
Health Assessment and the interRAI Wellness tool. Decline or no decline on the
Cognitive Performance Scale was the dependent variable. We examined sustaining
one's status on this measure over a one-year period in relation to key dimensions
of wellness through intellectual, physical, emotional, social, and spiritual
variables. Engaging in physical activity, formal exercise, and specific
recreational activities had a favorable effect on short-term cognitive decline.
Involvement with computers, crossword puzzles, handicrafts, and formal education
courses also were protective factors. The physical and intellectual domains of
wellness are prominent aspects in protection from cognitive decline. Inherent in
these two domains are mutable factors suitable for targeted efforts to promote
older adult health and well-being.
PMID- 27891521
TI - Food-Derived Natural Compounds for Pain Relief in Neuropathic Pain.
AB - Neuropathic pain, defined as pain caused by a lesion or disease of the
somatosensory nervous system, is characterized by dysesthesia, hyperalgesia, and
allodynia. The number of patients with this type of pain has increased rapidly in
recent years. Yet, available neuropathic pain medicines have undesired side
effects, such as tolerance and physical dependence, and do not fully alleviate
the pain. The mechanisms of neuropathic pain are still not fully understood.
Injury causes inflammation and immune responses and changed expression and
activity of receptors and ion channels in peripheral nerve terminals.
Additionally, neuroinflammation is a known factor in the development and
maintenance of neuropathic pain. During neuropathic pain development, the C-C
motif chemokine receptor 2 (CCR2) acts as an important signaling mediator.
Traditional plant treatments have been used throughout the world for treating
diseases. We and others have identified food-derived compounds that alleviate
neuropathic pain. Here, we review the natural compounds for neuropathic pain
relief, their mechanisms of action, and the potential benefits of natural
compounds with antagonistic effects on GPCRs, especially those containing CCR2,
for neuropathic pain treatment.
PMID- 27891522
TI - Biomolecular Network-Based Synergistic Drug Combination Discovery.
AB - Drug combination is a powerful and promising approach for complex disease therapy
such as cancer and cardiovascular disease. However, the number of synergistic
drug combinations approved by the Food and Drug Administration is very small. To
bridge the gap between urgent need and low yield, researchers have constructed
various models to identify synergistic drug combinations. Among these models,
biomolecular network-based model is outstanding because of its ability to reflect
and illustrate the relationships among drugs, disease-related genes, therapeutic
targets, and disease-specific signaling pathways as a system. In this review, we
analyzed and classified models for synergistic drug combination prediction in
recent decade according to their respective algorithms. Besides, we collected
useful resources including databases and analysis tools for synergistic drug
combination prediction. It should provide a quick resource for computational
biologists who work with network medicine or synergistic drug combination
designing.
PMID- 27891523
TI - 5HT3 Antagonists versus Dexamethasone in the Prevention of PONV in Patients
Undergoing Laparoscopic Cholecystectomy: A Meta-Analysis of RCTs.
AB - Background. 5HT3 antagonist, an antiemetic alternative to dexamethasone, is an
effective drug for the prevention of postoperative nausea and vomiting (PONV).
Methods. PubMed and The Cochrane Library (from inception to June 2016) were
searched for relevant RCTs (randomized controlled trials). Results. Seven trials,
totaling 682 patients, were included in this meta-analysis. This meta-analysis
demonstrated that 5HT3 antagonist was as effective as dexamethasone in preventing
PONV (RR, 1.12; 95% CI, [0.86, 1.45]; P = 0.40) within 24 hours of laparoscopic
cholecystectomy, and no significant heterogeneity was observed among the studies
(I2 = 0%; P = 0.98). During the early postoperative period (0-6 h), 5HT3
antagonists were superior to dexamethasone in reducing POV (RR, 0.31; 95% CI,
[0.11, 0.93]; P = 0.04), while, in other postoperative stages (6-12 h, 12-24 h,
and 0-24 h), it was not more effective in the prevention of POV than
dexamethasone. And no significant difference was found in the prevention of PON
between 5HT3 antagonists and dexamethasone at different postoperative periods (0
6 h, 6-12 h, 12-24 h, and 0-24 h). Conclusions. As a result, it is advisable to
encourage 5HT3 antagonists as an alternative to dexamethasone for the prevention
of PONV in patients undergoing laparoscopic cholecystectomy.
PMID- 27891524
TI - The Design, Usability, and Feasibility of a Family-Focused Diabetes Self-Care
Support mHealth Intervention for Diverse, Low-Income Adults with Type 2 Diabetes.
AB - Family members' helpful and harmful actions affect adherence to self-care and
glycemic control among adults with type 2 diabetes (T2D) and low socioeconomic
status. Few family interventions for adults with T2D address harmful actions or
use text messages to reach family members. Through user-centered design and
iterative usability/feasibility testing, we developed a mHealth intervention for
disadvantaged adults with T2D called FAMS. FAMS delivers phone coaching to set
self-care goals and improve patient participant's (PP) ability to identify and
address family actions that support/impede self-care. PPs receive text message
support and can choose to invite a support person (SP) to receive text messages.
We recruited 19 adults with T2D from three Federally Qualified Health Centers to
use FAMS for two weeks and complete a feedback interview. Coach-reported data
captured coaching success, technical data captured user engagement, and PP/SP
interviews captured the FAMS experience. PPs were predominantly African American,
83% had incomes <$35,000, and 26% were married. Most SPs (n = 7) were
spouses/partners or adult children. PPs reported FAMS increased self-care and
both PPs and SPs reported FAMS improved support for and communication about
diabetes. FAMS is usable and feasible and appears to help patients manage self
care support, although some PPs may not have a SP.
PMID- 27891525
TI - A Validation Study of Administrative Claims Data to Measure Ovarian Cancer
Recurrence and Secondary Debulking Surgery.
AB - OBJECTIVE: Administrative claims data offer an alternative to chart abstraction
to assess ovarian cancer recurrence, treatment and outcomes. Such analyses have
been hindered by lack of valid recurrence and treatment algorithms. In this
study, we sought to develop claims-based algorithms to identify ovarian cancer
recurrence and secondary debulking surgery, and to validate them against the gold
standard of chart abstraction. METHODS: We conducted chart validation studies; 2
recurrence algorithms and 1 secondary surgery among 94 ovarian cancer patients
treated at one hospital between 2003-2009. A new recurrence algorithm was based
on treatment timing (>=6 months after primary treatment) and a previously
validated algorithm was based on secondary malignancy codes. A secondary
debulking surgery algorithm was based on surgical billing codes. RESULTS: The new
recurrence algorithm had: sensitivity=100% (95% confidence interval [CI]=87%
=100%), specificity=89% (95%CI=78%-95%), kappa=84% (SE=10%) while the secondary
malignancy-=code recurrence algorithm had: sensitivity=84% (95%CI=66%-94%),
specificity=44% (95%CI=31%-=57%), kappa=23% (SE=8%). The secondary surgery
algorithm had: sensitivity=77% (95%CI=50%-92%), = specificity= 92% (95%CI=83%
97%), kappa=66% (SE=10%).=. CONCLUSIONS: A recurrence algorithm based on
treatment timing accurately identified ovarian cancer =recurrence. If validated
in other populations, such an algorithm can provide a tool to compare
effectiveness of recurrent ovarian cancer treatments.
PMID- 27891526
TI - Comparative Effectiveness Research Using Observational Data: Active Comparators
to Emulate Target Trials with Inactive Comparators.
AB - INTRODUCTION: Because a comparison of noninitiators and initiators of treatment
may be hopelessly confounded, guidelines for the conduct of observational
research often recommend using an "active" comparator group consisting of people
who initiate a treatment other than the medication of interest. In this paper, we
discuss the conditions under which this approach is valid if the goal is to
emulate a trial with an inactive comparator. IDENTIFICATION OF EFFECTS: We
provide conditions under which a target trial in a subpopulation can be validly
emulated from observational data, using an active comparator that is known or
believed to be inactive for the outcome of interest. The average treatment effect
in the population as a whole is not identified, but under certain conditions this
approach can be used to emulate a trial in the subset of individuals who were
treated with the treatment of interest, in the subset of individuals who were
treated with the treatment of interest but not with the comparator, or in the
subset of individuals who were treated with both the treatment of interest and
the active comparator. THE PLAUSIBILITY OF THE COMPARABILITY CONDITIONS: We
discuss whether the required conditions can be expected to hold in
pharmacoepidemiologic research, with a particular focus on whether the conditions
are plausible in situations where the standard analysis fails due to unmeasured
confounding by access to health care or health seeking behaviors. DISCUSSION: The
conditions discussed in this paper may at best be approximately true.
Investigators using active comparator designs to emulate trials with inactive
comparators should exercise caution.
PMID- 27891527
TI - The Multimorbidity Index: A Tool for Assessing the Prognosis of Patients from
Their History of Illness.
AB - BACKGROUND: The Multimorbidity (MM) Index predicts the prognosis of patients from
their diagnostic history. In contrast to existing approaches with broad
diagnostic categories, it treats each diagnosis as a separate independent
variable using individual International Classification of Disease, Revision 9
(ICD-9) codes. OBJECTIVE: This paper describes the MM Index, reviews the
published data on its accuracy, and provides procedures for implementing the
Index within electronic health record (EHR) systems. Methods: The MM Index was
tested on various patient populations by using data from the United States
Department of Veterans Affairs data warehouse and claims data within the
Healthcare Cost and Utilization Project of the Agency for Health Care Research
and Quality. RESULTS: In cross-validated studies, the MM Index outperformed
prognostic indices based on physiological markers, such as CD4 cell counts in
HIV/AIDS, HbAlc levels in diabetes, ejection fractions in heart failure, or the
13 physiological markers commonly used for patients in intensive care units. When
predicting the prognosis of nursing home patients by using the cross-validated
area under a receiver operating characteristic (ROC) curve, the MM Index was 15
percent outperformed the Quan variant of the Charlson Index, 27 percent more
accurate than the Deyo variant of the Charlson Index, and 22 percent more
accurate than the von Walraven variant of the Elixhauser Index. For patients in
intensive care units, the MM Index was 13 percent outperformed the cross
validated area under ROC associated with Elixhauser's categories. The MM Index
also demonstrated greater accuracy than a number of commercially available
measures of illness severity; including a fivefold greater accuracy than the All
Patient Refined Diagnosis-Related Groups and a threefold greater accuracy than
All Payer Severity-Adjusted Diagnosis-Related Groups. CONCLUSION: The MM Index is
statistically more accurate than many existing measures of prognosis. The
magnitude of improvement is large and may lead to a clinically meaningful
difference in patient care. Given the large improvements in accuracy, the use of
the MM Index for policy and comparative effectiveness analysis is recommended.
PMID- 27891528
TI - Preparing Nursing Home Data from Multiple Sites for Clinical Research - A Case
Study Using Observational Health Data Sciences and Informatics.
AB - INTRODUCTION: A potential barrier to nursing home research is the limited
availability of research quality data in electronic form. We describe a case
study of converting electronic health data from five skilled nursing facilities
to a research quality longitudinal dataset by means of open-source tools produced
by the Observational Health Data Sciences and Informatics (OHDSI) collaborative.
METHODS: The Long-Term Care Minimum Data Set (MDS), drug dispensing, and fall
incident data from five SNFs were extracted, translated, and loaded into version
4 of the OHDSI common data model. Quality assurance involved identifying errors
using the Achilles data characterization tool and comparing both quality measures
and drug exposures in the new database for concordance with externally available
sources. FINDINGS: Records for a total 4,519 patients (95.1%) made it into the
final database. Achilles identified 10 different types of errors that were
addressed in the final dataset. Drug exposures based on dispensing were generally
accurate when compared with medication administration data from the pharmacy
services provider. Quality measures were generally concordant between the new
database and Nursing Home Compare for measures with a prevalence >= 10%. Fall
data recorded in MDS was found to be more complete than data from fall incident
reports. CONCLUSIONS: The new dataset is ready to support observational research
on topics of clinical importance in the nursing home including patient-level
prediction of falls. The extraction, translation, and loading process enabled the
use of OHDSI data characterization tools that improved the quality of the final
dataset.
PMID- 27891530
TI - Independent Spanish Validation of the Unified Dyskinesia Rating Scale.
AB - The Unified Dyskinesia Rating Scale (UDysRS) assesses the severity and disability
caused by dyskinesias in Parkinson's disease (PD). As part of the UDysRS
development plan, the International Parkinson and Movement Disorder Society (MDS)
established guidelines for official non-English translations. We present here the
formal process for completing this program and the data on the first officially
approved non-English version of the UDysRS (Spanish). The UDysRS translation
program involves four steps: translation and back-translation; cognitive
pretesting to ensure that raters and patients understand the scale and are
comfortable with its content; field testing of the finalized version; and
analysis of the factor structure of the tested version against the original
English-language version. To be designated an official MDS translation, the
confirmatory factor analysis comparative fit index (CFI) had to be >=0.90. The
Spanish UDysRS was tested in 253 native-Spanish-speaking patients with PD. For
all four parts of the UDysRS, the CFI, was >=0.94. Exploratory factor analyses of
the Spanish version revealed a very clear factor structure, with three factors
related to ON dyskinesia, OFF dystonia, and patient perceptions of the functional
effect of dyskinesias. The Spanish version of the UDysRS successfully followed
the MDS Translation Program protocol, reached the criterion to be designated as
an official translation, and is now available on the MDS website for use.
PMID- 27891531
TI - Origanum majorana Essential Oil Lacks Mutagenic Activity in the
Salmonella/Microsome and Micronucleus Assays.
AB - The present study aimed to investigate the in vitro mutagenic activity of
Origanum majorana essential oil. The most abundant compounds identified by GC-MS
were gamma-terpinene (25.73%), alpha-terpinene (17.35%), terpinen-4-ol (17.24%),
and sabinene (10.8%). Mutagenicity was evaluated by the Salmonella/microsome test
using the preincubation procedure on TA98, TA97a, TA100, TA102, and TA1535
Salmonella typhimurium strains, in the absence or in the presence of metabolic
activation. Cytotoxicity was detected at concentrations higher than 0.04
MUL/plate in the absence of S9 mix and higher than 0.08 MUL/plate in the presence
of S9 mix and no gene mutation increase was observed. For the in vitro mammalian
cell micronucleus test, V79 Chinese hamster lung fibroblasts were used.
Cytotoxicity was only observed at concentrations higher than or equal to 0.05
MUg/mL. Moreover, when tested in noncytotoxic concentrations, O. majorana
essential oil was not able to induce chromosome mutation. The results from this
study therefore suggest that O. majorana essential oil is not mutagenic at the
concentrations tested in the Salmonella/microsome and micronucleus assays.
PMID- 27891529
TI - Novel Approaches to the Prevention and Treatment of Rabies.
AB - Rabies is a highly lethal disease caused by the neurotropic rabies virus (RABV),
and it remains an important public health problem globally. Effective vaccines
have been developed for pre- and post-exposure prophylaxis (PEP). PEP is only
effective if it is initiated promptly after recognizing exposure. Once
neurological symptoms develop, however, it is widely accepted that there is no
effective treatment available. Recent studies indicate that the presence of RABV
specific immunity (i.e. Virus neutralizing antibodies, VNA) and the transient
enhancement of the BBB permeability are absolutely required for effective virus
clearance from the CNS. In principle, it has been shown in mice using various
live-attenuated RABVs or recombinant RABVs expressing three copies of the G or
expressing chemokine/cytokines, which can induce high levels of VNA in the serum
and also capable of transiently enhancing the BBB permeability that it is
possible to clear the virus from CNS. Also, it has been demonstrated that,
intravenous administration of VNA together with MCP-1 (shown to transiently open
up BBB) can clear RABV from the CNS in both immunocompetent and immunocompromised
mice, as late as 5 days after lethal challenge. Novel therapeutic approaches
aimed at allowing the peripheral VNA to cross the BBB by administration of the
VNA in combination with biological or chemical agents that can transiently open
up the BBB would be useful to establish an effective therapy for rabies in
humans. In this review, we focus on the some of the approaches that can be used
to meet the challenges in the field of rabies treatment.
PMID- 27891532
TI - Health Center Professional Programs and Primary Care Workforce.
AB - BACKGROUND: Current policy promotes health center professional training and
pipeline programs as solutions to bolster primary care workforce in shortage
areas, despite the paucity of evidence. METHODS: We analyzed data from US health
centers we surveyed from March to June 2010, merged with federal health center
data, to estimate associations between health center training and pipeline
programs and provider recruitment and retention. RESULTS: Of the 976 surveyed,
391 health centers responded. Health centers with career ladder programs compared
to those without had higher adjusted rates of no/minimal difficulty in
recruitment of primary care providers. (17.6% vs. 10.6%; p=.01) and close to
double the adjusted rates of reporting no/minimal difficulty in retention of
primary care providers (39.4% vs. 21.2%; p=.0001). DISCUSSION: There remains a
need for further evaluation of health professional programs in order to expand
models, such as career ladder programs, that demonstrate effectiveness in
improving the primary care workforce in shortage areas.
PMID- 27891533
TI - Screening for Cancer in Persons Living with HIV Infection.
AB - Survival with human immunodeficiency virus (HIV) infection has greatly improved
due to effective antiretroviral therapy (ART). As infectious complications have
declined, malignancy now accounts for over one-third of deaths among people
living with HIV (PLWH). Based on practices in the general population, cancer
screening of PLWH can decrease both morbidity and mortality. In this article, we
review and consider directed approaches for colorectal, breast, cervical and lung
cancer screening. Furthermore, routine physical examinations may detect lymphomas
and skin, anal and oral cancers. Comprehensive cancer prevention in PLWH should
also include ART adherence, vaccination against oncogenic viruses, treatment of
hepatitis viruses and smoking cessation. Cancer screening for PLWH warrants
further research on safety and efficacy as well as targeted efforts to increase
adherence.
PMID- 27891534
TI - Graphene Oxide Nanosheets Stimulate Ruffling and Shedding of Mammalian Cell
Plasma Membranes.
AB - Graphene oxide (GO) has attracted intense interest for use in living systems and
environmental applications. GO's compatibility with mammalian cells is sometimes
inferred from its low cytotoxicity, but such conclusions ignore non-lethal
effects that will influence GO's utility. Here we demonstrate, with rat
basophilic leukemia (RBL) cells, profound plasma membrane (PM) ruffling and
shedding induced by GO using confocal and live cell fluorescence microscopy, as
well as scanning electron microscopy. These membrane structures contain
immunoglobulin E receptors, are resistant to detergents, and lack detectable
fluorescence labeling of F-actin and fibronectin. The formation of these membrane
structures correlates with a loss of contact inhibition between RBL cells. We
observe similar cellular responses towards GO for NIH-3T3 fibroblast cells and
MDA-MB-231 human breast cancer cells. These findings reveal a previously
unreported cellular response towards foreign nanomaterials. Membrane ruffling and
shedding raise fundamental questions about how GO interacts with the PM, as well
as its potential to modulate cellular mechanosensing for tissue engineering, stem
cell differentiation, and other biomedical applications.
PMID- 27891536
TI - Use of organolanthanides in the catalytic intermolecular hydrophosphination and
hydroamination of multiple C-C bonds.
AB - This review covers recent achievements in the intermolecular hydrophosphination
and hydroamination of alkenes, dienes and alkynes catalyzed by organolanthanides.
PMID- 27891535
TI - Gene therapy for hemoglobin disorders - a mini-review.
AB - Gene therapy by either gene insertion or editing is an exciting curative
therapeutic option for monogenic hemoglobin disorders like sickle cell disease
and beta-thalassemia. The safety and efficacy of gene transfer techniques has
markedly improved with the use of lentivirus vectors. The clinical translation of
this technology has met with good success, although key limitations include
number of engraftable transduced hematopoietic stem cells and adequate transgene
expression that results in complete correction of beta0 thalassemia major. This
highlights the need to identify and address factors that might be contributing to
the in-vivo survival of the transduced hematopoietic stem cells or find means to
improve expression from current vectors. In this review, we briefly discuss the
gene therapy strategies specific to hemoglobinopathies, the success of the
preclinical models and the current status of gene therapy clinical trials.
PMID- 27891537
TI - On-demand magnetic manipulation of liquid metal in microfluidic channels for
electrical switching applications.
AB - We report magnetic-field-driven on-demand manipulation of liquid metal in
microfluidic channels filled with base or acid. The liquid metal was coated with
iron (Fe) particles and treated with hydrochloric acid to have strong bonding
strength with the Fe particles. The magnetic liquid metal slug inserted in the
microchannel is manipulated, merged, and separated. In addition, corresponding to
the repositioning of an external magnet, the liquid metal slug can be readily
moved in microfluidic channels with different angles (>90 degrees ) and cross
linked channels in any direction. We demonstrated the functionality of the liquid
metal in the microfluidic channel for electrical switching applications by
manipulation of the liquid metal, resulting in the sequential turning on of light
emitting diodes (LEDs).
PMID- 27891538
TI - Slip of polymer melts over micro/nano-patterned metallic surfaces.
AB - The slip behavior of high-density polyethylenes (HDPEs) is studied over surfaces
of different topology and surface energy. Laser ablation has been used to
micro/nano-pattern the surface of dies in order to examine the effect of surface
roughness on slip. In addition, fluoroalkyl silane-based coatings on smooth and
patterned substrates were used to understand the effect of surface energy on
slip. Surface roughness and surface energy effects were incorporated into the
double reptation slip model (Ebrahimi et al., J. Rheol., 2015, 59, 885-901) in
order to predict the slip velocity of studied polymers on different substrates.
It was found that for dies with rough surfaces, polymer melt penetrates into the
cavities of the substrate (depending on the depth and the distance between the
asperities), thus decreasing wall slip. On the other hand, silanization of the
surface increases the slip velocity of polymers in the case of smooth die,
although it has a negligible effect on rough dies. Interestingly, the slip
velocity of the studied polymers on various substrates of different degrees of
roughness and surface energy, were brought into a mastercurve by modifying the
double reptation slip velocity model.
PMID- 27891539
TI - Pincer-plus-one ligands in self-assembly with palladium(ii): a molecular square
and a molecular tetrahedron.
AB - The combination of a palladium(ii) precursor with a diimine-phenol ligand and an
oxidant (H2O2 or O2) under different conditions has, serendipitously, given both
a molecular square and a molecular tetrahedron by self-assembly of building
blocks comprising palladium(ii) centres coordinated to the oxidised forms of the
ligand.
PMID- 27891541
TI - DFT calculations in the assignment of solid-state NMR and crystal structure
elucidation of a lanthanum(iii) complex with dithiocarbamate and phenanthroline.
AB - The molecular, crystal, and electronic structures as well as spectroscopic
properties of a mononuclear heteroleptic lanthanum(iii) complex with
diethyldithiocarbamate and 1,10-phenanthroline ligands (3 : 1) were studied by
solid-state 13C and 15N cross-polarisation (CP) magic-angle-spinning (MAS) NMR, X
ray diffraction (XRD), and first principles density functional theory (DFT)
calculations. A substantially different powder XRD pattern and 13C and 15N CP-MAS
NMR spectra indicated that the title compound is not isostructural to the
previously reported analogous rare earth complexes with the space group P21/n.
Both 13C and 15N CP-MAS NMR revealed the presence of six structurally different
dithiocarbamate groups in the asymmetric unit cell, implying a non
centrosymmetric packing arrangement of molecules. This was supported by single
crystal X-ray crystallography showing that the title compound crystallised in the
triclinic space group P1[combining macron]. In addition, the crystal structure
also revealed that one of the dithiocarbamate ligands has a conformational
disorder. NMR chemical shift calculations employing the periodic gauge including
projector augmented wave (GIPAW) approach supported the assignment of the
experimental 13C and 15N NMR spectra. However, the best correspondences were
obtained with the structure where the atomic positions in the X-ray unit cell
were optimised at the DFT level. The roles of the scalar and spin-orbit
relativistic effects on NMR shielding were investigated using the zeroth-order
regular approximation (ZORA) method with the outcome that already the scalar
relativistic level qualitatively reproduces the experimental chemical shifts. The
electronic properties of the complex were evaluated based on the results of the
natural bond orbital (NBO) and topology of the electron density analyses.
Overall, we apply a multidisciplinary approach acquiring comprehensive
information about the solid-state structure and the metal-ligand bonding of the
heteroleptic lanthanum complex.
PMID- 27891542
TI - [Ti12In6O18(OOCC6H5)30]: a multifunctional hetero-polyoxotitanate nanocluster
with high stability and visible photoactivity.
AB - We present a novel strategy to improve the stability and optical absorption of
polyoxotitanates (POTs) via concurrently fully carboxylate-coordinating and
hetero-metal-doping, and illustrate the strategy by an indium doped hetero
polyoxotitanate (h-POT) of a [Ti12In6O18(OOCC6H5)30] (POTi12In6) nanocluster,
which possesses ultrahigh stability in both acid and base aqueous solutions. The
nanocluster structurally features a core-shell double wheel structure and a polar
cavity. Both experiments and theoretical calculations confirm the semiconductive
properties of the nanocluster. Under visible irradiation the POTi12In6
nanocluster can produce pronounced photocurrent, and reactive oxygen species for
pollutant degradation. Without using any cocatalyst, POTi12In6 exhibits important
visible-light-driven photocatalytic activity for H2 evolution in an aqueous
system. This work could render a polyoxotitanate as a new type of visible
photoactive photocatalyst.
PMID- 27891543
TI - Dynamic neighbouring participation of nitrogen lone pairs on the chromogenic
behaviour of trans-bis(salicylaldiminato)Pt(ii) coordination platforms.
AB - The participation of neighbouring nitrogen lone pairs in the chromogenic control
of trans-bis(salicylaldiminato)Pt(ii) platforms was examined, using newly
designed Pt analogues bearing salicylaldehyde hydrazone ligands. A series of non
vaulted and vaulted Pt complexes (1-5) with salicylaldehyde hydrazones as trans
coordinated bidentate ligands were synthesized and characterized with regard to
the chromogenic behaviour of the trans-bis(salicylaldiminato)Pt(ii) coordination
platforms. X-ray diffraction and 2D NMR data demonstrated that, in the case of
the non-vaulted N-monomethyl complexes 1, there was significant participation of
neighbouring N(2) lone pairs in the d-pi conjugation of the trans
bis(salicylaldiminato)Pt(ii) platforms owing to conformational fixation arising
from intramolecular hydrogen bonding. In contrast, the lone pairs of the N,N
dimethyl analogues 2 made a much less significant contribution to the extension
of the d-pi conjugation, due to their high conformational mobility. Complexes 1-5
were found to have structure-dependent chromogenic properties in the solution
state, such that the absorption spectra of the N-methyl, short-vaulted complexes
1 and 3 exhibited significant hypsochromic shifts relative to the N,N-dimethyl,
long-vaulted analogues 2 and 5, which had spectra very similar to that of the
trans-bis(salicylaldiminato)Pt(ii) complex 6. The introduction of MeO groups at
the 3- and 5 positions on the aromatic rings of 1 and 2 gave rise to significant
hypsochromic and bathochromic shifts, respectively. In addition, numax - ET(30)
plots for various solvents revealed that complexes 1-5 exhibit negative
solvatochromism, in which the data obtained in alcoholic solvents are
hypsochromically separated from those in non-alcoholic solvents for 1 and 3, an
effect that is not observed for 2 and 5. Complexes 1-5 also exhibit emission
enhancement upon addition of excess CH3SO3H in dimethyl sulfoxide, and a
significant effect of the linker on quantum yields (Phi77 K) was observed in the
case of the vaulted complexes. Density functional theory calculations (B3LYP/6
31G*, LanL2DZ) determined that the structure dependence of the chromogenic
behaviour of these non-vaulted and polymethylene-vaulted hydrazone complexes can
be attributed to variations in the participation of neighbouring nitrogen lone
pairs in the d-pi conjugation on the trans-bis(salicylaldiminato)Pt(ii)
coordination platforms.
PMID- 27891544
TI - An in vitro digestion method adapted for carotenoids and carotenoid esters:
moving forward towards standardization.
AB - In vitro digestion methods are a useful approach to predict the bioaccessibility
of food components and overcome some limitations or disadvantages associated with
in vivo methodologies. Recently, the INFOGEST network published a static method
of in vitro digestion with a proposal for assay standardization. The INFOGEST
method is not specific for any food component; therefore, we aimed to adapt this
method to assess the in vitro bioaccessibility of carotenoids and carotenoid
esters in a model fruit (Byrsonima crassifolia). Two additional steps were
coupled to the in vitro digestion procedure, centrifugation at 20 000g for the
separation of the aqueous phase containing mixed micelles and exhaustive
carotenoid extraction with an organic solvent. The effect of electrolytes,
enzymes and bile acids on carotenoid micellarization and stability was also
tested. The results were compared with those found with a simpler method that has
already been used for carotenoid bioaccessibility analysis. These values were in
the expected range for free carotenoids (5-29%), monoesters (9-26%) and diesters
(4-28%). In general, the in vitro bioaccessibility of carotenoids assessed by the
adapted INFOGEST method was significantly higher (p < 0.05) than those assessed
by the simplest protocol, with or without the addition of simulated fluids.
Although no trend was observed, differences in bioaccessibility values depended
on the carotenoid form (free, monoester or diester), isomerization (Z/E) and the
in vitro digestion protocol. To the best of our knowledge, it was the first time
that a systematic identification of carotenoid esters by HPLC-DAD-MS/MS after in
vitro digestion using the INFOGEST protocol was carried out.
PMID- 27891540
TI - Advancement of mass spectrometry-based proteomics technologies to explore triple
negative breast cancer.
AB - Understanding the complexity of cancer biology requires extensive information
about the cancer proteome over the course of the disease. The recent advances in
mass spectrometry-based proteomics technologies have led to the accumulation of
an incredible amount of such proteomic information. This information allows us to
identify protein signatures or protein biomarkers, which can be used to improve
cancer diagnosis, prognosis and treatment. For example, mass spectrometry-based
proteomics has been used in breast cancer research for over two decades to
elucidate protein function. Breast cancer is a heterogeneous group of diseases
with distinct molecular features that are reflected in tumour characteristics and
clinical outcomes. Compared with all other subtypes of breast cancer, triple
negative breast cancer is perhaps the most distinct in nature and heterogeneity.
In this review, we provide an introductory overview of the application of
advanced proteomic technologies to triple-negative breast cancer research.
PMID- 27891545
TI - Phosphine-imine and -enamido ligands for acceptorless dehydrogenation catalysis.
AB - A highly tunable phosphine-imine ligand family is introduced. Following
metallation with ruthenium, deprotonation of the ligand affords a phosphine
enamido species. Complexes with the ligand in both the imine and enamido forms
are active toward acceptorless dehydrogenation reactions.
PMID- 27891546
TI - CT image quality in sinogram affirmed iterative reconstruction phantom study - is
there a point of diminishing returns?
AB - BACKGROUND: In our pediatric practice, we have observed qualitatively limited
improvement in the image quality of images generated with sinogram affirmed
iterative reconstruction (SAFIRE) compared to series generated with filtered back
projection (FBP), particularly in cases near or below a CT dose index volume
(CTDIvol) of 1-mGy. OBJECTIVE: To determine whether the image quality advantage
of SAFIRE remains constant across clinically used CT dose levels in an American
College of Radiology (ACR) CT accreditation phantom including the lower dose
range used in pediatric imaging. MATERIALS AND METHODS: An exemption from
institutional review board approval was obtained for this phantom-based study. An
ACR quality phantom was scanned in incremental kV steps and effective tube
current intervals. Acquisitions were reconstructed with FBP and SAFIRE strengths
of 1, 3 and 5. Image quality measures were calculated including signal-to-noise
ratio (SNR), contrast-to-noise ratio (CNR), low-contrast resolution and high
contrast resolution. Peak SNR was also calculated. Descriptive and nonparametric
statistics were used to compare these image quality metrics while normalizing to
CT dose index (CTDI). RESULTS: The percent improvement in SNR and peak SNR of
SAFIRE reconstructions compared to FBP decreased from about 70% for image sets
acquired above a 1.42 mGy CTDI to 25% at a 0.25 mGy CTDI. CNR improvement with
SAFIRE did not vary with dose. No significant difference was seen in the low
contrast resolution or high-contrast resolution of SAFIRE images compared to FBP.
CONCLUSION: SNR did not improve equally after applying SAFIRE across a spectrum
clinically used CTDIs. Below a threshold CTDI, the incremental improvement of
SAFIRE compared to FBP decreased.
PMID- 27891548
TI - Falciform ligament sign.
PMID- 27891547
TI - Severe pelvic injury: vascular lesions detected by ante- and post-mortem contrast
medium-enhanced CT and associations with pelvic fractures.
AB - OBJECTIVES: The objectives of this study were to compare arterial and venous
contrast medium extravasation in severe pelvic injury detected by ante- and post
mortem multi-detector CT (MDCT) and determine whether vascular injury is
associated with certain types of pelvic fracture. METHODS: We retrospectively
included two different cohorts of blunt pelvic trauma with contrast medium
extravasation shown by MDCT. The first group comprised 49 polytrauma patients;
the second included 45 dead bodies undergoing multi-phase post-mortem CT
angiography (MPMCTA). Two radiologists jointly reviewed each examination
concerning type, site of bleeding and pattern of underlying pelvic ring fracture.
RESULTS: All 49 polytrauma patients demonstrated arterial bleeding, immediately
undergoing subsequent angiography; 42 (85%) had pelvic fractures, but no venous
bleeding was disclosed. MPMCTA of 45 bodies revealed arterial (n = 33, 73%) and
venous (n = 35, 78%) bleeding and pelvic fractures (n = 41, 91%). Pelvic fracture
locations were significantly correlated with ten arterial and six venous bleeding
sites in dead bodies, with five arterial bleeding sites in polytrauma patients.
In dead bodies, arterial haemorrhage was significantly correlated with the
severity of pelvic fracture according to Tile classification (p = 0.01), unlike
venous bleeding (p = 0.34). CONCLUSIONS: In severe pelvic injury, certain acute
bleeding sites were significantly correlated with underlying pelvic fracture
locations. MPMCTA revealed more venous lesions than MDCT in polytrauma patients.
Future investigations should evaluate the proportional contribution of venous
bleeding to overall pelvic haemorrhage as well as its clinical significance.
PMID- 27891549
TI - A pilot study of the diagnostic and prognostic values of FLT-PET/CT for
pancreatic cancer: comparison with FDG-PET/CT.
AB - PURPOSE: The purpose of the study was to examine the diagnostic and prognostic
values of 18F-fluorothymidine (FLT)-PET/CT for pancreatic cancer by comparing
with 18F-fluorodeoxyglucose (FDG)-PET/CT. METHODS: Fifteen patients with newly
diagnosed pancreatic cancer underwent both FLT and FDG-PET/CT scans before
treatment. The sensitivity, specificity, and accuracy in detecting nodal and
distant metastases were compared between both scans using McNemar exact or chi 2
test. Progression-free survival (PFS) and overall survival (OS) were calculated
by Kaplan-Meier method. Prognostic significance was assessed by Cox proportional
hazards analysis. RESULTS: Both scans visualized all primary cancers. The
sensitivity, specificity, and accuracy per patient basis for detecting nodal
metastasis were equal and 63.6% (7/11), 100% (4/4), and 73.3% (11/15) for both
scans, and for detecting distant metastasis were 100% (6/6), 88.9% (8/9), and
93.3% (14/15) for FDG-PET/CT, and 50.0% (3/6), 100% (9/9), and 80.0% (12/15) for
FLT-PET/CT, respectively, without significant difference in each of them between
both scans (p > 0.05). However, of 4 patients with multiple liver metastases, FDG
PET/CT was positive in all, but FLT-PET/CT was negative in three patients. At
univariate analysis, only FLT-SUVmax correlated with PFS (hazard ratio, 1.306, p
= 0.048), and FDG total lesion glycolysis (TLG), FLT-SUVmax, and FLT-total lesion
proliferation (TLP) correlated with OS (p = 0.021, p = 0.005, and p = 0.022,
respectively). At bivariate analysis, FLT-SUVmax was superior to FDG-TLG or FLT
TLP for prediction of OS [HR (adjusted for FDG-TLG), 1.491, p = 0.034, HR
(adjusted for FLT-TLP), 1.542, p = 0.023]. CONCLUSION: FLT-PET/CT may have a
potential equivalent to FDG-PET/CT for detecting primary and metastatic cancers
except liver metastasis. FLT-SUVmax can provide the most significant prognostic
information.
PMID- 27891550
TI - Improved diagnosis of common bile duct stone with single-shot balanced turbo
field-echo sequence in MRCP.
AB - PURPOSE: To evaluate the value of adding single-shot balanced turbo field-echo (b
TFE) sequence to conventional magnetic resonance cholangiopancreatography (MRCP)
for the detection of common bile duct (CBD) stone. METHODS: One hundred thirty
seven consecutive patients with suspected CBD stone underwent MRCP including
single-shot b-TFE sequence. Twenty-five patients were confirmed with CBD stone by
endoscopic retrograde cholangiopancreatography or ultrasonography. Two
radiologists reviewed two image protocols: protocol A (conventional MRCP
protocol: unenhanced T1-, T2-, and respiratory-triggered three-dimensional fat
suppressed single-shot turbo spin-echo MRCP sequence) and protocol B (protocol A
plus single-shot b-TFE sequence). The sensitivity, specificity, positive (PPV)
and negative predictive value (NPV), and area under the receiver-operating
characteristic (ROC) curve (AUC) for the detection of CBD stone were compared.
RESULTS: The sensitivity (72%) and NPV (94%) were the same between the two
protocols. However, protocol B was greater in the specificity (99%) and PPV (94%)
than protocol A (92% and 67%, respectively) (P = 0.0078 and 0.031, respectively).
The AUC was significantly greater for protocol B (0.93) than for protocol A
(0.86) (P = 0.026). CONCLUSIONS: Inclusion of single-shot b-TFE sequence to
conventional MRCP significantly improved the specificity and PPV for the
detection of CBD stone.
PMID- 27891551
TI - Colorectal cancer staging: comparison of whole-body PET/CT and PET/MR.
AB - PURPOSE: Correct staging is imperative for colorectal cancer (CRC) since it
influences both prognosis and management. Several imaging methods are used for
this purpose, with variable performance. Positron emission tomography-magnetic
resonance (PET/MR) is an innovative imaging technique recently employed for
clinical application. The present study was undertaken to compare the staging
accuracy of whole-body positron emission tomography-computed tomography (PET/CT)
with whole-body PET/MR in patients with both newly diagnosed and treated
colorectal cancer. METHODS: Twenty-six patients, who underwent same day whole
body (WB) PET/CT and WB-PET/MR, were evaluated. PET/CT and PET/MR studies were
interpreted by consensus by a radiologist and a nuclear medicine physician.
Correlations with prior imaging and follow-up studies were used as the reference
standard. Correct staging was compared between methods using McNemar's Chi square
test. RESULTS: The two methods were in agreement and correct for 18/26 (69%)
patients, and in agreement and incorrect for one patient (3.8%). PET/MR and
PET/CT stages for the remaining 7/26 patients (27%) were discordant, with PET/MR
staging being correct in all seven cases. PET/MR significantly outperformed
PET/CT overall for accurate staging (P = 0.02). CONCLUSION: PET/MR outperformed
PET/CT in CRC staging. PET/MR might allow accurate local and distant staging of
CRC patients during both at the time of diagnosis and during follow-up.
PMID- 27891553
TI - Core curriculum illustration: dural venous sinus thrombosis.
AB - This is the 25th installment of a series that will highlight one case per
publication issue from the bank of cases available online as a part of the
American Society of Emergency Radiology (ASER) educational resources. Our goal is
to generate more interest in and use of our online materials. To view more cases
online, please visit the ASER Core Curriculum and Recommendations for study
online at http://www.aseronline.org/curriculum/toc.htm .
PMID- 27891554
TI - Teaching Genetic Counseling Skills: Incorporating a Genetic Counseling Adaptation
Continuum Model to Address Psychosocial Complexity.
AB - Genetic counselors are trained health care professionals who effectively
integrate both psychosocial counseling and information-giving into their
practice. Preparing genetic counseling students for clinical practice is a
challenging task, particularly when helping them develop effective and active
counseling skills. Resistance to incorporating these skills may stem from
decreased confidence, fear of causing harm or a lack of clarity of psycho-social
goals. The author reflects on the personal challenges experienced in teaching
genetic counselling students to work with psychological and social complexity,
and proposes a Genetic Counseling Adaptation Continuum model and methodology to
guide students in the use of advanced counseling skills.
PMID- 27891552
TI - Enteric glial cells are susceptible to Clostridium difficile toxin B.
AB - Clostridium difficile causes nosocomial/antibiotic-associated diarrhoea and
pseudomembranous colitis. The major virulence factors are toxin A and toxin B
(TcdB), which inactivate GTPases by monoglucosylation, leading to cytopathic
(cytoskeleton alteration, cell rounding) and cytotoxic effects (cell-cycle
arrest, apoptosis). C. difficile toxins breaching the intestinal epithelial
barrier can act on underlying cells, enterocytes, colonocytes, and enteric
neurons, as described in vitro and in vivo, but until now no data have been
available on enteric glial cell (EGC) susceptibility. EGCs are crucial for
regulating the enteric nervous system, gut homeostasis, the immune and
inflammatory responses, and digestive and extradigestive diseases. Therefore, we
evaluated the effects of C. difficile TcdB in EGCs. Rat-transformed EGCs were
treated with TcdB at 0.1-10 ng/ml for 1.5-48 h, and several parameters were
analysed. TcdB induces the following in EGCs: (1) early cell rounding with Rac1
glucosylation; (2) early G2/M cell-cycle arrest by cyclin B1/Cdc2 complex
inactivation caused by p27 upregulation, the downregulation of cyclin B1 and Cdc2
phosphorylated at Thr161 and Tyr15; and (3) apoptosis by a caspase-dependent but
mitochondria-independent pathway. Most importantly, the stimulation of EGCs with
TNF-alpha plus IFN-gamma before, concomitantly or after TcdB treatment strongly
increased TcdB-induced apoptosis. Furthermore, EGCs that survived the cytotoxic
effect of TcdB did not recover completely and showed not only persistent Rac1
glucosylation, cell-cycle arrest and low apoptosis but also increased production
of glial cell-derived neurotrophic factor, suggesting self-rescuing mechanisms.
In conclusion, the high susceptibility of EGCs to TcdB in vitro, the increased
sensitivity to inflammatory cytokines related to apoptosis and the persistence of
altered functions in surviving cells suggest an important in vivo role of EGCs in
the pathogenesis of C. difficile infection.
PMID- 27891555
TI - The epidemiologic transition of thalassemia and associated hemoglobinopathies in
southern Taiwan.
AB - Since 1993, following the National Thalassemia Major Prevention Program and an
increase in immigration and interracial marriages, especially in southern Taiwan,
the distribution of hemoglobinopathies may have changed. This study investigates
the epidemiologic transition of hemoglobinopathies. We analyzed 1870 specimens
collected between 2003 and 2012 in southern Taiwan, used gap-polymerase chain
reaction and PCR-restriction fragment length polymorphism-based methods, and
confirmed genotypes of hemoglobinopathies by DNA sequencing. We found a 91%
reduction in the incidence of thalassemia major compared with samples from
between 1986 and 1995. The most common genotypes of alpha-thalassemia and alpha
Hb variants were the SEA type (69.4%) and Hb Quong Sze (1.54%). The most common
genotypes of beta-thalassemia and beta Hb variants were IVS-II-654 (46.2%) and Hb
E (2.2%), respectively. Compared with studies performed in different areas of and
time intervals in Taiwan, a higher prevalence of -alpha3.7, Hb Quong Sze, and Hb
E and a lower prevalence of the SEA type were found in this study. However, the
SEA type remained the most common genotype observed. In addition, an increasing
number of cases with an -alpha3.7 type carrier, Hb Quong Sze carrier, and
Ggamma(Agammadeltabeta) degrees were identified following a peak of interracial
marriages between 2003 and 2005, reflecting a regional difference and the impact
of interracial marriage. In conclusion, global migration and international
marriage have changed the distribution of hemoglobinopathies in Taiwan. A more
comprehensive prenatal screening for new immigrants with a longer follow-up is
warranted.
PMID- 27891556
TI - Comparing Self-Regulation-Associated Event Related Potentials in Preschool
Children with and without High Levels of Disruptive Behavior.
AB - Deficient self-regulation plays a key role in the etiology of early onset
disruptive behavior disorders and signals risk for chronic psychopathology.
However, to date, there has been no research comparing preschool children with
and without high levels of disruptive behavior using Event Related Potentials
(ERPs) associated with specific self-regulation sub-processes. We examined 15
preschool children with high levels of disruptive behavior (35 % female) and 20
peers with low disruptive behavior (50 % female) who completed a Go/No-go task
that provided emotionally valenced feedback. We tested whether 4 ERP components:
the Error Related Negativity, the Error Positivity, the Feedback Related
Negativity, and the No-go N2, differed in preschool children with and without
high levels of disruptive behavior. Preschoolers with high levels of disruptive
behavior showed less differentiation between the Error Positivity and
corresponding waveforms following correct responses at posterior sites.
Preschoolers with high and low disruptive behavior also showed differences in
Go/No-go N2 waveform amplitudes across electrodes. These findings suggest that
preschool children with high levels of disruptive behavior may show abnormal
brain activity during certain self-regulation sub-processes, informing potential
advances in conceptualizing and treating early disruptive behavior.
PMID- 27891557
TI - Exogenous Expression of Nt-3 and TrkC Genes in Bone Marrow Stromal Cells Elevated
the Survival Rate of the Cells in the Course of Neural Differentiation.
AB - Bone marrow stromal cells (BMSCs) are attractive cellular sources for cell
therapy of many diseases, specifically neurodegenerative ones. The potential
capability of BMSCs could be further augmented by enhancing their neuroprotective
property, differentiation potential, and survival rate subsequent to
transplantation. Therefore, a concurrent upregulation of neurotrophin-3 (NT-3)
and its high affinity receptor, tyrosin kinase C (TrkC), was utilized in our
study. BMSCs were cotransfected with pDsRed1-N1-NT-3 and pCMX-TrkC plasmids
before induction of neural differentiation. pEGFP-N1-transfected BMSCs were also
employed as a control. Real-time quantitative reverse transcription polymerase
chain reaction (qRT-PCR) was employed for gene expression analysis. Cell
viability was evaluated by MTT assay, while apoptosis rate was assessed by flow
cytometry after PI and Annexin V staining. NT-3 and TrkC mRNA levels were greatly
elevated following cotransfection of cells with pDsRed1-N1-NT-3 and pCMX-TrkC
vectors. The expression of neural markers (i.e., NFM, and NeuroD1) was augmented
in cotransfected BMSCs, compared to the control ones, after neural induction. At
each time point, the viability and apoptosis rates of the cells over-expressing
NT-3 and TrkC showed increased and reduced patterns, respectively. Our data
demonstrated that NT-3/TrkC-co-transfected BMSCs, compared to those of intact
cells, could be more beneficial graft candidates for the upcoming treatment
strategies of neurogenic disorders due to their increased viability and
expression of neural markers. This may be due to their increased level of neural
differentiation potential and/or their enhanced rate of survival and/or their
useful capacity to secrete NT-3.
PMID- 27891558
TI - Erratum to: An experimental study on the comparison of the effects of triester
glycerol oxide on wound repair.
PMID- 27891559
TI - Commentary on: "An update on peripheral ossifying fibroma: case report and
literature review".
PMID- 27891560
TI - Emergency femoral hernia repair: 13-year retrospective comparison of the three
classical open surgical approaches.
AB - PURPOSE AND METHODS: Femoral hernia repairs have been done classically with three
different open approaches, namely the Lockwood's (LW), Lotheissen's (LT) and
McEvedy's (ME) approaches. Current literature has yet provided any definite
conclusion over the best approach in emergency situations. This study aims to
evaluate and compare the operative outcomes of these three approaches in
emergency situations by retrospectively analyzing 190 cases (76 ME, 33 LT, 81 LW)
in 13 years at a regional surgical center. RESULTS: Significantly less
laparotomies were required for McEvedy's approach (ME 2.6% vs LT 33.3% vs LW
43.2%, p < 0.001), despite the need for bowel resection appear to be higher (ME
43.4% vs LT 27.3% vs LW 27.2%, p = 0.072). Overall hernia recurrence (p = 0.657)
and surgical complication rates (p = 0.585) were similar between the three
approaches. Although not reaching statistical significance, it appeared that in
patients undergoing McEvedy's operation, mean length of stay was longer (ME 10.1
days vs LT 7.4 days vs LW 9.2 days, p = 0.407) and required more operation time
(ME 97.4 min vs LT 72.0 min vs LW 79.0 min, p = 0.222). CONCLUSIONS: All three
approaches were safe and effective in repairing femoral hernias in the emergency
setting. McEvedy's approach may be superior to others when entry into the
peritoneum is anticipated, although it may potentially be associated with longer
operation time and hospital stay.
PMID- 27891561
TI - Citrus psorosis virus coat protein-derived hairpin construct confers stable
transgenic resistance in citrus against psorosis A and B syndromes.
AB - Citrus psorosis virus (CPsV) is the causal agent of psorosis, a serious and
widespread citrus disease. Two syndromes of psorosis, PsA and PsB, have been
described. PsB is the most aggressive and rampant form. Previously, we obtained
Pineapple sweet orange plants transformed with a hairpin construct derived from
the CPsV coat protein gene (ihpCP). Some of these plants were resistant to CPsV
90-1-1, a PsA isolate homologous to the transgene. In this study, we found that
expression of the ihpCP transgene and siRNA production in lines ihpCP-10 and -15
were stable with time and propagation. In particular, line ihpCP-15 has been
resistant for more than 2 years, even after re-inoculation. The ihpCP plants were
also resistant against a heterologous CPsV isolate that causes severe PsB
syndrome. Line ihpCP-15 manifested complete resistance while line ihpCP-10 was
tolerant to the virus, although with variable behaviour, showing delay and
attenuation in PsB symptoms. These lines are promising for a biotech product
aimed at eradicating psorosis.
PMID- 27891563
TI - Erratum to: Harvesting of microalgae biomass from the phycoremediation process of
greywater.
PMID- 27891562
TI - Molecular genetic analysis and evolution of begomoviruses and betasatellites
causing yellow mosaic disease of bhendi.
AB - In India, Bhendi yellow vein mosaic disease (BYVMD) is one of the most
economically important diseases of bhendi/okra and is caused by a complex of
monopartite begomovirus (Bhendi yellow vein mosaic virus-BYVMV) and betasatellite
(Bhendi yellow vein betasatellite-BYVB). In this study, we have analyzed the role
of possible evolutionary factors involved in the evolution of BYVMV and BYVB
isolates. Evidence of inter-species and inter-strain recombination events was
detected among the viral isolates, and majority of these recombinant isolates
possess microsatellites in their genome. Recombination analysis suggests that
cotton-infecting and bhendi-infecting begomoviruses probably share a recent
common ancestor. In addition to genetic differentiation and gene flow, high
degree of genetic variability was detected among the viral population. A strong
purifying selection seems to be acting on the viral coding regions. The
nucleotide substitution rate of V1 gene (for BYVMV) and betaC1 gene (for BYVB)
was estimated to be 7.55 * 10-4 and 2.25 * 10-3 nucleotide
substitutions/site/year, respectively. The present study underlines that the
evolution of BYVMD-associated viral components is driven by selection acting on
the genetic variation generated by recombination and mutation.
PMID- 27891565
TI - Expression and biochemical characteristics of two different aldosterone receptors
in both healthy and dilated cardiomyopathy dog heart tissue.
AB - Recently, replicates of the aldosterone receptor expression have been done in
healthy heart dog tissues through immunohistochemistry, showing an apparent
heterogeneous distribution in the four chambers. Recent studies have also
identified immediate effects of aldosterone, suggesting aldosterone also produces
non-genomic effects caused by an unidentified receptor. In order to study the
molecular and quantitative expression characteristics of aldosterone binding
receptors in the canine heart, we conducted studies, using Western Blot, in the
heart from both healthy animals and animals with dilated cardiomyopathy. The
results show the presence and distribution of two aldosterone receptors; one of
110/120 kDa molecular weight, suggested as cytosolic/nuclear and the other of
undetermined location with a 250 kDa molecular weight.
PMID- 27891564
TI - A homozygous PIGN missense mutation in Soft-Coated Wheaten Terriers with a canine
paroxysmal dyskinesia.
AB - Hereditary paroxysmal dyskinesias (PxD) are a heterogeneous group of movement
disorders classified by frequency, duration, and triggers of the episodes. A
young-adult onset canine PxD has segregated as an autosomal recessive trait in
Soft-Coated Wheaten Terriers. The medical records and videos of episodes from 25
affected dogs were reviewed. The episodes of hyperkinesia and dystonia lasted
from several minutes to several hours and could occur as often as >10/day. They
were not associated with strenuous exercise or fasting but were sometimes
triggered by excitement. The canine PxD phenotype most closely resembled
paroxysmal non-kinesigenic dyskinesia (PNKD) of humans. Whole genome sequences
were generated with DNA from 2 affected dogs and analyzed in comparison to 100
control canid whole genome sequences. The two whole genome sequences from dogs
with PxD had a rare homozygous PIGN:c.398C > T transition, which predicted the
substitution of an isoleucine for a highly conserved threonine in the encoded
enzyme. All 25 PxD-affected dogs were PIGN:c.398T allele homozygotes, whereas
there were no c.398T homozygotes among 1185 genotyped dogs without known
histories of PxD. PIGN encodes an enzyme involved in the biosynthesis of
glycosylphosphatidylinositol (GPI), which anchors a variety of proteins including
CD59 to the cell surface. Flow cytometry of PIGN-knockout HEK239 cells expressing
recombinant human PIGN with the c.398T variant showed reduced CD59 expression.
Mutations in human PIGN have been associated with multiple congenital anomalies
hypotonia-seizures syndrome-1 (MCAHS1). Movement disorders can be a part of
MCAHS1, but this is the first PxD associated with altered GPI anchor function.
PMID- 27891566
TI - Sudden death associated with syndromic craniosynostosis.
AB - In this paper we report the autopsy findings of a 7 year old girl who presented
with headache, nausea and repeated vomiting and died unexpectedly at home. She
had no previous history of major illnesses and no history of epileptic seizures.
External examination revealed ocular abnormalities. Internal examination
demonstrated severe cerebral edema with tonsillar herniation, premature fusion of
the cranial bone sutures, and prominent convolutional markings of the inner table
of the skull. Death was due to severe cerebral edema complicating syndromic
craniosynostosis. The craniofacial features in this case were in keeping with a
diagnosis of Crouzon syndrome which was confirmed by molecular testing of the
FGFR2 gene. Crouzon syndrome is a genetic disorder characterized by premature
fusion of the cranial bone sutures resulting in distinctive malformations of the
craniofacial region.
PMID- 27891567
TI - Barriers and Facilitators to Sustainment of an Evidence-Based Supported
Employment Program.
AB - Large-scale initiatives to expand evidence-based practices are often poorly
implemented and rarely endure. The purpose of this study was to identify the
perceived barriers and facilitators to sustainment of an evidence-based supported
employment program, Individual Placement and Support (IPS). Within a 2-year
prospective study of sustainment among 129 IPS programs in 13 states
participating in a national learning community, we interviewed IPS team leaders
and coded their responses to semi-structured interviews using a conceptual
framework adapted from another large-scale implementation study. Leaders in 122
agencies (95%) that sustained their IPS programs identified funding,
prioritization, and workforce characteristics as both key facilitators and
barriers. Additional key factors were lack of local community supports as a
barrier and leadership and structured workflow as facilitators. Within the IPS
learning community, team leaders attributed the sustainment of their program to
funding, prioritization, workforce, agency leadership, and structured workflow.
The actions of the learning community's leadership, state governments, and local
programs together may have contributed to the high sustainment rate.
PMID- 27891568
TI - Oral hygiene compliance in orthodontic patients: a randomized controlled study on
the effects of a post-treatment communication.
AB - BACKGROUND: Several studies have recently demonstrated that a post-treatment
communication to explain the importance of an oral hygiene can improve the
orthodontic patients' compliance over a period of 66 days. The main goal of this
study is to evaluate the effects of a structured follow-up communication after
orthodontic appliance application on oral hygiene compliance after 30-40 days.
METHODS: Eighty-four orthodontic participants enrolled from patients who were
beginning fixed orthodontic treatment at the Orthodontic Department, Gaslini
Hospital, Genova, between July and October 2014 were randomly assigned to one of
three trial arms. Before the bonding, all patients underwent a session of oral
hygiene aimed at obtaining an plaque index of "zero." At the following
orthodontic appointment, the plaque index was calculated for each patient in
order to assess oral hygiene compliance. The first group served as control and
did not receive any post-procedure communication, the second group received a
structured text message giving reassurance, and the third group received a
structured telephone call. Participants were blinded to group assignment and were
not made aware that the text message or the telephone call was part of the study.
(The research protocol was approved by the Italian Comitato Etico Regionale della
Liguria-sezione 3^ c/o IRCCS-Istituto G. Gaslini 845/2014, and it is not
registered in the trial's register.) RESULTS: Thirty patients were randomly
assigned to the control group, 28 participants to the text message group, and 26
to the telephone group. Participants who received a post-treatment communication
reported higher level of oral hygiene compliance than participants in the control
group. The plaque index was 0.3 (interquartile range (Iqr), 0.60) and 0.75 (Iqr,
1.30), respectively, with a significant difference (P = 0.0205). CONCLUSIONS: A
follow-up procedure after orthodontic treatment may be an effective tool to
increase oral hygiene compliance also over a short period.
PMID- 27891569
TI - Chair-side generated posterior monolithic lithium disilicate crowns: clinical
survival after 6 years.
AB - OBJECTIVES: The objective of this prospective clinical study was to evaluate the
clinical performance of chair-side generated monolithic lithium disilicate crowns
after 72 months. MATERIALS AND METHODS: Forty-one posterior full contour crowns
made of lithium disilicate ceramic were inserted in 34 patients with a chair-side
CAD/CAM technique. One crown per patient was randomly selected for evaluation at
baseline, 6, 12, 24, 36, 48, 60 and 72 months according to the modified US Public
Health Service criteria. RESULTS: After a mean examination time of 73.2 months
(SD +/- 1.7 months), 25 crowns were available for re-examination. Within the
observation period, three failures occurred due to one crown fracture after 2.9
years, an abutment fracture after 6.0 years, and one severe endodontic problem
after 6.1 years. One lithium disilicate crown showed a loss of retention after 2
years but could be reinserted. There were two events of caries below the crown
margin, one after 24 and another one after 48 months. Both teeth received
cervical adhesive composite fillings. Two abutment teeth changed their
sensibility perception from positive to negative within the first 13 months. The
failure-free rate was 87.6%, and the complication-free rate was 70.1% after 6
years according to the Kaplan-Meier analysis. CONCLUSIONS: Due to the fact that
there was only one severe technical complication and the severe biological
complications were in a normal range, the clinical performance of monolithic
lithium disilicate crowns in the posterior region was completely satisfying.
CLINICAL RELEVANCE: The chair-side application of monolithic lithium disilicate
crowns can be recommended.
PMID- 27891570
TI - Pragmatic approach to manage new oral anticoagulants in patients undergoing
dental extractions: a prospective case-control study.
AB - OBJECTIVES: The aim of this study was to validate a standardized pragmatic
approach to manage new oral anticoagulants (NOACs) in patients who undergo dental
extractions. MATERIALS AND METHODS: This prospective case-control study in
patients undergoing dental extraction included 26 patients (mean age 76 years,
57% male) treated with dabigatran, rivaroxaban, or apixaban and 26 matched
controls. Regardless of timing of extraction, drug regimen, or renal function,
patients were instructed to skip only the dose on the morning of the procedure. A
procedural bleeding score was recorded and early and delayed bleeding was
assessed at day 1 and day 7. Bleeding events were compared with a prospectively
matched control group not taking any antithrombotic drug. RESULTS: There was no
difference in the procedural bleeding score or in early bleeding events (5 in
both groups). However, delayed bleeding occurred more frequently in
anticoagulated compared to non-anticoagulated patients (7 versus none, p = 0.01).
CONCLUSIONS: Skipping the morning dose of NOACs avoids excess bleeding during and
early after the procedure. However, anticoagulated patients had an increased risk
of delayed bleedings. Further study is needed to determine the optimal post
procedural management. CLINICAL RELEVANCE: This is the first prospective study
for the management of patients on NOACs undergoing dental extraction. Our
pragmatic approach, omitting only a single morning dose, can guide clinical
practice. Both patients and physicians should be aware of the increased delayed
bleeding risk.
PMID- 27891571
TI - High-Risk Medications in Hospitalized Elderly Adults: Are We Making It Easy to Do
the Wrong Thing?
AB - OBJECTIVES: To examine dosages of high-risk medications administered to elderly
adults who fall in the hospital and to determine whether electronic default doses
are appropriate for elderly adults. DESIGN: Retrospective. SETTING: Large urban
academic hospital. PARTICIPANTS: Individuals aged 65 and older experiencing a
fall. MEASUREMENTS: Prescribed daily dosages and use of high-risk medications
(opiates, benzodiazepines, benzodiazepine-receptor agonists (BRAs), sleep
medications, muscle relaxants, antipsychotics) administered within 24 hours
before a fall were ascertained and compared with published recommended dosages
for older adults and the hospital's electronic medical record (EMR) default doses
for these drugs. RESULTS: Of 328 falls, 62% occurred in individuals administered
at least one high-risk medication within the 24 hours before the fall, with 16%
of the falls involving individuals receiving two, and another 16% in individuals
receiving three or more. High-risk medications were often administered at higher
than-recommended geriatric daily doses, in particular benzodiazepines and BRAs,
for which the dose was higher than recommended in 29 of 51 cases (57%). Hospital
EMR default doses were higher than recommended for 41% (12/29) of medications
examined. CONCLUSION: High-risk medications were administered to older fallers.
Doses administered and EMR default doses were often higher than recommended.
Decreasing EMR default doses for individuals aged 65 and older and warnings about
the cumulative numbers of high-risk medications prescribed per person may be
simple interventions that could decrease inpatient falls.
PMID- 27891572
TI - Safety concerns and risk management of multiple sclerosis therapies.
AB - Currently, more than ten drugs have been approved for treatment of relapsing
remitting multiple sclerosis (MS). Newer treatments may be more effective, but
have less favorable safety record. Interferon-beta preparations and glatiramer
acetate treatment require frequent subcutaneous or intramuscular injections and
are only moderately effective, but have very rarely life-threatening adverse
effects, whereas teriflunomide and dimethyl fumarate are administered orally and
have equal or better efficacy, but have more potentially severe adverse effects.
The highly effective therapies fingolimod, natalizumab, daclizumab, and
alemtuzumab have more serious adverse effects, some of which may be life
threatening. The choice between drugs should be based on a benefit-risk
evaluation and tailored to the individual patient's requirements in a dialogue
between the patient and treating neurologist. Patients with average disease
activity can choose between dimethyl fumarate and teriflunomide or the "old
injectable." Patients with very active MS may choose a more effective drug as the
initial treatment. In case of side effects on one drug, switch to another drug
can be tried. Suboptimal effect of the first drug indicates escalation to a
highly efficacious drug. A favorable benefit-risk balance can be maintained by
appropriate patient selection and appropriate risk management on therapy. New
treatments will within the coming 1-2 years change our current treatment
algorithm for relapsing-remitting MS.
PMID- 27891573
TI - Nephrocheck(r) results should be corrected for dilution.
PMID- 27891574
TI - Termination of pre-hospital resuscitation by anaesthesiologists - causes and
consequences. A retrospective study.
AB - AIM: Differentiating between a newly deceased patient and the lifeless patient in
whom immediate resuscitation is required may be facilitated by a pre-hospital
anaesthesiologist. The purpose of our study was to investigate to what extent and
why the pre-hospital anaesthesiologist pronounced life extinct in situations
where an emergency medical technician (EMT) would have been required to
resuscitate. METHODS: All lifeless patients seen pre-hospitally by the
anaesthesiologist-manned Mobile Emergency Care Unit in Odense, Denmark, from 2010
to 2014 were retrospectively studied. RESULTS: Of 17 035 contacts, 1275 patients
were lifeless without reliable signs of death. In 642 of these patients (3.8%)
resuscitation was initiated (median age 68 years). The remaining 633 patients
(3.7%) were declared dead at the scene without any resuscitation attempt (median
age 77 years). These latter patients would have been attempted resuscitated, had
the anaesthesiologist not been present. In 54.5% of cases where documentation was
available in the patient records, reasons for not resuscitating these patients
included time elapsed from incident to contact with physician, 'overall
assessment', chronic disease, or do-not-resuscitate order. CONCLUSION: In one
patient in 30, the MECU refrained from futile resuscitation in cases where
legislation required an EMT to initiate resuscitation. This practice reduced
unethical attempts of resuscitation, reduced unnecessary emergency ambulance
transports, and reduced the work load of the hospital resuscitation teams for one
unnecessary alarm every third day. Differentiating between lifeless patients and
dead patients not exhibiting reliable signs of death, however, is a complex task
which is only sparsely documented.
PMID- 27891575
TI - Multiple Sclerosis impact on employment and income in New Zealand.
AB - BACKGROUND AND OBJECTIVES: We investigated the demographic, social and clinical
characteristics associated with employment status and income for people with
multiple sclerosis (MS) in New Zealand (NZ). METHODS: The NZ National MS
Prevalence study included all persons resident in NZ on census day 2006 diagnosed
with MS (96.7% coverage). Factors associated with employment and income status
among the working age population (25-64 years) were identified by linear
regression. RESULTS: Over 90% of working age people with MS (n=1727) had a work
history, but 54% were not working. Work loss occurred early in the disease
course, and at low disability (P<.001). Advancing age, progressive disease,
longer disease duration, higher disability levels, partner loss and lower
education were associated with work loss (P<.001). Working age people with MS had
lower income than the NZ population (P<.0001). Higher qualifications yielded no
additional income for MS females and about half the additional income for MS
males (P<.0001). CONCLUSIONS: MS profoundly reduces employment and income early
in the disease course, and at low levels of disability, however, unemployment is
not entirely accounted for by clinical, social and demographic factors. These
findings suggest social supports should be explored early in the disease course
to reduce loss of income and unemployment for people with MS.
PMID- 27891576
TI - Changes in Drug Use and Polypharmacy After the Age of 90: A Longitudinal Study of
the Danish 1905 Cohort.
AB - OBJECTIVES: To determine the longitudinal development of drug use in very old
adults. DESIGN: Longitudinal cohort study with waves in 1998, 2000, 2002, and
2005. SETTING: Nationwide study in Denmark. PARTICIPANTS: All living Danes born
in 1905 were approached in 1998; 2,262 responded at baseline. MEASUREMENTS: Self
reported use of regularly taken drugs. Mean and median number of drugs and growth
curve models were used to identify the change in number of drugs as the cohort
aged from 92 to 100. RESULTS: The within-person use of drugs increased with age
for women (0.19 per year; 95% confidence interval (CI) = 0.15-0.24) and men (0.15
per year; 95% CI = 0.06-0.24). Persons leaving the study prematurely had higher
baseline values and a steeper increase in their annual use of drugs. The
population-level mean number of drugs increased from baseline (3.6 drugs) to the
first follow-up (4.1 drugs) but thereafter remained stable at approximately 4
drugs. Women used more drugs than men at all waves. CONCLUSION: In this first
longitudinal study of drug use in nonagenarians, individuals used an increasing
number of drugs as they aged. This increase is difficult to detect in cross
sectional analyses of the population-level mean. More efforts to understand what
is reasonable prescribing at these older ages are needed.
PMID- 27891577
TI - Statin use and risk of endometrial cancer: a nationwide registry-based case
control study.
AB - INTRODUCTION: Laboratory and epidemiological evidence have suggested that statin
use may protect against the development of certain cancers, including endometrial
cancer. In a nationwide registry-based case-control study, we examined the
association between statin use and risk of endometrial cancer. MATERIAL AND
METHODS: Cases were female residents of Denmark with a primary diagnosis of
endometrial cancer during 2000-2009. For each case, we selected 15 female
population controls matched on date of birth (+/-one month) using risk-set
sampling. Ever use of statin was defined as two or more prescriptions on separate
dates. Conditional logistic regressions were used to estimate age-matched (by
design) and multivariable-adjusted odds ratios (ORs) and 95% confidence intervals
(CI) for endometrial cancer associated with statin use. The multivariable
adjusted models included parity, hormone replacement therapy (HRT), obesity,
diabetes, chronic obstructive pulmonary disease and education. We evaluated
whether the association between statin use and endometrial cancer varied with
duration and intensity of statin use, type of endometrial cancer or patient
characteristics. RESULTS: The study population comprised 5382 endometrial cancer
cases and 72 127 population controls. We observed no association between ever use
of statins and endometrial cancer risk (OR 1.03, 95% CI 0.94-1.14). In addition,
endometrial cancer risk did not vary substantially with duration or intensity of
statin use. Stratification by type of endometrial cancer also yielded neutral
ORs. CONCLUSIONS: In our nationwide case-control study, we found no association
between statin use and risk of endometrial cancer.
PMID- 27891579
TI - Unexpected motor weakness following quadratus lumborum block for gynaecological
laparoscopy.
AB - Quadratus lumborum block has recently been described as an effective and long
lasting analgesic strategy for various abdominal operations, including
gynaecological laparoscopy. Despite evidence that the analgesic effect is
mediated by indirect paravertebral block and that local anaesthetic spreads to
the lumbar paravertebral space, there have been no reports to date of lower limb
motor weakness. We present a patient with unilateral hip flexion and knee
extension weakness leading to unplanned overnight admission following lateral
quadratus lumborum block with 20 ml levobupivacaine 0.25%. The L2 dermatomal
sensory loss and hip flexion weakness suggested spread to either the L2
paravertebral space or to the lumbar plexus, causing weakness of the psoas and
iliacus muscles and possibly the quadriceps. The duration of motor block was
approximately 18 h. This complication should be considered when performing the
block, especially in the setting of day-case surgery.
PMID- 27891578
TI - Dual specificity phosphatase 15 regulates Erk activation in Schwann cells.
AB - Schwann cells and oligodendrocytes are the myelinating cells of the peripheral
and central nervous system, respectively. Despite having different myelin
components and different transcription factors driving their terminal
differentiation there are shared molecular mechanisms between the two. Sox10 is
one common transcription factor required for several steps in development of
myelinating glia. However, other factors are divergent as Schwann cells need the
transcription factor early growth response 2/Krox20 and oligodendrocytes require
Myrf. Likewise, some signaling pathways, like the Erk1/2 kinases, are necessary
in both cell types for proper myelination. Nonetheless, the molecular mechanisms
that control this shared signaling pathway in myelinating cells remain only
partially characterized. The hypothesis of this study is that signaling pathways
that are similarly regulated in both Schwann cells and oligodendrocytes play
central roles in coordinating the differentiation of myelinating glia. To address
this hypothesis, we have used genome-wide binding data to identify a relatively
small set of genes that are similarly regulated by Sox10 in myelinating glia. We
chose one such gene encoding Dual specificity phosphatase 15 (Dusp15) for further
analysis in Schwann cell signaling. RNA interference and gene deletion by genome
editing in cultured RT4 and primary Schwann cells showed Dusp15 is necessary for
full activation of Erk1/2 phosphorylation. In addition, we show that Dusp15
represses expression of several myelin genes, including myelin basic protein. The
data shown here support a mechanism by which early growth response 2 activates
myelin genes, but also induces a negative feedback loop through Dusp15 to limit
over-expression of myelin genes.
PMID- 27891580
TI - Acute infection with the intestinal parasite Trichuris muris has long-term
consequences on mucosal mast cell homeostasis and epithelial integrity.
AB - A hallmark of parasite infection is the accumulation of innate immune cells,
notably granulocytes and mast cells, at the site of infection. While this is
typically viewed as a transient response, with the tissue returning to steady
state once the infection is cleared, we found that mast cells accumulated in the
large-intestinal epithelium following infection with the nematode Trichuris muris
and persisted at this site for several months after worm expulsion. Mast cell
accumulation in the epithelium was associated with the induction of type-2
immunity and appeared to be driven by increased maturation of local progenitors
in the intestinal lamina propria. Furthermore, we also detected increased local
and systemic levels of the mucosal mast cell protease MCPt-1, which correlated
highly with the persistent epithelial mast cell population. Finally, the mast
cells appeared to have striking consequences on epithelial barrier integrity, by
regulation of gut permeability long after worm expulsion. These findings
highlight the importance of mast cells not only in the early phases of infection
but also at later stages, which has functional implications on the mucosal
tissue.
PMID- 27891581
TI - Antigen specificity determines anti-red blood cell IgG-Fc alloantibody
glycosylation and thereby severity of haemolytic disease of the fetus and
newborn.
AB - Haemolytic disease of the fetus and newborn (HDFN) is a severe disease in which
fetal red blood cells (RBC) are destroyed by maternal anti-RBC IgG
alloantibodies. HDFN is most often caused by anti-D but may also occur due to
anti-K, -c- or -E. We recently found N-linked glycosylation of anti-D to be
skewed towards low fucosylation, thereby increasing the affinity to IgG-Fc
receptor IIIa and IIIb, which correlated with HDFN disease severity. Here, we
analysed 230 pregnant women with anti-c, -E or -K alloantibodies from a
prospective screening cohort and investigated the type of Fc-tail glycosylation
of these antibodies in relation to the trigger of immunisation and pregnancy
outcome. Anti-c, -E and -K show - independent of the event that had led to
immunisation - a different kind of Fc-glycosylation compared to that of the total
IgG fraction, but with less pronounced differences compared to anti-D. High Fc
galactosylation and sialylation of anti-c correlated with HDFN disease severity,
while low anti-K Fc-fucosylation correlated with severe fetal anaemia. IgG-Fc
glycosylation of anti-RBC antibodies is shaped depending on the antigen. These
features influence their clinical potency and may therefore be used to predict
severity and identify those needing treatment.
PMID- 27891582
TI - Versatile Roles of Intracellularly Located TRPV1 Channel.
AB - The ubiquitous expression in many organs throughout the body and the ability to
respond to a wide variety of physical and chemical stimuli have brought transient
receptor potential (TRP) channels to the vanguards of our sensory systems. TRP
vanilloid-1 (TRPV1) is the founding member of the TRPV subfamily. TRPV1 can be
activated by noxious heat, protons, and vanilloids. Previous studies have shown
that TRPV1 is located on the plasma membrane, serving to non-selectively permeate
calcium ion from the extracellular region to the cytoplasm. Interestingly,
increasing evidence suggests that TRPV1 is also located intracellularly in
various cell types such as neurons, myocytes, and numerous cancer cells. By
immunocytochemistry and/or fractionation followed by Western blotting, TRPV1 was
found to express on the endoplasmic reticulum/sarcoplasmic reticulum and the
mitochondria. By using various pharmacological and molecular tools, intracellular
TRPV1 was also found to functionally express to control calcium level both inside
the organelles and in the cytoplasm. Recent studies have shown that
intracellularly located TRPV1 serves versatile functions in various physiological
and pathological conditions (e.g., exercise endurance and hypertrophy). In this
review, we not only have summarized the well-characterized roles of TRPV1, but
also have highlighted the increasing importance of intracellular TRPV1-mediated
pathways. Lastly, we have pointed out future research direction for answering
several important questions that have remained unanswered. Vigorous investigation
of the emerging roles of intracellular TRPV1 can allow a better understanding of
how TRPV1 controls the cellular calcium homeostasis and its role in various
physiological and pathophysiological conditions. J. Cell. Physiol. 232: 1957
1965, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27891583
TI - The use of anthracyclines in the treatment of endemic Burkitt lymphoma.
AB - Burkitt lymphoma is the most common malignancy in children in Malawi, the world's
poorest country, where there is a long history of treating this disease using a
28-day cyclophosphamide-based protocol. Stage III/IV disease has had poor
outcomes. In an attempt to improve the outcome for higher stage disease,
anthracyclines were added to the existing protocol. The disease-free (DFS) and
overall survival (OS) of 58 children with cytologically confirmed Burkitt
lymphoma admitted during 2012-2014 and treated using this protocol were
calculated. Six (10%) children had stage I disease, ten (17%) stage II and 42
stage III or IV (73%). Overall 12-month DFS (OS) was 68.5% (72.9%); for stage I
disease 100% (100%), stage II 56.2% (60%), stage III/IV 66.3% (72.2%). The DFS
was significantly improved from the previous protocol (P = 8 * 10-4 ). The
addition of doxorubicin to stage III and IV disease resulted in a markedly
improved DFS. Anthracyclines are deliverable in resource-poor settings and
possibly improve the survival of children with Burkitt lymphoma.
PMID- 27891584
TI - An observational study of the macro- and micro-haemodynamic implications of
epidural anaesthesia in children.
AB - The haemodynamic implications of epidural anaesthesia in children are poorly
documented. We report macro- and micro-haemodynamic data from an observational
study of 25 children ranging from neonates to six-years old, who underwent
surgery conducted with a specific combination of monitoring systems. We analysed
90 min of study-related monitoring after epidural catheterisation, with skin
incision taking place after around 30 min. We recorded macrohaemodynamic
parameters (monitored using LiDCOrapid) including heart rate, mean arterial
pressure, cardiac output, stroke volume, systemic vascular resistance and stroke
volume variation. Microhaemodynamic parameters (monitored using InvosTM) included
cerebral and peri-renal oxygenation. Based on the entire 90 min of study-related
monitoring, we found significant increases in cardiac output (p = 0.009), stroke
volume (p = 0.006) and stroke volume variation (p = 0.008), as well as decreases
in systemic vascular resistance (p = 0.007) around 30 min after epidural
blockade. There were no significant changes in heart rate, arterial pressure and
cerebral or peri-renal oxygenation during these 90 min. Considering that the
microhaemodynamic parameters were not affected by the macrohaemodynamic changes,
we conclude that autoregulation of the brain and the kidneys was maintained in
children under epidural anaesthesia.
PMID- 27891585
TI - Novel mutations in KARS cause hypertrophic cardiomyopathy and combined
mitochondrial respiratory chain defect.
AB - Mutations in KARS, which encodes for both mitochondrial and cytoplasmic lysyl
tRNA synthetase, have been so far associated with three different phenotypes: the
recessive form of Charcot-Mary-Tooth polyneuropathy, the autosomal recessive
nonsyndromic hearing loss and the last recently described condition related to
congenital visual impairment and progressive microcephaly. Here we report the
case of a 14-year-old girl with severe cardiomyopathy associated to mild
psychomotor delay and mild myopathy; moreover, a diffuse reduction of cytochrome
C oxidase (COX, complex IV) and a combined enzymatic defect of complex I (CI) and
complex IV (CIV) was evident in muscle biopsy. Using the TruSight One sequencing
panel we identified two novel mutations in KARS. Both mutations, never reported
previously, occur in a highly conserved region of the catalytic domain and
displayed a dramatic effect on KARS stability. Structural analysis confirmed the
pathogenic role of the identified variants. Our findings confirm and emphasize
that mt-aminoacyl-tRNA synthetases (mt-ARSs) enzymes are related to a broad
clinical spectrum due to their multiple and still unknown functions.
PMID- 27891586
TI - Celastrol Attenuates Cadmium-Induced Neuronal Apoptosis via Inhibiting Ca2+
CaMKII-Dependent Akt/mTOR Pathway.
AB - Cadmium (Cd), an environmental and industrial pollutant, affects the nervous
system and consequential neurodegenerative disorders. Recently, we have shown
that celastrol prevents Cd-induced neuronal cell death partially by suppressing
Akt/mTOR pathway. However, the underlying mechanism remains to be elucidated.
Here, we show that celastrol attenuated Cd-elevated intracellular-free calcium
([Ca2+ ]i ) level and apoptosis in neuronal cells. Celastrol prevented Cd-induced
neuronal apoptosis by inhibiting Akt-mediated mTOR pathway, as inhibition of Akt
with Akt inhibitor X or ectopic expression of dominant negative Akt reinforced
celastrol's prevention of Cd-induced phosphorylation of S6K1/4E-BP1 and cell
apoptosis. Furthermore, chelating intracellular Ca2+ with BAPTA/AM or preventing
[Ca2+ ]i elevation using EGTA potentiated celastrol's repression of Cd-induced
[Ca2+ ]i elevation and consequential activation of Akt/mTOR pathway and cell
apoptosis. Moreover, celastrol blocked Cd-elicited phosphorylation of CaMKII, and
pretreatment with BAPTA/AM or EGTA enhanced celastrol's suppression of Cd
increased phosphorylation of CaMKII in neuronal cells, implying that celastrol
hinders [Ca2+ ]i -mediated CaMKII phosphorylation. Inhibiting CaMKII with KN93 or
silencing CaMKII attenuated Cd activation of Akt/mTOR pathway and cell apoptosis,
and this was strengthened by celastrol. Taken together, these data demonstrate
that celastrol attenuates Cd-induced neuronal apoptosis via inhibiting Ca2+
CaMKII-dependent Akt/mTOR pathway. Our findings underscore that celastrol may act
as a neuroprotective agent for the prevention of Cd-induced neurodegenerative
disorders. J. Cell. Physiol. 232: 2145-2157, 2017. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27891587
TI - Intestinal mononuclear cells primed by systemic interleukin-12 display long-term
ability to aggravate colitis in mice.
AB - To address whether the burst of systemic interleukin-12 (IL-12) influences
intestinal inflammation elicited by luminal stimuli, we induced IL-12 release by
cDNA injection in C57BL/6 mice and simultaneously started dextran sulphate sodium
administration. The sequence of the inflammatory response triggered by IL-12
release was characterized by assessing myeloperoxidase activity and histological
damage in colon samples on days 1, 3, 5 and 7 after colitis induction. To
evaluate the persistence of IL-12 priming, colitis was induced in mice 7 or 60
days after cDNA injection. Under IL-12 influence, the development of acute
colitis presented a faster and selective infiltration of inflammatory mononuclear
cells in the lamina propria. Recruitment was driven by systemic cytokines rather
than luminal antigens. Interestingly, when colitis was triggered 7 or 60 days
after the cytokine storm, cells maintained the ability to worsen clinical signs
of intestinal inflammation. Together, a systemic IL-12 burst effectively primed
intestinal cells that became more prone to develop inflammatory responses.
Activation was long-lasting because intestinal cells maintained their
inflammatory potential and their ability to aggravate colitis.
PMID- 27891588
TI - Whole-body MRI reveals high incidence of osteonecrosis in children treated for
Hodgkin lymphoma.
AB - Osteonecrosis is a well-recognized complication in patients treated with
corticosteroids. The incidence of osteonecrosis in children treated for Hodgkin
lymphoma is unknown because prospective whole-body magnetic resonance imaging
(MRI) studies are lacking in this patient population. Paediatric patients with
newly diagnosed Hodgkin lymphoma who were treated according to a uniform
paediatric Hodgkin protocol were eligible for inclusion in this prospective
study. Whole-body MRI was performed in all 24 included patients (mean age 15.1
years, 12 girls) both before treatment and after 2 cycles of chemotherapy, and in
16 patients after completion of chemotherapy. Osteonecrosis was identified in 10
patients (41.7%, 95% confidence interval: 22.0-61.4%), with a total of 56
osteonecrotic sites. Osteonecrosis was detected in 8 patients after 2 cycles of
OEPA (vincristine, etoposide, prednisone, doxorubicin), and in 2 additional
patients after completion of chemotherapy. Epiphyseal involvement of long bones
was seen in 4 of 10 children. None of the patients with osteonecrosis had any
signs of bone collapse at the times of scanning. Whole-body MRI demonstrates
osteonecrosis to be a common finding occurring during therapy response assessment
of paediatric Hodgkin lymphoma. Detection of early epiphyseal osteonecrosis could
allow for treatment before bone collapse and joint damage may occur.
PMID- 27891589
TI - Critical roles of conventional dendritic cells in promoting T cell-dependent
hepatitis through regulating natural killer T cells.
AB - Dendritic cells (DCs) play critical roles in initiating and regulating innate
immunity as well as adaptive immune responses. However, the role of conventional
dendritic cells (cDCs) in concanavalin A (ConA)-induced fulminant hepatitis is
unknown. In this study, we demonstrated that depletion of cDCs using either CD11c
diphtheria toxin receptor transgenic mice (DTR Tg) mice or anti-CD11c antibody
reduced the severity of liver injury significantly, indicating a detrimental role
of cDCs in ConA-induced hepatitis. We elucidated further the pathological role of
cDCs as being the critical source of interleukin (IL)-12, which induced the
secretion of interferon (IFN)-gamma by natural killer (NK) T cells.
Reconstitution of cDCs-depleted mice with IL-12 restored ConA-induced hepatitis
significantly. Furthermore, we determined that NK T cells were the target of DC
derived IL-12, and NK T cells contributed to liver inflammation and injury
through production of IFN-gamma. In summary, our study demonstrated a novel
function of cDCs in mediating ConA-induced hepatitis through regulating IFN-gamma
secretion of NK T cells in an IL-12-dependent fashion. Targeting cDCs might
provide potentially therapeutic applications in treating autoimmune related liver
diseases.
PMID- 27891590
TI - Bi-allelic IARS mutations in a child with intra-uterine growth retardation,
neonatal cholestasis, and mild developmental delay.
AB - Recently, bi-allelic mutations in cytosolic isoleucyl-tRNA synthetase (IARS) have
been described in three individuals with growth delay, hepatic dysfunction, and
neurodevelopmental disabilities. Here we report an additional subject with this
condition identified by whole-exome sequencing. Our findings support the
association between this disorder and neonatal cholestasis with distinct liver
pathology. Furthermore, we provide functional data on two novel missense
substitutions and expand the phenotype to include mild developmental delay, skin
hyper-elasticity, and hypervitaminosis D.
PMID- 27891591
TI - NOR1 Suppresses Cancer Stem-Like Cells Properties of Tumor Cells via the
Inhibition of the AKT-GSK-3beta-Wnt/beta-catenin-ALDH1A1 Signal Circuit.
AB - Cancer stem cells (CSCs) play a key role in tumor radiotherapy and chemotherapy
resistance, relapse, and metastasis, and are primarily maintained in a resting
state in vivo. The failure of conventional therapies to target CSCs is the main
cause of treatment failure. The discovery of CSCs in nasopharyngeal carcinoma
(NPC) tumors is becoming more prevalent; however, the understanding of the
mechanisms underlying the maintenance of tumor stemness is still limited. We
previously cloned NOR1, a tumor suppressor gene downregulated in NPC cell lines
and tissues. In this study, we demonstrate that Wnt/beta-catenin and ALDH1A1 form
a signal circuit and that NOR1 antagonizes the tumor stem cell-like phenotype in
NPC cell lines: the ectopic overexpression of NOR1 reduced beta-catenin and
ALDH1A1 expression; beta-catenin/TCF4 targeted the regulation of ALDH1A1
transcription in NPC cells; silencing ALDH1A1 reduced AKT (total and
phosphorylated) and GSK-3beta (phosphorylated) expression; and eventually
feedback decreased beta-catenin expression levels. We also found that NOR1
expression decreased cancer stem-like cell properties of NPC cells, reduced their
ability to form tumor spheroids in vitro, reduced tumorigenicity in nude mice in
vivo, and increased sensitivity to chemotherapy agents. Taken together, our
findings illustrated a new function of NOR1 that suppresses cancer stem-like cell
properties in tumor cells by inhibiting the AKT-GSK-3beta-Wnt/beta-catenin
ALDH1A1 signal circuit. The study suggests that NOR1 deletion expression in NPC
cells may be a potential molecular target for cancer stem cell therapy. J. Cell.
Physiol. 232: 2829-2840, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27891592
TI - Evaluation of a cow-side milk progesterone assay and assessment of the positive
predictive value of oestrus diagnosis by dairy farmers in New South Wales.
AB - OBJECTIVES: The three objectives of this study were to determine the positive
predictive value (PPV) of oestrus diagnosis (heat detection accuracy) by dairy
farmers, calculate the diagnostic sensitivity and specificity of the P4 Rapid
milk progesterone assay for detecting a corpus luteum and evaluate the economics
of using a cow-side milk progesterone assay designed to aid oestrus diagnosis.
METHODS: Milk samples were collected from 752 cows diagnosed in oestrus by farm
personnel on 14 dairy farms. Samples were tested using the P4 Rapid milk
progesterone assay to estimate the PPV of oestrus diagnosis at each farm and a
crude pooled mean of PPV of oestrus diagnosis across all farms. A further 156
milk samples were collected from cows with luteal tissue status determined by
transrectal ultrasound and tested by the P4 Rapid assay to enable calculation of
the sensitivity and specificity of the P4 Rapid assay. RESULTS: For pooled farm
samples, the PPV was 97.0%, with a range between farms of 88.9-100%. Sensitivity
of the P4 Rapid milk progesterone assay for detecting a corpus luteum was 90.1%
and specificity was 98.7%. Misclassification of oestrus in cows previously
identified as pregnant was the most common cause of false-positive oestrus
diagnoses by farm personnel. CONCLUSION: Routine testing of milk progesterone in
all cows diagnosed in oestrus is not economically justified and may even slightly
reduce submission rates; conversely, strategic use of cow-side milk progesterone
assays can improve herd reproductive performance by facilitating decisions on
whether to rebreed cows previously diagnosed as pregnant.
PMID- 27891594
TI - Obituary: Anthony William English.
PMID- 27891593
TI - Remote chemical immobilisation method for free-ranging Australian cattle.
AB - BACKGROUND: Many situations are encountered in Australia where the capture and
restraint of free-ranging cattle (Bos taurus/Bos indicus) is required. Chemical
immobilisation via darting is a potentially useful tool for managing and
researching large wild herbivores; however, there is no reliable method for its
application to Australian cattle. The aim of this study was to develop an
efficacious, humane, cost-effective ground darting method for free-ranging
cattle. METHODS: The 30 female cattle were darted and captured on a pastoral
station in north-west Australia from a vehicle. Xylazine (0.59 mg/kg) and
ketamine (3.59 mg/kg) were used to capture animals and yohimbine (0.10 mg/kg) was
used as an antagonist to xylazine to reduce recumbent time. RESULTS: Cattle
became recumbent at a mean time of 8 min and a mean distance of 260 m from
darting. The mortality rate was zero on the day of capture and 7% at 14 days post
capture. CONCLUSIONS: The majority of darted cattle were successfully immobilised
with one dart and recovered within 30 min, with consumables costing approximately
A$30 per captured animal. The technique developed represents a rapid and humane
method for capturing free-ranging cattle and, with consideration for legislation
surrounding use of veterinary chemicals, could be applied in many contexts across
Australia.
PMID- 27891598
TI - Donald Joseph McCaffrey 1935 - 2016.
PMID- 27891599
TI - Caroline Hopkins (nee Perrin) 1972-2016.
PMID- 27891595
TI - Comparison of the use of sodium carbonate (washing soda crystals) and apomorphine
for inducing emesis in dogs.
AB - OBJECTIVE: To describe the use of sodium carbonate and apomorphine in a
historical cohort of dogs, compare the occurrence of emesis and report any
adverse effects recorded. METHODS: This historical, observational study included
information from medical records of dogs that received an emetic agent. The
occurrence of emesis with apomorphine or sodium carbonate was calculated and the
association between emesis and agent was explored, with the odds ratio and 95%
confidence interval (CI) reported. A non-inferiority analysis of the occurrence
of emesis for sodium carbonate was performed against an equivalence range of +/
7% of the estimated occurrence of emesis with apomorphine. Owners were emailed a
short survey about their dog's health after their visit to the hospital for
induced emesis. RESULTS: Records for 787 dogs seen from January 2007 to December
2013 were included. For apomorphine, 382/392 dogs showed emesis (97%, 95% CI 95
100%). For sodium carbonate, 320/395 dogs showed emesis (81%, 95% CI 77-85%),
which fell below the equivalence range for apomorphine (97 +/- 7%, 90-100%) and
was considered inferior. The odds ratio of emesis with apomorphine to sodium
carbonate was 9.0 (95% CI 4.6-17.6). Of 18 responses to the survey, 5 reported
abnormalities after emesis (3 with sodium carbonate, 2 with apomorphine).
CONCLUSION: The occurrence of emesis with sodium carbonate was high but inferior
to apomorphine. However, the advantages of sodium carbonate, including less
expense and ease of accession compared with apomorphine, make it a viable choice
in emergency medicine.
PMID- 27891601
TI - Shedding light on hematopoietic stem cells: formation, regulation, and
utilization.
PMID- 27891600
TI - Human pDCs display sex-specific differences in type I interferon subtypes and
interferon alpha/beta receptor expression.
AB - The outcomes of many diseases differ between women and men, with women
experiencing a higher incidence and more severe pathogenesis of autoimmune and
some infectious diseases. It has been suggested that this is partially due to
activation of plasmacytoid dendritic cells (pDCs), the main producers of
interferon (IFN)-alpha, in response to toll-like receptor (TLR)7 stimulation. We
investigated the induction of type I IFN (IFN-I) subtypes upon TLR7 stimulation
on isolated pDCs. Our data revealed a sex-specific differential expression of IFN
Is, with pDCs from females showing a significantly higher mRNA expression of all
13 IFN-alpha subtypes. In addition, pDCs from females had higher levels of IFN
beta mRNA after stimulation, indicating that sex differences in IFN-I production
by pDCs were mediated by a signaling event upstream of the first loop of IFN-I
mRNA transcription. Furthermore, the surface expression levels of the common IFN
alpha/beta receptor subunit 2 were significantly higher on pDCs from females in
comparison to males. These data indicate that higher IFN-alpha production is
already established at the mRNA level and propose a contribution of higher IFN
alpha/beta receptor 2 expression on pDCs to the immunological differences in IFN
I production observed between females and males.
PMID- 27891603
TI - Using Harm-Based Weights for the AHRQ Patient Safety for Selected Indicators
Composite (PSI-90): Does It Affect Assessment of Hospital Performance and
Financial Penalties in Veterans Health Administration Hospitals?
AB - OBJECTIVE: To assess whether hospital profiles for public reporting and pay-for
performance, measured by the Agency for Healthcare Research and Quality (AHRQ)
Patient Safety for Selected Indicators (PSI-90) composite measure, were affected
by using the recently developed harm-based weights. DATA SOURCES/STUDY SETTING:
Retrospective analysis of 2012-2014 data from the Veterans Health Administration
(VA). STUDY DESIGN: The AHRQ PSI software (v5.0) was applied to obtain the
original volume-based PSI-90 scores for 132 acute-care hospitals. We constructed
a modified PSI-90 using the harm-based weights developed by AHRQ. We compared
hospital profiles for public reporting and pay-for-performance between these two
PSI-90s and assessed patterns in these changes. PRINCIPAL FINDINGS: The volume
based and the harm-based PSI-90s were strongly correlated (r = 0.67, p < .0001).
The use of the harm-based PSI-90 had a relatively small impact on public
reporting (i.e., 5 percent hospitals changed categorization), but it had a much
larger impact on pay-for-performance (e.g., 15 percent of hospitals would have
faced different financial penalties under the Medicare Hospital-Acquired
Condition Reduction Program). Because of changes in weights of specific PSIs,
hospital profile changes occurred systematically. CONCLUSIONS: Use of the harm
based weights in PSI-90 has the potential to significantly change payments under
pay-for-performance programs. Policy makers should carefully develop transition
plans for guiding hospitals through changes in any quality metrics used for pay
for-performance.
PMID- 27891604
TI - Best of the 2016 AcademyHealth Annual Research Meeting.
PMID- 27891606
TI - Putting the 'point' back into the ritual: a binary approach to difficult airway
prediction.
PMID- 27891602
TI - Cannabimimetic phytochemicals in the diet - an evolutionary link to food
selection and metabolic stress adaptation?
AB - : The endocannabinoid system (ECS) is a major lipid signalling network that plays
important pro-homeostatic (allostatic) roles not only in the nervous system but
also in peripheral organs. There is increasing evidence that there is a dietary
component in the modulation of the ECS. Cannabinoid receptors in hominids co
evolved with diet, and the ECS constitutes a feedback loop for food selection and
energy metabolism. Here, it is postulated that the mismatch of ancient lipid
genes of hunter-gatherers and pastoralists with the high-carbohydrate diet
introduced by agriculture could be compensated for via dietary modulation of the
ECS. In addition to the fatty acid precursors of endocannabinoids, the potential
role of dietary cannabimimetic phytochemicals in agriculturist nutrition is
discussed. Dietary secondary metabolites from vegetables and spices able to
enhance the activity of cannabinoid-type 2 (CB2 ) receptors may provide adaptive
metabolic advantages and counteract inflammation. In contrast, chronic CB1
receptor activation in hedonic obese individuals may enhance pathophysiological
processes related to hyperlipidaemia, diabetes, hepatorenal inflammation and
cardiometabolic risk. Food able to modulate the CB1 /CB2 receptor activation
ratio may thus play a role in the nutrition transition of Western high-calorie
diets. In this review, the interplay between diet and the ECS is highlighted from
an evolutionary perspective. The emerging potential of cannabimimetic food as a
nutraceutical strategy is critically discussed. LINKED ARTICLES: This article is
part of a themed section on Principles of Pharmacological Research of
Nutraceuticals. To view the other articles in this section visit
http://onlinelibrary.wiley.com/doi/10.1111/bph.v174.11/issuetoc.
PMID- 27891605
TI - Are Two Heads Better Than One or Do Too Many Cooks Spoil the Broth? The Trade-Off
between Physician Division of Labor and Patient Continuity of Care for Older
Adults with Complex Chronic Conditions.
AB - OBJECTIVE: To examine the effects of physician division of labor and patient
continuity of care (COC) on the care quality and outcomes of older adults with
complex chronic conditions. DATA SOURCES/STUDY SETTING: Seven years (2006-2012)
of panel data from the Medicare Current Beneficiary Survey (MCBS). STUDY DESIGN:
Regression models were used to estimate the effect of the specialty-type of
physicians involved in annual patient evaluation and management, as well as
patient COC, on simultaneous care processes and following year outcomes. DATA
COLLECTION/EXTRACTION METHODS: Multiyear cohorts of Medicare beneficiaries with
diabetes and/or heart failure were retrospectively identified to create a panel
of 15,389 person-year observations. PRINCIPAL FINDINGS: Involvement of both
primary care physicians and disease-relevant specialists is associated with
better compliance with process-of-care guidelines, but patients seeing disease
relevant specialists also receive more repeat cardiac imaging (p < .05). Patient
COC is associated with less repeat cardiac imaging and compliance with some
recommended care processes (p < .05), but the effects are small. Receiving care
from a disease-relevant specialist is associated with lower rates of following
year functional impairment, institutionalization in long-term care, and
ambulatory care sensitive hospitalization (p < .05). CONCLUSIONS: Annual
involvement of disease-relevant specialists in the care of beneficiaries with
complex chronic conditions leads to more resource use but has a beneficial effect
on outcomes.
PMID- 27891607
TI - Cortical and reticular contributions to human precision and power grip.
AB - KEY POINTS: The corticospinal tract contributes to the control of finger muscles
during precision and power grip. We explored the neural mechanisms contributing
to changes in corticospinal excitability during these gripping configurations.
Motor evoked potentials (MEPs) elicited by cortical, but not by subcortical,
stimulation were more suppressed during power grip compared with precision grip
and index finger abduction. Intracortical inhibition was more reduced during
power grip compared with the other tasks. An acoustic startle cue, a stimulus
that engages the reticular system, suppressed MEP size during power grip to a
lesser extent than during the other tasks at a cortical level and this positively
correlated with changes in intracortical inhibition. Our findings suggest that
changes in corticospinal excitability during gross more than fine finger
manipulations are largely cortical in origin and that the reticular system
contributed, at least in part, to these effects. ABSTRACT: It is well accepted
that the corticospinal tract contributes to the control of finger muscles during
precision and power grip in humans but the neural mechanisms involved remain
poorly understood. Here, we examined motor evoked potentials elicited by cortical
and subcortical stimulation of corticospinal axons (MEPs and CMEPs, respectively)
and the activity in intracortical circuits (suppression of voluntary
electromyography) and spinal motoneurons (F-waves) in an intrinsic hand muscle
during index finger abduction, precision grip and power grip. We found that the
size of MEPs, but not CMEPs, was more suppressed during power grip compared with
precision grip and index finger abduction, suggesting a cortical origin for these
effects. Notably, intracortical inhibition was more reduced during power grip
compared with the other tasks. To further examine the origin of changes in
intracortical inhibition we assessed the contribution of the reticular system,
which projects to cortical neurons, and projects to spinal motoneurons
controlling hand muscles. An acoustic startle cue, which engages the reticular
system, suppressed MEP size during power grip to a lesser extent than during the
other tasks and this positively correlated with changes in intracortical
inhibition. A startle cue decreased intracortical inhibition, but not CMEPs,
during power grip. F-waves remained unchanged across conditions. Our novel
findings show that changes in corticospinal excitability present during power
grip compared with fine finger manipulations are largely cortical in origin and
suggest that the reticular system contributed, at least in part, to these
effects.
PMID- 27891608
TI - Compensatory axon sprouting for very slow axonal die-back in a transgenic model
of spinal muscular atrophy type III.
AB - KEY POINTS: Smn+/- transgenic mouse is a model of the mildest form of spinal
muscular atrophy. Although there is a loss of spinal motoneurons in 11-month-old
animals, muscular force is maintained. This maintained muscular force is mediated
by reinnervation of the denervated fibres by surviving motoneurons. The spinal
motoneurons in these animals do not show an increased susceptibility to death
after nerve injury and they retain their regenerative capacity. We conclude that
the hypothesized immaturity of the neuromuscular system in this model cannot
explain the loss of motoneurons by systematic die-back. ABSTRACT: Spinal muscular
atrophy (SMA) is a common autosomal recessive disorder in humans and is the
leading genetic cause of infantile death. Patients lack the SMN1 gene with the
severity of the disease depending on the number of copies of the highly
homologous SMN2 gene. Although motoneuron death in the Smn+/- transgenic mouse
model of the mildest form of SMA, SMA type III, has been reported, we have used
retrograde tracing of sciatic and femoral motoneurons in the hindlimb with
recording of muscle and motor unit isometric forces to count the number of
motoneurons with intact neuromuscular connections. Thereby, we investigated
whether incomplete maturation of the neuromuscular system induced by survival
motoneuron protein (SMN) defects is responsible for die-back of axons relative to
survival of motoneurons. First, a reduction of ~30% of backlabelled motoneurons
began relatively late, at 11 months of age, with a significant loss of 19% at 7
months. Motor axon die-back was affirmed by motor unit number estimation. Loss of
functional motor units was fully compensated by axonal sprouting to retain normal
contractile force in four hindlimb muscles (three fast-twitch and one slow
twitch) innervated by branches of the sciatic nerve. Second, our evaluation of
whether axotomy of motoneurons in the adult Smn+/- transgenic mouse increases
their susceptibility to cell death demonstrated that all the motoneurons survived
and they sustained their capacity to regenerate their nerve fibres. It is
concluded the systematic die-back of motoneurons that innervate both fast- and
slow-twitch muscle fibres is not related to immaturity of the neuromuscular
system in SMA.
PMID- 27891609
TI - Role of TRPM7 in cerebral ischaemia and hypoxia.
AB - Transient receptor potential melastatin 7 (TRPM7) channel, a calcium-permeable
non-selective divalent cation channel, is broadly expressed in various cells and
tissues, including the brain. TRPM7 is thought to be coupled to the metabolic
state and regulate calcium homeostasis in the cell. TRPM7 takes part in a wide
range of cell biology processes that affect cell growth and proliferation, as
well as in embryonic development and skeleton formation. TRPM7 plays a
significant role in ischaemic and hypoxic brain injury and neuronal cell death.
TRPM7, as a key non-glutamate mechanism of cerebral ischaemia, also triggers an
intracellular ionic imbalance and neuronal cell death in ischaemia and hypoxia.
We have reported that TRPM7 is expressed in neurons of the hippocampus and cortex
and activation of TRPM7 induced ischaemic neuronal cell death; suppression of
TRPM7 with virally mediated gene silencing using siRNA reduced ischaemic neuronal
cell death and improved neurobehavioural outcomes in vivo. Recently, we also
demonstrated that inhibition of TRPM7 using pharmacological means promoted
neuronal outgrowth in vitro and provided neuroprotection against brain injury to
hypoxia in vivo. Thus, we have shown the contributions of TRPM7 in many
physiological and pathophysiological processes, including hypoxia and ischaemia.
PMID- 27891611
TI - Pharmacokinetics cannot explain the increased effective dose requirement for
morphine and midazolam in rats during their extended administration alone or in
combination.
AB - OBJECTIVES: Chronic administration of morphine and midazolam, alone or in
combination, can induce tolerance to their effects. Data showed that co
administration of morphine and midazolam increased effective dose requirement of
morphine, exceeding that observed with morphine alone. METHODS: To elucidate the
pharmacokinetic component to the tolerance, we administered midazolam (2 mg/kg)
and morphine (10 mg/kg) alone or their combination daily to rats for 12 days
followed by a pharmacokinetic study on day 13. On the study day, each animal
received a single bolus dose of 5 mg/kg morphine, and 2 mg/kg of midazolam 30 s
later. Multiple blood samples were obtained for 6 h. Plasma drug concentrations
were assayed by mass spectrometry optimized for small samples. KEY FINDINGS: Mean
morphine clearance was as follows: 22.2, 27.2, 26.0 and 23.4 l/h per kg in the
saline-saline, saline-midazolam, saline-morphine and midazolam-morphine groups,
respectively. Corresponding midazolam clearances were 32.8, 23.0, 22.2 and 31.1
l/h per kg. ANOVA indicated no significant differences among the four groups in
the clearances, half-lives, and volumes of distribution. Morphine and midazolam
clearances were significantly correlated (R2 = 0.48, P < 0.001). CONCLUSIONS:
This animal model suggests that altered pharmacokinetics cannot explain tolerance
evidenced as increased dose requirement for morphine or midazolam, when
administered alone or combination, for extended periods.
PMID- 27891610
TI - Resveratrol supplementation of high-fat diet-fed pregnant mice promotes brown and
beige adipocyte development and prevents obesity in male offspring.
AB - KEY POINTS: Maternal high-fat diet impairs brown adipocyte function and
correlates with obesity in offspring. Maternal resveratrol administration
recovers metabolic activity of offspring brown adipose tissue. Maternal
resveratrol promotes beige adipocyte development in offspring white adipose
tissue. Maternal resveratrol intervention protects offspring against high-fat
diet-induced obesity. ABSTRACT: Promoting beige/brite adipogenesis and
thermogenic activity is considered as a promising therapeutic approach to reduce
obesity and metabolic syndrome. Maternal obesity impairs offspring brown
adipocyte function and correlates with obesity in offspring. We previously found
that dietary resveratrol (RES) induces beige adipocyte formation in adult mice.
Here, we evaluated further the effect of resveratrol supplementation of pregnant
mice on offspring thermogenesis and energy expenditure. Female C57BL/6 J mice
were fed a control diet (CON) or a high-fat diet (HFD) with or without 0.2% (w/w)
RES during pregnancy and lactation. Male offspring were weaned onto a HFD and
maintained on this diet for 11 weeks. The offspring thermogenesis and related
regulatory factors in adipose tissue were evaluated. At weaning, HFD offspring
had lower thermogenesis in brown and white adipose tissues compared with CON
offspring, which was recovered by maternal RES supplementation, along with the
appearance of multilocular brown/beige adipocytes and elevated thermogenic gene
expression. Adult offspring of RES-treated mothers showed increased energy
expenditure and insulin sensitivity when on an obesogenic diet compared with HFD
offspring. The elevated metabolic activity was correlated with enhanced brown
adipose function and white adipose tissue browning in HFD+RES compared with HFD
offspring. In conclusion, RES supplementation of HFD-fed dams during pregnancy
and lactation promoted white adipose browning and thermogenesis in offspring at
weaning accompanied by persistent beneficial effects in protecting against HFD
induced obesity and metabolic disorders.
PMID- 27891613
TI - A Review of Spectroscopic and Biophysical-Chemical Studies of the Complex of
Cyclobutane Pyrimidine Dimer Photolyase and Cryptochrome DASH with Substrate DNA.
AB - Cyclobutane pyrimidine dimer (CPD) photolyase (PL) is a structure-specific DNA
repair enzyme that uses blue light to repair CPD on DNA. Cryptochrome (CRY) DASH
enzymes use blue light for the repair of CPD lesions on single-stranded (ss) DNA,
although some may also repair these lesions on double-stranded (ds) DNA. In
addition, CRY DASH may be involved in blue light signaling, similar to
cryptochromes. The focus of this review is on spectroscopic and biophysical
chemical experiments of the enzyme-substrate complex that have contributed to a
more detailed understanding of all the aspects of the CPD repair mechanism of CPD
photolyase and CRY DASH. This will be performed in the backdrop of the available
X-ray crystal structures of these enzymes bound to a CPD-like lesion. These
structures helped to confirm conclusions that were drawn earlier from
spectroscopic and biophysical-chemical experiments, and they have a critical
function as a framework to design new experiments and to interpret new
experimental data. This review will show the important synergy between X-ray
crystallography and spectroscopic/biophysical-chemical investigations that is
essential to obtain a sufficiently detailed picture of the overall mechanism of
CPD photolyases and CRY DASH proteins.
PMID- 27891614
TI - ERECTA-family receptor kinase genes redundantly prevent premature progression of
secondary growth in the Arabidopsis hypocotyl.
AB - Secondary growth is driven by continuous cell proliferation and differentiation
of the cambium that acts as vascular stem cells, producing xylem and phloem to
expand vascular tissues laterally. During secondary growth of hypocotyls in
Arabidopsis thaliana, the xylem undergoes a drastic phase transition from a
parenchyma-producing phase to a fiber-producing phase at the appropriate time.
However, it remains to be fully elucidated how progression of secondary growth is
properly controlled. We focused on phenotypes of hypocotyl vasculatures caused by
double mutation in ERECTA (ER) and ER-LIKE1 (ERL1) receptor-kinase genes to
elucidate their roles in secondary growth. ER and ERL1 redundantly suppressed
excessive radial growth of the hypocotyl vasculature during secondary growth. ER
and ERL1 also prevented premature initiation of the fiber differentiation process
mediated by the NAC SECONDARY WALL THICKENING PROMOTING FACTORs in the hypocotyl
xylem. Upon floral transition, the hypocotyl xylem gained a competency to respond
to GA in a BREVIPEDICELLUS-dependent manner, which was a prerequisite for fiber
differentiation. However, even after the floral transition, ER and ERL1 prevented
precocious initiation of the GA-mediated fiber formation. Collectively, our
findings reveal that ER and ERL1 redundantly prevent premature progression of
sequential events in secondary growth.
PMID- 27891612
TI - Neurovascular mechanisms underlying augmented cold-induced reflex cutaneous
vasoconstriction in human hypertension.
AB - KEY POINTS: In hypertensive adults (HTN), cardiovascular risk increases
disproportionately during environmental cold exposure. Despite ample evidence of
dysregulated sympathetic control of the peripheral vasculature in hypertension,
no studies have examined integrated neurovascular function during cold stress in
HTN. The findings of the present study show that whole-body cold stress elicits
greater increases in sympathetic outflow directed to the cutaneous vasculature
and, correspondingly, greater reductions in skin blood flow in HTN. We further
demonstrate an important role for non-adrenergic sympathetic co-transmitters in
mediating the vasoconstrictor response to cold stress in hypertension. In the
context of thermoregulation and the maintenance of core temperature,
sympathetically-mediated control of the cutaneous vasculature is not only
preserved, but also exaggerated in hypertension. Given the increasing prevalence
of hypertension, clarifying the mechanistic underpinnings of hypertension-induced
alterations in neurovascular function during cold exposure is clinically
relevant. ABSTRACT: Despite ample evidence of dysregulated sympathetic control of
the peripheral vasculature in hypertension, no studies have examined integrated
neurovascular function during cold stress in hypertensive adults (HTN). We
hypothesized that (i) whole-body cooling would elicit greater cutaneous
vasoconstriction and greater increases in skin sympathetic nervous system
activity (SSNA) in HTN (n = 14; 56 +/- 2 years) compared to age-matched
normotensive adults (NTN; n = 14; 55 +/- 2 years) and (ii) augmented reflex
vasoconstriction in HTN would be mediated by an increase in cutaneous vascular
adrenergic sensitivity and a greater contribution of non-adrenergic sympathetic
co-transmitters. SSNA (peroneal microneurography) and red cell flux (laser
Doppler flowmetry; dorsum of foot) were measured during whole-body cooling (water
perfused suit). Sympathetic adrenergic- and non-adrenergic-dependent
contributions to reflex cutaneous vasoconstriction and vascular adrenergic
sensitivity were assessed pharmacologically using intradermal microdialysis.
Cooling elicited greater increases in SSNA (NTN: +64 +/- 13%baseline vs. HTN:
+194 +/- 26%baseline ; P < 0.01) and greater reductions in skin blood flow (NTN:
16 +/- 2%baseline vs. HTN: -28 +/- 3%baseline ; P < 0.01) in HTN compared to
NTN, reflecting an increased response range for sympathetic reflex control of
cutaneous vasoconstriction in HTN. Norepinephrine dose-response curves showed no
HTN-related difference in cutaneous adrenergic sensitivity (logEC50 ; NTN: -7.4
+/- 0.3 log M vs. HTN: -7.5 +/- 0.3 log M; P = 0.84); however, non-adrenergic
sympathetic co-transmitters mediated a significant portion of the vasoconstrictor
response to cold stress in HTN. Collectively, these findings indicate that
hypertension increases the peripheral cutaneous vasoconstrictor response to cold
via greater increases in skin sympathetic outflow coupled with an increased
reliance on non-adrenergic neurotransmitters.
PMID- 27891615
TI - A large potentiation effect of serum on the in vitro potency of tulathromycin
against Mannheimia haemolytica and Pasteurella multocida.
AB - The antimicrobial properties of tulathromycin were investigated for M.
haemolytica and P. multocida. Three in vitro indices of antimicrobial activity,
minimum inhibitory concentration (MIC), minimum bactericidal concentration (MBC)
and time-kill curves, were established for six isolates of each organism. Each
index was measured in two growth media: Mueller-Hinton broth (MHB) and calf
serum. It was shown that MICs and MBCs were markedly lower in serum than in MHB.
MHB:serum ratios for MIC were 47:1 (M. haemolytica) and 53:1 (P. multocida). For
both serum and MHB, adjustment of pH led to greater potency at alkaline compared
to acid pH. Tulathromycin MIC was influenced by size of inoculum count, being 4.0
to 7.7-fold greater for high compared to low initial counts. It was concluded
that for the purpose of determining dosages for therapeutic use, pharmacodynamic
data for tulathromycin should be derived in biological fluids such as serum. It
is hypothesized that in vitro measurement of MIC in broth, conducted according to
internationally recommended standards, may be misleading as a basis for
estimating the in vivo potency of tulathromycin.
PMID- 27891616
TI - Human papillomavirus-induced oropharyngeal cancer in Hispanics in the United
States.
AB - OBJECTIVES/HYPOTHESIS: Determine disparities in survival outcome and clinical
presentation between Hispanic and non-Hispanic white patients with human
papillomavirus-positive oropharyngeal squamous cell carcinoma. STUDY DESIGN:
Retrospective clinical research. METHODS: Clinical data on Hispanics and non
Hispanic white patients with diagnosis of human papillomavirus/p16-positive
oropharyngeal squamous cell carcinoma were drawn from a tumor registry from the
University of Miami Hospitals and Clinics from 2008 to 2014. Of 436 patients with
oropharyngeal squamous cell carcinoma, 237 patents met inclusion criteria.
Patient's age, gender, smoking history, alcohol history, race/ethnicity, tumor T
stage, nodal N stage, and composite TNM stage were included in the analysis.
Associations between race and other categorical variables were explored with chi2
test or Fisher exact test where appropriate. Survival curves were generated using
the Kaplan-Meier method. RESULTS: Significant differences in clinical
presentation was detected between Hispanic (N = 70) and non-Hispanic white (N =
167) patients. Hispanic human papillomavirus-positive oropharyngeal squamous cell
carcinoma patients showed a higher proportion of women with disease, a higher
proportion of patients presenting with tonsil rather than tongue base primary
subsite cancer, and a higher proportion of patients who do not consume alcohol
compared to non-Hispanic white human papillomavirus-positive oropharyngeal
squamous cell carcinoma patients. A statistically significant survival difference
between these two ethnic groups was not detected in the current dataset.
CONCLUSIONS: Unique differences in clinical presentations between Hispanic
patients and non-Hispanic whites with human papillomavirus-positive oropharyngeal
squamous cell carcinoma were detected. This may be the first study to report
novel clinical presentation in Hispanic human papillomavirus-positive patients
with oropharyngeal squamous cell carcinoma living in the United States. LEVEL OF
EVIDENCE: 4. Laryngoscope, 127:1097-1101, 2017.
PMID- 27891617
TI - Signet ring cell carcinoma of resectable metastatic colorectal cancer has rare
surgical value.
AB - BACKGROUND AND OBJECTIVES: Signet ring cell carcinoma (SRCC) is a uniquely
separated subgroup in metastatic colorectal cancer (mCRC). The aims are to
investigate the value of resection in patients with resectable metastatic signet
ring cell colorectal cancer. METHODS: Patients with mCRC who underwent resection
in Surveillance, Epidemiology, and End Results database during 1998-2010 were
retrospectively analyzed. Kaplan-Meier and COX models were used to analyze the
differences in the survival. Logistic regression models were used to evaluate the
relationship between SRCC and other clinicopathological factors. RESULTS: Among
the 3,568 patients, 94 (2.63%) patients had SRCC. The median survival time of
patients with SRCC and non-SRCC were 17 and 29 months, respectively (P < 0.001).
Multivariate analysis indicated that SRCC was an independent prognostic factor
for poor overall survival. Logistic regression model based on variables
identified by univariate analysis indicated that younger age (<=50 years old) (P
= 0.005), female (P < 0.001), location in colon (P = 0.012), and N positive
status (P = 0.003) were independent variables correlated with the SRCC subgroup.
SRCC had a dramatically higher invalid surgical outcome rate than non-SRCC (P =
0.001). CONCLUSION: SRCC patients might benefit little from the resection of
primary and metastatic lesions with a high rate of undergoing invalid operations.
J. Surg. Oncol. 2016;114:1004-1008. (c) 2016 Wiley Periodicals, Inc.
PMID- 27891619
TI - The role of nutrients in drought-induced tree mortality and recovery.
AB - Contents 513 I. 513 II. 514 III. 517 518 References 518 SUMMARY: Global forests
are experiencing rising temperatures and more severe droughts, with consistently
dire forecasts for negative future impacts. Current research on the physiological
mechanisms underlying drought impacts is focused on the water- and carbon
associated mechanisms. The role of nutrients is notably missing from this
research agenda. Here, we investigate what role, if any, forest nutrition plays
for survival and recovery of forests during and after drought. High nutrient
availability may play a detrimental role in drought survival due to preferential
biomass allocation aboveground that (1) predispose plants to hydraulic
constraints limiting photosynthesis and promoting hydraulic failure, (2)
increases carbon costs during periods of carbon starvation, and (3) promote
biotic attack due to low tissue carbon: nitrogen (C : N). When nutrient uptake
occurs during drought, high nutrient availability can increase water use
efficiency thus minimizing negative feedbacks between carbon and nutrient
balance. Nutrients are released after drought ceases, which might promote faster
recovery but the temporal dynamics of microbial immobilization and nutrient
leaching have a significant impact on nutrient availability. We provide a
framework for understanding nutrient impacts on drought survival that allows a
more complete analysis of forest ecosystem responses.
PMID- 27891618
TI - CO2 studies remain key to understanding a future world.
AB - Contents 34 I. 34 II. 36 III. 37 IV. 37 V. 38 38 References 38 SUMMARY:
Characterizing plant responses to past, present and future changes in atmospheric
carbon dioxide concentration ([CO2 ]) is critical for understanding and
predicting the consequences of global change over evolutionary and ecological
timescales. Previous CO2 studies have provided great insights into the effects of
rising [CO2 ] on leaf-level gas exchange, carbohydrate dynamics and plant growth.
However, scaling CO2 effects across biological levels, especially in field
settings, has proved challenging. Moreover, many questions remain about the
fundamental molecular mechanisms driving plant responses to [CO2 ] and other
global change factors. Here we discuss three examples of topics in which
significant questions in CO2 research remain unresolved: (1) mechanisms of CO2
effects on plant developmental transitions; (2) implications of rising [CO2 ] for
integrated plant-water dynamics and drought tolerance; and (3) CO2 effects on
symbiotic interactions and eco-evolutionary feedbacks. Addressing these and other
key questions in CO2 research will require collaborations across scientific
disciplines and new approaches that link molecular mechanisms to complex
physiological and ecological interactions across spatiotemporal scales.
PMID- 27891620
TI - Modulation of formalin-induced pain-related behaviour by clonidine and yohimbine
in the Speke's hinged tortoise (Kiniskys spekii).
AB - The study was designed to investigate the involvement of noradrenergic and
serotonergic receptor systems in the modulation of formalin-induced pain-related
behaviour in the Speke's hinged tortoise. Intradermal injection of 100 MUL of
formalin at a dilution of 12.5% caused pain-related behaviour (hindlimb
withdrawal) that lasted for a mean time of 19.28 min (monophasic response).
Intrathecal administration of clonidine (alpha2 -adrenergic receptor agonist) and
yohimbine (alpha2 -adrenergic receptor antagonist) at a dose of 40 MUg/kg and
37.5 MUg/kg or 50 MUg/kg, respectively, caused a highly significant reduction in
the duration of the formalin-induced pain-related behaviour. The effect of
clonidine was reversed by intrathecal administration of yohimbine at a dose of
26.7 MUg/kg. The effect of yohimbine at a dose of 50 MUg/kg was reversed by
intrathecal injection of 20 MUg/kg of the serotonergic receptor antagonist
methysergide maleate. When performing antagonistic reactions, the administration
of the antagonist was followed immediately by that of the agonist. The study
indicates that for experimental purposes, intrathecal route of drug
administration through the atlanto-occipital joint is effective in tortoises. The
data also suggest that testudines have noradrenergic and serotonergic systems
that appear to play a role in the modulation of pain in this species.
PMID- 27891622
TI - Safety evaluation of orally administered afoxolaner and milbemycin oxime in eight
week-old dogs.
AB - The safety profile of afoxolaner (an isoxazoline molecule) when combined with
milbemycin oxime (a macrocyclic lactone) was evaluated according to the
regulatory requirements when administered six times orally in a soft chewable
formulation at a dose of at least 1*, 3*, or 5* the maximum exposure dose in 8
week-old Beagle dogs. Thirty-two healthy puppies (16 males and 16 females) were
enrolled and allocated randomly to one of four treatment groups. Three doses were
administered at 28-day intervals (Days 0, 28, and 56), followed by three
additional doses administered with 14-day intervals (Days 84, 98, and 112). The
study ended on Day 126. Treatment groups were as follows: Group 1: untreated,
sham-dosed control; Group 2: afoxolaner/milbemycin oxime chews administered at a
dose of at least 5 and 1 mg/kg, respectively (1*); Group 3: afoxolaner/milbemycin
oxime chews administered at a dose of at least 15 and 3 mg/kg, respectively (3);
and Group 4: afoxolaner/milbemycin oxime chews administered at a dose of at least
25 and 5 mg/kg, respectively (5*). All dogs were examined for general health
twice a day beginning on Day -14. Physical examinations, and blood collections
for clinical pathology analysis and afoxolaner and milbemycin oxime plasma
concentrations, were performed throughout the study. No afoxolaner/milbemycin
oxime treatment-related changes were observed in growth, physical variables,
clinical pathology variables, or tissues examined histologically. No clinically
relevant or statistically significant health abnormalities related to the
administration of afoxolaner/milbemycin oxime were observed. No signs of
macrocyclic lactone sensitivity were observed at any time during the study.
Vomiting and diarrhea were observed sporadically across all groups including the
controls. Based upon the results of this study, afoxolaner/milbemycin oxime soft
chewables were shown to be safe when administered repeatedly at up to 5* the
maximum exposure dose in dogs as young as 8 weeks of age.
PMID- 27891623
TI - Community-Based Financing of Family Planning in Developing Countries: A
Systematic Review.
AB - In this systematic review, we gather evidence on community financing schemes and
insurance programs for family planning in developing countries, and we assess the
impact of these programs on primary outcomes related to contraceptive use. To
identify and evaluate the research findings, we adopt a four-stage review process
that employs a weight-of-evidence and risk-of-bias analytic approach. Out of
19,138 references that were identified, only four studies were included in our
final analysis, and only one study was determined to be of high quality. In the
four studies, the evidence on the impact of community-based financing on family
planning and fertility outcomes is inconclusive. These limited and mixed findings
suggest that either: 1) more high-quality evidence on community-based financing
for family planning is needed before any conclusions can be made; or 2) community
based financing for family planning may, in fact, have little or no effect on
family planning outcomes.
PMID- 27891624
TI - Quarantine versus pathogen-reduced plasma-coagulation factor content and
rotational thromboelastometry coagulation.
AB - BACKGROUND: Different types of fresh-frozen plasma (FFP) exist, and the
concentrations of plasma proteins vary between individuals and blood groups.
Furthermore, processing may also influence the content. Quarantine-stored plasma
(qFFP) and plasma that was pathogen-reduced using blood-safety (Intercept)
technology (piFFP) were analyzed regarding procoagulant and anticoagulant
hemostasis proteins, including endogenous thrombin (thrombin-generation)
potential (ETP). MATERIALS AND METHODS: Thirty-five samples of each type of FFP
were analyzed using only male Blood Group O donors. FFP units were stored frozen
for comparable periods of time before plasma protein content was assessed. Once
the units were thawed, all tests were completed within 4 hours. The results are
presented as means +/- standard deviations or as median (minimum; maximum) and
were compared using independent-sample t tests (significance, p < 0.01). RESULTS:
Significantly higher concentrations of adintegrin-like and metalloprotease with
thrombospondin type-13 motifs (ADAMTS13), fibrinogen, Factor (F)V, FVIII, FXIII,
protein S, protein S activity, antithrombin, microvesicle (<900 nm), and alpha2
antiplasmin were observed in qFFP. The variability of factors was significantly
lower in piFFP. Tissue factor (TF) at 1 picomolar (pM) exhibited significantly
longer lag time, a lower peak, lower ETP, and a lower velocity index in qFFP
compared with piFFP. In TF at 5 pM, significant differences in lag time (longer
in qFFP), velocity index (lower in qFFP), and peak (lower in qFFP) were observed.
Rotational thromboelastometry revealed a significantly longer (p = 0.002) clot
formation time with intrinsic thromboelastometry for piFFP and a significantly
shorter clotting time (p = 0.004) with thromboelastometry fibrinogen testing for
piFFP. CONCLUSION: Pathogen reduction reduces procoagulant and anticoagulant
coagulation factors as well as variability. A thrombin-generation assay showed no
reduced ETP and no supraphysiological thrombin generation. None of the FFP
preparations is likely to be effective for treating fibrinogen deficiency.
PMID- 27891621
TI - Animal Cryptochromes: Divergent Roles in Light Perception, Circadian Timekeeping
and Beyond.
AB - Cryptochromes are evolutionarily related to the light-dependent DNA repair enzyme
photolyase, serving as major regulators of circadian rhythms in insects and
vertebrate animals. There are two types of cryptochromes in the animal kingdom:
Drosophila-like CRYs that act as nonvisual photopigments linking circadian
rhythms to the environmental light/dark cycle, and vertebrate-like CRYs that do
not appear to sense light directly, but control the generation of circadian
rhythms by acting as transcriptional repressors. Some animals have both types of
CRYs, while others possess only one. Cryptochromes have two domains, the
photolyase homology region (PHR) and an extended, intrinsically disordered C
terminus. While all animal CRYs share a high degree of sequence and structural
homology in their PHR domains, the C-termini are divergent in both length and
sequence identity. Recently, cryptochrome function has been shown to extend
beyond its pivotal role in circadian clocks, participating in regulation of the
DNA damage response, cancer progression and glucocorticoid signaling, as well as
being implicated as possible magnetoreceptors. In this review, we provide a
historical perspective on the discovery of animal cryptochromes, examine
similarities and differences of the two types of animal cryptochromes and explore
some of the divergent roles for this class of proteins.
PMID- 27891625
TI - Validation of the multiplex ligation-dependent probe amplification assay and its
application on the distribution study of the major alleles of 17 blood group
systems in Chinese donors from Guangzhou.
AB - BACKGROUND: Genotyping platforms for common red blood cell (RBC) antigens have
been successfully applied in Caucasian and black populations but not in Chinese
populations. In this study, a genotyping assay based on multiplex ligation
dependent probe amplification (MLPA) technology was applied in a Chinese
population to validate the MLPA probes. Subsequently, the comprehensive
distribution of 17 blood group systems also was obtained. STUDY DESIGN AND
METHODS: DNA samples from 200 Chinese donors were extracted and genotyped using
the blood-MLPA assay. To confirm the MLPA results, a second independent
genotyping assay (ID Core+) was conducted in 40 donors, and serological typing of
14 blood-group antigens was performed in 91 donors. In donors who had abnormal
copy numbers of an allele (DI and GYPB) determined by MLPA, additional
experiments were performed (polymerase chain reaction, sequencing, and flow
cytometry analysis). RESULTS: The genotyping results obtained using the blood
MLPA and ID Core+ assays were consistent. Serological data were consistent with
the genotyping results except for one donor who had a Lu(a-b-) phenotype. Of the
17 blood group systems, the distribution of the MNS, Duffy, Kidd, Diego, Yt, and
Dombrock systems was polymorphic. The Mur and Sta antigens of the MNS system were
distributed with a frequency of 9% (18 of 200) and 2% (4 of 200), respectively.
One donor with chimerism and one who carried a novel DI*02(A845V) allele, which
predicts the depression of Dib antigen expression, were identified. CONCLUSIONS:
The blood-MLPA assay could easily identify the common blood-group alleles and
correctly predicted phenotype in the Chinese population. The Mur and Sta antigens
were distributed with high frequency in a Southern Chinese Han population.
PMID- 27891627
TI - Narrative collisions, sociocultural pressures and dementia: the relational basis
of personhood reconsidered.
AB - The concept of personhood developed by Tom Kitwood highlights that the experience
of dementia has relational dimensions that transcend the neurodegenerative
impacts of the condition. This relational focus, however, has been narrowly
conceptualised, with the impact of broader sociocultural factors on experience
underplayed. The empirical exploration of interaction also requires
reinforcement: a tendency for dyadic studies to portray findings in an
individualised format hinders the interrogation of interpersonal negotiations.
This article draws upon qualitative research that employed a joint interview
approach, interviewing men with dementia and their spousal carers together. The
focus on a dyadic case study from this research enables methodical exploration of
the experience of living with dementia. This is realised by considering the
socially-framed perspective of each person, and then how their perspectives are
interwoven within interactional exchanges. This provides a platform for the
evaluation of the current decontextualised notion of personhood and its
implications. It is concluded that a sociologically-informed perspective can help
to reinforce the academic understanding of personhood.
PMID- 27891626
TI - Does trans-spinal and local DC polarization affect presynaptic inhibition and
post-activation depression?
AB - KEY POINTS: Trans-spinal polarization was recently introduced as a means to
improve deficient spinal functions. However, only a few attempts have been made
to examine the mechanisms underlying DC actions. We have now examined the effects
of DC on two spinal modulatory systems, presynaptic inhibition and post
activation depression, considering whether they might weaken exaggerated spinal
reflexes and enhance excessively weakened ones. Direct current effects were
evoked by using local intraspinal DC application (0.3-0.4 MUA) in deeply
anaesthetized rats and were compared with the effects of trans-spinal
polarization (0.8-1.0 mA). Effects of local intraspinal DC were found to be
polarity dependent, as locally applied cathodal polarization enhanced presynaptic
inhibition and post-activation depression, whereas anodal polarization weakened
them. In contrast, both cathodal and anodal trans-spinal polarization facilitated
them. The results suggest some common DC-sensitive mechanisms of presynaptic
inhibition and post-activation depression, because both were facilitated or
depressed by DC in parallel. ABSTRACT: Direct current (DC) polarization has been
demonstrated to alleviate the effects of various deficits in the operation of the
central nervous system. However, the effects of trans-spinal DC stimulation
(tsDCS) have been investigated less extensively than the effects of transcranial
DC stimulation, and their cellular mechanisms have not been elucidated. The main
objectives of this study were, therefore, to extend our previous analysis of DC
effects on the excitability of primary afferents and synaptic transmission by
examining the effects of DC on two spinal modulatory feedback systems,
presynaptic inhibition and post-activation depression, in an anaesthetized rat
preparation. Other objectives were to compare the effects of locally and trans
spinally applied DC (locDC and tsDCS). Local polarization at the sites of
terminal branching of afferent fibres was found to induce polarity-dependent
actions on presynaptic inhibition and post-activation depression, as cathodal
locDC enhanced them and anodal locDC depressed them. In contrast, tsDCS modulated
presynaptic inhibition and post-activation depression in a polarity-independent
fashion because both cathodal and anodal tsDCS facilitated them. The results show
that the local presynaptic actions of DC might counteract both excessively strong
and excessively weak monosynaptic actions of group Ia and cutaneous afferents.
However, they indicate that trans-spinally applied DC might counteract the
exaggerated spinal reflexes but have an adverse effect on pathologically weakened
spinal activity by additional presynaptic weakening. The results are also
relevant for the analysis of the basic properties of presynaptic inhibition and
post-activation depression because they indicate that some common DC-sensitive
mechanisms contribute to them.
PMID- 27891628
TI - Power, empowerment, and person-centred care: using ethnography to examine the
everyday practice of unregistered dementia care staff.
AB - The social positioning and treatment of persons with dementia reflects dominant
biomedical discourses of progressive and inevitable loss of insight, capacity,
and personality. Proponents of person-centred care, by contrast, suggest that
such loss can be mitigated within environments that preserve rather than
undermine personhood. In formal organisational settings, person-centred
approaches place particular responsibility on 'empowered' direct-care staff to
translate these principles into practice. These staff provide the majority of
hands-on care, but with limited training, recognition, or remuneration. Working
within a Foucauldian understanding of power, this paper examines the complex ways
that dementia care staff engage with their own 'dis/empowerment' in everyday
practice. The findings, which are drawn from ethnographic studies of three
National Health Service (NHS) wards and one private care home in England, are
presented as a narrative exploration of carers' general experience of
powerlessness, their inversion of this marginalised subject positioning, and the
related possibilities for action. The paper concludes with a discussion of how
Foucault's understanding of power may help define and enhance efforts to empower
direct-care staff to provide person-centred care in formal dementia care
settings.
PMID- 27891629
TI - Capping carious exposures in adults: a randomized controlled trial investigating
mineral trioxide aggregate versus calcium hydroxide.
AB - AIM: The aim of this multicentre, parallel-group randomized clinical trial was to
compare the effectiveness of mineral trioxide aggregate (MTA) and a conventional
calcium hydroxide liner (CH) as direct pulp capping materials in adult molars
with carious pulpal exposure. METHODOLOGY: Seventy adults aged 18-55 years were
randomly allocated to two parallel arms: MTA (White ProRoot, Dentsply, Tulsa
Dental, Tulsa, OK, USA; n = 33) and CH (Dycal(r) , Dentsply DeTrey GmbH,
Konstanz, Germany; n = 37). The teeth were temporized for 1 week with glass
ionomer (Fuji IX, GC Corp, Tokyo, Japan) and then permanently restored with a
composite resin. The subjects were followed up after 1 week and at six, 12, 24
and 36 months. The primary outcome was the survival of the capped pulps, and the
secondary outcome was postoperative pain after 1 week. Survival was defined as a
nonsymptomatic tooth that responded to sensibility testing and did not exhibit
periapical changes on radiograph. At each check-up, the pulp was tested for
sensibility and a periapical radiograph was taken (excluding the radiographs
taken at the 1-week follow-up). Kaplan-Meier survival analysis and log-rank test
were used to assess the significant difference in the survival curves between
groups. Chi-square test was used to assess the association between the materials
and preoperative and postoperative pain. RESULTS: At 36 months, the Kaplan-Meier
survival analysis showed a cumulative estimate rate of 85% for the MTA group and
52% for the CH group (P = 0.006). There was no significant association between
the capping material and postoperative pain. CONCLUSIONS: Mineral trioxide
aggregate performed more effectively than a conventional CH liner as a direct
pulp capping material in molars with carious pulpal exposure in adult patients.
This study has been registered at ClinicalTrials.gov, number NCT01224925.
PMID- 27891630
TI - Making Dissertations Publishable.
PMID- 27891631
TI - Tutorial on statistical considerations on subgroup analysis in confirmatory
clinical trials.
AB - Clinical trials target patients who are expected to benefit from a new treatment
under investigation. However, the magnitude of the treatment benefit, if it
exists, often depends on the patient baseline characteristics. It is therefore
important to investigate the consistency of the treatment effect across subgroups
to ensure a proper interpretation of positive study findings in the overall
population. Such assessments can provide guidance on how the treatment should be
used. However, great care has to be taken when interpreting consistency results.
An observed heterogeneity in treatment effect across subgroups can arise because
of chance alone, whereas true heterogeneity may be difficult to detect by
standard statistical tests because of their low power. This tutorial considers
issues related to subgroup analyses and their impact on the interpretation of
findings of completed trials that met their main objectives. In addition, we
provide guidance on the design and analysis of clinical trials that account for
the expected heterogeneity of treatment effects across subgroups by establishing
treatment benefit in a pre-defined targeted subgroup and/or the overall
population. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27891632
TI - Randomised clinical trial: efficacy and safety of vonoprazan vs. lansoprazole in
patients with gastric or duodenal ulcers - results from two phase 3, non
inferiority randomised controlled trials.
AB - BACKGROUND: Vonoprazan is a new potassium-competitive acid blocker for treatment
of acid-related diseases. AIM: To conduct two randomised-controlled trials, to
evaluate the non-inferiority of vonoprazan vs. lansoprazole, a proton pump
inhibitor, for treatment of gastric ulcer (GU) or duodenal ulcer (DU). METHODS:
Patients aged >=20 years with >=1 endoscopically-confirmed GU or DU (>=5 mm white
coating) were randomised 1:1 using double-dummy blinding to receive lansoprazole
(30 mg) or vonoprazan (20 mg) for 8 (GU study) or 6 (DU study) weeks. The primary
endpoint was the proportion of patients with endoscopically confirmed healed GU
or DU. RESULTS: For GU, 93.5% (216/231) of vonoprazan-treated patients and 93.8%
(211/225) of lansoprazole-treated patients achieved healed GU; non-inferiority of
vonoprazan to lansoprazole was confirmed [difference = -0.3% (95% CI -4.750,
4.208); P = 0.0011]. For DU, 95.5% (170/178) of vonoprazan-treated patients and
98.3% (177/180) of lansoprazole-treated patients achieved healed DU; non
inferiority to lansoprazole was not confirmed [difference = -2.8% (95% CI -6.400,
0.745); P = 0.0654]. The incidences of treatment-emergent adverse events were
slightly lower for GU and slightly higher for DU with vonoprazan than with
lansoprazole. There was one death (subarachnoid haemorrhage) in the vonoprazan
group (DU). The possibility of a relationship between this unexpected patient
death and the study drug could not be ruled out. In both studies, increases in
serum gastrin levels were greater in vonoprazan-treated vs. lansoprazole-treated
patients; levels returned to baseline after treatment in both groups.
CONCLUSIONS: Vonoprazan 20 mg has a similar tolerability profile to lansoprazole
30 mg and is non-inferior with respect to GU healing and has similar efficacy for
DU healing.
PMID- 27891633
TI - Direct inhibition of Re Du Ning Injection and its active compounds on human liver
cytochrome P450 enzymes by a cocktail method.
AB - The aim of this study was to investigate the direct inhibitory effects of Re Du
Ning Injection (RDN) and its active compounds on the major cytochrome P450 enzyme
(CYP) isoforms (CYP1A2, CYP2B6, CYP2C8, CYP2C9, CYP2C19, CYP2D6 and CYP3A4) of
human liver microsomes by 'a cocktail method'. The activity of each CYP isform
was represented as the formation rate of the specific metabolite from relevant
substrate. Then a sensitive and specific ultra-performance liquid chromatography
tandem mass spectrometry (UPLC-MS/MS) method was developed and validated to
simultaneously analyze the seven metabolites. RDN (0.035-2.26 mg/mL) showed a
strong inhibitiory effect on CYP2C8, followed by CYP2C9, CYP2B6, CYP2C19, CYP1A2
and CYP3A4. The IC50 value for each enzyme was 0.19, 0.66, 0.72, 1.27, 1.66 and
2.13 mg/mL, respectively. RDN competitively inhibited the activities of CYP1A2
(Ki = 1.22 mg/mL), CYP2B6 (Ki = 0.65 mg/mL) and CYP3A4 (Ki = 0.88 mg/mL); it
also exhibited mixed inhibition of CYP2C8, CYP2C9 and CYP2C19 with a Ki value of
0.26, 0.64 and 0.82 mg/mL, respectively. However, the activity of CYP2D6 was not
significantly inhibited even by 2.26 mg/mL RDN. Moreover, the data of nine active
compounds on the CYPs showed that cryptochlorogenin acid, sochlorogenic acid B
and sochlorogenic acid C were the major contributors to the inhibitory effect of
RDN on CYP2C8, while the inhibitory effect of RDN on CYP2C9 might be caused by
sochlorogenic acid A and sochlorogenic acid C. Moreover, neochlorogenic acid
might be the major contributor to the inhibitory effect on CYP2B6. All of the
findings suggested that drug-drug interactions may occur and great caution should
be taken when RDN is combined with drugs metabolized by these CYPs.
PMID- 27891634
TI - Sensitive determination of sulfonamides in environmental water by capillary
electrophoresis coupled with both silvering detection window and in-capillary
optical fiber light-emitting diode-induced fluorescence detector.
AB - A new detector, silvering detection window and in-capillary optical fiber light
emitting diode-induced fluorescence detector (SDW-ICOF-LED-IFD), is introduced
for capillary electrophoresis (CE). The strategy of the work was that half
surface of the detection window was coated with silver mirror, which could
reflect the undetected fluorescence to the photomultiplier tube to be detected,
consequently enhancing the detection sensitivity. Sulfonamides (SAs) are
important antibiotics that achieved great applications in many fields. However,
they pose a serious threat on the environment and human health when they enter
into the environment. The SDW-ICOF-LED-IFD-CE system was used to determine
fluorescein isothiocyanate (FITC)-labeled sulfadoxine (SDM), sulfaguanidine (SGD)
and sulfamonomethoxine sodium (SMM-Na) in environmental water. The detection
results obtained by the SDW-ICOF-LED-IFD-CE system were compared to those
acquired by the CE with in-capillary optical fiber light-emitting diode-induced
fluorescence detection (ICOF-LED-IFD-CE). The limits of detection (LODs) of SDW
ICOF-LED-IFD-CE and ICOF-LED-IFD-CE were 1.0-2.0 nM and 2.5-7.7 nM (S/N = 3),
respectively. The intraday (n = 6) and interday (n = 6) precision of migration
time and corresponding peak area for both types of CE were all less than 0.86%
and 3.68%, respectively. The accuracy of the proposed method was judged by
employing standard addition method, and recoveries obtained were in the range of
92.5-102.9%. The results indicated that the sensitivity of the SDW-ICOF-LED-IFD
CE system was improved, and that its reproducibility and accuracy were
satisfactory. It was successfully applied to analyze SAs in environmental water.
PMID- 27891635
TI - Potential Association between Drug Shortages and High-Cost Medications.
AB - Shortages and sudden price increases of certain drugs may both occur emergently,
with little to no warning, and they can have a dramatic impact on patient care.
Little data are available linking drug shortages and price increases. Many of the
same characteristics that may make medications susceptible to shortages can also
place them at risk for sudden price increases. These characteristics include
unapproved drugs, off-patent sole-source medications, and infrequently used
medications. We reviewed drug shortage data from the University of Utah Drug
Information Service to demonstrate how frequently these characteristics occurred
and resulted in higher drug prices. Clinicians can use drug shortage management
principles to mitigate the impact of sudden price increases for patients and
health care organizations.
PMID- 27891636
TI - Effects of pulsed-radiofrequency neuromodulation on the rat with overactive
bladder.
AB - AIM: To quantify the effects of pulsed radiofrequency (PRF) electrical
stimulations of the pudendal and pelvic nerves on the bladder function of rats
with detrusor overactivity. METHODS: All rats were pretreated with a continuous
transvesical infusion of 0.5% acetic acid (AA) for inducing detrusor
overactivity. Intravesical pressure was recorded using cysometrography (CMG)
during the continuous transvesical infusion to examine the effects of PRF
electrical stimulation of the pudendal and pelvic nerves individually. In
addition, the activity of caspase-3, an apoptosis marker, in the pelvic nerve was
examined to evaluate the impact of PRF on nerve injury. RESULTS: According to the
first CMG recording, AA treatment significantly reduced bladder capacity (BC) and
intercontraction interval (ICI) to 65% and 66% of the corresponding control
values, respectively. Subsequently, PRF electrical stimulation of the pelvic
nerve inhibited AA-induced detrusor overactivity and significantly increased BC
to approximately 102-110% and ICI to 79-92%; these effects persisted for at least
4 h. Furthermore, PRF did not cause significant neural damage to the target
stimulated nerves, as demonstrated by caspase-3 activity. CONCLUSION: PRF
electrical stimulation of pelvic nerves exerted a long-lasting effect of
suppressing AA-induced detrusor overactivity. This modality can be used as an
alternative approach for improving bladder continence in patients with overactive
bladder syndrome.
PMID- 27891637
TI - Fetal magnetocardiography using optically pumped magnetometers: a more adaptable
and less expensive alternative?
AB - Fetal magnetocardiography provides the requisite precision for diagnostic
measurement of electrophysiological events in the fetal heart. Despite its
significant benefits, this technique with current cryogenic based sensors has
been limited to few centers, due to high cost of maintenance. In this study, we
show that a less expensive non-cryogenic alternative, optically pumped
magnetometers, can provide similar electrophysiological and quantitative
characteristics when subjected to direct comparison with the current technology.
Further research can potentially increase its clinical use for fetal
magnetocardiography. (c) 2016 John Wiley & Sons, Ltd.
PMID- 27891638
TI - Regenerative potential of leucocyte- and platelet-rich fibrin. Part B: sinus
floor elevation, alveolar ridge preservation and implant therapy. A systematic
review.
AB - AIM: To analyse the effect of leucocyte- and platelet-rich fibrin (L-PRF) on bone
regeneration procedures and osseointegration. MATERIALS AND METHODS: An
electronic and hand search was conducted in three databases (MEDLINE, EMBASE and
Cochrane). Only randomized clinical trials, written in English where L-PRF was
applied in bone regeneration and implant procedures, were selected. No follow-up
restrictions were applied. RESULTS: A total of 14 articles were included and
processed. Three subgroups were created depending on the application: sinus floor
elevation (SFE), alveolar ridge preservation and implant therapy. In SFE, for a
lateral window as well as for the trans-alveolar technique, histologically faster
bone healing was reported when L-PRF was added to most common xenografts. L-PRF
alone improved the preservation of the alveolar width, resulting in less buccal
bone resorption compared to natural healing. In implant therapy, better implant
stability over time and less marginal bone loss were observed when L-PRF was
applied. Meta-analyses could not be performed due to the heterogeneity of the
data. CONCLUSIONS: Despite the lack of strong evidence found in this systematic
review, L-PRF might have a positive effect on bone regeneration and
osseointegration.
PMID- 27891640
TI - Chasing the Dream: Message From the President.
PMID- 27891639
TI - Consensus report: faecal microbiota transfer - clinical applications and
procedures.
AB - BACKGROUND: Faecal microbiota transplantation or transfer (FMT) aims at replacing
or reinforcing the gut microbiota of a patient with the microbiota from a healthy
donor. Not many controlled or randomised studies have been published evaluating
the use of FMT for other diseases than Clostridium difficile infection, making it
difficult for clinicians to decide on a suitable indication. AIM: To provide an
expert consensus on current clinical indications, applications and methodological
aspects of FMT. METHODS: Well-acknowledged experts from various countries in
Europe have contributed to this article. After literature review, consensus has
been achieved by repetitive circulation of the statements and the full manuscript
among all authors with intermittent adaptation to comments (using a modified
Delphi process). Levels of evidence and agreement were rated according to the
GRADE system. Consensus was defined a priori as agreement by at least 75% of the
authors. RESULTS: Key recommendations include the use of FMT in recurrent C.
difficile infection characterised by at least two previous standard treatments
without persistent cure, as well as its consideration in severe and severe
complicated C. difficile infection as an alternative to total colectomy in case
of early failure of antimicrobial therapy. FMT in inflammatory bowel diseases
(IBD), irritable bowel syndrome (IBS) and metabolic syndrome should only be
performed in research settings. CONCLUSIONS: Faecal microbiota transplantation or
transfer is a promising treatment for a variety of diseases in which the
intestinal microbiota is disturbed. For indications other than C. difficile
infection, more evidence is needed before more concrete recommendations can be
made.
PMID- 27891641
TI - Corrigendum.
PMID- 27891642
TI - Photosynthesis: ancient, essential, complex, diverse ... and in need of
improvement in a changing world.
PMID- 27891643
TI - Alistair M. Hetherington.
PMID- 27891644
TI - Bark thickness and fire regime: another twist.
PMID- 27891645
TI - Nicotiana benthamiana as a nonhost of Zymoseptoria tritici.
PMID- 27891646
TI - Nitrogen transport in the orchid mycorrhizal symbiosis - further evidence for a
mutualistic association.
PMID- 27891648
TI - Ethics in scientific publishing.
PMID- 27891647
TI - A roadmap for improving the representation of photosynthesis in Earth system
models.
AB - Accurate representation of photosynthesis in terrestrial biosphere models (TBMs)
is essential for robust projections of global change. However, current
representations vary markedly between TBMs, contributing uncertainty to
projections of global carbon fluxes. Here we compared the representation of
photosynthesis in seven TBMs by examining leaf and canopy level responses of
photosynthetic CO2 assimilation (A) to key environmental variables: light,
temperature, CO2 concentration, vapor pressure deficit and soil water content. We
identified research areas where limited process knowledge prevents inclusion of
physiological phenomena in current TBMs and research areas where data are
urgently needed for model parameterization or evaluation. We provide a roadmap
for new science needed to improve the representation of photosynthesis in the
next generation of terrestrial biosphere and Earth system models.
PMID- 27891649
TI - Control of Arabidopsis lateral root primordium boundaries by MYB36.
AB - Root branching in plants relies on the de novo formation of lateral roots. These
are initiated from founder cells, triggering new formative divisions that
generate lateral root primordia (LRP). The LRP size and shape depends on the
balance between positive and negative signals that control cell proliferation.
The mechanisms controlling proliferation potential of LRP cells remains poorly
understood. We found that Arabidopsis thaliana MYB36, which have been previously
shown to regulate genes required for Casparian strip formation and the transition
from proliferation to differentiation in the primary root, plays a new role in
controlling LRP development at later stages. We found that MYB36 is a novel
component of LR development at later stages. MYB36 was expressed in the cells
surrounding LRP where it controls a set of peroxidase genes, which maintain
reactive oxygen species (ROS) balance. This was required to define the transition
between proliferating and arrested cells inside the LRP, coinciding with the
change from flat to dome-shaped primordia. Reducing the levels of hydrogen
peroxide (H2 O2 ) in myb36-5 significantly rescues the mutant phenotype. Our
results uncover a role for MYB36 outside the endodermis during LRP development
through a mechanism analogous to regulating the proliferation/differentiation
transition in the root meristem.
PMID- 27891650
TI - Estimating time-dependent ROC curves using data under prevalent sampling.
AB - Prevalent sampling is frequently a convenient and economical sampling technique
for the collection of time-to-event data and thus is commonly used in studies of
the natural history of a disease. However, it is biased by design because it
tends to recruit individuals with longer survival times. This paper considers
estimation of time-dependent receiver operating characteristic curves when data
are collected under prevalent sampling. To correct the sampling bias, we develop
both nonparametric and semiparametric estimators using extended risk sets and the
inverse probability weighting techniques. The proposed estimators are consistent
and converge to Gaussian processes, while substantial bias may arise if standard
estimators for right-censored data are used. To illustrate our method, we analyze
data from an ovarian cancer study and estimate receiver operating characteristic
curves that assess the accuracy of the composite markers in distinguishing
subjects who died within 3-5 years from subjects who remained alive. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27891652
TI - A closed testing procedure to select an appropriate method for updating
prediction models.
AB - Prediction models fitted with logistic regression often show poor performance
when applied in populations other than the development population. Model updating
may improve predictions. Previously suggested methods vary in their extensiveness
of updating the model. We aim to define a strategy in selecting an appropriate
update method that considers the balance between the amount of evidence for
updating in the new patient sample and the danger of overfitting. We consider
recalibration in the large (re-estimation of model intercept); recalibration (re
estimation of intercept and slope) and model revision (re-estimation of all
coefficients) as update methods. We propose a closed testing procedure that
allows the extensiveness of the updating to increase progressively from a minimum
(the original model) to a maximum (a completely revised model). The procedure
involves multiple testing with maintaining approximately the chosen type I error
rate. We illustrate this approach with three clinical examples: patients with
prostate cancer, traumatic brain injury and children presenting with fever. The
need for updating the prostate cancer model was completely driven by a different
model intercept in the update sample (adjustment: 2.58). Separate testing of
model revision against the original model showed statistically significant
results, but led to overfitting (calibration slope at internal validation =
0.86). The closed testing procedure selected recalibration in the large as update
method, without overfitting. The advantage of the closed testing procedure was
confirmed by the other two examples. We conclude that the proposed closed testing
procedure may be useful in selecting appropriate update methods for previously
developed prediction models. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27891651
TI - Developing a Bayesian adaptive design for a phase I clinical trial: a case study
for a novel HIV treatment.
AB - The design of phase I studies is often challenging, because of limited evidence
to inform study protocols. Adaptive designs are now well established in cancer
but much less so in other clinical areas. A phase I study to assess the safety,
pharmacokinetic profile and antiretroviral efficacy of C34-PEG4 -Chol, a novel
peptide fusion inhibitor for the treatment of HIV infection, has been set up with
Medical Research Council funding. During the study workup, Bayesian adaptive
designs based on the continual reassessment method were compared with a more
standard rule-based design, with the aim of choosing a design that would maximise
the scientific information gained from the study. The process of specifying and
evaluating the design options was time consuming and required the active
involvement of all members of the trial's protocol development team. However, the
effort was worthwhile as the originally proposed rule-based design has been
replaced by a more efficient Bayesian adaptive design. While the outcome to be
modelled, design details and evaluation criteria are trial specific, the
principles behind their selection are general. This case study illustrates the
steps required to establish a design in a novel context. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27891653
TI - Polymorphisms in cytokine genes influence cognitive and functional performance in
a population aged 75 years and above.
AB - OBJECTIVE: To investigate the frequency of the cytokine single nucleotide
polymorphisms (SNPs) tumor necrosis factor (TNF)-alpha -308G > A, tumor growth
factor (TGF)-beta1 codon +10C > T, TGF-beta1 codon +25G > C, interleukin (IL)-10
1082A > G, IL-10 -819C > T, IL-10 -592C > A, IL-6 -174G > C, and IFN-gamma +874T
> A in a sample of healthy and cognitively impaired elderlies and to verify the
probable association between these SNPs and cognitive and functional performance
of subjects aged 75 years and above. METHODS: 259 Brazilian subjects were
included, comprising 81 with cognitive impairment no dementia (CIND) and 54
demented seniors (together made up the cognitively impaired group, CI) and 124
age-matched and gender-matched cognitively healthy controls (CHS). The genotyping
was performed by multiplex polymerase chain reaction. The cognitive performance
was evaluated by Mini-Mental State Examination Brief Cognitive Screening Battery.
The functional performance was accessed by Functional Activities Questionnaire.
RESULTS: The CClower genotype of TGF-beta1 codon +25G > C was frequent in both
patient groups. The TThigher genotype of INF-gamma +874T > A was less frequent in
the dementia group. IL-10 haplotypes of lower expression were more frequent among
CIND and demented patients. In CI, individuals with genetic variants that produce
higher expression of TGF-beta1, INF-gamma, and IL-10 showed better normalized
cognitive performance. Additionally, the Alower allele of INF-gamma +874T > A was
related to worse functional performance in CI, while the Alower allele of TNF
alpha -308G > A was associated with better cognitive and functional scores in the
CIND group. CONCLUSIONS: Our findings suggest a potential role for certain
cytokine SNPs in the development of CIND and dementia, which may influence the
functional and cognitive performance of these patients. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27891654
TI - The relationship between oestrogen receptor-alpha phosphorylation and the tumour
microenvironment in patients with primary operable ductal breast cancer.
AB - AIMS: Although the role of phosphorylation of oestrogen receptor (ER) at serines
118 (p-S118) and 167 (p-S167) has been studied, the relationship between p-S118,
p-S167 and the tumour microenvironment in ER-positive primary operable ductal
breast cancers have not been investigated. The aims of this study are to
investigate (i) the relationship between p-S118/p-S167 and the tumour
microenvironment, and (ii) the effect of p-S118/167 on survival and recurrence in
ER-positive primary operable ductal breast cancers. METHODS AND RESULTS: Patients
presenting at three Glasgow hospitals between 1995 and 1998 with invasive ductal
ER-positive primary breast cancers were studied (n = 294). Immunohistochemical
staining of p-S118 and p-S167 was performed and their association with
clinicopathological characteristics, cancer-specific survival (CSS) and
recurrence-free interval (RFI) were examined. In the whole cohort, tumour size (P
< 0.05) and microvessel density (P < 0.05) were associated with high p-S118 while
increased micovessel density (P < 0.05), apoptosis (P < 0.05), general
inflammatory infiltrate measured using the Klintrup-Makinen score (P < 0.05) and
macrophage infiltrate (P < 0.05) were found to be associated with high p-S167.
Only high p-S167 was associated with shorter CSS (P < 0.005) and shorter RFI in
the whole cohort (P = 0.001) and separately in the luminal A (P < 0.05) and B
tumours (P < 0.05). CONCLUSIONS: This study showed that both p-S118 and p-S167
were associated with several microenvironmental factors, including increased
microvessel density. In particular, p-S167 was associated with reduced RFI and
CSS in the whole cohort and RFI in luminal A and B tumours and could possibly be
employed to predict response to kinase inhibitors.
PMID- 27891656
TI - The early intervention message: perspectives of parents of children with autism
spectrum disorder.
AB - BACKGROUND: There is strong evidence that early intervention (EI) can improve
outcomes for children with autism spectrum disorder (ASD), and consequently, the
importance of EI has been widely promoted to families of children with ASD.
However, the perspectives of parents of children with ASD regarding the EI
message have not been widely examined. METHODS: This study used qualitative
methods to explore parental perspectives on the EI message. Semi-structured
interviews were undertaken with 14 participants from 12 family units to explore
the perspectives of parents of children with ASD on the EI message. Thematic
analysis was undertaken on the data. RESULTS: Three central themes were
constructed following data analysis: (i) parents' initial perceptions of EI
following their child's diagnosis with ASD; (ii) the consequences (both positive
and negative) of the EI message; and (iii) parents' perspectives on life after
EI. The results of this study indicated that parents were acutely aware of the
importance of EI, and although this provided parents with hope immediately post
diagnosis, it also placed pressure on parental decision-making regarding which
intervention approaches to access for their children with ASD. CONCLUSIONS: The
results of this study highlight the importance of carefully considering how
health messages, specifically the importance of EI, are communicated to families
of children with ASD. Furthermore, the findings of this study also highlight the
need for allied health professionals to communicate openly with parents about the
anticipated outcomes of EI programmes.
PMID- 27891655
TI - A comparison of parent and childcare provider's attitudes and perceptions about
preschoolers' physical activity and outdoor time.
AB - BACKGROUND: Young children depend on adult caregivers to provide opportunities
for physical activity. Research has focused on barriers and facilitators to
children's physical activity while in childcare, but parental influences remain
largely unknown. This study examines parent's attitudes about preschoolers'
physical activity and outdoor time, compares them with those of childcare
providers and determines the association between parental attitudes and
preschoolers' measured activity. METHODS: Parents and childcare providers from 30
childcare centres were surveyed regarding attitudes towards preschoolers'
physical activity and outdoor time. Children's moderate-to-vigorous physical
activity was determined by using 24-h accelerometry. Parent and childcare
providers' responses were compared. Mixed-effect linear regression examined
moderate-to-vigorous physical activity and sedentary time as outcomes with
parental attitudes as predictors, family demographics as covariates and centre as
a random effect. RESULTS: Three hundred eighty-eight parents and 151 childcare
providers participated. On average, children were 4.3 (0.7) years old. Parents
and childcare providers both considered daily physical activity important for
preschoolers, but providers rated the importance of daily outdoor time higher on
a 10-point scale (8.9 vs. 7.6, P < 0.001). More parents than providers believed
that children would get sick by playing outside in the cold (25 vs. 11%, P <
0.05). Parents were more comfortable with their child playing outside at
childcare compared with outside at home (8.9 vs. 6.9, P < 0.001). Lower income
parents felt less comfortable than higher income parents with their child playing
outside either near home or at childcare. Neither home nor total child activity
levels were associated with most parental attitudes queried. CONCLUSIONS: While
parents and childcare providers value daily physical activity for children, some
parents expressed discomfort about their young children engaging in outdoor play,
especially around home and in cold weather. These findings highlight the
importance of childcare-based interventions to promote preschoolers' physical
activity and outdoor play.
PMID- 27891657
TI - Sleep differences in one-year-old children were related to obesity risks based on
their parents' weight according to baseline longitudinal study data.
AB - AIM: Parental obesity is the predominant risk factor for child obesity. We
compared sleep in one-year-old children with different obesity risks, based on
parental weight, and explored associations with weight, parental sleep and family
factors. METHODS: Baseline data from 167 families participating in a longitudinal
obesity prevention programme was used. Sleep patterns were compared between
groups with high and low obesity risks, based on parental weight, and
associations between child sleep and weight status, family obesity risk and
parental sleep were explored. Sleep was assessed using child sleep diaries and
standard parental questionnaires. RESULTS: Later bedtime, longer sleep onset
latency and lower sleep efficiency were observed among children in the high-risk
group. Child sleep onset latency was associated with the family obesity risk
(beta = 0.25, p = 0.001), child bedtime with both maternal (beta = 0.33, p <
0.01) and paternal bedtime (beta = 0.22, p < 0.05) and child sleep efficiency
with maternal sleep quality (beta = 0.20, p < 0.01). The child's bedtime was
weakly associated with their body mass index (beta = 0.17, p < 0.05). CONCLUSION:
Sleep differed between one-year-old children with high or low obesity risks,
based on their parents' body mass index, and was associated with the family
obesity risk and parental sleep. The child's bedtime was weakly associated with
their weight status.
PMID- 27891659
TI - ICS teaching module: Cystometry (basic module).
AB - AIMS: To summarize the evidence background for education of good urodynamic
practice, especially cystometry. METHODS: A search was done in PubMed for the
last 5 years of publications selecting only clinical studies, utilizing the
following keywords: cystometry 133 articles and filling cystometry 53 articles.
RESULTS: The evidence with regard to clinical setting and cystometry technique,
as well as for catheters and transducers type, infused solution and patient
position is presented with recommendations. Also the practice of determining
bladder filling sensation and capacity and the basis of detrusor storage function
diagnosis is educated. CONCLUSIONS: This manuscript provides the evidence
background for the practice of cystometry. Neurourol. Urodynam. 9999:XX-XX, 2016.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27891658
TI - The Impact of Neighborhood Conditions and Psychological Distress on Preterm Birth
in African-American Women.
AB - OBJECTIVE: Prior research suggests that adverse neighborhood conditions are
related to preterm birth. One potential pathway by which neighborhood conditions
increase the risk for preterm birth is by increasing women's psychological
distress. Our objective was to examine whether psychological distress mediated
the relationship between neighborhood conditions and preterm birth. DESIGN AND
SAMPLE: One hundred and one pregnant African-American women receiving prenatal
care at a medical center in Chicago participated in this cross-sectional design
study. MEASURES: Women completed the self-report instruments about their
perceived neighborhood conditions and psychological distress between 15-26 weeks
gestation. Objective measures of the neighborhood were derived using geographic
information systems (GIS). Birth data were collected from medical records.
RESULTS: Perceived adverse neighborhood conditions were related to psychological
distress: perceived physical disorder (r = .26, p = .01), perceived social
disorder (r = .21, p = .03), and perceived crime (r = .30, p = .01). Objective
neighborhood conditions were not related to psychological distress. Psychological
distress mediated the effects of perceived neighborhood conditions on preterm
birth. CONCLUSIONS: Psychological distress in the second trimester mediated the
effects of perceived, but not objective, neighborhood conditions on preterm
birth. If these results are replicable in studies with larger sample sizes,
intervention strategies could be implemented at the individual level to reduce
psychological distress and improve women's ability to cope with adverse
neighborhood conditions.
PMID- 27891660
TI - Small bowel Epstein-Barr virus-positive lympho-epithelioma-like carcinoma in
Crohn's disease.
PMID- 27891661
TI - Synergistic effects of polydatin and vitamin C in inhibiting cardiotoxicity
induced by doxorubicin in rats.
AB - The purpose of this study was to assess the synergistic effect of polydatin and
vitamin C on attenuating cardiotoxicity induced by doxorubicin (DOX) in rats.
Polydatin could significantly increase the activity of superoxide dismutase (SOD)
and the heart rate, attenuate myocardial pathological damage, decrease
malondialdehyde (MDA) content, slightly increase arterial pressure and
glutathione peroxidase (GSH-Px) activity, reduce intervals of QRS, QT, and ST,
and lower free fatty acid (FFA) content. The combination of polydatin and vitamin
C could significantly increase arterial pressure and heart rate, decrease QRS
interval and slightly reduce ST and QT intervals, significantly attenuate
myocardial pathological damage, increase the activities of GSH-Px,T-SOD, Na+ K+
ATPase, and Ca2+ Mg2+ -ATPase, elevate phosphocreatine (PCr) and adenosine
triphosphate (ATP) contents, slightly increase adenosine diphosphate (ADP) and
total adenine nucleotide (TAN) contents and PCr/ATP, and significantly decrease
the contents of MDA and FFA, when compared with those in the DOX group.
Meanwhile, the improvement effects on FFA content, the activities of ATPase and
SOD, and contents of ATP and TAN in combination group were more obvious than
those in polydatin group, and the improvement effects on arterial pressure, heart
rate, interval of QRS, GSH-Px activity, and MDA, ADP, and PCr contents in
combination group were slightly obvious when compared with those in polydatin
group. In addition, the mRNA expression levels of AMPK-alpha2 and PPAR-alpha were
slightly improved in combination group. The results illustrate that the
combination of polydatin and vitamin C has the ability to enhance the myocardial
protective effects by its antioxidative effect and improve energy metabolism.
PMID- 27891662
TI - Acute mechanical hemolysis as a complication of extracorporeal photopheresis in a
low-weight child.
AB - Graft-versus-host disease (GVHD) is a complication of allogeneic hematopoietic
stem cell transplantation with high morbidity and mortality. Extracorporeal
photopheresis (ECP) is an effective therapy for treating medication-refractory
GVHD, however, there is scant evidence of whether ECP can be safely performed in
patients weighing less than 15 kg. Here, we report the implementation of a
successful protocol to perform ECP in a 21-month-old, 10.6 kg female with
medication-refractory GVHD. Our initial ECP treatment resulted in significant
hemolysis that was most likely mechanical. After procedural adjustments that
included modifying the anticoagulation dose and whole blood:anticoagulant ratio,
as well as whole blood processing time, the patient tolerated future procedures
safely without hemolysis or other adverse events. With appropriate technical
modifications, we provide a framework for safely performing ECP in children less
than 15 kg.
PMID- 27891664
TI - Healthcare costs doubled when children had urinary tract infections caused by
extended-spectrum beta-lactamase-producing bacteria.
AB - AIM: The impact of the emergence of antimicrobial resistant organisms has rarely
been studied in children, including the healthcare costs of urinary tract
infections (UTIs) caused by extended-spectrum beta-lactamase (ESBL)-producing
bacteria. We evaluated the effect of ESBL on UTI healthcare costs and risk
factors for paediatric UTIs. METHODS: This retrospective case-control study
covered 2005-2014 and focused on children below 16 years of age treated in a
University hospital: 22 children with UTIs caused by ESBL-producing bacteria and
56 ESBL-negative UTI controls. RESULTS: The median healthcare costs were 3929
Euros for the 22 ESBL patients and 1705 Euros for the 56 controls (p = 0.015).
The mean and standard deviation length of hospital stay was 7.4 (5.9) days for
the ESBL group and 3.6 (2.3) days for the controls (p = 0.007), and the figures
for antibiotic treatment were 12.3 (5.5) days versus 5.8 (3.0) days (p < 0.001),
respectively. The odd ratios for ESBL were underlying disease (6.63, p = 0.013),
previous hospitalisation (6.07, p = 0.009) and antibiotic prophylaxis (5.20, p =
0.035). CONCLUSION: Healthcare costs more than doubled when children had ESBL
related UTIs, mainly due to their increased length of stay. Effective oral
antibiotics are urgently needed to treat paediatric infections caused by ESBL
producing bacteria.
PMID- 27891663
TI - Extended-release niacin increases anti-apolipoprotein A-I antibodies that block
the antioxidant effect of high-density lipoprotein-cholesterol: the EXPLORE
clinical trial.
AB - AIMS: Extended-release niacin (ERN) is the most effective agent for increasing
high-density lipoprotein-cholesterol (HDL-C). Having previously identified anti
HDL antibodies, we investigated whether ERN affected the antioxidant capacity of
HDL and whether ERN was associated with the production of antibodies against HDL
(aHDL) and apolipoprotein A-I (aApoA-I). METHODS: Twenty-one patients older than
18 years, with HDL-C <=40 mg dl-1 (men) or <=50 mg dl-1 (women) were randomly
assigned to receive daily ERN (n = 10) or placebo (n = 11) for two sequential 12
week periods, with 4 weeks of wash-out before cross-over. Primary outcome was
change of paraoxonase-1 (PON1) activity and secondary outcomes were changes in
aHDL and aApoA-I antibodies. Clinical Trial Unique Identifier: EudraCT 2006
006889-42. RESULTS: The effect of ERN on PON1 activity was nonsignificant
(coefficient estimate 20.83 U l-1 , 95% confidence interval [CI] -9.88 to 51.53;
P = 0.184). ERN was associated with an increase in HDL-C levels (coefficient
estimate 5.21 mg dl-1 , 95% CI 1.16 to 9.25; P = 0.012) and its subclasses HDL2
(coefficient estimate 2.46 mg dl-1 , 95% CI 0.57 to 4.34; P = 0.011) and HDL3
(coefficient estimate 2.73 mg dl-1 , 95% CI 0.47 to 4.98; P = 0.018). ERN was
significantly associated with the production of aApoA-I antibodies (coefficient
estimate 0.25 MUg ml-1 , 95% CI 0.09-0.40; P = 0.001). aApoA-I titres at baseline
were correlated with decreased PON activity. CONCLUSIONS: The rise in HDL-C
achieved with ERN was not matched by improved antioxidant capacity, eventually
hampered by the emergence of aApoA-I antibodies. These results may explain why
Niacin and other lipid lowering agents fail to reduce cardiovascular risk.
PMID- 27891665
TI - Spectral summation and facilitation in on- and off-responses for optimized
representation of communication calls in mouse inferior colliculus.
AB - Selectivity for processing of species-specific vocalizations and communication
sounds has often been associated with the auditory cortex. The midbrain inferior
colliculus, however, is the first center in the auditory pathways of mammals
integrating acoustic information processed in separate nuclei and channels in the
brainstem and, therefore, could significantly contribute to enhance the
perception of species' communication sounds. Here, we used natural wriggling
calls of mouse pups, which communicate need for maternal care to adult females,
and further 15 synthesized sounds to test the hypothesis that neurons in the
central nucleus of the inferior colliculus of adult females optimize their
response rates for reproduction of the three main harmonics (formants) of
wriggling calls. The results confirmed the hypothesis showing that average
response rates, as recorded extracellularly from single units, were highest and
spectral facilitation most effective for both onset and offset responses to the
call and call models with three resolved frequencies according to critical bands
in perception. In addition, the general on- and/or off-response enhancement in
almost half the investigated 122 neurons favors not only perception of single
calls but also of vocalization rhythm. In summary, our study provides strong
evidence that critical-band resolved frequency components within a communication
sound increase the probability of its perception by boosting the signal-to-noise
ratio of neural response rates within the inferior colliculus for at least 20%
(our criterion for facilitation). These mechanisms, including enhancement of
rhythm coding, are generally favorable to processing of other animal and human
vocalizations, including formants of speech sounds.
PMID- 27891666
TI - A systematic review of the outcomes reported in trials of medication review in
older patients: the need for a core outcome set.
AB - AIM: Medication review has been advocated as one of the measures to tackle the
challenge of polypharmacy in older patients, yet there is no consensus on how
best to evaluate its efficacy. This study aimed to assess outcome reporting in
trials of medication review in older patients. METHODS: Randomized controlled
trials (RCTs), prospective studies and RCT protocols involving medication review
performed in patients aged 65 years or older in any setting of care were
identified from: (1) a recent systematic review; (2) RCT registries of ongoing
studies; (3) the Cochrane library. The type, definition, and frequency of all
outcomes reported were extracted independently by two researchers. RESULTS: Forty
seven RCTs or prospective published studies and 32 RCT protocols were identified.
A total of 327 distinct outcomes were identified in the 47 published studies.
Only one fifth (21%) of the studies evaluated the impact of medication reviews on
adverse events such as drug reactions or drug-related hospital admissions. Most
of the outcomes were related to medication use (n = 114, 35%) and healthcare use
(n = 74, 23%). Very few outcomes were patient-related (n = 24, 7%). A total of
248 distinct outcomes were identified in the 32 RCT protocols. Overall, the
number of outcomes and the number and type of health domains covered by the
outcomes varied largely. CONCLUSION: Outcome reporting from RCTs concerning
medication review in older patients is heterogeneous. This review highlights the
need for a standardized core outcome set for medication review in older patients,
to improve outcome reporting and evidence synthesis.
PMID- 27891667
TI - Re: Schaefer: Re: Oelke et al Unravelling detrusor underactivity: Development of
a bladder outlet resistance-bladder contractility nomogram for adult male
patients with lower urinary tract symptoms and Letter-to-the-Editor by Alison
Bray and Michael Drinnan.
PMID- 27891668
TI - Systematic mapping of the subchondral bone 3D microarchitecture in the human
tibial plateau: Variations with joint alignment.
AB - Tibial subchondral bone plays an important role in knee osteoarthritis (OA).
Microarchitectural characterization of subchondral bone plate (SBP), underlying
subchondral trabecular bone (STB) and relationships between these compartments,
however, is limited. The aim of this study was to characterize the spatial
distribution of SBP thickness, SBP porosity and STB microarchitecture, and
relationships among them, in OA tibiae of varying joint alignment. Twenty-five
tibial plateaus from end-stage knee-OA patients, with varus (n = 17) or non-varus
(n = 8) alignment were micro-CT scanned (17 MUm/voxel). SBP and STB
microarchitecture was quantified via a systematic mapping in 22 volumes of
interest per knee (11 medial, 11 lateral). Significant within-condylar and
between-condylar (medial vs. lateral) differences (p < 0.05) were found. In
varus, STB bone volume fraction (BV/TV) was consistently high throughout the
medial condyle, whereas in non-varus, medially, it was more heterogeneously
distributed. Regions of high SBP thickness were co-located with regions of high
STB BV/TV underneath. In varus, BV/TV was significantly higher medially than
laterally, however, not so in non-varus. Moreover, region-specific significant
associations between the SBP thickness and SBP porosity and the underlying STB
microarchitecture were detected, which in general were not captured when
considering the values averaged for each condyle. As subchondral bone changes
reflect responses to local mechanical and biochemical factors within the joint,
our results suggest that joint alignment influences both the medial-to-lateral
and the within-condyle distribution of force across the tibia, generating
corresponding local bony responses (adaptation) of both the subchondral bone
plate and underlying subchondral trabecular bone microarchitecture. (c) 2016
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
35:1927-1941, 2017.
PMID- 27891669
TI - A molecular dynamics study of the binary complexes of APP, JIP1, and the cargo
binding domain of KLC.
AB - Mutations in the amyloid precursor protein (APP) are responsible for the
formation of amyloid-beta peptides. These peptides play a role in Alzheimer's and
other dementia-related diseases. The cargo binding domain of the kinesin-1 light
chain motor protein (KLC1) may be responsible for transporting APP either
directly or via interaction with C-jun N-terminal kinase-interacting protein 1
(JIP1). However, to date there has been no direct experimental or computational
assessment of such binding at the atomistic level. We used molecular dynamics and
free energy estimations to gauge the affinity for the binary complexes of KLC1,
APP, and JIP1. We find that all binary complexes (KLC1:APP, KLC1:JIP1, and
APP:JIP1) contain conformations with favorable binding free energies. For
KLC1:APP the inclusion of approximate entropies reduces the favorability. This is
likely due to the flexibility of the 42-residue APP protein. In all cases we
analyze atomistic/residue driving forces for favorable interactions. Proteins
2017; 85:221-234. (c) 2016 Wiley Periodicals, Inc.
PMID- 27891670
TI - Biomechanical behavior of novel composite PMMA-CaP bone cements in an
anatomically accurate cadaveric vertebroplasty model.
AB - Vertebral compression fractures are caused by many factors including trauma and
osteoporosis. Osteoporosis induced fractures are a result of loss in bone mass
and quality that weaken the vertebral body. Vertebroplasty and kyphoplasty,
involving cement augmentation of fractured vertebrae, show promise in restoring
vertebral mechanical properties. Some complications however, are reported due to
the performance characteristics of commercially available bone cements. In this
study, the biomechanical performance characteristics of two novel composite (PMMA
CaP) bone cements were studied using an anatomically accurate human cadaveric
vertebroplasty model. The study involves mechanical testing on two functional
cadaveric spinal unit (2FSU) segments which include monotonic compression and
cyclical fatigue tests, treatment by direct cement injection, and microscopic
visualization of sectioned vertebrae. The 2FSU segments were fractured, treated,
and mechanically tested to investigate the stability provided by two novel bone
cements; using readily available commercial acrylic cement as a control. Segment
height and stiffness were tracked during the study to establish biomechanical
performance. The 2FSU segments were successfully stabilized with all three cement
groups. Stiffness values were restored to initial levels following fatigue
loading. Cement interdigitation was observed with all cement groups. This study
demonstrates efficient reinforcement of the fractured vertebrae through stiffness
restoration. The pre-mixed composite cements were comparable to the commercial
cement in their performance and interdigitative ability, thus holding promise for
future clinical use. (c) 2016 Orthopaedic Research Society. Published by Wiley
Periodicals, Inc. J Orthop Res 35:2067-2074, 2017.
PMID- 27891671
TI - Lacerated anterior mitral valve leaflet following percutaneous balloon
valvuloplasty.
PMID- 27891673
TI - IgG4-related disease-experience of 100 consecutive cases from a specialist
centre.
AB - AIMS: To describe the features of 100 consecutive cases referred to a single UK
institution in which a diagnosis of IgG4-related disease (IgG4-RD) was under
consideration. METHODS AND RESULTS: The histological features were reviewed by a
single histopathologist, and cases were categorized according to the 2012 Boston
criteria: Category 1-histologically highly suggestive of IgG4-RD; Category 2
probable histopathological features of IgG4-RD; and Category 3-insufficient
histopathological evidence of IgG4-RD. A 'global assessment' was performed with
the available clinical information: Assessment group 1-'definite/very likely IgG4
RD'; Assessment group 2-'possible IgG4-RD'; Assessment group 3-'not IgG4-RD'; and
Assessment group 4-insufficient information. The mean IgG4+ plasma cell count and
IgG4+/IgG+ ratio were highest in Category 1 [134/high-power field (HPF); 57%] and
Assessment group 1 (113/HPF; 52%), and lowest in Category 3 (11/HPF; 18%) and
Assessment group 3 (43/HPF; 31%) (Category comparison of IgG4+ count and ratio,
both P < 0.001; Assessment group comparison of IgG4+ count, P < 0.0002; and
Assessment group comparison of ratio, P = 0.04). A non-IgG4-RD diagnosis was rare
in Category 1 (7%) but common in Category 2 (60%) and Category 3 (47%). Stromal
reactions to neoplasia and chronic oral ulceration were simulants of IgG4-RD.
CONCLUSIONS: The Boston criteria are linked to the likelihood of IgG4-RD. Other
conditions may show some histological features of IgG4-RD. The likelihood of IgG4
RD is much greater when the histological features reach the threshold for
Category 1 than when they reach the thresholds for Categories 2 and 3. Despite
the utility of the Boston criteria, this study highlights the crucial importance
of careful clinicopathological correlation when a diagnosis of IgG4-RD is under
consideration.
PMID- 27891672
TI - Cardiac rhabdomyoma in an infant patient presenting with right ventricular
outflow tract obstruction.
PMID- 27891674
TI - Correction of atrial size mismatch during heart transplantation with the bicaval
technique.
PMID- 27891675
TI - Surgical management of infective endocarditis complicated by ischemic stroke.
AB - Embolism to the central nervous system is a frequent and important complication
of infective endocarditis. While early surgery improves outcomes in many groups
of patients with infective endocarditis, ischemic stroke secondary to septic
embolism carries the risk of hemorrhagic transformation and neurological
deterioration with heparinization and cardiopulmonary bypass. We review the
literature regarding the surgical management of infective endocarditis in
patients with cerebral emboli.
PMID- 27891676
TI - Sex-dependent independent prediction of incident diabetes by depressive symptoms.
AB - OBJECTIVE: To study the predictive value of depressive symptoms (DeprSs) in a
general population of Turkey for type 2 diabetes. METHODS: Responses to three
questions served to assess the sense of depression. Cox regression analyses were
used regarding risk estimates for incident diabetes, after exclusion of prevalent
cases of diabetes. Mean follow-up consisted of 5.15 (+/-1.4) years. RESULTS:
Depressive symptoms were present at baseline in 16.2% of the whole study sample,
threefold in women than men. Reduced physical activity grade was the only
significant covariate at baseline in men, while younger age and lower blood
pressure were significantly different in women compared with those without DeprS.
In men, presence of DeprS predicted incident diabetes at a significant 2.58-fold
relative risk (95% confidence interval 1.03; 6.44), after adjustment for age,
systolic blood pressure, and antidepressant drug usage. When further covariates
were added, waist circumference remained the only significant predictor, while
DepS was attenuated to a relative risk of 2.12 (95% confidence interval 0.83;
5.40). DeprS was not associated with diabetes in women, whereas antidepressant
drug usage only tended to be positively associated. CONCLUSION: Gender difference
existed in the relationship between DeprS and incident diabetes. DeprS predicted
subsequent development of diabetes in men alone, not in women. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27891677
TI - Staurosporine scaffold-based rational discovery of the wild-type sparing
reversible inhibitors of EGFR T790M gatekeeper mutant in lung cancer with analog
sensitive kinase technology.
AB - The human epidermal growth factor receptor (EGFR) has been established as an
attractive target for lung cancer therapy. However, an acquired EGFR T790M
gatekeeper mutation is frequently observed in patients treated with first-line
anticancer agents such as gefitinib and erlotinib to cause drug resistance,
largely limiting the application of small-molecule kinase inhibitors in EGFR
targeted chemotherapy. Previously, the reversible pan-kinase inhibitor
staurosporine and its several analogs such as Go6976 and K252a have been reported
to selectively inhibit the EGFR T790M mutant (EGFRT790M ) over wild-type kinase
(EGFRWT ), suggesting that the staurosporine scaffold is potentially to develop
the wild-type sparing reversible inhibitors of EGFRT790M . Here, we
systematically evaluated the inhibitor response of 28 staurosporine scaffold
based compounds to EGFR T790M mutation at structural, energetic, and molecular
levels by using an integrated in silico-in vitro analog-sensitive (AS) kinase
technology. With the strategy, we were able to identify 4 novel wild-type sparing
inhibitors UCN-01, UCN-02, AFN941, and SB-218078 with high or moderate
selectivity of 30-, 45-, 5-, and 8-fold for EGFRT790M over EGFRWT , respectively,
which are comparable with or even better than that of the parent compound
staurosporine (24-fold). Molecular modeling and structural analysis revealed that
van der Waals contacts and hydrophobic forces can form between the side chain of
mutated residue Met790 and the pyrrolidinone moiety of inhibitor ligand UCN-02,
which may simultaneously improve the favorable interaction energy between the
kinase and inhibitor, and reduce the unfavorable desolvation penalty upon the
kinase-inhibitor binding. A hydroxyl group of UCN-02 additional to staurosporine
locates at the pyrrolidinone moiety, which can largely alter the electronic
distribution of pyrrolidinone moiety and thus promote the intermolecular
interaction with Met790 residue. This can well explain the measured higher
selectivity of UCN-02 than staurosporine for mutant over wild-type kinase.
PMID- 27891678
TI - Morphomechanics of dermis-A method for non-destructive testing of collagenous
tissues.
AB - BACKGROUND: Collagenous tissues store, transmit and dissipate elastic energy
during mechanical deformation. In skin, mechanical energy is stored during
loading and then is dissipated, which protects skin from mechanical failure.
Thus, energy storage (elastic properties) and dissipation (viscous properties)
are important characteristics of extracellular matrices (ECMs) that support the
cyclic loading of ECMs without tissue failure. METHODS: Uniaxial stress-strain
measurements on decellularized human dermis have been made and compared to
results of a non-destructive technique involving optical coherence tomography
(OCT) combined with vibrational analysis. In addition, Poisson's ratio has been
determined for tensile deformation of decellularized dermis. RESULTS: The modulus
of decellularized dermis measured using standard tensile stress-strain tests and
that determined from calculations derived from natural frequency measurements
give similar results. It is also observed that Poisson's ratio for dermis is
between 0.38 and 0.63 after correction for changes in volume that occur during
tensile deformation. These results suggest that the assumption that dermis and
other ECMs deform at constant volume is incorrect and will lead to differences in
the calculated modulus by conventional tensile stress-strain measurements.
CONCLUSIONS: It is proposed that OCT in conjunction with vibrational analysis is
a convenient way to non-destructively measure the modulus of decellularized
dermis, ECMs and other materials that have a positive curvature to their stress
strain curves. Tensile deformation of dermis and possibly other ECMs is
associated with an increase in Poisson's ratio consistent with a model of fluid
expulsion from collagen fibrils during stretching. The value of Poisson's ratio
should be considered in analyzing the mechanical properties of ECMs since at
least dermis appears to be compressible during tensile deformation. Fluid
expression during tensile deformation may play a role in mechanotransduction in
skin in a similar manner to cartilage and bone tissue.
PMID- 27891680
TI - ISUOG consensus statement on current understanding of the association of
neurodevelopmental delay and congenital heart disease: impact on prenatal
counseling.
PMID- 27891679
TI - Adenylyl cyclase 3/adenylyl cyclase-associated protein 1 (CAP1) complex mediates
the anti-migratory effect of forskolin in pancreatic cancer cells.
AB - Pancreatic cancer is one of the most lethal human malignancies. A better
understanding of the intracellular mechanism of migration and invasion is
urgently needed to develop treatment that will suppress metastases and improve
overall survival. Cyclic adenosine monophosphate (cyclic AMP) is a second
messenger that has shown to regulate migration and invasion of pancreatic cancer
cells. The rise of cyclic AMP suppressed migration and invasion of pancreatic
ductal adenocarcinoma cells. Cyclic AMP is formed from cytosolic ATP by the
enzyme adenylyl cyclase (AC). There are ten isoforms of ACs; nine are anchored in
the plasma membrane and one is soluble. What remains unknown is the extent to
which the expression of transmembrane AC isoforms is both modified in pancreatic
cancer and mediates the inhibitory effect of forskolin on cell motility. Using
real-time PCR analysis, ADCY3 was found to be highly expressed in pancreatic
tumor tissues, resulting in a constitutive increase in cyclic AMP levels. On the
other hand, ADCY2 was down-regulated. Migration, invasion, and filopodia
formation in two different pancreatic adenocarcinoma cell lines, HPAC and PANC-1
deficient in AC1 or AC3, were studied. We found that AC3, upon stimulation with
forskolin, enhanced cyclic AMP levels and inhibited cell migration and invasion.
Unlikely to be due to a cytotoxic effect, the inhibitory effects of forskolin
involved the quick formation of AC3/adenylyl cyclase-associated protein 1
(CAP1)/G-actin complex, which inhibited filopodia formation and cell motility.
Using Western blotting analysis, forskolin, through AC3 activation, caused
phosphorylation of CREB, but not ERK. The effect of CREB phosphorylation is
likely to be associated with long-term signaling changes. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27891681
TI - Incomplete Re-Expression of Neuroendocrine Progenitor/Stem Cell Markers is a Key
Feature of beta-Cell Dedifferentiation.
AB - There is increasing evidence to suggest that type 2 diabetes mellitus (T2D), a
pandemic metabolic disease, may be caused by beta-cell dedifferentiation
(betaCD). However, there is currently no universal definition of betaCD, and the
underlying mechanism is poorly understood. We hypothesise that a high-glucose in
vitro environment mimics hyperglycaemia in vivo and that beta cells grown in this
milieu over a long period will undergo dedifferentiation. In the present study,
we report that the pancreatic beta cell line mouse insulinoma 6 (MIN6) grown
under a high-glucose condition did not undergo massive cell death but exhibited a
glucose-stimulated insulin-secreting profile similar to that of immature beta
cells. The expression of insulin and the glucose-sensing molecule glucose
transporter 2 (Glut2) in late passage MIN6 cells was significantly lower than the
early passage at both the RNA and protein levels. Mechanistically, these cells
also expressed significantly less of the 'pancreatic and duodenal homebox1'
(Pdx1) beta-cell transcription factor. Finally, passaged MIN6 cells
dedifferentiated to demonstrate some features of beta-cell precursors, as well as
neuroendocrine markers, in addition to expressing both glucagon and insulin.
Thus, we concluded that high-glucose passaged MIN6 cells passaged MIN6 cells.
provide a cellular model of beta-cell dedifferentiation that can help researchers
develop a better understanding of this process. These findings provide new
insights that may enhance knowledge of the pathophysiology of T2D and facilitate
the establishment of a novel strategy by which this disease can be treated.
PMID- 27891682
TI - Robust Min-system oscillation in the presence of internal photosynthetic
membranes in cyanobacteria.
AB - The oscillatory Min system of Escherichia coli defines the cell division plane by
regulating the site of FtsZ-ring formation and represents one of the best
understood examples of emergent protein self-organization in nature. The
oscillatory patterns of the Min-system proteins MinC, MinD and MinE (MinCDE) are
strongly dependent on the geometry of membranes they bind. Complex internal
membranes within cyanobacteria could disrupt this self-organization by sterically
occluding or sequestering MinCDE from the plasma membrane. Here, it was shown
that the Min system in the cyanobacterium Synechococcus elongatus PCC 7942
oscillates from pole-to-pole despite the potential spatial constraints imposed by
their extensive thylakoid network. Moreover, reaction-diffusion simulations
predict robust oscillations in modeled cyanobacterial cells provided that
thylakoid network permeability is maintained to facilitate diffusion, and suggest
that Min proteins require preferential affinity for the plasma membrane over
thylakoids to correctly position the FtsZ ring. Interestingly, in addition to
oscillating, MinC exhibits a midcell localization dependent on MinD and the
DivIVA-like protein Cdv3, indicating that two distinct pools of MinC are
coordinated in S. elongatus. Our results provide the first direct evidence for
Min oscillation outside of E. coli and have broader implications for Min-system
function in bacteria and organelles with internal membrane systems.
PMID- 27891683
TI - Supramolecular Adaptive Nanomotors with Magnetotaxis Behavior.
AB - With a convenient bottom-up approach, magnetic metallic nickel is grown in situ
of a supramolecular nanomotor using the catalytic activities of preloaded
platinum nanoparticles. After introducing magnetic segments, simultaneous
guidance and steering of catalytically powered motors with additional magnetic
fields are achieved. Guided motion in a tissue model is demonstrated.
PMID- 27891684
TI - Changes in visibility as a function of spatial frequency and microsaccade
occurrence.
AB - Fixational eye movements (FEMs), including microsaccades, drift, and tremor,
shift our eye position during ocular fixation, producing retinal motion that is
thought to help visibility by counteracting neural adaptation to unchanging
stimulation. Yet, how each FEM type influences this process is still debated.
Recent studies found little to no relationship between microsaccades and visual
perception of spatial frequencies (SF). However, these conclusions were based on
coarse analyses that make it hard to appreciate the actual effects of
microsaccades on target visibility as a function of SF. Thus, how microsaccades
contribute to the visibility of stimuli of different SFs remains unclear. Here,
we asked how the visibility of targets of various SFs changed over time, in
relationship with concurrent microsaccade production. Participants continuously
reported on changes in target visibility, allowing us to time-lock ongoing
changes in microsaccade parameters to perceptual transitions in visibility.
Microsaccades restored/increased the visibility of low SF targets more
efficiently than that of high SF targets. Yet, microsaccade rates rose before
periods of increased visibility, and dropped before periods of diminished
visibility, for all the SFs tested, suggesting that microsaccades boosted target
visibility across a wide range of SFs. Our data also indicate that visual stimuli
fade/become harder to see less often in the presence of microsaccades. In
addition, larger microsaccades restored/increased target visibility more
effectively than smaller microsaccades. These combined results support the
proposal that microsaccades enhance visibility across a broad variety of SFs.
PMID- 27891685
TI - The DNA aptamer binds stemness-enriched cancer cells in pancreatic cancer.
AB - Pancreatic cancer remains one of the most common and lethal cancers. Most
patients (80%) present with inoperable advanced pancreatic cancer at initial
diagnosis, and their early diagnosis is a significant unmet challenge. Recent
studies indicate that cancer, including pancreatic cancer, is initiated and
propagated by cancer stem cells (CSCs). CSCs are responsible not only for the
pathogenesis of cancer but also for the heterogeneity, malignant degree,
anticancer therapy resistance, and recurrence of tumors. Therefore, the
identification of CSCs may be a crucial stepping stone for overcoming this
disastrous pancreatic cancer. Here, we investigated pancreatic CSC-associated
aptamers as a novel tool for diagnosis and therapeutic agents. Aptamers that bind
to stemness-enriched cancer cells in pancreatic cancer were developed by modified
Cell-SELEX method. Positive selection was performed by the sphere cells generated
by pancreatic cancer cell line, HPAC, and then the aptamer pool was negatively
selected by pancreatic normal cell line, HPDE. Aptamers 1 and 146 showing high
specificity upon the KD values with 22.18 and 22.62 nM were selected. These 2
aptamers were validated by binding to HPAC sphere cells and to HPDE cells, and
both aptamers showed specificity to HPAC sphere cells only. Aptamer-positive
cells showed high expression levels of CSC-associated genes compared with the
aptamer-negative cells by FACS analysis. The colocalization of CD44, CD24, ESA,
and CD133 was also observed in the aptamer-positive cells by confocal microscopy.
In the present study, these 2 pancreatic CSC-associated aptamers may be potential
candidates for novel diagnostic markers, CSC-targeting drug delivery, or
circulating tumor cell detection.
PMID- 27891686
TI - Implementation of a real-time reference and calibration grid platform for
improved screening - mapping in Pap test slides.
AB - Cervical cancer screening based on the Papanicolaou (Pap) test is a widely
applied but not always efficient practice for detecting Human Papillomavirus
(HPV) mediated lesions, partially due to a non-systematic and inadequate
screening process. Our aim was to introduce an inexpensive easy-to-use direct
screening platform for improved detection of abnormal cells indicative of
underlying cervical neoplasia as well as persisting HPV infection. By employing a
novel, efficient technique of laser-based micromachining, we achieved the
fabrication of spatial grids on commercially available coverslips allowing visual
segmentation of the slide for efficient screening. Abnormal and formerly
diagnosed as negative for intraepithelial lesion or malignancy (NILM) Pap test
slides (n = 200) were analyzed by conventional and grid-based screening. Grid
based microscopy led to a more reliable diagnosis compared to the conventional by
identifying an increased number of abnormal cells (P = 0.001). It decreased
borderline ASCUS, AGC diagnosis, increasing LSIL, HSIL and in situ AdenoCa
detection rates closely related with biopsy (P = 0.015; kappa = 0.978).
Concerning the set of NILM diagnoses in rapid re-screening, the method upgraded
six cases (n = 6) to LSIL (P = 0.001). The proposed technical solution offers a
calibration and orientation visual aid during the on-site screening process
providing significant advantages compared to expensive digital imaging
techniques.
PMID- 27891687
TI - Effect of preceding stimulation on sound localization and its representation in
the auditory midbrain.
AB - Prior stimulation can influence the perception of sound source location. Some
psychophysical sound localization procedures differ in the amount of prior
stimulation, which may affect measures of localization accuracy. If and how
particularly the number of preceding stimuli affects sound localization and the
neural representation of sound source position has not been investigated so far
and will be the focus of the present report. We trained Mongolian gerbils in a
left/right discrimination task where the target stimulus was preceded by silence
or followed a number of reference stimuli. Localization thresholds decreased with
the number of references presented before the target stimulus. The smallest
thresholds were found after the presentation of a train of 5 reference stimuli
and after silence. We recorded from units in the inferior colliculus (IC) of
anaesthetized gerbils using virtual-acoustic space stimuli mimicking the ones
used in the behavioural task and applied signal detection theory to compare
behavioural and neurometric thresholds. We found that neurometric thresholds
based on spike rate information of single units covered a wide range of threshold
values but only neurometric thresholds that were based on responses of small
populations of IC units reached consistently thresholds we also observed in the
behavioural experiment. Unlike behavioural thresholds, however, neurometric
thresholds were independent of the number of reference stimuli suggesting that
processing stages downstream from the IC might better reflect the effect of prior
stimulation.
PMID- 27891688
TI - Possible role of calcitonin gene-related peptide in trigeminal modulation of
glomerular microcircuits of the rodent olfactory bulb.
AB - Chemosensation in the mammalian nose comprises detection of odorants, irritants
and pheromones. While the traditional view assigned one distinct sub-system to
each stimulus type, recent research has produced a more complex picture. Odorants
are not only detected by olfactory sensory neurons but also by the trigeminal
system. Irritants, in turn, may have a distinct odor, and some pheromones are
detected by the olfactory epithelium. Moreover, it is well established that
irritants change odor perception and vice versa. A wealth of psychophysical
evidence on olfactory-trigeminal interactions in humans contrasts with a paucity
of structural insight. In particular, it is unclear whether the two systems
communicate just by sharing stimuli, or whether neuronal connections mediate
cross-modal signaling. One connection could exist in the olfactory bulb that
performs the primary processing of olfactory signals and receives trigeminal
innervation. In the present study, neuroanatomical tracing of the mouse ethmoid
system illustrates how peptidergic fibers enter the glomerular layer of the
olfactory bulb, where local microcircuits process and filter the afferent signal.
Biochemical assays reveal release of calcitonin gene-related peptide from
olfactory bulb slices and attenuation of cAMP signaling by the neuropeptide. In
the non-stimulated tissue, the neuropeptide specifically inhibited the basal
activity of calbindin-expressing periglomerular interneurons, but did not affect
the basal activity of neurons expressing calretinin, parvalbumin, or tyrosine
hydroxylase, nor the activity of astrocytes. This study represents a first step
towards understanding trigeminal neuromodulation of olfactory-bulb microcircuits
and provides a working hypothesis for trigeminal inhibition of olfactory signal
processing. This article is protected by copyright. All rights reserved.
PMID- 27891689
TI - Deficit in acoustic signal-in-noise detection in glycine receptor alpha3 subunit
knockout mice.
AB - Hearing is an essential sense for communication in animals and humans. Normal
function of the cochlea of higher vertebrates relies on a fine-tuned interplay of
afferent and efferent innervation of both inner and outer hair cells. Efferent
inhibition is controlled via olivocochlear feedback loops, mediated mainly by
acetylcholine, gamma-aminobutyric acid (GABA) and glycine, and is one of the
first sites affected by synapto- and neuropathy in the development of hearing
loss. While the functions of acetylcholine, GABA and other inhibitory
transmitters within these feedback loops are at least partially understood,
especially the function of glycine still remains elusive. To address this
question, we investigated hearing in glycine receptor (GlyR) alpha3 knockout (KO)
and wildtype (WT) mice. We found no differences in pure tone hearing thresholds
at 11.3 and 16 kHz between the two groups as assessed by auditory brainstem
response (ABR) measurements. Detailed analysis of the ABR waves at 11.3 kHz,
however, revealed a latency decrease of wave III and an amplitude increase of
wave IV in KO compared to WT animals. GlyRalpha3 KO animals showed significantly
impaired prepulse inhibition of the auditory startle response in a noisy
environment, indicating that GlyRalpha3-mediated glycinergic inhibition is
important for signal-in-noise detection.
PMID- 27891690
TI - Alcohol's contribution to cancer is underestimated for exactly the same reason
that its contribution to cardioprotection is overestimated.
PMID- 27891691
TI - Probing metabolite diffusion at ultra-short time scales in the mouse brain using
optimized oscillating gradients and "short"-echo-time diffusion-weighted MRS.
AB - Measuring diffusion at ultra-short time scales may yield information about short
range intracellular structure and cytosol viscosity. However, reaching such time
scales usually requires oscillating gradients, which in turn imply long echo
times TE . Here we propose a new kind of stretched oscillating gradient that
allows us to increase diffusion-weighting b while preserving spectral and
temporal properties of the gradient modulation. We used these optimized gradients
to measure metabolite diffusion in the mouse brain down to effective diffusion
times of 1 ms while keeping TE relatively short (60 ms). At such TE , a
significant macromolecule signal could still be observed and used as an internal
reference of approximately null diffusivity, which proved critical to discard
datasets corrupted by some motion artifact. The methods introduced here may be
useful to improve the accuracy and precision of metabolite apparent diffusion
coefficient measurements with oscillating gradients.
PMID- 27891692
TI - SMARCE1 mutation screening in classification of clear cell meningiomas.
AB - AIMS: Clear cell meningioma (CCM) is a rare subtype of meningioma and shows not
only unusual histology, but also unique clinical features. Recently, SMARCE1
mutations have been shown to cause spinal and cranial CCMs. We present 12 cases
which were diagnosed with CCM in a single institution between 1997 and 2014, and
investigate their SMARCE1 mutation status. METHODS AND RESULTS: To investigate
the SMARCE1 mutation status of these tumours, we used a combination of Sanger
sequencing and multiplex ligation-dependent probe amplification analysis and also
performed SMARCE1 immunohistochemical staining. We found both SMARCE1 mutational
hits, including novel SMARCE1 mutations, in six of eight tested patients.
Immunohistochemical analysis showed loss of SMARCE1 protein staining in all but
two cases. Two individuals who were diagnosed originally with CCM were negative
for SMARCE1 mutations, but tested positive for NF2 mutations. As a result, these
two tumours were re-analysed and eventually reclassified, as a microcystic and a
mixed pattern of meningothelial meningioma with focal clear cell areas,
respectively. CONCLUSIONS: These results expand the spectrum of pathogenic
variants in SMARCE1 and show that mutation screening can help to facilitate
meningioma classification. This may have implications for prognosis and future
clinical management of patients, as CCMs are classed as grade II tumours, while
microcystic and meningothelial meningiomas are classed as grade I.
PMID- 27891693
TI - Understanding and learning from the diversification of cannabis supply laws.
AB - BACKGROUND AND AIMS: Prohibitions on producing, distributing and selling cannabis
are loosening in various jurisdictions around the world. This paper describes the
diversification of cannabis supply laws and discusses the challenges to and
opportunities for learning from these changes. METHODS: We document changes in
cannabis supply laws that de jure legalized cannabis production for medical
and/or non-medical purposes (excluding industrial hemp) in Australasia, Europe,
North America and South America. We also highlight challenges to evaluating these
legal changes based on our experiences studying cannabis laws and policies in the
United States. FINDINGS: As of August 2016, two countries have passed laws to
legalize large-scale cannabis production for non-medical purposes at the national
(Uruguay) or subnational level (four US states). At least nine other countries
legally allow (or will soon allow) cannabis to be supplied for medicinal
purposes. Most of the changes in cannabis supply laws have occurred since 2010.
The data available in most countries are inadequate for rigorously evaluating the
changes in cannabis supply laws. CONCLUSION: The evidence base for assessing
changes in cannabis supply laws remains weak. Efforts should focus upon
collecting information about quantities consumed and market transactions as well
as validating self-report surveys.
PMID- 27891694
TI - Genetic variation in blue whales in the eastern pacific: implication for taxonomy
and use of common wintering grounds.
AB - Many aspects of blue whale biology are poorly understood. Some of the gaps in our
knowledge, such as those regarding their basic taxonomy and seasonal movements,
directly affect our ability to monitor and manage blue whale populations. As a
step towards filling in some of these gaps, microsatellite and mtDNA sequence
analyses were conducted on blue whale samples from the Southern Hemisphere, the
eastern tropical Pacific (ETP) and the northeast Pacific. The results indicate
that the ETP is differentially used by blue whales from the northern and southern
eastern Pacific, with the former showing stronger affinity to the region off
Central America known as the Costa Rican Dome, and the latter favouring the
waters of Peru and Ecuador. Although the pattern of genetic variation throughout
the Southern Hemisphere is compatible with the recently proposed subspecies
status of Chilean blue whales, some discrepancies remain between catch lengths
and lengths from aerial photography, and not all blue whales in Chilean waters
can be assumed to be of this type. Also, the range of the proposed Chilean
subspecies, which extends to the Galapagos region of the ETP, at least
seasonally, perhaps should include the Costa Rican Dome and the eastern North
Pacific as well.
PMID- 27891695
TI - Downregulation of neuronal vasoactive intestinal polypeptide in Parkinson's
disease and chronic constipation.
AB - BACKGROUND: Chronic constipation (CC) is a common and severe gastrointestinal
complaint in Parkinson's disease (PD), but its pathogenesis remains poorly
understood. This study evaluated functionally distinct submucosal neurons in
relation to colonic motility and anorectal function in PD patients with
constipation (PD/CC) vs both CC and controls. METHODS: Twenty-nine PD/CC and 10
Rome III-defined CC patients were enrolled. Twenty asymptomatic age-sex matched
subjects served as controls. Colonic transit time measurement and conventional
anorectal manometry were evaluated in PD/CC and CC patients. Colonoscopy was
performed in all three groups. Colonic submucosal whole mounts from PD/CC, CC,
and controls were processed for immunohistochemistry with antibodies for
vasoactive intestinal polypeptide (VIP) and peripheral choline acetyltransferase,
markers for functionally distinct submucosal neurons. The mRNA expression of VIP
and its receptors were also assessed. KEY RESULTS: Four subgroups of PD/CC
patients were identified: delayed colonic transit plus altered anorectal
manometry (65%); delayed colonic transit (13%); altered manometric pattern (13%);
and no transit and manometric impairment (9%). There were no differences in the
number of neurons/ganglion between PD/CC vs CC or vs controls. A reduced number
of submucosal neurons containing VIP immunoreactivity was found in PD/CC vs
controls (P<.05). VIP, VIPR1, and VIPR2 mRNA expression was significantly reduced
in PD/CC vs CC and controls (P<.05). CONCLUSIONS AND INFERENCES: Colonic motor
and rectal sensory functions are impaired in most PD/CC patients. These
abnormalities are associated with a decreased VIP expression in submucosal
neurons. Both sensory-motor abnormalities and neurally mediated motor and
secretory mechanisms are likely to contribute to PD/CC pathophysiology.
PMID- 27891696
TI - Gender differences in chronic constipation on anorectal motility.
AB - BACKGROUND: The epidemiology of chronic constipation (CC) skews toward female
predominance, yet men make up an important component of those suffering from CC.
We sought to determine whether there are sex-specific differences in symptoms and
physiologic parameters on anorectal manometry (ARM). METHODS: We performed a case
control analysis of sequential men and age-matched women (2:1 ratio) presenting
for ARM as part of the evaluation of CC. We collected physiologic parameters
derived from 3D high-resolution ARM in addition to the ROME III constipation
module and the Pelvic Floor Distress Inventory 20 (PFDI-20) questionnaires. We
analyzed univariate, sex-specific differences in ARM physiologic parameters and
PFDI-20 parameters and adjusted for putative confounders using multivariate
logistic regression. KEY RESULTS: Our study enrolled 80 men and 165 age-matched
women. Men had a higher median sphincter resting pressure (81.2 vs 75.2 mm Hg,
P=.01) and mean squeeze pressure (257.0 vs 170.5 mm Hg, P<.0001) than women.
Although men reported significantly less severe straining and incomplete
evacuation, they had greater mean rectoanal pressure differential (-106.7 vs
71.1 mm Hg, P<.0001), smaller mean defecation index (0.17 vs 0.27, P=.03) and
higher volume threshold for urgency (115.2 v. 103.4 mL, P=.03). However, women
were more likely to have abnormal balloon expulsion time (BET) than men (52.7% vs
35.0%, P=.01). After multivariate analysis, male gender was the only independent
predictor of a normal BET (OR: 0.48, 95% CI: 0.27-0.86, P=.01). CONCLUSIONS &
INFERENCES: Men and women with CC differ with regard to symptom severity and
physiologic parameters derived from ARM suggesting differences in their
pathophysiology.
PMID- 27891698
TI - Vaccination and unexplained sudden death risk in Taiwanese infants.
AB - PURPOSE: In March 1992, eight infants who had died within 36 hours of receiving
whole-cell pertussis vaccine (diphtheria, tetanus, and whole-cell pertussis
[DTwP]) prompted the Taiwan health authorities to suspend its use. We conducted
an investigation of vaccination and sudden unexplained infant death (SUID) and
repeated it more recently after Taiwan switched to acellular pertussis vaccine
(diphtheria, tetanus, and acellular pertussis [DTaP]) in 2010. METHODS: All SUIDs
aged 31-364 days during 1990-1992 and 1996-2013 were selected from the death
registration databases. The case-control investigation matched each case to two
controls on clinic, sex, and birth date, whereas the follow-up self-controlled
case series study compared risk of death during the 30-day post-vaccination risk
periods with those in the control periods within the same case. RESULTS: Sudden
unexplained infant death was associated with never receiving DTwP (odds ratio
2.28, 95% confidence interval 1.25-4.15) in the case-control investigation. The
odds ratios within 0-1, 2-7, 8-14, and 15-30 days of DTwP administration were
1.18, 0.26, 0.50, and 0.77. In the 1996-2013 self-controlled case series studies,
this temporal shift between DTwP and SUID was consistently observed for female
(incidence rate ratio 1.70, 0.75, 1.01, and 0.84) but not male or DTaP
recipients. A pooled analysis showed significant risk within 2 days of receiving
DTwP in female infants (incidence rate ratio 1.66, 95% confidence interval 1.05
2.60). CONCLUSIONS: Being unvaccinated and recent receipt of DTwP in female
infants was significantly associated with SUID; the latter was consistent with a
temporal shift pattern without overall increase in risk. The currently used
pertussis vaccine, DTaP, did not increase risk of SUID. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27891699
TI - Effects of oils on feed mildew and quality.
AB - This study was performed to determine the effects of oils on feed mildew and feed
quality. Under different moisture content conditions (10%, 13% and 16%), the
basal feeds were supplemented with 4%, 6%, 8%, 10% and 12% soybean oil. In
addition, at different moisture content levels (10%, 13% and 16%), the basal feed
was supplemented with 12% of various types of oil (soybean, peanut, corn and
fish). Subsequently, a mixed mold spore suspension was added. The feed samples
were incubated at 28 degrees C, and the total mold, water activity (Aw),
moisture, acid value, crude protein (CP), crude lipid (CL), crude ash (CA) and
nitrogen-free extract (NFE) levels were determined at 15, 30, 45 and 60 days. The
results showed no significant variations in the feed moisture, CP, CL, CA and NEF
contents. However, the acid value gradually increased in the feed samples with an
extended incubation time and increasing initial moisture. The feed moisture
content was a critical factor controlling feed mildew, and high levels of oil
supplementation caused an elevated Aw. Additionally, peanut oil promoted mold
growth in feed. These results provide a reference for the production and
scientific management of formulated feed.
PMID- 27891700
TI - Factors predicting emotional cue-responding behaviors of nurses in Taiwan: An
observational study.
AB - OBJECTIVE: Responding to emotional cues is an essential element of therapeutic
communication. The purpose of this study is to examine nurses' competence of
responding to emotional cues (CRE) and related factors while interacting with
standardized patients with cancer. METHODS: This is an exploratory and predictive
correlational study. A convenience sample of registered nurses who have passed
the probationary period in southern Taiwan was recruited to participate in 15
minute videotaped interviews with standardized patients. The Medical Interview
Aural Rating Scale was used to describe standardized patients' emotional cues and
to measure nurses' CRE. The State-Trait Anxiety Inventory was used to evaluate
nurses' anxiety level before the conversation. We used descriptive statistics to
describe the data and stepwise regression to examine the predictors of nurses'
CRE. RESULTS: A total of 110 nurses participated in the study. Regardless of the
emotional cue level, participants predominately responded to cues with
inappropriate distancing strategies. Prior formal communication training,
practice unit, length of nursing practice, and educational level together explain
36.3% variances of the nurses' CRE. CONCLUSIONS: This study is the first to
explore factors related to Taiwanese nurses' CRE. Compared to nurses in other
countries, Taiwanese nurses tended to respond to patients' emotional cues with
more inappropriate strategies. We also identified significant predictors of CRE
that show the importance of communication training. Future research and education
programs are needed to enhance nurses' CRE and to advocate for emotion-focused
communication.
PMID- 27891701
TI - Depression treatment and healthcare expenditures among elderly Medicare
beneficiaries with newly diagnosed depression and incident breast, colorectal, or
prostate cancer.
AB - OBJECTIVES: Depression is associated with high healthcare expenditures, and
depression treatment may reduce healthcare expenditures. However, to date, there
have not been any studies on the effect of depression treatment on healthcare
expenditures among cancer survivors. Therefore, this study examined the
association between depression treatment and healthcare expenditures among
elderly with depression and incident cancer. METHODS: The current study used a
retrospective longitudinal study design, the linked Surveillance, Epidemiology,
and End Results-Medicare database. Elderly (>=66 years) fee-for-service Medicare
beneficiaries with newly diagnosed depression and incident breast, colorectal, or
prostate cancer (N = 1502) were followed for a period of 12 months after
depression diagnosis. Healthcare expenditures were measured every month for a
period of 12-month follow-up period. Depression treatment was identified during
the 6-month follow-up period. The adjusted associations between depression
treatment and healthcare expenditures were analyzed with generalized linear mixed
model regressions with gamma distribution and log link after controlling for
other factors. RESULTS: The average 1-year total healthcare expenditures after
depression diagnosis were $38 219 for those who did not receive depression
treatment; $42 090 for those treated with antidepressants only; $46 913 for those
treated with psychotherapy only; and $51 008 for those treated with a combination
of antidepressants and psychotherapy. As compared to no depression treatment,
those who received antidepressants only, psychotherapy only, or a combination of
antidepressants and psychotherapy had higher healthcare expenditures. However,
second-year expenditures did not significantly differ among depression treatment
categories. CONCLUSIONS: Among cancer survivors with newly diagnosed depression,
depression treatment did not have a significant effect on expenditures in the
long term.
PMID- 27891702
TI - Facing spousal cancer during child-rearing years: The short-term effects of the
Cancer-PEPSONE programme-a single-center randomized controlled trial.
AB - OBJECTIVE: To measure the short-term effects of the Cancer-PEPSONE programme
(CPP) on the partners' received and perceived social support, psychological
distress, and quality of life (QOL), as well as explore the role of received
social support as a mediator of the intervention effects. METHODS: Open single
center randomized controlled trial, trial number 15982171(ISRCTN). Eligible
participants were the partners of cancer patients who were concomitantly caring
for minors (the well parents). The sample consisted of 35 participants randomly
allocated to receive either intervention (n = 17) or support as usual (n = 18).
At the 3-month follow-up (approximately 1 month after intervention), 24 continued
to participate (intervention n = 13, control n = 11). The intervention group
selected supporters to participate in CPP (N = 130). Data were obtained using
validated questionnaire. RESULTS: The multivariate analysis of covariance
revealed significant intervention effects (P = .03, eta2p = 0.42), with main
effects on received and perceived social support. A mediational analysis
suggested that CPP may have indirect effects on QOL through received social
support. CONCLUSIONS: Even though the long-term effects are yet to be studied,
CPP seems to increase social support for the well parents' short term, which in
turn may improve their QOL. Given the study's low sample size, further
replications in larger samples are required.
PMID- 27891703
TI - An investigation into the inhibitory function of serotonin in diffuse noxious
inhibitory controls in the neuropathic rat.
AB - BACKGROUND: Following neuropathy alpha2-adrenoceptor-mediated diffuse noxious
inhibitory controls (DNIC), whereby a noxious conditioning stimulus inhibits the
activity of spinal wide dynamic range (WDR) neurons, are abolished, and spinal 5
HT7 receptor densities are increased. Here, we manipulate spinal 5-HT content in
spinal nerve ligated (SNL) animals and investigate which 5-HT receptor mediated
actions predominate. METHODS: Using in vivo electrophysiology we recorded WDR
neuronal responses to von frey filaments applied to the hind paw before, and
concurrent to, a noxious ear pinch (the conditioning stimulus) in isoflurane
anaesthetised rats. The expression of DNIC was quantified as a reduction in WDR
neuronal firing in the presence of conditioning stimulus and was investigated in
SNL rats following spinal application of (1) selective serotonin reuptake
inhibitors (SSRIs) citalopram or fluoxetine, or dual application of (2) SSRI plus
5-HT7 receptor antagonist SB269970, or (3) SSRI plus alpha2 adrenoceptor
antagonist atipamezole. RESULTS: DNIC were revealed in SNL animals following
spinal application of SSRI, but this effect was abolished upon joint application
of SSRI plus SB269970 or atipamezole. CONCLUSIONS: We propose that in SNL animals
the inhibitory actions (quantified as the presence of DNIC) of excess spinal 5-HT
(presumed present following application of SSRI) were mediated via 5-HT7
receptors. The anti-nociception depends upon an underlying tonic noradrenergic
inhibitory tone via the alpha2-adrenoceptor. SIGNIFICANCE: Following neuropathy
enhanced spinal serotonin availability switches the predominant spinal 5-HT
receptor-mediated actions but also alters noradrenergic signalling. We highlight
the therapeutic complexity of SSRIs and monoamine modulators for the treatment of
neuropathic pain.
PMID- 27891704
TI - Isoflavone genistein inhibits estrogen-induced chloride and bicarbonate secretory
mechanisms in the uterus in rats.
AB - We hypothesized that genistein could affect the chloride (Cl- ) and bicarbonate
(HCO3- ) secretory mechanisms in uterus. Ovariectomized female rats were given
estradiol or estradiol plus progesterone with 25, 50, or 100 mg/kg/day genistein.
Following completion of the treatment, uterine fluid Cl- and HCO3- concentrations
were determined by in vivo uterine perfusion. Uteri were subjected for molecular
biological analysis (Western blot, qPCR, and immunohistochemistry) to detect
levels of expression of Cystic Fibrosis transmembrane regulator (CFTR), Cl- /HCO3
exchanger (SLC26a6), Na+ /HCO3- cotransporter (SLC4a4), and estrogen receptor
(ER)-alpha and beta. Coadministration of genistein resulted in decrease in Cl-
and HCO3- concentrations and expression of CFTR, SLC26a6, SLC4a4, and ER-alpha
and ER-beta in the uteri of estradiol-treated rats. In estradiol plus
progesterone-treated rats, a significant increase in the above parameters were
observed following high-dose genistein treatment except for the SLC24a4 level. In
conclusion, genistein-induced changes in the uterus could affect the reproductive
processes that might result in infertility.
PMID- 27891705
TI - Spinal cord stimulation in experimental chronic painful diabetic polyneuropathy:
Delayed effect of High-frequency stimulation.
AB - BACKGROUND: Spinal cord stimulation (SCS) has been shown to provide pain relief
in painful diabetic polyneuropathy (PDPN). As the vasculature system plays a
great role in the pathophysiology of PDPN, a potential beneficial side-effect of
SCS is peripheral vasodilation, with high frequency (HF) SCS in particular. We
hypothesize that HF-SCS (500 Hz), compared with conventional (CON) or low
frequency (LF)-SCS will result in increased alleviation of mechanical
hypersensitivity in chronic experimental PDPN. METHODS: Diabetes was induced in 8
week-old female Sprague-Dawley rats with an intraperitoneal injection of 65 mg/kg
of streptozotocin (n = 44). Rats with a significant decrease in mechanical
withdrawal response to von Frey filaments over a period of 20 weeks were
implanted with SCS electrodes (n = 18). Rats were assigned to a cross-over design
with a random order of LF-, CON-, HF- and sham SCS and mechanical withdrawal
thresholds were assessed with von Frey testing. RESULTS: Compared with sham
treatment, the average 50% WT score for 5 Hz was 4.88 g higher during stimulation
(p = 0.156), and 1.77 g higher post-stimulation (p = 0.008). CON-SCS resulted in
50% WT scores 5.7 g, and 2.51 g higher during (p = 0.064) and after stimulation
(p < 0.004), respectively. HF-SCS started out with an average difference in 50%
WT score compared with sham of 1.87 g during stimulation (p = 0.279), and
subsequently the steepest rise to a difference of 5.47 g post-stimulation (p <
0.001). CONCLUSIONS: We demonstrated a delayed effect of HF-SCS on mechanical
hypersensitivity in chronic PDPN animals compared with LF-, or CON-SCS.
SIGNIFICANCE: This study evaluates the effect of SCS frequency (5-500 Hz) on
mechanical hypersensitivity in the chronic phase of experimental PDPN. High
frequency (500 Hz) - SCS resulted in a delayed effect- on pain-related
behavioural outcome in chronic PDPN.
PMID- 27891707
TI - The use of salivary cortisol as an index of chronic stress that correlates with
depression in prostate cancer patients.
PMID- 27891706
TI - Rectal intussusception: can high resolution three-dimensional ano-rectal
manometry compete with conventional defecography?
AB - BACKGROUND: Three-dimensional high-resolution anorectal manometry (3DHRAM), used
for exploring anorectal disorders, was recently developed, providing interesting
topographic data for the diagnosis of pelvic floor disorders such as excessive
perineal descent. The aim of our study was to define a diagnostic strategy based
on selected 3DHRAM parameters to identify rectal intussusceptions (RI),
considering conventional defecography (CD) as the gold standard. METHODS: All
patients referred to our center in the previous 6 months for 3DHRAM to explore
fecal incontinence or constipation, and who previously achieved CD, were
eligible. 3DHRAM results were obtained for all classical parameters and the
presence of a narrow band of high pressure in the anal canal during attempted
defecation, which was recently found to be associated with RI in some studies.
The sensitivity, specificity, and positive and negative predictive values were
calculated for various 3DHRAM criterion in order to propose a diagnostic strategy
for RI. KEY RESULTS: Twenty-six patients (66%) presented with RI on CD. On
3DHRAM, according to our diagnostic strategy, the most relevant manometric
criterion for the diagnosis of RI was the association of an anterior additional
high-pressure area and an excessive perineal descent, with a positive predictive
value of 100% [81.5-100], a specificity of 100% [75.3-100] and a sensibility of
69.2% [48.2-85.7]. CONCLUSIONS & INFERENCES: In this study, 3DHRAM was used to
diagnose RI, and we confirmed its use in the diagnosis of pelvic floor disorders.
Further studies will be necessary to define classifications for these new
anatomic data from 3DHRAM.
PMID- 27891708
TI - N-acetyl cysteine in the treatment of trichotillomania.
PMID- 27891709
TI - Effect of dietary kapok oil supplementation on growth performance, carcass
traits, meat quality and sensory traits of pork in finishing-pigs.
AB - Kapok seed and oil from the tropical zone are widely used as pig feed to harden
porcine fat in Japan. This study evaluated the effect of dietary kapok oil
supplementation on pork quality and sensory traits. Five Duroc pigs each were
assigned to an experimental group supplemented with kapok oil and a control
group. Dietary kapok oil supplementation had no effect on growth performance and
intramuscular fat content in the Longissimus dorsi muscle (LM). Supplemental
kapok oil increased saturated fatty acid contents in subcutaneous and
intramuscular fat and decreased monounsaturated fatty acid levels (P < 0.05). Off
flavor detection by a trained panel was higher in the experimental than the
control group (P < 0.05), but tenderness, juiciness, texture and flavor intensity
of LM chops were similar in both groups. The overall palatability of pork as
judged by a consumer panel decreased with kapok oil supplementation (P < 0.01).
These results indicate that while growth performance, intramuscular fat contents
and carcass characteristics were unchanged, while dietary kapok oil
supplementation makes firm fat to prevent inferior soft fat in pork, it can lower
the palatability of pork due to a decrease in monounsaturated fatty acids.
PMID- 27891710
TI - Psychosis with suicide attempt in Sneddon syndrome.
PMID- 27891711
TI - Identifying the microbial taxa that consistently respond to soil warming across
time and space.
AB - Soil microbial communities are the key drivers of many terrestrial biogeochemical
processes. However, we currently lack a generalizable understanding of how these
soil communities will change in response to predicted increases in global
temperatures and which microbial lineages will be most impacted. Here, using high
throughput marker gene sequencing of soils collected from 18 sites throughout
North America included in a 100-day laboratory incubation experiment, we
identified a core group of abundant and nearly ubiquitous soil microbes that
shift in relative abundance with elevated soil temperatures. We then validated
and narrowed our list of temperature-sensitive microbes by comparing the results
from this laboratory experiment with data compiled from 210 soils representing
multiple, independent global field studies sampled across spatial gradients with
a wide range in mean annual temperatures. Our results reveal predictable and
consistent responses to temperature for a core group of 189 ubiquitous soil
bacterial and archaeal taxa, with these taxa exhibiting similar temperature
responses across a broad range of soil types. These microbial 'bioindicators' are
useful for understanding how soil microbial communities respond to warming and to
discriminate between the direct and indirect effects of soil warming on microbial
communities. Those taxa that were found to be sensitive to temperature
represented a wide range of lineages and the direction of the temperature
responses were not predictable from phylogeny alone, indicating that temperature
responses are difficult to predict from simply describing soil microbial
communities at broad taxonomic or phylogenetic levels of resolution. Together,
these results lay the foundation for a more predictive understanding of how soil
microbial communities respond to soil warming and how warming may ultimately lead
to changes in soil biogeochemical processes.
PMID- 27891712
TI - "The song inside": "La cancion por dentro"-Individual and dyadic impact of breast
cancer for caregivers of Latina survivors.
PMID- 27891713
TI - Influence of glass composition on secondary ion mass spectrometry instrumental
mass fractionation for Si and Ca isotopic analyses.
AB - RATIONALE: In situ secondary ion mass spectrometry (SIMS) analysis requires the
use of standards to unravel the instrumental mass fractionation (IMF) induced by
the analytical procedures. Part of this IMF might be caused by the nature of the
sample and the differences in composition and structure between the sample and
the standards. This "matrix effect" has been tentatively corrected for by using
standards with chemical compositions equivalent to the samples, or by the
empirical use of chemical parameters. However, these corrections can only be
applied to a narrow compositional range and fail to take proper account of the
matrix effect when a wider chemical field is tested. METHODS: We synthesized a
series of glasses whose compositions span a very large part of the NCMAS (Na2 O
CaO-MgO-Al2 O3 -SiO2 ) system. Si and Ca isotopic analyses were performed on two
ion microprobes (Cameca IMS-1270 and IMS-1280). RESULTS: The matrix effect
observed may reach 200/00 between extreme compositions and cannot be accounted
for by the previously used "chemical" parameters (e.g. SiO2 , SiO2 /(SiO2 + Al2
O3 )) nor by the NBO/T parameter. It therefore appears necessary to consider not
only the structure of the glasses, but also the nature of the different atoms.
Consequently, we assessed the use of another concept, the optical basicity, based
on the electronegativities of the constitutive elements of glass. CONCLUSIONS: We
show that this parameter significantly improves the efficiency of the matrix
effect correction and that it can be applied across the entire NCMAS
compositional range studied here. Furthermore, the use of optical basicity
reduces the number of glass standards required for a reliable isotopic study, and
it can also be used to probe the structure of the glass. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27891714
TI - Altered serum level of matrix metalloproteinase-9 and its association with
decision-making in eating disorders.
AB - AIM: The aims of this study were to determine whether the serum levels of
precursor brain-derived neurotrophic factor (proBDNF), mature BDNF (mBDNF), and
matrix metalloproteinase-9 (MMP-9) are altered in patients with eating disorders
(ED), including anorexia nervosa (AN) and bulimia nervosa (BN), and to explore
whether those levels are associated with decision-making abilities. METHODS:
Nineteen women with AN, 28 women with BN, and 22 age-matched healthy control
women (HC) were enrolled in the current study. All participants had their
decision-making abilities assessed using the Iowa Gambling Task (IGT). Their
eating-related pathophysiology and depressive/anxiety symptoms were also
evaluated. RESULTS: The MMP-9 level in AN was significantly lower than that in
either BN or HC, but the serum levels of proBDNF and mBDNF did not differ among
the three groups. Investigation of the serum levels of proBDNF and MMP-9 in
patients with ED and controls revealed a significant correlation between them. In
the BN, there were positive correlations between mBDNF level and IGT performance
and also between MMP-9 level and IGT performance, but these correlations did not
occur in AN. The MMP-9 level was positively associated with the Symptom Scale,
one of the subscales of the Bulimic Investigatory Test, Edinburgh, only in AN.
CONCLUSION: These results suggest that the serum level of MMP-9 plays a role in
the pathophysiology of AN, and both the serum levels of mBDNF and MMP-9 may be
associated with decision-making abilities in patients with BN.
PMID- 27891716
TI - Clinical characteristics of two patients with alpha1-antitrypsin Pittsburgh in a
Chinese family.
PMID- 27891715
TI - Baseline hepatitis B core antibody predicts treatment response in chronic
hepatitis B patients receiving long-term entecavir.
AB - Studies regarding the clinical significance of quantitative hepatitis B core
antibody (anti-HBc) in patients with chronic hepatitis B receiving first-line
nucleos(t)ide analogues is limited. The aim of this study was to determine the
performance of anti-HBc as a predictor for hepatitis B e antigen (HBeAg)
seroconversion in HBeAg-positive CHB patients treated with entecavir. This was a
retrospective cohort study consisting of 139 Chinese patients enrolled in a
multicenter clinical trial treated with entecavir or entecavir maleate for up to
240 weeks. Anti-HBc evaluation was conducted for all the available samples using
a newly developed double-sandwich anti-HBc immunoassay. At week 240, 35 (25.2%)
patients achieved a serological response (HBeAg seroconversion) and these
patients at week 240 had significantly higher levels of anti-HBc (P<.01). We
defined 4.65 log10 IU.mL-1 , with a maximum sum of sensitivity and specificity,
as the optimal cut-off value of baseline anti-HBc level to predict
seroconversion. Patients with baseline anti-HBc >=4.65 log10 IU.mL-1 had 28.0%
(26/93) and 35.5% (33/93) chance of seroconversion at weeks 144 and 240,
respectively. The baseline anti-HBc level was the strongest predictor for
seroconversion at week 144 (OR: 5.78, 95% confidence interval [CI]: 2.05-16.34,
P=.001). The baseline anti-HBc level was a strong predictor for seroconversion at
week 240 (OR: 5.36, 95% CI: 2.17-13.25, P<.001). Hence, baseline anti-HBc titre
is a useful predictor of long-term entecavir therapy efficacy in HBeAg-positive
CHB patients, which could be used to optimize antiviral therapy.
PMID- 27891717
TI - Emerging climate-driven disturbance processes: widespread mortality associated
with snow-to-rain transitions across 10 degrees of latitude and half the range
of a climate-threatened conifer.
AB - Climate change is causing rapid changes to forest disturbance regimes worldwide.
While the consequences of climate change for existing disturbance processes, like
fires, are relatively well studied, emerging drivers of disturbance such as snow
loss and subsequent mortality are much less documented. As the climate warms, a
transition from winter snow to rain in high latitudes will cause significant
changes in environmental conditions such as soil temperatures, historically
buffered by snow cover. The Pacific coast of North America is an excellent test
case, as mean winter temperatures are currently at the snow-rain threshold and
have been warming for approximately 100 years post-Little Ice Age. Increased
mortality in a widespread tree species in the region has been linked to warmer
winters and snow loss. Here, we present the first high-resolution range map of
this climate-sensitive species, Callitropsis nootkatensis (yellow-cedar), and
document the magnitude and location of observed mortality across Canada and the
United States. Snow cover loss related mortality spans approximately 10 degrees
latitude (half the native range of the species) and 7% of the overall species
range and appears linked to this snow-rain transition across its range. Mortality
is commonly >70% of basal area in affected areas, and more common where mean
winter temperatures is at or above the snow-rain threshold (>0 degrees C mean
winter temperature). Approximately 50% of areas with a currently suitable climate
for the species (<-2 degrees C) are expected to warm beyond that threshold by
the late 21st century. Regardless of climate change scenario, little of the range
which is expected to remain suitable in the future (e.g., a climatic refugia) is
in currently protected landscapes (<1-9%). These results are the first
documentation of this type of emerging climate disturbance and highlight the
difficulties of anticipating novel disturbance processes when planning for
conservation and management.
PMID- 27891718
TI - The association between hepatitis B self-awareness and depression: Exploring the
modifying effects of socio-economic factors.
AB - China has a high prevalence of HBV. Despite previous studies, uncertainty remains
about the association of HBV with depression. This study explores the strength of
the association and the modifying effects of participants' self-awareness of the
disease and their socio-economic status. Data from the baseline of a Chinese
cohort study of approximately 500 000 adults were analysed. Depression was
assessed using the Composite International Diagnostic Inventory. Blood spot tests
were conducted to detect hepatitis B surface antigen. Logistic regression was
used to assess the association between depression and HBV adjusting for
demographic, socio-economic and lifestyle factors of major depression. To
understand the effect modifications of disease self-awareness and socio-economic
factors, a series of stratified analyses were undertaken. The overall prevalence
of HBV is 3.2%, with 2.8% screen-detected and 0.3% self-reported cases. There was
an 84% increased odds of depression among self-reported HBV patients (OR=1.84,
95% CI: 1.16-2.90), but no association was found in the screen-detected group
(OR=0.98, 95% CI: 0.79-1.23). Male (OR=2.28, 95% CI: 1.30-3.98), younger age
(OR=3.57, 95% CI: 1.82-7.00), rural resident (OR=2.31, 95% CI: 1.36-3.94), lower
household income (OR=2.38, 95% CI: 1.34-4.25) and agricultural worker (OR=2.92,
95% CI: 1.63-5.77) were found having greater odds of depression in the HBV self
aware group, compared to those without HBV. A strong association between
hepatitis B self-awareness and depression was found. Lower socio-economic status
could modify the association between hepatitis B status and depression. Further
studies are needed to understand the underlying psychosocial mechanisms of the
association.
PMID- 27891719
TI - Myocardial strain to detect subtle left ventricular systolic dysfunction.
AB - In daily clinical practice, LV systolic function is routinely assessed with the
use of two-dimensional echocardiography. Using biplane LV end-diastolic and end
systolic volumes, LVEF is calculated. The introduction of real-time three
dimensional echocardiography has improved the accuracy of echocardiographic
assessment of LVEF. However, calculated LVEF may not truly represent LV systolic
function in specific cardiac diseases or when subtle LV dysfunction is present.
Two-dimensional speckle tracking echocardiography enables assessment of
myocardial strain, thereby providing detailed information on global and regional
LV deformation. This is of particular interest when subtle LV systolic
dysfunction is present despite preserved LVEF. In this review, the potential use
of LV global longitudinal strain to detect subtle LV systolic dysfunction is
illustrated in various clinical scenarios.
PMID- 27891720
TI - The Role of the Axial Substituent in Subphthalocyanine Acceptors for Bulk
Heterojunction Solar Cells.
AB - Four hexachlorosubphthalocyanines SubPcCl6 -X bearing different axial
substituents (X) have been synthesized for use as novel electron acceptors in
solution-processed bulk-heterojunction organic solar cells. Subphthalocyanines
are aromatic chromophoric molecules with cone-shaped structure, good solution
processability, intense optical absorption in the visible spectral region,
appropriate electron mobilities, and tunable energy levels. Solar cells with
subphthalocyanines as the electron acceptor and PTB7-Th as the electron donor
exhibit a power conversion efficiency up to 4 % and an external quantum
efficiency approaching 60 % due to significant contributions from both the
electron donor and the electron acceptor to the photocurrent, indicating a
promising prospect of non-fullerene acceptors based on subphthalocyanines and
structurally related systems.
PMID- 27891721
TI - Extended half-life pegylated, full-length recombinant factor VIII for prophylaxis
in children with severe haemophilia A.
AB - INTRODUCTION: Primary factor VIII (FVIII) prophylaxis is the optimal treatment in
children with severe haemophilia A. They are expected to benefit from extended
half-life (T1/2 ) FVIII coverage by reduced infusion frequency while maintaining
haemostatic efficacy. AIMS: To determine immunogenicity, pharmacokinetics (PK),
efficacy, safety and quality of life of prophylaxis with a polyethylene glycol
(peg)-ylated FVIII (BAX 855) based on full-length recombinant FVIII (ADVATE) in
paediatric previously treated patients (PTPs) with severe haemophilia A. METHODS:
PTPs <12 years without history of FVIII inhibitors received twice-weekly
infusions of 50 +/- 10 IU kg-1 BAX 855 for >=50 exposure days. Prophylactic dose
increases to <=80 IU kg-1 were allowed under predefined conditions. PK was
evaluated after single infusions of 60 +/- 5 IU kg-1 . RESULTS: T1/2 and mean
residence time were extended 1.3- to 1.5-fold compared to ADVATE (n = 31),
depending on the analysis used. The point estimate for the mean annualized
bleeding rate in 66 subjects receiving a median of 1.9 weekly infusions of 51.3
IU kg-1 of BAX 855 each was 3.04 (median 2.0); 1.10 (median 0) for joint and 1.16
(median 0) for spontaneous bleeds. Overall, 38% of subjects had zero bleeds. No
bleeds were severe. Haemostatic efficacy was rated excellent or good for 90% of
bleeds; 91% were treated with one or two infusions. In 8/14 subjects all target
joints resolved. No subject developed FVIII inhibitors or persistent binding
antibodies that affected safety or efficacy. No adverse reactions occurred.
CONCLUSION: Twice-weekly prophylaxis with BAX 855 was safe and efficacious in
paediatric PTPs with severe haemophilia A.
PMID- 27891722
TI - Flexible, Luminescent Metal-Organic Frameworks Showing Synergistic Solid-Solution
Effects on Porosity and Sensitivity.
AB - Mixing molecular building blocks in the solid solution manner is a valuable
strategy to obtain structures and properties in between the isostructural parent
metal-organic frameworks (MOFs). We report nonlinear/synergistic solid-solution
effects using highly related yet non-isostructural, phosphorescent CuI triazolate
frameworks as parent phases. Near the phase boundaries associated with
conformational diversity and ligand heterogeneity, the porosity (+150 %) and
optical O2 sensitivity (410 times, limit of detection 0.07 ppm) can be
drastically improved from the best-performing parent MOFs and even exceeds the
records hold by precious-metal complexes (3 ppm) and C70 (0.2 ppm).
PMID- 27891723
TI - The Structured Assessment of Violence Risk in Adults with Intellectual
Disability: A Systematic Review.
AB - BACKGROUND: While structured professional judgement approaches to assessing and
managing the risk of violence have been extensively examined in mental
health/forensic settings, the application of the findings to people with an
intellectual disability is less extensively researched and reviewed. This review
aimed to assess whether risk assessment tools have adequate predictive validity
for violence in adults with an intellectual disability. METHODS: Standard
systematic review methodology was used to identify and synthesize appropriate
studies. RESULTS: A total of 14 studies were identified as meeting the inclusion
criteria. These studies assessed the predictive validity of 18 different risk
assessment tools, mainly in forensic settings. All studies concluded that the
tools assessed were successful in predicting violence. Studies were generally of
a high quality. CONCLUSIONS: There is good quality evidence that risk assessment
tools are valid for people with intellectual disability who offend but further
research is required to validate tools for use with people with intellectual
disability who offend.
PMID- 27891724
TI - Establishing a canine superficial pyoderma model.
AB - AIMS: Pyoderma, predominantly associated with Staphylococcus pseudintermedius, is
a common skin infection of dogs that typically requires long-lasting treatments,
complicated by increasing antimicrobial resistance. To investigate new treatment
strategies, we aimed at establishing a dog model of pyoderma that closely mimics
the natural disease. METHODS AND RESULTS: We inoculated six laboratory beagles
with a methicillin-susceptible strain of S. pseudintermedius. One millilitre of
approximately 107 , 108 , 109 CFU per ml was topically applied onto clipped and
tape stripped area of dog skin, which was then treated with a dermaroller
(microneedle size: 500 MUm) immediately after administration. Dogs were monitored
daily, suspect pustules were cultured for S. pseudintermedius and evaluated by
cytological and histopathological methods. After 24 h, all dogs developed papules
and pustules at all three bacterial inoculation sites, which worsened over the
next 48 h. Cytological samples of all skin lesions revealed neutrophils with
intracellular cocci. Histopathology confirmed subcorneal neutrophilic pustular
dermatitis with intralesional cocci and acantholytic keratinocytes, consistent
with superficial pyoderma. Staphylococcus pseudintermedius was isolated from
pustules of all dogs and confirmed to be the inoculating strain. The results were
replicated in all dogs after a wash out period of 6 weeks. CONCLUSIONS: These
data demonstrate the feasibility of establishing a dog model of pyoderma.
SIGNIFICANCE AND IMPACT OF THE STUDY: The new model can be used to evaluate novel
prevention and treatment options for canine pyoderma.
PMID- 27891725
TI - Cognitive task demands and discourse performance after traumatic brain injury.
AB - BACKGROUND: Social communication problems are common in adults with traumatic
brain injury (TBI), particularly problems in spoken discourse. Social
communication problems are thought to reflect underlying cognitive impairments.
AIMS: To measure the contribution of two cognitive processes, executive
functioning (EF) and theory of mind (ToM), to the communication of adults with
TBI, and to investigate the relationships between discourse performance and
potential communication partners' perceptions. METHODS & PROCEDURES: Twenty-one
adults with moderate-to-severe TBI and 23 uninjured adults completed a discourse
task in which EF and ToM demands were manipulated across three conditions:
baseline, high-EF and high-ToM. Dependent variables were fluency (for EFs),
number of mental state terms (MSTs; for ToM) and speech rate. Discourse from high
EF/ToM conditions was judged by naive raters for social acceptability. OUTCOMES &
RESULTS: The TBI group produced significantly fewer MSTs than the comparison
group across conditions and also spoke at a slower rate, and there were
significant effects of condition on both measures (MST: high-EF < baseline = high
ToM; speech rate: high-EF < high-ToM < baseline). There were no significant
between-groups differences in fluency or interaction of fluency with condition.
MST use and fluency were associated with social acceptability ratings.
CONCLUSIONS & IMPLICATIONS: Results added further evidence of social
communication problems in adults with TBI and demonstrated that discourse
behaviours may negatively affect how a speaker is perceived. Results also
indicated that task manipulations can affect discourse performance, suggesting
that general cognitive demands may influence social communication after TBI.
PMID- 27891727
TI - Rehospitalization after pediatric heart transplantation: Incidence, indications,
and outcomes.
AB - We report the patterns of rehospitalization after pediatric heart transplant
(Htx) at a single center. Retrospective review of 107 consecutive pediatric Htx
recipients between January 22, 2007, and August 28, 2014, who survived their
initial transplant hospitalization. The frequency, duration, and indications for
all hospitalizations between transplant hospitalization discharge and September
30, 2015, were analyzed. A total of 444 hospitalization episodes occurred in 90
of 107 (84%) patients. The median time to first rehospitalization was 59.5 (range
1-1526) days, and the median length of stay was 2.5 (range 0-81) days. There were
an average of two hospitalizations per patient in the first year following
transplant hospitalization, declining to about 0.8 per patient per year starting
at 3 years post-transplant. Admissions for viral infections were most common,
occurring in 93 of 386 (24%), followed by rule out sepsis in 61 of 386 (16%).
Admissions for suspected or confirmed rejection were less frequent, accounting
for 41 of 386 (11%) and 31 of 386 (8%) of all admissions, respectively. Survival
to discharge after rehospitalization was 97%. Hospitalization is common after
pediatric Htx, particularly in the first post-transplant year, with the most
frequent indications for hospitalization being viral illness and rule out sepsis.
After the first post-transplant year, the risk for readmission falls
significantly but remains constant for several years.
PMID- 27891728
TI - Obesity-related male genital lichen sclerosus.
AB - BACKGROUND: Obesity is increasing. Male genital lichen sclerosus (MGLSc) is
almost exclusively a disease of the uncircumcised. An apparent increasing
challenge is MGLSc in previously circumcised obese males. OBJECTIVES: To
characterise patients with obesity-related MGLSc. METHODS: Case chart review of
patients managed in specialist clinics. RESULTS: Nineteen patients with obesity
related MGLSc were identified. All had been previously circumcised. 16 (84%)
acknowledged urinary microincontinence ('dribbling'). 14 (74%) remitted with
medical treatment and 5 (26%) needed specialised surgery. CONCLUSIONS: Male
genital lichen sclerosus can complicate obesity in previously circumcised
patients. Management requires dermatological and specialist urological input, and
can be challenging, but effective. The phenomenon increases the evidence for the
role of the occlusive influence of urine in the pathogenesis of MGLSc.
PMID- 27891726
TI - Everyday conversation in dementia: a review of the literature to inform research
and practice.
AB - BACKGROUND: There has been increasing interest in dementia care in recent years,
including how practitioners, service providers and society in general can help
individuals to live well with the condition. An important aspect to this is
provision of advice to ensure conversation partners effectively support the
person with dementia in conversation. AIMS: To provide a descriptive review of
the literature examining everyday conversation in dementia in order to inform
practice and research. METHODS & PROCEDURES: This review used a method
specifically developed for reviewing conversation analytic and related
literature. A range of databases were searched using key words and explicitly
described inclusion criteria leading to a final corpus of 50 titles. Using this
qualitative methodology, each paper was examined and data extracted. The
contribution of each of these is described and the implications for practice and
research are outlined. MAIN CONTRIBUTION: This review examined studies into
conversation in Alzheimer's disease, vascular dementia and Lewy body dementia,
grouping these into: early influential studies; work drawing on positioning
theory; studies using social and linguistic approaches; collaborative
storytelling; formulaic language; studies specifically using conversation
analysis; and conversation as a target for individualized therapy. In addition,
more recent work examining primary progressive aphasia and behavioural variant
frontotemporal dementia was explored. Overall, this review indicates that
research examining conversation in natural settings provides a rich source of
data to explore not just the challenges within conversation for those taking
part, but also the skills retained by the person with dementia. An important
aspect of this understanding is the notion that these skills relate not only to
information exchange but also aspects of social interaction. The role of others
in scaffolding the conversation abilities of the person with dementia and the
potential of this for developing interventions are discussed. CONCLUSIONS &
IMPLICATIONS: The review indicates that interventions targeting conversation in
dementia are often advocated in the literature but currently such approaches
remain to be systematically evaluated. In addition, many of the important
insights arising from these studies have yet to inform multidisciplinary dementia
care practice.
PMID- 27891729
TI - Low prevalence of Aichi virus in molluscan shellfish samples from Galicia (NW
Spain).
AB - AIMS: The aim of this study was to detect and quantify Aichi virus (AiV) in
shellfish from three estuaries in Galicia, the main producer of molluscs in
Europe. METHODS AND RESULTS: A total of 249 shellfish samples were analysed using
a reverse transcription-quantitative PCR procedure. AiV was detected in 15 of 249
(6.02%) samples. Ria de Ares-Betanzos showed the highest prevalence (11.1%),
followed by Ria do Burgo (3.7%) and Ria de Vigo, (2.56%). AiV quantifications
ranged from nonquantifiable (under the limit of quantification of the method) to
6.9 * 103 RNAc per g DT, with a mean value of 1.9 * 102 RNAc per g DT.
CONCLUSION: Results obtained indicated that the prevalence of this enteric virus
in the studied area is considerably lower than those of other enteric viruses,
such as Norovirus, Sapovirus, HAV or HEV. SIGNIFICANCE AND IMPACT OF THE STUDY:
This is the first study that detects the presence of AiV in shellfish from
authorized harvesting areas in Spain. Further studies with clinical samples are
needed to determine the potential risk of AiV for human health in Galicia.
PMID- 27891733
TI - Generalized granulomatous dermatitis following Mycobacterium w (Mw) immunotherapy
in lepromatous leprosy.
AB - Mycobacterium w (Mw) vaccine is a heat-killed suspension derived from a
nonpathogenic, cultivable, atypical mycobacterium named Mycobacterium indicus
pranii. Mw immunotherapy has been reported to be efficacious as an adjunct to
multidrug therapy multibacillary regimen in leprosy patients with high bacillary
index. Cutaneous reactions are predominant adverse effects associated with the
administration of vaccines. Cutaneous adverse effects ascribed to Mw vaccine are
generally limited to the site of injection. We herein describe two cases of
lepromatous leprosy who developed an unusual generalized cutaneous reaction
following Mw immunotherapy. A high index of suspicion is needed to identify such
manifestations in leprosy cases to avoid misdiagnosis of a relapse or a reaction
and for appropriate treatment.
PMID- 27891730
TI - Reduction in psoriasis related pruritus during biologic therapy.
PMID- 27891734
TI - Impending skin necrosis after dermal filler injection: A "golden time" for first
aid intervention.
AB - Vascular compromise with impending skin necrosis is one of the most serious
potential complications. Early recognition of vascular occlusion and swift and
aggressive treatment are required to avoid any irreversible changes. However,
initial symptoms of a vascular event are often dismissed as simple post
procedural discomfort. If more than 3 days pass after filler injection, crust
formation is initiated over the erythematous base along with a rim of fibrous
tissue. Due to the replacement of normal tissues by fibrous material, the healing
process may result in scar formation in spite of debridement and aggressive
dressing changes. Scars often cause contracture and subsequent cosmetic
disfigurement, which results in a traumatic burden to the patient. By sharing our
experience of the patients with filler induced skin necrosis, we suggest that
treatment should be initiated no later than 3 days after the procedure.
PMID- 27891736
TI - Novel Organic Synthesis through Ultrafast Chemistry.
AB - How fast are flashes? The field of flow chemistry has recently received
increasing attention owing to the availability of commercial flow equipment. New
syntheses with very short-lived intermediates have been enabled by sub
millisecond mixing and reaction regimes in tailor-made flow devices.
PMID- 27891735
TI - Hyperammonemia in ornithine transcarbamylase-deficient recipients following
living donor liver transplantation from heterozygous carrier donors.
AB - Ornithine transcarbamylase deficiency (OTCD) is a urea cycle disorder of X-linked
inheritance, affecting the detoxification of excess nitrogen and leading to
hyperammonemia (hyper-NH3 ). Living donor liver transplantation (LDLT) has been
applied for the treatment of OTCD. This case series retrospectively reviewed two
OTCD patients who experienced hyper-NH3 following LDLT. The first case was a 5
year-old girl who had onset of OTCD at 2 years of age. Ornithine transcarbamylase
(OTC) enzyme activity was 62% for the donor and 15% for the recipient. The
patient suffered from recurrence of hyper-NH3 within 2 months following LDLT. The
second case was a 5-year-old girl who had onset of OTCD at 3 years of age. OTC
enzyme activity was 42.6% for the donor and 9.7% for the recipient. The patient
suffered hyper-NH3 for 12 days starting on the date of surgery. Both of the
patients transiently required continuous veno-venous hemodialysis; however, they
are currently doing well without intensive medical treatment. The use of
asymptomatic OTCD heterozygous donors in LDLT has been accepted with careful
examination. However, an OTCD heterozygous carrier donor should be avoided if
there is another donor candidate, due to the potentially fatal condition of hyper
NH3 following LDLT.
PMID- 27891737
TI - Role of resistance physical exercise in preventing testicular damage caused by
chronic ethanol consumption in UChB rats.
AB - Ethanol consumption is associated with spermatogenesis damage and testosterone
level alterations. Alcohol remains the most commonly used substance among
athletes and sports enthusiasts. This study evaluated whether resistance physical
exercise can reduce the testicular damage caused by ethanol exposure. A total of
36 ethanol drinking (UChB) rats were divided into four groups: C (control rats),
ETOH (ethanol consumption), ETOH + T (ethanol consumption + physical training),
and T (group physical training). The physical training component of the T and
ETOH + T groups was based on a resistance training model consisting of four sets
of 10 jumps, with an increasing overload of 50-70% of the body weight attached to
the chest three times per week. Rats in the ETOH and ETOH +T groups received 10%
ethanol. At postnatal day 90, the rats were sacrificed. Blood sample was
collected for hormonal analysis, and the testicles were weighed and processed for
histopathological, morphometric, and immunohistochemical analyses. The ETOH group
showed an increase in testosterone levels. The immunohistochemical of androgen
receptor and the absolute weight of the testes were higher in the ETOH and ETOH +
T groups, while the ETOH animals showed a decreased weight gain index. The number
of abnormal seminiferous tubules increased in the ETOH and T groups compared to
those in the control group (C); however, the association with treatment (ETOH + T
group) prevented this effect and decreased caspase-3 production. In conclusion,
these findings show that the combination of ethanol consumption and resistance
physical exercise can prevent testicular damage in adult UChB rats.
PMID- 27891738
TI - Treatment of prurigo nodularis with lenalidomide.
AB - Prurigo nodularis (PN) is an intensely pruriginous dermatological disorder whose
treatment is challenging for dermatologists. It is characterized by eruptions of
papules and hyperkeratotic nodules, some of which are eroded, on the extensor
surfaces of the limbs. The most commonly used treatments for this condition are
oral antihistamines and topical or systemic steroids. Thalidomide is an effective
treatment option in cases of recalcitrant PN; however, its most frequent adverse
effect is neurotoxicity, which often results in its discontinuation. Lenalidomide
is an analogue of thalidomide that is more powerful and associated with less
neurotoxicity than thalidomide. We report the third case of PN treated with
lenalidomide, which involved a patient who was refractory to thalidomide.
Lenalidomide may be a more effective treatment for PN than thalidomide and has a
more favorable side effects profile than its counterpart.
PMID- 27891739
TI - Acardiac twin pregnancies part IV: Acardiac onset from unequal embryonic
splitting simulated by a fetoplacental resistance model.
AB - BACKGROUND: Benirschke postulated that acardiac twinning occurs when markedly
unequal embryonic splitting combines with arterioarterial (AA) and venovenous
placental anastomoses. We tested this hypothesis by model simulations and by
comparison of outcomes with 18 "pseudo-" (twin fetus with beating heart but
otherwise with clear signs of an acardiac) and 3 "normal" acardiac cases.
METHODS: The smaller/larger cell volume ratio at embryonic splitting becomes the
smaller/larger embryonic/fetal blood volume ratio (a). From a, we derived
nonpulsating blood pressures using normal values (larger twin) and normal values
at an appropriate earlier gestational age (smaller twin). These unequal pressure
sources were used in a linear resistance fetoplacental network to calculate
umbilical venous diameter ratios. Acardiac onset occurs when the smaller twin has
50% left of its normal, singleton placenta. Comparison with clinical cases
approximated a by crown-rump-length-ratio to the 3rd power. Input parameters are
a and the AA-radius at 40 weeks. RESULTS: Acardiacs can be small or large, can
occur early or late, earlier at smaller a and larger AA, with larger umbilical
venous diameter ratios at smaller a and smaller AA. Comparison with the 21
clinical cases was good, except for 2. CONCLUSION: Our analysis supports
Benirschke's hypothesis. The smaller twin has to share its placental perfusion
with the larger twin, which is a novel finding. The AA size is essential for the
future of both fetuses but complicates easy understanding of (pseudo-)acardiac
clinical presentations. Late acardiac onset occurs infrequently. Using
nonpulsating circulations may have caused our extensive predictions of late
onset. An improved model requires including hypoxemia in the smaller twin from
chronic placental hypoperfusion. Birth Defects Research 109:211-223, 2017. (c)
2016 Wiley Periodicals, Inc.
PMID- 27891741
TI - Combination treatment of propranolol, minocycline, and tranexamic acid for
effective control of rosacea.
PMID- 27891740
TI - Minocycline successfully treats exaggerated granulomatous hypersensitivity
reaction to Mw immunotherapy.
AB - Mycobacterium W (Mw) vaccine has been found to be effective in the treatment of
leprosy and warts. Despite increasing use of Mw immunotherapy, data on its safety
is limited. We report a series of eight patients who developed persisting
injection site granulomatous reaction following Mw immunotherapy and were
successfully treated with minocycline. Eight patients with persistent nodular
swelling at the site of Mw injections were identified. Seven of them had received
Mw immunotherapy for cutaneous warts and one for verrucous epidermal nevus. The
lesions were firm, erythematous, succulent, non-tender nodules confined to the
sites of Mw vaccine injections. In 6 of these patients nodules also involved the
previously injected areas. Skin biopsy from all patients showed eosinophil rich
inflammation admixed with histiocytes and lymphocytes. In addition granulomas
were seen in all with septal and nodular panniculitis in four patients. Broken
and granular acid-fast bacilli were identified in two cases. All patients were
treated with oral minocycline 100 mg/day for a mean of 9 weeks and showed good
clinical response. Granulomatous reaction is a rare but significant adverse
effect of Mw immunotherapy at cosmetically and functionally imperative sites.
Oral minocycline appears to be effective therapy in this situation.
PMID- 27891742
TI - Stability of Perovskite Solar Cells: A Prospective on the Substitution of the A
Cation and X Anion.
AB - In recent years, organometal trihalide perovskites have emerged as promising
materials for low-cost, flexible, and highly efficient solar cells. Despite their
processing advantages, before the technology can be commercialized the poor
stability of the organic-inorganic hybrid perovskite materials with regard to
humidity, heat, light, and oxygen has be to overcome. Herein, we distill the
current state-of-the-art and highlight recent advances in improving the chemical
stability of perovskite materials by substitution of the A-cation and X-anion.
Our hope is to pave the way for the rational design of perovskite materials to
realize perovskite solar cells with unprecedented improvement in stability.
PMID- 27891743
TI - Ingressive speech errors: a service evaluation of speech-sound therapy in a child
aged 4;6.
AB - BACKGROUND: A pattern of ingressive substitutions for word-final sibilants can be
identified in a small number of cases in child speech disorder, with growing
evidence suggesting it is a phonological difficulty, despite the unusual surface
form. Phonological difficulty implies a problem with the cognitive process of
organizing speech into sound contrasts. AIMS: To evaluate phonological therapy
approaches in the remediation of non-pulmonic speech errors. Thus, adding to
evidence concerning the nature of ingressive substitutions and their remediation
whilst highlighting their occurrence within child speech disorder population for
practising and training speech and language therapists. METHODS & PROCEDURES:
Child KO, a boy aged 4;6, was identified through a screening of speech, language
and communication needs at his school. Word-final, non-pulmonic-egressive
substitutes for fricatives and plosives were identified using the Diagnostic
Evaluation of Articulation and Phonology (DEAP). Treatment took place in five,
weekly school-based sessions with a care-giver present, and targeted two phonemes
/f/ and /?/ in word-final position. Word-final /s/ was monitored throughout to
capture any change in other word-final fricatives. Phonemes /g/ and /p/ were used
as controls, as no change was expected in word-final plosives as a result of
therapy targeting fricatives. Production of single words in the DEAP, pre- and
post-therapy were transcribed by two independent therapists, (transcription
agreement was 86.6% (pre) and 83.7% (post), with all 140 consonants within the
DEAP transcribed), and change in consonants correct was analysed using a Wilcoxon
test. Picture description tasks and telling of familiar stories were videoed post
therapy to analyse use of word-final fricative egression in connected speech.
OUTCOME & RESULTS: Percentage consonants correct in single-words post-treatment
was significantly higher than pre-treatment at single-word level. Generalization
of target fricatives into connected speech and modest generalization of non
target phonemes occurred. CONCLUSIONS & IMPLICATIONS: Although ingressive speech
sounds are largely absent in the sound system of English, they do occur as speech
sound errors in child speech disorder and respond to phonological therapy within
the context of home and school environment. Therefore, training in the phonetic
identification of speech sounds outside the system of English is essential.
Additionally, non-lexical factors associated with ingression also influence the
child's intelligibility and should be explored further in future research.
PMID- 27891744
TI - Inducing speech errors in dysarthria using tongue twisters.
AB - Although tongue twisters have been widely use to study speech production in
healthy speakers, few studies have employed this methodology for individuals with
speech impairment. The present study compared tongue twister errors produced by
adults with dysarthria and age-matched healthy controls. Eight speakers (four
female, four male; mean age = 54.5 years) with spastic (mixed-spastic) dysarthria
of varying aetiology (cerebral palsy, multiple sclerosis, multiple system
atrophy) and eight controls (four female, four male; mean age = 56.9 years) were
audio-recorded producing tongue twisters. One word in each tongue twister was
marked for prominence. Speakers with dysarthria produced significantly more
errors and spoke slower than healthy controls. The effect of prominence was
significant for both groups-words spoken with prosodic prominence were
significantly less error prone compared with words without prominence. While both
groups produced most errors on words in the third position (of four-word
utterances), speakers with dysarthria also produced high rates of errors on the
first and fourth words. This preliminary investigation demonstrated the promise
of applying the tongue twister paradigm to speakers with dysarthria and
contributes to the evidence base for the implementation of prosodic strategies in
speech intervention.
PMID- 27891746
TI - Enantioselective (4+2) Annulation of Donor-Acceptor Cyclobutanes by N
Heterocyclic Carbene Catalysis.
AB - Herein we report the enantioselective (4+2) annulation of donor-acceptor
cyclobutanes and unsaturated acyl fluorides using N-heterocyclic carbene
catalysis. The reaction allows a 3-step synthesis of cyclohexyl beta-lactones (25
examples) in excellent chemical yield (most >=90 %) and stereochemical integrity
(all >20:1 d.r., most >=97:3 e.r.). Mechanistic studies support ester enolate
Claisen rearrangement, while derivatizations provide functionalized cyclohexenes
and dihydroquinolinones.
PMID- 27891745
TI - Cardiac extracellular matrix is associated with adverse outcome in patients with
chronic heart failure.
AB - AIMS: Accumulation of extracellular matrix (ECM) is known to play a crucial role
in the pathophysiology of heart failure (HF). However, its prognostic relevance
is poorly investigated. METHODS AND RESULTS: A total of 73 HF patients who
underwent LV endomyocardial biopsy were enrolled in our study. ECM area was
quantified by TissueFAXS and ImageJ software. Patients were followed-up at 6
month intervals. The study endpoint was defined as hospitalization for a cardiac
reason and/or cardiac death. Furthermore, the influence of the ECM on invasively
measured haemodynamic parameters was tested. During a median follow-up period of
9.0 months, 34 patients (46.6%) reached the combined endpoint. Median ECM area
was 30.5%. Patients with ECM area >=30.5% experienced significantly more events
(67.6% vs. 25.0%, P < 0.001) in comparison with patients with an ECM area <30.5%.
ECM area was independently associated with outcome in the total HF cohort [hazard
ratio (HR) 1.041, 95% confidence interval (CI) 1.017-1.066, P = 0.001] as well as
in HF patients with preserved (HR 1.079, 95% CI 1.001-1.163, P =0 .046) or
reduced ejection fraction (HR 1.149, 95% CI 1.036-1.275, P = 0.009). Positive
correlations were found between ECM area and LV end-diastolic pressure (P =
0.021, R = 0.303), pulmonary artery wedge pressure (P = 0.042, R = 0.249), mean
pulmonary arterial pressure (P = 0.035, R = 0.258), as well as right atrial
pressure (P = 0.003, R = 0.353). CONCLUSION: ECM area within the LV myocardium
correlates with left and right heart haemodynamics and is associated with
clinical course in various non-ischaemic HF types.
PMID- 27891747
TI - Superacid-Catalyzed Trifluoromethylthiolation of Aromatic Amines.
AB - Upon activation under superacid conditions, functionalized tailor-made N-SCF3
sulfenamides served as reagents for the trifluoromethylthiolation of aromatic
amines. This method has a broad substrate scope and can be used for the late
stage functionalization of complex molecules such as alkaloids or steroids.
Mechanistic studies based on in situ low-temperature NMR spectroscopy revealed
the involvement of dicationic superelectrophilic intermediates.
PMID- 27891748
TI - An Isosteric and Fluorescent DNA Base Pair Consisting of 4-aminophthalimide and
2,4-diaminopyrimidine as C-Nucleosides.
AB - A 13mer DNA duplex containing the artificial 4-aminophthalimide:2,4
diaminopyrimidine (4AP:DAP) base pair in the central position was characterized
by optical and NMR spectroscopy. The fluorescence of 4AP in the duplex has a
large Stokes shift of Deltalambda=124 nm and a quantum yield of PhiF =24 %. The
NMR structure shows that two interstrand hydrogen bonds are formed and confirms
the artificial base pairing. In contrast, the 4-N,N-dimethylaminophthalimide
moiety prefers the syn conformation in DNA. The fluorescence intensity of this
chromophore in DNA is very low and the NMR structure shows no significant
interaction with DAP. Primer-extension experiments with DNA polymerases showed
that not only is the 4AP C nucleotide incorporated at the desired position
opposite DAP in the template, but also that the polymerase is able to progress
past this position to give the full-length product. The observed selectivity
supports the NMR results.
PMID- 27891749
TI - Chlorophyll-Derived Yellow Phyllobilins of Higher Plants as Medium-Responsive
Chiral Photoswitches.
AB - The fall colors are signs of chlorophyll breakdown, the biological process in
plants that generates phyllobilins. Most of the abundant natural phyllobilins are
colorless, but yellow phyllobilins (phylloxanthobilins) also occur in fall
leaves. As shown here, phylloxanthobilins are unique four-stage photoswitches.
Which switching mode is turned on is controlled by the molecular environment. In
polar media, phylloxanthobilins are monomeric and undergo photoreversible Z/E
isomerization, similar to that observed for bilirubin. Unlike bilirubin, however,
the phylloxanthobilin Z isomers photodimerize in apolar solvents by regio- and
stereospecific thermoreversible [2+2] cycloadditions from self-assembled hydrogen
bonded dimers. X-ray analysis revealed the first stereostructure of a
phylloxanthobilin and its hydrogen-bonded self-templating architecture, helping
to rationalize its exceptional photoswitch features. The chemical behavior of
phylloxanthobilins will play a seminal role in identifying biological roles of
phyllobilins.
PMID- 27891751
TI - Simultaneous determination of pyrifluquinazon and its main metabolite in fruits
and vegetables by using QuEChERS-HPLC-MS/MS.
AB - A rapid, reliable, and sensitive method is reported for the simultaneous analysis
of pyrifluquinazon and its main metabolite NNI-0101-1H in fruits (strawberry and
cherry) and vegetables (cucumber and tomato) using high-performance liquid
chromatography coupled with tandem mass spectrometry. A modified, quick, easy,
cheap, effective, rugged, and safe procedure was used for the sample pre
preparation. The target analytes were extracted with acetonitrile and then
cleaned up using dispersive solid-phase extraction procedure with primary
secondary amine. Sample analysis was performed using electrospray ionization in
positive mode. Good linearities with the correlation coefficients higher than
0.9991 were obtained in the range of 1-1000 MUg/L under the optimized conditions.
The average recoveries of the pyrifluquinazon and NNI-0101-1H were in the range
of 71.4-106.0% with the relative standard deviations 1.8-11.8% in all matrices at
three spiked levels (10, 100, and 1000 MUg/kg). The limit of quantification 10
MUg/kg was set as the lowest spiked level. The developed method is reliable and
effective for the routine monitoring of pyrifluquinazon and its metabolite NNI
0101-1H in fruits and vegetables to ensure food safety.
PMID- 27891750
TI - Epidemiology and outcomes of primary sclerosing cholangitis with and without
inflammatory bowel disease in an Australian cohort.
AB - BACKGROUND & AIMS: Epidemiological data on primary sclerosing cholangitis (PSC)
outside the Northern hemisphere are limited. Similarly, the impact of
inflammatory bowel disease (IBD) on PSC outcomes remains unclear. We aimed to
study the epidemiology and outcomes of PSC patients with and without IBD in an
Australian cohort. METHODS: We retrospectively studied PSC patients attending two
tertiary referral hospitals over 20 years. Diagnosis of PSC was made according to
international guidelines by positive cholangiography and/or liver biopsy (for
small duct PSC) with supporting clinical and laboratory evidence. RESULTS: Of 208
PSC patients (61% male) were studied (2271patient-years follow-up). The median
age of PSC diagnosis was similar for PSC-IBD and PSC-only patients (40 years vs
42 years, P = .35). All 33 deaths occurred in PSC-IBD patients while there were
no deaths in PSC-only patients (21% vs 0%, P < .01). However, there were no
significant differences in liver transplantation (PSC-only 25% vs PSC-IBD 31%, P
= .45) and transplant-free survival between PSC-only and PSC-IBD patients (P =
.43). On multivariate Cox regression, only elevated international normalized
ratio (INR) was associated with a greater risk of death or liver transplant (HR
2.0, 95% CI 1.1-3.6, P = .02). Development of gastrointestinal malignancy was
higher in the PSC-IBD group compared to PSC-only group (22% vs 2%, P < .01).
CONCLUSION: Australian PSC patients have similar characteristics compared to
European and North American cohorts. IBD is a significant predictor of
gastrointestinal malignancies. Deaths were more common in PSC-IBD but overall
transplant-free survival remained similar in PSC-IBD and PSC-only groups. An
elevated INR was an independent predictor of death or liver transplantation.
PMID- 27891753
TI - Balzan Prize: R. Jahn / Honorary Membership of the Swiss Chemical Society: E. P.
Kundig / KNCV Van Marumpenning: J. van Maarseveen / Emil Kirschbaum Medal: A.
Seidel-Morgenstern.
PMID- 27891752
TI - Switchable Surface Hydrophobicity-Hydrophilicity of a Metal-Organic Framework.
AB - Materials with surfaces that can be switched from high/superhydrophobicity to
superhydrophilicity are useful for myriad applications. Herein, we report a metal
organic framework (MOF) assembled from ZnII ions, 1,4-benzenedicarboxylate, and a
hydrophobic carborane-based linker. The MOF crystal-surface can be switched
between hydrophobic and superhydrophilic through a chemical treatment to remove
some of the building blocks.
PMID- 27891754
TI - Patients with Barrett's esophagus are hypersensitive to acid but hyposensitive to
other stimuli compared with healthy controls.
AB - BACKGROUND: Esophageal hyposensitivity has been observed in Barrett's esophagus
and may contribute to its pathophysiology. However, studies are few, in
particular those assessing different sensory modalities. We aimed to compare
esophageal sensitivity to multimodal stimulation in patients with Barrett's
esophagus and in healthy controls. METHODS: Twenty-three patients with Barrett's
esophagus and 12 healthy controls were examined. A multimodal probe was placed in
the lower esophagus. Mechanical, thermal, and electrical stimulation was applied
followed by an acid perfusion test with 0.1 N hydrochloric acid. KEY RESULTS:
Compared with controls, patients were hyposensitive to mechanical distension,
heat, and electrical stimulation (all P<.05), but hypersensitive to acid (mean
tolerated acid volume 57% lower, P=.001). A linear correlation between acid
hypersensitivity and lower baseline impedance was found (P<.001). Patients had
longer esophageal acid exposure time than controls (median acid exposure time 18
vs 5%, P=.03). Asymptomatic patients (no reflux symptoms at baseline) were
hyposensitive to mechanical distension, electrical stimulation, and acid
perfusion (all P<.05) compared with symptomatic patients. CONCLUSIONS &
INFERENCES: Patients with Barrett's esophagus exhibited acid hypersensitivity but
hyposensitivity to other stimuli. Lower mucosal baseline impedance, a likely
surrogate marker for impaired mucosal integrity, may explain the selective
hypersensitivity to acid. On the other hand, the concurrent hyposensitivity may
theoretically be explained by changes in central pain modulation. Patients with
Barrett's esophagus seem to compose symptomatic and asymptomatic subgroups,
showing different esophageal sensory profiles.
PMID- 27891755
TI - Strategic Utilization of Multifunctional Carbene for Direct Synthesis of
Carboxylic-Phosphinic Mixed Anhydride from CO2.
AB - Direct synthesis of carboxylic-phosphinic mixed anhydrides has been achieved by
treating carbon dioxide with N-phosphine oxide-substituted imidazolylidenes
(PoxIms) that contain both nucleophilic carbene and electrophilic phosphorus
moieties. This novel mixed anhydride was efficiently derivatized into an ester,
an amide, and an unsymmetrical ketone via transformation into its corresponding
imidazolium salt followed by a dual substitution reaction. The presented work
used well-designed multifunctional carbene reagents to establish a novel utility
for carbon dioxide in organic synthesis.
PMID- 27891756
TI - Motility patterns in mouse colon: gastrointestinal dysfunction induced by
anticancer chemotherapy.
AB - Colon cancer is a leading cause of cancer-related death in humans. 5-Fluorouracil
(5-FU), a major chemotherapy treatment, has been used for decades to fight
numerous types of cancers, including breast, colon, and head and neck carcinomas.
Unfortunately, a large proportion of patients treated with 5-FU develop
toxicities that include diarrhea, mucositis, neutropenia, and vomiting. While the
side effects of 5-FU are well known, the mechanisms underlying the induction of
these unpleasant symptoms are poorly understood. The study by McQuade et al. in
this issue of Neurogastroenterology & Motility provides important new potential
explanations for the gastrointestinal (GI) dysfunction induced by 5-FU. These
researchers carefully investigated an overlooked research area in which the
symptoms of GI-motility dysfunction maybe due to an effect on the enteric nervous
system. McQuade et al. delivered 5-FU treatment to mice and discovered an initial
increase in GI transit (associated with acute intestinal inflammation), followed
by a slowing in transit. Major differences were noted in characteristics of
colonic migrating motor complexes. These effects maybe causally related to
deficits in enteric ganglia or neurotransmission. Their study identified specific
neurochemical classes of neurons in the myenteric plexus most affected by 5-FU.
This is the first study to provide evidence that the functional intrinsic neural
pathways within the enteric nervous system are likely impaired by 5-FU, leading
to colonic dysmotility. This review will describe major patterns of motor
activity in isolated whole mouse colon and how these patterns are modified by
anticancer chemotherapy.
PMID- 27891757
TI - Randomized, double-blind, phase III study to evaluate the efficacy and safety of
once-daily treatment with alogliptin and metformin hydrochloride in Japanese
patients with type 2 diabetes.
AB - This randomized, double-blind, phase III study evaluated the efficacy and safety
of once-daily treatment with alogliptin (25 mg once daily), alone or with
metformin hydrochloride (500 mg once daily or 250 mg twice daily), in Japanese
patients with type 2 diabetes. The primary endpoint was change in glycated
haemoglobin (HbA1c) from baseline to the end of treatment (week 24). The least
squares (LS) mean (standard error) change in HbA1c from baseline to the end of
treatment (week 24) was 0.16 (0.072)% in alogliptin alone, -0.49 (0.049)% in
alogliptin/metformin once daily, and -0.60 (0.049)% in alogliptin/metformin twice
daily. The LS mean difference in HbA1c change from baseline between
alogliptin/metformin once daily and alogliptin alone (alogliptin/metformin once
daily minus alogliptin alone) was -0.65% (95% confidence interval [CI] -0.821,
0.480) and between alogliptin/metformin once daily and twice daily (once daily
minus twice daily) was 0.11% (95% CI -0.026, 0.247). The overall frequency of
adverse events was similar among the groups. This study showed that the efficacy
of alogliptin/metformin once daily was superior to alogliptin alone and non
inferior to alogliptin/metformin twice daily, and that alogliptin/metformin once
daily was safe and well tolerated in Japanese patients with type 2 diabetes.
PMID- 27891758
TI - Is work keeping us from acting healthy? How workplace barriers and facilitators
impact nutrition and exercise behaviors.
AB - The purpose of this study was to identify common barriers and facilitators to
healthy nutrition and exercise behaviors in the workplace and examine their
relationships to those actual daily health behaviors. We utilized a concurrent
embedded mixed methods approach to collect data from 93 participants over the
span of four days. Participants reported 2.80 nutrition and 3.28 exercise
barriers on average over the 4 days, while reporting 2.93 nutrition and 1.98
exercise facilitators in the same timeframe. Results indicated that workload and
temptations around the office prevented nutritious eating; exercise behaviors
were frequently hindered by workload. The most commonly mentioned eating
facilitator was proper planning, while having time to exercise facilitated
physical activity. Furthermore, the number of barriers reported negatively
related to their respective health behaviors (i.e., more nutrition barriers
translated to poorer nutrition habits) and facilitators were positively related
to them, both overall and more so on the specific day they were reported. The
implications of these finding show the importance of barriers/facilitators in the
workplace and aid in the creation of more targeted health promotion that could
increase positive employee health behaviors by eliminating common barriers and
enhancing facilitators.
PMID- 27891759
TI - Sociodemographic correlates and family aggregation of leukocyte telomere length
in adults and children from Mesoamerica.
AB - OBJECTIVE: Telomere length is a biomarker of cumulative stress and inflammation
related to chronic disease risk. We examined the associations of leukocyte
telomere length (LTL) with sociodemographic and anthropometric variables and
estimated LTL family aggregation in Central America, a region with a high burden
of chronic disease where LTL has not been studied. METHODS: We conducted a cross
sectional study of 174 school age children and their parents in the capital
cities of Belize, Honduras, Nicaragua, Costa Rica, Panama, and the city of Tuxtla
Gutierrez in Mexico. We measured LTL by quantitative PCR in DNA extracted from
whole blood. We compared the distribution of LTL by categories of
sociodemographic and anthropometric characteristics using linear regression.
Family aggregation was estimated with correlation coefficients and intraclass
correlations. RESULTS: In mothers, LTL was inversely associated with age (P,
trend < .0001) and positively associated with height (P = .0002). Among fathers,
LTL was inversely associated with food insecurity (P, trend = .0004). In
children, boys had 0.10 log units shorter LTL than girls (95% CI: -0.17, -0.03; P
= .004). LTL was inversely associated with parental education (P, trend = .01)
and positively associated with paternal age at birth (P, trend < .0001), maternal
LTL (P, trend = .007), and paternal LTL (P, trend = .02). LTL varied
significantly by country of origin among all family members. Aggregation was
greatest between children and their mothers, and mostly occurred at the country,
rather than family, level. CONCLUSION: LTL is associated with age and height in
women; food insecurity in men; and sex, parental education, parental LTL, and
paternal age at birth among children.
PMID- 27891761
TI - Agroecological management of a soil-dwelling orthopteran pest in vineyards.
AB - The efficacy of different combinations of undervine and inter-row treatments for
managing a soil-dwelling orthopteran pest, weta (Hemiandrus sp.), in vineyards
was investigated over 2 seasons. This insect damages vine buds, thus reducing
subsequent grape yield. The undervine treatments comprised pea straw mulch,
mussel shells, tick beans [Vicia faba Linn. var minor (Fab)], plastic sleeves on
vine trunks (treated control) and control (no intervention), while inter-rows
contained either the existing vegetation or tick beans. Treatments were arranged
in a randomized complete block design with 10 replicates. Data were collected on
weta densities, damage to beans and components of yield. The latter were numbers
of bud laid down per vine, shoots per bud, clusters per shoot, grape bunches per
vine, bunch weight and yield. The undervine treatments significantly affected all
variables except the number of shoots per bud. In contrast, none of the variables
was significantly affected by the inter-row treatments or their interaction with
undervine treatments, apart from weta density. At the end of the experiment, weta
density in the shell treatment was about 58% lower than in the control. As a
result, there was about 39% significant yield increase in that treatment compared
to the control. Although the undervine beans and sleeves treatments increased
yield, there were no reductions in weta density. With undervine beans, the insect
fed on the bean plants instead of vine buds. Thus, yield in that treatment was
approximately 28% higher than in the control. These results demonstrate that
simple agroecological management approaches can reduce above-ground damage by
soil-dwelling insects.
PMID- 27891760
TI - Muscle RAS oncogene homolog (MRAS) recurrent mutation in Borrmann type IV gastric
cancer.
AB - The prognosis of patients with Borrmann type IV gastric cancer (Type IV) is
extremely poor. Thus, there is an urgent need to elucidate the molecular
mechanisms underlying the oncogenesis of Type IV and to identify new therapeutic
targets. Although previous studies using whole-exome and whole-genome sequencing
have elucidated genomic alterations in gastric cancer, none has focused on
comprehensive genetic analysis of Type IV. To discover cancer-relevant genes in
Type IV, we performed whole-exome sequencing and genome-wide copy number analysis
on 13 patients with Type IV. Exome sequencing identified 178 somatic mutations in
protein-coding sequences or at splice sites. Among the mutations, we found a
mutation in muscle RAS oncogene homolog (MRAS), which is predicted to cause
molecular dysfunction. MRAS belongs to the Ras subgroup of small G proteins,
which includes the prototypic RAS oncogenes. We analyzed an additional 46 Type IV
samples to investigate the frequency of MRAS mutation. There were eight
nonsynonymous mutations (mutation frequency, 17%), showing that MRAS is
recurrently mutated in Type IV. Copy number analysis identified six focal
amplifications and one homozygous deletion, including insulin-like growth factor
1 receptor (IGF1R) amplification. The samples with IGF1R amplification had
remarkably higher IGF1R mRNA and protein expression levels compared with the
other samples. This is the first report of MRAS recurrent mutation in human tumor
samples. Our results suggest that MRAS mutation and IGF1R amplification could
drive tumorigenesis of Type IV and could be new therapeutic targets.
PMID- 27891762
TI - Judicial Reliance on Parental IQ in Appellate-Level Child Welfare Cases Involving
Parents with Intellectual and Developmental Disabilities.
AB - BACKGROUND: Parents with intellectual and developmental disabilities (IDDs) are
over-represented in child welfare cases. Although IQ per se is an invalid
indicator of parenting abilities, this study examined the prevalence of judicial
consideration of parental IQ test evidence in US appellate cases. METHODS: The
present authors conducted Boolean searches of Westlaw Corporation's case database
since 1999. The present authors used a six-question checklist to survey the 42
most recent American appellate cases involving termination of parental rights
(TPR) decisions that included evidence of parental intellectual and developmental
disabilities based on IQ. RESULTS: In 86% of cases, parental low IQ was presented
as a barrier to parenting competence. Higher courts uphold TPR decision in 81% of
cases involving parents with intellectual and developmental disabilities.
CONCLUSIONS: Parental IQ scores are routinely relied upon to judge parenting
capacity in custody cases where parents have intellectual and developmental
disabilities. The present authors recommend more comprehensive assessments
examining a broader range of contextual variable that may impact on parenting
abilities.
PMID- 27891763
TI - Silver nanoclusters stabilized with denatured fish sperm DNA and the application
on trace mercury ions detection.
AB - In this study, fluorescent silver nanoclusters (Ag NCs) were synthesized using
denatured fish sperm DNA as the template. In contrast to other methods, this
method did not use artificial DNA as the template. After their reaction with
denatured fish sperm DNA, Ag+ ions were reduced by NaBH4 to form Ag NCs. The Ag
NCs showed a strong fluorescence emission at 650 nm when excited at 585 nm. The
fluorescence intensity increased fourfold at pH 3.78, controlled with Britton
Robinson buffer solution. The fluorescence of the Ag NCs was quenched in the
presence of trace mercury ions (Hg2+ ) in a weakly acidic medium and nitrogen
atmosphere. The extent of the fluorescence quenching of Ag NCs strongly depends
on the Hg2+ ion concentration over a linear range from 2.0 nmol L-1 to 3.0 MUmol
L-1 . The detection limit (3sigma/k) for Hg2+ was 0.7 nmol L-1 . Thus, a
sensitive and rapid method was developed for the detection of Hg2+ ions.
PMID- 27891764
TI - The recovery paradigm and distress conceptualized as personality disorder: Lack
of evidence does not equate to a lack of importance.
PMID- 27891765
TI - HLA-B*13:95, a novel variant of HLA-B*13, discovered in a Taiwanese blood donor.
AB - A single nucleotide replacement at residue 430 of HLA-B*13:01:01 results in a new
allele, HLA-B*13:95.
PMID- 27891767
TI - Terrae Rarae Award: P. C. Junk and P. W. Roesky / Bau Family Award: H. Xu.
PMID- 27891766
TI - A novel recurrent LIS1 splice site mutation in classic lissencephaly.
PMID- 27891768
TI - Hyperuricaemia and risk of nonalcoholic fatty liver disease: A meta-analysis.
AB - BACKGROUND: The association between hyperuricaemia and nonalcoholic fatty liver
disease (NAFLD), one of the leading causes of cirrhosis worldwide, has been
demonstrated in recent epidemiological studies. This meta-analysis was conducted
to summarize all available data and to estimate the risk of NAFLD among subjects
with hyperuricaemia. METHODS: Comprehensive literature review was conducted using
MEDLINE and EMBASE database through August 2016 to identify studies that compared
the risk of NAFLD among subjects with hyperuricaemia vs those with normal uric
acid level. Effect estimates from individual study were extracted and combined
together using random-effect, generic inverse variance method of DerSimonian and
Laird. RESULTS: Twenty-five studies met the eligibility criteria and were
included in the meta-analysis. The risk of NAFLD in subjects with hyperuricaemia
was significantly higher than subjects with normal uric acid level with the
pooled odds ratio (OR) of 1.97 (95% confidence interval (CI), 1.69-2.29). The
heterogeneity between studies of the overall analysis was high with an I2 of 87%.
Subgroup analysis based on 11 studies that provided data on males subgroup and
nine studies that provided data on females subgroup showed that the risk was
significantly increased for both sexes with pooled OR of 1.64 (95% CI, 1.40-1.93)
among males and pooled OR of 2.21 (95% CI, 1.85-2.64) among females. CONCLUSIONS:
A significantly increased risk of NAFLD among patients with hyperuricaemia was
demonstrated in this meta-analysis. Further studies are required to establish the
role of uric acid in the pathogenesis of NAFLD.
PMID- 27891769
TI - Exenatide induces an increase in vasodilatory and a decrease in vasoconstrictive
mediators.
AB - In view of the known vasodilatory effects of glucagon-like peptide-1 and
exenatide, we investigated the effects of exenatide on vasoactive factors. We
analysed blood samples and mononuclear cells (MNCs) from a previous study,
collected after a single dose and 12 weeks of exenatide or placebo treatment in a
series of 24 patients with type 2 diabetes mellitus. After exenatide treatment,
plasma concentrations of atrial natriuretic peptide, cyclic guanyl monophosphate
(cGMP) and cyclic adenyl monophosphate increased significantly at 12 weeks.
Plasma cGMP and adenylate cyclase expression in MNCs increased significantly
after a single dose. Angiotensinogen concentration fell significantly 2 hours
after a single dose and at 12 weeks, while renin and angiotensin II levels fell
significantly only after a single dose and not after 12 weeks of treatment.
Exenatide also suppressed the plasma concentration of transforming growth factor
beta and the expression of P311 in MNCs at 12 weeks. Thus, exenatide induces an
increase in a series of vasodilators, while suppressing the renin-angiotensin
system. These changes may contribute to the overall vasodilatory effect of
exenatide.
PMID- 27891771
TI - Simultaneous determination of trimethylamine and trimethylamine N-oxide in mouse
plasma samples by hydrophilic interaction liquid chromatography coupled to tandem
mass spectrometry.
AB - A method was developed that applies hydrophilic interaction liquid chromatography
with tandem mass spectrometry in the multiple reaction monitoring mode to
separate and accurately quantify trimethylamine and trimethylamine N-oxide in a
single chromatographic run. This was achieved by converting trimethylamine to
ethyl betaine, which is less volatile and hence results in greatly improved
quantitation. Ethyl betaine also gives a similar response to trimethylamine N
oxide using positive-ion electrospray ionization mass spectrometry. It is readily
separated from trimethylamine N-oxide by hydrophilic liquid chromatography in a 5
min run and with improved peak shape compared to underivatized trimethylamine.
Validation of the method yielded a limit of detection (S/N >= 3) of 0.5 ng/mL for
trimethylamine and 0.25 ng/mL for trimethylamine N-oxide. Method accuracies of
91.4-105.3% with precisions of 0.4-5.5% were obtained for standard mixtures over
the range of 2.5-500 ng/mL. Recoveries measured for the extraction of
trimethylamine and trimethylamine N-oxide spikes into mouse plasma were both
>90%. The method, which simultaneously measures trimethylamine and trimethylamine
N-oxide, was successfully applied to mouse plasma samples and could be adapted
for use with other biological fluids.
PMID- 27891770
TI - Phenomenological vs. biophysical models of thermal stress in aquatic eggs.
AB - Predicting species responses to climate change is a central challenge in ecology.
These predictions are often based on lab-derived phenomenological relationships
between temperature and fitness metrics. We tested one of these relationships
using the embryonic stage of a Chinook salmon population. We parameterised the
model with laboratory data, applied it to predict survival in the field, and
found that it significantly underestimated field-derived estimates of thermal
mortality. We used a biophysical model based on mass transfer theory to show that
the discrepancy was due to the differences in water flow velocities between the
lab and the field. This mechanistic approach provides testable predictions for
how the thermal tolerance of embryos depends on egg size and flow velocity of the
surrounding water. We found support for these predictions across more than 180
fish species, suggesting that flow and temperature mediated oxygen limitation is
a general mechanism underlying the thermal tolerance of embryos.
PMID- 27891772
TI - Twoplex 12/13 C6 aniline stable isotope and linkage-specific sialic acid labeling
2D-LC-MS workflow for quantitative N-glycomics.
AB - Quantitative glycomics represents an actively expanding research field ranging
from the discovery of disease-associated glycan alterations to the quantitative
characterization of N-glycans on therapeutic proteins. Commonly used analytical
platforms for comparative relative quantitation of complex glycan samples include
MALDI-TOF-MS or chromatographic glycan profiling with subsequent data alignment
and statistical evaluation. Limitations of such approaches include run-to-run
technical variation and the potential introduction of subjectivity during data
processing. Here, we introduce an offline 2D LC-MSE workflow for the
fractionation and relative quantitation of twoplex isotopically labeled N-linked
oligosaccharides using neutral 12 C6 and 13 C6 aniline (Deltamass = 6 Da).
Additional linkage-specific derivatization of sialic acids using 4-(4,6-dimethoxy
1,3,5-trizain-2-yl)-4-methylmorpholinium chloride offered simultaneous and
advanced in-depth structural characterization. The potential of the method was
demonstrated for the differential analysis of structurally defined N-glycans
released from serum proteins of patients diagnosed with various stages of
colorectal cancer. The described twoplex 12 C6 /13 C6 aniline 2D LC-MS platform
is ideally suited for differential glycomic analysis of structurally complex N
glycan pools due to combination and analysis of samples in a single LC-MS
injection and the associated minimization in technical variation.
PMID- 27891773
TI - Cigarette smoke alters the secretome of lung epithelial cells.
AB - Cigarette smoke is the most relevant risk factor for the development of lung
cancer and chronic obstructive pulmonary disease. Many of its more than 4500
chemicals are highly reactive, thereby altering protein structure and function.
Here, we used subcellular fractionation coupled to label-free quantitative MS to
globally assess alterations in the proteome of different compartments of lung
epithelial cells upon exposure to cigarette smoke extract. Proteomic profiling of
the human alveolar derived cell line A549 revealed the most pronounced changes
within the cellular secretome with preferential downregulation of proteins
involved in wound healing and extracellular matrix organization. In particular,
secretion of secreted protein acidic and rich in cysteine, a matricellular
protein that functions in tissue response to injury, was consistently diminished
by cigarette smoke extract in various pulmonary epithelial cell lines and primary
cells of human and mouse origin as well as in mouse ex vivo lung tissue cultures.
Our study reveals a previously unrecognized acute response of lung epithelial
cells to cigarette smoke that includes altered secretion of proteins involved in
extracellular matrix organization and wound healing. This may contribute to
sustained alterations in tissue remodeling as observed in lung cancer and chronic
obstructive pulmonary disease.
PMID- 27891774
TI - Does chemical preconditioning contribute to the effectiveness of scaling and root
planing? An in vitro pilot investigation.
AB - OBJECTIVES: A solution based on hypochlorite and amino acids was introduced to
improve cleaning efficacy on the root surfaces. The purpose of this in vitro
pilot study was to evaluate the time reduction and number of strokes required to
clean untreated root surfaces in vitro. METHODS: Sixty extracted human teeth
displaying areas with subgingival calculus were assigned equally to one of three
treatment groups (n = 20) according to the size of occupied areas, estimated by
the number of pixels. The groups were assigned to either 30 s penetration time
(I) or 300 s (II) or no pretreatment application (III). The weight for
instrumentation was calibrated for a M25A curette (Deppeler/Switzerland) with 500
g. A new set of tools was used for each group, and each instrument was sharpened
after single use by an EasySharp Device (Deppeler/Switzerland). RESULTS: The time
(in seconds) for instrumentation was recorded as follows: Group I: 32/23.5/50
(median/first quartile/third quartile); group II: 33/20/52.5; group III:
46.5/35.5/52.3. The results for the numbers of strokes were: Group I: 18/14.3/28;
group II: 18.5/13/30.5; group III: 17.5/15/25. No statistically significant
differences (P < 0.05) were found between the three groups for the variables
'time' and 'number of strokes'. CONCLUSIONS: Within the limits of this in vitro
pilot study, preconditioning of the calculus on root surfaces with an alkaline
solution failed to reduce the number of strokes and time of instrumentation
significantly.
PMID- 27891775
TI - Surveillance of ventricular septal defects in Delaware.
AB - BACKGROUND: The prevalence of ventricular septal defects (VSDs), a birth defect
in which there is an opening in the wall that separates the left and right
ventricles of the heart, seemed to be substantially higher in Delaware compared
with the National Birth Defects Prevention Network (NBDPN). The Delaware Birth
Defects Registry (BDR) noted their high prevalence of VSDs in comparison with
other states. METHODS: A subset of children with a VSD born in 2007 through 2010
was identified from the complete reportable statewide defect list that the BDR
creates each year. VSDs were categorized by type of VSD (muscular,
perimembranous, conotruncal, or atrioventricular septal defect), by either
isolated or complex, and then by spontaneously closed, surgically closed, open
but clinically insignificant, lost to follow-up, fetal or neonatal death.
RESULTS: The BDR team found a prevalence of VSD of 83.4 per 10,000 including
fetal/neonatal deaths. Excluding fetal and neonatal deaths the prevalence was
78.7 per 10,000 live births. Excluding small muscular VSDs, the prevalence in
Delaware falls to 25.7 per 10,000. CONCLUSION: The BDR team chose to include all
babies with all types of VSDs. Using these criteria Delaware's prevalence of 78.7
was higher than that reported by other states (whose prevalence ranges from 1.6
to 70.0 per 10,000 live births) (National Birth Defects Prevention Network, ).
Delaware's prevalence is similar to other states when small muscular VSDs are
excluded. Birth Defects Research (Part A) 106:888-893, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27891776
TI - Editorial advances in population-based birth defects surveillance, epidemiology,
and public health practice.
PMID- 27891778
TI - Paternal and joint parental occupational pesticide exposure and spina bifida in
the National Birth Defects Prevention Study, 1997 to 2002.
AB - BACKGROUND: Because of persistent concerns over the association between
pesticides and spina bifida, we examined the role of paternal and combined
parental occupational pesticide exposures in spina bifida in offspring using data
from a large population-based study of birth defects. METHODS: Occupational
information from fathers of 291 spina bifida cases and 2745 unaffected live born
control infants with estimated dates of delivery from 1997 to 2002 were collected
by means of maternal report. Two expert industrial hygienists estimated exposure
intensity and frequency to insecticides, herbicides, and fungicides.
Multivariable logistic regression models were used to estimate adjusted odds
ratios (aOR) and 95% confidence intervals (CI) for exposure to any pesticide and
to any class of pesticide (yes/no; and by median), and exposure to combinations
of pesticides (yes/no) and risk of spina bifida. Adjusted odds ratios were also
estimated by parent exposed to pesticides (neither, mother only, father only,
both parents). RESULTS: Joint parental occupational pesticide exposure was
positively associated with spina bifida (aOR, 1.5; 95% CI, 0.9-2.4) when compared
with infants with neither maternal nor paternal exposures; a similar association
was not observed when only one parent was exposed. There was a suggested positive
association between combined paternal insecticide and fungicide exposures and
spina bifida (aOR, 1.5; 95% CI, 0.8-2.8), however, nearly all other aORs were
close to unity. CONCLUSION: Overall, there was little evidence paternal
occupational pesticide exposure was associated with spina bifida. However, the
small numbers make it difficult to precisely evaluate the role of pesticide
classes, individually and in combination. Birth Defects Research (Part A) 106:963
971, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27891777
TI - Maternal autoimmune disease and birth defects in the National Birth Defects
Prevention Study.
AB - BACKGROUND: Little is known about the association between maternal autoimmune
disease or its treatment and the risk of birth defects. We examined these
associations using data from the National Birth Defects Prevention Study, a multi
site, population-based, case-control study. METHODS: Analyses included 25,116
case and 9897 unaffected control infants with estimated delivery dates between
1997 and 2009. Information on autoimmune disease, medication use, and other
pregnancy exposures was collected by means of telephone interview. Adjusted odds
ratios (ORs) and 95% confidence intervals (CIs) were estimated for birth defects
with five or more exposed cases; crude ORs and exact 95% CIs were estimated for
birth defects with three to four exposed cases. RESULTS: Autoimmune disease was
reported by 373 mothers (279 case and 94 control mothers). The majority of birth
defects evaluated were not associated with autoimmune disease; however, a
statistically significant association between maternal autoimmune disease and
encephalocele was observed (OR, 4.64; 95% CI, 1.95-11.04). Eighty-two mothers
with autoimmune disease used an immune modifying/suppressing medication during
pregnancy; this was associated with encephalocele (OR, 7.26; 95% CI, 1.37-24.61)
and atrial septal defects (OR, 3.01; 95% CI, 1.16-7.80). CONCLUSION: Our findings
suggest maternal autoimmune disease and treatment are not associated with the
majority of birth defects, but may be associated with some defects, particularly
encephalocele. Given the low prevalence of individual autoimmune diseases and the
rare use of specific medications, we were unable to examine associations of
specific autoimmune diseases and medications with birth defects. Other studies
are needed to confirm these findings. Birth Defects Research (Part A) 106:950
962, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27891780
TI - ICD-10-based expanded code set for use in cleft lip/palate research and
surveillance.
AB - BACKGROUND: On October 1, 2015, the United States required use of the Clinical
Modification of the International Classification of Diseases, 10th Revision (ICD
10-CM) for diagnostic coding. The ICD-10-CM code set is limited to gross
categories for cleft lip and/or cleft palate (using only four of a possible seven
characters). METHODS: Herein, a clinically useful expansion of the ICD-10-CM code
set is proposed to improve the diagnostic accuracy necessary for individual
clinical, research, and statistical projects that require it. (This is similar to
how the Centers for Disease Control and Prevention/British Paediatric Association
Code served to extend the ICD-9 code base.) RESULTS: Our proposed expansion does
not replace the required use of ICD-10-CM for clinical, administrative, or
financial transactions. Rather, it is offered as an optional set of cleft codes
that could be used in parallel to document true classification-level data with
phenotypic accuracy. CONCLUSION: The expanded set is "collapsible" into the
official ICD-10-CM codes; this improves compatibility of the expanded codes that
would be contained in research and epidemiologic databases with the standard
codes from hospital electronic medical record systems and administrative billing
data. Birth Defects Research (Part A) 106:905-914, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27891779
TI - Using insurance claims data to identify and estimate critical periods in
pregnancy: An application to antidepressants.
AB - BACKGROUND: Health insurance claims are a rich data source to examine medication
use in pregnancy. Our objective was to identify pregnant women, their pregnancy
outcomes, and date of their last menstrual period (LMP), and to estimate
antidepressant dispensations in pregnancy. METHODS: From a literature search, we
identified diagnosis and procedure codes indicating the end of a pregnancy. Using
Truven Health MarketScan(r) Commercial Claims and Encounters Databases, we
identified all inpatient admissions and outpatient service claims with these
codes. We developed an algorithm to assign: (1) pregnancy outcome (ectopic
pregnancy, induced or spontaneous abortion, live birth, or stillbirth), and (2)
estimated gestational age, to each inpatient or outpatient visit. For each
pregnancy outcome, we estimated the LMP as the admission (for inpatient visits)
or service (for outpatient visits) date minus the gestational age. To
differentiate visits associated with separate pregnancies, we required >= 2
months between one pregnancy outcomes and the LMP of the next pregnancy. We used
this algorithm to identify pregnancies in 2013 and to estimate the proportion of
women who filled a prescription for an antidepressant from an outpatient pharmacy
at various time points in pregnancy. RESULTS: We identified 488,887 pregnancies
in 2013; 79% resulted in a live birth. A prescription for an antidepressant was
filled in 6.2% of pregnancies. Dispensations varied throughout pregnancy and were
lowest (3.1%) during the second trimester. CONCLUSION: This work will inform
future efforts to estimate medication dispensations during critical periods of
preconception, interconception, and pregnancy using health insurance claims data.
Birth Defects Research (Part A) 106:927-934, 2016. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27891781
TI - Geographic distribution of live births with tetralogy of Fallot in North Carolina
2003 to 2012.
AB - BACKGROUND: Geographic variation in congenital heart disease is not well
described. This study uses geographic information systems (GIS) to describe the
spatial epidemiology of tetralogy of Fallot (TOF), in North Carolina (NC) and to
compare travel time for cases to congenital heart centers in NC. METHODS: Using
the NC Birth Defects Monitoring Program database, live births with TOF born
between 2003 and 2012 were identified. Birth certificates provided demographic
variables. A denominator of live births/zip code was obtained from the NC live
births database. ArcGIS(r) software was used to illustrate TOF prevalence by zip
code, and SatScanTM was used to identify spatial clusters of TOF cases and to
identify changes in cluster location over time. Driving time to each of five NC
congenital heart centers was predicted based on road systems information.
RESULTS: A total of 496 infants were born with TOF between 2003 and 2012. The
prevalence was 4.2/10,000 live births. A large cluster (330 zip codes, 306 cases)
was identified in northeastern NC. Average driving time for each case to closest
congenital heart center was: University of North Carolina 37 min, Vident Medical
Center 64 min, Duke University 58 min, Carolina's Medical Center 89 min, and Wake
Forest Baptist Health 57 min. Overall, average predicted driving time to the
nearest congenital heart center was 61 min. CONCLUSION: Approximately 50
infants/year were born with TOF in NC. One cluster was identified. Further study
is necessary to explore potential explanations for the observed case cluster. As
interest in regionalization of congenital heart surgery grows, GIS and spatial
analysis can become increasingly useful tools for health care planning. Birth
Defects Research (Part A) 106:881-887, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27891782
TI - Using state and provincial surveillance programs to reduce risk of recurrence of
neural tube defects in the United States and Canada: A missed opportunity?
AB - BACKGROUND: Once a woman has had a fetus or infant affected with a neural tube
defect (NTD), the risk of recurrence is approximately 3%. This risk can be
significantly reduced by folic acid supplement consumption during the
periconceptional period; however, this requires women at risk to be adequately
informed about the appropriate dosage and timing of supplement intake before
planning another pregnancy. As birth defects surveillance programs are tasked
with identifying and documenting NTD-affected pregnancies and births, they are in
a unique position to support recurrence prevention activities. METHODS: In 2015,
we surveyed state and provincial birth defects surveillance programs to assess
their NTD recurrence prevention activities. The online survey was sent to
programs in 52 United States (U.S.) jurisdictions and all 13 provinces and
territories in Canada. Findings were compared with a similar survey conducted in
2005 among U.S. programs. RESULTS: In 2015, of the 44 U.S. and Canadian
surveillance programs that responded, only 9 programs (7 U.S. and 2 Canadian)
reported currently having activities specifically directed toward preventing NTD
recurrence. Compared with a 2005 survey of U.S. programs, the number of U.S.
programs working on NTD recurrence prevention decreased by almost 50% (from 13 to
7 programs). CONCLUSION: The number of birth defects surveillance programs with
NTD recurrence prevention activities has decreased over the past decade due to a
range of barriers, most notably a lack of resources. However, while some
recurrence prevention activities require part-time staff, other activities could
be accomplished using minimal resources. Birth Defects Research (Part A) 106:875
880, 2016.(c) 2016 Wiley Periodicals, Inc.
PMID- 27891784
TI - Characterizing facial features in individuals with craniofacial microsomia: A
systematic approach for clinical research.
AB - BACKGROUND: Craniofacial microsomia (CFM) is a congenital condition with wide
phenotypic variability, including hypoplasia of the mandible and external ear. We
assembled a cohort of children with facial features within the CFM spectrum and
children without known craniofacial anomalies. We sought to develop a
standardized approach to assess and describe the facial characteristics of the
study cohort, using multiple sources of information gathered over the course of
this longitudinal study and to create case subgroups with shared phenotypic
features. METHODS: Participants were enrolled between 1996 and 2002. We
classified the facial phenotype from photographs, ratings using a modified
version of the Orbital, Ear, Mandible, Nerve, Soft tissue (OMENS) pictorial
system, data from medical record abstraction, and health history questionnaires.
RESULTS: The participant sample included 142 cases and 290 controls. The average
age was 13.5 years (standard deviation, 1.3 years; range, 11.1-17.1 years). Sixty
one percent of cases were male, 74% were white non-Hispanic. Among cases, the
most common features were microtia (66%) and mandibular hypoplasia (50%). Case
subgroups with meaningful group definitions included: (1) microtia without other
CFM-related features (n = 24), (2) microtia with mandibular hypoplasia (n = 46),
(3) other combinations of CFM- related facial features (n = 51), and (4) atypical
features (n = 21). CONCLUSION: We developed a standardized approach for
integrating multiple data sources to phenotype individuals with CFM, and created
subgroups based on clinically-meaningful, shared characteristics. We hope that
this system can be used to explore associations between phenotype and clinical
outcomes of children with CFM and to identify the etiology of CFM. Birth Defects
Research (Part A) 106:915-926, 2016.(c) 2016 Wiley Periodicals, Inc.
PMID- 27891783
TI - Population-based microcephaly surveillance in the United States, 2009 to 2013: An
analysis of potential sources of variation.
AB - BACKGROUND: Congenital microcephaly has been linked to maternal Zika virus
infection. However, ascertaining infants diagnosed with microcephaly can be
challenging. METHODS: Thirty birth defects surveillance programs provided data on
infants diagnosed with microcephaly born 2009 to 2013. The pooled prevalence of
microcephaly per 10,000 live births was estimated overall and by maternal/infant
characteristics. Variation in prevalence was examined across case finding
methods. Nine programs provided data on head circumference and conditions
potentially contributing to microcephaly. RESULTS: The pooled prevalence of
microcephaly was 8.7 per 10,000 live births. Median prevalence (per 10,000 live
births) was similar among programs using active (6.7) and passive (6.6) methods;
the interdecile range of prevalence estimates was wider among programs using
passive methods for all race/ethnicity categories except Hispanic. Prevalence
(per 10,000 live births) was lowest among non-Hispanic Whites (6.5) and highest
among non-Hispanic Blacks and Hispanics (11.2 and 11.9, respectively); estimates
followed a U-shaped distribution by maternal age with the highest prevalence
among mothers <20 years (11.5) and >=40 years (13.2). For gestational age and
birth weight, the highest prevalence was among infants <32 weeks gestation and
infants <1500 gm. Case definitions varied; 41.8% of cases had an HC >= the 10th
percentile for sex and gestational age. CONCLUSION: Differences in methods,
population distribution of maternal/infant characteristics, and case definitions
for microcephaly can contribute to the wide range of observed prevalence
estimates across individual birth defects surveillance programs. Addressing these
factors in the setting of Zika virus infection can improve the quality of
prevalence estimates. Birth Defects Research (Part A) 106:972-982, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27891785
TI - Editorial brain malformation surveillance in the Zika era.
AB - The current surveillance systems for congenital microcephaly are necessary to
monitor the impact of Zika virus (ZIKV) on the developing human brain, as well as
the ZIKV prevention efforts. However, these congenital microcephaly surveillance
systems are insufficient. Abnormalities of neuronal differentiation, development
and migration may occur among infants with normal head circumference who have
intrauterine exposure to ZIKV. Therefore, surveillance for congenital
microcephaly does not ascertain many of the infants seriously impacted by
congenital ZIKV infection. Furthermore, many infants with normal head
circumference and with malformations of the brain cortex do not have clinical
manifestations of their congenital malformations until several months to many
years after birth, when they present with clinical manifestations such as
seizures/epilepsy, developmental delays with or without developmental regression,
and/or motor impairment. In response to the ZIKV threat, public health
surveillance systems must be enhanced to ascertain a wide variety of congenital
brain malformations, as well as their clinical manifestations that lead to
diagnostic brain imaging. Birth Defects Research (Part A) 106:869-874, 2016. (c)
2016 The Authors Birth Defects Research Part A: Clinical and Molecular Teratology
Published by Wiley Periodicals, Inc.
PMID- 27891786
TI - A quality assessment of reporting sources for microcephaly in Utah, 2003 to 2013.
AB - BACKGROUND: Obtaining accurate microcephaly prevalence is important given the
recent association between microcephaly and Zika virus. Assessing the quality of
data sources can guide surveillance programs as they focus their data collection
efforts. The Utah Birth Defect Network (UBDN) has monitored microcephaly by data
sources since 2003. The objective of this study was to examine the impact of
reporting sources for microcephaly surveillance. METHODS: All reported cases of
microcephaly among Utah mothers from 2003 to 2013 were clinically reviewed and
confirmed. The UBDN database was linked to state vital records and hospital
discharge data for analysis. Reporting sources were analyzed for positive
predictive value and sensitivity. RESULTS: Of the 477 reported cases of
microcephaly, 251 (52.6%) were confirmed as true cases. The UBDN identified 94
additional cases that were reported to the surveillance system as another birth
defect, but were ultimately determined to be true microcephaly cases. The
prevalence for microcephaly based on the UBDN medical record abstraction and
clinical review was 8.2 per 10,000 live births. Data sources varied in the number
and accuracy of reporting, but a case was more likely to be a true case if
identified from multiple sources than from a single source. CONCLUSION: While
some reporting sources are more likely to identify possible and true microcephaly
cases, maintaining a multiple source methodology allows for more complete case
ascertainment. Surveillance programs should conduct periodic assessments of data
sources to ensure their systems are capturing all possible birth defects cases.
Birth Defects Research (Part A) 106:983-988, 2016. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27891787
TI - Evaluation of the Western Australian Register of Developmental Anomalies: Thirty
five years of surveillance.
AB - BACKGROUND: The birth defects component of the Western Australian Register for
Developmental Anomalies (WARDA-BD) was evaluated to assess its efficiency,
effectiveness, and data quality. METHODS: WARDA-BD was evaluated using the
Centers for Disease Control and Prevention Guidelines for Evaluating Public
Health Surveillance Systems and Data Quality Standards from the National Birth
Defects Prevention Network. The evaluation included interviews with Register
staff, local community organizations, parents, clinicians, and researchers;
process observation; and secondary data analyses. RESULTS: WARDA-BD is a
statutory, statewide, population-based surveillance system established in 1980
that monitors approximately 30,000 births annually. Identification of eligible
cases is for children up to age 6 years through active and passive ascertainment
methods from multiple sources including birth, death, and hospitalization data;
antenatal ultrasonography; hospital unit logs; medical records; fetal medicine
departments; cytogenetic laboratories; specialty clinics; and pediatric surgery
and pathology departments. Defect diagnoses are verified and coded using the 5
digit British Paediatric Association extension of the International
Classification of Disease, Ninth Revision system. Register staff monitor Register
data for completeness and accuracy resulting in high quality data with a low
percentage of missing items. CONCLUSION: Strengths of WARDA-BD include high data
quality, timeliness, representativeness, stable funding, active community
engagement, and high staff retention. Its data were used in numerous
epidemiologic investigations resulting in >325 peer-reviewed publications.
Potential weaknesses include the limited number of variables collected and low
visibility. Although WARDA-BD uses labor intensive case ascertainment and quality
assurance and control processes, the Register provides accurate and essential
data for stakeholders. Birth Defects Research (Part A) 106:894-904, 2016. (c)
2016 Wiley Periodicals, Inc.
PMID- 27891789
TI - Incorrect Panel in Figure 3 of the Article by Ellman et al (Arthritis Rheum, June
2012).
PMID- 27891790
TI - Clinical Connections.
PMID- 27891788
TI - Association between antibiotic use among pregnant women with urinary tract
infections in the first trimester and birth defects, National Birth Defects
Prevention Study 1997 to 2011.
AB - BACKGROUND: Previous studies noted associations between birth defects and some
antibiotics (e.g., nitrofurantoin, sulfonamides) but not others (e.g.,
penicillins). It is unclear if previous findings were due to antibiotic use,
infections, or chance. To control for potential confounding by indication, we
examined associations between antibiotic use and birth defects, among women
reporting urinary tract infections (UTIs). METHODS: The National Birth Defects
Prevention Study is a multi-site, population-based case-control study. Case
infants/fetuses have any of over 30 major birth defects and controls are live
born infants without major birth defects. We analyzed pregnancies from 1997 to
2011 to estimate the association between maternally reported periconceptional
(month before conception through the third month of pregnancy) use of
nitrofurantoin, trimethoprim-sulfamethoxazole, or cephalosporins and specific
birth defects, among women with periconceptional UTIs. Women with
periconceptional UTIs who reported penicillin use served as the comparator.
RESULTS: Periconceptional UTIs were reported by 7.8% (2029/26,068) of case and
6.7% (686/10,198) of control mothers. Most (68.2% of case, 66.6% of control
mothers) also reported antibiotic use. Among 608 case and 231 control mothers
reporting at least one periconceptional UTI and certain antibiotic use, compared
with penicillin, nitrofurantoin use was associated with oral clefts in the
offspring (adjusted odds ratio, 1.97 [95% confidence interval, 1.10-3.53]),
trimethoprim-sulfamethoxazole use with esophageal atresia (5.31 [1.39-20.24]) and
diaphragmatic hernia (5.09 [1.20-21.69]), and cephalosporin use with anorectal
atresia/stenosis (5.01 [1.34-18.76]). CONCLUSION: Periconceptional exposure to
some antibiotics might increase the risk for certain birth defects. However,
because individual birth defects are rare, absolute risks should drive treatment
decisions.Birth Defects Research (Part A) 106:940-949, 2016.(c) 2016 Wiley
Periodicals, Inc.
PMID- 27891795
TI - Erratum.
PMID- 27891794
TI - Re: Does the Epi-No birth trainer prevent vaginal birthrelatedpelvic floor
trauma? A multicentre prospective randomised controlled trial: The Epi-No birth
trainer makes it easier to accomplish 100% intact perineums at vaginal birth,
where this is a goal.
PMID- 27891796
TI - Authors' reply re: Cost-effectiveness of a pro-active approach of urinary
incontinence in women.
PMID- 27891797
TI - The aetiology of pre-eclampsia, 1945-1953.
PMID- 27891798
TI - Authors' reply re: Clinical pharmacokinetic properties of magnesium sulphate in
women with pre-eclampsia and eclampsia: a systematic review.
PMID- 27891799
TI - A report from #BlueJC: How to compare quality and safety of surgery for cancer?
PMID- 27891801
TI - Leiomyosarcoma and myomectomy: is the cat ever in the bag?
PMID- 27891803
TI - Editor's reply re: Does the Epi-No birth trainer prevent vaginal birth-related
pelvic floor trauma? A multicenter prospective randomised controlled trial.
PMID- 27891804
TI - Re: Cost-effectiveness of a pro-active approach of urinary incontinence in women.
PMID- 27891805
TI - Re: Comparison of techniques used to deliver a deeply impacted fetal head at full
dilation: a systematic review and meta-analysis.
PMID- 27891806
TI - Authors' reply re: Does the Epi-No birth trainer prevent vaginal birth-related
pelvic floor trauma? A multicenter prospective randomised controlled trial.
PMID- 27891807
TI - Authors' reply re: Comparison of techniques used to deliver a deeply impacted
fetal head at full dilation: a systematic review and meta-analysis.
PMID- 27891808
TI - Authors' reply re: Wide differences in mode of delivery within Europe: risk
stratified analyses of aggregated routine data from the Euro-Peristat study.
PMID- 27891809
TI - Re: Clinical pharmacokinetic properties of magnesium sulphate in women with pre
eclampsia and eclampsia: a systematic review: Clinical pharmacokinetics of low
dose magnesium sulphate regimens for eclampsia in low-resource countries: does it
matter?
PMID- 27891810
TI - Re: Adverse psychological outcomes following colposcopy and related procedures: a
systematic review: Psychological sequelae of cervical cytology screening: the
need for continual awareness and pre-emptive steps.
PMID- 27891811
TI - Re: Wide differences in mode of delivery between countries in Europe: risk
stratified analyses of aggregated routine data from the Euro-Peristat study:
Caesarean section rates are the WRONG target.
PMID- 27891812
TI - Hudson's landmark contribution to ovarian cancer surgery.
PMID- 27891813
TI - Geographic variation in genetic and demographic performance: new insights from an
old biogeographical paradigm.
AB - The 'centre-periphery hypothesis' (CPH) is a long-standing postulate in ecology
that states that genetic variation and demographic performance of a species
decrease from the centre to the edge of its geographic range. This hypothesis is
based on an assumed concordance between geographical peripherality and ecological
marginality such that environmental conditions become harsher towards the limits
of a species range. In this way, the CPH sets the stage for understanding the
causes of distribution limits. To date, no study has examined conjointly the
consistency of these postulates. In an extensive literature review we discuss the
birth and development of the CPH and provide an assessment of the CPH by
reviewing 248 empirical studies in the context of three main themes. First, a
decrease in species occurrence towards their range limits was observed in 81% of
studies, while only 51% demonstrated reduced abundance of individuals. A decline
in genetic variation, increased differentiation among populations and higher
rates of inbreeding were demonstrated by roughly one in two studies (47, 45 and
48%, respectively). However, demographic rates, size and population performance
less often followed CPH expectations (20-30% of studies). We highlight the impact
of important methodological, taxonomic, and biogeographical biases on such
validation rates. Second, we found that geographic and ecological marginality
gradients are not systematically concordant, which casts doubt on the reliability
of a main assumption of the CPH. Finally, we attempt to disentangle the relative
contribution of geographical, ecological and historical processes on the spatial
distribution of genetic and demographic parameters. While ecological marginality
gradients explain variation in species' demographic performance better than
geographic gradients, contemporary and historical factors may contribute
interactively to spatial patterns of genetic variation. We thereby propose a
framework that integrates species' ecological niche characteristics together with
current and past range structure to investigate spatial patterns of genetic and
demographic variation across species ranges.
PMID- 27891814
TI - Friendships and Intimate Relationships among People with Intellectual
Disabilities: A Thematic Synthesis.
AB - BACKGROUND: The purpose of this thematic synthesis was to review qualitative
studies on perspectives of persons with intellectual disabilities regarding
friendships and intimate relationships. MATERIALS AND METHODS: A literature
search was conducted, including studies published between 2004 and 2014,
involving participants 14 years of age or older, who had intellectual
disabilities, and participated in focus groups or interviews. RESULTS: Eighteen
studies were included. Three master themes were identified: (i) How do I know
someone is my friend? (ii) How do I know someone is my boyfriend or girlfriend?
and (iii) What helps and hinders relationships? CONCLUSIONS: Understanding how
people with intellectual disabilities describe relationships, and being aware of
factors that support and impede relationships, will aid stakeholders in
developing training, policies, programmes and services. Knowledge translation of
research that focuses on strategies aimed at supporting relationships is crucial
to affect change in applied settings and improve quality of life for persons with
intellectual disabilities.
PMID- 27891815
TI - Quantitative T1-mapping detects cloudy-enhancing tumor compartments predicting
outcome of patients with glioblastoma.
AB - Contrast enhancement of glioblastomas (GBM) is caused by the decrease in
relaxation time, T1. Here, we demonstrate that the quantitative measurement of T1
(qT1) discovers a subtle enhancement in GBM patients that is invisible in
standard MRI. We assessed the volume change of this "cloudy" enhancement during
radio-chemotherapy and its impact on patients' progression-free survival (PFS).
We enrolled 18 GBM patients in this observational, prospective cohort study and
measured 3T-MRI pre- and post contrast agent with standard T1-weighted (T1w) and
with sequences to quantify T1 before radiation, and at 6-week intervals during
radio-chemotherapy. We measured contrast enhancement by subtracting pre from post
contrast contrast images, yielding relative signal increase ?T1w and relative T1
shortening ?qT1. On ?qT1, we identified a solid and a cloudy-enhancing
compartment and evaluated the impact of their therapy-related volume change upon
PFS. In ?qT1 maps cloudy-enhancing compartments were found in all but two
patients at baseline and in all patients during therapy. The qT1 decrease in the
cloudy-enhancing compartment post contrast was 21.64% versus 1.96% in the
contralateral control tissue (P < 0.001). It was located at the margin of solid
enhancement which was also seen on T1w. In contrast, the cloudy-enhancing
compartment was visually undetectable on ?T1w. A volume decrease of more than
21.4% of the cloudy-enhancing compartment at first follow-up predicted longer PFS
(P = 0.038). Cloudy-enhancing compartment outside the solid contrast-enhancing
area of GBM is a new observation which is only visually detectable with qT1
mapping and may represent tumor infiltration. Its early volume decrease predicts
a longer PFS in GBM patients during standard radio-chemotherapy.
PMID- 27891817
TI - Preliminary study of personality traits in Chinese lung cancer patients:
Modification by neurotensin receptor 1 polymorphisms.
AB - This study recruited 148 lung cancer patients and 157 healthy Chinese individuals
to evaluate the personality traits of Chinese lung cancer patients using the
Tridimensional Personality Questionnaire and to investigate the associations
between these traits and the rs6090453C/G and rs6011914C/G polymorphisms of the
neurotensin receptor 1 gene. The results demonstrated significant differences in
the Tridimensional Personality Questionnaire total and subscale scores between
the 2 groups. Significant differences were found in the reward dependence 2 (RD2)
score between the rs6090453C/G genotypes and in the total harm avoidance (HA),
HA1, HA2, HA4, and RD2 scores between the rs6011914C/G genotypes. Thus, patients
with lung cancer have specific personality traits, and variations in the
neurotensin receptor 1 gene may be involved in the biological mechanisms of the
HA and RD personality traits.
PMID- 27891818
TI - Exploiting Deep Eutectic Solvents and Organolithium Reagent Partnerships:
Chemoselective Ultrafast Addition to Imines and Quinolines Under Aerobic Ambient
Temperature Conditions.
AB - Shattering the long-held dogma that organolithium chemistry needs to be performed
under inert atmospheres in toxic organic solvents, chemoselective addition of
organolithium reagents to non-activated imines and quinolines has been
accomplished in green, biorenewable deep eutectic solvents (DESs) at room
temperature and in the presence of air, establishing a novel and sustainable
access to amines. Improving on existing methods, this approach proceeds in the
absence of additives; occurs without competitive enolization, reduction or
coupling processes; and reactions were completed in seconds. Comparing RLi
reactivities in DESs with those observed in pure glycerol or THF suggests a
kinetic anionic activation of the alkylating reagents occurs, favoring
nucleophilic addition over competitive hydrolysis.
PMID- 27891816
TI - DLL4 overexpression increases gastric cancer stem/progenitor cell self-renewal
ability and correlates with poor clinical outcome via Notch-1 signaling pathway
activation.
AB - Gastric cancer is one of the most common malignant diseases, and poses a serious
threat to the quality of human life. Gastric cancer stem/progenitor cells
(GCSPCs) have critical effects on tumor formation, affecting specific features of
self-renewal and differentiation and playing a critical role in metastasis. The
Notch-1 pathway is crucially important to GCSPCs and is regulated by DLL4. In
this study, DLL4 and Nestin levels were measured in 383 gastric cancer tissue
samples by immunohistochemistry, and the clinico-pathological features of
patients assessed. After DLL4 silencing in selected gastric cancer cell lines,
the expression of GCSPC markers and colony formation ability were analyzed and
the self-renewal and differentiation capacities of the cells were evaluated. The
relationship between DLL4 levels and Notch-1 signaling pathway effector amounts
was assessed via Western blotting and immunofluorescence. Finally, the tumor
formation ability of the gastric cancer cells was evaluated with different levels
of DLL4 and multiple cell densities in vivo. Our results indicate that DLL4
expression is associated with TNM stage and cancer metastasis, with high amounts
of DLL4 leading to poor outcome. DLL4 silencing inhibited the self-renewal
ability of GCSPCs and increased their multidifferentiation capacity, resulting in
reduced GCSPC ratios. DLL4 knockdown also blocked the Notch-1 pathway, weakening
invasion ability and resistance to 5-FU chemotherapy. In vivo, DLL4 silencing
inhibited the tumor formation ability of GCSPCs. In conclusion, DLL4 affects
GCSPC stemness, altering their pathological behavior. DLL4 silencing inhibits
GCSPC metastatic potential both in vitro and in vivo by impeding Notch-1
signaling pathway activation, indicating that DLL4 may be a new potential
therapeutic target.
PMID- 27891819
TI - Autism and emotional face-viewing.
AB - Atypical patterns of face-scanning in individuals with autism spectrum disorder
(ASD) may contribute to difficulties in social interactions, but there is little
agreement regarding what exactly characterizes face-viewing in ASD. In addition,
little research has examined how face-viewing is modulated by the emotional
expression of the stimuli, in individuals with or without ASD. We used eye
tracking to explore viewing patterns during perception of dynamic emotional
facial expressions in relatively large groups of individuals with (n = 57) and
without ASD (n = 58) and examined diagnostic- and age-related effects, after
subgrouping children and adolescents (<=18 years), on the one hand, and adults
(>18 years), on the other. Results showed that children/adolescents with ASD
fixated the mouth of happy and angry faces less than their typically developing
(TD) peers, and conversely looked more to the eyes of happy faces. Moreover,
while all groups fixated the mouth in happy faces more than in other expressions,
children/adolescents with ASD did relatively less so. Correlation analysis showed
a similar lack of relative orientation towards the mouth of smiling faces in TD
children/adolescents with high autistic traits, as measured by the Autism
Spectrum Quotient (AQ). Among adults, participants with ASD only attended less to
the eyes for neutral faces. Our study shows that the emotional content of a face
influences gaze behaviour, and that this effect is not fully developed in
children/adolescents with ASD. Interestingly, this lack of differentiation
observed in the younger ASD group was also seen in younger TD individuals with
higher AQ scores. Autism Res 2017, 10: 901-910. (c) 2016 International Society
for Autism Research, Wiley Periodicals, Inc.
PMID- 27891820
TI - Investigating diagnostic bias in autism spectrum conditions: An item response
theory analysis of sex bias in the AQ-10.
AB - Diagnostic bias is a concern in autism spectrum conditions (ASC) where prevalence
and presentation differ by sex. To ensure that females with ASC are not under
identified, it is important that ASC screening tools do not systematically
underestimate autistic traits in females relative to males. We evaluated whether
the AQ-10, a brief screen for ASC recommended by the National Institute of
Clinical Excellence in cases of suspected ASC, exhibits such a bias. Using an
item response theory approach, we evaluated differential item functioning and
differential test functioning. We found that although individual items showed
some sex bias, these biases at times favored males and at other times favored
females. Thus, at the level of test scores the item-level biases cancelled out to
give an unbiased overall score. Results support the continued use of the AQ-10
sum score in its current form; however, suggest that caution should be exercised
when interpreting responses to individual items. The nature of the item level
biases could serve as a guide for future research into how ASC affects males and
females differently. Autism Res 2017, 10: 790-800. (c) 2016 International Society
for Autism Research, Wiley Periodicals, Inc.
PMID- 27891821
TI - Detection of HLA-C*04:247, a novel HLA-C*04 variant, in a Taiwanese hematopoietic
stem cell donor.
AB - One nucleotide replacement at residue 511 of the HLA-C*04:01:01:01 results in a
novel allele, HLA-C*04:247.
PMID- 27891822
TI - Does radiotherapy still have a role in unresected biliary tract cancer?
AB - The benefits of radiotherapy for inoperable biliary tract cancer remain unclear
due to the lack of randomized data. We evaluated the impact of radiotherapy on
survival in elderly patients using the SEER-Medicare database. Patients in the
SEER-Medicare database with inoperable biliary tract tumors diagnosed between
1998 and 2011 were included. We used multivariate logistic regression to evaluate
factors associated with treatment selection, and multivariate Cox regression and
propensity score matching to evaluate treatment selection in relation to
subsequent survival. Of the 2343 patients included, 451 (19%) received
radiotherapy within 4 months of diagnosis. The use of radiotherapy declined over
time, and was influenced by receipt of chemotherapy and patient age, race,
marital status, poverty status, and tumor stage and type. Median survival was 9.3
(95% CI 8.7-9.7) months among patients who did not receive radiation and 10.0
(95% CI 9.1-11.3) months among those who received radiation, conditional on
having survived 4 months. In patients who received chemotherapy (n = 1053),
receipt of radiation was associated with improved survival, with an adjusted
hazard ratio of 0.82 (95% 0.70-0.97, P = 0.02). In patients who did not receive
chemotherapy (n = 1290), receipt of radiation was not associated with improved
survival, with an adjusted hazard ratio of 1.09 (95% 0.91-1.30, P = 0.34).
Propensity-scored matched analyses showed similar results. Despite the survival
benefit associated with the addition of radiotherapy to chemotherapy, the use of
radiation for unresectable biliary tract cancers has declined over time.
PMID- 27891823
TI - Marijuana use and inpatient outcomes among hospitalized patients: analysis of the
nationwide inpatient sample database.
AB - The purpose of this paper is to examine the relationship between marijuana use
and health outcomes among hospitalized patients, including those hospitalized
with a diagnosis of cancer. A total of 387,608 current marijuana users were
identified based on ICD-9 codes for marijuana use among hospitalized patients in
the Nationwide Inpatient Sample database between 2007 and 2011. Logistic
regression analysis was performed to determine the association between marijuana
use and heart failure, cardiac disease, stroke, and in-hospital mortality. All
models were adjusted for age, gender, race, residential income, insurance,
residential region, pain, and number of comorbidities. Among hospitalized
patients, marijuana use was associated with a 60% increased odds of stroke (OR:
1.60, 95% CI: 1.44-1.77) compared with non-users, but significantly reduced odds
of heart failure (OR: 0.78, 95% CI: 0.75-0.82), cardiac disease (OR: 0.86, 95%
CI: 0.82-0.91), or in-hospital mortality (OR: 0.41, 95% CI: 0.38-0.44). Among
cancer patients, odds of in-hospital mortality was significantly reduced among
marijuana users compared with non-users (OR: 0.44, 95% CI: 0.35-0.55).
Hospitalized marijuana users were more likely to experience a stroke compared
with non-users, but less likely to experience in-hospital mortality. Prospective
studies will be needed to better characterize the health effects of marijuana
use, especially among older, sicker, and/or hospitalized patients. In the
meantime, conversations regarding marijuana use/misuse may be warranted in the
clinical setting in order for patients and healthcare providers to adequately
weigh the anticipated benefits of marijuana use with potentially significant
health risks.
PMID- 27891824
TI - Lipidomic profiling of high-fat diet-induced obesity in mice: Importance of
cytochrome P450-derived fatty acid epoxides.
AB - OBJECTIVE: Enzymatic metabolism of polyunsaturated fatty acids leads to formation
of bioactive lipid metabolites (LMs). Previous studies have shown that obesity
leads to deregulation of LMs in adipose tissues. However, most previous studies
have focused on a single or limited number of LMs, and few systematical analyses
have been carried out. METHODS: A LC-MS/MS-based lipidomics approach was used,
which can analyze >100 LMs produced by cyclooxygenase, lipoxygenase, and
cytochrome P450 (CYP) enzymes, to analyze the profile of LMs in high-fat diet
induced obesity in mice. RESULTS: LC-MS/MS showed that high-fat feeding
significantly modulated profiles of LMs in adipose tissues. Among the three major
polyunsaturated fatty acid metabolizing pathways (cyclooxygenase, lipoxygenase,
and CYP), CYP-derived fatty acid epoxides were the most dramatically altered LMs.
Almost all types of fatty acid epoxides were reduced by 70% to 90% in adipose
tissues of high-fat diet-fed mice. Consistent with the reduced levels of fatty
acid epoxides, the gene expression of several CYP epoxygenases, including Cyp2j5,
Cyp2j6, and Cyp2c44, was significantly reduced in adipose tissues of high-fat
diet-fed mice. CONCLUSIONS: Results show that CYP-derived fatty acid epoxides are
the most responsive LMs in high-fat diet-induced obesity, suggesting that these
LMs could play critical roles in obesity.
PMID- 27891826
TI - CT brain perfusion: A static phantom study of contrast-to-noise ratio and
radiation dose.
AB - INTRODUCTION: Computed tomography perfusion (CTP) is increasingly employed in the
diagnosis and management of ischaemic stroke but radiation dose can be
significant and optimising contrast-to-noise ratio (CNR) is challenging. This
study aimed to quantify and optimise the balance between CNR as a surrogate for
image quality and radiation dose. METHODS: A perspex head phantom with vials of
dilute contrast agent was scanned using a Siemens Definition Flash 128-slice
scanner. The CTP protocol exposure parameters were adjusted over 70-120 kVp and
150-285 mAs. Measurements were obtained for the average dose per slice,
Hounsfield Units (HU) for iodinated contrast agent, and the image noise for
background regions of perspex. The CNR was measured as a function of the
volumetric CT dose index (CTDIvol) and kVp. RESULTS: A change from 120 to 80 kVp,
achieved the same CNR with 60% reduction in dose. Alternatively, for the same
dose, the change from 120 to 80 kVp improved CNR by +58%. A change from 80 to 70
kVp while operating at the same CNR, led to 13% reduction in dose. Alternatively,
maintaining the same dose while changing from 80 to 70 kVp improved the CNR by
+7%. CONCLUSION: Lower beam energies achieved the same CNR with less dose, or
improved CNR at the same dose. A reduction from 80 kVp to 70 kVp may be
clinically useful to optimise CTP acquisitions.
PMID- 27891825
TI - Iridium-Catalyzed Stereoselective Allylic Alkylation Reactions with Crotyl
Chloride.
AB - The development of the first enantio-, diastereo-, and regioselective iridium
catalyzed allylic alkylation reaction of prochiral enolates to form an all-carbon
quaternary stereogenic center with an aliphatic-substituted allylic electrophile
is disclosed. The reaction proceeds with good to excellent selectivity with a
range of substituted tetralone-derived nucleophiles furnishing products bearing a
newly formed vicinal tertiary and all-carbon quaternary stereodyad. The utility
of this protocol is further demonstrated via a number of synthetically diverse
product transformations.
PMID- 27891828
TI - Reducing calories, fat, saturated fat, and sodium in restaurant menu items:
Effects on consumer acceptance.
AB - OBJECTIVE: To assess consumer acceptance of reductions of calories, fat,
saturated fat, and sodium to current restaurant recipes. METHODS: Twenty-four
menu items, from six restaurant chains, were slightly modified and moderately
modified by reducing targeted ingredients. Restaurant customers (n = 1,838) were
recruited for a taste test and were blinded to the recipe version as well as the
purpose of the study. Overall consumer acceptance was measured using a 9-point
hedonic (like/dislike) scale, likelihood to purchase scale, Just-About-Right
(JAR) 5-point scale, penalty analysis, and alienation analysis. RESULTS: Overall,
modified recipes of 19 menu items were scored similar to (or better than) their
respective current versions. Eleven menu items were found to be acceptable in the
slightly modified recipe version, and eight menu items were found to be
acceptable in the moderately modified recipe version. Acceptable ingredient
modifications resulted in a reduction of up to 26% in calories and a reduction of
up to 31% in sodium per serving. CONCLUSIONS: The majority of restaurant menu
items with small reductions of calories, fat, saturated fat, and sodium were
acceptable. Given the frequency of eating foods away from home, these reductions
could be effective in creating dietary improvements for restaurant diners.
PMID- 27891827
TI - GPX3 methylation in bone marrow predicts adverse prognosis and leukemia
transformation in myelodysplastic syndrome.
AB - Epigenetic inactivation of GPX3 has been identified in various cancers including
leukemia. Moreover, aberrant DNA methylation was also found as a dominant
mechanism of disease progression in myelodysplastic syndrome (MDS). This study
intended to explore GPX3 promoter methylation and its clinical relevance in 110
patients with MDS. GPX3 methylation was examined by real-time quantitative
methylation-specific PCR (RQ-MSP) and bisulfite sequencing PCR (BSP). GPX3
methylation was identified in 15% (17/110) MDS patients, and significantly higher
than controls, and lower than acute myeloid leukemia (AML) patients (P = 0.024
and 0.041). GPX3 methylated patients had older age and higher frequency of DNMT3A
mutation (P = 0.015 and 0.066). Cases with GPX3 methylation showed significantly
shorter overall survival (OS) time than those with GPX3 unmethylation analyzed
with Kaplan-Meier analysis (P = 0.012). Moreover, Cox regression analysis
revealed that GPX3 methylation might act as an independent prognostic indicator
in MDS (HR = 1.847, P = 0.072). GPX3 methylation density was significantly
increased during the progression from MDS to secondary acute myeloid leukemia
(sAML) in three follow-up paired patients. Our study concludes that GPX3
methylation in bone marrow is associated with adverse prognosis and leukemia
transformation in MDS.
PMID- 27891829
TI - Prepregnancy obesity and the racial disparity in infant mortality.
AB - OBJECTIVE: To estimate the extent to which prepregnancy obesity explains the
Black-White disparity in stillbirth and infant mortality. METHODS: A population
based study of linked Pennsylvania birth-infant death certificates (2003-2011; n
= 1,055,359 births) and fetal death certificates (2006-2011; n = 3,102
stillbirths) for all singleton pregnancies in non-Hispanic (NH) White and NH
Black women was conducted. Inverse probability weighted regression was used to
estimate the role of prepregnancy obesity in explaining the race-infant/fetal
death association. RESULTS: Compared with NH White women, NH Black women were
more likely to have obesity (>=30 kg/m2 ) and experienced a higher rate of
stillbirth (8.3 vs. 3.6 stillbirths per 1,000 live-born and stillborn infants)
and infant death (8.5 vs. 3.0 infant deaths per 1,000 live births). When the
contribution of prepregnancy obesity was removed, the difference in risk between
NH Blacks and NH Whites decreased from 6.2 (95% CI: 5.6-6.7) to 5.5 (95% CI: 4.9
6.2) excess stillbirths per 1,000 and 5.8 (95% CI: 5.3-6.3) to 5.2 (95% CI: 4.7
5.7) excess infant deaths per 1,000. CONCLUSIONS: For every 10,000 live births in
Pennsylvania (2003-2011), 6 of the 61 excess infant deaths in NH Black women and
5 of the 44 excess stillbirths (2006-2011) were attributable to prepregnancy
obesity.
PMID- 27891830
TI - A randomized trial Examining The Impact Of Communicating Genetic And Lifestyle
Risks For Obesity.
AB - OBJECTIVE: Genetic testing for obesity is available directly to consumers, yet
little is understood about its behavioral impact and its added value to
nongenetic risk communication efforts based on lifestyle factors. METHODS: A
randomized trial examined the short-term impact of providing personalized obesity
risk information, using a 2 * 2 factorial design. Participants were recruited
from the Coriell Personalized Medicine Collaborative (CPMC) and randomized to
receive (1) no risk information (control), (2) genetic risk, (3) lifestyle risk,
or (4) combined genetic/lifestyle risks. Baseline and 3-month follow-up survey
data were collected. Analyses examined the impact of risk feedback on intentions
to lose weight and self-reported weight. RESULTS: A total of 696 participants
completed the study. A significant interaction effect was observed for genetic
and lifestyle information on intent to lose weight (P = 0.0150). Those who
received genetic risk alone had greater intentions at follow-up, compared with
controls (P = 0.0034). The impact of receiving elevated risk information on
intentions varied by source and combination of risks presented. Non-elevated
genetic risk did not lower intentions. No group differences were observed for
self-reported weight. CONCLUSIONS: Genetic risk information for obesity may add
value to lifestyle risk information depending on the context in which it is
presented.
PMID- 27891831
TI - Race, obesity, and birth outcomes: Unraveling a complex association to improve
maternal-child health.
PMID- 27891832
TI - Cortisol in human milk predicts child BMI.
AB - OBJECTIVE: Breastfeeding has been linked to lower rates of childhood obesity.
Human milk contains cortisol, known to regulate glucose storage and metabolism.
The aim of this study was to to test the hypothesis that early exposure to
cortisol in human breast milk helps to modulate infant body mass index (BMI)
trajectories over the first 2 years of life. METHODS: Growth curve modeling was
used to examine whether infant exposure to cortisol in human milk at 3 months
predicted changes in child body mass index percentile (BMIP) at 6, 12, and 24
months of age in 51 breastfeeding mother-child pairs. RESULTS: Infants exposed to
higher milk cortisol levels at 3 months were less likely to exhibit BMIP gains
over the first 2 years of life, compared with infants exposed to lower milk
cortisol. By age 2, infants exposed to higher milk cortisol levels had lower
BMIPs than infants exposed to lower milk cortisol. Milk cortisol was a stronger
predictor of BMIP change in girls than boys. CONCLUSIONS: Cortisol exposure
through human milk may help to program metabolic functioning and childhood
obesity risk. Further, because infant formula contains only trace amounts of
glucocorticoids, these findings suggest that cortisol in milk is a novel
biological pathway through which breastfeeding may protect against later obesity.
PMID- 27891837
TI - Corrigendum.
PMID- 27892208
TI - Campaign for fair pay is a fight for the NHS.
AB - NHS finances are in a dire state. Some would say now is not the time to argue for
a pay increase, but the RCN's reasons for asking the Pay Review Body to recommend
a 2017 pay award above the 1% cap are compelling.
PMID- 27892209
TI - How to develop your emotional resilience.
AB - Although physical or emotional abuse at work is never acceptable, many people can
be less aware of social niceties when they are unwell or stressed, so, sometimes
you may be on the receiving end of harsh comments from patients.
PMID- 27892210
TI - Domestic abuse: what you need to know.
AB - Despite increased recognition of domestic abuse in recent years, it often remains
hidden. While some healthcare professionals are aware of abuse and have a good
understanding of the difficulties in addressing the needs of those affected, this
is not always the case.
PMID- 27891833
TI - Metabolomics-guided insights on bariatric surgery versus behavioral interventions
for weight loss.
AB - OBJECTIVE: To review the metabolomic studies carried out so far to identify
metabolic markers associated with surgical and dietary treatments for weight loss
in subjects with obesity. METHODS: The Preferred Reporting Items for Systematic
Reviews and Meta-Analyses (PRISMA) guidelines were followed. RESULTS: Thirty-two
studies successfully met the eligibility criteria. The metabolic adaptations
shared by surgical and dietary interventions mirrored a state of starvation
ketoacidosis (increase of circulating ketone bodies), an increase of
acylcarnitines and fatty acid beta-oxidation, a decrease of specific amino acids
including branched-chain amino acids (BCAA) and (lyso)glycerophospholipids
previously associated with obesity, and adipose tissue expansion. The metabolic
footprint of bariatric procedures was specifically characterized by an increase
of bile acid circulating pools and a decrease of ceramide levels, a greater
perioperative decline in BCAA, and the rise of circulating serine and glycine,
mirroring glycemic control and inflammation improvement. In one study, 3
hydroxybutyrate was particularly identified as an early metabolic marker of long
term prognosis after surgery and proposed to increase current prognostic
modalities and contribute to personalized treatment. CONCLUSIONS: Metabolomics
helped in deciphering the metabolic response to weight loss treatments. Moving
from association to causation is the next challenge to move to a further level of
clinical application.
PMID- 27892211
TI - Student life - How to relay complex information to patients.
AB - Nurses play a vital role in helping patients understand what is happening to
them, so it is important that you get into the habit of using clear communication
and checking for understanding.
PMID- 27892212
TI - Loneliness could be a predictor for Alzheimer's disease.
AB - Lonely people have an increased risk of developing Alzheimer's disease because
they have high levels of the protein amyloid in the brain, suggest US
researchers.
PMID- 27892213
TI - Monitoring of medicines helps prevent adverse side effects.
AB - Nurse-led monitoring of medicines taken by people with mental health conditions
can prevent serious adverse side effects, reveals new research.
PMID- 27892214
TI - Education's 'leap into the dark' an unknown in effort to cut attrition.
AB - Drop-out rates among nursing students have been a concern for years. Nursing
Standard was first to reveal the scale of the problem a decade ago, when a
quarter of those who started their courses were not making it to the end. The
situation has barely improved since.
PMID- 27892216
TI - RCN Wales nurse of the year showed exceptional leadership in child health.
AB - A children's consultant nurse has been named RCN Wales nurse of the year for her
leadership and work to help a child with complex needs to attend a mainstream
school.
PMID- 27892217
TI - Significant adult weight gain can increase risk of cancer.
AB - Substantial weight gain over many years significantly increases the risk of
obesity-related cancers, say researchers from the University of Manchester and
The Health eResearch Centre.
PMID- 27892219
TI - Supply and training issues hit district nurse numbers.
AB - District nurse numbers are declining in more than half the trusts that employ
them.
PMID- 27892220
TI - Government sets 'ambitious' target to cut student attrition.
AB - High numbers of students dropping out of nursing courses have been a concern in
the profession for decades. But efforts to reverse the trend are now underway,
with the government instructing Health Education England (HEE) to reduce
'avoidable' drop-out rates by 50% by 2017.
PMID- 27892221
TI - Nurse shortage in care homes 'critical'.
AB - The care home sector in Scotland is facing an 'intolerable' shortage of nurses,
with one in four nursing posts vacant, according to a new report.
PMID- 27892222
TI - Diluting hospital nursing skill mix 'not in the public interest'.
AB - Implementing policies to dilute hospital nursing skill mix should be treated with
caution because it can have life-threatening consequences for patients, say
researchers.
PMID- 27892223
TI - HEE redefines nursing associate responsibilities.
AB - Nursing associates will only be able to administer medicines if suitably trained
and competent, in settings where it is deemed appropriate, and will be guided by
employer medicines management policies, according to Health Education England
(HEE).
PMID- 27892224
TI - Good hand hygiene is essential.
AB - During a second-year placement on a 25-bed medical ward, I attended a ward round
with a medical consultant and his team, to observe how they assessed patients and
decided on a care plan. The team was made up of seven staff members, including
four junior doctors. They saw about 13 patients on our ward before heading to
other wards.
PMID- 27892229
TI - Unison Scotland Duty of Care.
AB - Unison Scotland has launched an app that allows nurses and midwifes to register
concerns about care in Scotland's hospitals.
PMID- 27892230
TI - Clinical academic careers: embracing the art and science of nursing.
AB - Clinical academics make a unique contribution to health research and scholarship
by undertaking practice-focused research that offers direct benefits to patient
care. The Florence Nightingale Foundation supports the development of research
skills in nursing and midwifery through its scholarships and by establishing a
network of chairs in clinical nursing practice research. The Florence Nightingale
Foundation also provides leadership scholarships to deans and aspiring deans of
university faculties of health. It is from these perspectives that the case is
made for investment in clinical academic roles and the development of career
pathways that embrace the art and science of nursing.
PMID- 27892226
TI - An overview of the management of patients with chronic pancreatitis.
AB - Chronic pancreatitis is a complex inflammatory condition characterised by
irreversible damage to the pancreas. This article explores the pathophysiology of
this condition and its effects on pancreatic function. It outlines the causes and
presenting features of chronic pancreatitis, as well as its effect on patients'
quality of life and the changes to their lifestyle that are likely to be
required. Chronic pancreatitis cannot be cured; therefore, treatment aims to
control pain, manage problems associated with malabsorption, and assess and
manage long-term complications that may develop, such as insulin dependence.
PMID- 27892231
TI - Politicians should try working a 12 hour shift.
AB - In response to the online story, RCN calls government response to pay petition
'completely inadequate', I challenge any politician to work for 12 hours, which
is the length of most front-line shifts.
PMID- 27892232
TI - Teething.
AB - Essential facts Teething is a normal physiological process when a baby's first
set of teeth emerge through the gums. Most babies grow their first tooth at some
point during their first year. Babies can experience teething differently, with
some showing signs of distress.
PMID- 27892233
TI - A new job in a new country.
AB - Nurses hoping to uproot and work overseas will find attractive packages in
Australia and the Middle East, with particular opportunities for short-term
relocation to Dubai.
PMID- 27892234
TI - Substituting nurses puts lives at risk, study finds.
AB - Replacing professionally qualified nurses with lower-skilled nursing assistants
is linked to a heightened risk of patient death, a new study has shown.
PMID- 27892235
TI - Shout louder about poor pay.
AB - It seems increasingly clear to me that the NHS pay campaign is not making waves
either in the NHS or with the public.
PMID- 27892236
TI - 1 in 100 babies: the fetal alcohol spectrum disorder pathway.
AB - Alcohol consumed during pregnancy is the nation's leading preventable cause of
developmental disabilities and birth defects. One in 100 babies is estimated to
be born with alcohol-related damage, according to the World Health Organization.
Fetal alcohol spectrum disorders (FASDs) are more common than autism but are
under-diagnosed.
PMID- 27892237
TI - 'Every family going through trauma in PICU deserves a nurse like Fiona'.
AB - It is hard to sum up in a few words what makes nurse consultant Fiona Lynch so
utterly exceptional.
PMID- 27892240
TI - Domestic violence advisers should be introduced at every hospital, says charity.
AB - Introducing specialist domestic abuse advisers in hospitals would help nurses
provide better support to victims, a charity says.
PMID- 27892241
TI - Can I tell you a secret?'
AB - As a general nursing student the question I dreaded hearing was 'am I dying
nurse?'. Thankfully I can't recall being asked it, but like many of my peers I
worried about such situations, for which I felt poorly prepared.
PMID- 27892242
TI - Women who have 'non-lump' breast cancer symptoms delay seeking medical advice.
AB - About one in six women diagnosed with breast cancer go to their doctor with a
symptom other than a lump, say researchers from University College London who
studied the data of more than 2,300 women diagnosed with breast cancer in England
in 2009-10.
PMID- 27892244
TI - Parents who lack social confidence have shy babies.
AB - Babies whose parents feel uncomfortable in social situations are less interested
in other people, a study suggests.
PMID- 27892245
TI - A minister's average pay is nearly three times that of a nurse.
AB - The government argues that nurses' pay is already above the national average and
that many of us receive pay progression increments.
PMID- 27892246
TI - Support nurses in adversity.
AB - A few weeks ago an intrepid band of RCN members walked the 96 miles of the West
Highland Way to raise funds for the RCN Foundation.
PMID- 27892247
TI - Family satisfaction with patient care in critical care units in Pakistan: a
descriptive cross-sectional study.
AB - AIM: To assess family satisfaction with care provided to patients in critical
care units in Islamabad. METHOD: A descriptive cross-sectional study was
conducted in 11 medical and surgical critical care units at two private hospitals
in Islamabad, Pakistan. The purposive sample consisted of 323 immediate family
members and other relatives and friends (referred to as family members in this
article) of 323 patients admitted to the critical care units for at least 24
hours. The revised Critical Care Family Satisfaction Survey was used for data
collection. Descriptive statistics were used for data analysis. FINDINGS: A total
of 149/323 (46%) family members were 'very satisfied' with the honesty (openness)
of staff in explaining the patient's condition, and 137/323 (42%) family members
were 'very satisfied' with the nurses' availability to speak to them. A total of
143/323 (44%) family members were 'satisfied' with the honesty (openness) of
staff in explaining the patient's condition, and 131/323 (41%) were 'satisfied'
with the nurses' availability to speak to them. A few family members (21/323, 6%)
were 'very dissatisfied' with the flexibility of the visiting hours and a few
(20/323, 6%) were 'very dissatisfied' with the noise level in the critical care
units. Some family members (38/323, 12%) were 'not satisfied' with the
flexibility of the visiting hours, and some (18/323, 6%) were 'not satisfied'
with the noise level in the critical care units. CONCLUSION: The majority of
family members (244/323, 75%) were 'satisfied' or 'very satisfied' that their
relatives' needs were being met in the critical care units. However, qualitative
data indicate that most family members wanted greater involvement in decision
making. These findings should be considered by staff working in critical care
settings to ensure high-quality patient care.
PMID- 27892248
TI - Human immunodeficiency virus.
AB - What was the nature of the CPD activity, practice-related feedback and/or event
and/or experience in your practice? The CPD article discussed the importance of
human immunodeficiency virus (HIV) testing and diagnosing the condition as early
as possible, so that antiretroviral treatment can be initiated and patient
outcomes improved.
PMID- 27892249
TI - Should I lend a student one of my projects?
AB - Helping a student is to be applauded and there is nothing legally or ethically
wrong with what you propose, but there is an issue with potential plagiarism,
whether intentional or not.
PMID- 27892250
TI - Offshore nurse.
AB - A former deep sea fisherman is setting sail for a new nursing role ensuring
offshore oil and gas workers stay safe.
PMID- 27892251
TI - Readers' panel - Is the 1% pay cap for NHS staff destroying nurses' morale?
AB - Our experts consider a hot topic of the day.
PMID- 27892252
TI - 'Be bolder and more tenacious'.
AB - Diana Greenfield graduated in 1988 from Bristol Polytechnic. She began work in
oncology in 2001 at Sheffield Teaching Hospitals NHS Foundation Trust, where she
set up the collaborative late effects of cancer treatment research group. In 2009
she took on her present role as nurse consultant and clinical lead for the late
effects clinical service. She lives in Sheffield and has two sons, aged 18 and
21.
PMID- 27892253
TI - It's good to talk.
AB - Can we get a move on, sister?' the patient asked anxiously. She had come for a
preoperative assessment, a lengthy process involving several stages. 'I have to
catch the bus back to Little Widdling-on-the-Marsh at three o'clock and there's
only one a week. If I miss it, I'm done for.'
PMID- 27892254
TI - Resilience is key to good leadership.
AB - As the dust settles in the US, and the world comes to terms with Donald Trump
being the US president-elect, my thoughts are with Hillary Clinton.
PMID- 27892396
TI - A topography of free kicks in soccer.
AB - This study investigates the spatial relationship of performance variables for
soccer free kicks. In order to suggest ways in which players might optimise their
performance, we collected data from free kicks (<35 m to goal line) of two German
Bundesliga seasons (2013/14, 2014/15) (n = 1624). In the analysis, we applied the
ISO-map approach using colour gradients to visualise the mean values of a
variable on a 2D-map of the pitch. Additionally, variograms were used to describe
the degree of spatial dependence of the free kick variables. Results show that
DENSITY, TYPE OF PLAY, PLAYERS IN WALL, DISTANCE TO WALL and RULE VIOLATION were
strongly spatially dependent. Centrality and proximity to the goal increased the
variables PLAYERS IN WALL, RULE VIOLATIONS and INTERRUPTION TIME, and the ratio
of goals scored increased from 5.9% (central far) to 10.9% (central near). In
70.9% of the shots, players preferred a switched laterality, which did not result
in a higher success rate. Furthermore, there was no statistical advantage for the
defensive team when DISTANCE TO WALL was below 9.15 m or when there was a RULE
VIOLATION. Crosses had a success rate (i.e., first controlled ball contact after
the cross) of 20.8%. Played with natural laterality, they were 5% more successful
than with switched laterality. Crosses from the right side outside the penalty
box were 10% more successful than from the left side. Therefore, it might be
worthwhile practising the defence of balls coming from this side.
PMID- 27892397
TI - Science and medicine in football: progress & evolution.
PMID- 27892398
TI - Therapy-resistant septic olecranon bursitis due to Mycobacterium gordonae.
AB - INTRODUCTION: Septic olecranon bursitis due to atypical mycobacteria is rare. An
insidious beginning can delay diagnosis and treatment. Antibacterial therapy
recommendations are not well-defined for bursitis caused by atypical
mycobacteria. We present a rare case of olecranon bursitis caused by
Mycobacterium gordonae, reporting our experiences regarding pathogen
identification and antibiotic therapy, which differs from regimes used in common
septic bursitis mostly caused by staphylococcus aureus. METHODS: A 35-year-old
male with bursitis olecrani received open bursectomy. Microbiological culture did
not reveal bacteria. Due to wound healing complications revision surgery was
performed four weeks postoperatively. Finally, Mycobacterium gordonae was
identified by PCR and an antibiogram could be developed. A triple antimicrobial
combination therapy with Rifampicin, Clarithromycin, and Ethambutol was
administered systemically for 12 months. The patient was followed-up for 24
months. RESULTS: After the second operation with pathogen identification and
antibiotic combination therapy the wound healed without any additional
complications. At last follow-up 24 months after the first surgery with
bursectomy and 23 months after revision surgery with debridement, the patient was
still pain free with no significant clinical findings or tenderness to touch at
the operation site. Elbow range of motion was full. DISCUSSION: As septic
bursitis can be caused by many different and sometimes rare and difficult to
identify bacteria, intraoperative probes should be taken and histopathological
and microbiological analysis should be conducted, including PCR. In a young man
with olecranon bursitis due to Mycobacterium gordonae surgical treatment and an
antibiotic combination therapy showed a good clinical outcome after one and two
years.
PMID- 27892399
TI - A suture technique for easier reduction and repair of bucket-handle meniscal
tears while using the all-inside devices.
AB - Arthroscopic repair of bucket-handle meniscal tears is difficult due to their
complex pathology. Many meniscal repair techniques such as all-inside, inside
out, and outside-in have been described for the treatment of these tears. Loss of
reduction is a likely complication with the use of new-generation, all-inside
suture instruments, as the tip of the needle is extracted following advancement
of the first implant behind the capsule. The complication may be encountered
quite often and renders the use of the meniscus repair instrument unusable and
causes an irreparable iatrogenic injury in the meniscus. The application of a
simpler and more efficient technique is necessary until surgical experience is
gained. The aim of this study was to define a new, simpler, and more efficient
combination of suturing method in the treatment of bucket-handle meniscal repairs
and minimize the rate of complications which may be caused by this technique.
PMID- 27892400
TI - Increase in CD4+ T-Cell Count at the Time of HIV Diagnosis and Antiretroviral
Treatment Initiation Among Persons With HIV in New York City.
AB - BACKGROUND: Trends in CD4+ T-cell count at human immunodeficiency virus (HIV)
infection diagnosis and antiretroviral therapy (ART) initiation can be
characterized using laboratory tests from surveillance. METHODS: We used CD4+ T
cell counts and viral loads from New York City for persons who received a
diagnosis of HIV infection during 2006-2012. RESULTS: From 2006 to 2012, the
median CD4+ T-cell count increased from 325 to 379 cells/uL at diagnosis and from
178 to 360 cells/MUL at ART initiation. CD4+ T-cell counts were consistently
lower in women, blacks, Hispanics, persons who inject drugs, and heterosexuals.
DISCUSSION: Increases in CD4+ T-cell count at diagnosis and ART initiation
suggest that the time from HIV infection to ART initiation has been reduced
substantially in New York City.
PMID- 27892401
TI - Xu et al (J Infect Dis 2013; 208:528-38).
PMID- 27892407
TI - Metabolomics, nutrition and why epidemiology matters.
PMID- 27892408
TI - Over-density of population in cities [A letter to the Scientific American, 1879].
PMID- 27892409
TI - Data Resource Profile: Danish online drug use statistics (MEDSTAT).
PMID- 27892410
TI - Short-term NO2 exposure is associated with long-chain fatty acids in prospective
cohorts from Augsburg, Germany: results from an analysis of 138 metabolites and
three exposures.
AB - BACKGROUND: Short-term exposure to air pollution is associated with morbidity and
mortality. Metabolites are intermediaries in biochemical processes, and
associations between air pollution and metabolites can yield unique mechanistic
insights. METHODS: We used independent cross-sectional samples with targeted
metabolomics (138 metabolites across five metabolite classes) from three cohort
studies, each a part of the Cooperative Health Research in the Region of Augsburg
(KORA). The KORA cohorts are numbered (1 to 4) according to which survey they
belong to, and lettered S or F according to whether the survey was a baseline or
follow-up survey. KORA F4 (N = 3044) served as our discovery cohort, with KORA S4
(N = 485) serving as the primary replication cohort. KORA F4 and KORA S4 were
primarily fasting cohorts. We used the non-fasting KORA F3 (N = 377) cohort to
evaluate replicated associations in non-fasting individuals, and we performed a
random effects meta-analysis of all three cohorts. Associations between the 0-4
day lags and the 5-day average of particulate matter (PM)2.5, NO2 and ozone were
modelled via generalized additive models. All air pollution exposures were scaled
to the interquartile range, and effect estimates presented as percent changes
relative to the geometric mean of the metabolite concentration (DeltaGM).
RESULTS: There were 10 discovery cohort associations, of which seven were
lysophosphatidylcholines (LPCs); NO2 was the most ubiquitous exposure (5/10). The
5-day average NO2-LPC(28:0) association was associated at a Bonferroni corrected
P-value threshold (P < 1.2x10-4) in KORA F4 [DeltaGM = 11.5%; 95% confidence
interval (CI) = 6.60, 16.3], and replicated (P < 0.05) in KORA S4 (DeltaGM =
21.0%; CI = 4.56, 37.5). This association was not observed in the non-fasting
KORA F3 cohort (DeltaGM = -5.96%; CI = -26.3, 14.3), but remained in the random
effects meta-analysis (DeltaGM = 10.6%; CI = 0.16, 21). CONCLUSIONS: LPCs are
associated with short-term exposure to air pollutants, in particular NO2 Further
research is needed to understand the effect of nutritional/fasting status on
these associations and the causal mechanisms linking air pollution exposure and
metabolite profiles.
PMID- 27892412
TI - Commentary: Mendelian randomization analysis identifies circulating vitamin D as
a causal risk factor for ovarian cancer.
PMID- 27892413
TI - Association between low resting heart rate and violent behaviour.
PMID- 27892411
TI - Metabolic signatures of birthweight in 18 288 adolescents and adults.
AB - BACKGROUND: Lower birthweight is associated with increased susceptibility to
cardiometabolic diseases in adulthood, but the underlying molecular pathways are
incompletely understood. We examined associations of birthweight with a
comprehensive metabolic profile measured in adolescents and adults. METHODS: High
throughput nuclear magnetic resonance metabolomics and biochemical assays were
used to quantify 87 circulating metabolic measures in seven cohorts from Finland
and the UK, comprising altogether 18 288 individuals (mean age 26 years, range 15
75). Metabolic associations with birthweight were assessed by linear regression
models adjusted for sex, gestational age and age at blood sampling. The metabolic
associations with birthweight were compared with the corresponding associations
with adult body mass index (BMI). RESULTS: Lower birthweight adjusted for
gestational age was adversely associated with cardiometabolic biomarkers,
including lipoprotein subclasses, fatty acids, amino acids and markers of
inflammation and impaired liver function (P < 0.0015 for 46 measures).
Associations were consistent across cohorts with different ages at metabolic
profiling, but the magnitudes were weak. The pattern of metabolic deviations
associated with lower birthweight resembled the metabolic signature of higher
adult BMI (R2 = 0.77) assessed at the same time as the metabolic profiling. The
resemblance indicated that 1 kg lower birthweight is associated with similar
metabolic aberrations as caused by 0.92 units higher BMI in adulthood.
CONCLUSIONS: Lower birthweight adjusted for gestational age is associated with
adverse biomarker aberrations across multiple metabolic pathways. Coherent
metabolic signatures between lower birthweight and higher adult adiposity suggest
that shared molecular pathways may potentially underpin the metabolic deviations.
However, the magnitudes of metabolic associations with birthweight are modest in
comparison to the effects of adiposity, implying that birthweight is only a weak
indicator of the metabolic risk profile in adulthood.
PMID- 27892414
TI - Heart rate reactivity and antisocial behaviour.
PMID- 27892415
TI - Re: Asbestos and product defence science.
PMID- 27892416
TI - Asbestos and product defence science. Response to: Boffetta and La Vecchia.
PMID- 27892419
TI - eHealth: From unfulfilled promises to large-scale application.
PMID- 27892420
TI - eHealth in cardiovascular medicine: A clinical update.
AB - Demographic changes, progress in medicine technology and regional problems in
providing healthcare to low density populations are posing great challenges to
our healthcare systems. Rapid progress in computer sciences and information
technologies have a great impact on the way healthcare will be delivered in the
near future. This article describes opportunities and challenges of eHealth and
telemedicine in the framework of our health systems and, in particular, in the
context of today's cardiology services. The most promising applications of
eHealth and telemedicine include: (a) prevention and lifestyle interventions; (b)
chronic disease management including hypertension, diabetes and heart failure;
(c) arrhythmia detection including early detection of atrial fibrillation and
telemonitoring of devices such as pacemaker, internal cardioverter defibrillators
and implantable rhythm monitoring devices; (d) telerehabilitation. Major
obstacles to the integration of eHealth and telemedicine into daily clinical
practice include limited large-scale evidence, in particular, for cost
effectiveness, as well as lack of interoperability, inadequate or fragmented
legal frameworks and lack of reimbursement. An important challenge for those
involved in these new technologies will be to keep the main focus on patient's
individual needs and to carefully evaluate the evidence behind the practice.
PMID- 27892421
TI - Screening for atrial fibrillation during influenza vaccinations by primary care
nurses using a smartphone electrocardiograph (iECG): A feasibility study.
AB - BACKGROUND: People with unknown atrial fibrillation (AF), who are often
asymptomatic, have a substantially increased risk of stroke. Although recommended
in European guidelines, AF screening is not routinely performed. Screening at the
time of influenza vaccination presents an ideal opportunity to detect AF in large
numbers in a primary care medical setting, with an existing annual recall system
for patients aged >=65 years. DESIGN: Cross-sectional pilot study of handheld
smartphone electrocardiogram (iECG) screening to identify unknown AF. METHODS:
General practices in Sydney, Australia, were recruited during the influenza
vaccination period of April-June 2015. Practice nurses screened patients aged
>=65 years with a 30-second iECG, which has a validated algorithm for detecting
AF in real time. In order to confirm the accuracy of the algorithm, two research
cardiologists reviewed de-identified iECGs. In order to explore barriers and
enablers, semi-structured interviews were conducted with selected nurses,
practice managers and general practitioners. RESULTS: Five general practices were
recruited, and 973/2476 (39%) patients attending influenza vaccination were
screened. Screening took an average of 5 minutes (range 1.5-10 minutes); however,
abnormal iECGs required additional time. Newly identified AF was found in 8/973
patients (0.8%). The sensitivity of the iECG automated algorithm was 95% (95%
confidence interval: 83-99%) and the specificity was 99% (95% confidence
interval: 98-100%). Screening by practice nurses was well accepted by practice
staff. Key enablers were the confidence and competence of nurses and a
'designated champion' to lead screening at the practice. Barriers were practice
specific, and mainly related to staff time and funding. CONCLUSIONS: Screening
with iECG during influenza vaccination by primary care nurses is feasible and
well accepted by practice staff. Addressing barriers is likely to increase
uptake.
PMID- 27892422
TI - Prognostic value of serial six-minute walk tests using tele-accelerometry in
patients with chronic heart failure: A pre-specified sub-study of the TIM-HF
Trial.
AB - BACKGROUND: The six-minute walk test (6MWT) is an established functional test
assessing exercise capacity and is used to predict clinical prognosis in patients
with chronic heart failure (HF). Tele-accelerometry is a novel approach to
activity monitoring using telemedical data transfer and allows a Tele-6MWT to be
performed in an outpatient setting. It offers patients the option of performing
simple serial follow-up tests in their own home. AIMS: The aim of this study was
to investigate the prognostic value of serial Tele-6MWTs using tele-accelerometry
in patients with HF. DESIGN/METHODS: In this proof-of-concept study, 155 patients
with HF completed the Tele-6MWT in an outdoor setting once per month over a
period of 0.25-21 months. We analysed the differences in the number of steps over
time to predict hospitalization as a result of HF or death. RESULTS: Patients
with at least one event (n = 31) recorded a lower number of steps and a shorter
distance in Tele-6MWT at baseline compared with patients who remained event-free
(n = 124) (540.1 +/- 78.4 steps vs. 601.8 +/- 76.7 steps, P < 0.001 respectively;
353.2 +/- 82.4 m vs. 418.8 +/- 95.6 m, P < 0.001). Patients (n = 19) who
performed more than one Tele-6MWT prior to a clinical event showed no significant
difference in the number of steps, regardless of whether the baseline test was
compared with the last Tele-6MWT before the event or with the last two tests
before the event. CONCLUSION: Tele-6MWT has a high predictive value with respect
to hospitalization as a result of HF or death from any cause and the results were
comparable with the prognostic impact of a conventional 6MWT. Therefore Tele-6MWT
may be used as alternative test method in the home environment. However, there is
no added prognostic value of repeating Tele-6MWTs on a monthly basis.
PMID- 27892424
TI - The Invention of Nature: The Adventures of Alexander von Humboldt, the Lost Hero
of Science (UK). The Invention of Nature: Alexander von Humboldt's New World
(USA). - By Andrea Wulf.
PMID- 27892423
TI - A EUropean study on effectiveness and sustainability of current Cardiac
Rehabilitation programmes in the Elderly: Design of the EU-CaRE randomised
controlled trial.
AB - BACKGROUND: Cardiac rehabilitation (CR) is an evidence-based intervention to
increase survival and quality of life. Yet studies consistently show that elderly
patients are less frequently referred to CR, show less uptake and more often drop
out of CR programmes. DESIGN: The European study on effectiveness and
sustainability of current cardiac rehabilitation programmes in the elderly (EU
CaRE) project consists of an observational study and an open prospective,
investigator-initiated multicentre randomised controlled trial (RCT) involving
mobile telemonitoring guided CR (mCR). OBJECTIVE: The aim of EU-CaRE is to map
the efficiency of current CR of the elderly in Europe, and to investigate whether
mCR is an effective alternative in terms of efficacy, adherence and
sustainability. METHODS AND RESULTS: The EU-CaRE study includes patients aged 65
years or older with ischaemic heart disease or who have undergone heart valve
surgery. A total of 1760 patients participating in existing CR programmes in
eight regions of Europe will be included. Of patients declining regular CR, 238
will be included in the RCT and randomised in two study arms. The experimental
group (mCR) will receive a personalised home-based programme while the control
group will receive no advice or coaching throughout the study period. Outcomes
will be assessed after the end of CR and at 12 months follow-up. The primary
outcome is VO2peak and secondary outcomes include variables describing CR uptake,
adherence, efficacy and sustainability. CONCLUSION: The study will provide
important information to improve CR in the elderly. The EU-CaRE RCT is the first
European multicentre study of mCR as an alternative for elderly patients not
attending usual CR.
PMID- 27892425
TI - Natural Kinds and Classification in Scientific Practice. - Edited by Catherine
Kendig.
PMID- 27892431
TI - Childhood Injury Prevention in Post-epidemiological Transition in Nepal.
PMID- 27892432
TI - Reliability and Validity of the Nepali Wolf Motor Function Test following Cross
cultural Adaptation.
AB - Background The Wolf motor function test is a standardized, reliable and valid
performance-based measure, which evaluates upper-extremity function. Neither
there is any evidence of neurological measure in Nepali nor has this tool been
cross-culturally adapted to date. Objective To cross-culturally translate and
evaluate reliability and validity of Nepali Wolf motor function test. Method Two
forward-translators translated the original version into Nepali. After
synthesizing, two back- translators translated it into English. The expert
committee consolidated and derived a final Nepali version. Two assessors
pretested on three participants to confirm that the original concept of the tool
is preserved. The agreement and correlation between back-translators were
evaluated. The inter- and intra-rater reliability and agreement of two
physiotherapists on eight participants were demonstrated through intra-class
correlation- coefficient and weighted-kappa for time and functional ability
respectively. Criterion validity was explored against Fugl- Meyer assessment
scale. Result A cross-culturally adapted Nepali Wolf motor function test was
pretested and ensured that the Nepali version was still retaining its equivalence
(rho: 0.74 to 1.0 for time, 0.82 to 1.0 for functional ability). Good-to-
excellent inter- and intra-rater reliability {intra-class-correlation
coefficient(2,1) and (3,1): 0.80 to 1.0 and 0.81 to 1.0 respectively} were
demonstrated. Excellent agreement (kappa 0.90, p <0.00) and good correlation (rho
0.57 to 1.0) between back-translators were found. An adequate criterion validity
(rho -0.95 for time, 0.91 for functional ability) against Fugl-Meyer assessment
scale has been demonstrated. Conclusion A cross-culturally adapted Nepali Wolf
motor function test, preserving its original concept, was developed, and the
reliability and validity of the tool on individuals with stroke has been
demonstrated.
PMID- 27892433
TI - Efficacy of Prophylactic B-Lynch Suture during Lower Segment Caesarian Section in
High Risk Patients for Atonic Postpartum Haemorrhage.
AB - Background Postpartum Haemorrhage causes significant maternal mortality and
morbidity all over the world. Active management of the third stage of labour with
oxytocics is come into practice. If postpartum haemorrhage is anticipated
conservative surgical procedures like B-lynch suture is being applied. Objective
To evaluate the effect of elective B-Lynch suture in preventing atonic postpartum
haemorrhage during emergency caesarian section with high risk factors for
atonicity. Method Forty antenatal women undergoing emergency caesarean section
with risk factors for atony of the uterus were selected in our study. Thirty five
women were selected before starting Lower segment caesarian section with risk
factors like general anaesthesia, chorio-amnionitis, preeclampsia, prolonged
labour, second stage arrest, multiple gestation and use of magnesium sulphate.
Five women were selected during the caesarean section where uterus remained
atonic despite of post delivery oxytocics. Before the closure of uterus B-Lynch
suture was applied. Need for additional intervention or use of blood transfusion
was evaluated. The results were analysed by using appropriate statistical method.
Result Seventy five percent of patients were in the age group of 18 to 23 years.
Ninety percent were nulliparous and ten percent were parous women. Risk factors
involved were eclampsia in 20/40 (50%), multiple gestation in 6/40 (15%),
hydramnios in 6/40 (15%) and prolonged second stage of labour in 4/40 (10%)
patients. Other risk factors were Chorio-amnionitis in 2/40 (5%) patients and use
of magnesium sulphate in 2/40 (5%) patients causing uterine atony. The average
haemoglobin level was 9.8 gms/dl. Pre and post operative haemoglobin levels
differed by 0.4 to 1.8 gms/dl. There were no complications during the procedure
and at three months follow up. All women resumed normal menstruation within 40
days after delivery. Conclusion Elective B-Lynch suture is cheap, quick and
effective in preventing atonic Postpartum Haemorrhage in women undergoing
emergency lower segment caesarian section who are at high risk for haemorrhage.
PMID- 27892434
TI - Clinicomorphological Spectrum of Ovarian Cystic Lesions.
AB - Background Ovarian cysts, which present as neoplastic and non-neoplastic lesions,
are the most common gynaecological cause of hospital admissions. Early diagnosis
is difficult due to asymptomatic nature. Clinical, radiological and gross
examination alone cannot distinguish benign from malignant lesions, hence,
histopathological examination is important for diagnostic, therapeutic and
prognostic approach. Objective The objective of the study is to analyze the
spectrum of ovarian cystic lesions with their clinico-morphorgical features.
Method This is a prospective study done in between July 2014 and July 2015 in
Dhulikhel Hospital-Kathmandu University Hospital. Clinical data of patients were
obtained from hospital records and requisition submitted along with the tissue
specimens received in the department. Result A total 84 cases of ovarian cystic
lesions were studied. Among these, 47 (55.9%) were non-neoplastic lesions, 33
(39.3%) were benign neoplasms, two (2.4%) were borderline and two (2.4%) were
malignant neoplasms. The most common nonneoplastic lesions were follicular cysts,
26 (55.3%) followed by simple cysts 14 (29.8%), hemorrhagic cysts five (10.6%)
and corpus luteal cysts two (4.3%). Among all neoplasms, 19 (51.4%) were mature
cystic teratoma followed by 10 (27.0%) cases of mucinous cystadenoma and four
(10.8%) cases of serous cystadenoma. Between two (5.4%) malignant cases, one was
immature cystic teratoma and the other was mucinous cystadenocarcinoma. Besides
these, two (5.4%) cases of borderline mucinous cystadenoma were also present.
Conclusion Ovarian cystic lesions are difficult to categorize on the basis of
clinical and radiological findings. Histopathological examination plays a
significant role to differentiate benign lesion from malignant as well as for the
proper management.
PMID- 27892436
TI - Study of Depression, Anxiety and Stress among the Medical Students in two Medical
Colleges of Nepal.
AB - Background Medical education is intended to prepare graduates for a promoting
health and caring for the sick. Medical students are confronted with significant
academic, psychological and existential stressors. There is insufficient
information regarding psychological morbidity among Nepalese medical students.
Objective To determine the prevalence of depression, anxiety and stress, among
the medical students in Nepal, and its association with sociodemographic
characteristics. Method A cross-sectional questionnaire based study was conducted
including all students from first to fifth year of student using convenience
method of sampling from Kathmandu University Medical School (KUSMS), Dhulikhel
and Manipal College of Medical Sciences (MCOMS), Pokhara, Nepal. Depression,
Anxiety and stress were assessed using Depression Anxiety and Stress Scale
(DASS). Additional questions regarding demographic variables were also included
in the survey. Data analysis was done on Statistical Package for the Social
Sciences SPSS version 16. Result A total of 538 students participated in the
study giving a response rate of 89.6%. Aamong them 56.5% were from age group 21
25 years, 42.2% were below 20 years and only 1.3% were above 25 years of age.
Among them 52% were female and 48% were male. Our study found that the overall
prevalence of depression was 29.9%, anxiety was 41.1% and stress was 27% among
all participated medical students. Depression was significantly associated (OR
2.23, 95% CI 1.43-3.47, p<0.001) with living condition (living in hostel or
rented house). Conclusion The higher level of psychiatric morbidity depression
29.9%, anxiety 41.1% and stress 27% among undergraduate medical students warrants
needs for strategic plans to alleviate depression anxiety and the stressors right
from the time they join medical school and has to be continued till they finish
the course.
PMID- 27892435
TI - Awareness-Knowledge and Practices of Dental Waste Management among Private
Practitioners.
AB - Background Dental wastes are materials that have been utilized in dental clinics,
which are no longer wanted for use and therefore discarded. Improper disposal of
these dental wastes can cause harm to the dentist, the people in immediate
vicinity of the dentist. Objective The present study was conducted to assess the
knowledge and practices regarding Dental waste management among private
practitioners. Method The study population included 186 private practitioners in
three districts of Karnataka (Coorg, Mysore, Hassan), south India. A pre-tested
self-administered questionnaire was distributed to assess the knowledge and
practices regarding dental waste management. Descriptive statistics was used to
summarize the results. The data will be statistically analyzed using chi-square
test, correlation. Result Out of 186 study subjects, 71(38%) were females and
115(62%) were males, Chisquare analysis showed highly significant association
between qualification of the study participants and their knowledge, practice of
dental waste management. A statistically significant (0.0001) correlation was
found between the knowledge and practice scores. Conclusion There was a lacunae
of knowledge regarding proper biomedical waste disposal among the participants.
In order to fill this vacuum CDE (Continuing Dental Education) programs have to
be conducted in pursuance to maintain health of the community.
PMID- 27892437
TI - Blood Requisition and Utilization Practice in Surgical Patients in a Teaching
Hospital, Western Nepal.
AB - Background In surgical patients transfusion of blood is often a life-saving
measure. Preoperative over-ordering of blood is very common and leads to holding
up of the blood bank reserve and wastage of resources. Objective The main
objective of this study was to evaluate the practice of cross-match and
utilization of blood for general surgeries in a teaching hospital of Nepal, to
identify the surgical procedures where type and screen can be introduced and to
formulate a maximum surgical blood-order schedule for those procedures where a
complete cross-match appears mandatory. Method Three hundred and eighty-eight
patients of different general surgical procedures over a period of one year were
evaluated. Blood units cross matched and units transfused intra-operative and
post-operatively were recorded. Blood utilization was evaluated using the
following indices: cross-matched to transfused ratio, transfusion probability and
transfusion index. The maximum surgical blood-order schedule was calculated using
Mead's criterion. Result Of the 601 blood units arranged for 388 patients, only
108 units were transfused in 81 patients. The cumulative non-utilisation of cross
matched blood was 82%. Based on these data, the maximum surgical blood-order
schedule was calculated for seven common surgical procedures where cross-matching
was justified. Conclusion Unwarranted cross-matching of blood is done in most
procedures, especially cholecystectomies, hernia operations, breast surgeries,
skin grafting, thyroidectomies etc. where a group and screen is adequate.
Implementation of the recommended maximum surgical blood-order schedule and
introduction of type and screen for eligible surgical procedures is a safe,
effective and economic solution.
PMID- 27892438
TI - Comparison of Initial Response of Nebulized Salbutamol and Adrenaline in Infants
and young Children Admitted with Acute Bronchiolitis.
AB - Background Acute bronchiolitis is common cause of hospitalization in infants and
young children. There are widespread variations in the diagnosis and management.
Despite the use of bronchodilators for decades, there is lack of consensus for
the benefit of one above another. Objective To compare initial response of
nebulized adrenaline and salbutamol. Method Children aged two months to two years
admitted with acute bronchiolitis in the department of Paediatrics of Manipal
teaching hospital, Pokhara, Nepal, from 1st March 2014 to 28th February 2015 were
enrolled. Patients fulfilling inclusion criteria received either adrenaline or
salbutamol nebulization. Data were collected in a predesigned proforma.
Respiratory distress assessment instrument (RDAI) scores were considered primary
outcome measure and respiratory rate at 48 hours, duration of hospital stay,
requirement of supplemental oxygen and intravenous fluid were considered
secondary outcome measure. Result A total of 40 patients were enrolled in each
study group. Mean RDAI scores at admission was in 9.75 with (CI- 9.01, 10.49) in
adrenaline and 9.77 (CI- 9.05, 10.50) in salbutamol group. There was gradual
decline in mean RDAI scores in both the groups over 48 hours to 4.15 (CI-
3.57,4.73) and 4.13 (CI- 3.69,4.56) in adrenaline and salbutamol group
respectively. Hospital stay was 5.32 days in adrenaline and 5.68 days in
salbutamol group. Patients nebulized with adrenaline required oxygen for 33.30
hours compared with 36.45 hours in salbutamol. Intravenous fluid duration was
also less in adrenaline group compared to salbutamol group (33.15 vs 37.80
hours). Conclusion Patients of acute bronchiolitis nebulized with either
salbutamol or adrenaline experienced similar decline in RDAI scores in the first
48 hours. Duration of supplementary oxygen and intravenous fluid was less in
adrenaline group compared with salbutamol group.
PMID- 27892439
TI - Lichen Planus Pigmentosus: A study for association of Thyroid Dysfunction.
AB - Background Lichen planus pigmentosus (LPP) is considered a chronic and
progressive variant of lichen planus. Although pigmentation occurs in the sun
exposed areas, the etiology remains unknown and there are no appropriate
treatment guidelines. Association with thyroid disorder has been described in
various disorders of pigmentation. Objective The objective of this study was to
find the association between LPP and thyroid dysfunction. Method A total of 54
clinically diagnosed cases of LPP and 54 age and sex matched healthy control
volunteers were included in this case control study. Thyroid function test and
thyroid peroxidase antibody were analysed to determine the probable association
between thyroid diseases and LPP. Result Seventeen (31.7%) patients had
biochemical evidence of hypothyroidism and 3 had hyperthyroidism among the
diseased group. Among the control group two persons were tested positive for
hypothyroidism (c2 = 0.34; p <0.05). Similarly, the levels of thyroid peroxidase
antibody in the LPP patients were found to be significantly higher than those of
controls (p <0.05). Conclusion Thyroid disorder was found to be an associated
factor in LPP. Hence, we recommend routine thyroid function tests in patients
with LPP. Further research is warranted among large number of patients to
elucidate the exact association.
PMID- 27892440
TI - Urinary Tract Infection in Asymptomatic Newborns with Prolonged Unconjugated
Hyperbilirubunemia: A Hospital based Observational study from Western Region of
Nepal.
AB - Background Urine culture is usually not a part of work-up for neonatal
unconjugated hyperbilirubinemia; hence its prevalence remains unknown. Objective
This study was done to determine the incidence of urinary tract infection (UTI)
in asymptomatic newborns with prolonged unconjugated hyperbilirubinemia and to
evaluate which other laboratory parameters are associated with UTIs. Method A
prospective observational study where jaundiced newborns otherwise clinically
well, were evaluated for UTI. The study was carried out in neonatal intensive
care unit of Manipal Teaching Hospital, Pokhara from June 2012 -April 2013. The
babies were divided in two groups group I- late prolonged jaundice and Group II -
early physiological jaundice. Serum bilirubin, Septic screening and suprapubic
urine sample analysis was performed for all subjects. Data was analyzed using
SPSS version 16 and p 7lt; 0.05 was considered statistically significant. Result
Of the 85 neonates, 33(38.8%) were females and 52(61.2%) males; 68(80%) were of
term gestation and 17(20%) were preterms. The age at onset of jaundice for group
I (n=53) was 13.6+/-4.88 days and for Group II (n= 32) was 5.0+/- 1.04 days. 11
/85 (12.9%) were diagnosed to have UTI [10 (90.9%) in group I and 1 in group II
(9.01%] (p=0.04). UTI was more prevalent in group I [OR 7.20, 95% CI (0.87,
59.27)], more prevalent in male [OR 8.40, 95% CI (0.59, 74.13) and term babies of
group I [OR 4.39, 95% CI (0.48, 39.82) when compared to Group II. Among other lab
parameters only total WBC count was statistically significant (p=0.03).
Escherichia coli was the predominant pathogen (45.45%) isolated. The sensitive
antibiotics were aminoglycosides, fluroquinolones, nitrofurantoin and vancomycin
and resistant antibiotics were most cephalosporins and penicillins for the
isolated organisms. Conclusion The present study highlights significant
association between late prolonged unconjugated hyperbilirubinemia and UTI. It is
suggested that evaluation for UTI may be considered as a screening test for such
cases.
PMID- 27892441
TI - A Prospective Study of Commonly Prescribed Drugs in the Management of Neuropathic
Pain and its Medication Adherence Pattern.
AB - Background Neuropathic pain is one of the common complains of patients visiting
neurology and orthopedic departments in hospitals. Management of neuropathic pain
is difficult and is often symptomatic rather than being curative. Adherence to
medication is necessary for pain management to be effective. However, there are
various factors related to patient, physician, drug regimen and other socio
economic affecting adherence. Objective To study commonly prescribed drugs in
neuropathic pain management and the medication adherence pattern including its
associated factors. Method Patients already diagnosed as neuropathic pain were
interviewed using structured questionnaire and data entered in Microsoft Office
Excel 2007. Informed consent was taken from the patients. Result Among the 84
patients in the study, 69% were females. Majority 53.6% of patients had low back
pain as cause of neuropathic pain. Anticonvulsants were mostly prescribed (75%)
followed by non-steroidal anti-inflammatory drugs (52.4%) and Methylcobalamin
(47.6%). More than 50% (n=49) patients were not adherent to the prescribed
medication and majority (61.2%) of them were housewives. Significant association
was observed between patient's adherence to gender, occupation, polypharmacy,
drug regimen, cost and availability of medicine. Conclusion Anticonvulsants were
commonly prescribed drugs in patients with neuropathic pain. Neuropathic pain was
seen more in females with low back pain. Majority of patients were non-adherent
and forgetfulness was the major reason for missing dose in them.
PMID- 27892442
TI - Retrospective study of HIV infection in Anti Retroviral Treatment center of
Mahendranagar, Nepal.
AB - Background Being the most backward region, The Far Western Development Region has
high illiteracy rate, low socioeconomic status and high migration rate
contributing the progression of epidemiological status of Human Immunodeficiency
Virus (HIV) towards generalized form. Objective To study the demographic profile
of the HIV positive patients, along with their CD4 status and tuberculosis during
diagnosis. Method A retrospective descriptive study carried out from May 2006 to
July 2012 in 271 HIV patients registered in HIV clinic of Mahakali Zonal
Hospital, Mahendranagar. Result Among 271 patients enrolled, 48.30% (131) were
male and 51.7% (140) were females. Thirty seven (13.7%) were less than 15 years
and 76.3% (207) were of age 16-45 years. 38.7% were household workers by
occupation and 34.50% were involved in agriculture and 3.70%were migrant labour.
At the time of presentation, 22.4% (60) were stage I according to WHO staging and
18.5% (50), 58.5 (158) and 0.7% (2) were of stage II, III and IV respectively.
Similarly, 33.0% (89) had more than 350 CD4 count at the time of presentation.
20.8% (56) of patients were infected with tuberculosis. Among 236 families, 2 or
more members were found to be affected in 24 families. In most of the cases,
female were diagnosed first followed by male in the families, where both the
couple were infected. Conclusion Most of the HIV infected patients were of
productive age group. Majority of patients were uneducated and Tuberculosis was
found to be common opportunistic infections associated with HIV infection.
PMID- 27892443
TI - Medication Adherence Pattern and Factors affecting Adherence in Helicobacter
Pylori Eradication Therapy.
AB - Background Helicobacter pylori (H. pylori) infection is the most common chronic
bacterial infection worldwide affecting approximately half of the world's
population. A number of screening tests as well as complex multi-drug therapies
are available for the detection and treatment of H. pylori infection. However,
the optimum eradication rates of H. pylori infection can only be achieved if
adherence to drug therapy is higher. Therefore, it is of utmost importance to
determine the factors leading to poor adherence to obtain successful treatment
outcomes. Objective To determine the medication adherence pattern in patients
with H. pylori infection and assess the factors associated with non-adherence to
the prescribed drug therapy. Method Patients meeting the inclusion criteria who
were confirmed as H. pylori positive by rapid urease test (histopathology) and/
or stool antigen test and those under H. pylori eradication therapy were
considered. Informed consent was taken from the patients or from the patient
party in incapacitated patients. They were then interviewed using structured
questionnaire. Statistical analysis was done using SPSS version 20 and a p-value
< 0.05 was considered as statistically significant. Result Among the 70
participants included in this study, 57.10% (n=40) of them were males. The mean
(+/-SD) age of the patients was 42.36 years (+/-17.93). Higher number (85.70%
(n=60)) of the patients were adherent to the recommended medication.
Forgetfulness was the reason for missing dose in a majority (80% (n=8)) of the
nonadherent patients. A highly significant association (p<0.05) was observed
between adherence and absence of symptomatic relief. However, there was no
statistically significant association (p>0.05) between patients' adherence to
gender, age, literacy, and the prescribed treatment regimen. Conclusion Majority
of the patients with H. pylori infection were adherent to medication.
Forgetfulness was the major reason for missing dose in the non-adherent patients.
PMID- 27892444
TI - Mortality Patterns among Hospital Deaths.
AB - Background Death occurring in hospital is medically certified by attending
physicians with cause of death. In developing countries such as Nepal, limited
resources are available related to the pattern of deaths that occur in hospitals,
so that the health priorities can be selected wisely. Objective The objective of
this study was to determine the pattern, frequency and causes of inpatient
mortality in hospital. Method This was a retrospective study of all deaths
occurred at the Dhulikhel Hospital, Kathmandu University Hospital, Dhulikhel from
1st January 2012 to 31st December 2013. There were 247 deaths and for each case,
medical records were reviewed. Result There were 26,836 patients admitted during
the study period and 247 (0.90%) of them died in hospital. Males accounted for
42.92% (n=106) deaths and female 57.08% (n=141). The average length of stay
before death were less than 5 days (n=152). The majority of deaths were in
Medicine department 52.64% (n=130) followed by Pediatrics 32.38% (n=80). Majority
of deaths were at the extremes of life so that less than one month 12.95% (n=32)
and over 60 years 36.84% (n=91). The main cause of death was respiratory disease
38.87% (n=96), followed by infectious 20.64% (n=51) and hepatobiliary disease
16.19% (n=40). Conclusion Registration data on causes for deaths occurring in
hospitals require periodic validation prior to their use for epidemiological
research or public health policy. Procedures for death certification and coding
of underlying causes of death need to be streamlined to improve reliability of
registration data. Estimation of cause-specific mortality from this research will
inform the burden of disease and guide to intervene and reduce avoidable
mortality in hospitals.
PMID- 27892445
TI - Drainage versus no Drainage after Elective Laparoscopic Cholecystectomy.
AB - Background Laparoscopic cholecystectomy is the gold standard for the surgical
treatment of cholelithiasis. Routine drainage after elective LC is an issue of
considerable debate. Objective To evaluate the advantages and disadvantages of
drainage in patients undergoing Laparoscopic cholecystectomy. Method The study
was conducted at the department of surgery in Nepalgunj Medical College and
Teaching Hospital, Kohalpur between March 2013 and May 2014. During the period of
study, sixty patients underwent laparoscopic cholecystectomy for symptomatic gall
stone. Sixty patients were randomized before surgical procedure into two groups.
Group A consisted 30 patients in whom a drain was placed in subhepatic space and
group B consisted 30 patients without drain. Postoperative pain was assessed
using a 10-point visual analog scale. The two groups were evaluated and compared
regarding postoperative pain, the time needed for surgery, length of
postoperative hospital stay and the incidence of postoperative complications.
Result The mean operative time in group A was 6.16 minutes longer when compared
with group B (p>0.05). Although the postoperative mean pain score was same at 6
hours after surgery in both groups (7.53 vs 7.23), the postoperative pain was
higher in the group A by more than two points on the average in VAS (visual
analogue score) at 24 hours and 48 hours. The proportion of the patients staying
in the hospital for more than two days was higher in group A, 14 (46.66%) and 8
(26.66%) in group B (p < 0.05). There was no statistical difference in the rate
of wound infections, shoulder pain, nausea, vomiting, and respiratory infections
between the two groups. Conclusion The routine drainage of gallbladder bed after
elective laparoscopic cholecystectomy may not be justified and appears to cause
more postoperative pain and more postoperative complications and prolongs the
hospital stay.
PMID- 27892446
TI - Assessment of Nutritional Status of Children Under Five years of age in rural
Nepal.
AB - Background Nutritional status of children is one of the major predictors of child
survival. However, malnutrition is a major public health problem in most of the
developing countries and occurs prominently among under-five children. In context
of Nepal, nearly 37% children are suffering from underweight, 41% from stunting
and 11% are suffering from wasting. These children are at a substantially greater
risk of severe acute malnutrition and death. Objective The objective of the study
was to assess the nutritional status of children under five years of age and to
find the factors associated with malnutrition. Method A cross-sectional study was
conducted in Dolakha and Kavre districts of Nepal for assessing the nutritional
status of under-five children and associated factors. A total of 243 under five
children were included from two purposively selected village development
committees (VDCs) i.e. one from each district. Statistical Package for the Social
Sciences (SPSS) 22 Version and ENA Software Version 2011 were used for analyzing
the data. Result Out of 243 children, according to WHO based on weight for height
assessment, 17 (7.0%) were wasted, in height for age analysis, 97 (39.9%) were
stunted and in weight for age assessment, 46 (18.9%) were underweight. Conclusion
In the study population, there is high prevalence of malnutrition, especially
stunting among under-five. Taking into account weight, height, age, and mid upper
arm circumference (MUAC) measurements of malnourished children more than
threefifths of them were found below -2SD and nearly one-fourths below -3SD which
needs intervention.
PMID- 27892447
TI - A Study on Variations of Nutrient Foramen of Humerus with its Clinical
Implications.
AB - Background Nutrient foramen is an opening into shaft of humerus which gives
passage to the blood vessels of medullary cavity. The knowledge of nutrient
foramen is important in surgical procedures like bone grafting and more recently
in microsurgical vascularized bone transplantation. Objective To determine the
number, location and direction of nutrient foramen and whether the nutrient
foramens obey the rule of ossification, that is directed away from the growing
end of the bone or not. Method The present study consisted of 253 (108 right and
145 left) dried humeri excluding any fracture or pathological abnormalities
during the period of 15th December 2014 - 14th February 2015. Number and
direction of nutrient foramen was observed in each humerus. Location of nutrient
foramen in relation with surfaces and zones of humeri was determined. Result It
has been observed that 60.87% of the humeri had a single nutrient foramen, 28.85%
double foramen, 6.32% triple foramen and 1.98% of humeri had four nutrient
foramina where as 1.98% humeri did not have any nutrient foramina. It was
concluded that the majority (88.86%) of the nutrient foramina were present on the
antero-medial surface, 6.52% on the anterolateral surface and 4.62% on the
posterior surface of the shaft of humeri. It was also concluded that most
(94.84%) of the foramina present in the zone II followed by zone III (4.62%) then
by zone I (0.54%). All foramina were directed toward the lower end of humeri.
Conclusion By knowing the number and location of the nutrient foramina in humerus
would be useful in preventing intra-operative injury of nutrient artery during
orthopedic, plastic and reconstructive surgery and will also be relevant in
medico legal practice.
PMID- 27892448
TI - Acute Subperiosteal Hematoma of the Orbit with Visual Impairment: An
Unconventional Presentation.
AB - Acute subperiosteal hematoma of orbit is a rare condition and its presentation
with rapid severe diminution of vision is even rarest. Urgent intervention is
required for these patients presenting with visual compromise. Needle aspiration
is safe and simple procedure for management of such hematoma provided the patient
presents early and does not have any associated complications. We present one
such rare case highlighting the importance of timely diagnosis and urgent
management to overcome functional complications in acute subperiosteal hematoma.
To best of our knowledge this is the first pediatric case presenting with acute
subperiosteal hematoma accompanied by severely diminished vision within few hours
of trauma.
PMID- 27892449
TI - Otorrhagia and Nosebleed as first signs of Intravascular Absorption Syndrome
During Hysteroscopy: From Bench to Bedside.
AB - Hysteroscopic surgery is indicated for the treatment of several intrauterine
diseases. The surgeon needs to be aware of, and know how to prevent, possible
complications related to these procedures. In the case of operative hysteroscopy,
the systemic effects of low-viscosity fluid uptake must be considered in order to
prevent the complications in the patient. We report on two unusual clinical signs
of intravascular absorption syndrome (IAS) that developed during an operative
hysteroscopy with glycine 1.5% as the fluid of distension. Based on our
experience, we recommend that practitioners reduce operating times, monitor fluid
balances, check electrolytes and kinetic heart rates, and monitor for symptoms
including otorrhagia and nosebleed, in order to identify and possibly prevent IAS
due to an overload of low-viscosity fluids.
PMID- 27892450
TI - Orthognathic Surgery for the Correction of Severe Skeletal Class III
Malocclusion.
AB - Skeletal Malocclusions results from the abnormal position of maxilla and mandible
in relation with cranial base. These types of malocclusion are commonly treated
by orthodontic teeth movement known as camouflage orthodontics. However severe
skeletal malocclusions cannot be treated by orthodontics alone. Such cases need
surgical intervention to align the position of the jaw along with orthodontic
correction. This procedure is commonly known as Orthognathic Surgery.
Orthognathic Surgery dates back to early eighteenth century but became popular on
mid twentieth century. Though the prevalence of skeletal malocclusion is more
than 1% the treatment facility was not available in Nepal till 2012. Here we
present a case of Skeletal Class III malocclusion treated at Dhulikhel Hospital,
Kathmandu University Hospital. For this case, double jaw surgery was performed by
le-Fort I osteotomy and Bilateral Sagital Split Osteotomy. Orthognathic surgery
has been routinely performed at this centre since then.
PMID- 27892451
TI - Upregulation of (C-X-C motif) Ligand 13 (CXCL13) Attenuates Morphine Analgesia in
Rats with Cancer-Induced Bone Pain.
AB - BACKGROUNDThe aim of this study was to investigate the role of chemokine (C-X-C
motif) ligand 13 (CXCL13) in morphine tolerance in rats with cancer-induced bone
pain (CIBP).MATERIAL AND METHODSWe established a rat CIBP model and a rat CIBP
morphine tolerance (BM) model. BM rats were intrathecally administered rmCXCL13,
neutralizing anti-CXCL13, and normal saline, while the control group rats
underwent a sham operation and were injected with normal saline. The morphine
analgesia was assessed by measuring mechanical withdrawal threshold (MWT) and
mechanical withdrawal duration (MWD) at various time points. The co-expressions
of CXCL13 and NeuN were measured by immunofluorescence double-staining. CXCL13
protein and mRNA expressions were detected by Western blot and quantitative real
time polymerase chain reaction (RT-qPCR), respectively.RESULTSCompared to the
sham-operation (S) group, the BM group showed obviously decreased MWT and
increased MWD on Day 9 after CIBP, but obviously increased MWT and decreased MWD
on Day 3 after morphine administration; subsequently, the MWT was decreased and
MWD was increased (all P<0.05). In comparison with the S+saline group, increased
MWT and decreased MWD were observed in BM rats on Day 3 after anti-CXCL13
administration, and obviously decreased MWT and increased MWD were found in BM
rats on Day 3 after rmCXCL13 administration (all P<0.05).CONCLUSIONSUp-regulated
CXCL13 has a negative role in morphine analgesia in relief of CIBP, which may
provide a new target for the management of CIBP.
PMID- 27892452
TI - The Perfect Glass Paradigm: Disordered Hyperuniform Glasses Down to Absolute
Zero.
AB - Rapid cooling of liquids below a certain temperature range can result in a
transition to glassy states. The traditional understanding of glasses includes
their thermodynamic metastability with respect to crystals. However, here we
present specific examples of interactions that eliminate the possibilities of
crystalline and quasicrystalline phases, while creating mechanically stable
amorphous glasses down to absolute zero temperature. We show that this can be
accomplished by introducing a new ideal state of matter called a "perfect glass".
A perfect glass represents a soft-interaction analog of the maximally random
jammed (MRJ) packings of hard particles. These latter states can be regarded as
the epitome of a glass since they are out of equilibrium, maximally disordered,
hyperuniform, mechanically rigid with infinite bulk and shear moduli, and can
never crystallize due to configuration-space trapping. Our model perfect glass
utilizes two-, three-, and four-body soft interactions while simultaneously
retaining the salient attributes of the MRJ state. These models constitute a
theoretical proof of concept for perfect glasses and broaden our fundamental
understanding of glass physics. A novel feature of equilibrium systems of
identical particles interacting with the perfect-glass potential at positive
temperature is that they have a non-relativistic speed of sound that is infinite.
PMID- 27892453
TI - Topological magnetoplasmon.
AB - Classical wave fields are real-valued, ensuring the wave states at opposite
frequencies and momenta to be inherently identical. Such a particle-hole symmetry
can open up new possibilities for topological phenomena in classical systems.
Here we show that the historically studied two-dimensional (2D) magnetoplasmon,
which bears gapped bulk states and gapless one-way edge states near-zero
frequency, is topologically analogous to the 2D topological p+ip superconductor
with chiral Majorana edge states and zero modes. We further predict a new type of
one-way edge magnetoplasmon at the interface of opposite magnetic domains, and
demonstrate the existence of zero-frequency modes bounded at the peripheries of a
hollow disk. These findings can be readily verified in experiment, and can
greatly enrich the topological phases in bosonic and classical systems.
PMID- 27892454
TI - Miniature optical planar camera based on a wide-angle metasurface doublet
corrected for monochromatic aberrations.
AB - Optical metasurfaces are two-dimensional arrays of nano-scatterers that modify
optical wavefronts at subwavelength spatial resolution. They are poised to
revolutionize optics by enabling complex low-cost systems where multiple
metasurfaces are lithographically stacked and integrated with electronics. For
imaging applications, metasurface stacks can perform sophisticated image
corrections and can be directly integrated with image sensors. Here we
demonstrate this concept with a miniature flat camera integrating a monolithic
metasurface lens doublet corrected for monochromatic aberrations, and an image
sensor. The doublet lens, which acts as a fisheye photographic objective, has a
small f-number of 0.9, an angle-of-view larger than 60 degrees * 60 degrees ,
and operates at 850 nm wavelength with 70% focusing efficiency. The camera
exhibits nearly diffraction-limited image quality, which indicates the potential
of this technology in the development of optical systems for microscopy,
photography, and computer vision.
PMID- 27892455
TI - Selective suppression of antisense transcription by Set2-mediated H3K36
methylation.
AB - Maintenance of a regular chromatin structure over the coding regions of genes
occurs co-transcriptionally via the 'chromatin resetting' pathway. One of the
central players in this pathway is the histone methyltransferase Set2. Here we
show that the loss of Set2 in yeast, Saccharomyces cerevisiae, results in
transcription initiation of antisense RNAs embedded within body of protein-coding
genes. These RNAs are distinct from the previously identified non-coding RNAs and
cover 11% of the yeast genome. These RNA species have been named Set2-repressed
antisense transcripts (SRATs) since the co-transcriptional addition of the H3K36
methyl mark by Set2 over their start sites results in their suppression.
Interestingly, loss of chromatin resetting factor Set2 or the subsequent
production of SRATs does not affect the abundance of the sense transcripts. This
difference in transcriptional outcomes of overlapping transcripts due to a strand
independent addition of H3K36 methylation is a key regulatory feature of
interleaved transcriptomes.
PMID- 27892456
TI - IL-12 protects from psoriasiform skin inflammation.
AB - Neutralization of the common p40-subunit of IL-12/23 in psoriasis patients has
led to a breakthrough in the management of moderate to severe disease. Aside from
neutralizing IL-23, which is thought to be responsible for the curative effect,
anti-p40 therapy also interferes with IL-12 signalling and type 1 immunity. Here
we dissect the individual contribution of these two cytokines to the formation of
psoriatic lesions and understand the effect of therapeutic co-targeting of IL-12
and IL-23 in psoriasis. Using a preclinical model for psoriatic plaque formation
we show that IL-12, in contrast to IL-23, has a regulatory function by
restraining the invasion of an IL-17-committed gammadeltaT (gammadeltaT17) cell
subset. We discover that IL-12 receptor signalling in keratinocytes initiates a
protective transcriptional programme that limits skin inflammation, suggesting
that collateral targeting of IL-12 by anti-p40 monoclonal antibodies is
counterproductive in the therapy of psoriasis.
PMID- 27892459
TI - Borane catalysed ring opening and closing cascades of furans leading to silicon
functionalized synthetic intermediates.
AB - The conversion of renewable biomass resources to synthetically valuable chemicals
is highly desirable, but remains a formidable challenge in regards to the
substrate scope and reaction conditions. Here we present the development of
tris(pentafluorophenyl)borane-catalysed conversion of furans via ring-opening and
closing cascade processes to afford silicon-functionalized synthetic chemicals
under transition metal-free conditions. The furan ring-opening with hydrosilanes
is highly efficient (TON up to 2,000) and atom-economical without forming any
byproduct to give rise to alpha-silyloxy-(Z)-alkenyl silanes. Additional
equivalents of silane smoothly induce a subsequent B(C6F5)3-catalysed cyclization
of initially formed olefinic silane compounds to produce anti-(2
alkyl)cyclopropyl silanes, another versatile synthon being potentially applicable
in the synthesis of natural products and pharmacophores.
PMID- 27892457
TI - Amplification of USP13 drives ovarian cancer metabolism.
AB - Dysregulated energetic metabolism has been recently identified as a hallmark of
cancer. Although mutations in metabolic enzymes hardwire metabolism to
tumourigenesis, they are relatively infrequent in ovarian cancer. More often,
cancer metabolism is re-engineered by altered abundance and activity of the
metabolic enzymes. Here we identify ubiquitin-specific peptidase 13 (USP13) as a
master regulator that drives ovarian cancer metabolism. USP13 specifically
deubiquitinates and thus upregulates ATP citrate lyase and oxoglutarate
dehydrogenase, two key enzymes that determine mitochondrial respiration,
glutaminolysis and fatty acid synthesis. The USP13 gene is co-amplified with
PIK3CA in 29.3% of high-grade serous ovarian cancers and its overexpression is
significantly associated with poor clinical outcome. Inhibiting USP13 remarkably
suppresses ovarian tumour progression and sensitizes tumour cells to the
treatment of PI3K/AKT inhibitor. Our results reveal an important metabolism
centric role of USP13, which may lead to potential therapeutics targeting USP13
in ovarian cancers.
PMID- 27892460
TI - Superstrength of nanograined steel with nanoscale intermetallic precipitates
transformed from shock-compressed martensitic steel.
AB - An increasing number of industrial applications need superstrength steels. It is
known that refined grains and nanoscale precipitates can increase strength. The
hardest martensitic steel reported to date is C0.8 steel, whose nanohardness can
reach 11.9 GPa through incremental interstitial solid solution strengthening.
Here we report a nanograined (NG) steel dispersed with nanoscale precipitates
which has an extraordinarily high hardness of 19.1 GPa. The NG steel (shock
compressed Armox 500T steel) was obtained under these conditions: high strain
rate of 1.2 MUs-1, high temperature rise rate of 600 KMUs-1 and high pressure of
17 GPa. The mean grain size achieved was 39 nm and reinforcing precipitates were
indexed in the NG steel. The strength of the NG steel is expected to be ~3950
MPa. The discovery of the NG steel offers a general pathway for designing new
advanced steel materials with exceptional hardness and excellent strength.
PMID- 27892458
TI - Modulation of mRNA and lncRNA expression dynamics by the Set2-Rpd3S pathway.
AB - H3K36 methylation by Set2 targets Rpd3S histone deacetylase to transcribed
regions of mRNA genes, repressing internal cryptic promoters and slowing
elongation. Here we explore the function of this pathway by analysing
transcription in yeast undergoing a series of carbon source shifts. Approximately
80 mRNA genes show increased induction upon SET2 deletion. A majority of these
promoters have overlapping lncRNA transcription that targets H3K36me3 and
deacetylation by Rpd3S to the mRNA promoter. We previously reported a similar
mechanism for H3K4me2-mediated repression via recruitment of the Set3C histone
deacetylase. Here we show that the distance between an mRNA and overlapping
lncRNA promoter determines whether Set2-Rpd3S or Set3C represses. This analysis
also reveals many previously unreported cryptic ncRNAs induced by specific carbon
sources, showing that cryptic promoters can be environmentally regulated.
Therefore, in addition to repression of cryptic transcription and modulation of
elongation, H3K36 methylation maintains optimal expression dynamics of many mRNAs
and ncRNAs.
PMID- 27892463
TI - Reconfigurable exciton-plasmon interconversion for nanophotonic circuits.
AB - The recent challenges for improving the operation speed of nanoelectronics have
motivated research on manipulating light in on-chip integrated circuits. Hybrid
plasmonic waveguides with low-dimensional semiconductors, including quantum dots
and quantum wells, are a promising platform for realizing sub-diffraction limited
optical components. Meanwhile, two-dimensional transition metal dichalcogenides
(TMDs) have received broad interest in optoelectronics owing to tightly bound
excitons at room temperature, strong light-matter and exciton-plasmon
interactions, available top-down wafer-scale integration, and band-gap
tunability. Here, we demonstrate principal functionalities for on-chip optical
communications via reconfigurable exciton-plasmon interconversions in ~200-nm
diameter Ag-nanowires overlapping onto TMD transistors. By varying device
configurations for each operation purpose, three active components for optical
communications are realized: field-effect exciton transistors with a channel
length of ~32 MUm, field-effect exciton multiplexers transmitting multiple
signals through a single NW and electrical detectors of propagating plasmons with
a high On/Off ratio of~190. Our results illustrate the unique merits of two
dimensional semiconductors for constructing reconfigurable device architectures
in integrated nanophotonic circuits.
PMID- 27892462
TI - Unraveling the Planar-Globular Transition in Gold Nanoclusters through
Evolutionary Search.
AB - Au nanoclusters are of technological relevance for catalysis, photonics, sensors,
and of fundamental scientific interest owing to planar to globular structural
transformation at an anomalously high number of atoms i.e. in the range 12-14.
The nature and causes of this transition remain a mystery. In order to unravel
this conundrum, high throughput density functional theory (DFT) calculations,
coupled with a global structural optimization scheme based on a modified genetic
algorithm (GA) are conducted. More than 20,000 Au12, Au13, and Au14 nanoclusters
are evaluated. With any DFT functional, globular and planar structures coexist
across the size range of interest. The planar-globular transition is gradual at
room temperature rather than a sharp transition as previously believed. The
effects of anionicity, s-d band hybridization and long range interactions on the
dimensional transition are quantified by using the structures adjacent to the
minima. Anionicity marginally changes the relative stability of the clusters. The
degree of s-d hybridization is varied via changing the Hubbard U value which
corroborate that s-d hybridization alone does not stabilize planar structures.
van der Waals interactions, on the other hand, stabilize globular structures.
These results elucidate the balance between the different reasons of the
dimensional transition in gold nanoclusters.
PMID- 27892461
TI - Liver-specific ATP-citrate lyase inhibition by bempedoic acid decreases LDL-C and
attenuates atherosclerosis.
AB - Despite widespread use of statins to reduce low-density lipoprotein cholesterol
(LDL-C) and associated atherosclerotic cardiovascular risk, many patients do not
achieve sufficient LDL-C lowering due to muscle-related side effects, indicating
novel treatment strategies are required. Bempedoic acid (ETC-1002) is a small
molecule intended to lower LDL-C in hypercholesterolemic patients, and has been
previously shown to modulate both ATP-citrate lyase (ACL) and AMP-activated
protein kinase (AMPK) activity in rodents. However, its mechanism for LDL-C
lowering, efficacy in models of atherosclerosis and relevance in humans are
unknown. Here we show that ETC-1002 is a prodrug that requires activation by very
long-chain acyl-CoA synthetase-1 (ACSVL1) to modulate both targets, and that
inhibition of ACL leads to LDL receptor upregulation, decreased LDL-C and
attenuation of atherosclerosis, independently of AMPK. Furthermore, we
demonstrate that the absence of ACSVL1 in skeletal muscle provides a mechanistic
basis for ETC-1002 to potentially avoid the myotoxicity associated with statin
therapy.
PMID- 27892466
TI - Direct evidence for microbial-derived soil organic matter formation and its
ecophysiological controls.
AB - Soil organic matter (SOM) and the carbon and nutrients therein drive fundamental
submicron- to global-scale biogeochemical processes and influence carbon-climate
feedbacks. Consensus is emerging that microbial materials are an important
constituent of stable SOM, and new conceptual and quantitative SOM models are
rapidly incorporating this view. However, direct evidence demonstrating that
microbial residues account for the chemistry, stability and abundance of SOM is
still lacking. Further, emerging models emphasize the stabilization of microbial
derived SOM by abiotic mechanisms, while the effects of microbial physiology on
microbial residue production remain unclear. Here we provide the first direct
evidence that soil microbes produce chemically diverse, stable SOM. We show that
SOM accumulation is driven by distinct microbial communities more so than clay
mineralogy, where microbial-derived SOM accumulation is greatest in soils with
higher fungal abundances and more efficient microbial biomass production.
PMID- 27892464
TI - Wnt5a induces renal AQP2 expression by activating calcineurin signalling pathway.
AB - Heritable nephrogenic diabetes insipidus (NDI) is characterized by defective
urine concentration mechanisms in the kidney, which are mainly caused by loss-of
function mutations in the vasopressin type 2 receptor. For the treatment of
heritable NDI, novel strategies that bypass the defective vasopressin type 2
receptor are required to activate the aquaporin-2 (AQP2) water channel. Here we
show that Wnt5a regulates AQP2 protein expression, phosphorylation and
trafficking, suggesting that Wnt5a is an endogenous ligand that can regulate AQP2
without the activation of the classic vasopressin/cAMP signalling pathway. Wnt5a
successfully increases the apical membrane localization of AQP2 and urine
osmolality in an NDI mouse model. We also demonstrate that calcineurin is a key
regulator of Wnt5a-induced AQP2 activation without affecting intracellular cAMP
level and PKA activity. The importance of calcineurin is further confirmed with
its activator, arachidonic acid, which shows vasopressin-like effects underlining
that calcineurin activators may be potential therapeutic targets for heritable
NDI.
PMID- 27892465
TI - Distinct role of IL-1beta in instigating disease in Sharpincpdm mice.
AB - Mice deficient in SHARPIN (Sharpincpdm mice), a member of linear ubiquitin chain
assembly complex (LUBAC), develop severe dermatitis associated with systemic
inflammation. Previous studies have demonstrated that components of the TNF
signaling pathway, NLRP3 inflammasome and IL-1R signaling are required to provoke
skin inflammation in Sharpincpdm mice. However, whether IL-1alpha or IL-1beta,
both of which signals through IL-1R, instigates skin inflammation and systemic
disease is not known. Here, we have performed extensive cellular analysis of pre
diseased and diseased Sharpincpdm mice and demonstrated that cellular
dysregulation precedes skin inflammation. Furthermore, we demonstrate a specific
role for IL-1beta, but not IL-1alpha, in instigating dermatitis in Sharpincpdm
mice. Our results altogether demonstrate distinct roles of SHARPIN in initiating
systemic inflammation and dermatitis. Furthermore, skin inflammation in
Sharpincpdm mice is specifically modulated by IL-1beta, highlighting the
importance of specific targeted therapies in the IL-1 signaling blockade.
PMID- 27892469
TI - Total synthesis of feglymycin based on a linear/convergent hybrid approach using
micro-flow amide bond formation.
AB - Feglymycin is a naturally occurring, anti-HIV and antimicrobial 13-mer peptide
that includes highly racemizable 3,5-dihydroxyphenylglycines (Dpgs). Here we
describe the total synthesis of feglymycin based on a linear/convergent hybrid
approach. Our originally developed micro-flow amide bond formation enabled highly
racemizable peptide chain elongation based on a linear approach that was
previously considered impossible. Our developed approach will enable the
practical preparation of biologically active oligopeptides that contain highly
racemizable amino acids, which are attractive drug candidates.
PMID- 27892467
TI - Jarid2 binds mono-ubiquitylated H2A lysine 119 to mediate crosstalk between
Polycomb complexes PRC1 and PRC2.
AB - The Polycomb repressive complexes PRC1 and PRC2 play a central role in
developmental gene regulation in multicellular organisms. PRC1 and PRC2 modify
chromatin by catalysing histone H2A lysine 119 ubiquitylation (H2AK119u1), and H3
lysine 27 methylation (H3K27me3), respectively. Reciprocal crosstalk between
these modifications is critical for the formation of stable Polycomb domains at
target gene loci. While the molecular mechanism for recognition of H3K27me3 by
PRC1 is well defined, the interaction of PRC2 with H2AK119u1 is poorly
understood. Here we demonstrate a critical role for the PRC2 cofactor Jarid2 in
mediating the interaction of PRC2 with H2AK119u1. We identify a ubiquitin
interaction motif at the amino-terminus of Jarid2, and demonstrate that this
domain facilitates PRC2 localization to H2AK119u1 both in vivo and in vitro. Our
findings ascribe a critical function to Jarid2 and define a key mechanism that
links PRC1 and PRC2 in the establishment of Polycomb domains.
PMID- 27892468
TI - Somatic increase of CCT8 mimics proteostasis of human pluripotent stem cells and
extends C. elegans lifespan.
AB - Human embryonic stem cells can replicate indefinitely while maintaining their
undifferentiated state and, therefore, are immortal in culture. This capacity may
demand avoidance of any imbalance in protein homeostasis (proteostasis) that
would otherwise compromise stem cell identity. Here we show that human
pluripotent stem cells exhibit enhanced assembly of the TRiC/CCT complex, a
chaperonin that facilitates the folding of 10% of the proteome. We find that
ectopic expression of a single subunit (CCT8) is sufficient to increase TRiC/CCT
assembly. Moreover, increased TRiC/CCT complex is required to avoid aggregation
of mutant Huntingtin protein. We further show that increased expression of CCT8
in somatic tissues extends Caenorhabditis elegans lifespan in a TRiC/CCT
dependent manner. Ectopic expression of CCT8 also ameliorates the age-associated
demise of proteostasis and corrects proteostatic deficiencies in worm models of
Huntington's disease. Our results suggest proteostasis is a common principle that
links organismal longevity with hESC immortality.
PMID- 27892470
TI - Vertical Magnetic Separation of Circulating Tumor Cells for Somatic Genomic
Alteration Analysis in Lung Cancer Patients.
AB - Efficient isolation and genetic analysis of circulating tumor cells (CTCs) from
cancer patients' blood is a critical step for clinical applications using CTCs.
Here, we report a novel CTC-isolation method and subsequent genetic analysis.
CTCs from the blood were complexed with magnetic beads coated with antibodies
against the epithelial cell adhesion molecule (EpCAM) and separated vertically on
a density-gradient medium in a modified well-plate. The recovery rate of model
CTCs was reasonable and the cell purity was enhanced dramatically when compared
to those parameters obtained using a conventional magnetic isolation method. CTCs
were recovered from an increased number of patient samples using our magnetic
system vs. the FDA-approved CellSearch system (100% vs. 33%, respectively). In 8
of 13 cases, targeted deep sequencing analysis of CTCs revealed private point
mutations present in CTCs but not in matched tumor samples and white blood cells
(WBCs), which was also validated by droplet digital PCR. Copy-number alterations
in CTCs were also observed in the corresponding tumor tissues for some patients.
In this report, we showed that CTCs isolated by the EpCAM-based method had
complex and diverse genetic features that were similar to those of tumor samples
in some, but not all, cases.
PMID- 27892473
TI - Narrowing the spread in CMIP5 model projections of air-sea CO2 fluxes.
AB - Large spread appears in the projection of air-sea CO2 fluxes using the latest
simulations from the Coupled Model Intercomparison Project Phase 5 (CMIP5). Here,
two methods are applied to narrow this spread in 13 CMIP5 models. One method
involves model selection based on the ability of models to reproduce the observed
air-sea CO2 fluxes from 1980 to 2005. The other method involves constrained
estimation based on the strong relationship between the historical and future air
sea CO2 fluxes. The estimated spread of the projected air-sea CO2 fluxes is
effectively reduced by using these two approaches. These two approaches also show
great agreement in the global ocean and three regional oceans of the equatorial
Pacific Ocean, the North Atlantic Ocean and the Southern Ocean, including the
average state and evolution characteristics. Based on the projections of the two
approaches, the global ocean carbon uptake will increase in the first half of the
21st century then remain relatively stable and is projected to be 3.68-4.57
PgC/yr at the end of 21st century. The projections indicate that the increase in
the CO2 uptake by the oceans will cease at the year of approximately 2070.
PMID- 27892471
TI - Combining Multiple Hypothesis Testing with Machine Learning Increases the
Statistical Power of Genome-wide Association Studies.
AB - The standard approach to the analysis of genome-wide association studies (GWAS)
is based on testing each position in the genome individually for statistical
significance of its association with the phenotype under investigation. To
improve the analysis of GWAS, we propose a combination of machine learning and
statistical testing that takes correlation structures within the set of SNPs
under investigation in a mathematically well-controlled manner into account. The
novel two-step algorithm, COMBI, first trains a support vector machine to
determine a subset of candidate SNPs and then performs hypothesis tests for these
SNPs together with an adequate threshold correction. Applying COMBI to data from
a WTCCC study (2007) and measuring performance as replication by independent GWAS
published within the 2008-2015 period, we show that our method outperforms
ordinary raw p-value thresholding as well as other state-of-the-art methods.
COMBI presents higher power and precision than the examined alternatives while
yielding fewer false (i.e. non-replicated) and more true (i.e. replicated)
discoveries when its results are validated on later GWAS studies. More than 80%
of the discoveries made by COMBI upon WTCCC data have been validated by
independent studies. Implementations of the COMBI method are available as a part
of the GWASpi toolbox 2.0.
PMID- 27892472
TI - Atomistic characterization of the active-site solvation dynamics of a model
photocatalyst.
AB - The interactions between the reactive excited state of molecular photocatalysts
and surrounding solvent dictate reaction mechanisms and pathways, but are not
readily accessible to conventional optical spectroscopic techniques. Here we
report an investigation of the structural and solvation dynamics following
excitation of a model photocatalytic molecular system [Ir2(dimen)4]2+, where
dimen is para-diisocyanomenthane. The time-dependent structural changes in this
model photocatalyst, as well as the changes in the solvation shell structure,
have been measured with ultrafast diffuse X-ray scattering and simulated with
Born-Oppenheimer Molecular Dynamics. Both methods provide direct access to the
solute-solvent pair distribution function, enabling the solvation dynamics around
the catalytically active iridium sites to be robustly characterized. Our results
provide evidence for the coordination of the iridium atoms by the acetonitrile
solvent and demonstrate the viability of using diffuse X-ray scattering at free
electron laser sources for studying the dynamics of photocatalysis.
PMID- 27892474
TI - Caterpillar-induced plant volatiles attract conspecific adults in nature.
AB - Plants release volatiles in response to caterpillar feeding that attract natural
enemies of the herbivores, a tri-trophic interaction which has been considered an
indirect plant defence against herbivores. The caterpillar-induced plant
volatiles have been reported to repel or attract conspecific adult herbivores. To
date however, no volatile signals that either repel or attract conspecific adults
under field conditions have been chemically identified. Apple seedlings uniquely
released seven compounds including acetic acid, acetic anhydride, benzyl alcohol,
benzyl nitrile, indole, 2-phenylethanol, and (E)-nerolidol only when infested by
larvae of the light brown apple moth, Epiphyas postvittana. In field tests in New
Zealand, a blend of two of these, benzyl nitrile and acetic acid, attracted a
large number of conspecific male and female adult moths. In North America, male
and female adults of the tortricid, oblique-banded leafroller, Choristoneura
rosaceana, were most attracted to a blend of 2-phenylethanol and acetic acid.
Both sexes of the eye-spotted bud moth, Spilonota ocellana, were highly attracted
to a blend of benzyl nitrile and acetic acid. This study provides the first
identification of caterpillar-induced plant volatiles that attract conspecific
adult herbivores under natural conditions, challenging the expectation of
herbivore avoidance of these induced volatiles.
PMID- 27892475
TI - Droplet Merging on a Lab-on-a-Chip Platform by Uniform Magnetic Fields.
AB - Droplet microfluidics offers a range of Lab-on-a-chip (LoC) applications.
However, wireless and programmable manipulation of such droplets is a challenge.
We address this challenge by experimental and modelling studies of uniform
magnetic field induced merging of ferrofluid based droplets. Control of droplet
velocity and merging was achieved through uniform magnetic field and flow rate
ratio. Conditions for droplet merging with respect to droplet velocity were
studied. Merging and mixing of colour dye + magnetite composite droplets was
demonstrated. Our experimental and numerical results are in good agreement. These
studies are useful for wireless and programmable droplet merging as well as
mixing relevant to biosensing, bioassay, microfluidic-based synthesis, reaction
kinetics, and magnetochemistry.
PMID- 27892476
TI - Comparative transcriptome analysis between an evolved abscisic acid-overproducing
mutant Botrytis cinerea TBC-A and its ancestral strain Botrytis cinerea TBC-6.
AB - Abscisic acid (ABA) is a classical phytohormone which plays an important role in
plant stress resistance. Moreover, ABA is also found to regulate the activation
of innate immune cells and glucose homeostasis in mammals. Therefore, this
'stress hormone' is of great importance to theoretical research and agricultural
and medical applications. Botrytis cinerea is a well-known phytopathogenic
ascomycete that synthesizes ABA via a pathway substantially different from higher
plants. Identification of the functional genes involved in ABA biosynthesis in B.
cinerea would be of special interest. We developed an ABA-overproducing mutant
strain, B. cinerea TBC-A, previously and obtained a 41.5-Mb genome sequence of B.
cinerea TBC-A. In this study, the transcriptomes of B. cinerea TBC-A and its
ancestral strain TBC-6 were sequenced under identical fermentation conditions. A
stringent comparative transcriptome analysis was performed to identify
differentially expressed genes participating in the metabolic pathways related to
ABA biosynthesis in B. cinerea. This study provides the first global view of the
transcriptional changes underlying the very different ABA productivity of the B.
cinerea strains and will expand our knowledge of the molecular basis for ABA
biosynthesis in B. cinerea.
PMID- 27892477
TI - Nanomolar oligomerization and selective co-aggregation of alpha-synuclein
pathogenic mutants revealed by single-molecule fluorescence.
AB - Protein aggregation is a hallmark of many neurodegenerative diseases, notably
Alzheimer's and Parkinson's disease. Parkinson's disease is characterized by the
presence of Lewy bodies, abnormal aggregates mainly composed of alpha-synuclein.
Moreover, cases of familial Parkinson's disease have been linked to mutations in
alpha-synuclein. In this study, we compared the behavior of wild-type (WT) alpha
synuclein and five of its pathological mutants (A30P, E46K, H50Q, G51D and A53T).
To this end, single-molecule fluorescence detection was coupled to cell-free
protein expression to measure precisely the oligomerization of proteins without
purification, denaturation or labelling steps. In these conditions, we could
detect the formation of oligomeric and pre-fibrillar species at very short time
scale and low micromolar concentrations. The pathogenic mutants surprisingly
segregated into two classes: one group forming large aggregates and fibrils while
the other tending to form mostly oligomers. Strikingly, co-expression experiments
reveal that members from the different groups do not generally interact with each
other, both at the fibril and monomer levels. Together, this data paints a
completely different picture of alpha-synuclein aggregation, with two possible
pathways leading to the development of fibrils.
PMID- 27892478
TI - Continuous single cell imaging reveals sequential steps of plasmacytoid dendritic
cell development from common dendritic cell progenitors.
AB - Functionally distinct plasmacytoid and conventional dendritic cells (pDC and cDC)
shape innate and adaptive immunity. They are derived from common dendritic cell
progenitors (CDPs) in the murine bone marrow, which give rise to CD11c+ MHCII-
precursors with early commitment to DC subpopulations. In this study, we dissect
pDC development from CDP into an ordered sequence of differentiation events by
monitoring the expression of CD11c, MHC class II, Siglec H and CCR9 in CDP
cultures by continuous single cell imaging and tracking. Analysis of CDP
genealogies revealed a stepwise differentiation of CDPs into pDCs in a part of
the CDP colonies. This developmental pathway involved an early CD11c+ SiglecH-
pre-DC stage and a Siglec H+ CCR9low precursor stage, which was followed rapidly
by upregulation of CCR9 indicating final pDC differentiation. In the majority of
the remaining CDP pedigrees however the Siglec H+ CCR9low precursor state was
maintained for several generations. Thus, although a fraction of CDPs transits
through precursor stages rapidly to give rise to a first wave of pDCs, the
majority of CDP progeny differentiate more slowly and give rise to longer lived
precursor cells which are poised to differentiate on demand.
PMID- 27892479
TI - Evaluation of the Effects of Conjunctivochalasis Excision on Tear Stability and
Contrast Sensitivity.
AB - Conjunctivochalasis (CCh) disrupts tear flow and damages tear film stability.
This study sought to evaluate the tear stability and contrast sensitivity of
patients with CCh on whom CCh excision was performed. The study included 39 eyes
from 39 patients; all patients had eyes with grade 2 or 3 CCh, underwent CCh
excision, and were evaluated before and three months after the surgery. The
evaluated variables included the ocular surface disease index (OSDI), the tear
break-up time (TBUT), corneal fluorescein staining, corneal surface irregularity,
Schirmer's I test, the tear meniscus area (TMA), and contrast sensitivity. A
follow-up of three months was achieved in 36 eyes for 36 patients. All parameters
improved significantly after surgery (p < 0.05), except Schirmer's I test, thus
suggesting that CCh excision is an effective method for reconstructing the lower
tear meniscus and improving both tear film stability and corneal surface
irregularity. The results further demonstrated a simultaneous increase in
contrast sensitivity after surgery.
PMID- 27892480
TI - Metabolic response induced by parasitic plant-fungus interactions hinder amino
sugar and nucleotide sugar metabolism in the host.
AB - Infestation by the biotrophic pathogen Gymnosporangium asiaticum can be
devastating for plant of the family Rosaceae. However, the phytopathology of this
process has not been thoroughly elucidated. Using a metabolomics approach, we
discovered the intrinsic activities that induce disease symptoms after fungal
invasion in terms of microbe-induced metabolic responses. Through metabolic
pathway enrichment and mapping, we found that the host altered its metabolite
levels, resulting in accumulation of tetrose and pentose sugar alcohols, in
response to this fungus. We then used a multiple linear regression model to
evaluate the effect of the interaction between this abnormal accumulation of
sugar alcohol and the group variable (control/parasitism). The results revealed
that this accumulation resulted in deficiency in the supply of specific sugars,
which led to a lack of amino sugar and nucleotide sugar metabolism. Halting this
metabolism could hamper pivotal functions in the plant host, including cell wall
synthesis and lesion repair. In conclusion, our findings indicate that altered
metabolic responses that occur during fungal parasitism can cause deficiency in
substrates in pivotal pathways and thereby trigger pathological symptoms.
PMID- 27892482
TI - Coexistence of superconductivity and ferromagnetism in Sr0.5Ce0.5FBiS2-xSex (x =
0.5 and 1.0), a non-U material with Tc < TFM.
AB - We have carried out detailed magnetic and transport studies of the new
Sr0.5Ce0.5FBiS2-xSex (0.0 <= x <= 1.0) superconductors derived by doping Se in
Sr0.5Ce0.5FBiS2. Se-doping produces several effects: it suppresses semiconducting
like behavior observed in the undoped Sr0.5Ce0.5FBiS2, the ferromagnetic ordering
temperature, TFM, decreases considerably from 7.5 K (in Sr0.5Ce0.5FBiS2) to 3.5 K
and the superconducting transition temperature, Tc, gets enhanced slightly to 2.9
3.3 K. Thus in these Se-doped materials, TFM is marginally higher than Tc.
Magnetization studies provide evidence of bulk superconductivity in
Sr0.5Ce0.5FBiS2-xSex at x >= 0.5 in contrast to the undoped Sr0.5Ce0.5FBiS2 (x =
0) where magnetization measurements indicate a small superconducting volume
fraction. Quite remarkably, as compared with the effective paramagnetic Ce-moment
(~2.2 MUB), the ferromagnetically ordered Ce-moment in the superconducting state
is rather small (~0.1 MUB) suggesting itinerant ferromagnetism. To the best of
our knowledge, Sr0.5Ce0.5FBiS2-x Sex (x = 0.5 and 1.0) are distinctive Ce-based
bulk superconducting itinerant ferromagnetic materials with Tc < TFM.
Furthermore, a novel feature of these materials is that they exhibit a dual and
quite unusual hysteresis loop corresponding to both the ferromagnetism and the
coexisting bulk superconductivity.
PMID- 27892481
TI - Autophagy is required for PDAC glutamine metabolism.
AB - Macroautophagy (autophagy) is believed to maintain energy homeostasis by
degrading unnecessary cellular components and molecules. Its implication in
regulating cancer metabolism recently started to be uncovered. However, the
precise roles of autophagy in cancer metabolism are still unclear. Here, we show
that autophagy plays a critical role in glutamine metabolism, which is required
for tumor survival. Pancreatic ductal adenocarcinoma (PDAC) cells require both
autophagy and typical glutamine transporters to maintain intracellular glutamine
levels. Glutamine deprivation, but not that of glucose, led to the activation of
macropinocytosis-associated autophagy through TFEB induction and translocation
into the nucleus. In contrast, glutamine uptake increased as a compensatory
response to decreased intracellular glutamine levels upon autophagy inhibition.
Moreover, autophagy inhibition and glutamine deprivation did not induce cell
death, while glutamine deprivation dramatically activated apoptotic cell death
upon autophagy inhibition. Interestingly, the addition of alpha-ketoglutarate
significantly rescued the apoptotic cell death caused by the combination of the
inhibition of autophagy with glutamine deprivation. Our data suggest that
macropinocytosis-associated autophagy is a critical process providing glutamine
for anaplerosis of the TCA cycle in PDAC. Thus, targeting both autophagy and
glutamine metabolism to completely block glutamine supply may provide new
therapeutic approaches to treat refractory tumors.
PMID- 27892485
TI - Isotope effect in superconducting n-doped SrTiO3.
AB - We report the influence on the superconducting critical temperature Tc in doped
SrTiO3 of the substitution of the natural 16O atoms by the heavier isotope 18O.
We observe that for a wide range of doping this substitution causes a strong
(~50%) enhancement of Tc. Also the magnetic critical field Hc2 is increased by a
factor ~2. Such a strong impact on Tc and Hc2, with a sign opposite to
conventional superconductors, is unprecedented. The observed effect could be the
consequence of strong coupling of the doped electrons to lattice vibrations
(phonons), a notion which finds support in numerous optical and photo-emission
studies. The unusually large size of the observed isotope effect supports a
recent model for superconductivity in these materials based on strong coupling to
the ferroelectric soft modes of SrTiO3.
PMID- 27892484
TI - Environmental factors linked to depression vulnerability are associated with
altered cerebellar resting-state synchronization.
AB - Hosting nearly eighty percent of all human neurons, the cerebellum is
functionally connected to large regions of the brain. Accumulating data suggest
that some cerebellar resting-state alterations may constitute a key candidate
mechanism for depressive psychopathology. While there is some evidence linking
cerebellar function and depression, two topics remain largely unexplored. First,
the genetic or environmental roots of this putative association have not been
elicited. Secondly, while different mathematical representations of resting-state
fMRI patterns can embed diverse information of relevance for health and disease,
many of them have not been studied in detail regarding the cerebellum and
depression. Here, high-resolution fMRI scans were examined to estimate functional
connectivity patterns across twenty-six cerebellar regions in a sample of 48
identical twins (24 pairs) informative for depression liability. A network-based
statistic approach was employed to analyze cerebellar functional networks built
using three methods: the conventional approach of filtered BOLD fMRI time-series,
and two analytic components of this oscillatory activity (amplitude envelope and
instantaneous phase). The findings indicate that some environmental factors may
lead to depression vulnerability through alterations of the neural oscillatory
activity of the cerebellum during resting-state. These effects may be observed
particularly when exploring the amplitude envelope of fMRI oscillations.
PMID- 27892483
TI - Down-regulation of coasy, the gene associated with NBIA-VI, reduces Bmp
signaling, perturbs dorso-ventral patterning and alters neuronal development in
zebrafish.
AB - Mutations in Pantothenate kinase 2 and Coenzyme A (CoA) synthase (COASY), genes
involved in CoA biosynthesis, are associated with rare neurodegenerative
disorders with brain iron accumulation. We showed that zebrafish pank2 gene plays
an essential role in brain and vasculature development. Now we extended our study
to coasy. The gene has high level of sequence identity with the human ortholog
and is ubiquitously expressed from the earliest stages of development. The
abrogation of its expression led to strong reduction of CoA content, high
lethality and a phenotype resembling to that of dorsalized mutants. Lower doses
of morpholino resulted in a milder phenotype, with evident perturbation in
neurogenesis and formation of vascular arborization; the dorso-ventral patterning
was severely affected, the expression of bone morphogenetic protein (Bmp)
receptors and activity were decreased, while cell death increased. These features
specifically correlated with the block in CoA biosynthesis and were rescued by
the addition of CoA to fish water and the overexpression of the human wild-type,
but not mutant gene. These results confirm the absolute requirement for adequate
levels of CoA for proper neural and vascular development in zebrafish and point
to the Bmp pathway as a possible molecular connection underlining the observed
phenotype.
PMID- 27892486
TI - Short Chain Fatty Acids Prevent High-fat-diet-induced Obesity in Mice by
Regulating G Protein-coupled Receptors and Gut Microbiota.
AB - Elucidating the mechanisms by which short chain fatty acids (SCFA) reduce body
weight may assist in the development of an effective weight control strategy.
Dietary supplementation of acetate, propionate, butyrate or their admixture was
shown to significantly inhibit the body weight gain induced by high-fat diet
feeding. Supplementation of SCFAs caused significant changes in the expressions
of G-protein coupled receptor 43 (GPR43) and GPR41 characterized by increases in
the adipose tissue and reductions in the colon. Additionally, they influenced the
bacterial community structure in feces, with a reduction in the proportion of
Firmicutes and an increase in the proportion of Bacteroidetes. The effects of
dietary SCFAs on the GPR expression and gut microbiota composition may further
result in body weight reduction by enhancing triglyceride hydrolysis and FFA
oxidation in the adipose tissue, promoting beige adipogenesis and mitochondrial
biogenesis, and inhibiting chronic inflammation.
PMID- 27892487
TI - Female gender lost protective effect against disease progression in elderly
patients with chronic hepatitis B.
AB - Female gender and younger age are protective factors against disease progression
in chronic hepatitis B (CHB). However, it is not clear whether the disease
progression still remains slow in elderly females. This study investigated the
interaction of female gender and older age on the development of cirrhosis in
patients recorded in China Registry of Hepatitis B. A total of 17,809 CHB
patients were enrolled in this multi-center cross-sectional study. The prevalence
of cirrhosis in female CHB patients increased faster than that in male CHB
patients over 50 years old. Multivariate analysis showed that the increase of
adjusted ORs for developing cirrhosis in females started to accelerate after 50
years old: 11.19 (95% CI: 5.93-21.11) in women versus 14.75 (95% CI: 8.35-26.07)
in men at ages of 50-59 years, 21.67 (95% CI: 11.05-42.47) versus 24.4 (95% CI:
13.00-45.80) at ages 60-69 years, and 18.78 (95% CI: 6.61-53.36) versus 12.09
(95% CI: 4.35-33.61) in those over 70 years. In conclusion, the protective effect
of female gender against cirrhosis gradually lost with increasing age, therefore
disease progression should be monitored more closely in elderly women with CHB.
PMID- 27892488
TI - Transition steps in peroxide reduction and a molecular switch for peroxide
robustness of prokaryotic peroxiredoxins.
AB - In addition to their antioxidant function, the eukaryotic peroxiredoxins (Prxs)
facilitate peroxide-mediated signaling by undergoing controlled inactivation by
peroxide-driven over-oxidation. In general, the bacterial enzyme lacks this
controlled inactivation mechanism, making it more resistant to high H2O2
concentrations. During peroxide reduction, the active site alternates between
reduced, fully folded (FF), and oxidized, locally unfolded (LU) conformations.
Here we present novel insights into the divergence of bacterial and human Prxs in
robustness and sensitivity to inactivation, respectively. Structural details
provide new insights into sub-steps during the catalysis of peroxide reduction,
enabling the transition from an FF to a LU conformation. Complementary to
mutational and enzymatic results, these data unravel the essential role of the C
terminal tail of bacterial Prxs to act as a molecular switch, mediating the
transition from an FF to a LU state. In addition, we propose that the C-terminal
tail has influence on the propensity of the disulphide bond formation, indicating
that as a consequence on the robustness and sensitivity to over-oxidation.
Finally, a physical linkage between the catalytic site, the C-terminal tail and
the oligomer interface is described.
PMID- 27892489
TI - Laser Light Induced Transformation of Molybdenum Disulphide-Based Nanoplatelet
Arrays.
AB - One-pot hydrothermal synthesis of MoS2 nanoplatelet arrays on various substrates
is perhaps the most promising approach to fabricate efficient electrocatalysts
for hydrogen evolution reaction. However, the main challenges in this synthesis
remain the purity and crystallinity of MoS2. In this study, we show for the first
time that irradiation of amorphous, defect-rich MoS2 nanoplatelets with a green
nanosecond laser at a proper irradiation dose, ca <=0.35 J cm-2, can
significantly improve the crystallinity and purity of MoS2 nanoplatelets. The
effect was confirmed by Raman spectroscopy investigations demonstrating a
surprising intensity increase of the A1g and modes after the laser irradiation.
Further increase of irradiation energy, however, resulted in the photocorrosion
and destruction of MoS2 nanoplatelets. The variation of A1g and Raman mode
intensities ratio depending on the green light irradiation dose was also
presented and is discussed below.
PMID- 27892490
TI - Identification and expression analysis of an olfactory receptor gene family in
green plant bug Apolygus lucorum (Meyer-Dur).
AB - Olfactory receptors are believed to play a central role in insects host-seeking,
mating, and ovipositing. On the basis of male and female antennal transcriptome
of adult Apolygus lucorum, a total of 110 candidate A. lucorum odorant receptors
(AlucOR) were identified in this study including five previously annotated
AlucORs. All the sequences were validated by cloning and sequencing. Tissue
expression profiles analysis by RT-PCR indicated most AlucORs were antennal
highly expressed genes. The qPCR measurements further revealed 40 AlucORs were
significantly higher in the antennae. One AlucOR was primarily expressed in the
female antennae, while nine AlucORs exhibited male-biased expression patterns.
Additionally, both the RPKM value and RT-qPCR analysis showed AlucOR83 and
AlucOR21 were much higher abundant in male antennae than in female antennae,
suggesting their different roles in chemoreception of gender. Phylogenetic
analysis of ORs from several Hemipteran species demonstrated that most AlucORs
had orthologous genes, and five AlucOR-specific clades were defined. In addition,
a sub-clade of potential male-based sex pheromone receptors were also identified
in the phylogenetic tree of AlucORs. Our results will facilitate the functional
studies of AlucORs, and thereby provide a foundation for novel pest management
approaches based on these genes.
PMID- 27892492
TI - Distribution of carbon, nitrogen and phosphorus in coastal wetland soil related
land use in the Modern Yellow River Delta.
AB - The delivery and distribution of nutrients in coastal wetland ecosystems is much
related to the land use. The spatial variations of TOC, TN, NH4+-N, NO3--N and TP
and associated soil salinity with depth in 9 kinds land uses in coastal zone of
the modern Yellow River Delta (YRD) was evaluated based on monitoring data in
field from 2009 to 2015. The results showed that the average contents of soil
TOC, TN, NO3--N, NH4+-N and TP were 4.21 +/- 2.40 g kg-1, 375.91 +/- 213.44, 5.36
+/- 9.59 and 7.20 +/- 5.58 and 591.27 +/- 91.16 mg kg-1, respectively. The high N
and C contents were found in cropland in southern part and low values in natural
wetland, while TP was relatively stable both in profiles and in different land
uses. The land use, land formation age and salinity were important factors
influencing distributions of TOC and N. Higher contents of TOC and N were
observed in older formation age lands in whole study region, while the opposite
regulation were found in new-born natural wetland, indicating that the
anthropogenic activities could greatly alter the original distribution
regulations of nutrients in coastal natural wetlands by changing the regional
land use.
PMID- 27892491
TI - Selected Biomarkers Revealed Potential Skin Toxicity Caused by Certain Copper
Compounds.
AB - Copper is an essential mineral and plays important roles in skin growth and
activity. Copper delivery through skin can provide beneficial effects but its
potential to induce skin irritation reactions is often overlooked. Data on dermal
toxicity caused by copper compounds is scant. Some recognized in vitro skin
toxicity methods are unsuitable for all metal compounds. Here, we employ a
keratinocyte-based model and evaluated the skin irritation potential of copper
compounds at cellular, genomic and proteomic levels. We determined cell viability
and cytotoxicity by using tetrazolium reduction assay and Lactate Dehydrogenase
(LDH) assay, performed real-time PCR and protein quantification to assess the
expression of biomarkers after treating cells with copper peptide (GHK-Cu),
copper chloride (CuCl2) and copper acetate (Cu(OAc)2). These copper compounds
exhibited different irritancy potentials at the same treatment concentrations.
GHK-Cu was not cytotoxic and did not induce any significant change in the
expression levels of various skin irritation-related biomarkers. IL-1alpha and IL
8, HSPA1A and FOSL1 were significantly upregulated following 24-h treatment with
CuCl2 and Cu(OAc)2 at 58 and 580 MUM without concomitant inhibition in cell
viability. GHK-Cu has a low potential of inducing skin irritation and therefore
provides a safer alternative for the delivery of copper through skin.
PMID- 27892493
TI - Autologous temporomandibular joint reconstruction independent of exogenous
additives: a proof-of-concept study for guided self-generation.
AB - Joint defects are complex and difficult to reconstruct. By exploiting the body's
own regenerative capacity, we aimed to individually generate anatomically precise
neo-tissue constructs for autologous joint reconstruction without using any
exogenous additives. In a goat model, CT scans of the mandibular condyle
including articular surface and a large portion of the ascending ramus were
processed using computer-aided design and manufacturing. A corresponding
hydroxylapatite negative mold was printed in 3D and temporarily embedded into the
transition zone of costal periosteum and perichondrium. A demineralized bone
matrix scaffold implanted on the contralateral side served as control. Neo-tissue
constructs obtained by guided self-generation exhibited accurate configuration,
robust vascularization, biomechanical stability, and function. After autologous
replacement surgery, the constructs showed stable results with similar
anatomical, histological, and functional findings compared to native controls.
Further studies are required to assess long-term outcome and possible extensions
to other further applications. The absence of exogenous cells, growth factors,
and scaffolds may facilitate clinical translation of this approach.
PMID- 27892495
TI - Quorum Regulated Resistance of Vibrio cholerae against Environmental
Bacteriophages.
AB - Predation by bacteriophages can significantly influence the population structure
of bacterial communities. Vibrio cholerae the causative agent of cholera
epidemics interacts with numerous phages in the aquatic ecosystem, and in the
intestine of cholera patients. Seasonal epidemics of cholera reportedly collapse
due to predation of the pathogen by phages. However, it is not clear how
sufficient number of the bacteria survive to seed the environment in the
subsequent epidemic season. We found that bacterial cell density-dependent gene
expression termed "quorum sensing" which is regulated by signal molecules called
autoinducers (AIs) can protect V. cholerae against predatory phages. V. cholerae
mutant strains carrying inactivated AI synthase genes were significantly more
susceptible to multiple phages compared to the parent bacteria. Likewise when
mixed cultures of phage and bacteria were supplemented with exogenous
autoinducers CAI-1 or AI-2 produced by recombinant strains carrying cloned AI
synthase genes, increased survival of V. cholerae and a decrease in phage titer
was observed. Mutational analyses suggested that the observed effects of
autoinducers are mediated in part through the quorum sensing-dependent production
of haemaglutinin protease, and partly through downregulation of phage receptors.
These results have implication in developing strategies for phage mediated
control of cholera.
PMID- 27892494
TI - Circular RNAs are down-regulated in KRAS mutant colon cancer cells and can be
transferred to exosomes.
AB - Recent studies have shown that circular RNAs (circRNAs) are abundant, widely
expressed in mammals, and can display cell-type specific expression. However, how
production of circRNAs is regulated and their precise biological function remains
largely unknown. To study how circRNAs might be regulated during colorectal
cancer progression, we used three isogenic colon cancer cell lines that differ
only in KRAS mutation status. Cellular RNAs from the parental DLD-1 cells that
contain both wild-type and G13D mutant KRAS alleles and isogenically-matched
derivative cell lines, DKO-1 (mutant KRAS allele only) and DKs-8 (wild-type KRAS
allele only) were analyzed using RNA-Seq. We developed a bioinformatics pipeline
to identify and evaluate circRNA candidates from RNA-Seq data. Hundreds of high
quality circRNA candidates were identified in each cell line. Remarkably,
circRNAs were significantly down-regulated at a global level in DLD-1 and DKO-1
cells compared to DKs-8 cells, indicating a widespread effect of mutant KRAS on
circRNA abundance. This finding was confirmed in two independent colon cancer
cell lines HCT116 (KRAS mutant) and HKe3 (KRAS WT). In all three cell lines,
circRNAs were also found in secreted extracellular-vesicles, and circRNAs were
more abundant in exosomes than cells. Our results suggest that circRNAs may serve
as promising cancer biomarkers.
PMID- 27892496
TI - EWAS: epigenome-wide association studies software 1.0 - identifying the
association between combinations of methylation levels and diseases.
AB - Similar to the SNP (single nucleotide polymorphism) data, there is non-random
association of the DNA methylation level (we call it methylation disequilibrium,
MD) between neighboring methylation loci. For the case-control study of complex
diseases, it is important to identify the association between methylation levels
combination types (we call it methylecomtype) and diseases/phenotypes. We
extended the classical framework of SNP haplotype-based association study in
population genetics to DNA methylation level data, and developed a software EWAS
to identify the disease-related methylecomtypes. EWAS can provide the following
basic functions: (1) calculating the DNA methylation disequilibrium coefficient
between two CpG loci; (2) identifying the MD blocks across the whole genome; (3)
carrying out case-control association study of methylecomtypes and identifying
the disease-related methylecomtypes. For a DNA methylation level data set
including 689 samples (354 cases and 335 controls) and 473864 CpG loci, it takes
only about 25 min to complete the full scan. EWAS v1.0 can rapidly identify the
association between combinations of methylation levels (methylecomtypes) and
diseases. EWAS v1.0 is freely available at: http://www.ewas.org.cn or
http://www.bioapp.org/ewas.
PMID- 27892497
TI - Optimising Antibiotic Usage to Treat Bacterial Infections.
AB - The increase in antibiotic resistant bacteria poses a threat to the continued use
of antibiotics to treat bacterial infections. The overuse and misuse of
antibiotics has been identified as a significant driver in the emergence of
resistance. Finding optimal treatment regimens is therefore critical in ensuring
the prolonged effectiveness of these antibiotics. This study uses mathematical
modelling to analyse the effect traditional treatment regimens have on the
dynamics of a bacterial infection. Using a novel approach, a genetic algorithm,
the study then identifies improved treatment regimens. Using a single antibiotic
the genetic algorithm identifies regimens which minimise the amount of antibiotic
used while maximising bacterial eradication. Although exact treatments are highly
dependent on parameter values and initial bacterial load, a significant common
trend is identified throughout the results. A treatment regimen consisting of a
high initial dose followed by an extended tapering of doses is found to optimise
the use of antibiotics. This consistently improves the success of eradicating
infections, uses less antibiotic than traditional regimens and reduces the time
to eradication. The use of genetic algorithms to optimise treatment regimens
enables an extensive search of possible regimens, with previous regimens
directing the search into regions of better performance.
PMID- 27892498
TI - Sensitization with vaccinia virus encoding H5N1 hemagglutinin restores immune
potential against H5N1 influenza virus.
AB - H5N1 highly pathogenic avian influenza (H5N1 HPAI) virus causes elevated
mortality compared with seasonal influenza viruses like H1N1 pandemic influenza
(H1N1 pdm) virus. We identified a mechanism associated with the severe symptoms
seen with H5N1 HPAI virus infection. H5N1 HPAI virus infection induced a decrease
of dendritic cell number in the splenic extrafollicular T-cell zone and impaired
formation of the outer layers of B-cell follicles, resulting in insufficient
levels of antibody production after infection. However, in animals vaccinated
with a live recombinant vaccinia virus expressing the H5 hemagglutinin, infection
with H5N1 HPAI virus induced parafollicular dendritic cell accumulation and
efficient antibody production. These results indicate that a recombinant vaccinia
encoding H5 hemagglutinin gene does not impair dendritic cell recruitment and can
be a useful vaccine candidate.
PMID- 27892499
TI - Recognition of extremophilic archaeal viruses by eukaryotic cells: a promising
nanoplatform from the third domain of life.
AB - Viruses from the third domain of life, Archaea, exhibit unusual features
including extreme stability that allow their survival in harsh environments. In
addition, these species have never been reported to integrate into human or any
other eukaryotic genomes, and could thus serve for exploration of novel medical
nanoplatforms. Here, we selected two archaeal viruses Sulfolobus monocaudavirus 1
(SMV1) and Sulfolobus spindle shaped virus 2 (SSV2) owing to their unique spindle
shape, hyperthermostable and acid-resistant nature and studied their interaction
with mammalian cells. Accordingly, we followed viral uptake, intracellular
trafficking and cell viability in human endothelial cells of brain (hCMEC/D3
cells) and umbilical vein (HUVEC) origin. Whereas SMV1 is efficiently
internalized into both types of human cells, SSV2 differentiates between HUVECs
and hCMEC/D3 cells, thus opening a path for selective cell targeting. On
internalization, both viruses localize to the lysosomal compartments. Neither
SMV1, nor SSV2 induced any detrimental effect on cell morphology, plasma membrane
and mitochondrial functionality. This is the first study demonstrating
recognition of archaeal viruses by eukaryotic cells which provides good basis for
future exploration of archaeal viruses in bioengineering and development of
multifunctional vectors.
PMID- 27892501
TI - A social contagious model of the obesity epidemic.
AB - Obesity has been recognized as a global epidemic by WHO, followed by many
empirical evidences to prove its infectiousness. However, the inter-person
spreading dynamics of obesity are seldom studied. A distinguishing feature of the
obesity epidemic is that it is driven by a social contagion process which cannot
be perfectly described by the infectious disease models. In this paper, we
propose a novel belief decision model based on the famous Dempster-Shafer theory
of evidence to model obesity epidemic as the competing spread of two obesity
related behaviors: physical inactivity and physical activity. The transition of
health states is described by an SIS model. Results reveal the existence of
obesity epidemic threshold, above which obesity is quickly eradicated. When
increasing the fading level of information spread, enlarging the clustering of
initial obese seeds, or introducing small-world characteristics into the network
topology, the threshold is easily met. Social discrimination against the obese
people plays completely different roles in two cases: on one hand, when obesity
cannot be eradicated, social discrimination can reduce the number of obese
people; on the other hand, when obesity is eradicable, social discrimination may
instead cause it breaking out.
PMID- 27892500
TI - Four translation initiation pathways employed by the leaderless mRNA in
eukaryotes.
AB - mRNAs lacking 5' untranslated regions (leaderless mRNAs) are molecular relics of
an ancient translation initiation pathway. Nevertheless, they still represent a
significant portion of transcriptome in some taxons, including a number of
eukaryotic species. In bacteria and archaea, the leaderless mRNAs can bind non
dissociated 70 S ribosomes and initiate translation without protein initiation
factors involved. Here we use the Fleeting mRNA Transfection technique (FLERT) to
show that translation of a leaderless reporter mRNA is resistant to conditions
when eIF2 and eIF4F, two key eukaryotic translation initiation factors, are
inactivated in mammalian cells. We report an unconventional translation
initiation pathway utilized by the leaderless mRNA in vitro, in addition to the
previously described 80S-, eIF2-, or eIF2D-mediated modes. This mechanism is a
bacterial-like eIF5B/IF2-assisted initiation that has only been reported for
hepatitis C virus-like internal ribosome entry sites (IRESs). Therefore, the
leaderless mRNA is able to take any of four different translation initiation
pathways in eukaryotes.
PMID- 27892502
TI - Mitochondrial fat oxidation is essential for lipid-induced inflammation in
skeletal muscle in mice.
AB - Inflammation, lipotoxicity and mitochondrial dysfunction have been implicated in
the pathogenesis of obesity-induced insulin resistance and type 2 diabetes.
However, how these factors are intertwined in the development of obesity/insulin
resistance remains unclear. Here, we examine the role of mitochondrial fat
oxidation on lipid-induced inflammation in skeletal muscle. We used skeletal
muscle-specific Cpt1b knockout mouse model where the inhibition of mitochondrial
fatty acid oxidation results in accumulation of lipid metabolites in muscle and
elevated circulating free fatty acids. Gene expression of pro-inflammatory
cytokines, chemokines, and cytokine- and members of TLR-signalling pathways were
decreased in Cpt1bm-/- muscle. Inflammatory signalling pathways were not
activated when evaluated by multiplex and immunoblot analysis. In addition, the
inflammatory response to fatty acids was reduced in primary muscle cells derived
from Cpt1bm-/- mice. Gene expression of Cd11c, the M1 macrophage marker, was
decreased; while Cd206, the M2 macrophage marker, was increased in skeletal
muscle of Cpt1bm-/- mice. Finally, expression of pro-inflammatory markers was
decreased in white adipose tissue of Cpt1bm-/- mice. We show that the
inflammatory response elicited by elevated intracellular lipids in skeletal
muscle is repressed in Cpt1bm-/- mice, strongly supporting the hypothesis that
mitochondrial processing of fatty acids is essential for the lipid-induction of
inflammation in muscle.
PMID- 27892503
TI - Trans-translation is essential in the human pathogen Legionella pneumophila.
AB - Trans-translation is a ubiquitous bacterial mechanism for ribosome rescue in the
event of translation stalling. Although trans-translation is not essential in
several bacterial species, it has been found essential for viability or virulence
in a wide range of pathogens. We describe here that trans-translation is
essential in the human pathogen Legionella pneumophila, the etiologic agent of
Legionnaire's disease (LD), a severe form of nosocomial and community-acquired
pneumonia. The ssrA gene coding for tmRNA, the key component of trans
translation, could not be deleted in L. pneumophila. To circumvent this and
analyse the consequences of impaired trans-translation, we placed ssrA under the
control of a chemical inducer. Phenotypes associated with the inhibition of ssrA
expression include growth arrest in rich medium, hampered cell division, and
hindered ability to infect eukaryotic cells (amoebae and human macrophages). LD
is often associated with failure of antibiotic treatment and death (>10% of
clinical cases). Decreasing tmRNA levels led to significantly higher sensitivity
to ribosome-targeting antibiotics, including to erythromycin. We also detected a
higher sensitivity to the transcription inhibitor rifampicin. Both antibiotics
are recommended treatments for LD. Thus, interfering with trans-translation may
not only halt the infection, but could also potentiate the recommended
therapeutic treatments of LD.
PMID- 27892505
TI - In vivo measurement of hemodynamic information in stenosed rat blood vessels
using X-ray PIV.
AB - Measurements of the hemodynamic information of blood flows, especially wall shear
stress (WSS), in animal models with circulatory vascular diseases (CVDs) are
important to understand the pathological mechanism of CVDs. In this study, X-ray
particle image velocimetry (PIV) with high spatial resolution was applied to
obtain velocity field information in stenosed blood vessels with high WSS. 3D
clips fabricated with a 3D printer were applied to the abdominal aorta of a rat
cadaver to induce artificial stenosis in the real blood vessel of an animal
model. The velocity and WSS information of blood flows in the stenosed vessel
were obtained and compared at various stenosis severities. In vivo measurement
was also conducted by fastening a stenotic clip on a live rat model through
surgical intervention to reduce the flow rate to match the limited temporal
resolution of the present X-ray PIV system. Further improvement of the temporal
resolution of the system might be able to provide in vivo measurements of
hemodynamic information from animal disease models under physiological
conditions. The present results would be helpful for understanding the relation
between hemodynamic characteristics and the pathological mechanism in animal CVD
models.
PMID- 27892504
TI - Soluble Siglec-5 associates to PSGL-1 and displays anti-inflammatory activity.
AB - Interactions between endothelial selectins and the leukocyte counter-receptor
PSGL1 mediates leukocyte recruitment to inflammation sites. PSGL1 is highly
sialylated, making it a potential ligand for Siglec-5, a leukocyte-receptor that
recognizes sialic acid structures. Binding assays using soluble Siglec-5 variants
(sSiglec-5/C4BP and sSiglec-5/Fc) revealed a dose- and calcium-dependent binding
to PSGL1. Pre-treatment of PSGL1 with sialidase reduced Siglec-5 binding by 79 +/
4%. In confocal immune-fluorescence assays, we observed that 50% of Peripheral
Blood Mononuclear Cells (PBMCs) simultaneously express PSGL1 and Siglec-5.
Duolink-proximity ligation analysis demonstrated that PSGL1 and Siglec-5 are in
close proximity (<40 nm) in 31 +/- 4% of PBMCs. In vitro perfusion assays
revealed that leukocyte-rolling over E- and P-selectin was inhibited by sSiglec
5/Fc or sSiglec-5/C4BP, while adhesion onto VCAM1 was unaffected. When applied to
healthy mice (0.8 mg/kg), sSiglec-5/C4BP significantly reduced the number of
rolling leukocytes under basal conditions (10.9 +/- 3.7 versus 23.5 +/- 9.3
leukocytes/field/min for sSiglec-5/C4BP-treated and control mice, respectively; p
= 0.0093). Moreover, leukocyte recruitment was inhibited over a 5-h observation
period in an in vivo model of TNFalpha-induced inflammation following injection
sSiglec-5/C4BP (0.8 mg/kg). Our data identify PSGL1 as a ligand for Siglec-5, and
soluble Siglec-5 variants appear efficient in blocking PSGL1-mediated leukocyte
rolling and the inflammatory response in general.
PMID- 27892506
TI - Negative feedback regulation of ABA biosynthesis in peanut (Arachis hypogaea): a
transcription factor complex inhibits AhNCED1 expression during water stress.
AB - Abscisic acid (ABA), a key plant stress-signaling hormone, is produced in
response to drought and counteracts the effects of this stress. The accumulation
of ABA is controlled by the enzyme 9-cis-epoxycarotenoid dioxygenase (NCED). In
Arabidopsis, NCED3 is regulated by a positive feedback mechanism by ABA. In this
study in peanut (Arachis hypogaea), we demonstrate that ABA biosynthesis is also
controlled by negative feedback regulation, mediated by the inhibitory effect on
AhNCED1 transcription of a protein complex between transcription factors AhNAC2
and AhAREB1. AhNCED1 was significantly down-regulated after PEG treatment for 10
h, at which time ABA content reached a peak. A ChIP-qPCR assay confirmed AhAREB1
and AhNAC2 binding to the AhNCED1 promoter in response to ABA. Moreover, the
interaction between AhAREB1 and AhNAC2, and a transient expression assay showed
that the protein complex could negatively regulate the expression of AhNCED1. The
results also demonstrated that AhAREB1 was the key factor in AhNCED1 feedback
regulation, while AhNAC2 played a subsidiary role. ABA reduced the rate of
AhAREB1 degradation and enhanced both the synthesis and degradation rate of the
AhNAC2 protein. In summary, the AhAREB1/AhNAC2 protein complex functions as a
negative feedback regulator of drought-induced ABA biosynthesis in peanut.
PMID- 27892507
TI - Variations in abundance, diversity and community composition of airborne fungi in
swine houses across seasons.
AB - We examined the abundance, diversity and community composition of airborne fungi
in swine houses during winter and summer seasons by using quantitative PCR and
Illumina HiSeq sequencing of ITS1 region. The abundance of airborne fungi varied
significantly only between seasons, while fungal diversity varied significantly
both within and between seasons, with both abundance and diversity peaked in
winter. The fungal OTU composition was largely structured by the swine house unit
and season as well as by their interactions. Of the measured microclimate
variables, relative humidity, particulate matters (PMs), ammonia, and stocking
density were significantly correlated with fungal OTU composition. The variation
in beta diversity was higher within swine houses during summer, which indicates
that the airborne fungal community composition was more heterogeneous in summer
compared to winter. We also identified several potential allergen/pathogen
related fungal genera in swine houses. The total relative abundance of potential
allergen/pathogen related fungal genera varied between swine houses in both
seasons, and showed positive correlation with PM2.5. Overall, our findings show
that the abundance, diversity and composition of airborne fungi are highly
variable in swine houses and to a large extent structured by indoor microclimate
variables of swine houses.
PMID- 27892508
TI - Levy random walks on multiplex networks.
AB - Random walks constitute a fundamental mechanism for many dynamics taking place on
complex networks. Besides, as a more realistic description of our society,
multiplex networks have been receiving a growing interest, as well as the
dynamical processes that occur on top of them. Here, inspired by one specific
model of random walks that seems to be ubiquitous across many scientific fields,
the Levy flight, we study a new navigation strategy on top of multiplex networks.
Capitalizing on spectral graph and stochastic matrix theories, we derive
analytical expressions for the mean first passage time and the average time to
reach a node on these networks. Moreover, we also explore the efficiency of Levy
random walks, which we found to be very different as compared to the single layer
scenario, accounting for the structure and dynamics inherent to the multiplex
network. Finally, by comparing with some other important random walk processes
defined on multiplex networks, we find that in some region of the parameters, a
Levy random walk is the most efficient strategy. Our results give us a deeper
understanding of Levy random walks and show the importance of considering the
topological structure of multiplex networks when trying to find efficient
navigation strategies.
PMID- 27892509
TI - Evolution of cooperation under indirect reciprocity and arbitrary exploration
rates.
AB - Cooperation has been recognized as an evolutionary puzzle since Darwin, and
remains identified as one of the biggest challenges of the XXIst century.
Indirect Reciprocity (IR), a key mechanism that humans employ to cooperate with
each other, establishes that individual behaviour depends on reputations, which
in turn evolve depending on social norms that classify behaviours as good or bad.
While it is well known that different social norms give rise to distinct
cooperation levels, it remains unclear how the performance of each norm is
influenced by the random exploration of new behaviours, often a key component of
social dynamics where a plethora of stimuli may compel individuals to deviate
from pre-defined behaviours. Here we study, for the first time, the impact of
varying degrees of exploration rates - the likelihood of spontaneously adopting
another strategy, akin to a mutation probability in evolutionary dynamics - in
the emergence of cooperation under IR. We show that high exploration rates may
either improve or harm cooperation, depending on the underlying social norm at
work. Regarding some of the most popular social norms studied to date, we find
that cooperation under Simple-standing and Image-score is enhanced by high
exploration rates, whereas the opposite occurs for Stern-judging and Shunning.
PMID- 27892510
TI - Strong quantum scarring by local impurities.
AB - We discover and characterise strong quantum scars, or quantum eigenstates
resembling classical periodic orbits, in two-dimensional quantum wells perturbed
by local impurities. These scars are not explained by ordinary scar theory, which
would require the existence of short, moderately unstable periodic orbits in the
perturbed system. Instead, they are supported by classical resonances in the
unperturbed system and the resulting quantum near-degeneracy. Even in the case of
a large number of randomly scattered impurities, the scars prefer distinct
orientations that extremise the overlap with the impurities. We demonstrate that
these preferred orientations can be used for highly efficient transport of
quantum wave packets across the perturbed potential landscape. Assisted by the
scars, wave-packet recurrences are significantly stronger than in the unperturbed
system. Together with the controllability of the preferred orientations, this
property may be very useful for quantum transport applications.
PMID- 27892511
TI - The clinicopathological and prognostic significance of PD-L1 expression in
gastric cancer: a meta-analysis of 10 studies with 1,901 patients.
AB - The prognostic value of programmed death-ligand 1 (PD-L1) in gastric cancer (GC)
remains controversial. To clarify this problem, we performed a meta-analysis of
research studies identified in the PubMed, EMBASE and the Cochrane Library
databases. A total of 1,901 patients in 10 studies were enrolled in this meta
analysis, and the pooled hazard ratio (HR) of 1.64 (95% CI 1.11 to 2.43; P =
0.01) indicated that PD-L1 expression is associated with a shorter overall
survival (OS). The pooled odds ratios (ORs) indicated that PD-L1 expression was
associated with tumour size (OR = 1.87, 95% CI 1.25 to 2.78; P = 0.002) and lymph
node status (OR = 2.17, 95% CI 1.04 to 4.52; P = 0.04). However, PD-L1 had no
correlation with gender, age, cancer location, differentiation, depth of
invasion, and tumour stage. This meta-analysis indicates that PD-L1 expression is
a valuable predictor of the prognosis of patients with GC. PD-L1 expression could
be used for identifying a subgroup of patients, who would potentially benefit
from targeted therapy against PD-1 or PD-L1. Well-designed large-cohort studies
are needed to confirm these findings.
PMID- 27892512
TI - Where is iron in erionite? A multidisciplinary study on fibrous erionite-Na from
Jersey (Nevada, USA).
AB - Fibrous erionite is a mineral fibre of great concern but to date mechanisms by
which it induces cyto- and geno-toxic damage, and especially the role of iron
associated to this zeolite species, remain poorly understood. One of the reasons
is that we still don't know exactly where iron is in natural erionite. This work
is focused on fibrous erionite-Na from Jersey (Nevada, USA) and attempts to draw
a general model of occurrence of iron in erionite and relationship with toxicity
mechanisms. It was found that iron is present as 6-fold coordinated Fe3+ not part
of the zeolite structure. The heterogeneous nature of the sample was revealed as
receptacle of different iron-bearing impurities (amorphous iron-rich
nanoparticles, micro-particles of iron oxides/hydroxides, and flakes of
nontronite). If iron is not part of the structure, its role should be considered
irrelevant for erionite toxicity, and other factors like biopersistence should be
invoked. An alternative perspective to the proposed model is that iron rich nano
particles and nontronite dissolve in the intracellular acidic environment,
leaving a residue of iron atoms at specific surface sites anchored to the windows
of the zeolite channels. These sites may be active later as low nuclearity
groups.
PMID- 27892513
TI - Dissociable early attentional control mechanisms underlying cognitive and
affective conflicts.
AB - It has been well documented that cognitive conflict is sensitive to the relative
proportion of congruent and incongruent trials. However, few studies have
examined whether affective conflict processing is modulated as a function of
proportion congruency (PC). To address this question we recorded event-related
potentials (ERP) while subjects performed both cognitive and affective face-word
Stroop tasks. By varying the proportion of congruent and incongruent trials in
each block, we examined the extent to which PC impacts both cognitive and
affective conflict control at different temporal stages. Results showed that in
the cognitive task an anteriorly localized early N2 component occurred
predominantly in the low proportion congruency context, whereas in the affective
task it was found to occur in the high proportion congruency one. The N2 effects
across the two tasks were localized to the dorsolateral prefrontal cortex, where
responses were increased in the cognitive task but decreased in the affective
one. Furthermore, high proportions of congruent items produced both larger
amplitude of a posteriorly localized sustained potential component and a larger
behavioral Stroop effect in cognitive and affective tasks. Our findings suggest
that cognitive and affective conflicts engage early dissociable attentional
control mechanisms and a later common conflict response system.
PMID- 27892514
TI - GWAS study using DNA pooling strategy identifies association of variant rs4910623
in OR52B4 gene with anti-VEGF treatment response in age-related macular
degeneration.
AB - Pooled DNA based GWAS to determine genetic association of SNPs with visual acuity
(VA) outcome in anti-vascular endothelial growth factor (anti-VEGF) treated
neovascular age-related macular degeneration (nAMD) patients. We performed pooled
DNA based GWAS on 285 anti-VEGF treated nAMD patients using high density Illumina
4.3 M array. Primary outcome was change in VA in Early Treatment Diabetic
Retinopathy Study (ETDRS) letters after 6 months of anti-VEGF treatment (patients
who lost >=5 ETDRS letters classified as non-responders and all remaining
classified as responders). GWAS analysis identified 44 SNPs of interest: 37 with
strong evidence of association (p < 9 * 10-8), 2 in drug resistance genes (p < 5
* 10-6) and 5 nonsynonymous changes (p < 1 * 10-4). In the validation phase,
individual genotyping of 44 variants showed three SNPs (rs4910623 p = 5.6 * 10-5,
rs323085 p = 6.5 * 10-4 and rs10198937 p = 1.30 * 10-3) remained associated with
VA response at 6 months. SNP rs4910623 also associated with treatment response at
3 months (p = 1.5 * 10-3). Replication of these three SNPs in 376 patients
revealed association of rs4910623 with poor VA response after 3 and 6 months of
treatment (p = 2.4 * 10-3 and p = 3.5 * 10-2, respectively). Meta-analysis of
both cohorts (673 samples) confirmed association of rs4910623 with poor VA
response after 3 months (p = 1.2 * 10-5) and 6 months (p = 9.3 * 10-6) of
treatment in nAMD patients.
PMID- 27892515
TI - Heteronanostructured Co@carbon nanotubes-graphene ternary hybrids: synthesis,
electromagnetic and excellent microwave absorption properties.
AB - In order to explore high efficiency microwave absorption materials,
heteronanostructured Co@carbon nanotubes-graphene (Co@CNTs-G) ternary hybrids
were designed and produced through catalytic decomposition of acetylene at the
designed temperature (400, 450, 500 and 550 degrees C) over Co3O4/reduced
graphene oxide (Co3O4/RGO). By regulating the reaction temperatures, different
CNT contents of Co@CNTs-G ternary hybrids could be synthesized. The
investigations indicated that the as-prepared heteronanostructured Co@CNTs-G
ternary hybrids exhibited excellent microwave absorption properties, and their
electromagnetic and microwave absorption properties could be tuned by the CNT
content. The minimum reflection loss (RL) value reached approximately -65.6,
58.1, -41.1 and -47.5 dB for the ternary hybrids synthesized at 400, 450, 500 and
550 degrees C, respectively. And RL values below -20 dB (99% of electromagnetic
wave attenuation) could be obtained over the as-prepared Co@CNTs-G ternary
hybrids in the large frequency range. Moreover, based on the obtained results,
the possible enhanced microwave absorption mechanisms were discussed in details.
Therefore, a simple approach was proposed to explore the high performance
microwave absorbing materials as well as to expand the application field of
graphene-based materials.
PMID- 27892516
TI - In vivo coherent Raman imaging of the melanomagenesis-associated pigment
pheomelanin.
AB - Melanoma is the most deadly form of skin cancer with a yearly global incidence
over 232,000 patients. Individuals with fair skin and red hair exhibit the
highest risk for developing melanoma, with evidence suggesting the red/blond
pigment known as pheomelanin may elevate melanoma risk through both UV radiation
dependent and -independent mechanisms. Although the ability to identify,
characterize, and monitor pheomelanin within skin is vital for improving our
understanding of the underlying biology of these lesions, no tools exist for real
time, in vivo detection of the pigment. Here we show that the distribution of
pheomelanin in cells and tissues can be visually characterized non-destructively
and noninvasively in vivo with coherent anti-Stokes Raman scattering (CARS)
microscopy, a label-free vibrational imaging technique. We validated our CARS
imaging strategy in vitro to in vivo with synthetic pheomelanin, isolated
melanocytes, and the Mc1re/e, red-haired mouse model. Nests of pheomelanotic
melanocytes were observed in the red-haired animals, but not in the genetically
matched Mc1re/e; Tyrc/c ("albino-red-haired") mice. Importantly, samples from
human amelanotic melanomas subjected to CARS imaging exhibited strong
pheomelanotic signals. This is the first time, to our knowledge, that pheomelanin
has been visualized and spatially localized in melanocytes, skin, and human
amelanotic melanomas.
PMID- 27892517
TI - Chorioamnionitis appears not to be a Risk Factor for Patent Ductus Arteriosus in
Preterm Infants: A Systematic Review and Meta-Analysis.
AB - The contribution of chorioamnionitis (CA) to mortality and morbidity in preterm
infants is difficult to assess because observational studies frequently present
significant differences in baseline characteristics of the infants exposed or non
exposed to CA. In an attempt to perform a thorough assessment of the possible
association between CA and patent ductus arteriosus (PDA) in preterm infants, we
conducted a meta-analysis in which adjusted odds ratios (ORs) were pooled and we
analyzed the effects of potential confounders, such as gestational age (GA) or
birth weight (BW). We identified 45 relevant studies (27186 patients, 7742 CA
cases). Random effects meta-analysis of crude ORs showed a significant positive
association between CA and PDA (OR 1.352, 95% CI 1.172 to 1.560). Adjusted ORs
were reported in 11 studies (19577 infants). Meta-analysis of these studies
showed a significant negative association between CA and PDA (OR 0.802, 95% CI
0.751 to 0.959). Meta-regression showed that the differences in GA or BW between
the CA-exposed and non-exposed groups were significantly correlated with the
effect size of the association between PDA and CA. In conclusion, our study
confirms that confounders need to be taken into account when assessing the
association between CA and clinical outcomes in preterm infants.
PMID- 27892519
TI - Current induced polycrystalline-to-crystalline transformation in vanadium dioxide
nanowires.
AB - Vanadium dioxide (VO2) exhibits a reversible insulator-metal phase transition
that is of significant interest in energy-efficient nanoelectronic and
nanophotonic devices. In these applications, crystalline materials are usually
preferred for their superior electrical transport characteristics as well as
spatial homogeneity and low surface roughness over the device area for reduced
scattering. Here, we show applied electrical currents can induce a permanent
reconfiguration of polycrystalline VO2 nanowires into crystalline nanowires,
resulting in a dramatically reduced hysteresis across the phase transition and
reduced resistivity. Low currents below 3 mA were sufficient to cause the local
temperature in the VO2 to reach about 1780 K to activate the irreversible
polycrystalline-to-crystalline transformation. The crystallinity was confirmed by
electron microscopy and diffraction analyses. This simple yet localized post
processing of insulator-metal phase transition materials may enable new methods
of studying and fabricating nanoscale structures and devices formed from these
materials.
PMID- 27892518
TI - Brain microbiota disruption within inflammatory demyelinating lesions in multiple
sclerosis.
AB - Microbial communities reside in healthy tissues but are often disrupted during
disease. Bacterial genomes and proteins are detected in brains from humans,
nonhuman primates, rodents and other species in the absence of neurological
disease. We investigated the composition and abundance of microbiota in frozen
and fixed autopsied brain samples from patients with multiple sclerosis (MS) and
age- and sex-matched nonMS patients as controls, using neuropathological,
molecular and bioinformatics tools. 16s rRNA sequencing revealed Proteobacteria
to be the dominant phylum with restricted diversity in cerebral white matter (WM)
from MS compared to nonMS patients. Both clinical groups displayed 1,200-1,400
bacterial genomes/cm3 and low bacterial rRNA:rDNA ratios in WM. RNAseq analyses
showed a predominance of Proteobacteria in progressive MS patients' WM,
associated with increased inflammatory gene expression, relative to a broader
range of bacterial phyla in relapsing-remitting MS patients' WM. Although
bacterial peptidoglycan (PGN) and RNA polymerase beta subunit immunoreactivities
were observed in all patients, PGN immunodetection was correlated with
demyelination and neuroinflammation in MS brains. Principal component analysis
revealed that demyelination, PGN and inflammatory gene expression accounted for
86% of the observed variance. Thus, inflammatory demyelination is linked to an
organ-specific dysbiosis in MS that could contribute to underlying disease
mechanisms.
PMID- 27892520
TI - Internal epitope tagging informed by relative lack of sequence conservation.
AB - Many experimental techniques rely on specific recognition and stringent binding
of proteins by antibodies. This can readily be achieved by introducing an epitope
tag. We employed an approach that uses a relative lack of evolutionary
conservation to inform epitope tag site selection, followed by integration of the
tag-coding sequence into the endogenous locus in zebrafish. We demonstrate that
an internal epitope tag is accessible for antibody binding, and that tagged
proteins retain wild type function.
PMID- 27892521
TI - A novel bio-engineering approach to generate an eminent surface-functionalized
template for selective detection of female sex pheromone of Helicoverpa armigera.
AB - Plant pests exert serious effects on food production due to which the global crop
yields are reduced by ~20-40 percent per year. Hence to meet the world's food
needs, loses of food due to crop pests must be reduced. Herein the silicon
dioxide based MEMS devices are covalently functionalized for robust and efficient
optical sensing of the female sex pheromones of the pests like Helicoverpa
armigera for the first time in literature. The functionalized devices are also
capable of selectively measuring the concentration of this pheromone at femtogram
level which is much below the concentration of pheromone at the time of pest
infestation in an agricultural field. Experiments are also performed in a
confined region in the presence of male and female pests and tomato plants which
directly mimics the real environmental conditions. Again the reversible use and
absolutely trouble free transportation of these pheromone nanosensors heightens
their potentials for commercial use. Overall, a novel and unique approach for the
selective and reversible sensing of female sex pheromones of certain hazardous
pests is reported herein which may be efficiently and economically carried
forward from the research laboratory to the agricultural field.
PMID- 27892524
TI - Vectorized magnetometer for space applications using electrical readout of atomic
scale defects in silicon carbide.
AB - Magnetometers are essential for scientific investigation of planetary bodies and
are therefore ubiquitous on missions in space. Fluxgate and optically pumped
atomic gas based magnetometers are typically flown because of their proven
performance, reliability, and ability to adhere to the strict requirements
associated with space missions. However, their complexity, size, and cost prevent
their applicability in smaller missions involving cubesats. Conventional solid
state based magnetometers pose a viable solution, though many are prone to
radiation damage and plagued with temperature instabilities. In this work, we
report on the development of a new self-calibrating, solid-state based
magnetometer which measures magnetic field induced changes in current within a
SiC pn junction caused by the interaction of external magnetic fields with the
atomic scale defects intrinsic to the semiconductor. Unlike heritage designs, the
magnetometer does not require inductive sensing elements, high frequency radio,
and/or optical circuitry and can be made significantly more compact and
lightweight, thus enabling missions leveraging swarms of cubesats capable of
science returns not possible with a single large-scale satellite. Additionally,
the robustness of the SiC semiconductor allows for operation in extreme
conditions such as the hot Venusian surface and the high radiation environment of
the Jovian system.
PMID- 27892523
TI - Ultraviolet optomechanical crystal cavities with ultrasmall modal mass and high
optomechanical coupling rate.
AB - Optomechanical crystal (OMC) cavities which exploit the simultaneous photonic and
phononic bandgaps in periodic nanostructures have been utilized to colocalize,
couple, and transduce optical and mechanical resonances for nonlinear
interactions and precision measurements. The development of near-infrared OMC
cavities has difficulty in maintaining a high optomechanical coupling rate when
scaling to smaller mechanical modal mass because of the reduction of the spatial
overlap between the optical and mechanical modes. Here, we explore OMC nanobeam
cavities in gallium nitride operating at the ultraviolet wavelengths to overcome
this problem. With a novel optimization strategy, we have successfully designed
an OMC cavity, with a size of 3.83 * 0.17 * 0.13 MUm3 and the mechanical modal
mass of 22.83 fg, which possesses an optical mode resonating at the wavelength of
393.03 nm and the fundamental mechanical mode vibrating at 14.97 GHz. The
radiation-limited optical Q factor, mechanical Q factor, and optomechanical
coupling rate are 2.26 * 107, 1.30 * 104, and 1.26 MHz, respectively. Our design
and optimization approach can also serve as the general guidelines for future
development of OMC cavities with improved device performance.
PMID- 27892522
TI - SARS-CoV fusion peptides induce membrane surface ordering and curvature.
AB - Viral membrane fusion is an orchestrated process triggered by membrane-anchored
viral fusion glycoproteins. The S2 subunit of the spike glycoprotein from severe
acute respiratory syndrome (SARS) coronavirus (CoV) contains internal domains
called fusion peptides (FP) that play essential roles in virus entry. Although
membrane fusion has been broadly studied, there are still major gaps in the
molecular details of lipid rearrangements in the bilayer during fusion peptide
membrane interactions. Here we employed differential scanning calorimetry (DSC)
and electron spin resonance (ESR) to gather information on the membrane fusion
mechanism promoted by two putative SARS FPs. DSC data showed the peptides
strongly perturb the structural integrity of anionic vesicles and support the
hypothesis that the peptides generate opposing curvature stresses on
phosphatidylethanolamine membranes. ESR showed that both FPs increase lipid
packing and head group ordering as well as reduce the intramembrane water content
for anionic membranes. Therefore, bending moment in the bilayer could be
generated, promoting negative curvature. The significance of the ordering effect,
membrane dehydration, changes in the curvature properties and the possible role
of negatively charged phospholipids in helping to overcome the high kinetic
barrier involved in the different stages of the SARS-CoV-mediated membrane fusion
are discussed.
PMID- 27892525
TI - SXT/R391 integrative and conjugative elements in Proteus species reveal abundant
genetic diversity and multidrug resistance.
AB - SXT/R391 integrative and conjugative elements (ICEs) are self-transmissible
mobile genetic elements that are found in most members of Enterobacteriaceae.
Here, we determined fifteen SXT/R391 ICEs carried by Proteus isolates from food
(4.2%) and diarrhoea patients (17.3%). BLASTn searches against GenBank showed
that the fifteen SXT/R391 ICEs were closely related to that from different
Enterobacteriaceae species, including Proteus mirabilis. Using core gene
phylogenetic analysis, the fifteen SXT/R391 ICEs were grouped into six distinct
clusters, including a dominant cluster and three clusters that have not been
previously reported in Proteus isolates. The SXT/R391 ICEs shared a common
structure with a set of conserved genes, five hotspots and two variable regions,
which contained more foreign genes, including drug-resistance genes. Notably, a
class A beta-lactamase gene was identified in nine SXT/R391 ICEs. Collectively,
the ICE-carrying isolates carried resistance genes for 20 tested drugs. Six
isolates were resistant to chloramphenicol, kanamycin, streptomycin, trimethoprim
sulfamethoxazole, sulfisoxazole and tetracycline, which are drug resistances
commonly encoded by ICEs. Our results demonstrate abundant genetic diversity and
multidrug resistance of the SXT/R391 ICEs carried by Proteus isolates, which may
have significance for public health. It is therefore necessary to continuously
monitor the antimicrobial resistance and related mobile elements among Proteus
isolates.
PMID- 27892526
TI - Comprehensive Gene expression meta-analysis and integrated bioinformatic
approaches reveal shared signatures between thrombosis and myeloproliferative
disorders.
AB - Thrombosis is a leading cause of morbidity and mortality in patients with
myeloproliferative disorders (MPDs), particularly polycythemia vera (PV) and
essential thrombocythemia (ET). Despite the attempts to establish a link between
them, the shared biological mechanisms are yet to be characterized. An integrated
gene expression meta-analysis of five independent publicly available microarray
data of the three diseases was conducted to identify shared gene expression
signatures and overlapping biological processes. Using INMEX bioinformatic tool,
based on combined Effect Size (ES) approaches, we identified a total of 1,157
differentially expressed genes (DEGs) (697 overexpressed and 460 underexpressed
genes) shared between the three diseases. EnrichR tool's rich library was used
for comprehensive functional enrichment and pathway analysis which revealed "mRNA
Splicing" and "SUMO E3 ligases SUMOylate target proteins" among the most enriched
terms. Network based meta-analysis identified MYC and FN1 to be the most highly
ranked hub genes. Our results reveal that the alterations in biomarkers of the
coagulation cascade like F2R, PROS1, SELPLG and ITGB2 were common between the
three diseases. Interestingly, the study has generated a novel database of
candidate genetic markers, pathways and transcription factors shared between
thrombosis and MPDs, which might aid in the development of prognostic therapeutic
biomarkers.
PMID- 27892527
TI - Abnormal Hypermethylation of the VDAC2 Promoter is a Potential Cause of
Idiopathic Asthenospermia in Men.
AB - This study aimed to explore the association between the methylation status of the
VDAC2 gene promoter region and idiopathic asthenospermia (IAS). Twenty-five IAS
patients and 27 fertile normozoospermia (NZ) were involved. GC-2spd cells were
treated with different concentrations of 5-aza-2'-deoxycytidine (5-Aza-CdR) for
24 h and 48 h. qRT-PCR was conducted to reveal whether or not VDAC2 expression
was regulated by methylated modification. A dual-luciferase activity detection
was used to verify VDAC2 promoter activity in GC-2spd cells. Bisulphite genomic
sequence was used to analyse DNA methylation of the VDAC2 promoter. The results
showed that VDAC2 expression was significantly increased after treated with 5-Aza
CdR. A strong activity of the promoter (-2000 bp to +1000 bp) was detected by
dual-luciferase activity detection (P < 0.05). The bisulphite genomic sequencing
and correlation analysis showed that sperm motility was positively associated
with the methylation pattern of uncomplete methylation and mild hypermethylation,
and negatively related to the percentage of moderate methylation. In conclusion,
high methylation of the VDAC2 promoter CpGs could be positively correlated with
low sperm motility. Abnormal methylation of VDAC2 promoter may be a potential
cause to idiopathic asthenospermia.
PMID- 27892528
TI - Sphingomyelin generated by sphingomyelin synthase 1 is involved in attachment and
infection with Japanese encephalitis virus.
AB - Japanese encephalitis virus (JEV) is a mosquito-borne RNA virus which infects
target cells via the envelope protein JEV-E. However, its cellular targets are
largely unknown. To investigate the role of sphingomyelin (SM) in JEV infection,
we utilized SM-deficient immortalized mouse embryonic fibroblasts (tMEF)
established from SM synthase 1 (SMS1)/SMS2 double knockout mice. SMS deficiency
significantly reduced both intracellular and extracellular JEV levels at 48 h
after infection. Furthermore, after 15 min treatment with JEV, the early steps of
JEV infection such as attachment and cell entry were also diminished in SMS
deficient tMEFs. The inhibition of JEV attachment and infection were recovered by
overexpression of SMS1 but not SMS2, suggesting SMS1 contributes to SM production
for JEV attachment and infection. Finally, intraperitoneal injection of JEV into
SMS1-deficient mice showed an obvious decrease of JEV infection and its
associated pathologies, such as meningitis, lymphocyte infiltration, and
elevation of interleukin 6, compared with wild type mice. These results suggest
that SMS1-generated SM on the plasma membrane is related in JEV attachment and
subsequent infection, and may be a target for inhibition of JEV infection.
PMID- 27892529
TI - A peptidoglycan recognition protein acts in whitefly (Bemisia tabaci) immunity
and involves in Begomovirus acquisition.
AB - Peptidoglycan recognition proteins (PGRPs) are multifunctional pattern
recognition proteins. Here, we report that a PGRP gene, BtPGRP, encodes a PGRP
from the whitefly Bemisia tabaci (MEAM1) that binds and kills bacteria in vitro.
We analyzed BtPGRP transcriptional profiling, and the distribution of the cognate
protein within the midgut. Fungal infection and wasp parasitization induced
expression of BtPGRP. Silencing BtPGRP with artificial media amended with dsRNA
led to reduced expression of a gene encoding an antimicrobial peptide, B. tabaci
c-type lysozyme. Begomovirus infection also led to increased expression of
BtPGRP. We propose that BtPGRP has a potential Tomato yellow leaf curl virus
(TYLCV) binding site because we detected in vitro interaction between BtPGRP and
TYLCV by immunocapture PCR, and recorded the co-localization of TYLCV and BtPGRP
in midguts. This work addresses a visible gap in understanding whitefly immunity
and provides insight into how the whitefly immunity acts in complex mechanisms of
Begomovirus transmission among plants.
PMID- 27892531
TI - A genome-wide association study identifies a horizontally transferred bacterial
surface adhesin gene associated with antimicrobial resistant strains.
AB - Carbapenems are a class of last-resort antibiotics; thus, the increase in
bacterial carbapenem-resistance is a serious public health threat. Acinetobacter
baumannii is one of the microorganisms that can acquire carbapenem-resistance; it
causes severe nosocomial infection, and is notoriously difficult to control in
hospitals. Recently, a machine-learning approach was first used to analyze the
genome sequences of hundreds of susceptible and resistant A. baumannii strains,
including those carrying commonly acquired resistant mechanisms, to build a
classifier that can predict strain resistance. A complementary approach is to
explore novel genetic elements that could be associated with the antimicrobial
resistance of strains, independent of known mechanisms. Therefore, we carefully
selected A. baumannii strains, spanning various genotypes, from public genome
databases, and conducted the first genome-wide association study (GWAS) of
carbapenem resistance. We employed a recently developed method, capable of
identifying any kind of genetic variation and accounting for bacterial population
structure, and evaluated its effectiveness. Our study identified a surface
adhesin gene that had been horizontally transferred to an ancestral branch of A.
baumannii, as well as a specific region of that gene that appeared to accumulate
multiple individual variations across the different branches of carbapenem
resistant A. baumannii strains.
PMID- 27892530
TI - Fine tuning of Rac1 and RhoA alters cuspal shapes by remolding the cellular
geometry.
AB - The anatomic and functional combinations of cusps and lophs (ridges) define the
tooth shape of rodent molars, which distinguishes species. The species-specific
cusp patterns result from the spatiotemporal induction of enamel knots (EKs),
which require precisely controlled cellular behavior to control the epithelial
invagination. Despite the well-defined roles of EK in cusp patterning, the
determinants of the ultimate cuspal shapes and involvement of epithelial cellular
geometry are unknown. Using two typical tooth patterns, the lophodont in gerbils
and the bunodont in mice, we showed that the cuspal shape is determined by the
dental epithelium at the cap stage, whereas the cellular geometry in the inner
dental epithelium (IDE) is correlated with the cuspal shape. Intriguingly, fine
tuning Rac1 and RhoA interconvert cuspal shapes between two species by remolding
the cellular geometry. Either inhibition of Rac1 or ectopic expression of RhoA
could region-distinctively change the columnar shape of IDE cells in gerbils to
drive invagination to produce cusps. Conversely, RhoA reduction in mice inhibited
invagination and developed lophs. Furthermore, we found that Rac1 and RhoA
modulate the choices of cuspal shape by coordinating adhesion junctions, actin
distribution, and fibronectin localization to drive IDE invagination.
PMID- 27892532
TI - Si doped T6 carbon structure as an anode material for Li-ion batteries: An ab
initio study.
AB - First-principles calculations are performed to identify the pristine and Si doped
3D metallic T6 carbon structure (having both sp2 and sp3 type hybridization) as a
new carbon based anode material. The pi electron of C2 atoms (sp2 bonded) forms
an out of plane network that helps to capture the Li atom. The highest Li storage
capacity of Si doped T6 structure with conformation Li1.7Si1C5 produces
theoretical specific capacity of 632 mAh/g which substantially exceeding than
graphite. Also, open-circuit voltage (OCV) with respect to Li metal shows large
negative when compared to the pristine T6 structure. This indicates modifications
in terms of chemical properties are required in anode materials for practical
application. Among various doped (Si, Ge, Sn, B, N) configuration, Si doped T6
structure provides a stable positive OCV for high Li concentrations. Likewise,
volume expansion study also shows Si doped T6 structure is more stable with less
pulverization and substantial capacity losses in comparison with graphite and
silicon as an anode materials. Overall, mixed hybridized (sp2 + sp3) Si doped T6
structure can become a superior anode material than present sp2 hybridized
graphite and sp3 hybridized Si structure for modern Lithium ion batteries.
PMID- 27892533
TI - Small-sized, stable lipid nanoparticle for the efficient delivery of siRNA to
human immune cell lines.
AB - Gene silencing by small interfering RNA (siRNA) is useful for analyzing the
functions of human immune cells. However, the transfection of siRNA to human
immune cells is difficult. Here, we used a multifunctional envelope-type
nanodevice (MEND) containing YSK12-C4 (YSK12-MEND) to efficiently introduce siRNA
to human immune cell lines, Jurkat, THP-1, KG-1 and NK92. The YSK12-MEND was
transfected to human immune cell lines at a siRNA dose range of 1-30 nM,
resulting that maximum gene silencing efficiencies at the mRNA level in Jurkat,
THP-1, KG-1 and NK92 were 96%, 96%, 91% and 75%, respectively. The corresponding
values for Lipofectamine RNAiMAX (RNAiMAX) were 37%, 56%, 43% and 19%,
respectively. The process associated with cellular uptake played a role in
effective gene silencing effect of the YSK12-MEND. The small size and high non
aggregability of the YSK12-MEND were advantageous for the cellular
internalization of siRNA to immune cell lines. In the case of RNAiMAX, a drastic
increase in particles size was observed in the medium used, which inhibited
cellular uptake. The YSK12-MEND reported in herein appears to be appropriate for
delivering siRNA to human immune cells, and the small particle size and non
aggregability are essential properties.
PMID- 27892534
TI - Improving Estimation of Fiber Orientations in Diffusion MRI Using Inter-Subject
Information Sharing.
AB - Diffusion magnetic resonance imaging is widely used to investigate diffusion
patterns of water molecules in the human brain. It provides information that is
useful for tracing axonal bundles and inferring brain connectivity. Diffusion
axonal tracing, namely tractography, relies on local directional information
provided by the orientation distribution functions (ODFs) estimated at each
voxel. To accurately estimate ODFs, data of good signal-to-noise ratio and
sufficient angular samples are desired. This is however not always available in
practice. In this paper, we propose to improve ODF estimation by using inter
subject image correlation. Specifically, we demonstrate that diffusion-weighted
images acquired from different subjects can be transformed to the space of a
target subject to drastically increase the number of angular samples to improve
ODF estimation. This is largely due to the incoherence of the angular samples
generated when the diffusion signals are reoriented and warped to the target
space. To reorient the diffusion signals, we propose a new spatial normalization
method that directly acts on diffusion signals using local affine transforms.
Experiments on both synthetic data and real data show that our method can reduce
noise-induced artifacts, such as spurious ODF peaks, and yield more coherent
orientations.
PMID- 27892535
TI - Climate-driven increase of natural wetland methane emissions offset by human
induced wetland reduction in China over the past three decades.
AB - Both anthropogenic activities and climate change can affect the biogeochemical
processes of natural wetland methanogenesis. Quantifying possible impacts of
changing climate and wetland area on wetland methane (CH4) emissions in China is
important for improving our knowledge on CH4 budgets locally and globally.
However, their respective and combined effects are uncertain. We incorporated
changes in wetland area derived from remote sensing into a dynamic CH4 model to
quantify the human and climate change induced contributions to natural wetland
CH4 emissions in China over the past three decades. Here we found that human
induced wetland loss contributed 34.3% to the CH4 emissions reduction (0.92
TgCH4), and climate change contributed 20.4% to the CH4 emissions increase (0.31
TgCH4), suggesting that decreasing CH4 emissions due to human-induced wetland
reductions has offset the increasing climate-driven CH4 emissions. With climate
change only, temperature was a dominant controlling factor for wetland CH4
emissions in the northeast (high latitude) and Qinghai-Tibet Plateau (high
altitude) regions, whereas precipitation had a considerable influence in relative
arid north China. The inevitable uncertainties caused by the asynchronous for
different regions or periods due to inter-annual or seasonal variations among
remote sensing images should be considered in the wetland CH4 emissions
estimation.
PMID- 27892537
TI - Gaussian entanglement generation from coherence using beam-splitters.
AB - The generation and quantification of quantum entanglement is crucial for quantum
information processing. Here we study the transition of Gaussian correlation
under the effect of linear optical beam-splitters. We find the single-mode
Gaussian coherence acts as the resource in generating Gaussian entanglement for
two squeezed states as the input states. With the help of consecutive beam
splitters, single-mode coherence and quantum entanglement can be converted to
each other. Our results reveal that by using finite number of beam-splitters, it
is possible to extract all the entanglement from the single-mode coherence even
if the entanglement is wiped out before each beam-splitter.
PMID- 27892536
TI - Investigation of Cell-Substrate Adhesion Properties of Living Chondrocyte by
Measuring Adhesive Shear Force and Detachment Using AFM and Inverse FEA.
AB - It is well-known that cell adhesion is important in many biological processes
such as cell migration and proliferation. A better understanding of the cell
adhesion process will shed insight into these cellular biological responses as
well as cell adhesion-related diseases treatment. However, there is little
research which has attempted to investigate the process of cell adhesion and its
mechanism. Thus, this paper aims to study the time-dependent adhesion properties
of single living chondrocytes using an advanced coupled experimental-numerical
approach. Atomic Force Microscopy (AFM) tips will be used to apply lateral forces
to detach chondrocytes that are seeded for three different periods. An advanced
Finite Element Analysis (FEA) model combining porohyperelastic (PHE) constitutive
model and cohesive zone formulation is developed to explore the mechanism of
adhesion. The results revealed that the cells can resist normal traction better
than tangential traction in the beginning of adhesion. This is when the cell
adhesion molecules establish early attachment to the substrates. After that when
the cells are spreading, stress fiber bundles generate tangential traction on the
substrate to form strong adhesion. Both simulation and experimental results agree
well with each other, providing a powerful tool to study the cellular adhesion
process.
PMID- 27892539
TI - Chemotypic variation in terpenes emitted from storage pools influences early
aphid colonisation on tansy.
AB - Tansy plants (Tanacetum vulgare L.) exhibit high chemical variation, particularly
in mono- and sesquiterpenes that are stored in specialised glands on the plant
surface. In the present work we investigated the effects of terpene chemotypes on
Metopeurum fuscoviride, an aphid species specialised on tansy, and their tending
ants, at the field scale. Previous studies have chemotyped tansy by assessing
dominant compounds; here we propose a method of chemotyping using all volatile
compounds that are likely emitted from the storage glands. The analysis is based
on two extraction methods: GC-MS analysis of leaf hexane extracts and SBSE
analysis of headspace emissions. In an initial screening we identified the subset
of compounds present in both chemical patterns, labelled as 'compounds likely
emitted from storage'. In a large field survey we could show that the putative
chemotypic emission pattern from storage pools significantly affected the early
aphid colonisation of tansy. Moreover, the statistical analyses revealed that
minor compounds exerted a stronger influence on aphid and tending-ant presence
than dominant compounds. Overall we demonstrated that within the enormous
chemotypic variation of terpenes in tansy plants, chemical signatures of volatile
terpenes can be related to the occurrence of insects on individual plants in the
field.
PMID- 27892538
TI - Helicobacter pylori is associated with dyslipidemia but not with other risk
factors of cardiovascular disease.
AB - Epidemiologic and clinical data suggest that Helicobacter pylori infection is a
contributing factor in the progression of atherosclerosis. However, the specific
cardiovascular disease risk factors associated with H. pylori remain unclear. We
performed a cross-sectional study of 37,263 consecutive healthy subjects who
underwent a routine health check-up. In multivariable log Poisson regression
models adjusted for potential confounders, the associations of H. pylori
seropositivity with higher LDL-C (relative risk [RR], 1.21; 95% confidence
interval [CI], 1.12-1.30) and lower HDL-C level (RR, 1.10; 95% CI, 1.01-1.18)
were significant and independent. In multiple linear regression analyses, H.
pylori infection was significantly associated with higher total cholesterol level
(coefficient = 2.114, P < 0.001), higher LDL-C level (coefficient = 3.339, P <
0.001), lower HDL-C level (coefficient = -1.237, P < 0.001), and higher diastolic
blood pressure (coefficient = 0.539, P = 0.001). In contrast, H. pylori infection
was not associated with obesity-related parameters (body mass index, waist
circumference), glucose tolerance (fasting glucose, glycated hemoglobin), and
systolic blood pressure. We found that H. pylori infection was significantly and
independently associated with dyslipidemia, but not with other cardiometabolic
risk factors, after adjusting for potential risk factors of atherosclerosis.
PMID- 27892540
TI - Pancreatic Mesenchyme Regulates Islet Cellular Composition in a Patched/Hedgehog
Dependent Manner.
AB - Pancreas development requires restrained Hedgehog (Hh) signaling activation.
While deregulated Hh signaling in the pancreatic mesenchyme has been long
suggested to be detrimental for proper organogenesis, this association was not
directly shown. Here, we analyzed the contribution of mesenchymal Hh signaling to
pancreas development. To increase Hh signaling in the pancreatic mesenchyme of
mouse embryos, we deleted Patched1 (Ptch1) in these cells. Our findings indicate
that deregulated Hh signaling in mesenchymal cells was sufficient to impair
pancreas development, affecting both endocrine and exocrine cells. Notably,
transgenic embryos displayed disrupted islet cellular composition and morphology,
with a reduced beta-cell portion. Our results indicate that the cell-specific
growth rates of alpha- and beta-cell populations, found during normal
development, require regulated mesenchymal Hh signaling. In addition, we detected
hyperplasia of mesenchymal cells upon elevated Hh signaling, accompanied by them
acquiring smooth-muscle like phenotype. By specifically manipulating mesenchymal
cells, our findings provide direct evidence for the non-autonomous roles of the
Hh pathway in pancreatic epithelium development. To conclude, we directly show
that regulated mesenchymal Hh signaling is required for pancreas organogenesis
and establishment of its proper cellular composition.
PMID- 27892541
TI - Multi-strategy genome-wide association studies identify the DCAF16-NCAPG region
as a susceptibility locus for average daily gain in cattle.
AB - Average daily gain (ADG) is the most economically important trait in beef cattle
industry. Using genome-wide association study (GWAS) approaches, previous studies
have identified several causal variants within the PLAG1, NCAPG and LCORL genes
for ADG in cattle. Multi-strategy GWASs were implemented in this study to improve
detection and to explore the causal genes and regions. In this study, we
conducted GWASs based on the genotypes of 1,173 Simmental cattle. In the SNP
based GWAS, the most significant SNPs (rs109303784 and rs110058857, P = 1.78 * 10
7) were identified in the NCAPG intron on BTA6 and explained 4.01% of the
phenotypic variance, and the independent and significant SNP (rs110406669, P =
5.18 * 10-6) explained 3.32% of the phenotypic variance. Similarly, in the
haplotype-based GWAS, the most significant haplotype block, Hap-6-N1416 (P = 2.56
* 10-8), spanned 12.7 kb on BTA6 and explained 4.85% of the phenotypic variance.
Also, in the gene-based GWAS, seven significant genes were obtained which
included DCAF16 and NCAPG. Moreover, analysis of the transcript levels confirmed
that transcripts abundance of NCAPG (P = 0.046) and DCAF16 (P = 0.046) were
significantly correlated with the ADG trait. Overall, our results from the multi
strategy GWASs revealed the DCAF16-NCAPG region to be a susceptibility locus for
ADG in cattle.
PMID- 27892542
TI - Streptococcus pneumoniae disrupts pulmonary immune defence via elastase release
following pneumolysin-dependent neutrophil lysis.
AB - Streptococcus pneumoniae is a leading cause of bacterial pneumonia and is the
principal cause of morbidity and mortality worldwide. Previous studies suggested
that excessive activation of neutrophils results in the release of neutrophil
elastase, which contributes to lung injury in severe pneumonia. Although both
pneumococcal virulence factors and neutrophil elastase contribute to the
development and progression of pneumonia, there are no studies analysing
relationships between these factors. Here, we showed that pneumolysin, a
pneumococcal pore-forming toxin, induced cell lysis in primary isolated human
neutrophils, leading to the release of neutrophil elastase. Pneumolysin exerted
minimal cytotoxicity against alveolar epithelial cells and macrophages, whereas
neutrophil elastase induced detachment of alveolar epithelial cells and impaired
phagocytic activity in macrophages. Additionally, activation of neutrophil
elastase did not exert bactericidal activity against S. pneumoniae in vitro. P2X7
receptor, which belongs to a family of purinergic receptors, was involved in
pneumolysin-induced cell lysis. These findings suggested that infiltrated
neutrophils are the primary target cells of pneumolysin, and that S. pneumoniae
exploits neutrophil-elastase leakage to induce the disruption of pulmonary immune
defences, thereby causing lung injury.
PMID- 27892543
TI - Functional and Structural Signatures of the Anterior Insula are associated with
Risk-taking Tendency of Analgesic Decision-making.
AB - In a medical context, decision-making is associated with complicated assessment
of gains, losses and uncertainty of outcomes. We here provide novel evidence
about the brain mechanisms underlying decision-making of analgesic treatment.
Thirty-six healthy participants were recruited and completed the Analgesic
Decision-making Task (ADT), which quantified individual tendency of risk-taking
(RPI), as the frequency of choosing a riskier option to relieve pain. All the
participants received resting-state (rs) functional magnetic resonance imaging
(MRI) and structural MRI. On rs-functional connectome, degree centrality (DC) of
the bilateral anterior insula (aINS) was positively correlated with the RPI. The
functional connectivity between the aINS, the nucleus accumbens and multiple
brain regions, predominantly the medial frontal cortex, was positively correlated
with the RPI. On structural signatures, the RPI was positively correlated with
grey matter volume at the right aINS, and such an association was mediated by DC
of the left aINS. Regression analyses revealed that both DC of the left aINS and
participants' imagined pain relief, as the utility of pain reduction, could
predict the individual RPI. The findings suggest that the functional and
structural brain signature of the aINS is associated with the individual
differences of risk-taking tendency in the context of analgesic decision-making.
PMID- 27892544
TI - Boron-doped diamond semiconductor electrodes: Efficient photoelectrochemical CO2
reduction through surface modification.
AB - Competitive hydrogen evolution and multiple proton-coupled electron transfer
reactions limit photoelectrochemical CO2 reduction in aqueous electrolyte. Here,
oxygen-terminated lightly boron-doped diamond (BDDL) thin films were synthesized
as a semiconductor electron source to accelerate CO2 reduction. However, BDDL
alone could not stabilize the intermediates of CO2 reduction, yielding a
negligible amount of reduction products. Silver nanoparticles were then deposited
on BDDL because of their selective electrochemical CO2 reduction ability.
Excellent selectivity (estimated CO:H2 mass ratio of 318:1) and recyclability
(stable for five cycles of 3 h each) for photoelectrochemical CO2 reduction were
obtained for the optimum silver nanoparticle-modified BDDL electrode at -1.1 V
vs. RHE under 222-nm irradiation. The high efficiency and stability of this
catalyst are ascribed to the in situ photoactivation of the BDDL surface during
the photoelectrochemical reaction. The present work reveals the potential of BDDL
as a high-energy electron source for use with co-catalysts in photochemical
conversion.
PMID- 27892545
TI - Erythrocyte membrane based cationic polymer-mcDNA complexes as an efficient gene
delivery system.
AB - Gene therapy has great promise for the treatment of obtained and inherited
serious diseases. However, the lack of safe and efficient gene delivery systems
remains a barrier for their clinical application. Here, we reported a potential
gene delivery vehicle composed of the erythrocyte membrane and cationic polymers,
for example the XtremeGENE from Roche and the epsilon-caprolactone modified
polyethylenimine. In addition to high efficiency, this system showed negligible
cytotoxicity compared to the two cationic polymers alone in various cell lines,
including human embryonic kidney cells (293T), human liver cancer cells (Huh7 and
HepG2), murine dendritic cells (DC2.4) and human umbilical cord mesenchymal stem
cells (Hu-MSCs). Moreover, the results of confocal laser scanning microscopy and
flow cytometry suggested that the cell uptake of this gene vector was improved
and might be introduced by the fusion interaction between the erythrocyte
membrane and targeted cells.Thus, all the results revealed that the erythrocyte
membrane based gene delivery system might be able to serve as an excellent gene
delivery system.
PMID- 27892549
TI - Hydrogen-bonding catalysis of sulfonium salts.
AB - Although quaternary ammonium and phosphonium salts are known as important
catalysts in phase-transfer catalysis, the catalytic ability of tertiary
sulfonium salts has not yet been well demonstrated. Herein, we demonstrate the
catalytic ability of trialkylsulfonium salts as hydrogen-bonding catalysts on the
basis of the characteristic properties of the acidic alpha hydrogen atoms on
alkylsulfonium salts.
PMID- 27892550
TI - Multifunctional and robust composite materials comprising gold nanoparticles at a
spherical polystyrene particle surface.
AB - The preparation of a family of composite particles comprising gold nanoparticles
(AuNP) assembled at a polystyrene (PS) surface is reported. Tunable loading is
demonstrated for AuNP sizes (4.5-26 nm). The robust composites are stable to
multiple centrifugation and dispersion cycles and to conditions of high ionic
strength, physiological buffer and cell culture media. These properties provide
potential for a variety of applications from cellular studies to catalysis.
PMID- 27892551
TI - Cascade photoredox/gold catalysis: access to multisubstituted indoles via
aminoarylation of alkynes.
AB - A new method for the synthesis of 3-arylindoles has been developed by visible
light mediated dual gold/photoredox catalysis. This transformation has many
features such as cascade catalysis, high efficiency, redox-neutral reaction
conditions and good functional group tolerance. The reaction proceeds through the
photoredox-promoted formation of an electrophilic arylgold(iii) intermediate that
undergoes coupling with the arylamine nucleophile.
PMID- 27892552
TI - Lessons learned in tuning the optoelectronic properties of phosphorescent
iridium(iii) complexes.
AB - This perspective illustrates our approach in the design of heteroleptic cationic
iridium(iii) complexes for optoelectronic applications, especially as emitters in
electroluminescent devices. We discuss changes in the photophysical properties of
the complexes as a consequence of modification of the electronics of either the
cyclometalating (C^N) or the ancillary (N^N) ligands. We then broach the impact
on these properties as a function of modification of the structure of both types
of ligands. We explain trends in the optoelectronic behaviour of the complexes
using a combination of rationally designed structure-property relationship
studies and theoretical modelling that serves to inform subsequent ligand design.
However, we have found cases where the design paradigms do not always hold true.
Nevertheless, all these studies contribute to the lessons we have learned in the
design of heteroleptic cationic phosphorescent iridium(iii) complexes.
PMID- 27892553
TI - Photochemical CO2 reduction in water using a co-immobilised nickel catalyst and a
visible light sensitiser.
AB - A dye-sensitised CO2 reduction photocatalyst that operates in water is reported.
Transient spectroscopy demonstrates that the facile co-immobilisation of a Ru dye
and a Ni CO2 reduction electrocatalyst enables efficient on-particle electron
transfer leading to photocatalytic activity that greatly exceeds the equivalent
solution based system.
PMID- 27892554
TI - An alpha-helical peptidomimetic scaffold for dynamic combinatorial library
formation.
AB - A novel oligobenzamide-based alpha-helix mimetic was designed and synthesised
with either imine or hydrazone functionalities that serve both to pre-organise
the side-chain vectors to mimic the i, i + 4 and i + 7 residues of an alpha
helix, and to allow for the facile creation of dynamic libraries.
PMID- 27892555
TI - One-pot synthesis of micron partly hollow anisotropic dumbbell shaped silica core
shell particles.
AB - A facile method is described to prepare micron partly hollow dumbbell silica
particles in a single step. The obtained particles consist of a large dense part
and a small hollow lobe. The spherical dense core as well as the hollow lobe are
covered by mesoporous channels. In the case of the smaller lobe these channels
are responsible for the permeability of the shell which was demonstrated by
confocal imaging and spectroscopy.
PMID- 27892556
TI - Thermal decomposition of ammonium hexachloroosmate.
AB - Structural changes of (NH4)2[OsCl6] occurring during thermal decomposition in a
reduction atmosphere have been studied in situ using combined energy-dispersive X
ray absorption spectroscopy (ED-XAFS) and powder X-ray diffraction (PXRD).
According to PXRD, (NH4)2[OsCl6] transforms directly to metallic Os without the
formation of any crystalline intermediates but through a plateau where no
reactions occur. XANES and EXAFS data by means of Multivariate Curve Resolution
(MCR) analysis show that thermal decomposition occurs with the formation of an
amorphous intermediate {OsCl4}x with a possible polymeric structure. Being
revealed for the first time the intermediate was subjected to determine the local
atomic structure around osmium. The thermal decomposition of hexachloroosmate is
much more complex and occurs within a minimum two-step process, which has never
been observed before.
PMID- 27892557
TI - Dipolar molecules inside C70: an electric field-driven room-temperature single
molecule switch.
AB - We propose a two-state electric field-driven room-temperature single-molecule
switch based on a dipolar molecule enclosed inside ellipsoidal fullerene C70. We
show that the two low-energy minima of the molecular dipole inside the C70 cage
provide distinguishable molecular states of the system that can be switched by
application of an external electric field.
PMID- 27892558
TI - Synthesis and reductive elimination of arylPd(ii) trifluoromethyl complexes: a
remarkable concentration effect on chemoselectivity.
AB - Reductive elimination from Pd(ii) aryl trifluoromethyl complexes is a challenging
and elusive step which is accompanied by a number of kinetically more favorable
side reactions giving rising to a complex mixture. We report herein the synthesis
and isolation of several arylPd(ii) trifluoromethyl complexes (2a-c) and study
their electronic structures, photophysical properties and reductive elimination
reactivities. A remarkable concentration effect on chemoselectivity is observed
for thermal decomposition of (Xantphos)Pd(ii)(Ar)(CF3) (2c) that favors the
formation of Ar-CF3 at lower concentrations, but gives increasingly more Ar-Ar
homocoupling product to a dominant extent as the concentration of 2c increases.
This is solid evidence for the involvement of an intermolecular Ar/CF3 ligand
exchange/Ar-Ar reductive elimination mechanism that has been proposed based on
DFT computational studies. The interplay between theory and experiment provides
valuable insights into the mechanism and kinetics of the key elementary reaction
of reductive elimination at Pd(ii), and may thus prompt the design of more
efficient Pd-mediated nucleophilic trifluoromethylation reactions.
PMID- 27892559
TI - Insights into bonding interactions and excitation energies of 3d-4f mixed
lanthanide transition metal macrocyclic complexes.
AB - In this contribution, a computational study of equatorial bound tetranuclear
macrocycle (butylene linked) [LnZn(HOMBu)]3+ (Ln = La3+, Ce3+) complexes was
carried out. Here, the electronic structure, bonding interaction and excitation
energies were studied within the relativistic density functional theory
framework. From the electronic structure analysis, the frontier molecular
orbitals (FMOs) were strongly localized in the d-orbitals of the Zn centers and
the f-orbitals of the lanthanide ions. Besides, the inner MOs were found to
exhibit a pi-character from the organic part of the macrocyclic chain. EDA-NOCV
was used as a tool for evaluating the bonding interaction, taking the trinuclear
metallomacrocycle (ZnHOMBu) and the lanthanide center as fragments. This analysis
showed that the interaction between these fragments was slightly covalent; with
this covalency being the result of a charge transfer from the metallomacrocyclic
ring to the lanthanide. This phenomenon was observed in the deformation density
channels obtained from the EDA-NOCV study; in which pi- and sigma-charge transfer
was observed. Finally, the TD-DFT study of the excitation energies evidenced
three sets of bands: the first set with the highest intensity represented the
ligand to metal charge transfer bands; the second set could be attributed to the
3d-4f electronic transitions between the metal centers; and the third set
represented the f-f bands found for the open-shell cerium complex. This class of
complexes accomplishes the "antenna effect" principle, which states that highly
absorptive transition-metal (TM) complexes can be used to enhance the
luminescence of poorly emissive systems, and are introduced in this study as self
sensitizer bimetallic d-f systems with potential applications in near infra-red
(NIR) technologies.
PMID- 27892560
TI - Solution-phase synthesis of 1D tubular polymers via preorganization
polymerization.
AB - The synthesis of one-dimensional tubular polymers via a preorganization
polymerization approach is presented. Prior to polymerization, photo-reactive
monomers self-assemble into one-dimensional tubular structures in aqueous medium.
The supramolecular polymers are subsequently converted into covalent polymers by
light-induced anthracene dimerization.
PMID- 27892561
TI - AIE fluorophore with enhanced cellular uptake for tracking esterase-activated
release of taurine and ROS scavenging.
AB - Fluorophores with aggregation-induced emission (AIE) characteristics are
attractive and versatile tools for both chemical sensing and biological imaging.
Herein, we designed and synthesized a fluorescent light-up system CTPE-Tau with
enhanced cellular uptake ability. The system possesses several advantages, such
as a large Stokes shift, low cytotoxicity, and good photostability. Also, it has
been successfully utilized to track esterase-activated release of taurine and to
scavenge intracellular ROS, and shows great potential for trackable visualized
therapy.
PMID- 27892562
TI - Aggregation-induced enhanced green light emission from a simple donor-pi-acceptor
(D-pi-A) material: a structure-property relationship study.
AB - Organic D-pi-A materials, possessing intramolecular charge transfer, have
attracted much scientific attention in recent years because of their potential
applications in the development of organic light emitting devices (OLEDs). Two
new compounds, A1 and A2, having a D-pi-A skeleton have been synthesized and
single crystals were grown by the solution growth technique. Both compounds were
characterized for crystallographic, thermal and photophysical properties. Upon
photo-excitation in the solid state, A1 showed very strong green light emission
while A2 gave sky-blue emission with much lower intensity. A single crystal X-ray
diffraction study revealed that in the crystal lattice of A1, both the donor and
acceptor groups are involved in the intermolecular interactions. This results in
the restricted intramolecular rotation (RIR) of the D and A moieties, and enables
A1 to emit more intensely in the solid state due to aggregation-induced emission
(AIE). Intense green light emission, along with a good crystalline nature
indicates that A1 might be a potential candidate for opto-electronic devices.
PMID- 27892563
TI - Using reference materials to improve the quality of data generated by USEPA
analytical methods.
AB - The quality of data generated for the analysis of environmental samples is
critical to State and Federal regulatory agencies to ensure that decisions based
upon the amounts of contaminants in environmental samples are truly protective of
public health. The quality and validation of these measurements using the
approved analytical methodology could be significantly enhanced by incorporating
certified reference materials as part of the quality control protocols, yet this
has not been widely implemented. Data from certified reference materials can
provide critical information that can impact assessments regarding data use that
is unavailable by other means. Using the analysis of Cr(vi) in soil extracts as
the test case, some of the issues surrounding the use of certified reference
materials for environmental applications are discussed in this paper, including
the relative cost, and the availability and applicability regarding element
coverage and content.
PMID- 27892564
TI - Zirconia based dental ceramics: structure, mechanical properties,
biocompatibility and applications.
AB - Zirconia (ZrO2) based dental ceramics have been considered to be advantageous
materials with adequate mechanical properties for the manufacturing of medical
devices. Due to its very high compression strength of 2000 MPa, ZrO2 can resist
differing mechanical environments. During the crack propagation on the
application of stress on the surface of ZrO2, a crystalline modification
diminishes the propagation of cracks. In addition, zirconia's biocompatibility
has been studied in vivo, leading to the observation of no adverse response upon
the insertion of ZrO2 samples into the bone or muscle. In vitro experimentation
has exhibited the absence of mutations and good viability of cells cultured on
this material leading to the use of ZrO2 in the manufacturing of hip head
prostheses. The mechanical properties of zirconia fixed partial dentures (FPDs)
have proven to be superior to other ceramic/composite restorations and hence
leading to their significant applications in implant supported rehabilitations.
Recent developments were focused on the synthesis of zirconia based dental
materials. More recently, zirconia has been introduced in prosthetic dentistry
for the fabrication of crowns and fixed partial dentures in combination with
computer aided design/computer aided manufacturing (CAD/CAM) techniques. This
systematic review covers the results of past as well as recent scientific studies
on the properties of zirconia based ceramics such as their specific compositions,
microstructures, mechanical strength, biocompatibility and other applications in
dentistry.
PMID- 27892565
TI - A highly sensitive and selective fluorescent probe for hypochlorite in pure water
with aggregation induced emission characteristics.
AB - As a reactive oxygen species (ROS), hypochlorite (OCl-) plays a crucial role in
oxidative stress and signal transduction, controlling a wide range of
physiological functions. In addition, the wide use of OCl- in the treatment of
food and water might possibly threaten human health if the residual quantity was
out of limits. Currently, sensitive methods employed to selectively monitor OCl-
in aqueous samples in situ are still scarce and badly needed. Boron esters or
acids are considered to be suitable functional groups for the detection of
hydrogen peroxide due to their reliable reactivity. In this work, we try to
develop a highly sensitive and selective OCl- probe (TPE2B) based on the
mechanism of aggregation induced emission (AIE). Due to the distinct increase in
water solubility of TPE2OH, which is generated from the reaction between TPE2B
and OCl-, the strong emission of TPE2B is quenched dramatically. The response
speed was as fast as 30 seconds with a detection limit as low as 28 nM.
Additionally, test papers were also fabricated and exhibited a highly sensitive
response to 0.1 mM OCl-.
PMID- 27892566
TI - Water transport within carbon nanotubes on a wave.
AB - Water molecules possess discontinuous properties in confined surroundings as
compared to the bulk, their transport velocity shows a step change with the
increase in the radius of hydrophobic carbon nanotubes (CNTs). Here, we report
that the chain of water molecules in CNTs behaves as a "spring" owing to hydrogen
bonding. Thus, the transport of water molecules in confined systems proceeds as a
wave motion with eigen frequencies in the terahertz region which is determined by
the CNT size. Water velocities derived from molecular dynamics (MD) fit well with
the ones from finite element methods (FEM) on consideration of both the no-slip
and slip boundary conditions for CNT diameters less than 1 nm and more than 1 nm,
respectively. The present work helps clarify the features of mass and momentum
transfers in confined surroundings, and provides perspectives for mass transfer
applications.
PMID- 27892567
TI - High-resolution two-field nuclear magnetic resonance spectroscopy.
AB - Nuclear magnetic resonance (NMR) is a ubiquitous branch of spectroscopy that can
explore matter at the scale of an atom. Significant improvements in sensitivity
and resolution have been driven by a steady increase of static magnetic field
strengths. However, some properties of nuclei may be more favourable at low
magnetic fields. For example, transverse relaxation due to chemical shift
anisotropy increases sharply at higher magnetic fields leading to line-broadening
and inefficient coherence transfers. Here, we present a two-field NMR
spectrometer that permits the application of rf-pulses and acquisition of NMR
signals in two magnetic centres. Our prototype operates at 14.1 T and 0.33 T. The
main features of this system are demonstrated by novel NMR experiments, in
particular a proof-of-concept correlation between zero-quantum coherences at low
magnetic field and single quantum coherences at high magnetic field, so that high
resolution can be achieved in both dimensions, despite a ca. 10 ppm inhomogeneity
of the low-field centre. Two-field NMR spectroscopy offers the possibility to
circumvent the limits of high magnetic fields, while benefiting from their
exceptional sensitivity and resolution. This approach opens new avenues for NMR
above 1 GHz.
PMID- 27892568
TI - Bulk molybdenum field emitters by inductively coupled plasma etching.
AB - In this work we report on the fabrication of inductively coupled plasma (ICP)
etched, diode-type, bulk molybdenum field emitter arrays. Emitter etching
conditions as a function of etch mask geometry and process conditions were
systematically investigated. For optimized uniformity, aspect ratios of >10 were
achieved, with 25.5 nm-radius tips realised for masks consisting of aperture
arrays some 4.45 MUm in diameter and whose field electron emission performance
has been herein assessed.
PMID- 27892569
TI - An ab initio study of the ground and excited electronic states of the methyl
radical.
AB - The ground and some excited electronic states of the methyl radical have been
characterized by means of highly correlated ab intio techniques. The specific
excited states investigated are those involved in the dissociation of the
radical, namely the 3s and 3pz Rydberg states, and the A1 and B1 valence states
crossing them, respectively. The C-H dissociative coordinate and the HCH bending
angle were considered in order to generate the first two-dimensional ab initio
representation of the potential surfaces of the above electronic states of CH3,
along with the nonadiabatic couplings between them. Spectroscopic constants and
frequencies calculated for the ground and bound excited states agree well with
most of the available experimental data. Implications of the shape of the excited
potential surfaces and couplings for the dissociation pathways of CH3 are
discussed in the light of recent experimental results for dissociation from low
lying vibrational states of CH3. Based on the ab initio data some predictions are
made regarding methyl photodissociation from higher initial vibrational states.
PMID- 27892570
TI - ortho-Heterofluorene perylenediimides: synthesis, photophysical, and exciton
dynamic properties.
AB - Previous studies suggest the perylenediimide (PDI) triplet excited state (T1)
have been accessible only through bimolecular sensitization, the internal heavy
atom effect or a sophisticated cascade of nonradiative processes. Here, we
designed heavy-atom-free PDIs to prompt the Tn <- S1 intersystem crossing (ISC)
by introducing electron donating heterofluorene groups at the head positions of
the electron-deficient PDI core. We obtained relatively high ISC efficiency up to
92% yield. Furthermore, promptly generated PDI triplets can sensitize the
molecular oxygen quantitatively to yield 1O2, with singlet oxygen generation
efficiencies (PhiDelta) near to unity. These results further suggest that the ISC
process of PDIs can be enhanced through the intramolecular charge transfer (ICT)
interaction, providing guidelines for developing triplet-generating PDIs and
related rylene diimides for optoelectronic applications.
PMID- 27892571
TI - The reaction fragility spectrum.
AB - We report an original method that provides a new insight into the reaction
mechanism by direct observation of bond breaking and formation. Variations of the
diagonal elements of the Hessian along the IRC are shown to reflect the
anharmonic properties of the system that are induced by electron density
modifications upon the reaction. This information is presented in the form of the
reaction spectrum, demonstrating how particular atoms engage in the
reorganization of bonds. The test reactions are: HCOF synthesis and HONS
isomerization.
PMID- 27892572
TI - Time-resolved photoelectron imaging of iodide-nitromethane (I-.CH3NO2)
photodissociation dynamics.
AB - Femtosecond time-resolved photoelectron spectroscopy is used to probe the decay
channels of iodide-nitromethane (I-.CH3NO2) binary clusters photoexcited at 3.56
eV, near the vertical detachment energy (VDE) of the cluster. The production of I
is observed, and its photoelectron signal exhibits a mono-exponential rise time
of 21 +/- 1 ps. Previous work has shown that excitation near the VDE of the I
.CH3NO2 complex transfers an electron from iodide to form a dipole-bound state of
CH3NO2- that rapidly converts to a valence bound (VB) anion. The long appearance
time for the I- fragment suggests that the VB anion decays by back transfer of
the excess electron to iodide, reforming the I-.CH3NO2 anion and resulting in
evaporation of iodide. Comparison of the measured lifetime to that predicted by
RRKM theory suggests that the dissociation rate is limited by intramolecular
vibrational energy redistribution in the re-formed anion between the high
frequency CH3NO2 vibrational modes and the much lower frequency intermolecular I
.CH3NO2 stretch and bends, the predominant modes involved in cluster dissociation
to form I-. Evidence for a weak channel identified as HI + CH2NO2- is also
observed.
PMID- 27892573
TI - Thermodynamics of DNA: sensitizer recognition. Characterizing binding motifs with
all-atom simulations.
AB - We report the investigation of the thermochemical properties of benzophenone
interacting with B-DNA studied by all-atom molecular dynamic simulations. In
particular, we determine the binding free energy for two competitive binding
modes, minor groove binding and double insertion. Our results allow us to
quantitatively resolve for the first time the mode of binding of this
paradigmatic photosensitizer, indicating a marked preference for minor groove
binding. Furthermore, we have settled a protocol allowing for the determination
of binding energies in the case of non-covalent interaction with DNA, in
particular, tackling the non-trivial problem of the strong reorganization of the
DNA imposing extended statistical sampling. Our contribution paves the way to the
systematic determination of the thermochemical properties of drugs or pollutants
interacting with DNA.
PMID- 27892574
TI - Study of the interplay between N-graphene defects and small Pd clusters for
enhanced hydrogen storage via a spill-over mechanism.
AB - The hydrogen spill-over mechanism was studied by applying Density Functional
Theory. We used small palladium clusters to act as the catalyst supported on the
substrate (comprised of pyridinic and pyrrolic nitrogen doped graphene), in order
to study hydrogen dissociation, migration and diffusion. Charge transfer and
strong binding between the catalyst and the substrate lead to dissociated states
of H2 and prevent clusters from detaching and coalescing. In dissociated cases of
H2 on Pd clusters, energy barriers below 0.6 eV were found. Likewise, concerning
hydrogen migration from the catalyst to the substrate, energy barrier values of
0.8 eV (pyridinic defect) and 0.5 eV (pyrrolic defect) were apparent in the case
of the Pd4 cluster at full hydrogen saturation. This indicates that hydrogen
dissociation and migration may occur spontaneously at room temperature. This
result shows that the interaction between the defects and the small metal
clusters may explain the role that defects play in hydrogen migration from the
catalyst to the substrate. Subsequently, it was found that thermal desorption
does not limit chemisorbed hydrogen diffusion on the substrate. This work may
thus help to determine experimental strategies with the capacity to enhance
hydrogen storage.
PMID- 27892576
TI - The magnetization orientation of Fe ultrathin layers in contact with graphene.
AB - In this paper, we study the magnetic and chemical properties of Fe/graphene
vertically stacked ultrathin films by means of X-ray magnetic circular dichroism
and X-ray photoelectron spectroscopy. We compare two systems: an iron layer
deposited directly on top of the Pt(111) surface, and an intercalated Fe film
sandwiched between graphene and Pt(111). The system composed of a submonolayer Fe
deposited directly on Pt(111) maintains an out-of-plane easy magnetization axis,
even if it has been covered by graphene that quenches effectively the magnetic
orbital moment of Fe. However, when the Fe coverage is increased above 1 ML the
easy magnetization axis flips in the in-plane direction.
PMID- 27892575
TI - Heat treated carbon supported iron(ii)phthalocyanine oxygen reduction catalysts:
elucidation of the structure-activity relationship using X-ray absorption
spectroscopy.
AB - This paper focuses on studying the influence of the heat treatment on the
structure and activity of carbon supported Fe(ii)phthalocyanine (FePc/C) oxygen
reduction reaction (ORR) catalysts under alkaline conditions. The FePc macrocycle
was deposited onto ketjen black carbon and heated treated for 2 hours under inert
atmosphere (Ar) at different temperatures (400, 500, 600, 700, 800, 900 and 1000
degrees C). The atomic structure of Fe in each sample has been determined by XAS
and correlated to the activity and ORR mechanisms determined in electrochemical
half cells and in a complete H2/O2 anion exchange membrane fuel cells (AEM-FC).
The results show that the samples prepared at 600 and 700 degrees C have the
highest electrochemical catalytic activity for the ORR, consistent with the
findings that the FeN4 active sites are thermally stable up to 700 degrees C,
confirmed by both XANES linear combination fittings and EXAFS fittings. Upon
annealing at temperatures above 800 degrees C, the FeN4 structure partially
decomposes to small iron nanoparticles. The transition from the FeN4 structure to
metallic Fe results in a significant loss in ORR activity and an increase in the
production of undesirable HO2- during catalysis.
PMID- 27892577
TI - Light-soaking effects and capacitance profiling in Cu(In,Ga)Se2 thin-film solar
cells with chemical-bath-deposited ZnS buffer layers.
AB - We fabricated Cu(In,Ga)Se2 (CIGS) solar cells with chemical-bath deposited (CBD)
ZnS buffer layers with different deposition times. The conversion efficiency and
the fill factor of the CIGS solar cells reveal a strong dependence on the
deposition time of CBD-ZnS films. In order to understand the detailed
relationship between the heterojunction structure and the electronic properties
of CIGS solar cells with different deposition times of CBD-ZnS films, capacitance
voltage (C-V) profiling measurements with additional laser illumination were
performed. The light-soaking effects on CIGS solar cells with a CBD-ZnS buffer
layer were investigated in detail using current density-voltage (J-V) and C-V
measurements with several different lasers with different emission wavelengths.
After light-soaking, the conversion efficiency changed significantly and the
double diode feature in J-V curves disappeared. We explain that the major reason
for the improvement of efficiency by light-soaking is due to the fact that
negatively charged and highly defective vacancies in the CIGS absorber near the
interface of CBD-ZnS/CIGS were formed and became neutral due to carriers
generated by ultra-violet absorption in the buffer layer.
PMID- 27892578
TI - A metastable cubic phase of sodium niobate nanoparticles stabilized by chemically
bonded solvent molecules.
AB - Structural modification, especially the stabilization of metastable phases at
room temperature, has emerged as an effective strategy to understand their
stabilization mechanism and improve their functional properties. In this work, a
facile solvothermal approach is developed to synthesize metastable sodium niobate
(NaNbO3) crystals with the cubic symmetry. XRD, Raman and TEM results all
confirmed the selective synthesis of cubic and orthorhombic NaNbO3via adjustment
of the reaction medium. The fact that traditional hydrothermal synthesis often
yields orthorhombic NaNbO3 inspires us to elucidate the formation mechanism of
cubic NaNbO3 with respect to the solvent effect. With the increasing post
calcination temperature, the as-synthesized cubic NaNbO3 gradually transforms
into the orthorhombic structure, which is understood to be a recrystallization
behavior, as evidenced by the XRD and TEM results. The organic molecules retained
in the NaNbO3 nanocrystals, as suggested by UV-vis, FT-IR and TGA-MS results,
have contributed to the stabilization of the metastable structure, demonstrated
by the different temperature-induced phase transition behaviors in air and argon
atmospheres, where the phase transition from cubic to orthorhombic would take
place at a relatively higher temperature in argon. This work provides an
alternative approach to synthesize cubic NaNbO3 nanocrystals, and the
understanding of the stabilization mechanism could pave a new pathway for
fabricating metastable materials.
PMID- 27892579
TI - Rationalizing fluorescence quenching in meso-BODIPY dyes.
AB - meso-Substituted boron-dipyrromethene (BODIPY) dyes are a puzzling class of
molecules, which feature contrasting emissive behaviors. The full mechanistic
picture for these distinctive properties is still missing. Using static and
dynamic excited state computations we unravel the key reasons behind these
divergences.
PMID- 27892580
TI - Ionic complex of a rhodamine dye with aggregation-induced emission properties.
AB - An AIE-active rhodamine based luminogen was prepared via a complexation reaction
between non-emissive rhodamine hydrazide (RdH) and bulky camphorsulfonic acid
(CSA). Besides acting to open the spirolactam ring of RdH, CSA also imposes a
rotational restriction on the resultant ionic complex, RdH(CSA)x. Without CSA,
the analogous complex RdH(HCl)3 is a luminogen with aggregation-caused quenching
(ACQ) properties. The ionic bonds of RdH(CSA)3 are sensitive to several external
stimuli and therefore it is a luminescent sensor for metal ions, organic amines
and the blood protein bovine serum albumin (BSA). Besides being a sensor for BSA,
the ionic RdH(CSA)3 is also a denaturant capable of uncoiling the peptide chain
of BSA.
PMID- 27892581
TI - Tuning of azine derivatives for selective recognition of Ag+ with the in vitro
tracking of endophytic bacteria in rice root tissue.
AB - Several azine derivatives have been prepared and structurally characterized by
spectroscopic and single-crystal X-ray diffraction analysis. Two of them, viz.
naphthalene based (A10) and anthracene based (A11) show fluorescence enhancement
in the presence of Ag+ in aqueous-methanol. Moreover, A11 efficiently tracks
Ag+in vitro endophytic bacteria infected rice root tissue. Experimental results
have been substantiated by theoretical DFT calculations.
PMID- 27892582
TI - Lubrication of chocolate during oral processing.
AB - The structure of chocolate is drastically transformed during oral processing from
a composite solid to an oil/water fluid emulsion. Using two commercial dark
chocolates varying in cocoa solids content, this study develops a method to
identify the factors that govern lubrication in molten chocolate and saliva's
contribution to lubrication following oral processing. In addition to chocolate
and its individual components, simulated boluses (molten chocolate and phosphate
buffered saline), in vitro boluses (molten chocolate and whole human saliva) and
ex vivo boluses (chocolate expectorated after chewing till the point of swallow)
were tested. The results reveal that the lubrication of molten chocolate is
strongly influenced by the presence of solid sugar particles and cocoa solids.
The entrainment of particles into the contact zone between the interacting
surfaces reduces friction such that the maximum friction coefficient measured for
chocolate boluses is much lower than those for single-phase Newtonian fluids. The
addition of whole human saliva or a substitute aqueous phase (PBS) to molten
chocolate dissolves sugar and decreases the viscosity of molten chocolate so that
thinner films are achieved. However, saliva is more lubricating than PBS, which
results in lower friction coefficients for chocolate-saliva mixtures when
compared to chocolate-PBS mixtures. A comparison of ex vivo and in vitro boluses
also suggests that the quantity of saliva added and uniformity of mixing during
oral processing affect bolus structure, which leads to differences in measured
friction. It is hypothesized that inhomogeneous mixing in the mouth introduces
large air bubbles and regions of non-emulsified fat into the ex vivo boluses,
which enhance wetting and lubrication.
PMID- 27892583
TI - Resonant tunneling based graphene quantum dot memristors.
AB - In this paper, we model two-terminal all graphene quantum dot (GQD) based
resistor-type memory devices (memristors). The resistive switching is achieved by
resonant electron tunneling. We show that parallel GQDs can be used to create
multi-state memory circuits. The number of states can be optimised with
additional voltage sources, whilst the noise margin for each state can be
controlled by appropriately choosing the branch resistance. A three-terminal GQD
device configuration is also studied. The addition of an isolated gate terminal
can be used to add further or modify the states of the memory device. The
proposed devices provide a promising route towards volatile memory devices
utilizing only atomically thin two-dimensional graphene.
PMID- 27892584
TI - A short history of phototherapy, vitamin D and skin disease.
AB - The earliest record between sun exposure and skin disease goes back five
millennia to the ancient Egyptians. The modern scientific era of medical light
therapy and skin diseases started in 1877 when Downs and Blunt reported that
exposure to light inhibited fungal growth in test tubes. Continuing research
generated a growing medical interest in the potential the effects of light to
treat and cure skin diseases considered as parasitic. This culminated in the
awarding of the 1903 Nobel Prize in Medicine to Niels Finsen for his pioneering
work showing that light could successfully treat cutaneous mycobacterium
tuberculosis (lupus vulgaris), a disfiguring disorder common at the time. Cod
liver oil was used as a folk remedy to treat rickets prior to 1789 in Manchester,
UK and sunlight was published as the cure for this disease in 1921. The work by
Hess and Weinstock in 1925 showed that food irradiated with ultraviolet (UV)
light prevented rickets in rats, which paved the way for the discovery of vitamin
D. The range of skin diseases treated by light therapy increased in the following
years, to the point where a 1932 review by the American Medical Association on
the use of UV therapy in dermatology listed 34 skin conditions for which UV
radiation may be useful. This period coincided with the development of sanatoria
in Europe and North America which used heliotherapy for the treatment of
tuberculosis. UV therapy and vitamin D continued to be used successfully for the
treatment of tuberculosis up to the 1950s when it was superseded by more
effective antibiotics. Modern phototherapy developed in the 1980s with the
discovery of the action spectrum for psoriasis leading to the development of
narrow band UVB. Subsequently a biological mechanism by which UV light and
vitamin D treated tuberculosis was identified in 2006. This involves activation
of human macrophages via toll-like receptors to upregulate the vitamin D receptor
gene resulting in induction of the antimicrobial peptide cathelicidin. The role
of UV light and vitamin D in the treatment of skin diseases is currently an
active area of research.
PMID- 27892585
TI - Elemental steps of the thermodynamics of dihydropyrimidine: a new class of
organic hydride donors.
AB - 25 Dihydropyrimidine derivatives, a new class of organo-hydrides, were designed
and synthesized by the Biginelli reaction. For the first time, the thermodynamic
driving forces of the six elemental steps to obtain a hydride in acetonitrile
were determined by isothermal titration and electrochemical methods,
respectively. The effects of molecular structures and substituents on these
thermodynamic parameters were examined, uncovering some interesting structure
reactivity relationships. Both the thermodynamic and kinetic studies show that
the hydride transfer from dihydropyrimidines to 9-phenylxanthylium (PhXn+ClO4-)
prefers a concerted mechanism.
PMID- 27892586
TI - Bovine serum albumin coated nanoparticles for in vitro activated fluorescence.
AB - A fluorophore modified nanoparticle was developed that can only fluoresce when a
specific environmental parameter interacts with the system. The model system
consisted of an azide modified bovine serum albumin (azBSA) that had been
covalently attached to an alkyne modified silicon phthalocyanine (alSiPc)
derivative through a copper catalyzed azide/alkyne Huisgen cycloaddition (click
reaction). The azBSA/alSiPc assembly was then clicked to a ca. 67 nm
poly(propargyl acrylate) (PA) nanoparticle (PA/azBSA/alSiPc). The resulting
particles did not exhibit any florescence when the alSiPc was excited. Incubating
the particles at 37 degrees C for 30 min with a proteolytic enzyme (trypsin)
degraded the linking BSA and resulted in the appearance of florescence that was
attributed to a "free" silicon phthalocyanine. The PA/azBSA/alSiPc particles were
incubated with human non-small cell lung cancer cells (A549) and the florescence
of the initially quenched particles was achieved with cellular uptake.
PMID- 27892587
TI - Total synthesis and confirmation of the revised structures of jiangrines A, C and
D.
AB - Our previous total synthesis of the proposed structures of jiangrines C and D
shows that the characteristic data of synthetic samples did not match those of
the natural ones, prompting us to revise their structures. Accordingly, we now
accomplished the total synthesis of jiangrines A, C and D, which confirms our
deduction that their molecular skeletons should compose of 2,3-disubstituted
pyrrole instead of 2,5-disubstituted pyrrole. Our current synthesis features a
silver-catalyzed [3 + 2] cycloaddition between a terminal alkyne and isocyanide,
and was completed concisely in only seven linear steps.
PMID- 27892588
TI - Nanoscale electrochemical characterization of a solid-state electrolyte using a
manganese-based thin-film probe.
AB - A Li-Mn-O thin-film electrode probe has been developed via a facile synthesis
process, which enables nanoscale electrochemical investigation of the solid-state
electrolyte LiPON. Detailed information of ion transport can be obtained by the
thin-film probe, rather than the macroscale electrochemical impedance analysis.
It is clarified from the nanoscale analysis that the charge transfer resistance
at the Li-Mn-O/LiPON interface dominates the localized impedance, while it can be
significantly reduced rather than the electrolyte resistance by applying a DC
bias.
PMID- 27892589
TI - Intramolecular oxidative deselenization of acylselenoureas: a facile synthesis of
benzoxazole amides and carbonic anhydrase inhibitors.
AB - A mild, efficient and one pot procedure to access benzoxazoles using easily
accessible acylselenoureas as starting materials has been discovered. Mechanistic
studies revealed a pH dependent intramolecular oxidative deselenization, with
ring closure due to an intramolecular nucleophilic attack of a phenoxide ion. All
the benzoxazoles herein reported possessed a primary sulfonamide zinc binding
group and showed effective inhibitory action on the enzymes, carbonic anhydrases.
PMID- 27892590
TI - Near-infrared selective dynamic windows controlled by charge transfer impedance
at the counter electrode.
AB - Recent developments in the exploitation of transparent conductive oxide
nanocrystals paved the way to the realization of a new class of electrochemical
systems capable of selectively shielding the infrared heat loads carried by
sunlight and prospected the blooming of a key enabling technology to be
implemented in the next generation of "zero-energy" building envelopes. Here we
report the fabrication of a set of electrochromic devices embodying an engineered
nanostructured electrode made by high aspect-ratio tungsten oxide nanorods, which
allow for selectively and dynamically controlling sunlight transmission over the
near-infrared to visible range. Varying the intensity of applied voltage makes
the spectral response of the device change across three different optical
regimes, namely fully transparent, near-infrared only blocking and both visible
and near-infrared blocking. It is demonstrated that the degree of reversible
modulation of the thermal radiation entering the glazing element can approach a
remarkable 85%, accompanied by only a modest reduction in the luminous
transmittance.
PMID- 27892591
TI - Pd-mediated cross-coupling of C-17 lithiated androst-16-en-3-ol - access to
functionalized arylated steroid derivatives.
AB - Herein, we report on Pd-mediated cross-coupling of vinyllithium steroids and aryl
bromides to introduce various substituted aryls at C-17 of steroidal frameworks
based on the structure of epi-androsterone. Compared to other C-C cross
couplings, this method turned out to be an easy and competitive access to
biologically interesting C-17 modified steroids.
PMID- 27892592
TI - Mechanical properties and current-carrying capacity of Al reinforced with
graphene/BN nanoribbons: a computational study.
AB - Record high values of Young's modulus and tensile strength of graphene and BN
nanoribbons as well as their chemically active edges make them promising
candidates for serving as fillers in metal-based composite materials. Herein,
using ab initio and analytical potential calculations we carry out a systematic
study of the mechanical properties of nanocomposites constructed by reinforcing
an Al matrix with BN and graphene nanoribbons. We consider a simple case of
uniform distribution of nanoribbons in an Al matrix under the assumption that
such configuration will lead to the maximum enhancement of mechanical
characteristics. We estimate the bonding energy and the interfacial critical
shear stress at the ribbon/metal interface as functions of ribbon width and show
that the introduction of nanoribbons into the metal leads to a substantial
increase in the mechanical characteristics of the composite material, as strong
covalent bonding between the ribbon edges and Al matrix provides efficient load
transfer from the metal to the ribbons. Using the obtained data, we apply the
rule of mixtures in order to analytically assess the relationship between the
composite strength and concentration of nanoribbons. Finally, we study carbon
chains, which can be referred to as the ultimately narrow ribbons, and find that
they are not the best fillers due to their weak interaction with the Al matrix.
Simulations of the electronic transport properties of the composites with
graphene nanoribbons and carbyne chains embedded into Al show that the inclusion
of the C phase gives rise to deterioration in the current carrying capacity of
the material, but the drop is relatively small, so that the composite material
can still transmit current well, if required.
PMID- 27892593
TI - Dual-mode refractive index and charge sensing to investigate complex surface
chemistry on nanostructures.
AB - This work presents a novel dual-mode charge and refractive index sensitive device
integrated with nanoplasmonic islands, for the first time, on insulator
semiconductor junctions. The developed nano-metal-insulator semiconductor (nMIS)
sensor facilitates simultaneous detection of charge and mass changes on the
nanoislands due to the binding of biomolecules. The charging of the nanoislands
is traced by using the capacitive field-effect electrolyte-metal-insulator
semiconductor structure and the refractive index changes are quantified by
measuring the change in the localized surface plasmon resonances of the
nanoislands. To demonstrate the performance of our dual-mode sensor we study the
effect of oxygen plasma on immobilized biomolecules. As a case study biotinylated
aptamers specific to interleukin 6 (IL-6) were chosen to conduct the immunoassay
studies. We confirm that the adsorbed aptamers on the nanoislands do not lose
their functionality after exposure to low energy oxygen plasma (<600 J). This
finding is critical for the development of 'ready-to-use' microfluidic
immunoassay platforms (glass-PDMS based) where immobilizing biomolecules on one
of the substrates is often required prior to the bonding of glass and PDMS. Our
results also open new opportunities for utilizing plasma to encapsulate
biomolecules in polymers and hydrogels. More significantly, nMIS sensors can
readily be adopted for multiplexed and high throughput label free immunoassay
systems, further driving innovations in biomedical and healthcare research.
PMID- 27892594
TI - Chloroprocaine 10 mg/ml for low-dose spinal anaesthesia in perianal surgery - a
randomised dose finding study.
AB - BACKGROUND: Low-dose spinal anaesthesia is a safe and reliable anaesthesia
technique in outpatient perianal surgery. Regarding its short duration of action
and its trend to hyperbaric characteristics, plain chloroprocaine 10 mg/ml seems
to be ideal to perform low-dose spinal anaesthesia. The aim of this trial was to
determine the optimal dosage of chloroprocaine for this indication. METHODS:
Hundred and twenty patients undergoing perianal surgery were enrolled and
randomly allocated to receive 10, 20 or 30 mg of chloroprocaine 10 mg/ml
intrathecally. Patients had to sit upright for at least 10 min after injection.
We measured the expansion of sensory and motor block and the times until voiding,
walking without assistance and home discharge. RESULTS: The expansion of the
sensory (P <= 0.0059) and the motor block (P <= 0.0086) gained with increasing
doses. At a dose of 30 mg the incidence of a profound, clinically relevant motor
block was significantly higher compared to 10 and 20 mg (P <= 0.0004). In the 10
mg group two patients suffered from nociceptive pain due to an incomplete block
and five patients announced discomfort during procedure. Doses of 10 and 20 mg
led to a significantly earlier discharge compared to 30 mg (P = 0.0003; P =
0.0406). CONCLUSION: Plain chloroprocaine 10 mg/ml can successfully be used for
low-dose spinal anaesthesia in perianal outpatient surgery. Regarding the
unfavourable motor block and later discharge-times in the 30 mg group on the one
hand and the block-failures in the 10 mg group on the other, 20 mg can be
recommended as the optimal dose.
PMID- 27892596
TI - Extracorporeal Membrane Oxygenation Support in Trauma Versus Nontrauma Patients
with Noninfectious Acute Respiratory Failure.
AB - The utility of extracorporeal membrane oxygenation (ECMO) in patients with acute
respiratory distress syndrome (ARDS) of noninfectious origin remains unclear.
Data on patients with ARDS of noninfectious origin who underwent ECMO were
reviewed retrospectively. We compared the pre-ECMO characteristics and hospital
outcomes of patients with traumatic and nontraumatic ARDS. In total, 23 patients
(trauma, n = 9; nontrauma, n = 14) were included in the study. The mean patient
age was 42 years, there were three females, and the mean pre-ECMO Simplified
Acute Physiologic Score (SAPS) II was 60.0 (49.0-71.0). The hemoglobin level was
lower and the prothrombin time (PT) more prolonged, prior to initiation of ECMO,
in traumatic compared with nontraumatic ARDS patients. During the first 48 h of
ECMO support, the coagulation parameters did not differ between the two groups,
but the platelet counts, PT, and activated partial thromboplastin time indicated
that coagulopathy was developing in all patients. The hospital and 28-day
mortality rates were 21.7 and 13.0%, respectively, and serious neurological
outcomes (cerebral performance category [CPC] of three points or more) developed
in 26.1% of all patients; however, the extent of such outcomes did not differ
between traumatic and nontraumatic ARDS patients. Upon multivariate analysis, the
pre-ECMO SAPS II tended to be associated with composite events (i.e., hospital
death and/or a CPC of three points or more) (P = 0.051). Additionally, a history
of hypertension and an elevated pre-ECMO SAPS II were significant risk factors
for serious neurological outcomes among hospital survivors (n = 18). In
conclusion, ECMO support can be associated with favorable outcomes in patients
with ARDS of noninfectious origin, irrespective of whether the ARDS is associated
with trauma. The pre-ECMO SAPS II and a history of hypertension may be
independent risk factors for poor outcomes.
PMID- 27892598
TI - A novel substrate for multisensor hyperspectral imaging.
AB - The quality of chemical imaging, especially multisensor hyperspectral imaging,
strongly depends on sample preparation techniques and instrumental infrastructure
but also on the choice of an appropriate imaging substrate. To optimize the
combined imaging of Raman microspectroscopy, scanning-electron microscopy and
energy-dispersive X-ray spectroscopy, a novel substrate was developed based on
sputtering of highly purified aluminium onto classical microscope slides. The
novel aluminium substrate overcomes several disadvantages of classical substrates
like impurities of the substrate material and contamination of the surface as
well as surface roughness and homogeneity. Therefore, it provides excellent
conditions for various hyperspectral imaging techniques and enables high-quality
multisensor hyperspectral chemical imaging at submicron lateral resolutions.
PMID- 27892597
TI - A multicenter study on anaphylaxis caused by peanut, tree nuts, and seeds in
children and adolescents.
AB - Peanut (PN) and tree nuts (TNs) are common causes of anaphylaxis in Western
countries, but no information is available in Korea. To feature clinical
characteristics of anaphylaxis caused by PN, TNs, and seeds, a retrospective
medical record review was performed in 14 university hospitals in Korea (2009
2013). One hundred and twenty-six cases were identified, with the mean age of 4.9
years. PN, walnut (WN), and pine nut accounted for 32.5%, 41.3%, and 7.1%,
respectively. The median values of specific IgE (sIgE) to PN, WN, and pine nut
were 10.50, 8.74, and 4.61 kUA /l, respectively. Among 50 cases managed in the
emergency department, 52.0% were treated with epinephrine, 66.0% with steroid,
94.0% with antihistamines, 36.0% with oxygen, and 48.0% with bronchodilator. In
conclusion, WN, PN, and pine nut were the three most common triggers of
anaphylaxis in Korean children, and anaphylaxis could occur at remarkably low
levels of sIgE.
PMID- 27892595
TI - The Rate of Change in Alcohol Misuse Across Adolescence is Heritable.
AB - BACKGROUND: Alcohol use typically begins during adolescence and escalates into
young adulthood. This represents an important period for the establishment of
alcohol use and misuse patterns, which can have psychosocial and medical
consequences. Although changes in alcohol use during this time have been
phenotypically characterized, their genetic nature is poorly understood. METHODS:
Participants of the Avon Longitudinal Study of Parents and Children completed the
Alcohol Use Disorders Identification Test (AUDIT) 4 times from age 16 to 20. We
used Mplus to construct a growth model characterizing changes in AUDIT scores
across time (N = 4,545, where data were available for at least 2 time points).
The slope of the model was used as the phenotype in a genomewide association
study (N = 3,380), followed by secondary genetic analyses. RESULTS: No individual
marker met genomewide significance criteria. Top markers mapped to biologically
plausible candidate genes. The slope term was moderately heritable (h2SNP = 0.26,
p = 0.009), and replication attempts using a meta-analysis of independent samples
provided support for implicated variants at the aggregate level. Nominally
significant (p < 0.00001) markers mapped to putatively active genomic regions in
brain tissue more frequently than expected by chance. CONCLUSIONS: These results
build on prior studies by demonstrating that common genetic variation impacts
alcohol misuse trajectories. Influential loci map to genes that merit additional
research, as well as to intergenic regions with regulatory functions in the
central nervous system. These findings underscore the complex biological nature
of alcohol misuse across development.
PMID- 27892599
TI - Forensic Taxonomy of Android Social Apps.
AB - An Android social app taxonomy incorporating artifacts that are of forensic
interest will enable users and forensic investigators to identify the personally
identifiable information (PII) stored by the apps. In this study, 30 popular
Android social apps were examined. Artifacts of forensic interest (e.g., contacts
lists, chronology of messages, and timestamp of an added contact) were recovered.
In addition, images were located, and Facebook token strings used to tie account
identities and gain access to information entered into Facebook by a user were
identified. Based on the findings, a two-dimensional taxonomy of the forensic
artifacts of the social apps is proposed. A comparative summary of existing
forensic taxonomies of different categories of Android apps, designed to
facilitate timely collection and analysis of evidentiary materials from Android
devices, is presented.
PMID- 27892600
TI - Artificial neural networks and geometric morphometric methods as a means for
classification: A case-study using teeth from Carcharhinus sp. (Carcharhinidae).
AB - Over the past few decades, geometric morphometric methods have become
increasingly popular and powerful tools to describe morphological data while over
the same period artificial neural networks have had a similar rise in the
classification of specimens to preconceived groups. However, there has been
little research into how well these two systems operate together, particularly in
comparison to preexisting techniques. In this study, geometric morphometric data
and multilayer perceptrons, a style of artificial neural network, were used to
classify shark teeth from the genus Carcharhinus to species. Three datasets of
varying size and species differences were used. We compared the performance of
this combination with geometric morphometric data in a linear discriminate
function analysis, linear measurements in a linear discriminate function
analysis, and a preexisting methodology from the literature that incorporates
linear measurements and a two-layered discriminate function analysis. Across
datasets, geometric morphometric data in a multilayer perceptron tended to yield
modest accuracies but accuracies that varied less across species whereas other
methods were able to achieve higher accuracies in some species at the expense of
lower accuracies in others. Further, the performance of the two-layered
discriminate function analysis illustrates that constraining what material is
classified can increase the accuracy of a method. Based on this tradeoff, the
best methodology will then depend on the scope of the study and the amount of
material available. J. Morphol. 278:131-141, 2017. (c)(c) 2016 Wiley
Periodicals,Inc.
PMID- 27892601
TI - A View to the Future: A Novel Approach for 3D-3D Superimposition and
Quantification of Differences for Identification from Next-Generation Video
Surveillance Systems.
AB - Techniques of 2D-3D superimposition are widely used in cases of personal
identification from video surveillance systems. However, the progressive
improvement of 3D image acquisition technology will enable operators to perform
also 3D-3D facial superimposition. This study aims at analyzing the possible
applications of 3D-3D superimposition to personal identification, although from a
theoretical point of view. Twenty subjects underwent a facial 3D scan by
stereophotogrammetry twice at different time periods. Scans were superimposed two
by two according to nine landmarks, and root-mean-square (RMS) value of point-to
point distances was calculated. When the two superimposed models belonged to the
same individual, RMS value was 2.10 mm, while it was 4.47 mm in mismatches with a
statistically significant difference (p < 0.0001). This experiment shows the
potential of 3D-3D superimposition: Further studies are needed to ascertain
technical limits which may occur in practice and to improve methods useful in the
forensic practice.
PMID- 27892602
TI - The Analog Blanking Period of Implantable Cardiac Rhythm Devices.
AB - BACKGROUND: Analog blanking periods (BPs) that hold down the display of
electrograms (EGMs) in cardiac rhythm devices have received much less attention
than the well-known digital BPs which do not influence the EGM display. In
Biotronik devices (Biotronik GmbH, Berlin, Germany), when a paced event initiates
an analog BP in one chamber (right atrium, right ventricle [RV], or left
ventricle [LV]), an identical cross-chamber analog BP starts in the other two
chambers. METHODS: All clinical observations were recorded from patients with
Biotronik devices. The effect of the atrial cross-chamber analog BP initiated by
a ventricular paced event on the atrial EGM was studied in the records of seven
patients with frequent paroxysmal atrial flutter to determine the effect of
critically timed RV paced event (RVp) or LV paced event (LVp) upon the atrial
EGM. The effect of atrial pacing triggering cross-chamber analog BPs in the RV
and LV channels on the RV and LV EGMs was also investigated in cases of conducted
supraventricular beats and ventricular premature complexes. The effect of a
triggered LVp initiating a cross-chamber analog BP in the RV channel on the EGM
of a sensed RV sensed event was evaluated in 10 cases. Simulation studies were
also performed to verify the clinical observations. RESULTS: Patients with atrial
flutter showed intermittent truncation or deformity and even disappearance of the
atrial signals due to an atrial cross-chamber analog BP initiated by RVp and/or
LVp. Three patients demonstrated deformity of the signal shape of ventricular
premature beats falling within a ventricular cross-chamber analog BP initiated by
right atrial paced event (RAp). We found only one case of a supraventricular QRS
complex trapped in a ventricular cross-chamber analog BP initiated by RAp. All
the recordings of LVp triggering upon RVs revealed a variety of RV signal
deformities occasionally with preservation of the terminal part of the RV signal.
Simulation studies confirmed the effect of the analog BP on the atrial and the
ventricular EGMs. CONCLUSION: The analog BP of Biotronik devices may cause
truncation or deformity of atrial and ventricular signals and the occasional
disappearance of an atrial signal during atrial flutter. These effects must not
be interpreted as device malfunction. In the clinical evaluation of the EGM
curves, the effects of the analog BPs have to be carefully considered in order to
avoid possible misinterpretation.
PMID- 27892603
TI - Bone marrow-derived mesenchymal stem cells prevent alopecia areata development
through the inhibition of NKG2D expression: A pilot study.
PMID- 27892605
TI - EMILIN3, an extracellular matrix molecule with restricted distribution in skin.
AB - EMILIN3 is an extracellular matrix glycoprotein that displays a dynamic and
restricted expression pattern in connective tissues during post-natal life. In
this study, we report the characterization of EMILIN3 deposition in the skin. In
addition, to unravel the functions of this protein in skin homeostasis, we
generated Emilin3 null mice and provide evidence that EMILIN3 is dispensable for
hair follicle growth and maintenance throughout adult life.
PMID- 27892604
TI - Interleukin (IL)-6 modulates transforming growth factor-beta receptor I and II
(TGF-betaRI and II) function in epidermal keratinocytes.
AB - It been shown that IL-6 modulates TGF-beta1 expression in fibroblasts, however,
what role IL-6 plays concerning TGF-betaR expression and function in skin is
unknown. Therefore, the aim of this study was to investigate the mechanism by
which IL-6 might modulates TGF-beta receptors in skin. Skin from WT, IL-6 over
expressing mice and IL-6 treated keratinocyte cultures was analysed for TGF
betaRI and TGF-betaRII expression via histology, PCR and flow cytometry. Receptor
function was assessed by cell migration, bromodeoxyuridine (BrdU) proliferation
assays, and Smad7 expression and Smad2/3 phosphorylation. Receptor localization
within the membrane was determined by co-immunoprecipitation. IL-6 overexpression
and treatment increased TGF-betaRII expression in the epidermis. IL-6 treatment
of keratinocytes induced TGF-betaRI and II expression and augmented TGF-beta1
induced function as demonstrated through increased migration and decreased
proliferation. Additionally, IL-6 treatment of keratinocytes altered receptor
activity as indicated by altered Smad2/3 phosphorylation and increased Smad7 and
membrane localization. These results suggest that IL-6 regulates keratinocyte
function by modulating TGF-betaRI and II expression and signal transduction via
trafficking of the receptor to lipid raft pools.
PMID- 27892607
TI - Hypothalamic alpha-synuclein and its relation to weight loss and autonomic
symptoms in Parkinson's disease.
PMID- 27892608
TI - An advanced mouse model for human skin wound healing.
AB - Here, we report a model for studying wound repair based on skin regenerated from
human tissue culture-expanded cells. The reconstituted skin (hRSK) responds to
injury similar to that of intact human skin, and its constituent cells contribute
to the healing process. As we have demonstrated that hRSK composed of GFP
labelled cells also heals "normally," we believe this model will be useful in
analysing the wound repair process using genetically modified human cells.
PMID- 27892609
TI - Bad air gets under your skin.
AB - Air pollution is increasing beyond previous estimates and is viewed as the
world's largest environmental health risk factor. Numerous clinical and
epidemiological studies have highlighted the adverse effects of environmental
pollutants on health. Although there is comparatively less research investigating
the cutaneous effects of ambient pollution, there is growing recognition of the
adverse effects on skin. In this article, we provide an overview of the nature of
environmental pollution and highlight the current evidence detailing the effects
on cutaneous health. There is convincing evidence demonstrating that air
pollution has a detrimental impact on skin and can exacerbate skin disease.
Further epidemiological and experimental studies are required to assess the short
and long-term deleterious effects of ambient pollutant exposure on skin. The
future challenge would be to use this evidence to develop specific strategies to
protect against pollution-induced damage and prevent the effects of "bad air
getting under our skin."
PMID- 27892606
TI - SVEP1 plays a crucial role in epidermal differentiation.
AB - SVEP1 is a recently identified multidomain cell adhesion protein, homologous to
the mouse polydom protein, which has been shown to mediate cell-cell adhesion in
an integrin-dependent manner in osteogenic cells. In this study, we characterized
SVEP1 function in the epidermis. SVEP1 was found by qRT-PCR to be ubiquitously
expressed in human tissues, including the skin. Confocal microscopy revealed that
SVEP1 is normally mostly expressed in the cytoplasm of basal and suprabasal
epidermal cells. Downregulation of SVEP1 expression in primary keratinocytes
resulted in decreased expression of major epidermal differentiation markers.
Similarly, SVEP1 downregulation was associated with disturbed differentiation and
marked epidermal acanthosis in three-dimensional skin equivalents. In contrast,
the dispase assay failed to demonstrate significant differences in adhesion
between keratinocytes expressing normal vs low levels of SVEP1. Homozygous Svep1
knockout mice were embryonic lethal. Thus, to assess the importance of SVEP1 for
normal skin homoeostasis in vivo, we downregulated SVEP1 in zebrafish embryos
with a Svep1-specific splice morpholino. Scanning electron microscopy revealed a
rugged epidermis with perturbed microridge formation in the centre of the
keratinocytes of morphant larvae. Transmission electron microscopy analysis
demonstrated abnormal epidermal cell-cell adhesion with disadhesion between cells
in Svep1-deficient morphant larvae compared to controls. In summary, our results
indicate that SVEP1 plays a critical role during epidermal differentiation.
PMID- 27892611
TI - Towards a bacterial treatment for armpit malodour.
AB - Axillary malodour is a frustrating condition for many people. It can lead to
significant discomforts and various psychological effects. The underarm
microbiome plays a major role in axillary malodour formation. Not only the
bacteria on the epidermis, but also and especially those living in the sweat
glands, sweat pores and hair follicles play a pivotal role in malodour
development. To treat underarm malodour, this viewpoint article envisions a
bacterial treatment. Replacing the autochthonous malodour-causing microbiome with
a non-odour-causing microbiome, through an armpit bacterial transplantation or
direct application of probiotics/non-odour-causing bacteria, could resolve the
condition. Selective steering of the microbiome with prebiotics, biochemicals or
plant extracts can likewise greatly help in improving the underarm odour.
Elimination/inhibition of the "bad bugs" and application/stimulation of the "good
bugs" will be part of the future treatment for axillary body odour.
PMID- 27892610
TI - JAK inhibitor ruxolitinib inhibits the expression of cytokines characteristic of
cutaneous lupus erythematosus.
AB - This study was stimulated by the clinical observation of a rapid response of a
chilblain lupus patient to treatment with JAK1/2-kinase inhibitor ruxolitinib. We
investigated the in vivo expression of phospho-JAK2 in CLE skin samples as well
as the immunomodulatory in vitro effect of ruxolitinib in cultured immortalized
keratinocytes and in a 3D human epidermis model (epiCS). Our results demonstrate
that ruxolitinib significantly decreases the production of CLE-typical cytokines
(CXCL10, CXCL9, MxA) and might be a promising drug for future clinical studies in
patients with CLE and related autoimmune skin diseases.
PMID- 27892612
TI - The prevalence of depressive symptoms among older patients with hypertension in
rural China.
AB - OBJECTIVE: The comorbidity of depression and hypertension (HTN) is common and
complicates the management of both conditions. This study investigated the
prevalence of depressive symptoms among older patients with HTN in rural China
and explored the relationship between the two conditions. METHODS: The baseline
data of older patients diagnosed with HTN included in the depression/HTN in
Chinese Older Adults-Collaborations for Health Study were used for the analysis.
The Chinese Older Adults-Collaborations for Health Study was conducted in rural
villages of Tonglu County, Zhejiang Province, China. In all, 10 389 older village
residents had HTN (57.2% female, mean age 71.5 +/- 8.1 years). Blood pressure was
measured by using a calibrated manual sphygmomanometer and stethoscope.
Depressive symptom was measured by using the Chinese version of the nine-item
Patient Health Questionnaire. RESULTS: Among 10 389 patients with HTN, 12.8% had
significant depressive symptoms (nine-item Patient Health Questionnaire >= 10).
Rates of significant depressive symptoms were 5.3% and 32.8% among patients with
controlled and uncontrolled HTN (systolic blood pressure >= 140 and/or diastolic
blood pressure >= 90), respectively (chi2 = 8.701, p < 0.001). Logistic
regression analysis indicated that those in older age group (>=70 years) and with
uncontrolled HTN have higher rates of significant depressive symptoms than those
who are younger (age 60 to <70) and with controlled HTN. CONCLUSION: Our findings
show high rates of depressive symptoms among patients with HTN in rural China and
higher rates of depressive symptoms among patients with uncontrolled HTN. These
support the development and dissemination of integrative care approaches for
older adults with HTN and depression in rural China. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27892613
TI - Depressive symptoms, prediabetes, and incident diabetes in older English adults.
AB - OBJECTIVE: The objective of this study was to assess the risk of diabetes in
older adults with elevated depressive symptoms, prediabetes, or both. METHOD:
This study included 4129 participants from the English Longitudinal Study of
Ageing. Participants were followed from Wave 2 (2004-2005) to Wave 6 (2012-2013).
The 8-item Centre for Epidemiologic Studies Depression (CESD) scale was used to
measure depressive symptoms in the past week, which were categorized as no/low,
mild, or high. Normal glucose levels and prediabetes were defined using baseline
haemoglobin A1c measurements. Incident diagnosed diabetes was reported by
participants. Cox regression estimated hazard ratios of incident diabetes
associated with depressive symptoms and prediabetes. RESULTS: A total of 157
participants were diagnosed with diabetes over a mean of 6.7 years. Relative to
participants with normal glucose levels and no/low depressive symptoms at
baseline, the adjusted hazard ratios were 0.85 (95% CI 0.40-1.82) and 1.62 (95%
CI 0.84-3.15) for those with normal glucose levels and mild depressive symptoms
and normal glucose levels and high depressive symptoms. The adjusted hazard
ratios for participants with prediabetes and no/low depressive symptoms, mild
depressive symptoms, and high depressive symptoms were 4.84 (95% CI 3.08-7.60),
7.17 (95% CI 4.00-12.88), and 7.77 (95% CI 4.33-13.93), respectively.
CONCLUSIONS: Older adults with elevated depressive symptoms and prediabetes have
an increased risk of diabetes compared to those with only one of these risk
factors. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27892615
TI - So you want to publish in International Nursing Review? A few words of advice for
authors.
PMID- 27892617
TI - Does education in Italy produce nurses fit for future healthcare needs?
PMID- 27892616
TI - A policy analysis of teamwork as a proposal for healthcare humanization:
implications for nursing.
AB - AIM: To analyse the implications of the political devices of the Brazilian
National Humanization Policy, Singular Therapeutic Project and Reference Team and
Matrix Support, for nursing as a professional discipline. BACKGROUND: The
Brazilian Unified Health System, SUS-Brazil, has as its principles regarding
health care: universal access at all levels of care; equality and non
discrimination; integrality; community participation; and political and
administrative decentralization, regionalization, and hierarchization. The
National Humanization Policy is a public health policy that serves as the
methodological apparatus for the application of the SUS-Brazil principles.
Reference Teams refers to inter- and transdisciplinary/professional teams. These
team approaches are associated with increased quality of care. METHODS:
Qualitative lexical content policy analysis of the official documents for the
Brazilian National Humanization Policy. FINDINGS: The Reference Team model that
is used to carry out Singular Therapeutic Projects leads to discussion of
disciplinary boundaries in the context of health care. CONCLUSION AND
IMPLICATIONS FOR NURSING AND HEALTH POLICY: The Brazilian National Humanization
Policy demands inclusion of various kinds of knowledge and networking. Research
is needed to elucidate the nature of nursing care and its distinctive character
in relation to the work objectives of other professional disciplines.
PMID- 27892614
TI - Validation of a prognostic index for Huntington's disease.
AB - BACKGROUND: Characterizing progression in Huntington's disease is important for
study the natural course and selecting appropriate participants for clinical
trials. OBJECTIVES: The aim was to develop a prognostic index for motor diagnosis
in Huntington's disease and examine its predictive performance in external
observational studies. METHODS: The prediagnosis Neuro-biological Predictors of
Huntington's Disease study (N = 945 gene-positive) was used to select a Cox
regression model for computing a prognostic index. Cross-validation was used for
selecting a model with good internal validity performance using the research
sites as natural splits of the data set. Then, the external predictive
performance was assessed using prediagnosis data from three additional
observational studies, The Cooperative Huntington Observational Research Trial (N
= 358), TRACK-HD (N = 118), and REGISTRY (N = 480). RESULTS: Model selection
yielded a prognostic index computed as the weighted combination of the UHDRS
total motor score, Symbol Digit Modalities Test, baseline age, and cytosine
adenine-guanine expansion. External predictive performance was very good for the
first two of the three studies, with the third being a much more progressed
cohort than the other studies. The databases were pooled and a final Cox
regression model was estimated. The regression coefficients were scaled to
produce the prognostic index for Huntington's disease, and a normed version,
which is scaled relative to a 10-year 50% probability of motor diagnosis.
CONCLUSION: The positive results of this comprehensive validity analysis provide
evidence that the prognostic index is generally useful for predicting
Huntington's disease progression in terms of risk of future motor diagnosis. The
variables for the index are routinely collected in ongoing observational studies
and the index can be used to identify cohorts for clinical trial recruitment. (c)
2016 International Parkinson and Movement Disorder Society.
PMID- 27892619
TI - Investing in the health workforce-keeping up the momentum.
PMID- 27892620
TI - Building a National Surveillance System for Malpractice Claims.
PMID- 27892621
TI - CANDOR: The Antidote to Deny and Defend?
PMID- 27892622
TI - Changes in Physician Practice Patterns after Implementation of a Communication
and-Resolution Program.
AB - OBJECTIVE: To test if a 2006 communication-and-resolution program to address
unexpected adverse outcomes was associated with changes in cost and use
trajectories. DATA SOURCE: Records of patients discharged with a principal
diagnosis of chest pain from 44 nonfederal general hospitals in Cook County,
Illinois, between January 2002 and December 2009. STUDY DESIGN: Propensity-score
matched discharges from the intervention and comparison hospitals before
computing difference-in-differences estimates of quarterly growth rates. DATA
COLLECTION METHODS: We used discharge records submitted to a central statewide
repository. PRINCIPAL FINDINGS: Relative to the comparison hospitals and to pre
implementation trends, and consistent with reduced testing at presentation, the
intervention hospital recorded an increase in the number of patients with a
principal diagnosis of chest pain. Among admitted patients, quarterly growth
rates of clinical laboratory and radiology charges at the intervention hospital
declined by 3.8 and 6.9 percentage points. CONCLUSIONS: Among patients with chest
pain, the implementation of a comprehensive communication-and-resolution program
was associated with substantially reduced growth rates in the use of diagnostic
testing and imaging services. Further research is needed to establish to what
extent these changes were attributable to the program and clinically appropriate.
PMID- 27892623
TI - Addressing Ambulatory Safety and Malpractice: The Massachusetts PROMISES Project.
PMID- 27892624
TI - Paving the Way for Progress: The Agency for Healthcare Research and Quality
Patient Safety and Medical Liability Demonstration Initiative.
PMID- 27892625
TI - Progress at the Intersection of Patient Safety and Medical Liability: Insights
from the AHRQ Patient Safety and Medical Liability Demonstration Program.
AB - OBJECTIVE: To identify lessons learned from the experience of the Agency for
Healthcare Research and Quality (AHRQ) Patient Safety and Medical Liability
(PSML) Demonstration Program. DATA SOURCES/STUDY SETTING: On September 9, 2009,
President Obama directed the Secretary of Health and Human Services to authorize
demonstration projects that put "patient safety first" with the intent of
reducing preventable adverse outcomes and stemming liability costs. Seven
demonstration projects received 3 years of funding from AHRQ in the summer of
2010, and the program formally came to a close in June 2015. STUDY DESIGN: The
seven grantees implemented complex, broad-ranging innovations addressing both
patient safety and medical liability in "real-world" contexts. Some projects
featured novel approaches, while others implemented adaptations of existing
models. Each project was funded by AHRQ to collect data on the impact of its
interventions. In addition, AHRQ funded a cross-cutting qualitative evaluation
focused on lessons learned in implementing PSML interventions. DATA
COLLECTION/EXTRACTION METHODS: Site visits and follow-up interviews supplemented
with material abstracted from formal project reports to AHRQ. PRINCIPAL FINDINGS:
The PSML demonstration projects focused on three broad approaches: (1) improving
communication around adverse events through disclosure and resolution programs;
(2) preventing harm through implementation of clinical "best practices"; and (3)
exploring alternative methods of settling claims. Although the demonstration
contributed to accumulating evidence that these kinds of interventions can
positively affect outcomes, there is also evidence to suggest that these
interventions can be difficult to scale. CONCLUSIONS: In addition to producing at
least preliminary positive outcomes, the demonstration also lends credence to the
idea that targeted interventions that improve some aspect of patient safety or
malpractice performance may also contribute more broadly to institutional culture
and the alignment of all parties around reducing risk and preventing harm.
However, more empirical work needs to be carried out to quantify the effect of
such interventions.
PMID- 27892626
TI - WITHDRAWN: Root canal posts for the restoration of root filled teeth.
AB - BACKGROUND: The foundation for the reconstruction of endodontically-treated teeth
can be provided by a metal or a non-metal post and core system but no guidelines
exist for choosing one or the other in particular clinical cases. OBJECTIVES: To
assess the effectiveness of different post and core systems for the restoration
of endodontically-treated teeth. The primary objective of this review was to
compare the clinical failure rates of the different types of posts. SEARCH
METHODS: We searched the Cochrane Central Register of Controlled Trials (CENTRAL)
(The Cochrane Library 2005, Issue 3), MEDLINE (from 1966 to September 2005),
Scopus (from January 1985 to December 2004) and EMBASE (until December 2004). We
looked through reference lists of articles and dental conference proceedings. We
contacted researchers in the field and manufacturers. SELECTION CRITERIA:
Randomised or quasi-randomised clinical trials (RCTs) comparing failures on
endodontically-treated permanent teeth with different types of post. The outcomes
were loss of retention, post fracture and root fracture. DATA COLLECTION AND
ANALYSIS: Two review authors independently assessed the quality of trials and
extracted data. Study authors were contacted for additional information. MAIN
RESULTS: Two trials involving 317 participants were included but only one of
them, involving 200 participants, compared metal to non-metal posts. The other
answered to the secondary objective. The risk of failure was greater with metal
cast posts (9/98) compared to carbon fibre posts (0/97) (risk ratio (RR) = 0.05
(95% confidence interval (CI) 0.00 to 0.90)) but the study was at high risk of
bias. Thus fewer failures occurred when using non-metal posts but the evidence is
unreliable. AUTHORS' CONCLUSIONS: Our systematic review could not specify which
type of post and core system should be used when two or three dentine walls
remain. More RCTs are needed to confirm whether fibre-reinforced post and core
systems are superior and to clarify the influence of the remaining tooth
structure on the treatment outcome of the different post and core systems
available. Well-defined inclusion criteria focusing on the number of dentine
walls (two or three) should be used.
PMID- 27892627
TI - WITHDRAWN: Pulp management for caries in adults: maintaining pulp vitality.
AB - BACKGROUND: There is a range of treatment options for the management of the pulp
in extensively decayed teeth. These include direct and indirect pulp capping,
pulpotomy or pulpectomy. If the tooth is symptomatic or if there are periapical
bone changes, then endodontic treatment is required. However, if the tooth is
asymptomatic but the caries is extensive, there is no consensus as to the best
method of management. In addition, there has been a recent move towards using
alternative materials and methods such as the direct or indirect placement of
bonding agents and mineral trioxide aggregate.Most studies have investigated the
management of asymptomatic carious teeth with or without an exposed dental pulp
using various capping materials (e.g. calcium hydroxide, Ledermix, Triodent,
Biorex, etc.). However, there is no long term data regarding the outcome of
management of asymptomatic, carious teeth according to different regimens.
OBJECTIVES: This study aims to assess the effectiveness of techniques used to
treat asymptomatic carious teeth and maintain pulp vitality. SEARCH METHODS:
Electronic searches of the following databases were undertaken: The Cochrane Oral
Health Group's Trials Register (March 2006), the Cochrane Central Register of
Controlled Trials (CENTRAL) (The Cochrane Library 2006, Issue 1), MEDLINE (1966
to week 4, February 2006), EMBASE (1974 to 13 March 2006), National Research
Register (March 2006), Science Citation Index - SCISEARCH (1981 to March 2006).
Detailed search strategies were developed for each database. Handsearching and
screening of reference lists were undertaken. There was no restriction with
regard to language of publication. SELECTION CRITERIA: Studies included were
randomised controlled trials (RCTs). Asymptomatic vital permanent teeth with
extensive caries were included. Studies were those which compared techniques to
maintain pulp vitality. Outcome measures included clinical success and adverse
events. DATA COLLECTION AND ANALYSIS: Data were independently extracted by three
review authors. Authors were contacted for details of randomisation and
withdrawals and a quality assessment was carried out. The Cochrane
Collaboration's statistical guidelines were followed. MAIN RESULTS: Only four
RCTs were identified. Interventions examined included: Ledermix, glycerrhetinic
acid/antibiotic mix, zinc oxide eugenol, calcium hydroxide, Cavitec, Life, Dycal,
potassium nitrate, dimethyl isosorbide, and polycarboxylate cement. Only one
study showed a statistically significant finding; potassium nitrate/dimethyl
isosorbide/polycarboxylate cement resulted in fewer clinical symptoms than
potassium nitrate/polycarboxylate cement or polycarboxylate cement alone when
used as a capping material for carious pulps. AUTHORS' CONCLUSIONS: It was
disappointing that there were so few studies which could be considered as being
suitable for inclusion in this review. The findings from this review do not
suggest that there should be any significant change from accepted conventional
practice procedures when the pulp of the carious tooth is considered. Further
well designed RCTs are needed to investigate the potential of contemporary
materials which may be suitable when used in the management of carious teeth. It
is recognised that it is difficult to establish the 'ideal' clinical study when
ethical approval for new materials must be sought and strict attention to case
selection, study protocol and interpretation of data is considered. It is also
not easy to recruit sufficient numbers of patients meeting the necessary
criteria.
PMID- 27892628
TI - Association between FOXP3 gene polymorphisms and risk of differentiated thyroid
cancer in Chinese Han population.
AB - BACKGROUND: Foxp3 plays important roles in autoimmune and inflammatory diseases
as well as human malignancies. This study aimed to investigate the association
between Foxp3 gene polymorphisms and the susceptibility to differentiated thyroid
cancers (DTC). METHODS: Genotyping was performed by polymerase chain reaction
restriction fragment length polymorphism (PCR-RFLP) in 350 DTC patients and 306
healthy controls. FOXP3 relative expression was measured by real-time
quantitative PCR (qRT-PCR). RESULTS: AA/AC genotype of Foxp3-rs3761548 was
associated with a higher risk of DTC. The frequency of Foxp3-rs2280883 CC/CT
genotype was lower in DTC patients. Besides, the AA/AC genotype of rs3761548 was
more frequent in female DTC than male DTC. The association between two single
nucleotide polymorphisms (SNPs) and clinical characteristics of DTC was further
analyzed. We found that rs3761548 AA/AC genotype was more frequent in severe DTC
patients (tumor diameter >1 cm) compared with the relative tender DTC patients
(tumor diameter <1 cm). On the contrast, the frequency of rs2280883 CC/CT
genotype was lower in severe DTC patients. In addition, the Foxp3 relative
expression in DTC with AA/AC genotype of rs3761548 was higher than that of DTC
with CC genotype. CONCLUSION: Our findings suggested that Foxp3 polymorphisms
were associated with the risk of DTC in Chinese Han population.
PMID- 27892629
TI - Identification of novel antimelanogenic hexapeptides via positional scanning of a
synthetic peptide combinatorial library.
PMID- 27892631
TI - Flash-Induced Self-Limited Plasmonic Welding of Silver Nanowire Network for
Transparent Flexible Energy Harvester.
AB - The outstanding performance (sheet resistance of 5 Omega sq-1 at transmittance of
90%) and strongly adhesive (30.7 J m-2 ) silver nanowires (AgNWs) are fabricated
using flash-induced plasmonic welding (FPW) based on theoretical research of
photothermal interactions. The FPW-processed AgNWs are utilized as electrodes of
a transparent flexible energy harvester, and this device exhibits excellent
transmittance and high electric output performance. The FPW methodology provides
a high-tech solution for transparent flexible electronics.
PMID- 27892630
TI - Light Triggered Co-Assembly of Photocleavable Copolymers and Polyoxometalates
with Enhanced Photoluminescence.
AB - A novel co-assembly based on the block copolymer bearing photocleavable groups
and macroanionic polyoxometalates Na9 [Ln(W5 O18 )2 ] (LnW10 , Ln = Eu, Dy)
triggered by UV light is realized in aqueous solution. The copolymer synthesized
by atom transfer radical polymerization (ATRP) undergoes irreversible cleavage
upon UV irradiation to generate primary amine (pKa ~ 8-9) residues which are
completely protonated under a neutral pH in aqueous solution. Electrostatic
attractions between the resulting positively charged copolymers and anionic LnW10
drive the formation of assemblies. In situ small angle X-ray scattering and
transmission electron microscopy are used to characterize the morphology of the
assemblies. The microenvironments around polyoxometalates in the core of hybrid
assemblies become highly hydrophobic, resulting in dramatically enhanced
photoluminescence with the obvious intensity enhancement. The solution parameters
pH and salt additives show great effects on the formation of assemblies.
PMID- 27892632
TI - Efficient Cyclometalated Platinum(II) Complex with Superior Operational
Stability.
AB - A tetradentate cyclometalated Pt(II) complex (PtN3N) is developed as an
efficient, stable phosphorescent emitter. One PtN3N device exhibits an estimated
LT97 of 2057 h at an initial luminance of 1000 cd m-2 , while maintaining an
external quantum efficiency of 15.3% at such high brightness, demonstrating
performance to overcome the last technical barrier to the commercialization of Pt
complexes for many applications.
PMID- 27892634
TI - Ultrasensitive SERS Detection by Defect Engineering on Single Cu2 O
Superstructure Particle.
AB - A Cu2 O superstructure is constructed through a recrystallization-induced self
assembly strategy. Single Cu2 O superstructure particle exhibits an outstanding
surface-enhanced Raman spectroscopy performance with the limit of detection as
low as 10-9 mol L-1 and metal comparable enhancement factor (8 * 105 ) due to the
synergetic effect of vacancies defect-facilitated charge-transfer process and
copper vacancies defect-induced electrostatic adsorption.
PMID- 27892633
TI - Dihydroavenanthramide D inhibits mast cell degranulation and exhibits anti
inflammatory effects through the activation of neurokinin-1 receptor.
AB - Chronic pruritus is difficult to treat. Current treatment options are frequently
ineffective and new therapeutic approaches are urgently needed. Avenanthramides
are active substances in oats that exhibit anti-inflammatory effects. Their
potential to interrupt pruritus mechanisms was investigated in this study. It was
found that the synthetic analog dihydroavenanthramide D (DHAvD) can interact with
the neurokinin-1 receptor (NK1R) and inhibit mast cell degranulation. DHAvD also
affects inflammatory processes and reduces secretion of the cytokine interleukin
6. Our findings indicate that DHAvD may act as a NK1R inhibitor and could be a
promising candidate for topical treatments of chronic pruritus.
PMID- 27892635
TI - Electrically Rechargeable Zinc-Air Batteries: Progress, Challenges, and
Perspectives.
AB - Zinc-air batteries have attracted much attention and received revived research
efforts recently due to their high energy density, which makes them a promising
candidate for emerging mobile and electronic applications. Besides their high
energy density, they also demonstrate other desirable characteristics, such as
abundant raw materials, environmental friendliness, safety, and low cost. Here,
the reaction mechanism of electrically rechargeable zinc-air batteries is
discussed, different battery configurations are compared, and an in depth
discussion is offered of the major issues that affect individual cellular
components, along with respective strategies to alleviate these issues to enhance
battery performance. Additionally, a section dedicated to battery-testing
techniques and corresponding recommendations for best practices are included.
Finally, a general perspective on the current limitations, recent application
targeted developments, and recommended future research directions to prolong the
lifespan of electrically rechargeable zinc-air batteries is provided.
PMID- 27892636
TI - Impaired Retinal Vasoreactivity: An Early Marker of Stroke Risk in Diabetes.
AB - Diabetes is a common cause of small vessel disease leading to stroke and vascular
dementia. While the function and structure of large cerebral vessels can be
easily studied, the brain's microvasculature remains difficult to assess.
Previous studies have demonstrated that structural changes in the retinal vessel
architecture predict stroke risk, but these changes occur at late disease stages.
Our goal was to examine whether retinal vascular status can predict cerebral
small vessel dysfunction during early stages of diabetes. Retinal vasoreactivity
and cerebral vascular function were measured in 78 subjects (19 healthy controls,
22 subjects with prediabetes, and 37 with type-2 diabetes) using a new
noninvasive retinal imaging device (Dynamic Vessel Analyzer) and transcranial
Doppler studies, respectively. Cerebral blood vessel responsiveness worsened with
disease progression of diabetes. Similarly, retinal vascular reactivity was
significantly attenuated in subjects with prediabetes and diabetes compared to
healthy controls. Subjects with prediabetes and diabetes with impaired cerebral
vasoreactivity showed mainly attenuation of the retinal venous flicker response.
This is the first study to explore the relationship between retinal and cerebral
vascular function in diabetes. Impairment of venous retinal responsiveness may be
one of the earliest markers of vascular dysfunction in diabetes possibly
indicating subsequent risk of stroke and vascular dementia.
PMID- 27892637
TI - Distribution of follicles in canine ovarian tissues and xenotransplantation of
cryopreserved ovarian tissues with even distribution of follicles.
AB - Ovarian follicles are not homogeneously distributed within the ovarian cortex in
several species of mammals. Yet to maximize the reproducibility of experimental
results of ovarian transplantation, it is essential to assess the degree of
density and distribution of follicles in ovarian tissues before their
transplantation. In this study, the ovarian cortex from 13 immature bitches (ten
purebred and three mongrels) was sectioned into 1.0- to 1.5-mm3 cubes, those were
fixed, sectioned and stained with haematoxylin and eosin. To evaluate the density
and distribution of follicles, the mean number of all stages of follicles per
square millimetre was calculated after observation under a microscope. The
distribution of follicles was considered even when the variance value was lower
than 10 or between 10 and 16, with an absolute value of distortion inferior to 1.
The mean number of follicles ranged from 3.24 to 28.34/mm2 in 25 ovaries from 13
bitches examined. The variance and distortion ranged from 0.35 to 119.64 and
1.87 to 4.40, respectively. The distribution of follicles within the ovarian
cortex was judged uneven in 12 of 25 ovaries. These results indicated that
follicles were not homogeneously distributed within the ovarian cortex in a large
proportion of ovaries. In addition, cryopreserved ovarian fragments with even
distribution of follicles were transplanted to NSG mice with or without 400 U/kg
of disialylated erythropoietin (asialo EPO). After removing both sides of ovary,
a piece of ovarian fragment was placed under the kidney capsule in both sides of
kidney. At 4 weeks after transplantation, the fragments were recovered from the
mice and the number of primordial, primary, secondary and antral follicles was
counted. Total number of follicles and survival rates of follicles in
transplanted fragments with asialo EPO were higher than without asialo EPO in
four bitches examined. These findings suggest that asialo EPO might be effective
on the follicular survival of canine ovarian tissues after xenotransplantation.
Knowing the degree of density and distribution of follicles in ovarian tissues
before transplantation is expected to contribute to the precise interpretation of
results after transplantation of the ovarian tissues.
PMID- 27892638
TI - A New Nonfullerene Electron Acceptor with a Ladder Type Backbone for High
Performance Organic Solar Cells.
AB - Nonfullerene acceptor FDICTF (2,9-bis(2methylene-(3-(1,1
dicyanomethylene)indanone))-7,12-dihydro-4,4,7,7,12,12-hexaoctyl-4H
cyclopenta[2",1":5,6;3",4":5',6']diindeno[1,2-b:1',2'-b']dithiophene) modified by
fusing the fluorene core in a precursor, yields 10.06% high power conversion
efficiency, and demonstrates that the ladder and fused core backbone in A-D-A
structure molecules is an effective design strategy for high-performance
nonfullerene acceptors.
PMID- 27892640
TI - Novel topical therapy for corneal blood staining.
PMID- 27892639
TI - Converting Red Blood Cells to Efficient Microreactors for Blood Detoxification.
AB - A simple method to convert red blood cells (RBCs) into efficient microreactors is
reported. Triton X-100 is employed at finely tuned concentrations to render RBCs
highly permeable to substrates, while low concentrations of glutaraldehyde are
used to stabilize cells. The ability for blood detoxification of these
microreactors is demonstrated.
PMID- 27892641
TI - The Effect of Illustration on Improving Text Comprehension in Dyslexic Adults.
AB - This study analyses the effect of pictures in reading materials on the viewing
patterns of dyslexic adults. By analysing viewing patterns using eye-tracking, we
captured differences in eye movements between young adults with dyslexia and
controls based on the influence of reading skill as a continuous variable of the
total sample. Both types of participants were assigned randomly to view either
text-only or a text + picture stimuli. The results show that the controls made an
early global overview of the material and (when a picture was present) rapid
transitions between text and picture. Having text illustrated with a picture
decreased scores on questions about the learning material among participants with
dyslexia. Controls spent 1.7% and dyslexic participants 1% of their time on the
picture. Controls had 24% fewer total fixations; however, 29% more of the control
group's fixations than the dyslexic group's fixations were on the picture. We
also looked for effects of different types of pictures. Dyslexic subjects
exhibited a comparable viewing pattern to controls when scenes were complex, but
fewer fixations when scenes were neutral/simple. Individual scan paths are
presented as examples of atypical viewing patterns for individuals with dyslexia
as compared with controls. (c) 2016 The Authors. Dyslexia published by John Wiley
& Sons Ltd.
PMID- 27892642
TI - New approaches to non-surgical sterilization for dogs and cats: Opportunities and
challenges.
AB - Over the last 40 years, researchers have explored methods to non-surgically
suppress fertility in animals. Immunocontraception has been used to control
wildlife populations but does not confer long-term immunity. The gonadotropin
releasing hormone (GnRH) agonist deslorelin, formulated as an implant to provide
6-month to 1-year suppression of fertility in male dogs, is available
commercially in some countries. Neither of these approaches provide permanent
sterility. A single-dose, permanent treatment would be a valuable tool in dog and
cat population control. The Michelson Prize and Grants (MPG) programme was
initiated "to eliminate shelter euthanasia of healthy, adoptable companion
animals and reduce populations of feral and free-roaming cats and dogs" offering
a $25 million US prize for a non-surgical sterilant that is effective as a single
treatment in both male and female dogs and cats. Michelson Prize and Grants
programme has offered US $50 million in grant money for research and has
attracted scientists worldwide. Approaches under study include gene therapy,
small interfering RNA to inhibit reproductive targets and delivery of cytotoxins
to pituitary gonadotrophs or GnRH producing neurons in the hypothalamus. Research
in implant technology that could deliver compounds over an animal's lifetime is
also underway. Details of funded grants and results to date can be found at:
http://www.michelsonprizeandgrants.org/michelson-grants/research-findings. The
next steps are translating the most promising research into products. The
Alliance for Contraception of Cats and Dogs (ACC&D) is helping to research
practical methods of marking sterilized animals to avoid costly retreatment and
population modelling that will help guide field workers in use of resources for
sterilization programmes.
PMID- 27892644
TI - Saliva protein biomarkers to detect oral squamous cell carcinoma (OSCC).
PMID- 27892643
TI - The rice OsNAC6 transcription factor orchestrates multiple molecular mechanisms
involving root structural adaptions and nicotianamine biosynthesis for drought
tolerance.
AB - Drought has a serious impact on agriculture worldwide. A plant's ability to adapt
to rhizosphere drought stress requires reprogramming of root growth and
development. Although physiological studies have documented the root adaption for
tolerance to the drought stress, underlying molecular mechanisms is still
incomplete, which is essential for crop engineering. Here, we identified OsNAC6
mediated root structural adaptations, including increased root number and root
diameter, which enhanced drought tolerance. Multiyear drought field tests
demonstrated that the grain yield of OsNAC6 root-specific overexpressing
transgenic rice lines was less affected by drought stress than were nontransgenic
controls. Genome-wide analyses of loss- and gain-of-function mutants revealed
that OsNAC6 up-regulates the expression of direct target genes involved in
membrane modification, nicotianamine (NA) biosynthesis, glutathione relocation,
3'-phophoadenosine 5'-phosphosulphate accumulation and glycosylation, which
represent multiple drought tolerance pathways. Moreover, overexpression of
NICOTIANAMINE SYNTHASE genes, direct targets of OsNAC6, promoted the accumulation
of the metal chelator NA and, consequently, drought tolerance. Collectively,
OsNAC6 orchestrates novel molecular drought tolerance mechanisms and has
potential for the biotechnological development of high-yielding crops under water
limiting conditions.
PMID- 27892646
TI - Trafficking of PfExp1 to the parasitophorous vacuolar membrane of Plasmodium
falciparum is independent of protein folding and the PTEX translocon.
AB - Having entered the mature human erythrocyte, the malaria parasite survives and
propagates within a parasitophorous vacuole, a membrane-bound compartment
separating the parasite from the host cell cytosol. The bounding membrane of this
vacuole, referred to as the parasitophorous vacuolar membrane (PVM), contains
parasite-encoded proteins, but how these membrane proteins are trafficked to the
PVM remains unknown. Here, we have studied the trafficking of PfExp1 to the PVM.
We find that trafficking of PfExp1 to the PVM is independent of the folding state
of the protein and also continues unabated upon inactivation of the PVM
translocon Plasmodium Translocon of Exported proteins (PTEX). Our data strongly
suggest that the trafficking of membrane proteins to the PVM occurs by as yet
unknown mechanism, potentially unique to Plasmodium.
PMID- 27892645
TI - Endothelial cell-derived endothelin-1 is involved in abnormal scar formation by
dermal fibroblasts through RhoA/Rho-kinase pathway.
AB - Hypertrophic scars and keloids are characterized by excessive dermal deposition
of extracellular matrix due to fibroblast-to-myofibroblast differentiation.
Endothelin-1 (ET-1) is primarily produced by vascular endothelial cells and plays
multiple roles in the wound-healing response and organ fibrogenesis. In this
study, we investigated the pathophysiological significance of ET-1 and
involvement of RhoA, a member of the Rho GTPases, in hypertrophic scar/keloid
formation. We found that ET-1 expression on dermal microvascular endothelial
cells (ECs) in hypertrophic scars and keloids was higher than that in normal skin
and mature scars. We also confirmed that ET-1 induced myofibroblast
differentiation and collagen synthesis in cultured human dermal fibroblasts
through the RhoA/Rho-kinase pathway. Finally, since hypertrophic scar/keloid
formation was most prominent in areas exposed to mechanical stretch, we examined
how mechanical stretch affected ET-1 secretion in human dermal microvascular ECs,
and found that mechanical stretch increased ET-1 gene expression and secretion
from ECs. Taken together, these results suggest that dermal microvascular ECs
release ET-1 in response to mechanical stretch, and thereby contribute to the
formation of hypertrophic scars and keloids through the RhoA/Rho-kinase pathway.
PMID- 27892647
TI - Recent Progress in the Development of Printed Thin-Film Transistors and Circuits
with High-Resolution Printing Technology.
AB - Printed electronics enable the fabrication of large-scale, low-cost electronic
devices and systems, and thus offer significant possibilities in terms of
developing new electronics/optics applications in various fields. Almost all
electronic applications require information processing using logic circuits.
Hence, realizing the high-speed operation of logic circuits is also important for
printed devices. This report summarizes recent progress in the development of
printed thin-film transistors (TFTs) and integrated circuits in terms of
materials, printing technologies, and applications. The first part of this report
gives an overview of the development of functional inks such as semiconductors,
electrodes, and dielectrics. The second part discusses high-resolution printing
technologies and strategies to enable high-resolution patterning. The main focus
of this report is on obtaining printed electrodes with high-resolution patterning
and the electrical performance of printed TFTs using such printed electrodes. In
the final part, some applications of printed electronics are introduced to
exemplify their potential.
PMID- 27892648
TI - Milking performance evaluation and factors affecting milking claw vacuum levels
with flow simulator.
AB - Milking performance of milking machines that matches the production capability of
dairy cows is important in reducing the risk of mastitis, particularly in high
producing cows. This study used a simulated milking device to examine the milking
performance of the milking system of 73 dairy farms and to analyze the factors
affecting claw vacuum. Mean claw vacuum and range of fluctuation of claw vacuum
(claw vacuum range) were measured at three different flow rates: 5.7, 7.6 and 8.7
kg/min. At the highest flow rate, only 16 farms (21.9%) met both standards of
mean claw vacuum >=35 kPa and claw vacuum range <= 7 kPa, showing that milking
systems currently have poor milking performance. The factors affecting mean claw
vacuum were claw type, milk-meter and vacuum shut-off device; the factor
affecting claw vacuum range was claw type. Examination of the milking performance
of the milking system using a simulated milking device allows an examination of
the performance that can cope with high producing cows, indicating the
possibility of reducing the risk of mastitis caused by inappropriate claw vacuum.
PMID- 27892649
TI - Thresholding methods for lesion segmentation of basal cell carcinoma in
dermoscopy images.
AB - PURPOSE: Algorithms employed for pigmented lesion segmentation perform poorly on
dermoscopy images of basal cell carcinoma (BCC), the most common skin cancer. The
main objective was to develop better methods for BCC segmentation. METHODS:
Fifteen thresholding methods were implemented for BCC lesion segmentation. We
propose two error metrics that better measure the type II error: Relative XOR
Error and Lesion Capture Ratio. RESULTS: On training/test sets of 305 and 34 BCC
images, respectively, five new techniques outperform two state-of-the-art methods
used in segmentation of melanomas, based on the new error metrics. CONCLUSION:
The proposed algorithms, which include solutions for image vignetting correction
and border expansion to achieve dermatologist-like borders, provide more
inclusive and feature-preserving border detection, favoring better BCC
classification accuracy, in future work.
PMID- 27892650
TI - Application of Bayesian hierarchical models for phase I/II clinical trials in
oncology.
AB - Treatment during cancer clinical trials sometimes involves the combination of
multiple drugs. In addition, in recent years there has been a trend toward phase
I/II trials in which a phase I and a phase II trial are combined into a single
trial to accelerate drug development. Methods for the seamless combination of
phases I and II parts are currently under investigation. In the phase II part,
adaptive randomization on the basis of patient efficacy outcomes allocates more
patients to the dose combinations considered to have higher efficacy. Patient
toxicity outcomes are used for determining admissibility to each dose combination
and are not used for selection of the dose combination itself. In cases where the
objective is not to find the optimum dose combination solely for efficacy but
regarding both toxicity and efficacy, the need exists to allocate patients to
dose combinations with consideration of the balance of existing trade-offs
between toxicity and efficacy. We propose a Bayesian hierarchical model and an
adaptive randomization with consideration for the relationship with toxicity and
efficacy. Using the toxicity and efficacy outcomes of patients, the Bayesian
hierarchical model is used to estimate the toxicity probability and efficacy
probability in each of the dose combinations. Here, we use Bayesian moving
reference adaptive randomization on the basis of desirability computed from the
obtained estimator. Computer simulations suggest that the proposed method will
likely recommend a higher percentage of target dose combinations than a
previously proposed method.
PMID- 27892651
TI - Fumarate Copolymer-Chitosan Cross-Linked Scaffold Directed to Osteochondrogenic
Tissue Engineering.
AB - Natural and synthetic cross-linked polymers allow the improvement of
cytocompatibility and mechanical properties of the individual polymers. In
osteochondral lesions of big size it will be required the use of scaffolds to
repair the lesion. In this work a borax cross-linked scaffold based on fumarate
vinyl acetate copolymer and chitosan directed to osteochondrondral tissue
engineering is developed. The cross-linked scaffolds and physical blends of the
polymers are analyzed in based on their morphology, glass transition temperature,
and mechanical properties. In addition, the stability, degradation behavior, and
the swelling kinetics are studied. The results demonstrate that the borax cross
linked scaffold exhibits hydrogel behavior with appropriated mechanical
properties for bone and cartilage tissue regeneration. Bone marrow progenitor
cells and primary chondrocytes are used to demonstrate its osteo- and
chondrogenic properties, respectively, assessing the osteo- and chondroblastic
growth and maturation, without evident signs of cytotoxicity as it is evaluated
in an in vitro system.
PMID- 27892652
TI - Aliphatic Polyethers with Sulfate, Carboxylate, and Hydroxyl Side Groups-Do They
Show Anticoagulant Properties?
AB - There is increasing interest in the synthesis of low molecular weight heparin and
heparan sulfate mimetic polymers because of their various potential biomedical
applications. The functional activity of heparin and heparan sulfate is believed
to arise from the presence of a number of functional groups, such as hydroxyl,
carboxylate and sulfate groups. The design and synthesis of novel heparin-mimetic
polymers with a particular functionality poses a formidable challenge and
requires carefully control of the selective conversion of functional groups on
the polymer chain. Here, this study describes a simple and efficient synthetic
protocol for the preparation of heparin-mimetic linear polyglycidol copolymers
based on the selective conversion of primary hydroxyl groups to carboxylic acids
under ruthenium-catalyzed selective dehydrogenation in basic aqueous solution. To
achieve the anticoagulant activity of these polymers, primary hydroxyl groups are
selectively converted to sulfate groups. The anticoagulant activity of the
heparin mimics is studied by rotational thromboelastometry using EXTEM and INTEM
assays. The environmentally benign process described herein provides an
attractive route for the synthesis of heparin-mimetic polymers with tailored
functions such as anticoagulant activity.
PMID- 27892653
TI - Low baseline levels of NK cells may predict a positive response to ipilimumab in
melanoma therapy.
AB - The introduction of immune checkpoint blockade (ICB) has been a breakthrough in
the therapy of metastatic melanoma. The influence of ICB on T-cell populations
has been studied extensively, but little is known about the effect on NK cells.
In this study, we analysed the relative and absolute amounts of NK cells and of
the subpopulations of CD56dim and CD56bright NK cells among the peripheral blood
mononuclear cells (PBMCs) of 32 patients with metastatic melanoma before and
under treatment with ipilimumab or pembrolizumab by flow cytometry. In 15 (47%)
patients, an abnormal low amount of NK cells was found at baseline. Analysis of
the subpopulations showed also low or normal baseline levels for CD56dim NK
cells, whereas the baseline levels of CD56bright NK cells were either normal or
abnormally high. The relative and absolute amounts of NK cells and of CD56dim and
CD56bright NK cell subpopulations in patients with a normal baseline did not
change under treatment. However, patients with a low baseline of NK cells and
CD56dim NK cells showed a significant increase in these immune cell subsets, but
the amounts remained to be lower than the normal baseline. The amount of
CD56bright NK cells was unaffected by treatment. The baseline levels of NK cells
were correlated with the number of metastatic organs. Their proportion increased,
whereas the expression of NKG2D decreased significantly when more than one organ
was affected by metastases. Low baseline levels of NK cells and CD56dim NK cells
as well as normal baseline levels of CD56bright NK cells correlated significantly
with a positive response to ipilimumab but not to pembrolizumab. Survival curves
of patients with low amounts of CD56dim NK cells treated with ipilimumab showed a
trend to longer survival. Normal baseline levels of CD56bright NK cells were
significantly correlated with longer survival as compared to patients with high
baseline levels. In conclusion, analysis of the amounts of total NK cells and of
CD56dim and CD56bright NK cells subpopulations at baseline may help to predict
the outcome of treatment with ipilimumab.
PMID- 27892654
TI - Injectable Polysaccharide Hydrogels as Biocompatible Platforms for Localized and
Sustained Delivery of Antibiotics for Preventing Local Infections.
AB - Biocompatible and antibacterial hydrogels have received increasing attention for
preventing local bacterial infections. In this study, a type of polysaccharide
hydrogels is prepared via the Schiff-based reaction at physiological conditions.
The gelation time and mechanical property of the hydrogels are found to be
dependent on the polysaccharide concentration and the polysaccharide weight
ratio. 3-(4,5-Dimethyl-thiazol-2-yl)-2,5-diphenyl tetrazolium bromide assay and
live/dead assay indicate that the hydrogels display nontoxicity in vitro. After
subcutaneous injection into rats, the hydrogels exhibit an acceptable
biocompatibility in vivo. Furthermore, the bacterial inhibition tests by shaking
flask method and agar disc-diffusion method demonstrate that the ceftriaxone
sodium-loaded hydrogels have remarkable antibacterial properties in vitro. The in
vivo anti-infective tests further display that the antibiotic-loaded hydrogels
display excellent anti-infective efficacies in both superficial and deep tissue
infection. Consequently, the injectable and biocompatible polysaccharide
hydrogels may serve as promising platforms for localized, sustained delivery of
antibiotics for preventing local infections.
PMID- 27892655
TI - 3D Printed Polycaprolactone Carbon Nanotube Composite Scaffolds for Cardiac
Tissue Engineering.
AB - Fabrication of tissue engineering scaffolds with the use of novel 3D printing has
gained lot of attention, however systematic investigation of biomaterials for 3D
printing have not been widely explored. In this report, well-defined structures
of polycaprolactone (PCL) and PCL- carbon nanotube (PCL-CNT) composite scaffolds
have been designed and fabricated using a 3D printer. Conditions for 3D printing
has been optimized while the effects of varying CNT percentages with PCL matrix
on the thermal, mechanical and biological properties of the printed scaffolds are
studied. Raman spectroscopy is used to characterise the functionalized CNTs and
its interactions with PCL matrix. Mechanical properties of the composites are
characterised using nanoindentation. Maximum peak load, elastic modulus and
hardness increases with increasing CNT content. Differential scanning calorimetry
(DSC) studies reveal the thermal and crystalline behaviour of PCL and its CNT
composites. Biodegradation studies are performed in Pseudomonas Lipase enzymatic
media, showing its specificity and effect on degradation rate. Cell imaging and
viability studies of H9c2 cells from rat origin on the scaffolds are performed
using fluorescence imaging and MTT assay, respectively. PCL and its CNT
composites are able to show cell proliferation and have the potential to be used
in cardiac tissue engineering.
PMID- 27892656
TI - Cytochrome P450 Bioconjugate as a Nanovehicle for Improved Chemotherapy
Treatment.
AB - Cancer is still a growing public health problem, especially breast cancer that is
one of the most important cancers in women. Chemotherapy, even though a
successful treatment, is accompanied by severe side effects. Moreover, most of
the drugs used for chemotherapy are administered as prodrugs and need to be
transformed to the active form by cytochromes P450 (CYPs). In addition,
increasing numbers of cancer tissues show lower CYP activity than the surrounding
healthy tissues in which prodrugs are preferentially activated causing
cytotoxicity. Here, the design of a functionalized cytochrome P450 bioconjugate
is reported as nanovehicle for the enzyme direct delivery to the tumor tissue in
order to improve the local drug activation. MCF-7 breast cancer cells are treated
with CYP-polyethylene glycol bioconjugate functionalized folic acid, where it
activates the prodrug tamoxifen and significantly reduces the dose of tamoxifen
needed to kill the tumor cells. The CYP bioconjugate covered with polyethylene
glycol shows no immunogenic activity. The advantages of increasing the site
specific CYP activity in tumor tissues are discussed.
PMID- 27892657
TI - Effective delivery of porphyrazine photosensitizers to cancer cells by polymer
brush nanocontainers.
AB - Efficient drug delivery can be assigned to tasks that attract the most acute
attention of researchers in the field of anticancer drug design. We have reported
the first case of using amphiphilic polymer brushes as nanocontainers for
photosensitizer delivery to cancer cells. Regular graft-copolymers of hydrophobic
polyimides with hydrophilic polymethacrylic acid side chains were loaded with
photosensitive dye tetra(4-fluorophenyl)tetracyanoporphyrazine (Pz) providing a
sufficiently stable homogeneous fraction of fluorescent Pz-loaded nanoparticles
with a size of 100-150 nm. Pz-loaded polymer brushes were substantially more
efficient for Pz delivery into cells compared with other types of particles
examined, Pz-polyethyleneglycol and Pz-methylcellulose. In vivo, an efficient Pz
delivery to tumor can also be expected since the Pz-PB particle size is in the
optimal range for passive targeting. Pz-PB showed pronounced photodynamic
activity, while, that is important, in the absence of irradiation the PB carrier
itself was significantly less toxic than the dye itself. Summing up, water
soluble polymer brushes with polyimide backbones and polymethacrylic acid side
chains can be regarded as a novel type of nanocontainers providing efficient
intracellular drug delivery for photodynamic therapy of cancers.
PMID- 27892659
TI - University Students with Dyslexia: A Qualitative Exploratory Study of Learning
Practices, Challenges and Strategies.
AB - People with dyslexia are vastly under-represented in universities (Katusic et
al., , Richardson & Wydell, ; Stampoltzis & Polychronopoulou, ). This situation
is of concern for modern societies that value social justice. This study was
designed to explore learning experiences of university students with dyslexia and
factors that could contribute to their success. Thirteen students with dyslexia
and 20 non-dyslexic peers were interviewed about their university learning
experiences using a semi-structured qualitative approach. Students with dyslexia
described engaging in learning activities intensively, frequently and
strategically. They reported challenges and strengths relating to study skills,
lectures, assessments, technology and support services. They also described
helpful strategies including self-directed adaptive techniques, provisions from
lecturers and assistance from the university. These findings suggest that
students with dyslexia experience broad challenges at university, but helpful
strategies may be available. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27892660
TI - Asia Pacific Journal of Cancer Prevention MEDLINE/PubMed Listing and Solution to
the PRESERVATION Issue
PMID- 27892658
TI - Occupational syncarcinogenesis in the skin - combined effects of two carcinogens
from the German occupational disease list.
AB - BACKGROUND: Though scientifically undisputed, cutaneous syncarcinogenesis is not
reflected in German occupational disease (OD) regulations, which tend to be
guided by the tenet of monocausality. Recognition of nonmelanoma skin cancer
(NMSC) and its precursor lesions as OD requires individual assessment as to
whether the requirements pursuant to either OD 5103 (occupational exposure to
natural UV radiation) or OD 5102 (occupational exposure to polycyclic aromatic
hydrocarbons) are fulfilled. METHODS: Retrospective analysis of 28 patients
(median age 72.5 years) with NMSC and respective precursor lesions who had been
occupationally exposed to natural UV radiation and polycyclic aromatic
hydrocarbons. All cases had undergone expert medical assessment between September
2012 and September 2015. RESULTS: According to our assessments, all 28 cases met
the occupational requirements pursuant to OD 5103 and 5102. In 26 cases (93 %),
we recommended recognition of skin cancer as occupational disease pursuant to
both OD 5103 and OD 5102. The competent occupational insurance association (BG)
followed our recommendation in four cases. In eight cases, recognition was solely
based on OD 5103; in ten cases, only on OD 5102. Four cases were denied
recognition. CONCLUSIONS: Following adequate cumulative occupational exposure to
natural UV light as well as occupational exposure to polycyclic aromatic
hydrocarbons, NMSC or its precursor lesions arising in UV-exposed areas should be
reported to the competent occupational insurance association as "OD 5103 and 5102
in terms of syncarcinogenesis". Apart from the fact that the ensuing recognition
proceedings will be able to more adequately reflect real-life workplace
conditions, filing a report pursuant to both ODs also allows for recognition of
basal cell carcinoma as occupational disease. According to current regulations,
this would not be possible, if the assessment were solely based on OD 5103.
PMID- 27892661
TI - Infertility in Pediatric Cancer Survivors: A Necessary Evil or a Potentially
Avoidable Sequel?
PMID- 27892662
TI - Effectiveness of Teach-Back Self-Management Training Program on Happiness of
Breast Cancer Patients
AB - Self-management training is one of the ways to empower patients to cope with
disease. The aim of this before-and-afterquasi-experimental study was to
determine effects of a teach-back self-management training method on breast
cancerpatient happiness. Fifty breast cancer patients who visited the Park-e
Neshat Limited Surgery Clinic in Kerman, Iranwere randomly divided into
intervention and control groups after convenience sampling and checking for
inclusioneligibility. Data were collected using a demographic questionnaire and
the Oxford Happiness Inventory before andafter teach-back training and analyzed
using SPSS 23. Findings showed no significant difference between meanhappiness
scores in the two groups before the intervention. However, after the
intervention, the mean happiness scorein the intervention group increased from
37.2 to 62.9, while it decreased from 41.4 to 29.8 in the control group.
Thesechanges were statistically significant (p<0.001). Even after controlling for
the effect of confounding factors such asresidence location and history of cancer
education, the observed differences between the groups were
statisticallysignificant (p<0.001). A teach-back self-management training program
can increase happiness levels in breast cancerpatients. Therefore, the use of
this method is recommended to improve self-management and increase happiness.
PMID- 27892663
TI - Diagnosis of Malignant Biliary Strictures: Conventional or Negative Pressure
Brush Cytology?
AB - Background/Objective: The aim of this study was to perform a comparative
evaluation of the yields of conventionalbrush cytology and brush cytology with
negative pressure in the diagnosis of malignant biliary strictures. Methods:
Atotal of 132 consecutive patients undergoing endoscopic were identified. Of
these, 88.0 had brush cytology after ERCPand 44 were Brush cytology with negative
pressure. Retrograde cholangiopancreatography (ERCP) including brushcytology and
brush cytology with negative pressure in patients with biliary strictures between
2012-2015. Endoscopicretrograde cholangiography was performed with a standard
videoduodenoscope Olympus TFJ 160-R (Olympus,Hamburg, Germany) and brush cytology
with a Cook medical Double Lumen Biliary BrushTM (Cytology). Meansand standard
frequencies were used to calculate variables. Results: Positive results for
malignancy were obtained in22 of 88 patients (25%) by brush cytology and 31 of 44
patients (70.4 %) by brush cytology with negative pressure.Conclusions:
Sensitivity of cytology sampling could be maximized by negative pressure during
ERCP.
PMID- 27892664
TI - Breast Cancer and Modifiable Lifestyle Factors in Argentinean Women: Addressing
Missing Data in a Case-Control Study
AB - A number of studies have evidenced the effect of modifiable lifestyle factors
such as diet, breastfeeding and nutritionalstatus on breast cancer risk. However,
none have addressed the missing data problem in nutritional epidemiologic
researchin South America. Missing data is a frequent problem in breast cancer
studies and epidemiological settings in general.Estimates of effect obtained from
these studies may be biased, if no appropriate method for handling missing data
isapplied. We performed Multiple Imputation for missing values on covariates in a
breast cancer case-control study ofCordoba (Argentina) to optimize risk
estimates. Data was obtained from a breast cancer case control study from 2008to
2015 (318 cases, 526 controls). Complete case analysis and multiple imputation
using chained equations were themethods applied to estimate the effects of a
Traditional dietary pattern and other recognized factors associated withbreast
cancer. Physical activity and socioeconomic status were imputed. Logistic
regression models were performed.When complete case analysis was performed only
31% of women were considered. Although a positive associationof Traditional
dietary pattern and breast cancer was observed from both approaches (complete
case analysis OR=1.3,95%CI=1.0-1.7; multiple imputation OR=1.4, 95%CI=1.2-1.7),
effects of other covariates, like BMI and breastfeeding,were only identified when
multiple imputation was considered. A Traditional dietary pattern, BMI and
breastfeeding areassociated with the occurrence of breast cancer in this
Argentinean population when multiple imputation is appropriatelyperformed.
Multiple Imputation is suggested in Latin America's epidemiologic studies to
optimize effect estimates inthe future.
PMID- 27892665
TI - Thyroid Function after Postoperative Radiation Therapy inPatients with Breast
Cancer
AB - Objective: The aim of this study was to assess thyroid function in breast cancer
patients exposed to therapeuticexternal beam radiation. The focus was on possible
progressive changes and any relationships between the incidence ofprimary
hypothyroidism, the time required to become hypothyroid, and factors such as
chemotherapy, hormonotherapyand immunotherapy. Materials and Methods: Seventy
females undergoing 3D conformal and IMRT radiation therapyfor breast cancers were
enrolled in a non-randomized prospective study. The patients was divided into two
groups:those after mastectomy or breast conserving surgery (BCS) were irradiated
to a scar of the chest wall/breast and theipsilateral supraclavicular and the
axillary areas (supraclavicular radiotherapy group - SC-RT group - 32 patients)
andthe control group receiving adjuvant chest wall/breast RT only (BCT group - 38
patients).The total doses were 50.0to 70 Gy in 5 to 7 weeks. The median follow-up
term was 24 months (range, 1-40 months). Thyroid function wasevaluated by
measuring thyroid stimulating hormone (TSH), free thyroxine (fT4), and free
triiodothyronine (fT3) levels.The minimum, maximum and mean thyroid gland doses
for 20 Gy (V20) were calculated for all patients. Results:Statistically
significant results were obtained for the SC-RT group. Two yearsa fter the end of
RT the chance of an eventwas increased in 6% of the population (p=0.009) in the
SC-RT group. In the BCT group no significance was noted. Nostatistically
significant differences were found for V20, chemio-, immunotherapy and
hormonotherapy or Ki67 values(p=0.12). No significant results were obtained for
development of hypothyroidism and clinical factors (age, thyroidvolume, treatment
modalities). Conclusion: Radiotherapy is associated with a higher incidence of
thyroid toxicity inbreast cancer patients. Routine thyroid function monitoring
should be recommended in such cases.
PMID- 27892666
TI - Mantle Cell Lymphoma: A North Indian Tertiary Care CentreExperience
AB - Background: Mantle cell lymphoma (MCL) is an aggressive non-Hodgkin's lymphoma,
with a pathognomonicchromosomal translocation t (11;14). Prognosis is uniformly
dismal but there is a paucity of information on MCL fromIndia. Materials and
methods: We retrospectively analysed clinicopathological information on all
treated patients withMCL at our centre. STATA 14.0 was used for analysis.
Survival was assessed by Kaplan-Meier analysis and the Cox'sproportional hazards
method. Statistical significance was defined as a P value of < 0.05. Results:
Fifty-one patients withMCL were reviewed. The median age at presentation was 57.0
years. Extranodal involvement was seen in 39.0 (74.0%)while bone marrow
positivity at presentation was found in 27.0 (54.0%). Initial treatment was
chemotherapy with orwithout rituximab. Patients receiving rituximab-based therapy
(n = 24) had 5-year progression-free survival (PFS) of 21.0(88.0%), compared with
14.0 (61.0%) for those not receiving rituximab (n = 23, P = 0.036). Twenty-three
patients werealive with a median follow-up of 20.7 months (range 2.5-89.2). PFS
at 1 and 2 years was 51.0% and 27.0%, and overallsurvival (OS) 78.0% and 72.0%,
respectively. Use of more than 2.0 lines of therapy, use of bendamustine
rituximab,and high TLC (>10,000.0/cu.mm) significantly affected PFS. Conclusions:
In our experience, MCL patients fromnorth India have an early age at
presentation. When treated with regimens including rituximab results in an
improvedresponse rate and PFS. This study provided comprehensive insights into
the treatment of MCL in a developing country.
PMID- 27892667
TI - Area-to-Area Poisson Kriging and Spatial Bayesian Analysisin Mapping of Gastric
Cancer Incidence in Iran
AB - Background: In many countries gastric cancer has the highest incidence among the
gastrointestinal cancers and isthe second most common cancer in Iran. The aim of
this study was to identify and map high risk gastric cancer regionsat the county
level in Iran. Methods: In this study we analyzed gastric cancer data for Iran in
the years 2003-2010. Areato-area Poisson kriging and Besag, York and Mollie (BYM)
spatial models were applied to smoothing the standardizedincidence ratios of
gastric cancer for the 373 counties surveyed in this study. The two methods were
compared in termof accuracy and precision in identifying high risk regions.
Result: The highest smoothed standardized incidence rate(SIR) according to area
to-area Poisson kriging was in Meshkinshahr county in Ardabil province in north
westernIran (2.4,SD=0.05), while the highest smoothed standardized incidence rate
(SIR) according to the BYM model wasin Ardabil, the capital of that province
(2.9,SD=0.09). Conclusion: Both methods of mapping, ATA Poisson krigingand BYM,
showed the gastric cancer incidence rate to be highest in north and north-west
Iran. However, area-to-areaPoisson kriging was more precise than the BYM model
and required less smoothing. According to the results obtained,preventive
measures and treatment programs should be focused on particular counties of Iran.
PMID- 27892668
TI - Transanal endoscopic microsurgery in the treatment of large rectal adenomas.
AB - BACKGROUND: Transanal endoscopic microsurgery (TEM) is a minimally invasive
technique allowing to excise large rectal adenomas by local approach. This study
shows the feasibility and results of a long term experience of TEM applied in
this field. METHODS: A retrospective investigation was performed in patients
affected by rectal adenomas (5-11 cm in diameter) who had undergone transanal
endoscopic microsurgery from 1992 to 2015. A series of 207 patients met the
inclusion criteria and were analyzed for characteristics, intraoperative and
postoperative results and long-term follow-up. RESULTS: Median age was 71 years
(52-83 years). Of the 207 total patients, 77 were women (37.2%) and 130 men
(62.8%). Median distance from the anal verge was 8 cm (4-20 cm). Median size was
6 cm, with lesion ranging from 5 to 11 cm in diameter. Median operative time was
57 minutes (42-90 minutes). We observed 17 (8.2%) minor complications and 2
(0.9%) major complication according to the Clavien-Dindo classification. We did
not observe any intraoperative or post-operative mortality. Histological
examination showed preoperative undiagnosed cancer in 8 (3.8%) cases in which the
pathologist revealed adenocarcinoma staged as T1 Sm1 according to the Kikuchi
classification. The median follow-up was 115 months (12-288 months). Six
recurrences (2.9%), we observed with a median time for recurrence of 14 months.
CONCLUSIONS: TEM provides excellent oncological outcomes in the treatment of
large benign rectal lesions, ensuring a minimal risk of resection margin
infiltration at pathological examination, and is associated with low risk of
complications.
PMID- 27892669
TI - The need of separating level III during axillary lymph node dissection in
melanoma.
PMID- 27892670
TI - Impact of Adjuvant Chemotherapy in Elderly Breast Patientsin Taiwan, A Hospital
Based Study
AB - Purpose: Decisions as to whether to provide adjuvant treatment in older breast
cancer patients remains challenging.Side effects of chemotherapy have to be
weighed against life expectancy, comorbidities, functional status, and frailty.To
aid decision-making, we retrospectively analyzed 110 women with breast cancer
treated with a curative intentionfrom 2006 to 2012. Survival data with clinical
and pathological parameters were evaluated to address the role ofadjuvant
chemotherapy in this study population. Method: A total of 110 elderly (>70 years)
patients that receivedmastectomy at two hospitals in Taiwan were observed
retrospectively for a medium of 51 months. After mastectomy,patients received
conservative treatment or adjuvant chemotherapy, or hormone therapy following
clinical guidelinesor physician's preference. Data were collected from the cancer
registry system. Results: Median age at diagnosis was75.7 years. Thirty-five
percent of patients received adjuvant chemotherapy, these having a significantly
younger age(mean=74.0+/-5.3 vs 77.5+/-5.3, p<0.001) and higher tumor staging
(p=0.003) compared with their non-chemotherapycounterparts.Five-year overall
survival was non-significantly higher in patients who received adjuvant
chemotherapy(with chemotherapy 64.2% vs without chemotherapy 62.6%, p=0.635),
while five-year recurrence free survival wasnon-significantly lower (with
chemotherapy 64.1% vs without chemotherapy 90.5%, p=0.80). Conclusions: In
thisanalysis, adjuvant chemotherapy tended to be given to patients with a younger
age and higher tumor staging at ourinstitute. It was not associated with any
statistically significant improvement in survival and recurrence rate. Untilage
specific recommendations are available, physicians must use their clinical
judgment and assess the tumor biologywith the patient's comorbidities to make the
best choice. Clinical trials focusing on this critical issue are warranted.
PMID- 27892671
TI - Association between the XRCC3 Thr241Met Polymorphismand Gastrointestinal Cancer
Risk: A Meta-Analysis
AB - Background: The x-ray repair cross-complementing group 3 (XRCC3) encodes a
protein involved in thehomologous recombination repair (HRR) pathway for double
strand DNA repair. Associations of the XRCC3 Thr241Metpolymorphism with various
cancers have been widely reported. However, published data on links between
XRCC3Thr241Met and gastrointestinal (GI) cancer risk are inconsistent. Objective
and Methods: A meta-analysis wasconducted to characterize the relationship
between XRCC3 Thr241Met polymorphisms and GI cancer risk. Pooledodds ratios (ORs)
and 95.0% confidence intervals were assessed using random- or fixed- effect
models for 28.0 relevantarticles with 30.0 studies containing 7,649.0 cases and
11,123.0 controls. Results: The results of the overall meta-analysissuggested a
borderline association between the XRCC3 Thr241Met polymorphism and GI cancer
susceptibility (T vs.C: OR=1.18, 9 % CI=1.0-1.4, POR=0.04; TT vs. CT+CC: OR=1.3,
95 % CI=1.0-1.6, POR=0.04). After removingstudies not conforming to Hardy
Weinberg equilibrium (HWE), however, this association disappeared (T vs.
C:OR=1.00, 95 % CI=0.9-1.1, POR=0.96; TT vs. CT+CC: OR=0.9, 95 % CI=0.8-1.1,
POR=0.72). When stratified byethnicity, source of controls or cancer type,
although some associations between XRCC3 Thr241Met polymorphismand GI cancer
susceptibility were detected, these associations no longer existed after removing
studies not conformingto HWE. Conclusion: Our meta-analysis suggests that the
XRCC3 Thr241Met polymorphism is not associated withrisk of GI cancer based on
current evidence.
PMID- 27892672
TI - Effects of Copper Reduction on Angiogenesis-Related Factorsin Recurrent
Glioblastoma Cases
AB - Purpose? To evaluate the therapeutic effects of copper reduction on angiogenesis
related factors in patients withglioblastoma multiforme treated by gamma knife
radiosurgery. Materials and Methods? In the present block randomized,placebo
controlled trial, fifty eligible patients with a diagnosis of glioblastoma
multiforme who were candidates forgamma knife radiosurgery were randomly assigned
into two groups to receive daily either 1gr penicillamine and a lowcopper diet or
placebo for three months. The intervention started on the same day as gamma knife
radiosurgery. Seruminterleukin-6 (IL-6), tumor necrosis factor-alpha (TNF-alpha),
vascular endothelial growth factor (VEGF) and copper levelswere measured at
baseline and after the intervention. The serum copper level was used as the final
index of compliancewith the diet. In order to control probable side effects of
intervention, laboratory tests were conducted at the beginning,middle and end of
the study. Results? The patients had a mean age and Karnofsky Performance Scale
of 43.7 yearsand 75 respectively. Mean serum copper levels were significantly
reduced in intervention group. Mean survival timewas 18.5 months in intervention
group vs. 14.9 in placebo group. VEGF and IL-6 levels in the intervention
groupwere also significantly reduced compared to the placebo group and TNF-alpha
increased less. Conclusions? It seems thatreducing the level of copper in the
diet and dosing with penicillamine leads to decline of angiogenesis-related
factorssuch as VEGF, IL-6 and TNF-alpha. Approaches targeting angiogenesis may
improve survival and can be used as a futuretherapeutic strategy.
PMID- 27892673
TI - Survival Rate of Breast Cancer in Iran: A Meta-Analysis
AB - Background: There has not been a general estimation about survival rates of
breast cancer cases in Iran. Therefore,the present study aimed to assess survival
using a meta-analysis. Materials and Methods: International credibledatabases
such as Scopus, Web of Science, PubMed, Science direct and Google Scholar and
Iranian databases suchas Magiran, Irandoc and SID, from 1997 to 2015 were
searched. All articles covering survival rate of breast cancerwere entered into
the study without any limits. Quality assessment of the articles and data
extraction were performedby two researchers using the modified STROBE checklist,
which includes 12 questions. Articles with scores greaterthan 8 were included in
the analysis. A limitation of this meta-analysis was different methods for
presenting of resultsin the papers surveyed. Results: A total of 21 articles with
a sample of 12,195 people were analyzed. The one-year,three-year, five-year and
ten-year survival rates of breast cancer in Iran were estimated to be 95.8% (94.6
97.0), 82.4%(79.0-85.8), 69.5% (64.5-74.5), 58.1% (39.6-76.6), respectively. The
most important factors affecting survival of breastcancer were age, number of
lymph nodes involved, size of the tumor and the stage of the disease. Conclusion:
Thefive- and ten- year survival rates in Iran are lower than in developed
countries. Conducting breast cancer screeningplan support (including regular
clinical examination, mammography), public training and raising awareness should
behelpful in facilitating early diagnosis and increasing survival rates for
Iranian women.
PMID- 27892674
TI - The Investigation of Risk Factors Impacting Breast Cancer in Guilan Province
AB - Introduction: Breast cancer is multifactorial therefore more recognition of risk
factors is important in its prevention.Objective: This study was conducted in
order to determine the factors influencing breast cancer in women referred
tohealth centers in Guilan province in 2015-2016. Method: In a case- control
study, 225 women with breast cancer wereinvestigated. The control group consisted
of 225 healthy women of the relatives (third-rank) whose phone numbers
wereobtained from the patients. Data were collected through telephone interviews.
Results: The risk of breast cancer raisedin women who have a family history of
other cancers (OR= 3.5; 95% CI= 1.96-6.6) ,exposure to X-Ray (OR= 2.5; 95%CI=1.1
5.5), having more than 4 children (OR= 2.695% CI=1.2-4.8), age more than 36 years
at first pregnancy(OR=2.3;95% CI=0.7-5.1),primary level of education (OR= 5.4;95%
CI=2.8-11.2) and inadequate intake of fruit (OR=1.5; 95%CI=1-2.2). Also, presence
of the following factors reduced breast cancer risk: regular menstruation (OR=
0.66; CI=0.4-0.9), duration of breastfeeding more than 12 months, less than 6
months and 7-12 months (OR=0.23; 95% CI=0.09-0.59, OR=0.29; 95% CI=0.17-0.49 and
OR=0.03; 95% CI=0.01-0.08) and parity (OR=0.4; 95% CI=0.27-0.83) In
multiplelinear regression analysis of higher education (OR=0.16; 95% CI=0.03
0.77), using contraceptives for more than 16years (OR=2.3; 95% CI=1.4-3.9),
family history of other cancers (OR=6.1; 95% CI=1.9-19.3) and a history of X
Rayexposure (OR=4.4; 95% CI=1.07-18.1) were considered as predictive factors.
Conclusion: The results of this studyemphasize the importance of informing women
about breast cancer risk factors. So, identification of these risk factorsis
required as important means of prevention and treatment of breast cancer.
PMID- 27892675
TI - Purification of Cucurbitacins D, E, and I from Ecballium Elaterium (L.) A. Rich
Fruits and Study of Their Cytotoxic Effects on the AGS Cell Line
AB - Background: The plant Ecballium elaterium (L.) A. Rich, belongs to the
Cucurbitaceae family which occupiesan important position in traditional medicine
prescriptions. It has been reported that a freeze-dried aqueous extractof E.
elaterium fruits has cytotoxic effects on the AGS human stomach adenocarcinoma
cell line. We here focusedon anticancer effects of the main chemicals purified
from E. elaterium fruits. Materials and Methods: We isolatedcucurbitacins D, E,
and I from chloroform, and ethyl acetate fractions of a methanolic extract of E.
elaterium fruitsand assessed their cytotoxic effects on the AGS cell line by MTT
assay. The methanolic extract was fractionated topetroleum ether, chloroform, and
ethyl acetate fractions. The compounds isolated by column chromatography
wereidentified by NMR spectroscopy. Results: After 24 h of incubation with AGS
cells, the IC50 values were 0.3, 0.1, and0.5 MUg/ml for cucurbitacins D, E, and I
respectively. Conclusions: This finding suggests that because of its
cucurbitacins,E. elaterium fruit may have some cytotoxic effects on gastric
cancer cells. Also, compared with D and I, cucurbitacinE showed greater potency
in this regard.
PMID- 27892676
TI - Palliative Care for Patients with Gynecologic Cancer in Japan:A Japan Society of
Gynecologic Palliative Medicine (JSGPM)Survey
AB - Purpose: To evaluate palliative care for patients with gynecologic cancer in
Japan. Materials and Method: Aquestionnaire asking facility characteristics,
systems to coordinate palliative care, current status of end-of-life
care,provision of symptom relief, palliative radiation therapy and chemotherapy,
and cases of death from gynecological cancer,was mailed to facilities treating
gynecologic cancer. Results: A total of 115 facilities (29.3% of the total)
respondedto the questionnaire. Of these, 33.0 (29.0%) had a palliative care ward.
End-of-life care was managed by obstetriciansand gynecologists in 72.0% of the
facilities. The site where end-of-life care was provided was most often a ward in
thedepartment where the respondent worked. The waiting period for transfer to a
hospice was 2 weeks or more in 52% offacilities. Before the start of primary
treatment, pain control was managed by obstetrians and gynecologists in 98.0%
offacilities. Palliative radiation therapy or chemotherapy was administered at
93.9% and 92.0% of facilities, respectively.Of the 115 facilities, 34.0 (29.6%)
reported cases of death from gynecological cancer. There were 1,134 cases of
death.The median time between the last cycle of chemotherapy and death was 85
days for all gynecological cancers. Theproportion of patients receiving
chemotherapy in the last 30 and 14 days of life were 17.4% and 7.1%,
respectively.Conclusions: This large-scale survey showed characteristics of
palliative care given to patients with gynecologiccancer in Japan. Assessment of
death cases showed that the median time between the last cycle of chemotherapy
anddeath was relatively short.
PMID- 27892677
TI - CCR5 Polymorphism as a Protective Factor for Hepatocellular Carcinoma in
Hepatitis B Virus-Infected Iranian Patients
AB - The CC chemokine receptor 5 (CCR5) delta 32 allele results in a nonfunctional
form of the chemokine receptorand has been implicated in a variety of immune
mediated diseases. CCR5Delta32 may also predispose one to chronicliver disease or
be linked with resistance to HBV infection.This study was undertaken to
investigate any associationbetween CCR5 polymorphism with resistance to hepatitis
B or susceptibility to HBV infection. A total of 812 Iranianindividuals were
enrolled into two groups: HBV infected cases (n=357), who were HBsAg-positive,
and healthy controls(n=455). We assessed polymorphisms in the CCR5 gene using
specific CCR5 oligonucleotide primers surroundingthe breakpoint deletion.
Genotype distributions of the HBV infected cases and healthy controls were
determined andcompared. The CCR5/CCR5 (WW) and CCR5/ CCR5Delta32 (W/D) genotypes
were found in (98%) and (2%) of HBVinfected cases, respectively. The CCR5
Delta32/ Delta32genotype was not found in HBV infected cases. Genotype
distributionsof CCR5 in healthy controls were W/W genotype in (87.3%), W/D
genotype in (11.2%) and D/D genotype in (1.5%).Heterozygosity for CCR5/
CCR5Delta32 (W/D) in healthy controls was greater than in HBV infected cases
(11.2% vs2%, p < 0.001). W/D and D/D genotypes were more prominent in healthy
controls than in HBV infected cases. Thisstudy provides evidence that the
CCR5Delta32 polymorphism may have a protective effect in resistance to HBV
infectionat least in the Iranian population.
PMID- 27892678
TI - Characterization and Prognosis Significance of JAK2 (V617F), MPL, and CALR
Mutations in Philadelphia-Negative Myeloproliferative Neoplasms
AB - Background: The discovery of somatic acquired mutations of JAK2 (V617F) in
Philadelphia-negativemyeloproliferative neoplasms (Ph-negative MPNs) including
polycythemia vera (PV), essential thrombocythemia(ET), and primary myelofibrosis
(PMF) has not only improved rational disease classification and
prognosticationbut also brings new understanding insight into the pathogenesis of
diseases. Dosage effects of the JAK2 (V617F)allelic burden in Ph-negative MPNs
may partially influence clinical presentation, disease progression, and
treatmentoutcome. Material and Methods: Pyrosequencing was performed to detect
JAK2 (V617F) and MPL (W515K/L)and capillary electrophoresis to identify CALR exon
9.0 mutations in 100.0 samples of Ph-negative MPNs (38.0 PV,55 ET, 4 PMF, and 3
MPN-U). Results: The results showed somatic mutations of JAK2 (V617F) in 94.7% of
PV,74.5% of ET, 25.0% of PMF, and all MPN-U. A high proportion of JAK2 (V617F)
mutant allele burden (mutationalload > 50.0%) was predominantly observed in PV
when compared with ET. Although a high level of JAK2 (V617F)allele burden was
strongly associated with high WBC counts in both PV and ET, several hematological
parameters(hemoglobin, hematocrit, and platelet count) were independent of JAK2
(V617F) mutational load. MPL (W515K/L)mutations could not be detected whereas
CALR exon 9.0 mutations were identified in 35.7% of patients with JAK2negative ET
and 33.3% with JAK2 negative PMF. Conclusions: The JAK2 (V617F) allele burden may
be involvedin progression of MPNs. Furthermore, a high level of JAK2 (V617F)
mutant allele appears strongly associated withleukocytosis in both PV and ET.
PMID- 27892679
TI - Anti-Heat Shock Protein-27 Antibody Levels in Women with Breast Cancer:
Association with Disease Complications and Two-Year Disease-Free Survival
AB - Background and Aim: Breast cancer is a major healthcare problem in women. There
are many reports aboutup-regulation of Hsp27 in cancer tissues but less is known
about the potential relationship between Hsp27 antibodylevels and breast cancer
complications. We here investigated concentrations of serum Hsp27 antigen and
antibodiesin subjects with and without breast cancer and assessed potential
associations with two-year disease-free survival,histological grade and number of
lymph nodes. Materials and Methods: Specifically, serum Hsp27 antigen and
antibodylevels from 97 patients with breast cancer, and 65 healthy controls were
determined by enzyme-linkedimmunosorbentassays (ELISAs). Results: Serum Hsp27 and
antibody levels were significantly (p<0.001) higher in patients with breastcancer
compared to the control group, but no relationship were found with two-year
disease free survival, histologicalgrade or number of lymph nodes (p> 0.6, 0.2
and 0.9 respectively). Conclusions: Elevated levels of Hsp27 antibodyoccur in
patients with women with breast cancer but do not appear to be associated with
the presence of disease clinicalcomplications.
PMID- 27892680
TI - Artificial Light at Night and Cancer: Global Study
AB - Background: Artificial light at night (ALAN) has been linked to increased risk of
cancers in body sites like the breastand colorectum. However exposure of ALAN as
an environmental risk factor and its relation to cancers in humans hasnever been
studied in detail. Objective: To explore the association of ALAN with all forms
of cancers in 158 countries.Materials and Methods: An ecological study
encompassing global data was conducted from January to June 2015,with age
standardized rates (ASR) of cancers as the outcome measure. ALAN, in the
protected areas, as the exposurevariable, was measured with reference to the
Protected Area Light Pollution Indicator (PALI) and the Protected AreaHuman
Influence Indicator (PAHI). Pearson's correlations were calculated for PALI and
PAHI with ASR of cancers for158 countries, adjusted for country populations,
electricity consumption, air pollution, and total area covered by
forest.Stratified analysis was conducted according to the country income levels.
Linear regression was applied to measure thevariation in cancers explained by
PALI and PAHI. Results: PALI and PAHI were positively associated with ASR of
allforms of cancer, and also the four most common cancers (p < 0.05). These
positive correlations remained statisticallysignificant for PAHI with all forms
of cancer, lung, breast, and colorectal cancer after adjusting for confounders.
Positiveassociations of PALI and PAHI with cancers varied with income level of
the individual countries. Variation in all formsof cancers, and the four most
common cancers explained by PALI and PAHI, ranged from 3.3 - 35.5%.
Conclusion:Artificial light at night is significantly correlated for all forms of
cancer as well as lung, breast, colorectal, and prostatecancers individually.
Immediate measures should be taken to limit artificial light at night in the main
cities around theworld and also inside houses.
PMID- 27892681
TI - Evaluating the Existence of Small Compressed Binucleated Squamous Cells in ASC-H
AB - Purpose: To evaluate the legitimacy of a diagnosis of ASC-H in 5 cases which were
followed up monthly for over 2years with both cytology and HPV testing. Methods:
Some 5 cases out of a total of 25.0 self-sampled Pap test patientsdiagnosed as
ASC-H provided 119 specimens over 2 years, with HPV-DNA testing perormed using a
E6 primer. Results:Cases 1, 2 and 3 showed SIL after the ASC-H diagnosis, while
cases 4 and 5 showed and maintained NILM. Cases 1,2 and 3 were further
characterized by small atypical compressed binucleated cells, in which HPV was
detected by insitu PCR. Case 4 showed a high N/C ratio in cells in sheets with a
mild increase in chromatin. Case 5 demonstrateda high N/C ratio in small cells
with no increase in chromatin. Conclusion: The finding of a compressed
binucleatedcells can define the difference between degenerated endocervical
columnar cells and small atypical cells suggestiveof HSIL. When small compres
PMID- 27892682
TI - Can Serum ICAM 1 distinguish pancreatic cancer from chronicpancreatitis?
AB - Background and aim: Pancreatic cancer is the fourth leading cause of cancer
related death worldwide, with anoverall 5-year survival of <5% mainly due to
presence of advanced disease at time of diagnosis. Therefore developmentof valid
biomarkers to diagnose pancreatic cancer in early stages is an urgent need. This
study concerned the sensitivityand specificity of serum ICAM 1 versus CA 19-9 in
differentiation between pancreatic cancer and healthy subjectsand acohort of
patients with chronic pancreatitis with a focus on assessing validity in
diagnosis of early stages ofpancreatic cancer. Methods: A cohort of 50 patients
with histologically diagnosed pancreatic tumors, 27 patients withchronic
pancreatitis, and 35 healthy controls were enrolled. Serum samples for
measurement of CA19-9 and I-CAM 1were obtained from all groups and analyzed for
significance regarding diagnosis and disease stage. Results: At a cutoff value of
(878.5 u/ml) I-CAM 1 had 82% and 82.26% sensitivity and specificity for
differentiation between cancerand non-cancer cases, with higher sensitivity and
specificity than CA19-9 at different cut offs (CA19-9 sensitivity andspecificity
ranged from 64-80% and 56.4 - 61.2% respectively). The AUC was 0.851 for I-CAM
and 0.754 for CA19-9.Neither of the markers demonstrated significance for
distinguishing between early and late cancer stages. Conclusion:ICAM 1 is a
useful marker in differentiation between malignant and benign pancreatic
conditions, and superior toCA19-9 in this regard. However, neither of the markers
can be recommended for use in differentiation between earlyand late stage
pancreatic cancers.
PMID- 27892683
TI - Computer simulation for improving radio frequency (RF) heating uniformity of food
products: A review.
AB - Radio frequency (RF) heating has great potential for achieving rapid and
volumetric heating in foods, providing safe and high-quality food products due to
deep penetration depth, moisture self-balance effects, and leaving no chemical
residues. However, the nonuniform heating problem (usually resulting in hot and
cold spots in the heated product) needs to be resolved. The inhomogeneous
temperature distribution not only affects the quality of the food but also raises
the issue of food safety when the microorganisms or insects may not be controlled
in the cold spots. The mathematical modeling for RF heating processes has been
extensively studied in a wide variety of agricultural products recently. This
paper presents a comprehensive review of recent progresses in computer simulation
for RF heating uniformity improvement and the offered solutions to reduce the
heating nonuniformity. It provides a brief introduction on the basic principle of
RF heating technology, analyzes the applications of numerical simulation, and
discusses the factors influencing the RF heating uniformity and the possible
methods to improve heating uniformity. Mathematical modeling improves the
understanding of RF heating of food and is essential to optimize the RF treatment
protocol for pasteurization and disinfestation applications. Recommendations for
future research have been proposed to further improve the accuracy of numerical
models, by covering both heat and mass transfers in the model, validating these
models with sample movement and mixing, and identifying the important model
parameters by sensitivity analysis.
PMID- 27892685
TI - Gut: A key player in the pathogenesis of type 2 diabetes?
AB - The gut regulates glucose and energy homeostasis; thus, the presence of ingested
nutrients into the gut activates sensing mechanisms that affect both glucose
homeostasis and regulate food intake. Increasing evidence suggest that gut may
also play a key role in the pathogenesis of type 2 diabetes which may be related
to both the intestinal microbiological profile and patterns of gut hormones
secretion. Intestinal microbiota includes trillions of microorganisms but its
composition and function may be adversely affected in type 2 diabetes. The
intestinal microbiota may be responsible of the secretion of molecules that may
impair insulin secretion/action. At the same time, intestinal milieu regulates
the secretion of hormones such as GLP-1, GIP, ghrelin, gastrin, somatostatin,
CCK, serotonin, peptide YY, GLP-2, all of which importantly influence metabolism
in general and in particular glucose metabolism. Thus, the aim of this paper is
to review the current evidence on the role of the gut in the pathogenesis of type
2 diabetes, taking into account both hormonal and microbiological aspects.
PMID- 27892684
TI - Angiotensin receptor neprilysin inhibitor LCZ696: pharmacology, pharmacokinetics
and clinical development.
AB - Heart failure still has a significant disease burden with poor outcomes worldwide
despite advances in therapy. The standard therapies have been focused on blockade
of renin-angiotensin-aldosterone system with angiotensin-converting enzyme
inhibitors, angiotensin receptor blockers and mineralocorticoid antagonists and
the sympathetic nervous system with beta-blockers. The natriuretic peptide system
is a potential counter-regulatory system that promotes vasodilatation and
natriuresis. Angiotensin receptor neprilysin inhibitors are a new class drug
capable of blocking the renin-angiotensin-aldosterone system and enhancing the
natriuretic peptide system to improve neurohormonal balance. The success of the
PARADIGM-HF trial with LCZ696 and its approval for heart failure treatment is
likely to generate a paradigm shift. This review summarises the current knowledge
of LCZ696 with a focus on pharmacology, pharmacokinetics and pharmacodynamics,
mechanisms of action, clinical efficacy and safety.
PMID- 27892686
TI - Tobacco and the Malays: ethnicity, health and the political economy of tobacco in
Malaysia.
AB - OBJECTIVES: To identify the historical nexus between Malaysia's largest and
politically dominant ethnic group and the political economy of tobacco, and to
consider the implications of this connection for tobacco control. DESIGN: Primary
and secondary documentary sources in both English and Malay were analysed to
illuminate key events and decisions, and the discourse of industry and
government. Sources included: speeches by Malaysian political and industry
actors; tobacco industry reports, press releases and websites; government
documents; World Health Organization (WHO) tobacco control literature; and press
reports. RESULTS: Malays have the highest smoking prevalence among Malaysia's
major ethnic groups. The tobacco industry has consistently been promoted as
furthering Malay economic development. Malays play the major role in growing and
curing. Government-owned Malay development trusts have been prominent investors
in tobacco corporations, which have cultivated linkages with the Malay elite. The
religious element of Malay ethnicity has also been significant. All Malays are
Muslim, and the National Fatwa Council has declared smoking to be haram
(forbidden); however, the Government has declined to implement this ruling.
CONCLUSION: Exaggerated claims for the socio-economic benefits of tobacco
production, government investment and close links between tobacco corporations
and sections of the Malay elite have created a conflict of interest in public
policy, limited the focus on tobacco as a health policy issue among Malays and
retarded tobacco control policy. More recently, ratification of the WHO Framework
Convention on Tobacco Control, regional free trade policies reducing the numbers
of growers, concerns about smoking from an Islamic viewpoint, and anxieties about
the effects of smoking upon youth have increasingly challenged the dominant
discourse that tobacco furthers Malay interests. Nevertheless, the industry
remains a formidable political and economic presence in Malaysia that is likely
to continue to proclaim that its activities coincide with Malay socio-economic
interests.
PMID- 27892687
TI - Three new drimane sesquiterpenoids from cultures of the fungus Penicillium sp.
AB - Three new drimane sesquiterpenoids, 12-hydroxyalbrassitriol (1), drim-8(12)-en
6beta,7alpha, 9alpha,11-tetraol (2), and drim-68(12)-dien-9alpha,11-diol (3),
along with one known analog albrassitriol (4), were isolated from cultures of the
tin mine tailings-associated fungus Penicillium sp. The new structures were
determined on the basis of extensive spectroscopic analyses. All compounds were
tested for their cytotoxicities against five human cancer cell lines.
PMID- 27892688
TI - Secondary metabolites from Colletotrichum capsici, an endophytic fungus derived
from Siegesbeckia pubescens Makino.
AB - A rare new tremulane sesquiterpenoid analogue, 11,12-epoxy-5,6-seco-1,6(13)
tremuladien-5,12-olide (1), together with five known altenuene derivatives (2-6)
was isolated from the cultures of Colletotrichum capsici, which was isolated as
an endophytic fungus from fresh leaves of Siegesbeckia pubescens Makino
(Compositae). Their structures were elucidated by means of spectroscopic methods
and comparison with literature data. All compounds isolated were reported for the
first time from the fungus C. capsici.
PMID- 27892689
TI - Biofilm inhibitors targeting the outer membrane protein A of Pasteurella
multocida in swine.
AB - Pasteurella multocida (Pm) is the causative agent of atrophic rhinitis in swine.
This study aimed to discover biofilm inhibitors against swine Pm to counteract
antibiotic resistance and decrease virulence. The virulence factor outer membrane
protein A (OmpA) was targeted. A library of drugs approved by the Food and Drug
Administration (FDA) was used to perform virtual screening against PmOmpA. The
top-scoring compounds had no effect on the growth of Pm serotype A or D.
Mycophenolate mofetil showed the highest efficacy in inhibiting biofilm formation
by Pm serotype A, with an IC50 of 7.3 nM. For Pm serotype D, indocyanine green
showed the highest effect at an IC50 of 11.7 nM. Nevertheless, these compounds
had no effect on an established biofilm of Pm. This study offers an alternative
way to prevent biofilm formation by Pm that could also be applied to other
pathogens.
PMID- 27892690
TI - Ethnic differences in women's use of mental health services: do social networks
play a role? Findings from a national survey.
AB - OBJECTIVES: The reasons for ethnic differences in women's mental health service
use in England remain unclear. The aims of this study were to ascertain: ethnic
differences in women's usage of mental health services, if social networks are
independently associated with service use, and if the association between women's
social networks and service use varies between ethnic groups. DESIGN: Logistic
regression modelling of nationally representative data from the Ethnic Minority
Psychiatric Illness Rates in the Community (EMPIRIC) survey conducted in England.
The analytic sample (2260 women, aged 16-74 years) was drawn from the
representative subsample of 2340 women in EMPIRIC for whom data on mental health
services, and social networks were available. RESULTS: Pakistani and Bangladeshi
women were less likely than White women to have used mental health services
(Pakistani OR = 0.23, CI = 0.08-0.65, p = .005; Bangladeshi OR = 0.25, CI = 0.07
0.86, p = .027). Frequent contact with relatives reduced mental health service
use (OR = 0.45, CI = 0.23-0.89, p = .023). An increase in perceived inadequate
support in women's close networks was associated with increased odds of using
mental health services (OR = 1.91, CI = 1.11-3.27, p = .019). The influence of
social networks on mental health service use did not differ between ethnic
groups. CONCLUSIONS: The differential treatment of women from Pakistani and
Bangladeshi ethnic groups in primary care settings could be a possible reason for
the observed differences in mental health service use.
PMID- 27892691
TI - A new sulfonylated flavonoid and other bioactive compounds isolated from the
aerial parts of Cotula anthemoides L.
AB - A new sulfonyl flavonol glucoside, 5,7,4',5'-tetrahydoxyflavonol 2'-[propanoic
acid-(2"'-acetoxy-1"'-sulfonyl)]-5'-O-beta-d-glucopyranoside (1) was isolated
from the aerial parts of Cotula anthemoides L. in addition to 15 known compounds
(2-16). The structure elucidation of these compounds was based on analyses of
spectroscopic data including 1D-, 2D-NMR and HR-ESI-MS techniques and by
comparing their NMR data with those reported in the literature. These compounds
were evaluated for their DPPH radical scavenging and tyrosinase inhibitory
activity. Compound 6 showed a high DPPH radical scavenging with EC50 value of 9.1
+/- 0.4 MUM. Compound 11, 9 and 1 exhibited a mild tyrosinase inhibitory activity
with IC50 values of 85 +/- 0.8, 95 +/- 1.5 and 100 +/- 0.5 MUM, respectively.
PMID- 27892692
TI - Changes in depression mediate the effects of AA attendance on alcohol use
outcomes.
AB - BACKGROUND: Depression may contribute to increased drinking in individuals with
alcohol use disorder. Although Alcoholics Anonymous (AA) attendance predicts
drinking reductions, there is conflicting information regarding the intermediary
role played by reductions in depression. OBJECTIVES: We explored whether AA
attendance reduces depressive symptoms, the degree to which improvement in
depression results in reductions in drinking, and in which subgroups these
effects occur. METHODS: 253 early AA affiliates (63% male) were recruited and
assessed at baseline 3, 6, 9, 12, 18, and 24 months. Depression was measured
using the Beck Depression Inventory (BDI) and was administered at baseline 3, 6,
12, 18, and 24 months. AA attendance and alcohol use outcomes were obtained with
the Form 90. Mediation analyses were performed at early (3, 6, and 9 months) and
late (12, 18, and 24 months) follow-up to investigate the degree to which
reductions in depression mediated the effect of AA attendance on drinking,
controlling for concurrent drinking. In addition, a series of moderated mediation
analyses were performed using baseline depression severity as a moderator.
RESULTS: At early follow-up, reductions in depression (6 months) mediated the
effects of AA attendance (3 months) on later drinking (drinks per drinking day)
(9 months) (b = -0.02, boot CI [-0.055, -0.0004]), controlling for drinking at 6
months. Baseline depression severity did not moderate the degree to which BDI
mediated the effects of AA attendance on alcohol use (ps > .05). CONCLUSION:
These findings provide further evidence that depression reduction is a mechanism
by which AA attendance leads to reductions in alcohol use. Improving depression
may help reduce alcohol use in individuals with AUD, and AA attendance may be an
effective way to achieve that goal.
PMID- 27892693
TI - A Graph Approach to Mining Biological Patterns in the Binding Interfaces.
AB - Protein-RNA interactions play important roles in the biological systems.
Searching for regular patterns in the Protein-RNA binding interfaces is important
for understanding how protein and RNA recognize each other and bind to form a
complex. Herein, we present a graph-mining method for discovering biological
patterns in the protein-RNA interfaces. We represented known protein-RNA
interfaces using graphs and then discovered graph patterns enriched in the
interfaces. Comparison of the discovered graph patterns with UniProt annotations
showed that the graph patterns had a significant overlap with residue sites that
had been proven crucial for the RNA binding by experimental methods. Using 200
patterns as input features, a support vector machine method was able to classify
protein surface patches into RNA-binding sites and non-RNA-binding sites with
84.0% accuracy and 88.9% precision. We built a simple scoring function that
calculated the total number of the graph patterns that occurred in a protein-RNA
interface. That scoring function was able to discriminate near-native protein-RNA
complexes from docking decoys with a performance comparable with that of a state
of-the-art complex scoring function. Our work also revealed possible patterns
that might be important for binding affinity.
PMID- 27892694
TI - Gene polymorphism profiles of drug-metabolising enzymes GSTM1, GSTT1 and GSTP1 in
an Argentinian population.
AB - BACKGROUND: Glutathione S-transferases (GSTs) are drug-metabolising enzymes
involved in biotransformation of carcinogens, drugs, xenobiotics and oxygen free
radicals. Polymorphisms of GST genes contribute to inter-individual and
population variability in the susceptibility to environmental risk factors,
cancer predisposition and pharmacotherapy responses. However, data about GST
variability in Argentina are lacking. AIM: The purpose was to determine the
prevalence of GSTM1, GSTT1 and GSTP1 polymorphisms in the general population from
a central region of Argentina and to perform inter-population comparisons.
SUBJECTS AND METHODS: GSTM1 and GSTT1 gene deletions and GSTP1 c.313A > G were
genotyped by PCR assays in 609 healthy and unrelated Argentinians. RESULTS: The
frequencies of variant genotypes in Argentinians were GSTM1-null (45%), GSTT1
null (17%) and GSTP1-GG (11%). GSTM1-present genotype was significantly
associated with GSTP1-AG or GSTP1-GG variants (p = 0.037; p = 0.034,
respectively). Comparison with worldwide populations demonstrated that the GST
distributions in Argentina are similar to those reported for Italy and Spain,
whereas significant differences were observed regarding Asian and African
populations (p < 0.001). CONCLUSION: This study has determined, for the first
time, the normative profile of three pharmacogenetically relevant polymorphisms
(GSTM1, GSTT1 and GSTP1) in the largest Argentinian cohort described to date,
providing the basis for further epidemiological and pharmacogenetic studies in
this country.
PMID- 27892695
TI - Defining Low-Dimensional Projections to Guide Protein Conformational Sampling.
AB - Exploring the conformational space of proteins is critical to characterize their
functions. Numerous methods have been proposed to sample a protein's
conformational space, including techniques developed in the field of robotics and
known as sampling-based motion-planning algorithms (or sampling-based planners).
However, these algorithms suffer from the curse of dimensionality when applied to
large proteins. Many sampling-based planners attempt to mitigate this issue by
keeping track of sampling density to guide conformational sampling toward
unexplored regions of the conformational space. This is often done using low
dimensional projections as an indirect way to reduce the dimensionality of the
exploration problem. However, how to choose an appropriate projection and how
much it influences the planner's performance are still poorly understood issues.
In this article, we introduce two methodologies defining low-dimensional
projections that can be used by sampling-based planners for protein
conformational sampling. The first method leverages information about a protein's
flexibility to construct projections that can efficiently guide conformational
sampling, when expert knowledge is available. The second method builds similar
projections automatically, without expert intervention. We evaluate the
projections produced by both methodologies on two conformational search problems
involving three middle-size proteins. Our experiments demonstrate that (i)
defining projections based on expert knowledge can benefit conformational
sampling and (ii) automatically constructing such projections is a reasonable
alternative.
PMID- 27892696
TI - Looking like a smoker, a smokescreen to racism? Maori perceived appearance linked
to smoking status.
AB - OBJECTIVES: Maori, the indigenous peoples of Aotearoa (New Zealand), continue to
exhibit the highest rate of smoking of any ethnic group in the nation. Clarifying
the present day factors which perpetuate Maori smoking has become matter of some
urgency. DESIGN: We investigate links between subjective elements of Maori
identity, demographic factors and perceived discrimination with smoking status in
a national probability sample of self-identified Maori (N = 667). RESULTS: Our
results suggest that core aspects of Maori identity and cultural engagement were
not significantly linked with smoking. However, the extent to which participants
felt they were perceived as prototypically Maori (measured as Perceived
Appearance) was reliably associated with smoking. The effect of Perceived
Appearance held when adjusting for perceived experiences of discrimination and
other standard demographic indicators. CONCLUSION: Our analysis indicates that
simply feeling that one is more visibly Maori is associated with an increased
likelihood of smoking. This may reflect how Maori negotiate the larger systemic
forces of racism present in New Zealand society.
PMID- 27892697
TI - Allium Roseum L. Extract Exerts Potent Suppressive Activities on Chronic Myeloid
Leukemia K562 Cell Viability Through the Inhibition of BCR-ABL, PI3K/Akt, and
ERK1/2 Pathways and the Abrogation of VEGF Secretion.
AB - Use of plant extracts, alone or combined to the current chemotherapy as
chemosensitizers, has emerged as a promising strategy to overcome tumor drug
resistance. Here, we investigated the anticancer activity of Allium roseum L.
extracts, a wild edible species in North Africa, on human Chronic Myeloid
Leukemia (CML) K562 cells. The dehydrated aqueous extract (DAE) disturbed the
cell cycle progression and induced the apoptosis of K562 cells. Chemical analysis
of DAE showed a diversity of organosulfur compounds S-alk(en)yl-cysteine
sulfoxides (RCSO) and high amount of allicin, suggesting that such molecule may
be behind its antitumor effect. DAE was efficient in inhibiting K562 cell
viability. DAE inhibitory effect was associated with the dephosphorylation of the
BCR-ABL kinase and interfered with ERK1/2, Akt, and STAT5 pathways. Furthermore,
we found that DAE-induced inactivation of Akt kinase led to the activation of its
target FOXO3 transcription factor, enhancing the expression of FOXO3-regulated
proapoptotic effectors, Bim and Bax, and cell cycle inhibitor p27. Finally, we
found that DAE reduced the secretion of vascular endothelial growth factor.
Overall, our data suggest that A. roseum extract has great potential as a
nontoxic cheap and effective alternative to conventional chemotherapy.
PMID- 27892698
TI - The relationship between oestrogen and executive functioning in ALS females with
emerging Frontotemporal Lobar Degeneration (FTLD) supports a neuroendocrine model
of FTLD attenuation.
AB - OBJECTIVE: The prevalence of ALS cognitive or behavioural impairment (ci or bi)
consistent with Frontotemporal Degeneration (FTLD) approachs 50%, while ~5-10%
progress to dementia. Our goal was to explore ci and bi differencs between bulbar
and limb onset, as well as the neuroprotective potential of oestrogen in emerging
FTLD. METHODS: We applied Mann Whitney U to evaluate differences in cognitive and
behavioural profiles between site of onset in 78 female and 83 male non-demented
ALS participants classified by current consensus criteria with ci. For females,
we also examined differences by oestrogen level. FINDINGS: Between group analyses
found significantly worse Letter Fluency (LF) for bulbar onset, and worse
Category Fluency (CF) for bulbar females. Significantly worse performance was
found for low oestrogen females for LF and Similarities, with significantly worse
LF for low oestrogen bulbar onset. No significant differences were found for
behavioural subgroups, while moderate-severe range traits were higher in
occurrence for bulbar and low oestrogen bulbar onset. CONCLUSIONS: Findings
support our previously published mesocortical pathway associated "bottom-up"
model of FTLD emergence in ALSbi, extending it with a hierarchal hypothesis
involving ascending cerebellar pathways in ALSci and ALSbi, further suggesting a
role for oestrogen in mitigating female FTLD progression.
PMID- 27892699
TI - Genetics of consanguinity and inbreeding in health and disease.
AB - CONTEXT: Inbreeding increases the level of homozygotes for autosomal recessive
disorders and is the major objective in clinical studies. The prevalence of
consanguinity and the degree of inbreeding vary from one population to another
depending on ethnicity, religion, culture and geography. Global epidemiological
studies have revealed that consanguineous unions have been significantly
associated with increased susceptibility to various forms of inherited diseases.
OBJECTIVE: The study aimed to determine the role of consanguinity in human health
and to highlight the associated risks for various diseases or disorders. METHODS:
PubMed and Google Scholar search engines were used to explore the published
literature on consanguinity and its associated risks using the key words
"consanguinity", "prevalence", "inbreeding depression", "coefficient of
inbreeding", "child health", "mortality", "human health", "homozygosity" and
"complex diseases" in different combinations. The studies were screened for
eligibility on the basis of their epidemiological relevance. RESULTS: This
comprehensive assessment highlights the deleterious consequences in populations
with a higher prevalence of consanguinity among different countries worldwide.
CONCLUSIONS: To avoid the inbreeding load there is the need to improve
socioeconomic and educational status and to increase public awareness of
reproductive health and anticipated deleterious effects. Pre-marital and pre
conception counselling of consanguineous populations should be an integral part
of health policy to train people and make people aware of its harmful
consequences. Furthermore, runs of homozygosity (ROH) and whole-exome sequencing
(WES) are useful tools in exploring new genomic signatures for the cause of
inbreeding depression.
PMID- 27892700
TI - Justificatory Information Forefending in Digital Age: Self-Sealing Informational
Conviction of Risky Health Behavior.
AB - This study proposes the idea of justificatory information forefending, a
cognitive process by which individuals accept information that confirms their
preexisting health beliefs, and reject information that is dissonant with their
attitudes. In light of the sheer volume of often contradictory information
related to health that is frequently highlighted by the traditional media, this
study sought to identify antecedents and outcomes of this justificatory
information forefending. Results indicate that individuals who are exposed to
contradictory health information, currently engage in risky health behavior, are
comfortable using the Internet to search for information, and are currently
taking steps to maintain their health are likely to actively select health
information that confirms their preexisting notions about their health, and to
reject information that is contradictory to their beliefs. Additionally,
individuals who engage in justificatory information forefending were also found
to continue to engage in risky health behavior. Implications for theory and
practice are discussed.
PMID- 27892701
TI - We-Caring: Searching for Online Health Information by Italian Families.
AB - Using data collected by the Italian National Institute of Statistics on 19,000
Italian adults who had accessed the Internet in 2013, our study investigates the
interaction between online health information-seeking behaviors and family
obligations among household members. The study presents a detailed picture of the
likelihood of online health information searching according to health status and
gendered family roles. Findings of binomial logistic regression models highlight
that family obligations per se influence the probability of searching, regardless
of the health of the seeker and that of family members. Illness, whether
affecting the seeker or other individuals within the family network, proves to be
another trigger for online health information seeking. Directions for future
research and practical implications for public health organizations are
discussed.
PMID- 27892702
TI - Slowly progressive motor neuron disease with multi-system involvement related to
p.E121G SOD1 mutation.
AB - We report the third case of amyotrophic lateral sclerosis related to p.E121G
Superoxide dismutase-1 (SOD1) mutation. Besides a sporadic presentation and a
slow progressive course, as described in the 2 previously cases, our patient
presented with prominent sensory and cerebellar signs. This case report
strengthens that p.E121G should be considered as a causal mutation. Slowly upper
and lower motor neuron degeneration, even with non-motor clinical features,
should prompt a sequencing of SOD1.
PMID- 27892703
TI - The measurement and estimation of total energy expenditure in Japanese patients
with ALS: a doubly labelled water method study.
AB - Appropriate nutritional therapy has not been established for patients with
amyotrophic lateral sclerosis (ALS). Our objective was to measure the total
energy expenditure (TEE) and determine an equation to estimate the energy
requirements for Japanese patients with ALS. Twenty-six Japanese patients with
ALS participated in the study. The TEE was measured using the doubly labelled
water (DLW) method for a 14-day period. Using a range of clinical parameters and
multiple regression analyses, we determined an adequate equation to calculate
TEE. Results showed that the median value of total energy intake (TEI) was 1581
(interquartile 1278-1782) kcal/d. TEE and TEE/body weight were 1628 kcal/d (1352
1865) and 31.3 kcal/kg (29.2-34.4), respectively. The ratio of TEE/estimated TEE
by the Harris-Benedict equation was 1.14 (1.09-1.26). The difference between TEI
and TEE was -63 kcal (-221 - 122), and 15 patients (57.7%) showed a negative
balance. From regression analyses, we determined an equation to estimate TEE
using the resting metabolic rate estimated by the Harris-Benedict equation (RMR
HB) and scores of the revised ALS Functional Rating Scale (ALSFRS-R): TEE = (1.67
* RMR-HB) + (11.8 * ALSFRS-R) - 680 (p < 0.0001). In conclusion, energy
expenditure of Japanese patients with ALS was higher than expected, and we
proposed a preliminary equation to estimate TEE for future nutritional
intervention.
PMID- 27892705
TI - Modeling the degradation kinetics of ascorbic acid.
AB - Most published reports on ascorbic acid (AA) degradation during food storage and
heat preservation suggest that it follows first-order kinetics. Deviations from
this pattern include Weibullian decay, and exponential drop approaching finite
nonzero retention. Almost invariably, the degradation rate constant's temperature
dependence followed the Arrhenius equation, and hence the simpler exponential
model too. A formula and freely downloadable interactive Wolfram Demonstration to
convert the Arrhenius model's energy of activation, Ea, to the exponential
model's c parameter, or vice versa, are provided. The AA's isothermal and non
isothermal degradation can be simulated with freely downloadable interactive
Wolfram Demonstrations in which the model's parameters can be entered and
modified by moving sliders on the screen. Where the degradation is known a priori
to follow first or other fixed order kinetics, one can use the endpoints method,
and in principle the successive points method too, to estimate the reaction's
kinetic parameters from considerably fewer AA concentration determinations than
in the traditional manner. Freeware to do the calculations by either method has
been recently made available on the Internet. Once obtained in this way, the
kinetic parameters can be used to reconstruct the entire degradation curves and
predict those at different temperature profiles, isothermal or dynamic.
Comparison of the predicted concentration ratios with experimental ones offers a
way to validate or refute the kinetic model and the assumptions on which it is
based.
PMID- 27892704
TI - The effects of a 16-week aerobic exercise programme on cognitive function in
people living with HIV.
AB - High levels of cardiovascular fitness and physical activity are associated with
higher levels of cognitive function in people with HIV, thus, they may reduce the
risk of developing HIV-associated neurocognitive disorder (HAND). This study
aimed to investigate the effects of a 16-week aerobic exercise intervention on
cognitive function in people with HIV. Eleven participants living with HIV were
recruited into the study. Participants were randomised into either an exercise
group (n = 5), that completed a 16-week aerobic exercise programme training, 3
times per week (2 supervised sessions and one unsupervised session) or a control
group (n = 6) that received no intervention. Outcomes measured included cognitive
function (Montreal cognitive assessment (MOCA) and the Trail making tests A and
B), aerobic fitness (modified Bruce protocol), sleep quality (Pittsburgh sleep
quality index; PSQI) and physical activity levels (seven-day accelerometry). At
baseline, higher levels of moderate physical activity were positively correlated
with higher MOCA scores and levels of aerobic fitness were negatively associated
with Trail A scores (P = 0.04 and P = 0.001 respectively). However, exercise
training did not induce any significant improvements in cognitive function or
aerobic fitness. The overall mean adherence rate to the exercise programme was
60%. In conclusion, in the present study a 16-week aerobic exercise intervention
did not affect the cognitive function of participants with HIV. It is likely that
longer intervention periods and/or higher adherence rates to exercise might be
needed for an aerobic exercise programme to be effective in improving cognitive
function in a cohort with no baseline cognitive impairments.
PMID- 27892706
TI - 'If I went to my mom with that information, I'm dead': sexual health knowledge
barriers among immigrant and refugee Somali young adults in Ohio.
AB - OBJECTIVES: Adequate knowledge of sexual health among young adults is a critical
step in prevention of sexually transmitted diseases and maintenance of adult
sexual health. Knowledge of specific barriers to accessing sexual health
knowledge can help tailor approaches appropriately. The purpose of this paper was
to identify sexual health knowledge barriers among Somali young adults in Ohio.
DESIGN: In-depth interviews were conducted with a convenience sample of 27 Somali
young adults aged 18-25 years. Using a grounded theory approach, a semi
structured interview guide comprising open-ended questions and probes was
utilized to collect data. Data were analyzed and coded using constant comparative
analysis. RESULTS: Findings revealed sexual health knowledge barriers in the
following broad categories: religion, culture and stigma. Cultural and religious
norms were deemed an important influence on the community norms largely impacting
sexual health knowledge due to stigma and fear of judgment. Participants overcame
barriers by seeking information from external sources such as doctors, Internet
and peers. CONCLUSION: Study findings support an approach that will address
sexual health barriers in the Somali immigrant young community at multiple
levels: individual, interpersonal and community levels. A collaborative effort
across religious, cultural and educational setting is necessary to tailor
approaches that meet the needs of the priority group.
PMID- 27892707
TI - Is firstly diagnosed ALS really ALS? Results of a population-based study with
long-term follow-up.
AB - OBJECTIVE: To revise the first diagnosis of amyotrophic lateral sclerosis (ALS)
in patients from a well-defined population. METHODS: Patients diagnosed with ALS
in the years 1998-2002 and resident of Lombardy Region, Northern Italy were
followed until death or April 30 2016 to assess long-term survival. During follow
up, the caring neurologists were asked to confirm the first diagnosis. Revised
diagnoses were classified as confirmed and unconfirmed motor neuron disease (MND)
with further specification where available. The two groups were compared for age,
sex, disease duration at diagnosis, site of onset, and El Escorial category.
Survival with predictors were also compared. RESULTS: Included were 280 men and
203 women aged 18-93 years. During follow-up, 25 cases (5.2%) received a
diagnosis different from MND. Diseases of spinal roots and peripheral nerves and
vascular encephalopathy predominated. Patients with definite (OR 0.15; 95%CI 0.04
0.52) and probable (OR 0.15; 95%CI 0.04-0.62) ALS were least likely to have an
unconfirmed MND diagnosis. At end of follow-up, 2.2% of patients with confirmed
MND and 44.0% of patients with unconfirmed MND were reported alive (HR 0.14;
95%CI 0.08-0.25). CONCLUSIONS: At the time of a first diagnosis of ALS, the
possibility still exists that another, less severe clinical condition, is
present.
PMID- 27892708
TI - Antitumoral Effects of D-Fraction from Grifola Frondosa (Maitake) Mushroom in
Breast Cancer.
AB - D-Fraction is protein-bound beta-1,6 and beta-1,3 glucans (proteoglucan)
extracted from the edible and medicinal mushroom Grifola frondosa (Maitake). The
antitumoral effect of D-Fraction has long been exclusively attributed to their
immunostimulatory capacity. However, in recent years increasing evidence showed
that D-Fraction directly affects the viability of canine and human tumor cells,
independent of the immune system. Previously, we have reported that D-Fraction
modulates the expression of genes associated with cell proliferation, cell death,
migration, invasion, and metastasis in MCF7 human breast cancer cells. Therefore,
the purpose of the current study is to investigate if this modulation of gene
expression by Maitake D-Fraction really modulates tumor progression. In the
present work, we demonstrate for the first time that Maitake D-Fraction is able
to act directly on mammary tumor cells, modulating different cellular processes
involved in the development and progression of cancer. We demonstrate that D
Fraction decreases cell viability, increases cell adhesion, and reduces the
migration and invasion of mammary tumor cells, generating a less aggressive cell
behavior. In concordance with these results, we also demonstrate that D-Fraction
decreases tumor burden and the number of lung metastases in a murine model of
breast cancer.
PMID- 27892709
TI - Gut-borne Saccharomyces cerevisiae, a promising candidate for the formulation of
feed additives, modulates immune system and gut microbiota.
AB - The aim was to evaluate the effect of Saccharomyces cerevisiae RC016 on immune
parameters and gut microbiota in healthy mice. Animals received S. cerevisiae
RC016 for 10 days. Microbial translocation to liver and changes in some bacterial
populations in caecum were determined. Immune stimulation was assessed at gut
level (measure ofimmunoglobulin A (IgA)+ cells and luminal cytokine profile) and
by evaluating the activity of peritoneal macrophages. Oral administration of S.
cerevisiae RC016 did not induce microbial translocation to liver. Mice that
received yeast increased the number of IgA+ cells in their intestines, the
phagocytic activity of peritoneal macrophages and decreased tumour necrosis
factor alpha (TNF-alpha) levels in the small intestine with increases of
interleukin-10/TNF-alpharatio. Administration of S. cerevisiae RC016 caused the
decline of a logarithmic unit for Enterobacteriaceae counts compared to the
control. The immune and gut microbiota modulation observed demonstrates that S.
cerevisiae RC016 is a promising candidate for the formulation of feed additives
to improve animal productivity. The beneficial in vivo effects observed for the
potential probiotic S. cerevisiae RC016 with previously reported mycotoxin
bindingproperties, demonstrated that this strain could be suitable to be included
in a novel product to improve animalproductivity, with both probiotic and
mycotoxin-binding properties. However, studies in the specific host will be
necessary to confirm this potential.
PMID- 27892710
TI - Oxytocin, a missing link of the gut-brain axis between probiotics and chronic
migraine?Comment on 'The effects of the multispecies probiotic mixture
Ecologic(r)Barrier on migraine:results of an open-label pilot study'.
PMID- 27892711
TI - Clinical indicators associated with HIV acquisition in the United States Air
Force.
AB - Mandatory HIV screening of United States Air Force (USAF) personnel every two
years effectively identifies incident cases, however testing frequency limits the
ability to detect early HIV infection. Identifying clinical indicators of HIV in
the USAF population is necessary to develop a supplemental provider-based
targeted testing strategy. We conducted a matched case-control study of male
active duty USAF personnel with a new HIV diagnosis (n = 452) between 1996 and
2011 matched to five randomly selected controls (n = 2176). The relationship
between clinical diagnoses, determined by ICD-9 codes, and HIV infection was
assessed using conditional logistic regression. In unadjusted analyses of ICD-9
codes ever and within the last two years before HIV diagnosis, the conditional
odds of HIV infection were greater in those with clinical signs and symptoms of
HIV (cOR 5.05, 95% CI 4.00-6.39), mental health diagnoses (cOR 2.61, 95% CI 1.86
3.67), and STI diagnoses (cOR 2.33, 95% CI 1.50-3.60). Compared to those with
<=10 medical encounters in the two years prior to HIV diagnosis, individuals with
11-35 medical encounters (cOR 2.19, 95% CI 1.73-2.79) and >35 medical encounters
(cOR 4.15, 95% CI 2.69-6.39) had a higher odds of HIV acquisition. In
multivariate analyses, clinical signs and symptoms of HIV within the last two
years of HIV diagnosis (cOR 4.10, 95% CI 3.22-5.22) and ever having a mental
health diagnosis (cOR 1.97, 95% CI 1.44-2.70) remained significant (p < .01).
Clinical encounters, particularly those featuring clinical signs and symptoms of
HIV or a history of mental health complaints, provide an opportunity for targeted
testing as a supplement to mandated testing at two-year intervals. Provider
education to increase HIV testing in persons at risk would enhance early HIV
diagnosis and potentially reduce forward transmission in the USAF population.
PMID- 27892712
TI - Variability in Metagenomic Count Data and Its Influence on the Identification of
Differentially Abundant Genes.
AB - Metagenomics is the study of microorganisms in environmental and clinical samples
using high-throughput sequencing of random fragments of their DNA. Since
metagenomics does not require any prior culturing of isolates, entire microbial
communities can be studied directly in their natural state. In metagenomics, the
abundance of genes is quantified by sorting and counting the DNA fragments. The
resulting count data are high-dimensional and affected by high levels of
technical and biological noise that make the statistical analysis challenging. In
this article, we introduce an hierarchical overdispersed Poisson model to explore
the variability in metagenomic data. By analyzing three comprehensive data sets,
we show that the gene-specific variability varies substantially between genes and
is dependent on biological function. We also assess the power of identifying
differentially abundant genes and show that incorrect assumptions about the gene
specific variability can lead to unacceptable high rates of false positives.
Finally, we evaluate shrinkage approaches to improve the variance estimation and
show that the prior choice significantly affects the statistical power. The
results presented in this study further elucidate the complex variance structure
of metagenomic data and provide suggestions for accurate and reliable
identification of differentially abundant genes.
PMID- 27892713
TI - Hydrophobic ion pairing as a strategy to improve drug encapsulation into lipid
nanocarriers for the cancer treatment.
AB - INTRODUCTION: Incorporation of anticancer drugs with low lipophilicity in lipid
nanocarriers is usually low, which limits the utilization of this strategy in
cancer therapy. However, the complexation of these drugs with lipophilic ion
pairs containing ionizable groups has been reported to improve their
incorporation in nanocarriers such as solid lipid nanoparticles (SLNs),
nanostructured lipid nanocarriers (NLCs), and nanoemulsions (NEs). Therefore,
those nanocarriers have shown an increase in efficacy and lower toxicity compared
with the free drugs, particularly if the counter ion utilized has anticancer
activity. Areas covered: This review covers, from 1999 to the present, the
utilization of the hydrophobic ion pair (HIP) approach to enhance the
encapsulation of anticancer drugs in lipid nanostructured delivery systems, SLN,
NLC, and NE; the benefits achieved; and challenges to improve the anticancer
therapy. Expert opinion: The HIP strategy has consistently demonstrated
enhancement of the encapsulation efficiency in NLCs associated with increased
anticancer activity of drugs such as doxorubicin, all-trans retinoic acid,
methotrexate, vincristine and others. From this point on, conducting further
physicochemical characterization studies of the formed ion pair as well as
proceeding with the in vivo efficacy, toxicity and pharmacokinetics studies are
expected.
PMID- 27892714
TI - (2R, 3S)-Pinobanksin-3-cinnamate ameliorates photoreceptor degeneration in
Pde6rd10 mice.
AB - As an inherited disorder caused by initial death of rod photoreceptors, retinitis
pigmentosa is currently untreatable and usually leads to partial or complete
blindness. (2R, 3S)-Pinobanksin-3-cinnamate (PC) is a new flavonone isolated from
the seed of Alpinia galanga Willd, and has been reported to exert neuroprotective
effects by upregulating endogenous antioxidant enzymes. In this study, the anti
oxidative and neuroprotective activity of PC against photoreceptor apoptosis in
rd10 mouse model of retinitis pigmentosa was explored. PC showed to produce
significant improvement in histology and function in rd10 mice through reducing
oxidative stress. For the first time, the protective effects of PC were
demonstrated against retina degeneration in rd10 mice and our study provides
scientific rationale on using PC as the supplementary treatment to the outer
retina diseases, including retinitis pigmentosa, in which oxidative stress is
thought to contribute to disease progression.
PMID- 27892716
TI - Life and cancer without telomerase: ALT and other strategies for making sure ends
(don't) meet.
AB - While most cancer cells rely on telomerase expression/re-activation for linear
chromosome maintenance and sustained proliferation, a significant population of
cancers (10-15%) employs telomerase-independent strategies, collectively dubbed
Alternative Lengthening of Telomeres (ALT). Most ALT cells relax the usual role
of telomeres as inhibitors of local homologous recombination while maintaining
the ability of telomeres to prohibit local non-homologous end joining reactions.
Here we review current concepts surrounding how ALT telomeres achieve this new
balance via alterations in chromatin landscape, DNA damage repair processes and
handling of telomeric transcription. We also discuss telomerase independent end
maintenance strategies utilized by other organisms, including fruitflies and
yeasts, to draw parallels and contrasts and highlight additional modes, beyond
ALT, that may be available to telomerase-minus cancers. We conclude by commenting
on promises and challenges in the development of effective anti-ALT cancer
therapies.
PMID- 27892718
TI - The utility of DNA nanostructures for drug delivery in vivo.
PMID- 27892717
TI - Dental implants modified with drug releasing titania nanotubes: therapeutic
potential and developmental challenges.
AB - INTRODUCTION: The transmucosal nature of dental implants presents a unique
therapeutic challenge, requiring not only rapid establishment and subsequent
maintenance of osseointegration, but also the formation of resilient soft tissue
integration. Key challenges in achieving long-term success are sub-optimal bone
integration in compromised bone conditions and impaired trans-mucosal tissue
integration in the presence of a persistent oral microbial biofilm. These
challenges can be targeted by employing a drug-releasing implant modification
such as TiO2 nanotubes (TNTs), engineered on titanium surfaces via
electrochemical anodization. Areas covered: This review focuses on applications
of TNT-based dental implants towards achieving optimal therapeutic efficacy.
Firstly, the functions of TNT implants will be explored in terms of their
influence on osseointegration, soft tissue integration and immunomodulation.
Secondly, the developmental challenges associated with such implants are reviewed
including sterilization, stability and toxicity. Expert opinion: The potential of
TNTs is yet to be fully explored in the context of the complex oral environment,
including appropriate modulation of alveolar bone healing, immune-inflammatory
processes, and soft tissue responses. Besides long-term in vivo assessment under
masticatory loading conditions, investigating drug-release profiles in vivo and
addressing various technical challenges are required to bridge the gap between
research and clinical dentistry.
PMID- 27892715
TI - Incorporation of chemotherapeutic agent and photosensitizer in a low temperature
sensitive liposome for effective chemo-hyperthermic anticancer activity.
AB - OBJECTIVES: In this study, we combined chemo- and hyperthermia therapy in a low
temperature-sensitive liposome (LTSL) for potential cancer treatment. METHODS:
Docetaxel (DOC) and indocyanine green (ICG) as a therapeutic agent and
photosensitizer, respectively, were incorporated in a low temperature-sensitive
liposome (LTSL/DI). Nanoparticles were evaluated for the physicochemical
characterizations, in vitro uptake and cytotoxicity, and furthermore in vivo
anticancer activity. RESULTS: The particle size of LTSL/DI was 130.8 +/- 2.3 nm,
and its drug release profile was pH- and temperature-dependent, which are
effective for tumor targeting. The in vitro anticancer activity of LTSL/DI was
significantly enhanced compared with free DOC in SCC-7 and MCF-7 cell lines.
Interestingly, near-infrared laser irradiation after the treatment resulted in
better anticancer activity than in the non-irradiated condition. The in vivo
tumor regression effect of LTSL/DI in combination with NIR irradiation was much
greater compared with the control group in SCC-7 tumor-bearing mice. After
intratumoral injection of LTSL/DI, local heat induced by NIR irradiation and the
localized docetaxel burst release could completely ablate the tumor, and inhibit
its recurrence. CONCLUSIONS: These results suggest LTSL/DI formulation as a
potential therapeutic strategy with effectively localized anti-tumor activity and
low risk of side effect to non-target organs.
PMID- 27892719
TI - Is a pulse absolutely necessary during cardiopulmonary bypass?
AB - INTRODUCTION: The benefits and disadvantages of pulsatility in mechanical
circulatory support devices have been argued since before the first use of
cardiopulmonary bypass (CPB) with a nonpulsatile pump. The debate over the
superiority of either pulsatile or nonpulsatile perfusion during CPB persists,
but recently, the evidence in favor of pulsatile perfusion during CPB is
increasing. Complications associated with chronic nonpulsatile flow in patients
implanted with left ventricular assist devices have renewed interest in
generating pulsatility with these devices. Areas covered: Here we review the
definition of pulsatility, the outcomes of CPB using pulsatile and nonpulsatile
pumps, and how best to produce and assess pulsatility. This information was
identified through online databases and direct extraction of single studies cited
in previously identified reports. Expert commentary: The newer generation of
biocompatible pulsatile pumps that can generate physiologic pulsation may prove
beneficial during temporary support for short-term use during CPB or intermediate
support for cardiogenic shock.
PMID- 27892721
TI - Social aspects in additive manufacturing of pharmaceutical products.
AB - INTRODUCTION: Additive manufacturing (AM) techniques, such as drug printing,
represent a new engineering approach that can implement the concept of
personalized medicine via on-demand manufacturing of dosage forms with
individually adjusted doses. Implementation of AM principles, such as
pharmacoprinting, will challenge the entire drug distribution chain and affect
the society at different levels. Areas covered: This work summarizes the concept
of personalized medicine and gives an overview of possibilities for monitoring
patients' health. The most recent activities in the field of printing
technologies for fabrication of dosage forms and 'polypills' with flexible doses
and tailored release profiles are reviewed. Different scenarios for the drug
distribution chain with the required adjustments in drug logistics, quality
systems and environmental safety are discussed, as well as whether AM will be
used for production of on-demand medicine. The impact of such changes in the
distribution chain on regulation, healthcare professionals and patients are
highlighted. Expert opinion: Drug manufacturing by traditional methods is well
established, but it lacks the possibility for on-demand personalized drug
production. With the recent approval of the first printed medicine, society
should be prepared for the changes that will follow the introduction of printed
pharmaceuticals.
PMID- 27892720
TI - Association between chronic pancreatitis and pyogenic liver abscess: a nationwide
population study.
AB - BACKGROUND: The relationship between chronic pancreatitis (CP) and subsequent
pyogenic liver abscess (PLA) is not well understood. METHODS: We investigated the
risk of PLA in patients with CP using inpatient claims data from the Taiwan
National Health Insurance Program for the period 2000-2010. We identified 17,810
patients with chronic pancreatitis (CP group) and 71,240 patients without CP (non
CP group). Both cohorts were followed until a diagnosis of PLA, until they were
censored from the study because of loss to follow-up, death, or termination of
insurance, or until the study cut-off date of 31 December 2011. Incidence and
risk factors for development of PLA, and the effects of comorbidities, were
assessed. RESULTS: The incidence of PLA in the CP group was 12.9 times that in
the non-CP group (38.3 vs. 2.89 events per 1000 person-years; 95% confidence
interval [CI], 10.5-15.8). After adjusting for age, sex, and the comorbidities of
hypertension, diabetes, hyperlipidemia, cerebral vascular accident, cirrhosis,
heart failure, chronic obstructive pulmonary disease, chronic kidney disease,
cancer, alcoholism, other diseases of the pancreas, cholecystitis, and
cholelithiasis and other disorders of the biliary tract and endoscopic insertion
of stent (tube) into the bile duct, the risk of PLA remained higher among CP
patients than among the comparison cohort (adjusted hazard ratio, 6.40; 95% CI,
4.83-8.49). CP patients with five or more comorbidities had a significantly
higher risk of PLA (adjusted hazard ratio, 24.9; 95% CI, 18.3-33.8). CONCLUSION:
CP was associated with increased risk of subsequent PLA. The risk of PLA was
higher in patients with five or more comorbidities.
PMID- 27892722
TI - Present and future DNA vaccines for chronic hepatitis B treatment.
AB - INTRODUCTION: With at least 240 million hepatitis B virus (HBV) carriers
worldwide, being at a high risk of cirrhosis and hepatocellular carcinoma (HCC),
chronic hepatitis B remains a major public health issue. Because current
antiviral treatments are only virostatic, there is an urgent need for the
development of innovative anti-HBV strategies leading to the functional cure. In
this context, DNA-based vaccines appear as a promising approach. Area covered: In
this review, the authors summarize the pertinent features of DNA vaccines for
chronic hepatitis B therapy. They review several technologies that improve DNA
vaccines efficacy evaluated in animal models of hepadnaviral infection. They also
discuss the clinical trials of therapeutic DNA vaccination initiated in HBV
carrier patients. Expert opinion: Preclinical studies in HBV transgenic mice,
DHBV-carrier ducks and WHV-infected woodchucks, have clearly demonstrated a
benefit of DNA vaccine-based combination therapies for chronic hepatitis B
treatment. However, the results of clinical trials conducted in HBV patients were
rather disappointing and frustrating, as DNA-vaccines have not shown the same
efficacy in patients as in animal models. We are convinced that the design of
innovative clinical trials based on strategies able to increase DNA vaccine
immunogenicity will allow to advance in this challenging field.
PMID- 27892723
TI - Optimization and stratification of multiple sclerosis treatment in fast
developing economic countries: a perspective from Qatar.
AB - OBJECTIVE: The introduction of disease-modifying therapies (DMTs) - with varying
degrees of efficacy for reducing annual relapse rate and disability progression -
has considerably transformed the therapeutic landscape of relapsing-remitting
multiple sclerosis (RRMS). We aim to develop rational evidence-based treatment
recommendations and algorithms for the management of clinically isolated syndrome
(CIS) and RRMS that conform to the healthcare system in a fast-developing
economic country such as Qatar. RESEARCH DESIGN AND METHODS: We conducted a
systematic review using a comprehensive search of MEDLINE, PubMed, and Cochrane
Database of Systematic Reviews (1 January 1990 through 30 September 2016).
Additional searches of the American Academy of Neurology and European Committee
for Treatment and Research in Multiple Sclerosis abstracts from 2012 through 2016
were performed, in addition to searches of the Food and Drug Administration and
European Medicines Agency websites to obtain relevant safety information on these
DMTs. RESULTS: For each of the DMTs, the mode of action, efficacy, safety and
tolerability are briefly discussed. To facilitate the interpretation, the
efficacy data of the pivotal phase III trials are expressed by their most
clinically useful measure of therapeutic efficacy, the number needed to treat
(NNT). In addition, an overview of head-to-head trials in RRMS is provided as
well as a summary of the several different RRMS management strategies (lateral
switching, escalation, induction, maintenance and combination therapy) and the
potential role of each DMT. Finally, algorithms were developed for CIS, active
and highly active or rapidly evolving RRMS and subsequent breakthrough disease or
suboptimal treatment response while on DMTs. The benefit-to-risk profiles of the
DMTs, taking into account patient preference, allowed the provision of rational
and safe patient-tailored treatment algorithms. CONCLUSIONS: Recommendations and
algorithms for the management of CIS and RRMS have been developed relevant to the
healthcare system of this fast-developing economic country.
PMID- 27892724
TI - Efficacy, safety and mechanism of HP-beta-CD-PEI polymers as absorption enhancers
on the intestinal absorption of poorly absorbable drugs in rats.
AB - CONTEXT: Oral bioavailability of some hydrophilic therapeutic macromolecules was
very poor, thus leading to their limited application in clinic. OBJECTIVE: To
investigate the efficacy, safety and mechanism of HP-beta-CD-PEI polymers on the
intestinal absorption of some poorly absorbable drugs in rats. METHODS: Effects
of HP-beta-CD-PEI polymers on the intestinal absorptions of drugs were
investigated by an in situ closed loop method in rats. The safety of HP-beta-CD
PEI polymer was evaluated by measurement of lactate dehydrogenase (LDH) activity
and amount of protein released from rat intestinal perfusate. The absorption
enhancing mechanisms were explored by the measurement of zeta potential,
transepithelial electrical resistance (TEER) and in vitro transport of FD4 (a
paracellular marker) across rat intestinal membranes, respectively. RESULTS: HP
beta-CD-PEI polymers, especially HP-beta-CD-PEI1800, demonstrated excellent
absorption enhancing effects on drug absorption in a concentration-dependent
manner and the enhancing effect was more efficient in the small intestine than
that in the large intestine. Five percent (w/v) HP-beta-CD-PEI1800 obviously
decreased the TEER, accompanied with increase in the intestinal transport of FD4,
indicating that absorption enhancing actions of HP-beta-CD-PEI polymers were
possibly performed by loosening tight junctions of intestinal epithelium cells,
thereby increasing drug permeation via a paracellular pathway. A good liner
relationship between absorption enhancing effects of HP-beta-CD-PEI polymers and
their zeta potentials suggested the contribution of positive charge on the
surface of these polymers to their absorption enhancing effects. CONCLUSION: HP
beta-CD-PEI polymers might be potential and safe absorption enhancers for
improving oral delivery of poorly absorbable macromolecules including peptides
and proteins.
PMID- 27892725
TI - Investigational serine/threonine kinase inhibitors against prostate cancer
metastases.
AB - INTRODUCTION: Androgen deprivation therapy (ADT) is used as first therapeutic
approach in prostate cancer (PCa) although castration resistant disease (CRPC)
develops with high frequency. CRPC is the consequence of lack of apoptotic
responses to ADT. Alternative targeting of the androgen axis with abiraterone and
enzalutamide, as well as taxane-based chemotherapy were used in CRPC.
Serine/threonine protein kinases (STKs) regulate different molecular pathways of
normal and neoplastic cells and participate to development of CRPC as well as to
the progression towards a bone metastatic disease (mCRPC). Areas covered: The
present review provide data on STK expression and activity in the development of
CRPC as well as summarize recent reports of different strategies to block STK
activity for the control of PCa progression. Expert Opinion: Inhibitors for
different STKs have been developed but clinical trials in PCa are comparatively
rare and few exhibit satisfactory 'drug-like' properties. It is, however,
necessary to intensify, when possible, the number of clinical trials with these
drugs in order to insert new therapies or combinations with standard hormone- and
chemo-therapies in the treatment guidelines of the mPCA.
PMID- 27892726
TI - Design and characterization of neurodurable gellan-xanthan pH-responsive
hydrogels for controlled drug delivery.
AB - OBJECTIVES: The purpose of this study was to develop novel, porous neurodurable
interpenetrating networks of gellan-xanthan hydrogel conduits intercalated with
pristine polymethyl methacrylate (PMMA) particles for the sustained and
concurrent release of two model compounds: bovine serum albumin (BSA) and
diclofenac sodium. METHODS: Hydrogel conduits were synthesized using a thermal
ionic crosslinking mechanism with direct incorporation of PMMA. The 15
formulations, generated using a Box-Behnken experimental design, were analyzed
for drug release, swelling, erosion and textural properties. RESULTS: The 15
formulations provided a near zero-order release of BSA (37-75% fractional
release) and diclofenac sodium (14-22% fractional release) over 20 and 30 days,
respectively, modulated via a combination of pH-responsive (pH 7.4) dissolution
of the intercalated pristine polymer particles and the unique gelling and erosion
properties imparted by the graded addition of xanthan gum to the hydrogel blend.
The concentration-dependent intercalation of PMMA extended drug release rates and
enhanced matrix resilience from 31% to 56%. CONCLUSIONS: The gellan-xanthan ratio
variability and the pore-inducing effects of intercalated PMMA yielded a means
for fine tuning the mechanical attributes of the hydrogel matrices, particularly
matrix rigidity and flexibility, offering an appealing strategy for the design
and development of synthetic bioactive-releasing peripheral nerve repair
conduits.
PMID- 27892727
TI - Information Deficits and Second Opinion Seeking - A Survey on Cancer Patients.
AB - OBJECTIVE: To learn more about cancer patients' motivation for seeking a second
opinion. METHODS: Participants filled in a standardized questionnaire. RESULTS:
Among 106 patients, 34% had looked for a second opinion, 81% wanted to check the
accuracy of their treatment, and 49% needed to better understand the diagnosis.
Low understanding of information was associated with looking for a second
opinion, and 79% felt assured after a second opinion. CONCLUSIONS: Seeking a
second opinion may help cancer patients in coping with the disease. As data on
benefits are missing, other strategies, such as qualified first opinion and a
sound physician-patient communication, may be advisable.
PMID- 27892728
TI - A Phase I/II Study of Nab-Paclitaxel, Cisplatin, and Cetuximab With Concurrent
Radiation Therapy for Locally Advanced Squamous Cell Cancer of the Head and Neck.
AB - Nab-paclitaxel might impact efficacy of radiation for head and neck (H&N) cancer.
Nab-paclitaxel, cisplatin, cetuximab, and radiation were evaluated in patients
with locally advanced head and neck cancer in this phase I/II trial. Median
follow-up was 24 months for 34 patients. The maximum tolerated dose of nab
paclitaxel was 20 mg/m2 with 20 mg/m2 cisplatin and 250 mg/m2 cetuximab. The 2
year progression-free survival (PFS) was 60% (95% confidence interval (CI) 0.42,
0.78), local control 71% (95% CI 0.55, 0.87), and overall survival 68% (95% CI
0.50, 0.86). This is the first study evaluating these agents with radiation in
humans, with similar 2-year PFS as historic control.
PMID- 27892729
TI - Reduction and fragmentation of elastic fibers in the skin of obese mice is
associated with altered mRNA expression levels of fibrillin-1 and neprilysin.
AB - AIM OF THE STUDY: Our previous research suggested that obesity induces structural
fragility in the skin. Elastic fibers impart strength and elasticity. In this
study, we determined whether elastic fibers decrease in the skin of obese mice.
MATERIALS AND METHODS: To confirm alterations in elastic fiber content due to
obesity, we used spontaneously obese model mice (TSOD) and control mice (TSNO).
Furthermore, to evaluate the elastin structure and gene expression dependent on
the severity of obesity, an obesity-enhanced mouse model was developed by feeding
a high fat diet to TSOD (TSOD-HF). Back skin samples were stained with
hematoxylin and eosin and Elastica van Gieson for microscopic examination, and
the samples were stained for immunohistochemical analysis of neprilysin. Gene
expression levels were determined using a real-time PCR system. RESULTS: The
abundance of elastic fibers beneath the epidermis was remarkably reduced and
fragmented in TSOD as compared with TSNO. Fibrillin-1 mRNA levels in TSOD were
significantly suppressed compared with those in TSNO, whereas neprilysin mRNA
levels and immunohistochemical expression in TSOD were significantly increased,
as compared with those in TSNO. The reduction of elastic fibers was enhanced and
the expression levels of elastic fiber formed factors were significantly
suppressed in TSOD-HF, as compared with those in the TSOD. CONCLUSIONS: The
abundance of elastic fibers was reduced and fragmented in obesity, suggesting
that the reduction in elastic fibers is initially caused by increased neprilysin
and decreased fibrillin-1 expression, which may inhibit formation and
stabilization of elastic fibers, resulting in skin fragility in obesity.
PMID- 27892730
TI - Delivery of therapeutic RNA-cleaving oligodeoxyribonucleotides (deoxyribozymes):
from cell culture studies to clinical trials.
AB - INTRODUCTION: Development of efficient in vivo delivery systems remains a major
challenge en route to clinical application of antisense technology, including RNA
cleaving molecules such as deoxyribozymes (DNAzymes). The mechanisms of
oligonucleotide uptake and trafficking are clearly dependent on cell type and the
type of oligonucleotide analogue. It appears likely that each particular disease
target would pose its own specific requirements for a delivery method. Areas
covered. In this review we will discuss the available options for DNAzyme
delivery in vitro and in vivo, outline various exogenous and endogenous
strategies that have been, or are still being, developed and ascertain their
applicability with emphasis on those methods that are currently being used in
clinical trials. Expert opinion. The available information suggests that a
practical system for in vivo delivery has to be biodegradable, as to minimize
concerns over long-term toxicity, it should not accumulate in the organism.
Extracellular vesicles may offer the most organic way for drug delivery
especially as they can be fused with artificial liposomes to produce hybrid
nanoparticles. Chemical modification of DNAzymes holds great potential to apply
oligonucleotide analogs that would not only be resistant to nuclease digestion,
but also able to penetrate cells without external delivery agents.
PMID- 27892731
TI - Is arachidonic acid stimulation really a test for the response to aspirin? Time
to think again?
AB - INTRODUCTION: Platelets play a key role in pathogenesis of atherothrombosis.
Activated platelets initiate thrombus formation. Antiplatelet therapy (APT)
modifies these properties. APT involves aspirin. The existence of 'aspirin
resistance' is reported in many populations with cardiovascular disease. The
prevalence of this phenomenon is highly variable, affecting more than 50% of
patient subgroups in some papers. Areas covered: This review describes the
prevalence of 'aspirin resistance', analyses why there is so much apparent
variation and addresses whether the commonly used tests of aspirin response are
in fact accurately assessing its functional performance. The clinical
consequences if arachidonic acid(AA)-mediated assays do not accurately assess the
functional performance of aspirin could be important. Expert commentary: Two
important issues arise, firstly, that it can no longer be considered robust to
use AA-induced platelet activation as a true diagnostic test of functional
response to aspirin. It is clear that the output from PFT using AA as an agonist
are not even a surrogate for the pharmacological activity of aspirin. Secondly,
current data raise important and clinically relevant questions about, how AA
stimulation induces clotting in individuals in whom aspirin is effective at its
COX-1 target. The evidence indicates at least one recruitable, COX-1-independent
pathway that is associated with vascular inflammation.
PMID- 27892732
TI - Recent advances in the management of pericardial diseases.
AB - Pericardial diseases are relatively common in clinical practice either as
isolated diseases or part of an underlying or systemic disease. Recent advances
in the diagnosis and treatment have greatly improved the clinical management and
lead to consensus documents on multimodality imaging and new guidelines on the
diagnosis and therapy of pericardial diseases. The aim of the present paper is to
summarize available evidence in order to provide an updated and comprehensive
review on the recent advances in the management of pericardial diseases.
PMID- 27892733
TI - Mean platelet volume may have not a predictive value for placental invasion
anomalies.
PMID- 27892734
TI - Molecular diagnosis of tuberculosis: we need solutions that span the healthcare
value chain.
PMID- 27892735
TI - Delivery route determines the presence of immune complexes on umbilical cord
erythrocytes.
AB - OBJECTIVE: Umbilical cord blood offers a unique opportunity to study the basal
level of immunoglobulin complexes. This study aims to determine the presence of
immune complexes and complement deposition on erythrocytes from umbilical cord
blood from normal, full-term pregnancies. METHODS: In vitro pre-formed IgA, IgG,
and IgM complexes were used as positive control for flow cytometry detection, and
for C3d deposition. Blood samples (34) of umbilical cord blood taken from vaginal
and cesarean deliveries were tested for the presence of immunoglobulin complexes.
RESULTS: Fourteen samples from vaginal deliveries and 20 samples from cesarean
deliveries were assessed. IgG and IgM complexes were detected on erythrocytes,
whereas no IgA complexes or complement deposition was observed. Interestingly,
the percentage of IgG complexes was higher on erythrocytes from vaginal delivery
samples compared to those from cesarean deliveries. No other associations between
immune complexes and other maternal or newborn variables were found. CONCLUSIONS:
IgG and IgM complexes seem to be normally present on umbilical cord erythrocytes.
Erythrocytes from vaginal deliveries have a higher percentage of IgG complexes
present compared to that from cesarean deliveries. Since no C3d activity was
detected, these complexes are non-pathological and should be part of the
newborn's initial innate immune response.
PMID- 27892736
TI - Therapeutics of postpartum depression.
AB - INTRODUCTION: Postpartum depression is a prevalent disorder affecting many women
of reproductive age. Despite increasing public awareness, it is frequently
underdiagnosed and undertreated leading to significant maternal morbidity and
adverse child outcomes. When identified, postpartum depression is usually treated
as major depressive disorder. Many studies have identified the postpartum as a
period of high risk for first presentations and relapses of bipolar disorder.
Areas covered: This article reviews the acute and prophylactic treatment of
postpartum major depressive disorder, bipolar depression and major depressive
disorder with mixed features. The safety of antidepressant and mood stabilizing
medications in pregnancy and breastfeeding will also be reviewed. Expert
commentary: Differentiating postpartum major depressive disorder and postpartum
bipolar depression can be difficult given their clinical similarities but
accurate identification is vital for initiating proper treatment. Antidepressants
are the mainstay of drug treatment for postpartum major depressive disorder, yet
randomized controlled trials have shown conflicting results. A paucity of
evidence exists for the effectiveness of antidepressant prophylaxis in the
prevention of recurrences of major depressive disorder. Mood stabilizing
medications reduce the risk of postpartum bipolar depression relapse but no
randomized controlled trials have examined their use in the acute or prophylactic
treatment of postpartum bipolar depression.
PMID- 27892737
TI - Progress in the molecular diagnosis of Lyme disease.
AB - INTRODUCTION: Current laboratory testing of Lyme borreliosis mostly relies on
serological methods with known limitations. Diagnostic modalities enabling direct
detection of pathogen at the onset of the clinical signs could overcome some of
the limitations. Molecular methods detecting borrelial DNA seem to be the ideal
solution, although there are some aspects that need to be considered. Areas
covered: This review represent summary and discussion of the published data
obtained from literature searches from PubMed and The National Library of
Medicine (USA) together with our own experience on molecular diagnosis of Lyme
disease. Expert commentary: Molecular methods are promising and currently serve
as supporting diagnostic testing in Lyme borreliosis. Since the field of
molecular diagnostics is under rapid development, molecular testing could become
an important diagnostic modality.
PMID- 27892738
TI - Methodological approaches and insights on protein aggregation in biological
systems.
AB - INTRODUCTION: The proper folding of native proteins is critical and dynamic, but
inherently unstable. Therefore, proteins eventually end up adopting misfolded
conformations which compromise their function and may even trigger aggregation.
Risk factors for neurodegenerative, metabolic and heart diseases compromise
cellular protein quality-control systems, promoting protein aggregation. Multiple
protein post-translational modifications dynamically regulate protein aggregation
and disaggregation in a very complex, intricate and delicate balance. Areas
covered: Herein, we overview the more promising techniques and approaches for the
elucidation of the biological implications of protein aggregation. The particular
insights provided by different techniques were discriminated and several examples
of post-translational modifications together with their targets were pooled and
critically discussed, representing promising future therapeutic targets. Expert
commentary: In the years to come, differences between physiological and
pathological protein aggregation will certainly become easier to determine.
Techniques such as hydrogen/deuterium exchange, circular dichroism spectroscopy
and novel mass spectrometry-based approaches are being optimized and are expected
to introduce inhibitors of protein aggregation into the clinic. However, protein
aggregation is not an isolated phenomenon, but rather influenced by multiple
cellular components which complete knowledge is still far.
PMID- 27892739
TI - Efflux as a mechanism of antimicrobial drug resistance in clinical relevant
microorganisms: the role of efflux inhibitors.
AB - INTRODUCTION: Microbial resistance against antibiotics is a serious threat to the
effective treatment of infectious diseases. Several mechanisms exist through
which microorganisms can develop resistance against antimicrobial drugs, of which
the overexpression of genes to produce efflux pumps is a major concern. Several
efflux transporters have been identified in microorganisms, which infer
resistance against specific antibiotics and even multidrug resistance. Areas
covered: This paper focuses on microbial resistance against antibiotics by means
of the mechanism of efflux and gives a critical overview of studies conducted to
overcome this problem by combining efflux pump inhibitors with antibiotics.
Information was obtained from a literature search done with MEDLINE, Pubmed,
Scopus, ScienceDirect, OneSearch and EBSCO host. Expert opinion: Efflux as a
mechanism of multidrug resistance has presented a platform for improved efficacy
against resistant microorganisms by co-administration of efflux pump inhibitors
with antimicrobial agents. Although proof of concept has been shown for this
approach with in vitro experiments, further research is needed to develop more
potent inhibitors with low toxicity which is clinically effective.
PMID- 27892740
TI - How can plasma RNA be used to diagnose prostate cancer?
PMID- 27892741
TI - Impact of prenatal diagnosis of transposition of the great arteries on postnatal
outcome.
AB - OBJECTIVE: To assess the impact of prenatal diagnosis of transposition of the
great arteries (TGA) on postnatal outcome. METHODS: Hundred and fifty-four
patients with either simple (n = 101) or complex forms (n = 53) of TGA, diagnosed
prenatally (G1, n = 88) or postnatally (G2, n = 66), who were admitted and
underwent surgical correction in our centre between 1998 and 2014, were analysed.
RESULTS: Prostaglandin E1 (PgE1) infusion and balloon atrial septostomy (BAS)
were performed in the first 48 h after birth more commonly in G1. The hospital
mortality rate for the whole group was 7.1%, higher for complex forms (13.2%)
than for simple TGA (3.9%), (p = 0.034). The overall mortality rate was similar
in G1 and G2. The mortality for simple TGA was higher when PgE1 infusion and BAS
were implemented after the first 48 h (p = 0.001). All deaths in G2 occurred in
patients first receiving PgE1 and BAS beyond 48 h. PgE1 was initiated in the
first 48 h in most patients (83%) with simple TGA postnatally diagnosed.
CONCLUSIONS: Adequate measures in the first 48 h after birth are essential to
reduce the early mortality in TGA, especially in the simple form. This can be
provided by prenatal diagnosis or by early neonatal clinical suspicion and prompt
measures.
PMID- 27892742
TI - A common ancestral DNMT3A-mutated preleukemic clone giving rise to AML and MDS in
an adolescent girl.
PMID- 27892743
TI - Muscle strength and knee range of motion after femoral lengthening.
AB - Background and purpose - Femoral lengthening may result in decrease in knee range
of motion (ROM) and quadriceps and hamstring muscle weakness. We evaluated
preoperative and postoperative knee ROM, hamstring muscle strength, and
quadriceps muscle strength in a diverse group of patients undergoing femoral
lengthening. We hypothesized that lengthening would not result in a significant
change in knee ROM or muscle strength. Patients and methods - This prospective
study of 48 patients (mean age 27 (9-60) years) compared ROM and muscle strength
before and after femoral lengthening. Patient age, amount of lengthening, percent
lengthening, level of osteotomy, fixation time, and method of lengthening were
also evaluated regarding knee ROM and strength. The average length of follow-up
was 2.9 (2.0-4.7) years. Results - Mean amount of lengthening was 5.2 (2.4-11.0)
cm. The difference between preoperative and final knee flexion ROM was 2 degrees
for the overall group. Congenital shortening cases lost an average of 5% or 6
degrees of terminal knee flexion, developmental cases lost an average of 3% or 4
degrees , and posttraumatic cases regained all motion. The difference in
quadriceps strength at 45 degrees preoperatively and after lengthening was not
statistically or clinically significant (2.7 Nm; p = 0.06). Age, amount of
lengthening, percent lengthening, osteotomy level, fixation time, and lengthening
method had no statistically significant influence on knee ROM or quadriceps
strength at final follow-up. Interpretation - Most variables had no effect on ROM
or strength, and higher age did not appear to be a limiting factor for femoral
lengthening. Patients with congenital causes were most affected in terms of knee
flexion.
PMID- 27892744
TI - Evaluation of efficacy and safety of different pembrolizumab dose/schedules in
treatment of non-small-cell lung cancer and melanoma: a systematic review.
AB - AIM: Pembrolizumab is a fully humanized anti-PD-1 agent currently approved for
the treatment of advanced melanoma and pretreated non-small-cell lung cancer
(NSCLC). OBJECTIVE: To assess the efficacy and safety of different dose schedules
of pembrolizumab in the treatment of patients with advanced NSCLC and melanoma.
Search method: MEDLINE database has been searched. Reference lists of original
studies and review articles were checked for other related articles. SELECTION
CRITERIA: Prospective clinical trials reporting the outcomes of more than one
dose schedule of pembrolizumab in the treatment of advanced NSCLC and melanoma.
DATA COLLECTION & ANALYSIS: The review author extracted information on the
outcomes of the study for this review, and presented the results. MAIN RESULTS:
Four trials with 3425 patients were included in this systematic review. Pooled
analysis for the odds ratio of objective response rate comparing 2 versus 10
mg/kg every 3 weeks in advanced melanoma was 1.03 (95% CI: 0.71-1.49; p = 0.89),
while for advanced NSCLC, it was 0.97 (95% CI: 0.66-1.43; p = 0.87). Moreover,
odds ratio for selected side effects between the two doses was as follows: rash:
0.83 (95 CI: 0.58-1.18; p = 0.29); vitiligo: 1.27 (95% CI: 0.62-2.61; p = 0.52);
diarrhea: 0.94 (95% CI: 0.63-1.42; p = 0.79); hypothyroidism: 0.97 (95% CI: 0.63
1.50; p = 0.90); hepatitis/elevated transaminases: 1.86 (95% CI: 0.91-3.79; p =
0.09); nephritis: 0.88 (95% CI: 0.32-2.44; p = 0.80); pneumonitis: 1.17 (95% CI:
0.62-2.23; p = 0.63). CONCLUSIONS: Given the equivalence in efficacy and safety
between lower doses and higher doses of pembrolizumab, 2 mg/kg every 3 weeks
seems to be an appropriate dose for routine practice in advanced pretreated NSCLC
and melanoma.
PMID- 27892745
TI - Gene-expression-based monocyte-specific clustering of acute myeloid leukemias
reveals novel associations.
PMID- 27892746
TI - Choroidal Neovascularization Associated with Multiple Evanescent White Dot
Syndrome Treated with Intravitreal Ranibizumab.
AB - PURPOSE: To describe the clinical outcomes of intravitreal ranibizumab treatment
for subfoveal choroidal neovascularization (CNV) associated with multiple
evanescent white dot syndrome (MEWDS). METHODS: This is a prospective,
interventional, case series. All recruited patients underwent a baseline
intravitreal ranibizumab injection and were monitored monthly over a 12-month
follow-up, following a pro-re-nata regimen. RESULTS: Four patients (four eyes)
were included in the study. Mean best-corrected visual acuity (BCVA) changed from
0.60 +/- 0.20 at baseline to 0.07 +/- 0.05 logMAR at 12-month examination.
Baseline central macular thickness reduced from 330 +/- 32 um to the final value
of 228 +/- 14 um at the 1-year follow-up. Overall, a mean number of 2.2
ranibizumab injections were administered at the end of 12 months. CONCLUSIONS:
Intravitreal ranibizumab treatment represents a valuable therapeutic option for
the management of CNV associated with MEWDS.
PMID- 27892747
TI - Blunt tools, burning questions: using cancer registry data to study
relapsed/refractory lymphoma.
PMID- 27892748
TI - Are porous tantalum cups superior to conventional reinforcement rings?
AB - Background and purpose - Porous tantalum cups have been introduced as an
alternative to various reinforcement rings in revision hip surgery. We
hypothesized that porous tantalum cups would be superior to Muller acetabular
roof reinforcement rings (MARRs) in revision hip surgery with re-revision for
aseptic loosening as the primary outcome measure. Patients and methods - 207 hips
operated with either a porous tantalum cup (TM cup, n = 111) or a MARR (n = 96)
at index procedure were identified in our local arthroplasty register. Acetabular
defects were classified according to Paprosky. There were 96 men and 111 women
with a median age of 71 (35-95) years, presenting acetabular defect size type I
in 39 cases, IIA in 22, IIB in 27, IIC in 43, IIIA in 32, and IIIB in 37 cases.
Analysis of medical records identified all patients with subsequent re-revision
and reasons for re-revisions. Kaplan-Meier survival functions were used to
estimate implant survival. Results - With re-revision for aseptic loosening as
the endpoint, the 6-year unadjusted cumulative survival was 97% (95% CI: 94-100)
for TM cups and 96% (CI: 92-100) for MARR (p = 0.6). Using re-revision for any
reason as the endpoint, 6-year survival was 87% (CI: 81-94) for TM cups and 95%
(CI: 90-99) for MARR (p = 0.06). The main reason for re-revision in the TM group
was dislocation (n = 10), followed by loosening (n = 3), whereas the main reason
for re-revision in the MARR group was aseptic loosening (n = 8). Duration of the
index procedure and perioperative blood loss were lower in the TM group.
Interpretation - Both TM and MARR lead to good 6-year results in acetabular
revision surgery. The methods differ in their respective failure mechanisms. We
conclude that TM cups are a valuable treatment option in acetabular revision
surgery, but the reasons underlying dislocations after the use of TM cups must be
analyzed further.
PMID- 27892750
TI - Risk of hepatitis B reactivation under treatment with tyrosine-kinase inhibitors
for chronic myeloid leukemia.
PMID- 27892749
TI - High non-relapse mortality and low relapse incidence in gender-mismatched
allogeneic hematopoietic stem cell transplantation from a parous female donor
with a male child.
AB - To clarify the influence of exposure to a male fetus during a female donor's (FD)
pregnancy in allogeneic hematopoietic stem cell transplantation (HSCT), we
retrospectively examined 292 HSCT patients. The 5-year non-relapse mortality
(NRM) was 33.5% among 31 male recipients who had HSCT from FD with a male child
(MC), 23.0% among 40 male recipients who had HSCT from FD without MC and 19.6%
among 221 other recipients. The 5-year relapse incidence (RI) was 22.6%, 42.0%,
and 43.1% for the respective group. In multivariate analysis, male recipients who
had HSCT from FD with MC had an increased risk of NRM (hazard ratio [HR] 1.92,
95% CI 1.08-3.42, p = .03), a reduced risk of RI (HR 0.42, 95% CI 0.18-0.96, p =
.04), resulting in no significant difference regarding overall survival. Male
child of FD is suggested to influence NRM and RI in gender-mismatched HSCT.
PMID- 27892751
TI - Decline in Ocular Toxoplasmosis over 40 Years at a Tertiary Referral Practice in
the United States.
AB - PURPOSE: To identify whether there has been a decline in ocular toxoplasmosis at
a tertiary uveitis practice. METHODS: Retrospective review of new patients at the
University of Illinois Uveitis Service from 1973 to 2012. RESULTS: There were
6820 patients with adequate records for inclusion; 323 (4.7%) were diagnosed with
ocular toxoplasmosis. There was a 78.0% decline in prevalence of ocular
toxoplasmosis from 2008 to 2012 compared with 1973 to 1977. Compared with the
aggregate uveitis population, toxoplasmosis patients were more likely to be
Hispanic (p<0.0001) and less likely to be African American (p<0.0001). Ocular
toxoplasmosis in Hispanics commonly occurred in foreign-born patients (85.3%).
CONCLUSIONS: The diagnosis of ocular toxoplasmosis at our clinic declined, with
Hispanics accounting for an increasing proportion of cases. These trends are
consistent with the decreasing toxoplasmosis seropositivity in the United States,
but may also reflect decreased referrals due to improved management of ocular
toxoplasmosis in primary clinics.
PMID- 27892752
TI - Concentration dependence of nitroxyl spin probes in liposomal solution: electron
spin resonance and overhauser-enhanced magnetic resonance studies.
AB - In this work, the detailed studies of electron spin resonance (ESR) and
overhauser-enhanced magnetic resonance imaging (OMRI) were carried out for
permeable nitroxyl spin probe, MC-PROXYL as a function of agent concentration in
liposomal solution. In order to compare the impermeable nature of nitroxyl
radical, the study was also carried out only at 2 mM concentration of carboxy
PROXYL. The ESR parameters were estimated using L-band and 300 MHz ESR
spectrometers. The line width broadening was measured as a function of agent
concentration in liposomal solution. The estimated rotational correlation time is
proportional to the agent concentration, which indicates that less mobile nature
of nitroxyl spin probe in liposomal solution. The partition parameter and
permeability values indicate that the diffusion of nitroxyl spin probe
distribution into the lipid phase is maximum at 2 mM concentration of MC-PROXYL.
The dynamic nuclear polarization (DNP) parameters such as DNP factor,
longitudinal relaxivity, saturation parameter, leakage factor and coupling factor
were estimated for 2 mM MC-PROXYL in 400 mM liposomal dispersion. The spin
lattice relaxation time was shortened in liposomal solution, which leads to the
high relaxivity. Reduction in coupling factor is due to less interaction between
the electron and nuclear spins, which causes the reduction in enhancement. The
leakage factor increases with increasing agent concentration. The increase in DNP
enhancement was significant up to 2 mM in liposomal solution. These results paves
the way for choosing optimum agent concentration and OMRI scan parameters used in
intra and extra membrane water by loading the liposome vesicles with a lipid
permeable nitroxyl spin probes in OMRI experiments.
PMID- 27892753
TI - Severe hip displacement reduces health-related quality of life in children with
cerebral palsy.
AB - Background and purpose - Hip displacement is common in children with severe
cerebral palsy (CP) and can cause problems such as pain, contractures, and
nursing difficulties. Caregiver priorities and child health index of life with
disabilities (CPCHILD) is a recently developed measure of health-related quality
of life (HRQL) in children with severe CP. The associations between CPCHILD
scores and hip displacement have not been investigated. We explored the effect of
hip displacement on HRQL. Patients and methods - 67 children were recruited from
the population-based Norwegian CP register. Mean age was 9 (7-12) years. There
were 40 boys. Gross motor function classification system (GMFCS) distribution was
12 level III, 17 level IV, and 38 level V. Hip displacement was assessed by
radiographic migration percentage (MP). The criterion for hip displacement was MP
of the worst hip of >=40%. Primary caregivers responded to 5 of the 6 domains of
the CPCHILD questionnaire. Results - Hip displacement was found in 18 children
and it was significantly associated with lower scores on the CPCHILD domains 3
(Comfort and Emotions) and 5 (Health), but not with domains 1 (Activities of
Daily Living/Personal Care), 2 (Positioning, Transfer, and Mobility), and 6
(Overall Quality of Life). GMFCS level V was a significant predictor of low
scores in all the domains. Interpretation - For the assessment of HRQL in
children with severe CP and hip problems, we propose a modified and simplified
version of the CPCHILD consisting of 14 of 37 questions. This would reduce the
responders' burden and probably increase the response rate in clinical studies
without losing important information.
PMID- 27892754
TI - Amputation following internal fixation of an ankle fracture via the
posterolateral approach - a case report.
PMID- 27892755
TI - Association of single-nucleotide polymorphisms in non-coding regions of the TLR4
gene with primary open angle glaucoma in a Mexican population.
AB - BACKGROUND: Toll-like receptor 4 (TLR4) non-coding polymorphisms are associated
to primary open angle glaucoma (POAG), normal tension glaucoma, and
pseudoexfoliation glaucoma. This study was performed to determine whether non
coding single nucleotide polymorphisms (SNPs) in the TLR4 gene contribute to POAG
in a Mexican population. MATERIAL AND METHODS: A total of 187 unrelated Mexican
patients with POAG and 109 control subjects were included. Allelic, genotypic,
and haplotypic diversity was assessed for the non-coding polymorphisms
rs11536889, rs1927911, rs12377632, and rs2149356 of the TLR4 gene. Genotyping of
target SNPs was performed by 5' exonuclease allelic discrimination assays.
RESULTS: Strong linkage disequilibrium was observed among the SNPs (D' > 0.818),
which were located in one haplotype block. The rs11536889 polymorphism was not
associated to POAG in any case. The frequency of the minor allele of rs2149356
was significantly higher in the glaucoma group, conferring an increased risk of
POAG (p = 0.0018, OR = 1.803, 95% CI 1.2556-2.5890) whereas minor allele of
rs12377632 was significantly lower, attributing a protective effect (p = 0.0001,
OR = 0.6662, 95% CI 0.4753-0.9339). Subjects with genotypes carrying the minor
allele of rs1927911 and rs2149356 shown an increased risk for POAG (p = 0.03, OR
= 1.78, 95% CI 1.10-2.87, and p < 0.0004, OR =2.62, 95%CI 1.61-4.27
respectively). Finally, we found significant risk haplotypes. The GTT haplotype
(constituted by rs1927911, rs12377632, and rs2149356) reached the higher OR (p =
0.0026, OR = 4.70, 95% CI 1.73-12.77). CONCLUSIONS: We have identified intronic
TLR4 SNPs as genetic susceptibility alleles for POAG in a Mexican population. Our
findings support the association of the TLR4 gene with POAG.
PMID- 27892756
TI - Use of high noninvasive respiratory support pressures in preterm neonates: a
single-center experience.
AB - PURPOSE: To describe the incidence, indications and clinical outcomes following
high pressures on noninvasive respiratory support (NRS) in preterm neonates.
STUDY DESIGN: Retrospective cohort study of all neonates with BW <1.500 g
admitted from July 2012 to June 2014 and placed on high noninvasive respiratory
support (NRS), defined as mean airway pressure >=10 cm H2O for at least 12
continuous hours using nasal continuous positive airway pressure (NCPAP) and/or
nasal high-frequency ventilation (NIHFV). Clinical and physiological outcomes
following high NRS were ascertained. Median (IQR) and percentages were used to
describe continuous and categorical data, respectively. RESULTS: There were 131
instances of high NRS use in 70 of 315 eligible infants. Most common indication
was post-extubation, observed in 37% (49/131) of high NRS instances. Intubation
was avoided in 71% (93/131) of instances in the first 7 days following high NRS
initiation. There were no physiological perturbations in heart rate, blood
pressure or oxygen requirement. Furthermore, there were no instances of lung
hyperinflation, pneumothoraces or spontaneous intestinal perforation following
high NRS. CONCLUSION: The use of high NRS pressure was followed by avoidance of
intubation in the majority of cases without adverse effects. Further research on
high NRS use including its indications, clinical outcomes and safety profile is
warranted.
PMID- 27892758
TI - Gamma radiation tolerance in different life stages of the fruit fly Drosophila
melanogaster.
AB - PURPOSE: Insects are known to have higher levels of radiation tolerance than
mammals. The fruit fly Drosophila provides opportunities for genetic analysis of
radiation tolerance in insects. A knowledge of stage-specific sensitivity is
required to understand the mechanisms and test the existing hypothesis of insect
radiation tolerance. MATERIALS AND METHODS: Drosophila melanogaster were
irradiated using gamma rays at different life stages. Irradiation doses were
chosen to start from 100-2200 Gy with increments of 100 Gy, with a dose rate of
12.5 and 25 Gy/min. The threshold of mortality, LD50 and LD100 1 h post
irradiation was recorded for larvae and adults and 24 h post-irradiation for eggs
and after 2-3 days for early and late pupae. Total antioxidant capacity for all
the life stages was measured using the phosphomolybdenum method. RESULTS: Twenty
four hours post-irradiation, 100% mortality was recorded for eggs at 1000 Gy. One
hour post irradiation 100% mortality was recorded at 1300 Gy for first instar
larvae, 1700 Gy for second instar larvae, 1900 Gy for feeding third instar larvae
and 2200 Gy for non-feeding third instar larvae. Post-irradiation complete
failure of emergence (100% mortality) was observed at 130 Gy for early pupae and
1500 Gy for late pupae; 100% mortality was observed at 1500 Gy for adults. The
values of LD50 were recorded as 452 Gy for eggs, 1049 Gy for first instar larvae,
1350 Gy for second instar larvae, 1265 Gy for feeding third instar larvae, 1590
Gy for non-feeding third instar larvae, 50 Gy for early pupae, 969 Gy for late
pupae, 1228 Gy for adult males and 1250 Gy for adult females. CONCLUSIONS: Early
pupae were found to be prone to radiation, whereas the non-feeding third instar
larvae were most resistant among all stages. The chromosome number being constant
and total antioxidant capacity being nearly constant in all stages, we suggest
that high rate of cell division during early pupae makes this stage sensitive to
radiation.
PMID- 27892757
TI - Basic principles and current status of transcorneal and transscleral
iontophoresis.
AB - INTRODUCTION: Iontophoresis is an active non-invasive drug delivery technique
that can increase the transport of charged and neutral molecules into and across
biological membranes. Most research to-date has focused on (per)cutaneous
iontophoretic drug delivery. However, recent studies illustrate its potential for
drug delivery to the eye: corneal iontophoresis may enable targeted topical
therapy of intracorneal diseases, whereas transscleral iontophoresis may enable
non-invasive intraocular drug delivery. Areas covered: We describe iontophoretic
principles in the context of ocular delivery before providing a summary of recent
preclinical studies involving transcorneal and transscleral iontophoresis in
vitro and in vivo. Subsequently, an overview of clinical applications with
special focus on the transcorneal iontophoresis of riboflavin for corneal cross
linking and transscleral iontophoresis of corticosteroids for the treatment of
posterior segment diseases is provided. Expert opinion: The feasibility of using
iontophoresis for ocular drug delivery has been demonstrated. Drug formulation
development and the ability to design iontophoretic applicators will now
determine its success in the clinic. The specificities of the ocular globe must
be taken into account; in particular, its unique morphology, and the smaller
surface area available for drug diffusion and the fact that it is more
susceptible to irritation and less robust than the skin.
PMID- 27892759
TI - The use of ketamine in ECT anaesthesia: A systematic review and critical
commentary on efficacy, cognitive, safety and seizure outcomes.
AB - OBJECTIVES: This review will discuss ECT efficacy and cognitive outcomes when
using ketamine as an ECT anaesthetic compared to other anaesthetics, taking into
account important moderator variables that have often not been considered to
date. It will also include information on safety and other ECT outcomes (seizure
threshold and quality). METHODS: A systematic search through MEDLINE, PubMed,
PsychINFO, Cochrane Databases and reference lists from retrieved articles was
performed. Search terms were: "ketamine" and "Electroconvulsive Therapy", from
1995 to September 2016. Meta-analyses, randomised controlled trials, open-label
and retrospective studies published in English of depressed samples receiving ECT
with ketamine anaesthesia were included (n = 24). RESULTS: Studies were
heterogeneous in the clinical populations included and ECT treatment and
anaesthetic methods. Frequently, studies did not report on ECT factors (i.e.,
pulse-width, treatment schedule). Findings regarding efficacy were mixed.
Tolerance from repeated use may explain why several studies found that ketamine
enhanced efficacy early in the ECT course but not at the end. The majority of
studies did not comprehensively examine cognition and adverse effects were not
systematically studied. Only a minority of the studies reported on seizure
threshold and expression. CONCLUSIONS: The routine use of ketamine anaesthesia
for ECT in clinical settings cannot yet be recommended based on published data.
Larger randomised controlled trials, taking into account moderator variables,
specifically reporting on ECT parameters and systematically assessing outcomes
are encouraged.
PMID- 27892760
TI - Microbubble-mediated ultrasound drug-delivery and therapeutic monitoring.
AB - INTRODUCTION: Recent developments in ultrasound imaging and ultrasound contrast
agents (UCAs) improved diagnostic confidence in echography and set into motion
their combined use as a tool for drug delivery and therapeutic monitoring. Non
invasive, precise and targeted delivery of drug molecules to pathological tissues
by employing different mechanisms of drug release is becoming feasible. Areas
covered: We sought to describe: the nature and features of UCAs; outline current
contrast-specific imaging modes; before describing a variety of strategies for
using ultrasound and microbubbles as a drug delivery system. Our expert opinion
focusses on results and prospects of using ultrasound and microbubbles as a dual
modality for drug delivery and therapeutic monitoring. Expert opinion: Today,
ultrasound and microbubbles present a realistic prospect as drug delivery tools
that have been demonstrated in a variety of animal models and clinical
indications. Besides delivering drugs, ultrasound and microbubbles have
demonstrated added value through therapeutic monitoring and assessment.
Successful evaluation of the sonoporation mechanism(s), ultrasound parameters,
drug type and dose will need to be addressed before translating this technology
for clinic use. Ultimately, the development of a strategy for monitoring targeted
delivery and its implementation in clinical practice would advance therapeutic
treatment to a new qualitative level.
PMID- 27892761
TI - Autonomic markers associated with generalized social phobia symptoms: heart rate
variability and salivary alpha-amylase.
AB - The study of autonomic nervous system changes associated with generalized social
phobia (GSP) disorder has increased in recent years, showing contradictory
results. The present study aimed to evaluate how young people with GSP reacted
before, during, and after exposure to the Trier Stress Social Test (TSST),
focusing on their autonomic changes (heart rate variability (HRV) and salivary
alpha-amylase (sAA)) compared to a control group (non-GSP). Some psychological
variables were also considered. Sex was specifically studied as a possible
modulator of autonomic fluctuations and psychological state. Eighty young people
were randomly distributed into two counterbalanced situations: stress condition
(N = 18 and 21 for GSP and non-GSP, respectively) and control condition (N = 21
and 20 for GSP and non-GSP, respectively), where cardiovascular variables were
continuously recorded. Psychological questionnaires about mood and perceived
stress were filled out, and five saliva samples were collected to analyze sAA.
GSP participants showed higher values on low- and high-frequency ratios (HR
domains), compared to non-GSP people, during exposure to the TSST, but no
differences were observed after the stressor. Furthermore, the two groups did not
differ in sAA. Importantly, positive affect in GSP participants was modulated by
sex. The present study suggests that the balance between high- and low-frequency
domains of HRV is a key cardiovascular marker reflecting the stress response of
GSP people, as well the importance of sex in positive affect when facing a
stressful situation.
PMID- 27892762
TI - Functional inter-cortical connectivity among motor-related cortices during motor
imagery: A magnetoencephalographic study.
AB - Neural connectivity was measured during motor imagery (MI) and motor execution
(ME) using magnetoencephalography in nine healthy subjects, MI, and at rest.
Lower coherence values during ME and MI between sensorimotor areas than at rest,
and lower values during MI between the left supplementary motor area and inferior
frontal gyrus than ME suggested the sensorimotor network of MI functioned with
similar connectivity to ME and that the inhibitory activity functioned
continuously during MI, respectively.
PMID- 27892765
TI - Comparison of predictability for human pharmacokinetics parameters among monkeys,
rats, and chimeric mice with humanised liver.
AB - 1. The aim of the present study was to evaluate the usefulness of chimeric mice
with humanised liver (PXB mice) for the prediction of clearance (CLt) and volume
of distribution at steady state (Vdss), in comparison with monkeys, which have
been reported as a reliable model for human pharmacokinetics (PK) prediction, and
with rats, as a conventional PK model. 2. CLt and Vdss values in PXB mice,
monkeys and rats were determined following intravenous administration of 30
compounds known to be mainly eliminated in humans via the hepatic metabolism by
various drug-metabolising enzymes. Using single-species allometric scaling, human
CLt and Vdss values were predicted from the three animal models. 3. Predicted CLt
values from PXB mice exhibited the highest predictability: 25 for PXB mice, 21
for monkeys and 14 for rats were predicted within a three-fold range of actual
values among 30 compounds. For predicted human Vdss values, the number of
compounds falling within a three-fold range was 23 for PXB mice, 24 for monkeys,
and 16 for rats among 29 compounds. PXB mice indicated a higher predictability
for CLt and Vdss values than the other animal models. 4. These results
demonstrate the utility of PXB mice in predicting human PK parameters.
PMID- 27892766
TI - A Backward Glance for Tempus.
PMID- 27892767
TI - Epigenetic modifications in multiple myeloma: recent advances on the role of DNA
and histone methylation.
AB - INTRODUCTION: Multiple Myeloma (MM) is a clonal late B-cell disorder accounting
for about 13% of hematological cancers and 1% of all neoplastic diseases. Recent
studies on the molecular pathogenesis and biology of MM have highlighted a
complex epigenomic landscape contributing to MM onset, prognosis and high
individual variability. Areas covered: We describe here the current knowledge on
epigenetic events characterizing MM initiation and progression, focusing on the
role of DNA and histone methylation and on the most promising epi-therapeutic
approaches targeting the methylation pathway. Expert opinion: Data published so
far indicate that alterations of the epigenetic framework, which include aberrant
global or gene/non-coding RNA specific methylation profiles, feature prominently
in the pathobiology of MM. Indeed, the aberrant expression of components of the
epigenetic machinery as well as the reversibility of the epigenetic marks make
this pathway druggable, providing the basis for the design of epigenetic
therapies against this still fatal malignancy.
PMID- 27892764
TI - STAT3-mediated SMAD3 activation underlies Oncostatin M-induced Senescence.
AB - Cytokines in the developing tumor microenvironment (TME) can drive transformation
and subsequent progression toward metastasis. Elevated levels of the Interleukin
6 (IL-6) family cytokine Oncostatin M (OSM) in the breast TME correlate with
aggressive, metastatic cancers, increased tumor recurrence, and poor patient
prognosis. Paradoxically, OSM engages a tumor-suppressive, Signal Transducer and
Activator of Transcription 3 (STAT3)-dependent senescence response in normal and
non-transformed human mammary epithelial cells (HMEC). Here, we identify a novel
link between OSM-activated STAT3 signaling and the Transforming Growth Factor
beta (TGF-beta) signaling pathway that engages senescence in HMEC. Inhibition of
functional TGF-beta/SMAD signaling by expressing a dominant-negative TGF-beta
receptor, treating with a TGF-beta receptor inhibitor, or suppressing SMAD3
expression using a SMAD3-shRNA prevented OSM-induced senescence. OSM promoted a
protein complex involving activated-STAT3 and SMAD3, induced the nuclear
localization of SMAD3, and enhanced SMAD3-mediated transcription responsible for
senescence. In contrast, expression of MYC (c-MYC) from a constitutive promoter
abrogated senescence and strikingly, cooperated with OSM to promote a transformed
phenotype, epithelial-mesenchymal transition (EMT), and invasiveness. Our
findings suggest that a novel STAT3/SMAD3-signaling axis is required for OSM
mediated senescence that is coopted during the transformation process to confer
aggressive cancer cell properties. Understanding how developing cancer cells
bypass OSM/STAT3/SMAD3-mediated senescence may help identify novel targets for
future "pro-senescence" therapies aiming to reengage this hidden tumor
suppressive response.
PMID- 27892768
TI - TFEB and TFE3: The art of multi-tasking under stress conditions.
AB - Cellular adaptation response to a myriad of stressors is key for survival. The
lysosomal/autophagy pathway is inextricably connected to the stress response
regulation. Two transcription factors, TFEB and TFE3, have recently emerged as
master regulators of this degradative pathway. Their function modulating
different cellular pathways will be discussed.
PMID- 27892770
TI - Myocardial infarction with non obstructive coronary arteries (MINOCA): a whole
new ball game.
AB - INTRODUCTION: MINOCA is a clinical syndrome that encompasses a subgroup of
heterogeneous patients who present with myocardial infarction yet do not have any
significant coronary artery obstruction on angiogram. As many as one in ten
patients with acute myocardial infarction (AMI) will not have an occluded
coronary artery, and the reported prevalence keeps rising, in part due to better
recognition of this syndrome. Current guidelines offer several diagnostic
algorithms but MINOCA remains largely under-recognised and little is known about
whether patients might benefit from a more specific treatment modality. Areas
covered: We review pathogenesis, diagnosis, prognosis, and management of MINOCA.
Expert commentary: Due to the significant heterogeneity of MINOCA patients, a
more efficient management strategy may solely rely on an individualized approach
in order to improve outcomes.
PMID- 27892769
TI - CircRNAs in the brain.
AB - Circular RNAs (circRNAs) are highly abundant and evolutionarily conserved non
coding RNAs produced by circularization of specific exons. Since their re
discovery as potential regulators of gene expression, thousands of circRNAs were
detected in different tissues and cell types across most organisms. Accumulating
data suggest key roles for them in the central nervous system. Neuronal-expressed
RNAs are diverted to yield highly enriched CircRNAs in human, mouse, pig and
flies, with many of them enriched in neuronal tissues. CircRNA levels are
dynamically modulated in neurons, both during differentiation and following
bursts of electrical activity, and accumulate with age, and many of them are
enriched in synapses. Together, available data suggest that circRNAs have
important roles in synaptic plasticity and neuronal function. This review covers
current advances in the field and lays out hypotheses regarding functions of
circRNAs in the brain as well as their putative involvement in initiation and
progression of neurodegenerative processes.
PMID- 27892773
TI - Real-world data on nivolumab treatment of non-small cell lung cancer.
AB - BACKGROUND: Checkpoint inhibitors have proven effectiveness in clinical trials
for non-small cell lung cancer (NSCLC) patients, but if this is congruent with
routine patient care is discussed. We present real-world experience with the PD1
inhibitor nivolumab in NSCLC. PATIENTS AND METHODS: Patients with NSCLC were
considered eligible for nivolumab treatment after one or more lines of
chemotherapy, and when in reasonable performance status (PS) [Eastern Cooperative
Oncology Group (ECOG) < 3]. Treatment was given according to guidelines in the
two phase III studies, CA209017 and CA209057. Response evaluation was done
according to Recist 1.1, and treatment given until unequivocal progression or
intolerable toxicity. RESULTS: Fifty-eight patients (30 females) commenced
therapy in the period June-August 2015. Median age was 64.6 years (range 32.3
88.2). Twenty-four patients had squamous cell carcinoma and 32 adenocarcinoma, 38
had received two or more prior lines of therapy. Fourteen cases (24%) were in
ECOG PS 2. After a medium observation time of 14.3 months, 13 (22%) are still in
treatment. Median time to treatment failure (TTF) was 4.0 months, 34% were off
treatment during the first two months. Median overall survival (OS) is 11.7
months. There was no difference in TTF or OS among patients with squamous versus
non-squamous histology or between 1 versus >1 prior line of therapy. Four
patients (7%) were off treatment due to toxicity, none were grade 4 or 5.
CONCLUSION: Nivolumab treatment outside clinical trials seems to perform as
expected.
PMID- 27892772
TI - Administration of lidocaine to prevent cognitive deficit in patients undergoing
coronary artery bypass grafting and valve plasty: a systematic review and meta
analysis.
AB - INTRODUCTION: The administration of lidocaine to maintain cognitive function
following coronary artery bypass grafting (CABG) and valve plasty is a
controversial concept in terms of its effectiveness. We performed a systematic
review to determine the effectiveness of treatment with lidocaine in preventing
the occurrence of cognitive deficit after cardiac surgery. Area covered: To
review the current literature on the subject, we searched the PubMed database and
the Cochrane Library database (up to May 2015) and compiled a list of retrieved
articles. Our final review includes only randomized controlled trials (RCTs) that
compared lidocaine to a control (placebo) following CABG and valve plasty.
Statistical analysis of the odds ratio (OR) and corresponding 95% confidence
interval (CI) were used to determine the overall effectiveness of lidocaine for
the prevention of cognitive deficit with both procedures. The Mantel-Haenszel
method was used to pool data of the outcomes of cognitive deficit occurrence into
fixed-effect model meta-analyses. Five RCTs were included in this study, with a
total of 688 patients. Perioperative administration of lidocaine in patients
undergoing cardiac surgery reduced occurrence of cognitive deficit (OR 0.583 [95%
CI 0.438-0.777]; Z = -3.680; P = 0.00; I2 = 52%). No significant difference in
the early occurrence of cognitive deficit was revealed in patients after cardiac
surgery (OR 0.909 [95% CI 0.600-1.376]; Z = -0.451; P = 0.652; I2 = 11%). Expert
commentary: Cognitive deficit associated with cardiac surgery is a common
postoperative event. Lidocaine is contributed to a significantly reduced
occurrence of cognitive deficit. Cognitive deficit management is recommended.
PMID- 27892771
TI - A tRNA-derived fragment competes with mRNA for ribosome binding and regulates
translation during stress.
AB - Posttranscriptional processing of RNA molecules is a common strategy to enlarge
the structural and functional repertoire of RNomes observed in all 3 domains of
life. Fragmentation of RNA molecules of basically all functional classes has been
reported to yield smaller non-protein coding RNAs (ncRNAs) that typically possess
different roles compared with their parental transcripts. Here we show that a
valine tRNA-derived fragment (Val-tRF) that is produced under certain stress
conditions in the halophilic archaeon Haloferax volcanii is capable of binding to
the small ribosomal subunit. As a consequence of Val-tRF binding mRNA is
displaced from the initiation complex which results in global translation
attenuation in vivo and in vitro. The fact that the archaeal Val-tRF also
inhibits eukaryal as well as bacterial protein biosynthesis implies a
functionally conserved mode of action. While tRFs and tRNA halves have been amply
identified in recent RNA-seq project, Val-tRF described herein represents one of
the first functionally characterized tRNA processing products to date.
PMID- 27892774
TI - Further insight into prognostic factors in endometrial cancer: the new serum
biomarker HE4.
AB - INTRODUCTION: Endometrial cancer (EC) is one of the most common gynecological
cancer worldwide. To date, no good markers are routinely used in clinical
practice for prognosis and monitoring. Areas covered: During the last years, an
increasing interest in literature has been growing on HE4 (Human epididimis 4).
Therefore, we aimed to gather all the evidence reported in literature analysing
the potential prognostic value of HE4, compared to the well know tumor's features
(histological type and grade, stage of disease, depth of myometrial invasion,
lymphovascular space involvement and cervical involvement). Expert commentary:
The analysis of data suggests that HE4 seems to have a good performance in
prognosis and monitoring of the disease, helping to schedule the appropriste
timing of imaging and surgery in a more individualized fashion. However, these
findings surely require a validation in a larger cohorts of patients. Probably,
in the next five years, prospective randomized trials will be performed to
confirm the prognostic role of HE4 in EC and to find a tailored EC management
strategy.
PMID- 27892775
TI - The Emotion Word Fluency Test (EWFT): Initial psychometric, validation, and
physiological evidence in young adults.
AB - INTRODUCTION: The clinical assessment of affective functioning has been slow to
incorporate findings from affective neuroscience. Of particular interest in the
current study is the assessment of affective word production. METHOD: In a series
of four studies, we examined test-retest and interrater reliability for the
Emotion Word Fluency Test (EWFT), basic construct validity with existing verbal
fluency measures, physiological responses across verbal fluency tasks, and a
novel scoring method to examine qualitative aspects of participant response sets.
RESULTS: Results demonstrated interrater and test-retest reliability values that
were comparable to those of other commonly used verbal fluency tests. Construct
validity was demonstrated by relations between the EWFT and other verbal fluency
tests as well as through physiological evidence that performance on the EWFT is
related to greater sympathetic activity than traditional verbal fluency tasks.
Lastly, some of the novel scoring metrics related to two self-report measures of
emotional functioning. CONCLUSIONS: Taken together, our findings provide initial
support for the use of the EWFT as a measure of emotion word generation ability
in young adults. This measure may prove to be useful in the assessment of
affective language production in patient populations.
PMID- 27892776
TI - Cognitive and affective predictors of boredom proneness.
AB - Boredom proneness has been linked to various forms of cognitive and affective
dysregulation including poor self-control and mind-wandering (MW), as well as
depression and aggression. As such, understanding boredom and the associated
cognitive and affective components of the experience, represents an important
first step in combatting the consequences of boredom for psychological well
being. We surveyed 1928 undergraduate students on measures of boredom proneness,
self-control, MW, depression and aggression to investigate how these constructs
were related. Hierarchical regression analysis indicated that self-control
operated as a strong negative predictor of boredom proneness. Finally, when
controlling for age and self-control, we observed large decreases in the
magnitudes of the relationships between boredom proneness and our other measures
of interest. Together, these results imply a strong relationship between boredom
proneness and cognitive and affective dysregulation, and show that individual
levels of self-control can account for the lion's share of variance in the
relationships between boredom, cognition, and affect.
PMID- 27892777
TI - Uveitis and Papillitis in the Setting of Dabrafenib and Trametinib Therapy for
Metastatic Melanoma: A Case Report.
AB - PURPOSE: To report the diagnosis of acute VKH-like syndrome as a complication
from dabrafenib (a serine/threonine inhibitor of BRAF V600) and trametinib (a MEK
inhibitor). In combination, these targeted agents have been shown to prolong
overall survival and progression free survival in BRAF mutant metastatic
melanoma. METHODS: Retrospective medical chart review including radiologic and
ophthalmologic investigations. RESULTS: A patient with metastatic melanoma being
treated with dabrafenib and trametinib for 2 months presented with 1 week of
visual blurring. He had developed bilateral optic disc swelling and uveitis that
responded to pulsed steroid therapy. CONCLUSIONS: VKH-like syndrome is a rare but
serious complication of targeted therapy that should be considered when
evaluating a patient with visual disturbances on dabrafenib and trametinib
therapy.
PMID- 27892779
TI - Causes of stillbirth in a socioeconomically disadvantaged urban Australian
population - a comprehensive analysis.
AB - INTRODUCTION: The aim of this paper was to provide an in-depth analysis of all
stillbirth causation over a period of 10 years in a busy maternity unit located
in a socioeconomically disadvantaged urban area, with an emphasis on overlapping
pathology. MATERIALS AND METHODS: A retrospective analysis of all structurally
normal stillbirths in singleton pregnancies born during 2002-2012. The PSANZ
stillbirth classification was used; per stillbirth subgroup main risk factors
were evaluated. RESULTS: Out of 130 cases, 43% showed overlapping pathologies. In
the remaining 74 (56%) cases, the following single pathologies were found: IUGR
20 (15%), infection 12 (9%), abruption 8 (6%), placental thrombotic pathology 8
(6%), miscellaneous 6 stillbirths (5%), and 20 cases (15%) unexplained. Smoking
was a risk factor for stillbirth associated with abruption (OR 3.639), infection
(OR 2.271), and thrombotic pathology (OR 2.168). Drug use had an association with
(placental) infection (OR 3.598). Obesity showed a significant association with
IUGR (OR 3.782) and abruption (OR 9.040). Thrombophilia risk analysis for the
overall group of stillbirths showed significant results for Protein S (OR 8.889)
and homocysteine >90th centile (OR 2.087). CONCLUSIONS: Overlapping pathology was
identified in 43% of stillbirths. Infection, IUGR, and abruption were the most
important single cause of stillbirth.
PMID- 27892778
TI - First report of palmar fibromatosis and camptodactyly in the 2nd century AD?
PMID- 27892780
TI - Associations between prolonged sedentary time and breaks in sedentary time with
cardiometabolic risk in 10-14-year-old children: The HAPPY study.
AB - This study examines the association between prolonged sedentary time and breaks
in sedentary time with cardiometabolic risk in 10-14-year-old children. This
cross-sectional design study analysed accelerometry-determined sedentary
behaviour and physical activity collected over 7 days from 111 (66 girls) UK
schoolchildren. Objective outcome measures included waist circumference, fasting
lipids, fasting glucose, blood pressure, and cardiorespiratory fitness. Logistic
regression was used for the main data analysis. After adjustment for confounders,
the odds of having hypertriglyceridaemia (P = 0.03) and an increased clustered
cardiometabolic risk score (P = 0.05) were significantly higher in children who
engaged in more prolonged sedentary bouts per day. The number of breaks in
sedentary time per day was not associated with any cardiometabolic risk factor,
but longer mean duration of daily breaks in sedentary time were associated with a
lower odds of having abdominal adiposity (P = 0.04) and elevated diastolic blood
pressure (P = 0.01). These associations may be mediated by engagement in light
activity. This study provides evidence that avoiding periods of prolonged
uninterrupted sedentary time may be important for reducing cardiometabolic
disease risk in children.
PMID- 27892781
TI - Analysis and Prediction of Claustrophobia during MR Imaging with the
Claustrophobia Questionnaire: An Observational Prospective 18-month Single-Center
Study of 6500 Patients.
AB - Purpose To analyze claustrophobia during magnetic resonance (MR) imaging and to
explore the potential of the 26-item claustrophobia questionnaire (CLQ) (range, 0
4) as a screening tool in patients scheduled for MR imaging. Materials and
Methods The study received institutional review board approval, and patients in
the CLQ cohort provided informed consent. A total of 6520 consecutive patients
were included. Overall, 4288 patients completed the CLQ before MR imaging (CLQ
cohort), and 2232 patients underwent MR imaging without having completed the CLQ
(non-CLQ cohort). Claustrophobic events were recorded by the staff. Results The
CLQ mean score in patients with claustrophobic events (1.48 +/- 0.93) was
significantly higher (P < .01) than in the group without claustrophobic events
(0.60 +/- 0.5). The CLQ cutoff value was 0.16 for men and 0.56 for women. Because
of the low prevalence, negative predictive values of CLQ cutoff values (men, 0.99
[573 of 582]; women, 0.97 [745 of 766]) were higher than positive predictive
values (men, 0.01 [88 of 582]; women, 0.16 [192 of 1186]). The overall
claustrophobic event rate was 9.8% (640 of 6520; 95% confidence interval [CI]:
9.1, 10.6). The CLQ did not induce claustrophobic events because the event rate
in the CLQ cohort was significantly lower than that in the non-CLQ cohort, as
shown by the adjusted odds ratio of 0.81 (95% CI: 0.68, 0.96). Conclusion The CLQ
is a suitable screening tool for the absence of a subsequent claustrophobic
event. Furthermore, while it is possible to identify patients with a considerable
risk of claustrophobia, prediction in individual patients is not possible. (c)
RSNA, 2016 Online supplemental material is available for this article.
PMID- 27892782
TI - C1858T Polymorphism of Protein Tyrosine Phosphatase Non-receptor Type 22
(PTPN22): an eligible target for prevention of type 1 diabetes?
AB - INTRODUCTION: In type 1 diabetes (T1D), several genetic factors are associated to
beta-cell autoimmunity onset and clinical progression. HLA-genes play a major
role in susceptibility and initiation of beta-cell autoimmunity, whereas non-HLA
genes may influence the destruction rate. Areas covered: Our review focuses on
the possible role of the PTPN22 C1858 T variant as a prognostic factor, given its
influence on disease variability. Moreover, we present the potential role of
C1858 T as a target for tertiary prevention trials and new therapeutic
strategies, such as the LYP inhibitors. We used PubMed for literature research;
key words were 'PTPN22', 'C1858 T polymorphism', 'lymphoid-specific tyrosine
phosphatase' and 'type 1 diabetes'. We selected publications between 2000 and
2016. Expert commentary: Current data suggest that PTPN22 can be a promising
target for therapeutic interventions and identification of at-risk subjects in
autoimmune diseases such as T1D.
PMID- 27892783
TI - Hen egg white lysozyme is a hidden allergen in Italian commercial ciders.
AB - Hen egg white lysozyme (HEWL) is an enzyme used in alcoholic fermentation for its
ability to control the growth of Gram-positive and spoilage bacteria, without
inhibiting yeast growth, and it allows a reduction in the use of sulphur dioxide.
Nevertheless, considering the potential allergenicity of this protein, the
presence of HEWL should be declared on the label of the final product. In this
work, we analysed 18 commercial Italian ciders by LC-MS/MS and found traces of
HEWL in 12 samples without label declaration. We used Western blot and enzyme
linked immunosorbent assay (ELISA) to verify the immunological activity of HEWL,
and to quantify its content in the ciders. Two out of 18 samples were found to be
positive both by immunoblot and ELISA. The results indicate the requirement of a
more stringent control of commercial ciders and the need of label declaration for
ciders treated with such compounds.
PMID- 27892787
TI - Corrigendum.
PMID- 27892784
TI - Management and outcome challenges in newborns with gastroschisis: A 6-year
retrospective French study.
AB - OBJECTIVE: To identify the gestational age (GA) at which risk of mortality and
severe outcome was minimized comparing preterm delivery and expectant management.
METHODS: Retrospective study performed between 2009 and 2014 of newborns with
gastroschisis in three large French level III neonatal intensive care units. Each
department followed two distinct strategies: elective delivery at 35 weeks' GA
and a delayed approach. RESULTS: We included 69 gastroschisis cases. The lengths
of stay lasting more than 60 days were significantly greater in the planned
delivery group than in the expectant approach group (18/30 (60%) vs. 8/39
(20.5%), p = 0.001). Gastroschisis cases receiving antenatal corticoids during
the last two weeks of gestation required significantly less surgeries during
their initial stay (p = 0.003) as well as shorter parenteral feedings (p =
0.002). A multivariate logistic regression showed that a GA of less than 36
weeks' GA was is a pejorative factor for a stay above 60 days, regardless of
whether it was a simple or complex gastroschisis, (OR= 3.8; p = 0.021). A complex
gastroschisis was a risk factor for significantly longer parenteral feedings,
regardless of the center where patient is treated (Beta = -0.3, p = 0.035).
CONCLUSIONS: Future research should focus on decisions about delivery timing by
incorporating risk of neonatal morbidity.
PMID- 27892788
TI - Vitamin A deficiency due to bi-allelic mutation of RBP4: There's more to it than
meets the eye.
AB - Vitamin A deficiency is the leading cause of preventable blindness in children
worldwide and results in a well-recognized ocular phenotype. Herein we describe a
patient presenting to the eye clinic with a retinal dystrophy and ocular
colobomata. This combination of clinical signs and consanguineous pedigree
structure suggested a genetic basis for the disease, a hypothesis that was tested
using whole genome sequencing. Bi-allelic mutations in RBP4 were identified
(c.248+1G>A), consistent with a diagnosis of inherited vitamin A deficiency. We
describe a constellation of signs that appear to be characteristic for this
disease, increasing clinical awareness of this rare condition.
PMID- 27892789
TI - 4-Vinylcyclohexene diepoxide disrupts sperm characteristics, endocrine balance
and redox status in testes and epididymis of rats.
AB - OBJECTIVES: Exposure to 4-vinylcyclohexene diepoxide (VCD) was reported to induce
testicular germ cell toxicity in rodents. However, there is paucity of
information on the precise biochemical and molecular mechanisms of VCD-induced
male reproductive toxicity. METHODOLOGY: This study investigated the influence of
VCD on testicular and epidydimal functions following oral exposure of Wistar rats
to VCD at 0, 100, 250 and 500 mg/kg for 28 consecutive days. RESULTS:
Administration of VCD significantly decreased the body weight gain and organo
somatic indices of the testes and epididymis. When compared with the control, VCD
significantly decreased superoxide dismutase and catalase activities in the
testes whereas it significantly decreased superoxide dismutase activity but
increased catalase activity in the epididymis. Moreover, while glutathione
peroxidase activity and glutathione level remain unaffected, exposure of rats to
VCD significantly increased glutathione S-transferase activity as well as
hydrogen peroxide and malondialdehyde levels in testes and epididymis of the
treated rats. The spermiogram of VCD-treated rats showed significant decrease in
epididymal sperm count, sperm progressive motility, testicular sperm number and
daily sperm production when compared with the control. Administration of VCD
significantly decreased circulatory concentrations of follicle-stimulating
hormone, luteinizing hormone and testosterone along with testicular and
epididymal degeneration in the treated rats. Immunohistochemical analysis showed
significantly increased cyclooxygenase-2, inducible nitric oxide synthase,
caspase-9 and caspase-3 protein expressions in the testes of VCD-treated rats.
CONCLUSION: Exposure to VCD induces testicular and epidydimal dysfunctions via
endocrine suppression, disruption of antioxidant enzymes activities, increase in
biomarkers of oxidative stress, inflammation and apoptosis in rats.
PMID- 27892790
TI - LINE-1 methylation status in prostate cancer and non-neoplastic tissue adjacent
to tumor in association with mortality.
AB - Aberrant DNA methylation seems to be associated with prostate cancer behavior. We
investigated LINE-1 methylation in prostate cancer and non-neoplastic tissue
adjacent to tumor (NTAT) in association with mortality from prostate cancer. We
selected 157 prostate cancer patients with available NTAT from 2 cohorts of
patients diagnosed between 1982-1988 and 1993-1996, followed up until 2010. An
association between LINE-1 hypomethylation and prostate cancer mortality in tumor
was suggested [hazard ratio per 5% decrease in LINE-1 methylation levels: 1.40,
95% confidence interval (CI): 0.95-2.01]. After stratification of the patients
for Gleason score, the association was present only for those with a Gleason
score of at least 8. Among these, low (<75%) vs. high (>80%) LINE-1 methylation
was associated with a hazard ratio of 4.68 (95% CI: 1.03-21.34). LINE-1
methylation in the NTAT was not associated with prostate cancer mortality. Our
results are consistent with the hypothesis that tumor tissue global
hypomethylation may be a late event in prostate cancerogenesis and is associated
with tumor progression.
PMID- 27892791
TI - Cardiovascular profile score as a predictor of acute intrapartum non-reassuring
fetal status in infants with congenital heart defects.
AB - OBJECTIVES: To investigate the predictive factors of urgent cesarean delivery
(CD) due to acute intrapartum non-reassuring fetal status (NRFS) in infants with
congenital heart defects (CHDs). STUDY DESIGN: This was a retrospective review of
199 singletons prenatally diagnosed with a CHD and for whom vaginal delivery was
attempted in our institution between 2007 and 2014. A cardiovascular profile
(CVP) score was used to assess fetal heart failure. RESULTS: The number of urgent
CDs due to NRFS was 37 (18.6%). Fetuses with a CVP score <=7 were significantly
more likely to require urgent CD due to NRFS than those with a CVP score >=8 (p <
0.001). Infants with right heart defects or biventricular cyanotic heart defects
had a significantly higher frequency of urgent CD due to NRFS than those with
other types of CHD (p = 0.017). Multivariate analysis showed that a CVP score
<=7, a birth weight <2500 g, and primipara status were significant predictors of
urgent CD due to NRFS. CONCLUSIONS: Fetal heart failure, low birth weight, and
primipara status were revealed to be independent predictors of urgent CD due to
acute intrapartum NRFS in CHD infants. The CVP score may be a useful
echocardiographic marker in perinatal management planning.
PMID- 27892792
TI - E2fl1 is a meiosis-specific transcription factor in the protist Tetrahymena
thermophila.
AB - Members of the E2F family of transcription factors have been reported to regulate
the expression of genes involved in cell cycle control, DNA replication, and DNA
repair in multicellular eukaryotes. Here, E2FL1, a meiosis-specific E2F
transcription factor gene, was identified in the model ciliate Tetrahymena
thermophila. Loss of this gene resulted in meiotic arrest prior to anaphase I.
The cytological experiments revealed that the meiotic homologous pairing was not
affected in the absence of E2FL1, but the paired homologous chromosomes did not
separate and assumed a peculiar tandem arrangement. This is the first time that
an E2F family member has been shown to regulate meiotic events. Moreover, BrdU
incorporation showed that DSB processing during meiosis was abnormal upon the
deletion of E2FL1. Transcriptome sequencing analysis revealed that E2FL1 knockout
decreased the expression of genes involved in DNA replication and DNA repair in
T. thermophila, suggesting that the function of E2F is highly conserved in
eukaryotes. In addition, E2FL1 deletion inhibited the expression of related
homologous chromosome segregation genes in T. thermophila. The result may explain
the meiotic arrest phenotype at anaphase I. Finally, by searching for E2F DNA
binding motifs in the entire T. thermophila genome, we identified 714 genes
containing at least one E2F DNA-binding motif; of these, 235 downregulated
represent putative E2FL1 target genes.
PMID- 27892793
TI - Physiologically-based modeling to predict the clinical behavior of monoclonal
antibodies directed against lymphocyte antigens.
AB - Many clinically approved and investigational monoclonal antibody (mAb)-based
therapeutics are directed against proteins located in the systemic circulation,
including cytokines, growth factors, lymphocyte proteins, and shed antigens.
Interaction between mAb and target may lead to non-linear pharmacokinetics (PK),
characterized by rapid, target-mediated elimination. Several groups have reported
that determinants of target-mediated elimination could include mAb-target
binding, target expression, and target turnover. Recently, we scaled a
physiologically-based pharmacokinetic model for mAb disposition to man and used
it to predict the non-linear PK of mAbs directed against tumor epithelial
proteins. In this work, we extended the previously described model to account for
the influence of lymphocyte proteins on mAb PK in man. To account for the dynamic
behavior of lymphocytes in the circulation, lymphocyte cycling between blood and
lymphoid organs was described using first-order transfer rate constants. Use of
lymphocyte cycling and reported target turnover rates in the model allowed the
accurate prediction of the pharmacokinetics and pharmacodynamics (PD) of 4 mAbs
(TRX1, MTRX1011a, rituximab, daclizumab) directed against 3 lymphocyte targets
(CD4, CD20, CD25). The results described here suggest that the proposed model
structure may be useful in the a priori prediction of the PK/PD properties of
mAbs directed against antigens in the circulation.
PMID- 27892795
TI - Using Emotionally Focused Therapy to Treat Sexual Desire Discrepancy in Couples.
AB - Couples in committed relationships encounter a multitude of issues. According to
Metz and McCarthy (2010), when couples report high sexual satisfaction, it
accounts for 15% to 20% of their overall relationship satisfaction. However, when
couples report low sexual satisfaction, it contributes 50% to 70% of their
overall satisfaction with their partner. Issues of sexual desire, currently
referred to as sexual desire discrepancy, are among the most difficult to treat.
Although there are many factors contributing to the issue of sexual desire
discrepancy, current literature highlights the importance of emotional intimacy
as an outcome and predictor of increased sexual desire. Given the complex nature
of sexual desire, clinicians often lack the understanding and treatment options
that are systemic. By viewing sexual desire discrepancy as a relational problem
that can be treated using emotionally focused therapy, clinicians are better
equipped to work with emotional and sexual factors that impact desire and couple
distress.
PMID- 27892794
TI - Effects of repeated 9 and 30-day exposure to extremely low-frequency
electromagnetic fields on social recognition behavior and estrogen receptors
expression in olfactory bulb of Wistar female rats.
AB - OBJECTIVE: We investigated the short- and long-term effects of extremely low
frequency electromagnetic fields (EMF) on social recognition behavior and
expression of alpha- and beta-estrogen receptors (ER). METHODS: Rats were exposed
to 60-Hz electromagnetic fields for 9 or 30 days and tested for social
recognition behavior. Immunohistochemistry and western blot assays were performed
to evaluate alpha- and beta-ER expression in the olfactory bulb of intact,
ovariectomized (OVX), and ovariectomized+estradiol (E2) replacement (OVX+E2).
RESULTS: Ovariectomization showed impairment of social recognition after 9 days
of EMF exposure and a complete recovery after E2 replacement and so did those
after 30 days. Short EMF exposure increased expression of beta-ER in intact, but
not in the others. Longer exposure produced a decrease in intact but an increase
in OVX and OVX+E2. DISCUSSION: Our findings suggest a significant role for beta
estrogen receptors and a lack of effect for alpha-estrogen receptors on a social
recognition task. ABBREVIATIONS: EMF: extremely low frequency electromagnetic
fields; ERs: estrogen receptors; OB: olfactory bulb; OVX: ovariectomized; OVX +
E2: ovariectomized + estradiol replacement; IEI: interexposure interval; beta-ER:
beta estrogen receptor; E2: replacement of estradiol; GAPDH: glyceraldehyde-3
phosphate dehydrogenase; WB: Western blot; PBS: phosphate-buffer saline; PB:
phosphate-buffer.
PMID- 27892796
TI - Using a divided-attention stepping accuracy task to improve balance and
functional outcomes in an individual with incomplete spinal cord injury: A case
report.
AB - A spinal cord injury (SCI) frequently results in impaired balance, endurance, and
strength with subsequent limitations in functional mobility and community
participation. The purpose of this case report was to implement a training
program for an individual with a chronic incomplete SCI using a novel divided
attention stepping accuracy task (DASAT) to determine if improvements could be
made in impairments, activities, and participation. The client was a 51-year-old
male with a motor incomplete C4 SCI sustained 4 years prior. He presented with
decreased quality of life (QOL) and functional independence, and deficits in
balance, endurance, and strength consistent with central cord syndrome. The
client completed the DASAT intervention 3 times per week for 6 weeks. Each
session incorporated 96 multi-directional steps to randomly-assigned targets in
response to 3-step verbal commands. QOL, measured using the SF-36, was generally
enhanced but fluctuated. Community mobility progressed from close supervision to
independence. Significant improvement was achieved in all balance scores: Berg
Balance Scale by 9 points [Minimal Detectable Change (MDC) = 4.9 in elderly];
Functional Reach Test by 7.62 cm (MDC = 5.16 in C5/C6 SCI); and Timed Up-and-Go
by 0.53 s (MDC not established). Endurance increased on the 6-Minute Walk Test,
with the client achieving an additional 47 m (MDC = 45.8 m). Lower extremity
isokinetic peak torque strength measures were mostly unchanged. Six minutes of
DASAT training per session provided an efficient, low-cost intervention utilizing
multiple trials of variable practice, and resulted in better performance in
activities, balance, and endurance in this client.
PMID- 27892797
TI - NEK8 regulates DNA damage-induced RAD51 foci formation and replication fork
protection.
AB - Proteins essential for homologous recombination play a pivotal role in the repair
of DNA double strand breaks, DNA inter-strand crosslinks and replication fork
stability. Defects in homologous recombination also play a critical role in the
development of cancer and the sensitivity of these cancers to chemotherapy.
RAD51, an essential factor for homologous recombination and replication fork
protection, accumulates and forms immunocytochemically detectable nuclear foci at
sites of DNA damage. To identify kinases that may regulate RAD51 localization to
sites of DNA damage, we performed a human kinome siRNA library screen, using DNA
damage-induced RAD51 foci formation as readout. We found that NEK8, a NIMA family
kinase member, is required for efficient DNA damage-induced RAD51 foci formation.
Interestingly, knockout of Nek8 in murine embryonic fibroblasts led to cellular
sensitivity to the replication inhibitor, hydroxyurea, and inhibition of the ATR
kinase. Furthermore, NEK8 was required for proper replication fork protection
following replication stall with hydroxyurea. Loading of RAD51 to chromatin was
decreased in NEK8-depleted cells and Nek8-knockout cells. Single-molecule DNA
fiber analyses revealed that nascent DNA tracts were degraded in the absence of
NEK8 following treatment with hydroxyurea. Consistent with this, Nek8-knockout
cells showed increased chromosome breaks following treatment with hydroxyurea.
Thus, NEK8 plays a critical role in replication fork stability through its
regulation of the DNA repair and replication fork protection protein RAD51.
PMID- 27892799
TI - Antiviral treatment of HBV positive pregnant women: an additional tool to reduce
perinatal transmission.
PMID- 27892798
TI - Transmissibility of Gerstmann-Straussler-Scheinker syndrome in rodent models: New
insights into the molecular underpinnings of prion infectivity.
AB - Prion diseases, or transmissible spongiform encephalopathies, have revealed the
bewildering phenomenon of transmissibility in neurodegenerative diseases. Hence,
the experimental transmissibility of prion-like neurodegenerative diseases via
template directed misfolding has become the focus of intense research. Gerstmann
Straussler-Scheinker disease (GSS) is an inherited prion disease associated with
mutations in the prion protein gene. However, with the exception of a few GSS
cases with P102L mutation characterized by co-accumulation of protease-resistant
PrP core (PrPres) of ~21 kDa, attempts to transmit to rodents GSS associated to
atypical misfolded prion protein with ~8 kDa PrPres have been unsuccessful. As a
result, these GSS subtypes have often been considered as non-transmissible
proteinopathies rather than true prion diseases. In a recent study we inoculated
bank voles with GSS cases associated with P102L, A117V and F198S mutations and
found that they transmitted efficiently and produced distinct pathological
phenotypes, irrespective of the presence of 21 kDa PrPres in the inoculum. This
study demonstrates that GSS is a genuine prion disease characterized by both
transmissibility and strain variation. We discuss the implications of these
findings for the understanding of the heterogeneous clinic-pathological
phenotypes of GSS and of the molecular underpinnings of prion infectivity.
PMID- 27892800
TI - What Do Married Couples Think About Induced Abortion?
AB - This study examined the opinions of married couples concerning induced abortion
in a sample of 674 married couples obtaining services at a large
obstetrical/gynecological outpatient service. Although approximately half of the
sample were not using birth control, a similar percentage felt that curettage
(selective abortion) should never be performed. A larger percentage felt that
selective abortion is a sin and should be banned. Sex differences in attitudes
were minimal. Couples may benefit from a birth control education program in a
hospital that is designed to cover abortion in order to provide accurate
information in a more professional environment.
PMID- 27892801
TI - Stability and migration across femoral varus derotation osteotomies in children
with neuromuscular disorders.
AB - Background and purpose - Studies have indicated that one-third of children with
cerebral palsy (CP) develop dislocation of the hip that needs surgical
intervention. When hip dislocation occurs during childhood surgical treatment
consists of tenotomies, femoral varus derotation osteotomy (VDRO), and
acetabuloplasty. Relapse is observed in one-fifth of cases during adolescence. In
this prospective cohort study, we performed a descriptive evaluation of
translation and rotation across VDROs in children with neuromuscular disorders
and syndromes by radiostereometric analysis (RSA). We assessed "RSA stability"
and migration across the VDROs. Patients and methods - Children with a
neuromuscular disorder were set up for skeletal corrective surgery of the hip.
RSA follow-ups were performed postoperatively, at 5 weeks, and 3, 6, and 12
months after surgery. Results - 27 femoral VDROs were included; 2 patients were
excluded during the study period. RSA data showed stability across the VDRO in
the majority of cases within the first 5 weeks. At the 1-year follow-up, the mean
translations (SD) of the femoral shaft distal to the VDRO were 0.51 (1.12) mm
medial, 0.69 (1.61) mm superior, and 0.21 (1.28) mm posterior. The mean rotations
were 0.39 degrees (2.90) anterior tilt, 0.02 degrees (3.07) internal rotation,
and 2.17 degrees (2.29) varus angulation. Interpretation - The migration
stagnates within the first 5 weeks, indicating stability across the VDRO in most
patients.
PMID- 27892802
TI - Longitudinal changes and correlations of bioimpedance and anthropometric
measurements in pregnancy: Simple possible bed-side tools to assess pregnancy
evolution.
AB - PURPOSE: The aim of this study was to assess longitudinal changes of bioimpedance
analysis compared with anthropometric measurements in low-risk pregnant woman
recruited in the first trimester and to observe possible differences in these
indices in women who developed high-risk pregnancies. MATERIALS AND METHODS:
Bioimpedance indices for the three trimesters of pregnancies were calculated
separately for uneventful pregnancies delivered of newborns > the 10th centile.
These findings were compared with anthropometric measurements. Data of women who
developed hypertensive disorders of pregnancy (HDP) or delivered SGA newborns
were calculated and compared. RESULTS: Significantly longitudinal increases were
observed in these pregnancies for total body water (TBW), free fat mass, fat
mass, and extra-cellular water. These increases were paralleled body mass index
(BMI), skinfolds, and waist measurements. The correlations between these two sets
of findings were poor. Women who developed HDP with AGA fetuses showed
significantly different bioimpedance from normal cases. TBW indices were highly
significantly different since the first trimester. In pregnancies delivered of
SGA newborns, these indices were opposite of the values observed in patients with
HDP-AGA, TBW in these patients was significantly reduced compared with normal
pregnancies. CONCLUSIONS: The bioelectrical impedance is a fast, simple,
noninvasive way to assess the TBW content in pregnancy. Our findings are in
agreement with the hypothesis that bioimpedance might help to identify early in
gestation patients at risk of developing different clinical phenotypes of
hypertensive disease of pregnancy and SGA fetuses.
PMID- 27892803
TI - Attitudes of Heterosexual Men and Women Toward HIV Negative and Positive Gay Men.
AB - Attitudes of Italian heterosexual men and women toward gay men, both HIV positive
and negative, are poorly investigated. Italian culture is still extremely
conservative and provides limited support to the gay community (e.g., lack of
same-sex marriage recognition). Consequently, gay men experience social exclusion
and disparities. The present study explores the association between homophobia
and closeness with sexual orientation and HIV status. 261 heterosexual Italian
men and women were assessed for feelings of closeness and homophobia after
reading a vignette where the character was C1: heterosexual and HIV negative; C2:
gay and HIV negative; or C3: gay and HIV positive. Experiences of homophobia and
closeness varied depending on gender of participant and condition assigned, and
higher levels of homophobia were correlated with lower levels of closeness
regardless of HIV status. Implications and future directions are discussed.
PMID- 27892804
TI - The form of the story: Measuring formal aspects of narrative activity in
psychotherapy.
AB - OBJECTIVE: We ask which are the clinically relevant qualities of narratives in
psychotherapy and how they can be measured. METHOD: On the background of
psychoanalytic assumptions and narrative theory, we propose to measure formal
narrative processes which stay close to the linguistic surface, because these
escape conscious control. RESULTS: We propose five aspects of narratives to be
especially sensitive to distortions and therefore prone to change in successful
therapies: (1) The actual chronological, stepwise narrating of events, (2) the
intentional structuring of events, or emplotment, (3) the immediate evaluation,
(4) the reflected interpretation of events, and finally (5) the consistency and
completeness of the narrative. For each aspect we discuss ways to measure them.
Finally the aspects are illustrated with excerpts from a series of diagnostic
interviews. DISCUSSION: Implications for the analysis of the co-narrative role of
the therapist are suggested.
PMID- 27892805
TI - Addressing the needs of Nicaraguan older adults living on the edge: A university
community partnership in international service-learning.
AB - Nicaragua is a very low-income country entering a period of rapid aging with
limited geriatric training for health care professionals. To help build capacity
and to enhance student learning, a short-term international service-learning
program was implemented in 2004 in partnership with the Jessie F. Richardson
Foundation and Nicaraguan community stakeholders. Graduate and undergraduate
students at Portland State University complete coursework for one term in the
United States then travel to Nicaragua for about two weeks to participate in
educational, research, and service activities, primarily in group homes for older
Nicaraguans. Students learn about global aging, gerontology, community
development, service learning, and Nicaraguan history and culture, then apply
their gerontology-related knowledge by training direct care staff, older adults
and their family members, and students. The authors describe the impetus for and
evolution of the program, students' evaluation of the program, faculty
observations on program benefits and challenges, lessons learned, and future
plans.
PMID- 27892806
TI - Wisconsin Card Sorting Test embedded validity indicators developed for adults can
be extended to children.
AB - Past studies have examined the ability of the Wisconsin Card Sorting Test (WCST)
to discriminate valid from invalid performance in adults using both individual
embedded validity indicators (EVIs) and multivariate approaches. This study is
designed to investigate whether the two most stable of these indicators-failures
to maintain set (FMS) and the logistical regression equation S-BLRE-can be
extended to pediatric populations. The classification accuracy for FMS and S-BLRE
was examined in a mixed clinical sample of 226 children aged 7 to 17 years (64.6%
male, MAge = 13.6 years) against a combination of established performance
validity tests (PVTs). The results show that at adult cutoffs, FMS and S-BLRE
produce an unacceptably high failure rate (33.2% and 45.6%) and low specificity
(.55-.72), but an upward adjustment in cutoffs significantly improves
classification accuracy. Defining Pass as <2 and Fail as >=4 on FMS results in
consistently good specificity (.89-.92) but low and variable sensitivity (.00
.33). Similarly, cutting the S-BLRE distribution at 3.68 produces good
specificity (.90-.92) but variable sensitivity (.06-.38). Passing or failing FMS
or S-BLRE is unrelated to age, gender and IQ. The data from this study suggest
that in a pediatric sample, adjusted cutoffs on the FMS and S-BLRE ensure good
specificity, but with low or variable sensitivity. Thus, they should not be used
in isolation to determine the credibility of a response set. At the same time,
they can make valuable contributions to pediatric neuropsychology by providing
empirically-supported, expedient and cost-effective indicators to enhance
performance validity assessment.
PMID- 27892807
TI - The effect of early life stress on the cognitive phenotype of children with an
extra X chromosome (47,XXY/47,XXX).
AB - Studies on gene-environment interactions suggest that some individuals may be
more susceptible to life adversities than others due to their genetic profile.
This study assesses whether or not children with an extra X chromosome are more
vulnerable to the negative impact of early life stress on cognitive functioning
than typically-developing children. A total of 50 children with an extra X
chromosome and 103 non-clinical controls aged 9 to 18 years participated in the
study. Cognitive functioning in domains of language, social cognition and
executive functioning were assessed. Early life stress was measured with the
Questionnaire of Life Events. High levels of early life stress were found to be
associated with compromised executive functioning in the areas of mental
flexibility and inhibitory control, irrespective of group membership. In
contrast, the children with an extra X chromosome were found to be
disproportionally vulnerable to deficits in social cognition on top of executive
dysfunction, as compared to typically-developing children. Within the extra X
group the number of negative life events is significantly correlated with more
problems in inhibition, mental flexibility and social cognition. It is concluded
that children with an extra X chromosome are vulnerable to adverse life events,
with social cognition being particularly impacted in addition to the negative
effects on executive functioning. The findings that developmental outcome is
codependent on early environmental factors in genetically vulnerable children
also underscores opportunities for training and support to positively influence
the course of development.
PMID- 27892809
TI - Civil capacity in transition-age youth with history of central nervous system
compromise: a review.
AB - OBJECTIVE: The purpose of this paper is to review various aspects of decision
making capacities in children and adolescents with a history of central nervous
system compromise over the course of development and into transition to
adulthood. METHOD: The literature on consent capacity in various domains is
reviewed, with reference to state-specific legal definitions and requirements,
and illustrated with a case example. CONCLUSIONS: Neuropsychologists who use an
evidence-based assessment approach, and who can clearly communicate their
findings in reference to specific probate court standards, can make a unique
contribution to the legal system while serving their clients who are
transitioning from adolescence into adulthood.
PMID- 27892808
TI - Self-reported impulsivity in Huntington's disease patients and relationship to
executive dysfunction and reward responsiveness.
AB - INTRODUCTION: Few studies have directly investigated impulsivity in Huntington's
disease (HD) despite known changes in dopaminergic and frontal functioning,
changes that have been associated with impulsivity in other disorders and in the
normal population. This study sought to further categorize impulsivity in HD
through examining differences in self-reported impulsivity between community
controls and HD patients, the relationship between executive dysfunction and
impulsivity, and the relationship of a reward/punishment behavioral inhibition
task in relation to these self-report measures. It was expected that HD patients
would report higher impulsivity and executive dysfunction and that these measures
would relate to a reward/punishment behavioral inhibition task. METHOD: The
Barratt Impulsivity Scale (BIS-11) and Behavioral Inhibition/Behavioral
Activation Scale (BIS/BAS) were completed, and the Mini-Mental State Examination
(MMSE) and a reward-based flanker task with punishing and rewarding conditions
were administered to 22 HD patients and 14 control participants. RESULTS: HD
patients reported higher trait impulsivity (BIS-11) and executive dysfunction
(Frontal Systems Behavior Scale, FrSBE) but not increased impulsivity on the
BIS/BAS relative to controls. Higher BIS-11 scores were related to increased self
reported executive dysfunction and the attention/working memory factor of the
MMSE. On a reward/punishment behavioral inhibition task, BAS was uniquely related
to increased accuracy on rewarding trials of the flanker task, but was not
related to punishing trials in HD patients. CONCLUSIONS: The relationships found
suggest that trait impulsivity is reported higher in HD and may not be driven by
altered reward evaluation and the appetitive nature of stimuli but rather by
increased executive dysfunction and lack of sensitivity to punishment.
Impulsivity in HD may represent a combination of trait impulsivity, altered
dopaminergic circuitry, and executive dysfunction. Understanding impulsivity in
HD is important as it is related to increased risk to the patient and difficult
behaviors for the caregiver, and sheds light on the disease process.
PMID- 27892811
TI - Simultaneous determination of glycyrrhizin and liquiritin in licorice roots and
Kampo medicines by combination enzyme-linked immunosorbent assay using anti
glycyrrhizin and anti-liquiritin monoclonal antibodies.
AB - Immunoassay systems using monoclonal antibodies (mAbs) are one of the most useful
techniques in the analytical, biochemical, and clinical fields. In this study, a
combination enzyme-linked immunosorbent assay (ELISA) using both anti
glycyrrhizin and anti-liquiritin mAbs (anti-GL/Liq mixture mAbs) was developed
for quality control of licorice and its products. The combination ELISA
demonstrated high sensitivity, reproducibility, and specificity for the total
content of GL and Liq by a single assay. The developed ELISA was effective and
useful as the first screening method in the selection of high-quality licorice
from the Glycyrrhiza species and in confirming the quality of licorice-containing
Kampo medicines.
PMID- 27892812
TI - Satisfaction of Nigerian stroke survivors with outpatient physiotherapy care.
AB - OBJECTIVE: To investigate the satisfaction of stroke survivors with outpatient
physiotherapy care. METHODS: Sixty stroke survivors were surveyed using the
European Physiotherapy Treatment Outpatient Satisfaction Survey (EPTOPS). Focus
group discussion (FGD) was also conducted with four stroke survivors from the
same sample. Data were analyzed using the Kruskal Wallis test and Spearman's
correlation coefficients at p = 0.05. FGD was transcribed and thematically
analyzed. RESULTS: Nearly all the participants (98.3%) indicated one of good,
very good, and excellent improvement in their clinical conditions with
physiotherapy. Majority expressed satisfaction with their physiotherapy care, the
modal response being very good (59.3%). Patients' satisfaction and socio
demographics were not significantly correlated (p > 0.05). Overarching themes
from FGD were physiotherapy in stroke rehabilitation, satisfaction with
physiotherapy care, cost, and lack of continuity of care as sources of
dissatisfaction. Physiotherapists' demeanor was a facilitator of satisfaction.
CONCLUSION: The stroke survivors were generally satisfied with outpatient
physiotherapy care. However, lack of continuity and cost of care were sources of
dissatisfaction among patients. Delivery of physiotherapy to stroke survivors in
Nigeria should be structured to allow for continuity of care as this may enhance
satisfaction. Implementation of inexpensive rehabilitation strategies may help
reduce cost of physiotherapy.
PMID- 27892814
TI - A new route of bioaugmentation by allochthonous and autochthonous through biofilm
bacteria for soluble chemical oxygen demand removal of old leachate.
AB - Landfill leachate contains environmental pollutants that are generally resistant
to biodegradation. In this study, indigenous and exogenous bacteria in leachate
were acclimated in both biofilm and suspension forms to increase the removal of
soluble chemical oxygen demand (SCOD). The bacteria from the leachate and sewage
were acclimated to gradually increasing leachate concentration prepared using a
reverse osmosis membrane over 28 days. The SCOD removal was measured aerobically
or nominally anaerobically. Biofilms were prepared using different carrier media
(glass, rubber, and plastic). The maximum SCOD removal in suspensions was 32%
(anaerobic) and in biofilms was 39% (aerobic). In the suspension form, SCOD
removal using acclimated bacteria from leachate and sewage anaerobically
increased in comparison with the control (P < .05). In the biofilm form, the
aerobic condition and the use of acclimated bacteria from leachate and sewage
increased the removal efficiency of SCOD in comparison with other biofilm groups
(P < .05). Three species of bacteria, including Bacillus cereus, Bacillus
subtilis, and Pseudomonas aeruginosa were identified in the biofilm from leachate
and sewage. Bioaugmentation technology using biofilms and acclimations can be an
effective, inexpensive, and simple way to decrease SCOD in old landfill leachate.
PMID- 27892813
TI - Thinking about social and nonsocial alternative possibilities in premature
preschoolers.
AB - INTRODUCTION: Prematurely born preschoolers show developmental cognitive delay
compared to full-term children. There are important neurological networks
developing at preschool age related to perspective taking about the attribution
of belief and to deduction with contrary-to-fact situations. Other deductive
abilities may be completed during that period. METHOD: A group of very
prematurely born children (N = 35) aged between 4 and 5 years was compared with a
control group of children born at full term (N = 35). They completed different
cognitive tasks that required making inferences about possible true facts and
false facts, and about others' beliefs. RESULTS: Results showed that preterm
children had more difficulties with false beliefs and counterfactual tasks than
the controls but they did not differ in equivalent deductive tasks. CONCLUSIONS:
We discuss the possible difficulties of preterm children when they first reach
primary school age, not only with social perspective taking, but also with
considering nonsocial contrary-to-fact alternatives. Prematurity is not a
syndrome, but could be a risk condition. Therefore, these results are relevant in
the field of differential diagnosis-in particular, for children with difficulties
in perspective taking, a condition with which children born prematurely could
share some characteristics.
PMID- 27892815
TI - Monitoring trihalomethanes and nitrogenous disinfection by-products in blending
desalinated waters using solid-phase microextraction and gas chromatography.
AB - A simple and efficient method has been developed for the extraction and
determination of 16 common volatile halogenated disinfection by-products (DBPs)
(four trihalomethanes, six haloacetonitriles, and six halonitromethanes) in
blending desalinated waters, using headspace solid-phase microextraction and gas
chromatography with flame ionization detector (HS-SPME/GC-FID). After the
optimization using factorial designs of the HS-SPME parameters (optimum:
carboxen/polydimethylsiloxane such as fiber, extraction time of 60 min at 30
degrees C, pH 7, addition of 40% (w/v) of sodium chloride, and desorption time of
2 min at 250 degrees C), quantification limits ranged from 3.03 to 40.8 ug L-1,
and relative standard deviation (inter-day) were lower than 9.7% for all the
target DBPs. Adequate relative recoveries (with the exception of
chloronitromethane) were obtained even when spiking waters at low levels (25 ug L
1), with values between 83.1% and 119% for ultrapure water, and between 87.4% and
115% for blending desalinated waters, supporting in this way the applicability of
the method. The influence of various dechlorinating agents on the stability of 16
DBPs in water was evaluated, with ammonium chloride being the most suitable
inhibitor of residual chlorine and carrying out the analytical determination of
DBPs within 48 h after sampling. Different blending desalinated water samples
collected in the South of Tenerife Island (Spain) were successfully analyzed.
PMID- 27892816
TI - Optimization of the pretreatment of wastewater from a slaughterhouse and packing
plant through electrocoagulation in a batch reactor.
AB - The purpose of this study is to evaluate the removal of chemical oxygen demand
(COD), turbidity and color of wastewater from a pig slaughterhouse and packing
plant through the electrochemical technique and to optimize the DeltaV (electric
potential difference) and HRT (hydraulic retention time) variables in an
electrocoagulation batch reactor using aluminum electrodes. The experimental
design used was rotatable central composite design. For turbidity, the values for
removal efficiency obtained varied from 92.85% to 99.28%; for color, they varied
from 81.34% to 98.93% and for COD, they varied from 58.61% to 81.01%. The best
optimized conditions of treatment were at 25 min for the HRT and 25 V for the
DeltaV, which correspond to electrical current of 1.08 A and a current density of
21.6 mA cm-2. The aluminum residue varied from 15.254 to 54.291 mg L-1 and the
cost of the treatment was US$4.288 m-3. The novelty of the work was the
simultaneous optimization of three response variables using the desirability
function applied to the treatment of wastewater from slaughterhouses.
PMID- 27892817
TI - Creating the medical school of the future through incremental curricular
transformation: the Stanford Healthcare Innovations and Experiential Learning
Directive (SHIELD).
PMID- 27892818
TI - Interaction of stattic, a STAT3 inhibitor with human serum albumin: spectroscopic
and computational study.
PMID- 27892819
TI - Fear generalisation in individuals with high neuroticism: increasing
predictability is not necessarily better.
AB - Fear generalisation, a process by which conditioned fear spreads to similar but
innocuous stimuli, is key in understanding why some individuals feel unsafe in
objectively non-threatening situations. Both trait neuroticism and lack of
predictability about the likelihood of feared consequences are associated with
negative affect in the face of ambiguity and may increase the degree to which
fear generalises. Undergraduates (N = 129) with varying degrees of neuroticism
were randomised to either high- or low-instructional predictability conditions
prior to fear acquisition. A fear generalisation test measured risk ratings and
attentional bias on a modified dot-probe paradigm. Among individuals with higher
neuroticism, providing instructional predictability did not reduce fear; these
individuals reported higher risk and increased attentional bias toward ambiguous
stimuli. Overall, for individuals with higher neuroticism, predictability
information hurt rather than helped interpretation of ambiguous stimuli,
challenging a common conceptualisation of predictability as a factor that reduces
fear.
PMID- 27892820
TI - Addressing adolescents' risk and protective factors related to risky behaviours:
Findings from a school-based peer-education evaluation in the Western Cape.
AB - BACKGROUND: Peer-education programmes aim to bring about attitudinal and
behavioural changes in their target audience. In the South African educational
context, peer education is a favoured approach in dealing with issues such as HIV
and AIDS, sexual decision-making and substance misuse. Given the reliance on peer
education programmes in the educational system, it is important to establish how
well they are working. This study aims to assess the effect of an extensive,
structured, time-limited, curriculum-based, peer-led educational programme on
first-year high school learners in public schools in the Western Cape Province of
South Africa. METHOD: The curriculum called 'Listen Up' addresses issues such as
supporting peers, sexual decision-making, healthy relationships, HIV risk,
alcohol misuse and unwanted pregnancy in seven structured sessions. The programme
targeted adolescents in Grade 8 growing up in what are considered to be risky
environments in public schools in the Western Cape during 2012 and 2013. The
intervention was evaluated based on 10 scales sourced from published literature
related to the outcome indicators of future orientation, sensation-seeking, self
efficacy in sexual relations, HIV transmission knowledge, HIV prevention
knowledge, HIV attitudes, sexual attitudes, decision-making, healthy
relationships and social support. Descriptive statistics were used to analyse
demographic and community characteristics and analyses of variance were used to
detect differences between groups. The surveys were administered to a total of
7709 learners across three waves of the study in 27 peer intervention schools and
eight control schools. RESULTS: Immediately post intervention, statistically
significant differences were noted for the intervention schools when compared to
their baseline levels on measures of future orientation, self-efficacy in sexual
relations, knowledge regarding HIV transmission, knowledge regarding HIV
prevention and knowledge in terms of healthy relationships. Comparing baseline
values with results collected between five and seven months post intervention,
statistically significant results were noted for self-efficacy in sexual
relations and knowledge regarding HIV transmission. CONCLUSION: The findings of
this study suggest that peer-education can improve adolescents' self-efficacy in
sexual relations as well as knowledge regarding the transmission of HIV and
therefore can contribute to the prevention of HIV transmission among adolescents.
PMID- 27892821
TI - Self-regulation upon return to driving after traumatic brain injury.
AB - The aim of this study was to explore self-reported driving habits and the factors
associated with these within the first three months of return to driving
following traumatic brain injury (TBI). Participants included 24 individuals with
moderate to severe TBI (post-traumatic amnesia duration M = 33.26, SD = 29.69
days) and 28 healthy age, education, and gender-matched controls who completed an
on-road assessment. Driving frequency and avoidance questionnaires were
administered to assess premorbid driving, anticipated driving upon resuming, and
driving at three months post-assessment. There were no differences between groups
for premorbid driving frequency or avoidance. Individuals with TBI anticipated
greater reductions in driving frequency, t(29.57) = -3.95, p < .001, and
increases in avoidance, U = 171.00, z = -2.69, p < .01. On follow up, significant
reductions in frequency, t(48) = -3.03, p < .01, but not avoidance, U = 239.00,
z = -1.35, p = .18, were observed. Females were more likely to reduce their
driving frequency, rs = -.43, p < .05, while increased anxiety was associated
with increased avoidance r = .63, p < .05, and reduced frequency r = -.43, p <
.05. It was concluded that individuals with TBI anticipated changes in their
driving habits upon return to driving, indicating an expectation for post-injury
changes to their driving lifestyle. On follow up, many of these intended changes
to driving habits, particularly in relation to driving frequency, were reported
by individuals with TBI, suggestive of some strategic self-regulation.
PMID- 27892822
TI - The effect of manipulated information about premenstrual changes on the report of
positive and negative premenstrual changes.
AB - Although women predominantly report negative premenstrual changes, a substantial
portion of women also reports positive changes. Little is known about factors
related to report of positive and negative premenstrual changes. The aim of this
experimental study at the Philipps-University of Marburg from January and
February 2015 was to investigate the effect of manipulated information about
premenstrual changes on the retrospective report of premenstrual changes. A total
of 241 healthy women were randomly assigned either to an experimental group (EG)
reading: (1) text focusing on negative and positive premenstrual changes (EG1 (+/
)); (2) text focusing on negative changes (EG2 (-)); or (3) control group (CG)
text. At least one positive premenstrual change was reported by the majority of
the participating women. The results of the MANOVA and discriminant analysis
showed that, after having read the text, EG2 (-) reported more negative and fewer
positive premenstrual changes in a retrospective screening compared to EG1 (+/-)
and CG. No significant difference was observed between EG1 (+/-) and CG. The
results show the negative influence of information focusing on negative
premenstrual changes on the retrospective report of both negative and positive
premenstrual changes.
PMID- 27892823
TI - Changes in joint range of motion and muscle-tendon unit stiffness after varying
amounts of dynamic stretching.
AB - The purpose of this study was to examine the effects of varying amounts of
dynamic stretching (DS) on joint range of motion (ROM) and stiffness of the
muscle-tendon unit (MTU). Fifteen healthy participants participated in four
randomly ordered experimental trials, which involved one (DS1), four (DS4) and
seven (DS7) sets of DS, or control conditions/seated at rest (CON). Each DS set
consisted of 15 repetitions of an ankle dorsiflexion-plantarflexion movement. The
displacement of the muscle-tendon junction (MTJ) was measured using
ultrasonography while the ankle was passively dorsiflexed at 0.0174 rad . s-1 to
its maximal dorsiflexion angle. Passive torque was also measured using an
isokinetic dynamometer. Ankle ROM was significantly increased after DS4 and DS7
compared with the pre-intervention values (P < 0.05), but there were no
significant differences in ankle ROM between DS4 and DS7. No differences were
observed in ankle ROM after DS1 and CON. In addition, the stiffness of the MTU,
passive torque and displacement of the MTJ at submaximal dorsiflexion angles did
not change in any of the experimental conditions. These results indicate that DS4
increased ankle ROM without changing the mechanical properties of the MTU, and
that this increase in ankle ROM plateaued after DS4.
PMID- 27892824
TI - Experiences of Korean mothers of children with cancer: A Photovoice study.
AB - Using Photovoice, a participatory action research methodology, we investigated
Korean mothers' lives postdiagnosis of their child with cancer. Photovoice was
used to understand the mothers' perceptions of how they have adapted to their
children's illnesses. Five mothers of children with cancer participated in five
sessions of the Photovoice project, during which they took and shared photographs
and narratives about their experiences and joined weekly group discussions on
their selected themes. The following themes and subthemes emerged: "What I would
like to do (taking a break, socializing with friends, spending time with other
family members, developing my career)," "My child and food (whatever my child
wants to eat, love of family)," "My days for my child (doing what my child wants
to do, being a playmate, changing for my child)," and "Power sources for me
(family, courage of children, mom is strong, hope)." Having a child with cancer
greatly affects the mother's social and work lives as well as emotional well
being. Services and programs such as respite care, parenting education, and
psychological support are recommended based on the study findings.
PMID- 27892825
TI - Strange Bedfellows: Anachronisms, Identity Politics, and the Queer Case of Trans.
AB - This article explores trans identities, as articulated within a few historical
texts. From early literary depictions of gender difference, to medicalized
conceptions of transsexualism, to a proliferation of trans and queer identities
represented by an ever-expanding "alphabet soup" of identity labels, our
understandings of identities, sexualities, and queer community-building continue
to change. I use the notion of "kind-making," as elaborated on in the work of Ian
Hacking, to illustrate that some queer and trans identifications are affiliative,
whereas others are contrastive or oppositional in nature, and these structural
differences have important implications with respect to understanding identity
and sexuality, and also trans inclusion within LGBT communities and activist
efforts.
PMID- 27892826
TI - Assessing factors associated with HIV testing among adolescents in Malawi.
AB - Despite being at high risk of HIV/AIDS, most young people do not know their HIV
status. Using survey data (n = 2428) and applying multilevel models, this paper
assesses factors associated with HIV testing among adolescents in Northern
Malawi. The results show that among both boys (OR = 0.39) and girls (OR = 0.47),
orphan status is associated with low likelihood of HIV testing. Correct knowledge
about HIV/AIDS (OR = 2.55) and having secondary education (OR = 3.24) are
associated with HIV testing among boys and girls, respectively. At the household
level, living in a household whose head has secondary or higher education is
positively associated with testing for boys (OR = 2.63), while residing together
with biological siblings predicts higher odds of testing (OR = 2.67) for girls.
Notably, orphaned girls' disadvantage regarding HIV testing loses significance
when residential arrangement is controlled. At the community level, having HIV
testing facility (OR = 2.70) or post-test club (OR = 1.40) is positively
associated with HIV testing for boys, while girls from areas where religious
leaders hold judgmental views about HIV/AIDS are less likely (OR = 0.45) to test.
These findings suggest that efforts to scale up HIV testing among youth could
benefit greatly from an understanding of how individual and community factors
operate to influence adolescents to know their sero-status.
PMID- 27892828
TI - Qualitative and quantitative analysis of monomers in polyesters for food contact
materials.
AB - Polyesters (PESs) are gaining more importance on the food contact material (FCM)
market and the variety of properties and applications is expected to be wide. In
order to acquire the desired properties manufacturers can combine several FCM
approved polyvalent carboxylic acids (PCAs) and polyols as monomers. However,
information about the qualitative and quantitative composition of FCM articles is
often limited. The method presented here describes the analysis of PESs with the
identification and quantification of 25 PES monomers (10 PCA, 15 polyols) by HPLC
with diode array detection (HPLC-DAD) and GC-MS after alkaline hydrolysis.
Accurate identification and quantification were demonstrated by the analysis of
seven different FCM articles made of PESs. The results explained between 97.2%
and 103.4% w/w of the polymer composition whilst showing equal molar amounts of
PCA and polyols. Quantification proved to be precise and sensitive with
coefficients of variation (CVs) below 6.0% for PES samples with monomer
concentrations typically ranging from 0.02% to 75% w/w. The analysis of 15 PES
samples for the FCM market revealed the presence of five different PCAs and 11
different polyols (main monomers, co-monomers, non-intentionally added substances
(NIAS)) showing the wide variety of monomers in modern PESs. The presented method
provides a useful tool for commercial, state and research laboratories as well as
for producers and distributors facing the task of FCM risk assessment. It can be
applied for the identification and quantification of migrating monomers and the
prediction of oligomer compositions from the identified monomers, respectively.
PMID- 27892827
TI - Rationale, Procedures, and Response Rates for the 2015 Administration of NCI's
Health Information National Trends Survey: HINTS-FDA 2015.
AB - The National Cancer Institute (NCI) developed the Health Information National
Trends Survey (HINTS) to monitor population trends in cancer communication
practices, information preferences, health risk behaviors, attitudes, and cancer
knowledge. The U.S. Food and Drug Administration (FDA) recognized HINTS as a
unique data resource for informing its health communication endeavors and
partnered with NCI to field HINTS-FDA 2015. HINTS-FDA 2015 was a self
administered paper instrument sent by mail May 29 to September 8, 2015, using a
random probability-based sample of U.S. postal addresses stratified by county
level smoking rates, with an oversampling of high and medium-high smoking strata
to increase the yield of current smokers responding to the survey. The response
rate for HINTS-FDA 2015 was 33% (N = 3,738). The yield of current smokers (n =
495) was lower than expected, but the sampling strategy achieved the goal of
obtaining more former smokers (n = 1,132). Public-use HINTS-FDA 2015 data and
supporting documentation have been available for download and secondary data
analyses since June 2016 at http://hints.cancer.gov . NCI and FDA encourage the
use of HINTS-FDA for health communication research and practice related to
tobacco-related communications, public knowledge, and behaviors as well as
beliefs and actions related to medical products and dietary supplements.
PMID- 27892829
TI - Understanding the Sexual Satisfaction of Women With Provoked Vestibulodynia and
Their Partners: Comparison With Matched Controls.
AB - Provoked vestibulodynia (PVD)-a recurrent, localized vulvar pain-interferes with
couples' sexual relationships as evidenced by lower sexual satisfaction compared
to controls. Little is known about what components of sexual satisfaction
contribute to this lower satisfaction. Using the Interpersonal Exchange Model of
Sexual Satisfaction (IEMSS), we compared the sexual exchanges (sexual rewards and
costs, relative sexual rewards and costs, balance of sexual rewards and costs,
balance of relative sexual rewards and costs, equality of sexual rewards and
costs) and sexual satisfaction of 50 women with PVD and their male partners to 50
matched-control couples. We also compared women with PVD and their partners on
these same components. Participants completed standardized measures of sexual
exchanges and sexual satisfaction. Women with PVD and their partners reported
lower relative sexual rewards, a less favorable balance of relative sexual
rewards to costs, and lower sexual satisfaction than controls, although
differences were larger for women. Women with PVD also reported lower levels of
sexual rewards, higher levels of sexual costs, a less favorable balance of sexual
rewards to costs, and lower equality of sexual costs, than control women.
Findings identify IEMSS exchange components that may contribute to overall lower
satisfaction in couples affected by PVD.
PMID- 27892830
TI - Risk assessment of plant food supplements and other herbal products containing
aristolochic acids using the margin of exposure (MOE) approach.
AB - After the incidences of induction of aristolochic acid nephropathy after
consumption of herbal weight loss preparations that accidentally contained
aristolochic acids (AAs), several countries defined national restrictions on the
presence of AAs in food, including plant food supplements (PFS) and herbal
products. This study investigates whether the risks associated with exposure to
AAs via PFS and herbal products are at present indeed negligible. Data reported
in literature on AA levels in PFS and other herbal products and also obtained
from a new series of PFS in the present study were used to calculate the
estimated daily intakes (EDIs) and corresponding margins of exposure (MOEs).
Available literature data revealed that 206 out of 573 samples were found to
contain aristolochic acid I (AAI) and/or aristolochic acid II (AAII). The results
obtained from recently collected PFS revealed that both AAI and AAII were
detected in three out of 18 analysed PFS at levels up to 594.8 and 235.3 ug g-1,
respectively, being in line with the levels reported in literature. The EDIs
resulting from intake of these PFS resulted in MOEs that were generally below
10,000, corroborating the priority for risk management. Although these results
refer to PFS collected by targeted sampling strategies, the data reveal that AA
containing PFS are still freely available. When considering that the use of these
samples may be limited to shorter periods of time, the EDIs might be lower, but
MOE values would still be lower than 10,000 for more than 50% of the AA
containing PFS and herbal products. In conclusion, the presence of AAs in PFS and
herbal products even several years after instalment of the legal restrictions
still raises concern, especially for people who frequently use the respective PFS
and herbal products.
PMID- 27892831
TI - Who's Afraid of the Beast in the Jamesian Closet?
AB - Building on the Foucauldian insight that sexuality is a discourse and thereby
refusing to be chained to the Freudian repressive hypothesis, this article aims
to ascertain how the closet is made and how the homosexual comes to be seen in
the act of reading the literary text "The Beast in the Jungle," written by Henry
James. It will examine the power relationships between the characters and between
the narrator and the reader, surrounding the protagonist's sexual secret, which
is linked to fear.
PMID- 27892832
TI - Pushing and pulling: an assessment tool for occupational health and safety
practitioners.
AB - A tool has been developed for supporting practitioners when assessing manual
pushing and pulling operations based on an initiative by two global companies in
the manufacturing industry. The aim of the tool is to support occupational health
and safety practitioners in risk assessment and risk management of pushing and
pulling operations in the manufacturing and logistics industries. The tool is
based on a nine-multiplier equation that includes a wide range of factors
affecting an operator's health risk and capacity in pushing and pulling. These
multipliers are based on psychophysical, physiological and biomechanical studies
in combination with judgments from an expert group consisting of senior
researchers and ergonomists. In order to consider usability, more than 50
occupational health and safety practitioners (e.g., ergonomists, managers, safety
representatives and production personnel) participated in the development of the
tool. An evaluation by 22 ergonomists supports that the push/pull tool is user
friendly in general.
PMID- 27892833
TI - A mega-analysis of memory reports from eight peer-reviewed false memory
implantation studies.
AB - Understanding that suggestive practices can promote false beliefs and false
memories for childhood events is important in many settings (e.g.,
psychotherapeutic, medical, and legal). The generalisability of findings from
memory implantation studies has been questioned due to variability in estimates
across studies. Such variability is partly due to false memories having been
operationalised differently across studies and to differences in memory induction
techniques. We explored ways of defining false memory based on memory science and
developed a reliable coding system that we applied to reports from eight
published implantation studies (N = 423). Independent raters coded transcripts
using seven criteria: accepting the suggestion, elaboration beyond the
suggestion, imagery, coherence, emotion, memory statements, and not rejecting the
suggestion. Using this scheme, 30.4% of cases were classified as false memories
and another 23% were classified as having accepted the event to some degree. When
the suggestion included self-relevant information, an imagination procedure, and
was not accompanied by a photo depicting the event, the memory formation rate was
46.1%. Our research demonstrates a useful procedure for systematically combining
data that are not amenable to meta-analysis, and provides the most valid estimate
of false memory formation and associated moderating factors within the
implantation literature to date.
PMID- 27892835
TI - Effect of Adhesive Restoration and Bleaching Technique on the Concentration of
Hydrogen Peroxide In the Pulp Chamber.
AB - This study aimed to quantify the concentration of hydrogen peroxide into the pulp
chamber in the presence or absence of adhesive enamel restorations and to analyze
the resin-dentin interface of bleached groups. Bovine incisors (120) were
randomly divided into three groups according to enamel treatment (n=40 each): (1)
enamel without restoration (control); (2) enamel cavities (3 mm diameter * 1.5 mm
depth) restored with a silorane-based (SB) system; or (3) enamel cavities (3 mm
diameter * 1.5 mm depth) restored with a dimethacrylate-based (DB) system.
Restorations were thermocycled, and all groups were submitted to one application
of 35% hydrogen peroxide (HP) agent for 45 minutes and subjected to four light
activation methods (n=10 each): without light, light-emitting diode (LED),
LED/diode laser, or halogen light. Acetate buffer solution was placed into the
pulp chamber before bleaching, and this solution was collected to
spectrophotometrically determine the concentration of HP that reached the pulp
chamber after bleaching. Rhodamine B was added to the HP agent and applied on
additional enamel samples of each group for 24 hours. Samples were sectioned
mesiodistally, and the bleaching agent was traced using confocal microscopy.
According to two-way analysis of variance and Tukey test (alpha=0.05), the HP
concentration in the pulp chamber of the control group was significantly lower
than that of the SB group (p<0.05), regardless of light activation. No
differences were observed between DB and SB groups and between control and DB
groups, except for the DB halogen light activated group, which exhibited higher
HP intrapulpal concentration (p<0.05). Confocal microscopy exhibited HP diffusion
through the interface of the SB and DB restored groups as well as enamel prisms
in the control group. The SB restorative system increased the HP diffusion into
the pulp chamber, but HP was able to diffuse even in the absence of enamel
restorations.
PMID- 27892836
TI - Comparison of Internal Adaptation in Class II Bulk-fill Composite Restorations
Using Micro-CT.
AB - PURPOSE: This study compared the internal adaptation of bulk-fill composite
restorations in class II cavities and explored the relationship between internal
adaptation and polymerization shrinkage or stress. METHODS AND MATERIALS:
Standardized mesio-occluso-distal cavities were prepared in 40 extracted human
third molars and randomly divided into five groups (n=8). After having been
applied by total-etch XP bond (Dentsply Caulk, Milford, DE, USA) and light
curing, the teeth were restored with the following resin composites: group 1,
Filtek Z350 (3M ESPE, St. Paul, MN, USA); group 2, SDR (Dentsply Caulk, Milford,
DE, USA) + Z350; group 3, Venus Bulk Fill (Heraeus Kulzer, Dormagen, Germany) +
Z350; group 4, Tetric N-Ceram Bulk Fill (Ivoclar Vivadent, Schaan,
Liechtenstein); and group 5, SonicFill (Kerr, West Collins, Orange, CA, USA).
After thermo-mechanical load cycling, cross-sectional microcomputerized
tomography (micro-CT) images were taken. Internal adaptation was measured as
imperfect margin percentage (IM%), which was the percentage of defective margin
length relative to whole margin length. On the micro-CT images, IM% was measured
at five interfaces. Linear polymerization shrinkage (LS) and polymerization
shrinkage stress (PS) were measured on each composite with a custom linometer and
universal testing machine. To explore the correlation of IM% and LS or PS, the
Pearson correlation test was used. RESULTS: The IM% of the gingival and pulpal
cavity floors were inferior to those of the cavity walls. The IM% values of the
groups were found to be as follows: group 5 <= groups 1 and 4 <= group 2 <= group
3. The correlation analysis showed that the p value was 0.006 between LS and IM%
and 0.003 between PS and IM%, indicating significant correlations (p<0.05).
CONCLUSION: Flowable bulk-fill composites had a higher IM% and polymerization
shrinkage stress than did packable bulk-fill and hybrid composites. In class II
composite restoration, the gingival floor of the proximal box and pulpal floor of
the cavity had higher IM% than did the buccal and lingual walls of the proximal
box. LS and PS, which were measured under compliance-allowed conditions, were
significantly related to internal adaptation.
PMID- 27892837
TI - Conservative Anterior Partial Coverage CAD/CAM Restoration.
AB - Computer-aided design and manufacturing technology enables practitioners to
create, in a single appointment, indirect restorations that are esthetic and
functionally unique to the patient's situation. The popular effort to perform
minimally invasive dentistry using digital techniques with chairside milling can
lead dentists to novel individualized restorative treatment. This article
demonstrates a conservative anterior partial coverage restoration, utilizing both
digital technology and chairside ceramic characterization to achieve an optimal
esthetic outcome while preserving healthy tooth structure.
PMID- 27892838
TI - Effect of Bioactive Primers on Bacterial-Induced Secondary Caries at the Tooth
Resin Interface.
AB - Secondary caries at the tooth-resin interface is the primary reason for
replacement of resin composite restorations. The tooth-resin interface is formed
by the interlocking of resin material with hydroxyapatite crystals in enamel and
collagen mesh structure in dentin. Efforts to strengthen the tooth-resin
interface have identified chemical agents with dentin collagen cross-linking
potential and antimicrobial activities. The purpose of the present study was to
assess protective effects of bioactive primer against secondary caries
development around enamel and dentin margins of class V restorations, using an in
vitro bacterial caries model. Class V composite restorations were prepared on 60
bovine teeth (n=15) with pretreatment of the cavity walls with control buffer
solution, an enriched fraction of grape seed extract (e-GSE), 1-ethyl-3-(3
dimethyl aminopropyl)-carbodiimide/N-hydroxysuccinimide, or chlorhexidine
digluconate. After incubating specimens in a bacterial model with Streptococcus
mutans for four days, dentin and enamel were assessed by fluorescence microscopy.
Results revealed that only the naturally occurring product, e-GSE, significantly
inhibited the development of secondary caries immediately adjacent to the dentin
resin interface, as indicated by the caries inhibition zone. No inhibitory
effects were observed in enamel margins. The results suggest that the
incorporation of e-GSE into components of the adhesive system may inhibit
secondary caries and potentially contribute to the protection of highly
vulnerable dentin-resin margins.
PMID- 27892839
TI - Influence of Adhesive Type and Placement Technique on Postoperative Sensitivity
in Posterior Composite Restorations.
AB - PURPOSE: This double blind, randomized clinical trial compared the postoperative
sensitivity of the placement technique (incremental and bulk fill) in posterior
composite resin restorations bonded with two different adhesive strategies (self
etch and etch-and-rinse). METHODS: Posterior dental cavities of 72 participants
(n=236), with a cavity depth of at least 3 mm, were randomly divided into four
groups. The restorations were bonded using either the etch-and-rinse Tetric N
Bond (Ivoclar Vivadent) or the self-etch Tetric N-Bond SE (Ivoclar Vivadent). The
composite resin Tetric N-Ceram Bulk Fill (Ivoclar Vivadent) was placed either
incrementally or using the bulk-fill technique. Two experienced and calibrated
examiners evaluated the restorations using World Dental Federation criteria after
one week of clinical service. Spontaneous postoperative sensitivity was assessed
using a 0-4 numerical rating scale and a 0-10 and 0-100 visual analog scale up to
48 h after the restorative procedure and after one week. RESULTS: The risk
(p>0.49) and intensity of spontaneous postoperative sensitivity (p>0.38) was not
affected by the adhesive strategy or the filling technique. The overall risk of
postoperative sensitivity was 20.3% (95% confidence interval 15.7-25.9) and
typically occurred within 48 hours after the restorative procedure. CONCLUSIONS:
The overall risk of immediate postoperative sensitivity was 20.3% and was not
affected by either the adhesive strategy (etch-and-rinse/self-etch) or the
filling technique (incremental/ bulk).
PMID- 27892840
TI - Adhesive Cementation Promotes Higher Fatigue Resistance to Zirconia Crowns.
AB - OBJECTIVE: The aim of this study was to investigate the influence of the
cementation strategy on the fatigue resistance of zirconia crowns. The null
hypothesis was that the cementation strategy would not affect the fatigue
resistance of the crowns. METHODS AND MATERIALS: Seventy-five simplified molar
tooth crown preparations were machined in glass fiber-filled epoxy resin.
Zirconia crowns were designed (thickness=0.7 mm), milled by computer-aided
design/computer-aided manufacturing, and sintered, as recommended. Crowns were
cemented onto the resin preparations using five cementation strategies (n=15):
ZP, luting with zinc phosphate cement; PN, luting with Panavia F resin cement;
AL, air particle abrasion with alumina particles (125 MUm) as the crown inner
surface pretreatment + Panavia F; CJ, tribochemical silica coating as crown inner
surface pretreatment + Panavia F; and GL, application of a thin layer of
porcelain glaze followed by etching with hydrofluoric acid and silanization as
crown inner surface pretreatment + Panavia F. Resin cement was activated for 30
seconds for each surface. Specimens were tested until fracture in a stepwise
stress fatigue test (10,000 cycles in each step, 600 to 1400 N, frequency of 1.4
Hz). The mode of failure was analyzed by stereomicroscopy and scanning electron
microscopy. Data were analyzed by Kaplan-Meier and Mantel-Cox (log rank) tests
and a pairwise comparison (p<0.05) and by Weibull analysis. RESULTS: The CJ group
had the highest load mean value for failure (1200 N), followed by the PN (1026
N), AL (1026 N), and GL (1013 N) groups, while the ZP group had the lowest mean
value (706 N). Adhesively cemented groups (CJ, AL, PN, and GL) needed a higher
number of cycles for failure than the group ZP did. The groups' Weibull moduli
(CJ=5.9; AL=4.4; GL=3.9; PN=3.7; ZP=2.1) were different, considering the number
of cycles for failure data. The predominant mode of failure was a fracture that
initiated in the cement/zirconia layer. Finite element analysis showed the
different stress distribution for the two models. CONCLUSION: Adhesive
cementation of zirconia crowns improves fatigue resistance.
PMID- 27892841
TI - Impact of the Swap It, Don't Stop It Australian National Mass Media Campaign on
Promoting Small Changes to Lifestyle Behaviors.
AB - Mass media campaigns aimed at influencing lifestyle risk factors are one way that
governments are attempting to address chronic disease risk. In Australia, a
national campaign aimed at encouraging Australians to make changes in lifestyle
related behaviors was implemented from 2008 to 2011. The first phase, Measure Up
(2008-2009), focused on why lifestyle changes are needed by increasing awareness
of the link between waist circumference and chronic disease risk. The second
phase, Swap It, Don't Stop It (2011), emphasized how adults can change their
behaviors. Cross-sectional telephone surveys (after the campaign) were undertaken
in July and November 2011 to evaluate the Swap It, Don't Stop It campaign and
included measures of campaign awareness and lifestyle-related behavior change.
Survey participants (N = 5,097) were similar across the two survey periods.
Prompted campaign awareness was 62% (16% for unprompted awareness); females,
younger respondents (18-44 years), those in paid employment, and those who spoke
English at home were more likely to report prompted/unprompted campaign
awareness. Moreover, 16% of survey respondents reported any swapping behavior in
the previous 6 months, with the majority (14%) reporting only one swap; younger
respondents and those in paid employment were significantly more likely to report
having implemented a swapping behavior. The campaign achieved modest population
awareness but demonstrated limited effect in terms of nudging behaviors. This
evaluation indicates that encouraging swapping behaviors as a prelude to
lifestyle change may not result from a mass media campaign alone; a comprehensive
multicomponent population approach may be required.
PMID- 27892842
TI - Complicated grief after suicide bereavement and other causes of death.
AB - The authors compared baseline demographic characteristics, clinical features, and
grief-related thoughts, feelings, and behaviors of individuals bereaved by
suicide, accident/homicide and natural causes participating in a complicated
grief (CG) treatment clinical trial. Severity of CG and depression and current
depression diagnosis did not vary by loss type. After adjusting for baseline
demographic features, time since death and relationship to the deceased, those
with CG after suicide had the highest rates of lifetime depression, preloss
passive suicidal ideation, self-blaming thoughts, and impaired work and social
adjustment. Even among this treatment-seeking sample of research participants
with CG, suicide survivors may face unique challenges.
PMID- 27892845
TI - Learning Together 1: an educational model for training GPs, paediatricians:
initial findings.
AB - Learning Together is primarily an educational intervention, where paediatric
registrars [SpRs] and General Practice (GP) registrars [GPSTs] see children
together in a primary care setting. Over a six month period in 2013/2014, 44
learning pairs were set up mainly in North East and Central London. Proof of
concept for the model at scale was achieved. Reported learning demonstrated:
clinical learning themes of new knowledge, skill and communication skills; and
collaborative themes of ongoing collaboration, satisfaction with team working and
change in attitudes. These themes were identified in both sets of trainees. The
self-reported learning is backed up by the results of a retrospective notes
review of four common conditions based on NICE guidelines; constipation, asthma,
feverish illness and eczema (CAFE). Guidance adherence improved from 57% before
the intervention in solo GP training consultations to 72% during the joint clinic
intervention (p < 0.01). After the intervention when the GP registrars returned
to normal consultations, guidance adherence was 77% compared to before the
intervention (p < 0.01). In addition 99% of the parents, who handed in feedback
forms or took part in interviews, reported a good experience of care, and 87%
reported increased confidence to manage their children's health following the
consultation. A second, linked article examines the cost utility of Learning
Together in its South London extension.
PMID- 27892844
TI - Identification of B-cell Epitope of Leishmania donovani and its application in
diagnosis of visceral leishmaniasis.
AB - Diagnosis of visceral leishmaniasis (VL) is often hindered by cross-reactions
with antigens from other related parasite infections. This study aimed to develop
an immunochromatographic test (ICT) which can detect the antigen present in
circulating immune complexes (CICs) of VL patients using B-cell epitope-specific
antibodies. MS analysis of six immunoreactive 2DE spots revealed two epitopes
i.e. RFFVQGDGIGQHSLQEALERR (P1) and RRVAVLVLLDRL (P2) (From a hypothetical
protein [Acc No: XP_003861458.1]). The epitope conservancy analysis suggested
that the linear epitope (P1P2) is 97-100% conserved among Leishmania species and
diverged from Homo sapiens (61% query coverage and 80% identity). Further,
immunoinformatics analysis of hydrophilicity and flexibility confirmed the
antigenicity of the peptide fragment. The linear epitope (P1P2) was synthesized
(98% purity) and the purity was confirmed by high-performance liquid
chromatography and MS. The indirect Enzyme linked immunosorbent assay results
confirmed the presence of the corresponding antibody in VL patient's sera but not
in those of healthy and other diseases. The result demonstrated a sensitivity
90%; Se Cl95% (82.16-96.27)% and specificity 100%; Sp Cl95% (84.56-100)% which
indicated the possibility to be used as a diagnostic tool. Sensitivity,
specificity, and diagnostic efficiency of colloidal gold conjugated anti-P1P2
antibody ICT strip was 100, 95.2, and 96.7%, respectively, which is slightly
better as compared to other ICT for VL. Though, our result indicated the utility
of anti-P1P2 antibody to detect CICs epitopes, a large-scale inspection in
endemic and non-endemic area and in different ethnic population is needed for its
validation and authentication.
PMID- 27892846
TI - A Global Overview of Male Escort Websites.
AB - This article details a preliminary dataset of global male escort sites to give
insight into the scale of the online market. We conducted a content analysis of
499 Web sites and also measured traffic to these sites. Our analysis examined the
structural characteristics of escort services, geographical and regulatory
contexts, and resilience of such services. Results suggest that most sites are
independent and not affiliated to escort agencies, and the majority cater to male
escorts soliciting male clients, with a number of sites for female clientele and
couples. These Web sites are dispersed globally, with Asian, European, and South
American countries the major hubs in the market and a small number of large
multinational sites based in the United States and Europe figuring as a major
presence in markets. Although still subject to high levels of regulation in many
parts of the world, the data suggest that male escorting is becoming more visible
in diverse cultural contexts as measured by the number of Web sites appearing in
public spaces.
PMID- 27892847
TI - International adoption from Ethiopia: An overview of the health status at arrival
in Belgium.
AB - BACKGROUND: Ethiopia is a densely populated country with a fast growing economy.
Still socioeconomic and health issues render many children parentless. One
thousand and twenty eight Ethiopian children have been adopted in Belgium from
September 2005 to September 2015. Little has been published about their health
status at arrival. METHODS: Three hundred and fifteen children adopted from
Ethiopia were clinically evaluated at the Institute of Tropical Medicine in
Antwerp from 1 January 2008 until 31 December 2014. Epidemiological and medical
data were collected and analysed retrospectively. RESULTS: Data about 164 boys
and 151 girls with a mean age of three years were analysed. Twenty per cent was
adequately vaccinated, for 66.7% of children these data were absent. About 8.6%
of the children were wasted/thin, 28.9% stunted. Skin abnormalities were seen in
40.3%, especially Tinea capitis. No children tested positive for HIV, syphilis or
hepatitis C. Four children had an acute or chronic hepatitis B (HBV) infection,
eight children had a cured HBV infection. Two children tested positive for
malaria. Active pulmonary tuberculosis was found in six children. Sixty-two per
cent had one or more intestinal parasite. Giardia lamblia (41.9%) and
Blastocystis hominis (27.0%) were most frequently isolated. There is a
statistically relevant association between the number of intestinal parasites and
age at presentation. In this group eosinophilia had a sensitivity of 30.2%, a
specificity of 79.1% for intestinal parasites and a positive likelihood ratio of
1.44 with a negative likelihood ratio of 0.88. CONCLUSION: Apart from the high
prevalence of stunting and intestinal parasites important medical problems were
infrequent. A systematic clinical examination and screening for infectious
diseases remain important to ensure a healthy start of a new life in Belgium.
PMID- 27892848
TI - Phytochemical screening and analgesic profile of the lyophilized aqueous extract
obtained from Chrysobalanus icaco leaves in experimental protocols.
AB - CONTEXT: Chrysobalanus icaco L. (Chrysobalanaceae) has been used for the
treatment of abdominal pain and cramps. OBJECTIVE: Assess the chemical and
pharmacological profile of the lyophilized aqueous extract from C. icaco leaves
(AEC). MATERIALS AND METHODS: Chromatographic methods were used to assess
compounds from AEC. Mice were treated with vehicle (control group) or AEC (100,
200 or 400 mg/kg, p.o.) (group with 7-8 mice) and the analgesic profile was
assessed employing the acetic acid-induced writhing, formalin, hot plate tests
and hyperalgesia induced by carrageenan (CG) or tumour necrosis factor-alpha. The
animal motor performance was assessed using rota-rod and grip strength tests.
RESULTS: The chromatographic profile of AEC demonstrated the presence of
terpenoid compounds. The acute pretreatment with AEC, at all doses, produced a
significant (p < 0.01) inhibition of painful bahaviour (11.4 +/- 3.6; 10.3 +/-
2.8; 11.3 +/- 2.2) when compared to the control group (24.7 +/- 4.7) in acetic
acid-induced writhing test. In the formalin test, AEC were effective in the
second phase (p < 0.01) (57.2 +/- 10.3; 56.3 +/- 9.2; 54.7 +/- 8.9) when compared
to control group (121.9 +/- 18.5). No response was observed in the hot plate
test. The higher dose of AEC produced a significant (p < 0.01 or p < 0.05)
inhibitory effect on the mechanical hyperalgesia test. AEC did not affect the
motor performance of the mice. DISCUSSION: The terpenoids from AEC are known for
its analgesic and anti-inflammatory properties. So, these results corroborate the
experiments using the AEC in inflammatory pain protocols. CONCLUSION: Our results
suggest that AEC act against inflammatory pain.
PMID- 27892849
TI - The impact of acute stress on cognitive functioning: a matter of cognitive
demands?
AB - INTRODUCTION: There is a controversy in the literature whether stress and related
cortisol responses are beneficial or impairing for cognitive functioning.
Conflicting results might be due to individual differences in stress reactivity
and cognitive load of the applied tasks. METHODS: N = 48 participants underwent
the Socially Evaluated Cold Pressor Test and were confronted with the Frankfurter
Aufmerksamkeits-Inventar-2 (FAIR-2) which is a low-load attention task and two
subscales of the Intelligenz-Struktur-Test 2000 R (I-S-T 2000R) as a high-load
reasoning task before and after the stressor. Participants were post hoc divided
into high (stress induced cortisol increase of >=1.5 nmol/l) vs. low-cortisol
responders. RESULTS: Cortisol responders showed an increased attentional
performance in the post-stress condition (eta2 > .14). However, there were
neither stress or responder main effects nor an interaction effect on reasoning
abilities. CONCLUSIONS: Results of the present study show that stress related
changes in cognitive performance are due to individual differences in cortisol
response and the cognitive load of the performed task. Future studies will show
if these results are also valid for alternative cognitive tasks and if they can
be replicated in female participants.
PMID- 27892850
TI - Determination of 16 mycotoxins in vegetable oils using a QuEChERS method combined
with high-performance liquid chromatography-tandem mass spectrometry.
AB - A simple and efficient method for determining multiple mycotoxins was developed
using a QuEChERS (quick, easy, cheap, effective, rugged and safe)-based
extraction procedure in vegetable oils. High-performance liquid chromatography
tandem mass spectrometry (HPLC-MS/MS) was used for the quantification and
confirmation of 16 chemically diversified mycotoxins. Different extraction
procedures were studied and optimised by spiking 16 analytes into blank matrix,
and the extraction with 85% MeCN solution and C18 as cleaning sorbent allowed an
efficient recovery of 72.8-105.8% with RSDs less than 7%. The limit of detection
(LOD) ranged from 0.04 to 2.9 ng g-1. The developed method was finally applied to
screen mycotoxins in 62 vegetable oil samples. Zearalenone (ZEN), aflatoxin B1
(AFB1), aflatoxin B2 (AFB2), aflatoxin G1 (AFG1) and alpha-zearalenol (alpha-ZOL)
were detected, with maximum concentrations of 0.59 (AFG1)-42.5 (ZEN) ng g-1. The
method developed has the advantages of high sensitivity, accuracy and
selectivity, and it can be applied to the target screening of mycotoxins in real
samples.
PMID- 27892851
TI - Lovastatin fails to improve motor performance and survival in methyl-CpG-binding
protein2-null mice.
AB - Previous studies provided evidence for the alteration of brain cholesterol
homeostasis in 129.Mecp2-null mice, an experimental model of Rett syndrome. The
efficacy of statins in improving motor symptoms and prolonging survival of mutant
mice suggested a potential role of statins in the therapy of Rett syndrome. In
the present study, we show that Mecp2 deletion had no effect on brain and reduced
serum cholesterol levels and lovastatin (1.5 mg/kg, twice weekly as in the
previous study) had no effects on motor deficits and survival when Mecp2 deletion
was expressed on a background strain (C57BL/6J; B6) differing from that used in
the earlier study. These findings indicate that the effects of statins may be
background specific and raise important issues to consider when contemplating
clinical trials. The reduction of the brain cholesterol metabolite 24S
hydroxycholesterol (24S-OHC) found in B6.Mecp2-null mice suggests the occurrence
of changes in brain cholesterol metabolism and the potential utility of using
plasma levels of 24S-OHC as a biomarker of brain cholesterol homeostasis in RTT.
PMID- 27892852
TI - Physical basis of large microtubule aster growth.
AB - Microtubule asters - radial arrays of microtubules organized by centrosomes -
play a fundamental role in the spatial coordination of animal cells. The standard
model of aster growth assumes a fixed number of microtubules originating from the
centrosomes. However, aster morphology in this model does not scale with cell
size, and we recently found evidence for non-centrosomal microtubule nucleation.
Here, we combine autocatalytic nucleation and polymerization dynamics to develop
a biophysical model of aster growth. Our model predicts that asters expand as
traveling waves and recapitulates all major aspects of aster growth. With
increasing nucleation rate, the model predicts an explosive transition from
stationary to growing asters with a discontinuous jump of the aster velocity to a
nonzero value. Experiments in frog egg extract confirm the main theoretical
predictions. Our results suggest that asters observed in large fish and amphibian
eggs are a meshwork of short, unstable microtubules maintained by autocatalytic
nucleation and provide a paradigm for the assembly of robust and evolvable
polymer networks.
PMID- 27892854
TI - A comprehensive excitatory input map of the striatum reveals novel functional
organization.
AB - The striatum integrates excitatory inputs from the cortex and the thalamus to
control diverse functions. Although the striatum is thought to consist of
sensorimotor, associative and limbic domains, their precise demarcations and
whether additional functional subdivisions exist remain unclear. How striatal
inputs are differentially segregated into each domain is also poorly understood.
This study presents a comprehensive map of the excitatory inputs to the mouse
striatum. The input patterns reveal boundaries between the known striatal
domains. The most posterior striatum likely represents the 4th functional
subdivision, and the dorsomedial striatum integrates highly heterogeneous,
multimodal inputs. The complete thalamo-cortico-striatal loop is also presented,
which reveals that the thalamic subregions innervated by the basal ganglia
preferentially interconnect with motor-related cortical areas. Optogenetic
experiments show the subregion-specific heterogeneity in the synaptic properties
of striatal inputs from both the cortex and the thalamus. This projectome will
guide functional studies investigating diverse striatal functions.
PMID- 27892855
TI - Application of ethnobotanical repellents and acaricides in prevention, control
and management of livestock ticks: A review.
AB - Ticks transmit at least the same number or even more pathogens than any other
group of blood-feeding arthropods worldwide affecting humans and animals. The eco
friendly control and management of tick vectors in a constantly changing
environment is a crucial challenge. Besides the development of vaccines against
ticks, IPM practices aimed at reducing tick interactions with livestock, emerging
pheromone-based control tools, and few biological control agents, the extensive
employment of acaricides and tick repellents still remain the most effective and
ready-to-use strategies. However, the former is limited by the development of
growing resistances as well as environmental concerns. Exploiting plants and
plant products as sources of effective tick repellents and acaricides represents
a promising strategy. In this scenario, the preservation of ethnobotanical
information on repellent and acaricidal potential of plants is crucial. Here, we
evaluated relevant information published in recent years, focused on plants used
as repellents and acaricides against tick vectors in different regions worldwide.
We selected a total of 238 plant species, which are traditionally used against
ticks by native and local communities of Africa (Kenya, Uganda, Zimbabwe, South
Africa), Europe (Serbia, Macedonia, Romania), Asia (Pakistan, India) and America
(Brazil, Canada), from 56 families. However, only 7 families (i.e. Asteraceae,
Euphorbiaceae, Fabaceae, Lamiaceae, Meliaceae, Apocynaceae and Solanaceae)
represent the major quote (46%) of all plant species. We evaluated the
differences in acaricidal and repellent efficacy of different formulations used.
In the final section, implications arising from the surveyed anti-tick
ethnobotanical knowledge and challenges for its future are discussed.
PMID- 27892856
TI - Co-infection with Mycobacterium bovis does not alter the response to bovine
leukemia virus in BoLA DRB3*0902, genetically resistant cattle.
AB - High proviral load (HPL) profile in bovine leukemia virus infected animals poses
increased risk of transmission, and development of HPL or low proviral load (LPL)
profile may be attributed to host genetics. Genetic resistance and susceptibility
has been mapped to the Major Histocompatibility Complex class II DRB3 gene (BoLA
DRB3). The aim of this work was to determine the effect of Mycobacterium bovis
infection on certain virological and host immunological parameters of BLV
experimental infection. Twenty-six Argentinian Holstein calves carrying the
resistance-associated marker allele BoLA DRB3*0902, susceptibility-associated
marker allele BoLA DRB3*1501, or neutral BoLA DRB3 alleles, exposed to M. bovis
were used. Twenty calves were inoculated with BLV, three were naturally infected
and other three were BLV-negative. Seven from twenty six (27%) of the animals
resulted positive to the PPD test. The proviral load, absolute leukocyte and
lymphocyte counts, time to seroconversion, antibody titer against BLV, and viral
antigen expression in vitro at various times post inoculation were determined and
compared between PPD+ and PPD- animals. From a total of 23 BLV positive animals
(naturally and experimentally infected), 13 (56.5%) developed HPL, and 10 (43.5%)
developed LPL. None of the investigated parameters were affected by infection
with M. bovis. We concluded that the ability of cattle carrying resistance
associated marker to control BLV and to progress towards a LPL phenotype was not
altered by M. bovis co-infection.
PMID- 27892857
TI - Genotyping of German and Austrian Taylorella equigenitalis isolates using
repetitive extragenic palindromic (REP) PCR and pulsed-field gel electrophoresis
(PFGE).
AB - A total of 124 Taylorella (T.) equigenitalis and five T. asinigenitalis field
isolates collected between 2002 and 2014 were available for genotyping using REP-
(repetitive extragenic palindromic) PCR and PFGE (pulsed-field gel
electrophoresis). The study comprised 79 T. equigenitalis field isolates
originating from ten defined breeds of German horses and revealed a spectrum of
five REP (rep-E1-E4, rep-E3a) and 15 PFGE (TE-A1-A9, TE-B1-B3, TE-C, TE-E1, and
TE-E2) genotypes. T. equigenitalis field isolates (n=40) obtained from Austrian
Lipizzaner horses were differentiated into three REP (rep-E1, rep-E3a, and rep
E4) and three PFGE genotypes (TE-A2, TE-A5, and TE-D); those isolated from four
Austrian Trotters belonged to the REP/PFGE genotype rep-E2/TE-A1. Interestingly,
a T. equigenitalis isolate recovered from a Holsteiner stallion living in South
Africa revealed the REP/PFGE genotype rep-E1/TE-A5 which was otherwise
exclusively present in the majority of Austrian Lipizzaner horses in our study.
The type strain included in this study revealed the genotype REP/PFGE rep-E1/TE
F. Six strains of T. asinigenitalis including the type strain were separated into
three REP (rep-A1-A3) and six PFGE genotypes (TA-A1, TA-A2, TA-A3, TA-B, TA-C, TA
D). Overall, the generated REP and PFGE genotypes showed a good correlation,
whereas REP-PCR proved to be a suitable method for molecular epidemiological
screening of T. equigenitalis and T. asinigenitalis isolates that should be
differentiated in detail by genotyping using PFGE.
PMID- 27892858
TI - Longitudinal study of CTX-M ESBL-producing E. coli strains on a UK dairy farm.
AB - The aim of this study was to investigate the bacterial strains and farm
environment that may contribute to the persistence of ESBL-producing E. coli on a
single UK dairy farm. A longitudinal study was conducted comprising 6 visits,
between August and October 2010, followed by a further visit at approximately
69weeks after the initial visit. Faecal and environmental samples were collected
from different parts of the farm. The persistence and extent of faecal shedding
of ESBL E. coli by individual calves was also determined. Twenty two different
PFGE types were identified. Four of these were persistent during the study period
and were associated with serotypes: O98, O55, O141 and O33. The counts suggest
that shedding in calf faeces was an important factor for the persistence of
strains, and the data will be useful for parameterising mathematical models of
the spread and persistence of ESBL strains within a dairy farm.
PMID- 27892859
TI - The identification of potential behavioural indicators of pain in periparturient
sows.
AB - Periparturient pain is a welfare concern and could contribute to piglet losses.
This has led to studies investigating post-farrowing analgesia. A clear reduction
in pain has not been demonstrated, partly due to a lack of pain indicators. This
study quantified behaviours as potential pain indicators (PPIn) in sows: i)
before, during and after farrowing, and ii) 2min before and after piglet births.
Twenty-five sows were observed during and after, and ten pre-farrowing. Behaviour
recorded included: 1) back leg forward (back leg pulled forward and/or in); 2)
tremble (movement as if shivering); 3) back arch (leg(s) stretch forming an
arched back); 4) paw (leg scraped in pawing motion); and 5) tail flick (tail
moved rapidly up and down). Behaviours were analysed using generalized linear
models and Spearman's rank correlations. All PPIn were rare or absent pre
farrowing, highest during farrowing, and back leg forward, tremble and back arch
were greater in the early post-farrowing period. Several significant positive
correlations between PPIn during and post-farrowing were found. Back arch, tail
flick and paw were higher before than after a piglet birth, and were more
frequent earlier in the birth order. Back leg forward and tremble did not differ
before and after births, and tremble increased with birth order. These
behaviours, which were absent or rare pre-farrowing, present during farrowing and
were lower afterwards, and showed consistent individual variation, may be
quantitatively associated with pain. Spontaneous behaviours could be used to test
the efficacy of analgesics or identify sows that may benefit.
PMID- 27892853
TI - Structure of the germline genome of Tetrahymena thermophila and relationship to
the massively rearranged somatic genome.
AB - The germline genome of the binucleated ciliate Tetrahymena thermophila undergoes
programmed chromosome breakage and massive DNA elimination to generate the
somatic genome. Here, we present a complete sequence assembly of the germline
genome and analyze multiple features of its structure and its relationship to the
somatic genome, shedding light on the mechanisms of genome rearrangement as well
as the evolutionary history of this remarkable germline/soma differentiation. Our
results strengthen the notion that a complex, dynamic, and ongoing interplay
between mobile DNA elements and the host genome have shaped Tetrahymena
chromosome structure, locally and globally. Non-standard outcomes of
rearrangement events, including the generation of short-lived somatic chromosomes
and excision of DNA interrupting protein-coding regions, may represent novel
forms of developmental gene regulation. We also compare Tetrahymena's
germline/soma differentiation to that of other characterized ciliates,
illustrating the wide diversity of adaptations that have occurred within this
phylum.
PMID- 27892861
TI - Effects of myxoma virus and rabbit hemorrhagic disease virus on the physiological
condition of wild European rabbits: Is blood biochemistry a useful monitoring
tool?
AB - Myxomatosis and rabbit hemorrhagic disease (RHD) are the major viral diseases
that affect the wild European rabbit (Oryctolagus cuniculus). These diseases
arrived in Europe within the last decades and have caused wild rabbit populations
to decline dramatically. Both viruses are currently considered to be endemic in
the Iberian Peninsula; periodic outbreaks that strongly impact wild populations
regularly occur. Myxoma virus (MV) and rabbit hemorrhagic disease virus (RHDV)
alter the physiology of infected rabbits, resulting in physical deterioration.
Consequently, the persistence and viability of natural populations are affected.
The main goal of our study was to determine if blood biochemistry is correlated
with serostatus in wild European rabbits. We carried out seven live-trapping
sessions in three wild rabbit populations over a two-year period. Blood samples
were collected to measure anti-MV and anti-RHDV antibody concentrations and to
measure biochemical parameters related to organ function, protein metabolism, and
nutritional status. Overall, we found no significant relationships between rabbit
serostatus and biochemistry. Our main result was that rabbits that were
seropositive for both MV and RHDV had low gamma glutamyltransferase
concentrations. Given the robustness of our analyses, the lack of significant
relationships may indicate that the biochemical parameters measured are poor
proxies for serostatus. Another explanation is that wild rabbits might be
producing attenuated physiological responses to these viruses because the latter
are now enzootic in the study area.
PMID- 27892860
TI - Microvascular lesions and changes in cell proliferation and death, and cytokine
expression in the placentas of mice experimentally infected with Equid
Herpesvirus 1.
AB - This study describes the changes observed in the placentas of mice experimentally
infected with an abortigenic strain of EHV-1 at mid-pregnancy and euthanized at
days 3 and 4 post-infection. We analyzed microscopic vascular alterations, cell
proliferation and death by immunohistochemistry, and the expression of IFN-gamma,
TNF-alpha and the IL-10 by qPCR and flow cytometry. Infected mice showed slight
respiratory signs and ruffled fur during the first two days post-infection. Virus
isolation and DNA detection were positive only in the lungs of the infected mice.
Vascular congestion, increase in the labyrinth area, and a significant reduction
in fetal capillary endothelium surface of infected placentas were found. Cell
proliferation was significantly reduced in the infected placentas, whereas the
apoptosis was significantly increased. IL10, TNF and IFN-gamma showed different
expression in the infected placentas and uteri. The effects of EHV-1 during
pregnancy depend on different pathogenic mechanisms in which vascular
alterations, and cell death and proliferation and local cytokine changes are
compromised.
PMID- 27892862
TI - Melamine negatively affects testosterone synthesis in mice.
AB - Several studies have found that melamine causes damage to the testes, epididymis
and sperm. However, few studies have investigated the effect of melamine on the
synthesis of testosterone, which plays an import role in testicular development
and spermatogenesis. In present study, mice were orally administrated with 2, 10
or 50mg/kg of melamine for 28days. In these groups, various abnormalities were
observed including disruption of the seminiferous tubule structure, an increased
necrotic germ cells and sperm abnormalities, and a reduced sperm count. Melamine
exposure also decreased the level of serum testosterone and levels of testicular
StAR, P450scc and 17beta-HSD. In addition, melamine exposure reduced the number
of Leydig cells. Taken together, these results indicate that melamine exposure
reduces the level of testosterone through down-regulation of StAR and
testosterone synthetic enzyme expression and also a decreased number of Leydig
cells. This may further affect testicular development and lead to sperm damage.
PMID- 27892863
TI - Nutrigenomic activity of plant derived compounds in health and disease: Results
of a dietary intervention study in dog.
AB - The study was conducted to investigate the effects of dietary administrations of
four nutraceuticals in dogs. Seventy four dogs were enrolled in the trials, 24
healthy dogs were fed with a control diet (CT) and the experimental groups
received for 60days the same diet supplemented with nutraceuticals, namely
Echinacea angustifolia (EA, 0.10mg/kg live weight as echinacoside; 14 dogs),
Vaccinium myrtillus (VM, 0.20mg/kg live weight as anthocyanidin, 13 dogs),
Curcuma longa (CL, 6.60mg/kg live weight as curcumin, 18 dogs with arthrosis),
and Sylibum marianum (SM, 1.5mg/kg live weight as sylibin, 8 dogs with
hepatopathy). Dogs were weighted at the beginning of study and blood samples were
collected at the beginning (T0) and at the end (T60) of the study. VM
significantly down regulated TNF, CXCL8, NFKB1 and PTGS2 and decreased plasma
ceruloplasmin (CuCp). The activity of EA was evidenced by the significant
decrease of TNF and NFKB1 expression and CuCp levels and by the increase of
plasma Zn. Administration of CL caused a significant decrease of CuCp and
increase of Zn and a down regulation of TNF, CXCL8, NFKB1 and PTGS2,
corroborating the anti-inflammatory action of curcuminoids. After 60days of
treatment with SM, plasma ALT/GPT activity was reduced and paraoxonase was
increased, supporting the antioxidant activity of silymarin, also confirmed by
the significant up regulation of SOD2. Results indicated that nutraceutical
administrations in dogs can be an interesting approach to modulate immune
response in order to improve health condition of animals.
PMID- 27892864
TI - Influence of a low dosage of clopidogrel on platelet function in cats as measured
by the platelet function analyser PFA-100 and the multiplate analyser.
AB - The antiplatelet drug clopidogrel is widely used for prophylaxis of arterial
thromboses in cats in a standard dosage of 18.75mg per cat once daily. The aim of
the study was to verify if a reduced daily dose of 10mg clopidogrel per cat has a
similar antiplatelet effect as the standard dosage. Platelet function was
measured with the platelet function analyser PFA-100(r) and a novel impedance
aggregometer. Suitability of the platelet function analyser was tested in
citrated blood samples of 59 healthy cats and reference ranges were established.
In addition, agonist concentrations for impedance aggregometry were optimised. In
the main experiment two groups of 6 healthy cats received clopidogrel either in a
dosage of 10 or 18.75mg per cat over a period of seven days. Analyses were
performed on days 1, 2, 3, 5, and 7. In comparison to baseline both clopidogrel
dosages showed an inhibitory effect on results of the platelet function analyser
and velocity of ADP-induced platelet aggregation. Values at all times were
different from baseline, with the exception of day 1 in cats receiving 10mg
clopidogrel where the closure time of the platelet function analysis and part of
ADP-induced aggregation did not show a significant difference. Significant
differences were not found between the two doses. In conclusion, our study
indicates that 10mg clopidogrel per day may be as effective as 18.75mg although
the latter may be advantageous as an initial loading dosage to achieve effective
levels more rapidly.
PMID- 27892865
TI - Co-occurrence of a metastatic mammary liposarcoma and an ovarian sex-cord stromal
tumor in a dog.
AB - Sarcoma arising in the mammary gland is a rare tumor in dogs, and primary
liposarcoma with metastatic behavior has never been previously reported among
canine mammary tumors. A 14-year old female poodle had a mammary mass diagnosed
as pleomorphic liposarcoma. Two years following surgical removal, the tumor
recurred in the mammary gland with lymph node metastases. In addition, a sex-cord
stromal tumor was identified in the ovary. Immunohistochemistry was performed on
both tumors that were positive for oestrogen and progesterone receptors.
Moreover, the ovarian tumor was positive for calretinin. This is the first report
to the authors' knowledge, of the co-occurrence of a pleomorphic liposarcoma of
the mammary gland and an ovarian sex-cord stromal (gonadostromal) tumor in the
dog.
PMID- 27892866
TI - Effectiveness of a BHV-1/BEFV bivalent vaccine against bovine herpesvirus type 1
infection in cattle.
AB - Bovine herpesvirus type 1 (BHV-1) causes acute febrile respiratory diseases
(infectious bovine rhinotracheitis, IBR), decreased milk production, weight loss
and abortion. Bovine ephemeral fever virus (BEFV) causes acute febrile
respiratory disease, with pulmonary emphysema and pulmonary edema as the main
signs. These viruses infect domesticated herds and lead to significant economic
losses. In our previous study, an inactivated BHV-1 and BEFV bivalent vaccine was
formulated with water-in-oil-in-water adjuvant, and vaccine efficacy was
evaluated in guinea pigs. In this study, we evaluated the efficacy of the
bivalent vaccine in cattle. Results showed that immunized cattle had a
significantly higher level of total anti-BHV-1 antibody response (S/P ratio of
12.7) than the control group (S/P ratio of 0.07) 32weeks post-vaccination. The
immunized group also showed higher neutralizing antibody levels against BHV-1
(SN=23.8) and BEFV (SN=24.6) than the control group (SN<2) 4 to 32weeks post
vaccination (p<0.05). In a BHV-1 challenge experiment, immunized cattle showed
low virus shedding (101.2TCID50/mL) and a significant reduction in pathological
lesion scores (p<0.01). In conclusion, the BHV-1+BEFV+w/o/w vaccine not only
improved long-term antibody immune response but also significantly reduced
clinical signs in a BHV-1 challenge experiment. Our approach may be feasible for
developing an effective vaccine against bovine herpesvirus type 1 and bovine
ephemeral fever virus.
PMID- 27892867
TI - The BALB/c mouse infection model for improving the Haemophilus parasuis
serotyping scheme.
AB - The use of BALB/c mouse as an alternative model to study Haemophilus parasuis
(HPS) infections was evaluated, supplying the serotyping scheme by comparing the
pathogenicity of different serovar HPS in pigs and mice challenge using
statistical analysis. Results showed that the pathogenicity of different serovar
HPS in mouse was consistent with in pigs, proving that this model is a viable
alternative to pigs. It provides a convenient methodology for determining the
virulence of HPS strains.
PMID- 27892869
TI - Serum C-reactive protein and ferritin concentrations in dogs undergoing
leishmaniosis treatment.
AB - Monitoring of selected serum acute phase proteins like C-reactive protein (CRP)
and ferritin could be useful for evaluation of the response to treatment in both
naturally-occurring and experimentally-induced leishmaniosis. However studies
until date have only been focused on dogs with an adequate response to the
treatment and there is a lack of knowledge about the possible associations
between the CRP and ferritin and the different clinicopathological conditions
that can appear after treatment. Thus, the main objective of this retrospective
study was to evaluate and compare the serum concentration of CRP and ferritin
between three possible situations that dogs which undergo leishmaniosis treatment
could have: responsive with total recovery, responsive with only clinical
recovery but persistent abnormalities in biochemical analytes, and unresponsive
with clinical and biochemical changes. All dogs which totally recovered after
treatment showed CRP and ferritin values within reference ranges. Most of dogs
classified as having only partial clinical remission had CRP and ferritin within
the reference range values despite the presence of other biochemical
abnormalities such as hyperglobulinemia, hyperproteinemia, or proteinuria. On the
other hand, most of dogs in the unresponsive group had increased CRP and
ferritin. Although the study has limitations due to the variability in the
protocols and time periods of treatments, it can be concluded that CRP and
ferritin concentrations within the reference ranges are usually associated with
the absence of clinical signs and adequate response to treatment and increased
CRP and/or ferritin values could reflect a lack of appropriate response to
treatment.
PMID- 27892868
TI - Risk assessment for changes in the metabolic profile and body weights of pre
pubertal gilts during long-term monotonic exposure to low doses of zearalenone
(ZEN).
AB - The aim of the study was to examine whether the process of exposure to low doses
of ZEN generates changes in the hematological and biochemical image of blood
serum. During the experiment, pre-pubertal gilts (up to 25kg) were administered
per os ZEN at a dose of 40MUg/kg BW (Group E, n=18) or placebo (Group C, n=21) on
a daily basis for 42days. Blood samples for investigation were collected seven
times at intervals of one week. In the experimental groups, slight but
statistically significant changes in the values of selected biochemical blood
indices such as glucose, ALT, Pin, total protein and Fe, or in hematological
indices such as RBC, MCV, PLT, WBC, basophils, eosinophils and monocytes were
observed. Based on statistical analysis between the groups, differences in the
values of WBC, basophils, peroxidase negative cells, Hb, Ht, MCV, HDW, glucose,
ALT, AP, total protein, iron or potassium were found. In Group E, decreasing
trends in the values of PLT and glucose, and increasing trends in the values of
total protein, Pin, Na and Cl were found. The described situations occurred
during the last three weeks of exposure. Low levels of exposure to ZEN lead to
completely different changes in the metabolic profile than those resulting from
higher doses of the toxin. The stimulatory effect of mycotoxins, observed in
initial stages of exposure, is eliminated when the compensatory response and
adaptive mechanisms are triggered, and due to excessive loss of energy, which may
point to more efficient feed utilization and/or detoxification processes. The
values of body weight gain obtained in Group E were monotonically higher in four
out of the six investigated weeks of exposure. The above changes were accompanied
by a decrease in glucose concentrations and higher total protein levels (a rising
tendency), which could have affected the rate of body weight gain.
PMID- 27892870
TI - Nitric oxide modulates the immunological response of bovine PBMCs in an in vitro
BRDc infection model.
AB - Bovine respiratory disease complex (BRDc) is a multi-factorial disease, involving
both viral and bacterial pathogens, that negatively impacts the cattle feedlot
industry. A nitric oxide releasing solution (NORS) has been developed and shown
to have potential in the prevention of BRDc. This study investigated the
underlying immunological mechanisms through which the nitroslyating agent NORS
provides protection against the development of BRDc in susceptible cattle. An in
vitro BRDc experimental model was designed using bovine peripheral blood
mononuclear cells (PBMCs) which were infected with bovine herpesvirus 1 (BHV-1)
and subsequently cultured with lipopolysaccharides (LPS) extracted from
Mannheimia haemolytica bacteria. The cells were treated with NORS following viral
infection to reflect the timing of administering the NORS treatment in feedlots
during initial processing. An expression and protein analysis of key genes
involved in the innate immune response was carried out. The BRDc model produced
significant increases in gene expression (p<0.01) and protein release (p<0.05) of
the proinflammatory cytokines IL-1beta and TNF. Treatment with NORS reduced the
protein levels of IL-1beta (0.39-fold?) (p<0.05) and TNF (0.48-fold?) (p<0.01) in
the BRDc experimental group when compared against the non-treatment BRDc
controls. TLR4 expression, having been significantly reduced under the BRDc
experimental conditions (0.33-fold?) (p<0.05), increased significantly (0.76
fold?) (p<0.05) following NORS treatment. This study provides evidence suggesting
that NO may protect against the development of BRDc by limiting deleterious
inflammation while simultaneously increasing TLR4 expression and enhancing the
ability of the host to detect and respond to bacterial pathogens.
PMID- 27892872
TI - Fern-synthesized silver nanocrystals: Towards a new class of mosquito oviposition
deterrents?
AB - Mosquitoes act as vectors of devastating pathogens and parasites, representing a
key threat for millions of humans and animals worldwide. Eco-friendly control
tools are urgently required. We proposed a novel method of fern-mediated
biosynthesis of silver nanoparticles (AgNP) using Dicranopteris linearis, acting
as a reducing and capping agent. AgNP were characterized by UV-vis spectroscopy,
Fourier transform infrared (FTIR) spectroscopy, scanning electron microscopy
(SEM), energy-dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), zeta
potential and particle size analysis. In mosquitocidal assays, the LC50 of D.
linearis extract against Aedes aegypti ranged from 165.213 (larva I) to
255.055ppm (pupa). LC50 of D. linearis-synthesized AgNP ranged from 18.905 (larva
I) to 29.328ppm (pupa). In the field, the application of D. linearis extract and
AgNP (10*LC50) led to 100% larval reduction after 72h. Smoke toxicity experiments
conducted against A. aegypti adults showed that D. linearis leaf-, stem- and root
based coils evoked mortality rates comparable to the permethrin-based positive
control (58%, 47%, 34%, and 48% respectively). In ovicidal experiments, egg
hatchability was reduced by 100% after treatment with 25ppm of AgNP and 300ppm of
D. linearis extract. Interestingly, oviposition deterrent assays highlighted that
100ppm of fern extract reduced oviposition rates of more than 65%, while 10ppm of
fern-fabricated AgNP reduced oviposition rates of more than 70% in A. aegypti
(OAI were -0.52 and -0.55, respectively). Overall, our results highlighted that
D. linearis-synthesized AgNP could be useful candidates to develop nano
formulated oviposition deterrents effective against dengue vectors.
PMID- 27892871
TI - Phenotypic modulation of porcine CD14+ monocytes, natural killer/natural killer T
cells and CD8alphabeta+ T cell subsets by an antibody-derived killer peptide
(KP).
AB - An engineered killer peptide (KP) based on a recombinant anti-idiotypic antibody
representing the functional image of a yeast killer toxin (KT) was demonstrated
to mediate antimicrobial effects against fungi and viruses. KP binds to murine
dendritic cells and macrophages and up-regulate co-receptor expression, thus
sustaining CD4+ lymphocyte activation. No immunological data are available in
domestic animals thus KP-induced immunomodulation was evaluated in porcine
monocyte and lymphocyte subsets. PBMC from healthy adult pigs were stimulated
with KP or a scramble peptide (SP), or kept unstimulated for 24, 48 and 72h, and
subsequently analyzed by flow cytometry. In monocytes, KP induced a strong dose
dependent shift from a major fraction of CD172alpha+CD14+low cells to a
predominant fraction of CD172alpha+CD14+high cells, known to sustain leukocyte
activation/differentiation and inflammatory responses. The CD16+ cell
percentages, specifically the CD3+CD16+ natural killer T (NKT) cell fraction and
CD16 expression showed an intense and stable dose-dependent increase while the
CD3-CD16+ NK cell fraction decreased. CD4+ and CD8+ T cells increased and
CD8alpha and CD8beta expression were up-regulated. CD8beta+ cytotoxic T cells and
CD16+ cells comparably increased. A marked stimulation of activated CD16+CD25+
and CD8beta+CD25+ cells was observed at 24h. The increase of CD8alpha+ cells and
CD8alpha expression were due to increased CD4+CD8alpha+ (memory T helper) cells,
also showing a CD8alpha+high phenotype. Concomitantly, the CD4+CD8alpha- T helper
lymphocyte fraction significantly decreased. Overall, KP induced a wide
modulation of innate immune and T cells that can exert regulatory and cytotoxic
functions, which are fundamental for an efficient Th1 response.
PMID- 27892873
TI - Evidence of disseminated infection by Mycobacterium avium subspecies hominissuis
in a pet ferret (Mustela putorius furo).
AB - The infection caused by the zoonotic opportunistic pathogen Mycobacterium avium
subsp. hominissuis (Mah) was reported for the first time in a pet ferret. Both
owners were HIV-positive. Euthanasia of the pet was recommended due to medical
reasons and as a preventive action. Disseminated and open tuberculosis lesions
were observed in the gastrointestinal and respiratory systems of the ferret.
Ecographic and radiographic surveys showed a severe generalized lymphadenopathy,
strong thickening of the gastric wall and peritoneum layer. The histopathological
findings revealed a disseminated, granulomatous, chronic inflammation affecting
the gastrointestinal tract, lungs, lymphoid tissues (spleen, tonsils and lymph
nodes) and liver. Ziehl-Neelsen staining displayed the presence of positive acid
fast bacilli within these granulomas. Bacteriology and sequencing of the isolates
yielded Mah sequevar code 3. Ferrets can act as reservoirs of mycobacteria
exposing their owners to the infection, which is of major concern in
immunodeficient individuals, as those HIV-infected.
PMID- 27892874
TI - Dynamics of the progesterone and cholesterol concentrations within the bovine
corpus luteum cavity.
AB - The aim of this study was to examine the concentrations of progesterone (P4) and
cholesterol (CHOL) in fluid of cavitary corpus luteum (CL) and in serum of dairy
cattle. Cavitary corpora lutea, collected from cows at a local abattoir, were
divided in four stages of development, based on days of the estrous cycle (stage
I: 1-4days; stage II: 5-10days; stage III: 11-17days; stage IV: 18-20). Fluid of
the their cavity was aspirated and P4 and CHOL concentrations were evaluated. The
concentrations of P4 in CL cavity fluids were very high (1640-4666.67ng/mL) and
showed a peak at the stage III. CHOL values were similar to those of serum and
the highest levels were found in the stage I.
PMID- 27892875
TI - The zoonotic potential of Lactococcus garvieae: An overview on microbiology,
epidemiology, virulence factors and relationship with its presence in foods.
AB - Lactococcus garvieae is a relevant worldwide fish pathogen affecting various
farmed and wild marine and freshwater species. It has also been isolated from
other animals, such as ruminants with subclinical mastitis and pigs with
pneumonia. From the early 90s, L. garvieae has been associated with different
human infections, mainly endocarditis. During the last five years, human
infections by this bacterium appear to be increasing, likely due to the
improvement in microbiological methods for bacterial identification and the
alertness of this bacterium by physicians. Human L. garvieae infections have been
associated with the consumption or the handling of contaminated raw fish or
seafood, and recently, a genetic study showed that meat, raw milk and dairy
products may also be food sources of human L. garvieae infections. However, the
status of L. garvieae as a potential zoonotic bacterium is still controversial to
date. In this work, we describe four new human infections by L. garvieae in
elderly and inmunocompromised patients, and we show an overview on L. garvieae
microbiology, epidemiology, virulence factors and relationship with its presence
in foods.
PMID- 27892876
TI - Low expression of cyclooxygenase-2 in chronic kidney disease in young dogs.
AB - Chronic kidney disease (CKD) often results in end-stage renal failure in young
dogs; however, the pathogenesis of this disease is not established. This study
investigated renal expression of cyclooxygenase (COX)-1 and COX-2 proteins in
three dogs with chronic kidney disease by immunohistochemistry. Histopathology
showed asynchronous differentiation of renal tissues, including immature
glomeruli. COX-1 signals were not detected in diseased or normal kidneys. COX-2
signals were low or undetectable in diseased kidneys, while normal kidneys showed
clear positive signals in the macula densa (MD). Quantitative scores of COX-2 in
diseased kidneys were significantly lower than those in normal kidneys. These
findings demonstrate low renal COX-2 expression in CKD in young dogs, but whether
this is correlated with disease pathogenesis remains unclear.
PMID- 27892877
TI - Detection of porcine reproductive and respiratory syndrome virus (PRRSV) and
influenza A virus (IAV) in oral fluid of pigs.
AB - Recently oral fluid has become a novel sample type for pathogen nucleic acid and
antibody detection, as it is easy to obtain with non-invasive procedures. The
objective of the study was to analyze porcine reproductive and respiratory
syndrome virus (PRRSV) and influenza A virus (IAV) circulation in growing pigs
from three Polish production farms, using Real Time PCR and ELISA testing of oral
fluid and serum. Oral fluids were collected every 2weeks, in the same 3-4 pens of
pigs aged between 5 and 17weeks. Additionally, blood samples were collected every
4weeks from 4 pigs corresponding to the same pens as oral fluid and tested for
the presence of PRRSV nucleic acid (pooled by 4) and antibodies. In farm A no
PRRSV circulation was detected and only maternal antibodies were present. In farm
B and farm C antibodies to PRRSV in serum and oral fluid were detected in most
samples. In farm B PRRSV Type 1 was detected in 80.9% of oral fluid samples and
in 58.3% of serum pools, and in farm C in 92.8% of oral fluid samples and 75%
serum pools. Striking differences were observed between different pens in PRRSV
detection patterns. In farms B and C ORF5 sequence analysis showed the presence
of wild type strains which were about 84-85% identical to the modified live
vaccine used. In all three farms two waves of IAV shedding with oral fluid were
detected, in weaners and fatteners.
PMID- 27892878
TI - Inflammatory biomarkers are associated with ketosis in periparturient Holstein
cows.
AB - Ketosis is a prevalent periparturient metabolic disorder and we hypothesize that
lipopolysaccharide (LPS) infiltration may play a key role in its etiology. Study
objectives were to characterize biomarkers of inflammation during the transition
period in healthy and clinically diagnosed ketotic cows. Cows were
retrospectively categorized into one of two groups: healthy and clinically
diagnosed ketotic. Two data sets were utilized; the first dataset (Study A) was
obtained as a subset of cows (n=16) enrolled in a larger experiment conducted at
the Iowa State University Dairy utilizing Holstein cows (8 healthy; 8 ketotic),
and the second dataset (Study B; 22 healthy; 22 ketotic) was obtained from a
commercial farm. For both experiments, blood samples were collected prior to and
following calving. Ketotic cows in both studies had reduced milk production
compared to healthy cows (P<0.01). Post-calving, ketotic cows had increased serum
amyloid A (4.2 and 1.8 fold in studies A and B, respectively; P=0.03 and P=0.04),
haptoglobin (>6 fold and ~4 fold; P=0.04 and P=0.03), and lipopolysaccharide
binding protein (66 and 45%; P<0.01 and P=0.02) compared with their healthy
counterparts. Antepartum circulating LPS in ketotic cows was increased (2.3 fold;
P=0.01) compared to healthy cows in Study B. In summary, increased biomarkers of
inflammation appear to be closely associated with ketosis in transition dairy
cows.
PMID- 27892880
TI - MRI cross sectional atlas of normal canine cervical musculoskeletal structure.
AB - Although magnetic resonance imaging (MRI) has been increasingly used as a
diagnostic tool for cervical spine injuries in canines, a comprehensive normal
MRI anatomy of the canine cervical spine muscles is lacking. Therefore, the
purpose of this study was to build a magnetic resonance imaging atlas of the
normal cross sectional anatomy of the muscles of the canine cervical spine. MRI
scans were performed on a canine cadaver using a combination of T1 and T2
weighted images in the transverse, sagittal and dorsal planes acquired at a slice
thickness of 1mm. Muscle contours were traced manually in each slice, using local
osseous structures as reference points for muscle identification. Twenty-two
muscles were traced in 401 slices in the cervical region. A three dimensional
surface model of all the contoured muscles was created to illustrate the complex
geometrical arrangement of canine neck muscles. The cross-sectional area of the
muscles was measured at the mid-level of each vertebra. The accuracy of the
location of the mapped muscles was verified by comparing the sagittal view of the
3D model of muscles with still photographs obtained from anatomic canine cadaver
dissection. We believe that this information will provide a unique and valuable
resource for veterinary researchers, clinicians and surgeons who wish to evaluate
MRI images of the cervical spine. It will also serve as the foundation for
ongoing work to develop a computational model of the canine cervical spine in
which anatomical information is combined with electromyographic, kinematic and
kinetic data.
PMID- 27892879
TI - Cytokine activation during embryonic development and in hen ovary and vagina
during reproductive age and Salmonella infection.
AB - Salmonellosis is one of the most important zoonotic diseases and is usually
associated with consumption of Salmonella Enteritidis (SE) contaminated poultry
meat or eggs. Contamination with SE is usually the result of infection of the
digestive tract, or reproductive organs, especially the ovary and vagina. Thus,
knowledge of endogenous innate immune mechanisms operating in the ovary and
vagina of hen is an emerging aspect of reproductive physiology. Cytokines are key
factors for triggering the immune response and inflammation in chicken to
Salmonella infection. The aim of this study was to investigate the expression
profile of 11 proinflammatory cytokines in the chicken embryos during embryonic
development, as well as in the hen ovary and vagina in vivo, to investigate
whether sexual maturation affects their ovarian and vaginal mRNA abundance and to
determine whether cytokine expression was constitutive or induced in the ovary
and vagina as a response to SE infection. RT-PCR analysis revealed that several
cytokines were expressed in the chicken embryos, and in the ovary and vagina of
healthy birds. Expression of various cytokines during sexual maturation appeared
to be developmentally regulated. In addition, a significant up-regulation of
several cytokines in the ovary and vagina of sexually mature SE infected birds
compared to healthy birds of the same age was observed. These results suggest a
cytokine-mediated immune response mechanism against Salmonella infection in the
hen reproductive organs.
PMID- 27892881
TI - Comparison of visual assessment of coronary stenosis with independent
quantitative coronary angiography: Findings from the Prospective Multicenter
Imaging Study for Evaluation of Chest Pain (PROMISE) trial.
AB - BACKGROUND: The outcomes in patients by visual assessment and quantitative
coronary angiography (QCA) for obstructive coronary artery disease (CAD) are not
known. Our objectives were to compare visual and QCA estimates of obstructive CAD
and to assess their relationship to outcomes in stable patients with symptoms of
CAD. METHODS: The PROMISE trial randomized 10,003 patients with CAD symptoms to
anatomical or functional testing. Site reports of invasive angiography detailing
visual stenosis and independent, blinded QCA were performed for obstructive CAD
(>=50% stenosis). Disagreement between methods was determined and compared with
outcomes (death, myocardial infarction, unstable angina hospitalization, or major
procedural complications). RESULTS: Of 929 patients (9.3% of PROMISE cohort) with
angiograms assessed by sites and QCA, 593 (64%) had obstructive CAD per site
reports, whereas 428 (46%) had stenosis >=50% per QCA. Results differed in 177
patients (disagreement rate 19.1%, kappa=0.63), of whom 171 had CAD per sites but
not per QCA. One-year unadjusted Kaplan-Meier event rates were highest (5.1%)
when QCA and visual assessment agreed for CAD, lowest (0.9%) when the 2 agreed
for no obstructive CAD, and intermediate (3.1%) for patients who had CAD per
visual assessment but not per QCA. CONCLUSIONS: Visual estimation of angiograms
results in more frequent diagnosis of obstructive CAD as compared with QCA.
Concordance of results for presence or absence of obstructive CAD was associated
with high and low event rates, respectively. Disagreement was associated with
intermediate event rates, suggesting that cardiologists integrated clinical
information into routine visual assessment of angiograms.
PMID- 27892882
TI - Clinical and angiographic predictors of persistently ischemic fractional flow
reserve after percutaneous revascularization.
AB - AIMS: Despite optimal angiographic results after percutaneous coronary
intervention (PCI), some lesions may continue to produce ischemia under maximal
hyperemia. We evaluated the factors associated with persistently ischemic
fractional flow reserve (FFR) after angiographically successful PCI. METHODS AND
RESULTS: A total of 574 consecutive patients with 664 lesions undergoing PCI who
had FFR pre- and post-PCI were analyzed. Percutaneous coronary intervention led
to effective ischemia reduction from pre-FFR (0.65+/-0.14) to post-FFR (0.87+/
0.08; ?FFR 0.22+/-0.16, P<.001). There were 63 (9.5%) lesions with a persistently
ischemic FFR of <=0.80 despite optimal angiographic PCI results. Multivariate
analysis revealed the presence of diffuse disease (odds ratio [OR] 3.54, 95% CI
1.80-6.94, P<.01), left anterior descending artery PCI (OR 8.35, 95% CI 3.82
18.27, P<.01), use of intravenous adenosine for inducing hyperemia (OR 3.95, 95%
CI 2.0-7.84, P<.01), and pre-PCI FFR (OR 0.03, 95% CI 0.004-0.23, P<.01) as
independent predictors of persistently ischemic FFR (<=0.80) after PCI. The
predictive accuracy of this model was robust, with an area under the curve of
0.85 (95% CI 0.82-0.88). CONCLUSION: Multiple factors are associated with
persistently ischemic FFR after angiographically optimal PCI. It is recommended
that in lesions with the above-identified factors, FFR should be remeasured after
PCI, and if abnormal, further measures should be undertaken for functional
optimization.
PMID- 27892883
TI - Predicting long-term prognosis in stable peripheral artery disease with baseline
functional capacity estimated by the Duke Activity Status Index.
AB - BACKGROUND: The ability of a simple self-assessment tool for estimated functional
capacity to predict long-term prognosis in patients with established peripheral
artery disease (PAD) is unknown. We investigate whether subjective measurement of
functional capacity estimated by using the Duke Activity Status Index (DASI)
questionnaire predicts long-term prognosis in patients with established PAD.
METHODS: We administered the DASI questionnaire to 771 stable patients with
established PAD who underwent elective diagnostic coronary angiography with 5
year follow-up all-cause mortality. RESULTS: Two hundred ten patients (27%) died
over a 5-year follow-up. The lowest DASI score was associated with a 3.2-fold
increased risk of 5-year all-cause mortality (unadjusted hazard ratio 3.23, 95%
CI 2.19-4.75, P<.001). After adjustments for traditional risk factors, estimated
glomerular filtration rate, high-sensitivity C-reactive protein, and lowest DASI
score remained predictive of 5-year all-cause mortality (adjusted hazard ratio
2.09, 95% CI 1.36-3.23, P<.001). Interestingly, the lowest DASI score remained to
predict 5-year all-cause mortality regardless of each PAD diagnosis subtype
(including lower extremity, non-lower extremity, or carotid artery PAD), although
the mortality risk was attenuated when incorporating heart disease severity in
the non-lower extremity group. CONCLUSIONS: A simple self-assessment tool of
functional capacity provides an independent and incremental prognosis value for
long-term adverse clinical events in stable patients with established PAD beyond
each PAD diagnostic subtype.
PMID- 27892884
TI - beta-blocker dosage and outcomes after acute coronary syndrome.
AB - BACKGROUND: Although beta-blockers increase survival in acute coronary syndrome
(ACS) patients, the doses used in trials were higher than doses used in practice,
and recent data do not support an advantage of higher doses. We hypothesized that
rates of major adverse cardiac events (MACE), all-cause death, myocardial
infarction, and stroke are equivalent for patients on low-dose and high-dose beta
blocker. METHODS: Patients admitted to Intermountain Healthcare with ACS and
diagnosed with >=70% coronary stenosis between 1994 and 2013 were studied (N =
7,834). We classified low dose as <=25% and high dose as >=50% of an equivalent
daily dose of 200 mg of metoprolol. Multivariate analyses were used to test
association between low-dose versus high-dose beta-blocker dosage and MACE at 0-6
months and 6-24 months. RESULTS: A total of 5,287 ACS subjects were discharged on
beta-blockers (87% low dose, 12% high dose, and 1% intermediate dose). The 6
month MACE outcomes rates for the beta-blocker dosage (low versus high) were not
equivalent (P = .18) (hazard ratio [HR] = 0.76; 95% CI, 0.52-1.10). However,
subjects on low-dose beta-blocker therapy did have a significantly decreased risk
of myocardial infarction for 0-6 months (HR = 0.53; 95% CI, 0.33-0.86). The rates
of MACE events during the 6-24 months after presentation with ACS were equivalent
for the 2 doses (P = .009; HR = 1.03 [95% CI, 0.70-1.50]). CONCLUSIONS: In ACS
patients, rates of MACE for high-dose and low-dose beta-blocker doses are
similar. These findings question the importance of achieving a high dose of beta
blocker in ACS patients and highlight the need for further investigation of this
clinical question.
PMID- 27892885
TI - Vitamin K antagonists for stroke prevention in hemodialysis patients with atrial
fibrillation: A systematic review and meta-analysis.
AB - BACKGROUND: The use of vitamin K antagonists (VKAs) in hemodialysis patients with
atrial fibrillation (AF) is controversial. No randomized trials are available and
observational studies have yielded conflicting results, engendering a large
clinical practice variability and physician uncertainty. An unresolved but highly
relevant question is whether AF poses a true risk of ischemic stroke in
hemodialysis and whether any form of oral anticoagulation is therefore warranted.
METHODS: We conducted a systematic review of studies that compared the incidence
of ischemic stroke and bleeding in hemodialysis patients with AF taking VKA and
those not taking VKA. When hemodialysis patients had been pooled with peritoneal
dialysis, kidney transplant, or stage V chronic kidney disease patients,
unpublished outcome data of the hemodialysis subgroup were obtained through
personal communication. The main outcome measures were ischemic
stroke/thromboembolic events, all-cause mortality, major bleeding, and
hemorrhagic stroke. Combined hazard ratios (HRs) and 95% CIs were calculated
using a random-effects model. RESULTS: Twelve prospective or retrospective cohort
studies were included in the meta-analysis, totaling 17,380 hemodialysis patients
of whom 4,010 (23.1%) received VKA. In VKA-treated patients, mean CHADS2 or
CHA2DS2VASc score was low (range 1.7-2.75) or a sizeable proportion of patients
had scores <2 (range 2%-23%). Time in the therapeutic range or mean international
normalized ratio was generally low. Treatment with VKA was associated with a
nonsignificant 26% reduction of the risk of ischemic stroke (HR 0.74; 0.51-1.06),
a 21% increase in total bleeding risk (HR 1.21; 1.03-1.43), and no effect on
mortality (HR 1.00; 0.92-1.09). Vitamin K antagonist almost doubled the risk of
hemorrhagic stroke, but this did not reach the limit of statistical significance
(4 studies, n = 16.365; HR 1.93; 0.93-3.98). CONCLUSION: Our meta-analysis
revealed a trend for a reduction of the risk of ischemic stroke in hemodialysis
patients with AF treated with VKA. The true protective effect may have been
underestimated, owing to inclusion of low-risk patients not expected to benefit
from anticoagulation and to suboptimal anticoagulation. However, assessment of
the overall effect of VKA in hemodialysis patients should also take into account
the increased risk of bleeding, in particular of hemorrhagic stroke. Whether new
oral anticoagulants provide a better benefit-risk ratio in hemodialysis patients
should be the subject of future trials.
PMID- 27892887
TI - Cardiorespiratory fitness and nonfatalcardiovascular events: A population-based
follow-up study.
AB - BACKGROUND: To examine the prognostic value of cardiorespiratory fitness (CRF)
with risk of first major nonfatal myocardial infarction (MI), stroke, and heart
failure (HF) events. METHODS: Cardiorespiratory fitness, as measured by maximal
oxygen uptake, was assessed at baseline in a prospective cohort of 2,089 men aged
42 to 61years. RESULTS: During a mean (SD) follow-up of 19.1(8.4) years, 522
nonfatal acute MI events, 198 acute all-cause nonfatal stroke events, and 221
nonfatal HF events were recorded. The hazard ratio per 1-metabolic-equivalent
increase in CRF was 0.93 (95% CI 0.88-0.97) for nonfatal MI, 0.94 (95% CI0.87
1.01) for nonfatal stroke, and 0.84 (95% CI 0.78-0.91) for nonfatal HF events
after adjustment for cardiovascular risk factors (age, systolic blood pressure,
body mass index, history of cardiovascular disease, diabetes, smoking, alcohol
use, serum creatinine, low-density lipoprotein levels, physical activity, and
socioeconomic status). Further adjustment for left ventricular hypertrophy and
resting heart rate did not attenuate these associations. Addition of CRF to
conventional cardiovascular disease risk factors significantly improved both
discrimination (C index) and category free net reclassification index (cf-NRI)
for nonfatal MI (change in C index, 0.015 [95% CI 0.010-0.020] and change in cf
NRI 0.27, P<.01) and HF (change in C index 0.040 [95% CI 0.010-0.060] and change
in cf-NRI 0.88, P<.01). CONCLUSION: In this Finnish population, there is a
strong, inverse, and independent association between CRF and acute nonfatal MI
and HF risk.
PMID- 27892886
TI - Safety profile and utility of treadmill exercise in patients with high-gradient
hypertrophic cardiomyopathy.
AB - BACKGROUND: Exercise echocardiography in the evaluation of hypertrophic
cardiomyopathy (HCM) provides valuable information for risk stratification,
selection of optimal treatment, and prognostication. However, HCM patients with
left ventricular outflow tract gradients >=30mm Hg are often excluded from
exercise testing because of safety considerations. We examined the safety and
utility of exercise testing in patients with high-gradient HCM. METHODS: We
evaluated clinical characteristics, hemodynamics, and imaging variables in 499
consecutive patients with HCM who performed 959 exercise tests. Patients were
divided based on peak left ventricular outflow tract gradients using a 30-mm Hg
threshold into the following: obstructive (n=152), labile-obstructive (n=178),
and nonobstructive (n=169) groups. RESULTS: There were no deaths during exercise
testing. We noted 20 complications (2.1% of tests) including 3 serious
ventricular arrhythmias (0.3% of tests). There was no difference in complication
rate between groups. Patients with obstructive HCM had a higher frequency of
abnormal blood pressure response (obstructive: 53% vs labile: obstructive: 41%
and nonobstructive: 37%; P=.008). Obstructive patients also displayed a lower
work capacity (obstructive: 8.4+/-3.4 vs labile obstructive: 10.9+/-4.2 and
nonobstructive: 10.2+/-4.0, metabolic equivalent; P<.001). Exercise testing
provided incremental information regarding sudden cardiac death risk in 19% of
patients with high-gradient HCM, and we found a poor correlation between patient
reported functional class and work capacity. CONCLUSION: Our results suggest that
exercise testing in HCM is safe, and serious adverse events are rare. Although
numbers are limited, exercise testing in high-gradient HCM appears to confer no
significant additional safety hazard in our selected cohort and could potentially
provide valuable information.
PMID- 27892888
TI - Early, de novo atrial fibrillation after coronary artery bypass grafting: Facts
and features.
AB - : Knowledge of the mechanism underlying post-operative atrial fibrillation (PoAF)
is essential for development of preventive measures. The incidence and
characteristics of both PoAF and supraventricular premature beats triggering
PoAF, their interrelationship and alterations over time have never been examined.
The goal of this study is therefore to examine the correlation between the
incidence and characteristics of supraventricular premature beats (SVPBs) and
PoAF episodes in patients undergoing CABG in the first five post-operative days.
METHODS: PoAF episodes (N=327) and SVPBs (N=141,873) were characterized in 29
patients (63+/-9 years; 22 (76%) male) undergoing coronary artery bypass grafting
and compared with a control group of patients without PoAF by using continuous
cardiac rhythm monitoring during the first 5 days after surgery. RESULTS: Most
patients (N=18, 62%) had multiple PoAF episodes; the median number of PoAF
episodes per patient was 3 and varied between 1 and 139. The majority of PoAF
episodes developed on the second and third post-operative day (55%). The averaged
median duration of PoAF episodes per patient was 469+/-1085 min. Patients with
PoAF had a higher SVPBs burden compared to subjects without PoAF (0.9% vs 0.2%,
P<.001). SVPBs initiating PoAF had shorter coupling intervals than SVPBs which
did not initiate PoAF episodes (58% vs 64% (P<.001) and were preceded by heart
rate acceleration. CONCLUSION: PoAF episodes are mainly repetitive though
transient in nature. There was a considerable inter-individual variation in both
AF and SVPB characteristics, despite a similar underlying clinical profile. The
SVPB burden is higher in patients with PoAF and the mode of onset is
characterized by short coupled SVPBs. Determination of individual post-operative
dysrhythmia profiles enables identification of patients at risk for developing
PoAF.
PMID- 27892889
TI - The impact of functional vs degenerative mitral regurgitation on clinical
outcomes among patients undergoing transcatheter aortic valve implantation.
AB - BACKGROUND: Among patients undergoing transcatheter aortic valve implantation
(TAVI), concomitant mitral regurgitation (MR) has been associated with adverse
prognosis. We aimed to assess long-term clinical outcomes according to MR
etiology. METHODS: In a single-center registry of consecutive patients undergoing
TAVI, we investigated the impact of functional (FMR) vs degenerative (DMR) MR on
cardiovascular (CV) mortality throughout 2years of follow-up. RESULTS: Among 603
patients (mean age 82.4+/-5.7years, 55% female) undergoing TAVI, 149 patients had
moderate or severe MR (24.7%). Functional MR and DMR were documented in 53 (36%)
and 96 (64%) patients, respectively. At 2years, patients with FMR and DMR had
higher rates of CV mortality (30.2% vs 32.4%) as compared with patients with no
MR (14.6%; FMR vs no MR: hazard ratio [HR] 2.32, 95% CI 1.34-4.02, P=.003; DMR vs
no MR: HR 2.56, 95% CI 1.66-3.96, P<.001). In adjusted analyses, DMR was
associated with an increased risk of CV mortality throughout the 2-year follow-up
(adjusted HR 2.21, 95% CI 1.4-3.49, P=.001) as compared with FMR (adjusted HR
1.13, 95% CI 0.59-2.18, P=.707). Relevant MR was postprocedurally significantly
reduced in both the DMR and FMR groups, whereas improvement of a decreased left
ventricular ejection fraction was predominantly seen in the FMR group as compared
with baseline. CONCLUSION: Patients with severe, symptomatic aortic stenosis
undergoing TAVI complicated by moderate or severe MR portend impaired prognosis.
Particularly, patients with DMR are at increased risk for CV mortality during
long-term follow-up.
PMID- 27892890
TI - Trial design: Rivaroxaban for the prevention of major cardiovascular events after
transcatheter aortic valve replacement: Rationale and design of the GALILEO
study.
AB - BACKGROUND: Optimal antithrombotic treatment after transcatheter aortic valve
replacement (TAVR) is unknown and determined empirically. The direct factor Xa
inhibitor rivaroxaban may potentially reduce TAVR-related thrombotic
complications and premature valve failure. DESIGN: GALILEO is an international,
randomized, open-label, event-driven, phase III trial in more than 1,520 patients
without an indication for oral anticoagulation who underwent a successful TAVR
(ClinicalTrials.govNCT02556203). Patients are randomized (1:1 ratio), 1 to 7days
after a successful TAVR, to either a rivaroxaban-based strategy or an
antiplatelet-based strategy. In the experimental arm, subjects receive
rivaroxaban (10mg once daily [OD]) plus acetylsalicylic acid (ASA, 75-100mg OD)
for 90days followed by rivaroxaban alone. In the control arm, subjects receive
clopidogrel (75mg OD) plus ASA (as above) for 90days followed by ASA alone. In
case new-onset atrial fibrillation occurs after randomization, full oral
anticoagulation will be implemented with maintenance of the original treatment
assignment. The primary efficacy end point is the composite of all-cause death,
stroke, myocardial infarction, symptomatic valve thrombosis, pulmonary embolism,
deep venous thrombosis, and systemic embolism. The primary safety end point is
the composite of life-threatening, disabling, and major bleeding, according to
the Valve Academic Research Consortium definitions. CONCLUSIONS: GALILEO will
test the hypothesis that a rivaroxaban-based antithrombotic strategy reduces the
risk of thromboembolic complications post-TAVR with an acceptable risk of
bleeding compared with the currently recommended antiplatelet therapy-based
strategy in subjects without need of chronic oral anticoagulation.
PMID- 27892892
TI - Impact of lymphocyte culture media on the number of metaphases and chromosome
band resolution.
AB - A special type of differential staining of chromosomes is replication banding.
This staining technique reveals the band pattern characteristic of each
homologous pair of chromosomes, which is a reflection of heterogeneous
euchromatin structure. Banding enables identification of homologous chromosomes
and detection of chromosomal aberrations, both structural and numerical. Slide
preparation requires knowledge of many techniques, and the procedure is often
different for each laboratory. The aim of the study was to determine the effect
of selected media for lymphocyte cultures on the number of metaphases and the
band resolution of chromosomes. The study was carried out using cell cultures
from whole peripheral blood. The slides were stained by the GTG method. After
their removal from the water bath they were immersed in trypsin solution, then
rinsed in PBS solution and stained in Giemsa solution. After staining they were
rinsed again and left to dry. The study confirmed the effect of selected
commercially available cell media on the number of metaphases and band resolution
of chromosomes, which have not previously been described. In all of the tests
performed, the cell culture, fixation, slide preparation (automatic method),
staining, and number of reagents were identical.
PMID- 27892894
TI - Overuse of proton pump inhibitors and its consequences.
AB - Direct inhibition of H+ ion excretion to the gastric lumen makes proton pump
inhibitors (PPI) the most effective drugs against gastric acid-related diseases.
Over recent years usage of proton pump inhibitors has increased dramatically. Due
to the low costs, high efficacy and rarity of adverse effects, their use is
prevalent and often it does not correspond with existing medical guidelines. The
literature lists stress ulcer prophylaxis among patients with low risk of
bleeding, routine 'gastroprotective' medication during treatment and non-specific
abdominal symptoms as the most common patterns of off-label PPI use. This article
summarizes the influence of PPI therapy on gastric mucosa, absorption and
occurrence of adverse effects. The authors note that their low awareness among
physicians contributes to wide and imprudent use of drugs of this group.
PMID- 27892893
TI - Photoprotective and radioprotective properties of nitroxides and their
application in magnetic resonance imaging.
AB - Nitroxides are a group of stable organic radicals of low molecular weight having
a nitroxyl group > N-.O, which has an unpaired electron. The presence of this
group allows a nitroxide to participate in redox reactions. They serve as mimics
of superoxide dismutase (SOD) and have stimulative properties towards
haemoproteins with catalase-like activity. Nitroxides oxidize Fe (II) to Fe (III)
preventing the Fenton and Haber-Weiss reactions. As the radicals have the ability
to scavenge other free radicals. Nitroxides are not immunogenic, and mutagenic
and do not show toxicity to the human cells. The review discusses the use of
nitroxide in protecting cells and tissues from the effects of UVA radiation.
Preliminary studies indicate that they are more effective than conventionally
used vitamins C and E and UV filters. They also protect the biological material
from the effects of ionizing radiation. Nitroxides protect healthy cells and
simultaneously they do not protect cancer cells from ionizing radiation. The
differences in the nitroxide activity are associated with conditions prevailing
in the oxidizing environment of the tumor as opposed to reducing conditions in
normal cells. Nitroxides can be used as contrast agents in the magnetic resonance
imaging (MR). They have ability of detection of subtle changes in redox
equilibrium in the tumor tissue. Application of nitroxides in MR method allow to
distinguish normal and pathological state of tissue. Successful investigations
using this technique were conducted in mice with colon and brain cancer.
PMID- 27892891
TI - Atorvastatin for high-risk statin-naive patients undergoing noncardiac surgery:
The Lowering the Risk of Operative Complications Using Atorvastatin Loading Dose
(LOAD) randomized trial.
AB - : Preliminary evidence suggests that statins may prevent major perioperative
vascular complications. METHODS: We randomized 648 statin-naive patients who were
scheduled for noncardiac surgery and were at risk for a major vascular
complication. Patients were randomized to a loading dose of atorvastatin or
placebo (80 mg anytime within 18hours before surgery), followed by a maintenance
dose of 40 mg (or placebo), started at least 12hours after the surgery, and then
40 mg/d (or placebo) for 7days. The primary outcome was a composite of all-cause
mortality, nonfatal myocardial injury after noncardiac surgery, and stroke at
30days. RESULTS: The primary outcome was observed in 54 (16.6%) of 326 patients
in the atorvastatin group and 59 (18.7%) of 316 patients in the placebo group
(hazard ratio [HR] 0.87, 95% CI 0.60-1.26, P=.46). No significant effect was
observed on the 30-day secondary outcomes of all-cause mortality (4.3% vs 4.1%,
respectively; HR 1.14, 95% CI 0.53-2.47, P=.74), nonfatal myocardial infarction
(3.4% vs 4.4%, respectively; HR 0.76, 95% CI 0.35-1.68, P=.50), myocardial injury
after noncardiac surgery (13.2% vs 16.5%; HR 0.79, 95% CI 0.53-1.19, P=.26), and
stroke (0.9% vs 0%, P=.25). CONCLUSION: In contrast to the prior observational
and trial data, the LOAD trial has neutral results and did not demonstrate a
reduction in major cardiovascular complications after a short-term perioperative
course of statin in statin-naive patients undergoing noncardiac surgery. We
demonstrated, however, that a large multicenter blinded perioperative statin
trial for high-risk statin-naive patients is feasible and should be done to
definitely establish the efficacy and safety of statin in this patient
population.
PMID- 27892895
TI - Prothymosin-alpha and Ki-67 expression in pituitary adenomas.
AB - INTRODUCTION: Prothymosin alpha (PTMA), a nuclear oncoprotein involved in cell
cycle regulation, is used as a prognostic marker in many cancers. The
histopathology of pituitary carcinomas and locally invasive adenomas is
indistinguishable from that of benign tumors. A new marker is needed to
differentiate these lesions. We evaluated PTMA in pituitary adenomas to determine
its usefulness as a prognostic factor of tumor proliferation. MATERIAL/METHODS:
We conducted a retrospective analysis of a group of 27 patients, including 15
females (56%) and 12 males (44%) with a mean age of 58.6+/-12 years, who
underwent pituitary tumor surgery between 2003 and 2012. The Ki-67 and PTMA
nuclear (PTMA-n) and PTMA-cytoplasmic (PTMA-c) indices were determined by
immunohistochemical staining. We studied histopathological features, clinical
symptoms, and magnetic resonance imaging or computed tomography performed before
surgery and one year following surgery to evaluate tumor size and progression.
RESULTS: The expression of Ki-67 was revealed in 77.8% of adenomas, PTMA-n in
81.5% and PTMA-c in 92.6%. The mean value of the Ki-67 index was 1.8%, PTMA-n was
1.84%, and PTMA-c was 35.6%. There was a significant positive correlation between
Ki-67 and PTMA-n (p=0.009). We did not find any correlation between Ki-67, PTMA
c, and tumor progression. PTMA-n was found to be correlated with tumor size
(p=0.045) and was higher in the case of gonadotropinomas (p=0.026). CONCLUSIONS:
The positive nuclear expression of Ki-67 and PTMA was observed in the majority of
pituitary adenomas. Neither the expression of Ki-67 nor that of PTMA-c was
related to tumor recurrence or local invasion.
PMID- 27892897
TI - The importance of selected spices in cardiovascular diseases.
AB - Cardiovascular diseases are the leading cause of death worldwide. Literature data
indicate that, due to these diseases, approximately 17.5 million people died in
2012. Types of cardiovascular disease include ischemic heart disease,
cerebrovascular disease, peripheral vascular disease, congenital heart disease,
rheumatic heart disease, cardiomyopathy and arrhythmia. Proper nutrition is an
important factor in reducing the risk of cardiovascular events. An interesting
element of our diets is spices. For thousands of years, they have been used in
the treatment of many diseases: bacterial infections, coughs, colds, and liver
diseases. Many studies also demonstrate their antioxidant, chemopreventive, anti
inflammatory and immunomodulatory properties. This paper focuses on discussing
the importance of selected spices (garlic, cinnamon, ginger, coriander and
turmeric) in the prevention and treatment of cardiovascular diseases.
PMID- 27892896
TI - C-reactive protein as a diagnostic and prognostic factor in inflammatory bowel
diseases.
AB - AIM: The study aimed to evaluate high-sensitivity CRP (hsCRP) as a diagnostic and
predictive marker in patients with inflammatory bowel disease (IBD).
MATERIAL/METHODS: Medical history of 106 patients with IBD revealed hsCRP
concentrations at diagnosis and during the follow-up period. RESULTS: The study
showed that the majority of investigated patients had elevated hsCRP
concentrations at diagnosis, although the mean concentration was much higher in
the group of patients with Crohn's disease (CD) than the group with ulcerative
colitis (UC) (P<0.001). The overall decrease in mean hsCRP concentration observed
during the follow-up period was larger in the group of CD patients. The analysis
showed a correlation between hsCRP concentrations at diagnosis and risk of
surgery in the group of CD patients (r=0.408, P=0.002), but not in the group of
UC patients. In a logistic regression analysis, surgery in CD patients was
associated with age (OR: 0.89, 95% CI: 0.8-1.0, P=0.05) and hsCRP concentration
(OR: 1.02, 95% CI: 1.0-1.04, P=0.03) at diagnosis. DISCUSSION: HsCRP might be a
useful diagnostic marker in differentiating active IBD from other diseases.
Particularly important however seems to be the predictive value of hsCRP at
diagnosis in prognosing the clinical outcome of the disease in CD patients.
PMID- 27892898
TI - The role of adipose tissue and excess of fatty acids in the induction of insulin
resistance in skeletal muscle.
AB - Skeletal muscle is the main tissue responsible for insulin-stimulated glucose
uptake. Consumption of a high-fat diet rich in saturated fats (HFD) and obesity
are associated with accumulation of intramuscular lipids that leads to several
disorders, e.g. insulin resistance (IRes) and type 2 diabetes (T2D). The
mechanism underlying the induction of IRes is still unknown. It was speculated
that accumulation of intramuscular triacylglycerols (TAG) is linked to induction
of IRes. Now, research focuses on bioactive lipids: long-chain acyl-CoA (LCACoA),
diacylglycerols (DAG) and ceramides (Cer). It has been demonstrated that
accumulation of each of the above-mentioned lipid classes negatively affects the
insulin signaling pathway. It is not clear which of those lipids play the most
important role in HFD-induced skeletal muscle IRes. The aim of the present work
is to present the current knowledge of the role of adipose tissue and excess of
fatty acids in the induction of insulin resistance.
PMID- 27892899
TI - Endogenous mechanisms of reactive oxygen species (ROS) generation.
AB - The main cellular source of reactive oxygen species (ROS) is mitochondrial
respiratory chain and active NADPH responsible for "respiratory burst" of
phagocytes. Whatsmore ROS are produced in endoplasmic reticulum, peroxisomes,
with the participation of xanthine and endothelial oxidase and during
autoxidation process of small molecules. Mitochondrial respiratory chain is the
main cellular source of ROS. It is considered that in aerobic organisms ROS are
mainly formed during normal oxygen metabolism, as byproducts of oxidative
phosphorylation, during the synthesis of ATP. The intermembranous phagocyte
enzyme - activated NADPH oxidase, responsible for the "respiratory burst" of
phagocytes, which is another source of ROS, plays an important role in defense of
organism against infections. The aim of this article is to resume actuall
knowledge about structure and function of the mitochondrial electron transport
chain in which ROS are the byproducts and about NADPH oxidase as well as the
function of each of its components in the "respiratory burst" of phagocytes.
PMID- 27892900
TI - Integrating Telepresence Robots Into Nursing Simulation.
AB - This article provides an overview of the use of telepresence robots in clinical
practice and describes an evaluation of an educational project in which distance
based nurse practitioner students used telepresence robots in clinical
simulations with on-campus Accelerated Bachelor of Science in Nursing students.
The results of this project suggest that the incorporation of telepresence in
simulation is an effective method to promote engagement, satisfaction, and self
confidence in learning.
PMID- 27892901
TI - Designing a Virtual Simulation Case for Cultural Competence Using a Community
Based Participatory Research Approach: A Puerto Rican Case.
AB - In this study, a community-based participatory research approach was used for
developing content for a virtual simulation case. The virtual simulation case was
designed to develop the cultural competence of prelicensure nursing students in
caring for a Puerto Rican patient with diabetes. This article presents the method
used to establish a Puerto Rican community advisory board to develop content for
a virtual simulation case for cultural competency.
PMID- 27892902
TI - Committee Opinion No. 591: Challenges for Overweight and Obese Women: Correction.
PMID- 27892903
TI - Committee Opinion No. 625: Management of Women With Dense Breasts Diagnosed by
Mammography: Correction.
PMID- 27892904
TI - Practice Bulletin No. 84: Prevention of Deep Vein Thrombosis and Pulmonary
Embolism: Correction.
PMID- 27892905
TI - Practice Bulletin No. 141: Management of Menopausal Symptoms: Correction.
PMID- 27892907
TI - Concierge Medicine: A Viable Business Model for (Some) Physicians of the Future?
AB - Concierge medicine is a medical management structure that has been in existence
since the 1990s. Essentially, a typical concierge medical practice limits its
number of patients and provides highly personalized attention that includes
comprehensive annual physicals, same-day appointments, preventive and wellness
care, and fast, 24/7 response time. Concierge medicine has become popular among
both physicians and patients/consumers who are frustrated by the limitations
imposed by managed care organizations. From many physicians' perspectives,
concierge medicine offers greater autonomy, the opportunity to return to a more
manageable patient load, and the chance to improve their incomes that have
declined because of increasingly lowered reimbursements for their services. From
many patients'/consumers' perspectives, concierge medicine provides more
immediate, convenient, and caring access to their primary care physicians and,
regardless of their physician's annual retainer fee, the elimination of third
party insurance coverage costs and hassles. The major criticisms of the concierge
medicine model come from some health care policy makers and experts, who believe
that concierge medicine is elitist and its widespread implementation will
increase the shortage of primary care physicians, which is already projected to
become worse because of the Affordable Care Act's individual mandate, which
requires everyone to have health insurance.Utilizing these topics as its
framework, this article explains why concierge medicine's form of medical
management is gaining ground, cites its advantages and disadvantages for
stakeholders, and examines some of the issues that will affect its growth.
PMID- 27892906
TI - From the Editor.
PMID- 27892908
TI - Regional Interrelationships: A Leadership Opportunity for Health Care.
AB - Given the impact that the health care industry has on the national economy,
health care executives need to move beyond simply providing health care
treatments and instead focus on strategically leading their regions, including
the other key industry contributors in their specific regions. Geographic and
economic regions can be viewed as concentric circles of influence, with each
circle recognizing the resources and contributions that are specific to a region.
An acknowledgement by health care executives of the regional interrelationships
that exist in a specific region is necessary for health care managers to
strategically lead regional interrelationships. A template for implementation of
this process is included. To understand the various factors that exist within
circles of influence, several distinct yet interrelated vertical bases of
knowledge will be discussed. The 5 bases of knowledge examined here include the
following: Health Care, Tourism, Defense and Technology, Education, and Retail.
It is important to note that the resources identified in the Tourism, Defense and
Technology, Education, and Retail knowledge bases all have a direct influential
relationship upon the health care resources of the region. For description
purposes, the Central Florida geographic and economic region will be examined for
interrelationships between the 5 knowledge bases.
PMID- 27892909
TI - Managing Patient Falls in Psychiatric Inpatient Units: Part 1.
AB - The problem addressed in the current quantitative, descriptive study was the
significantly higher number of patient falls in hospital inpatient psychiatric
units than in medical-surgical areas, resulting in patient-safety issues. The
purpose of this study was to explore psychiatric unit directors' perceptions of
the factors that contribute to patient falls in the State of Michigan. Two
research questions guided the study: (a) What are psychiatric unit directors'
perceptions of the possible intrinsic factors that contribute to patient falls in
the psychiatric inpatient units, and (b) what are psychiatric unit directors'
perceptions of the possible extrinsic factors that contribute to patient falls in
the psychiatric inpatient units? An online survey was the tool used to gather
data from the State of Michigan psychiatric unit directors. The analyses for the
2 questions indicated the participants believed that intrinsic factors were more
strongly related to the likelihood of patient falls than were extrinsic factors.
PMID- 27892910
TI - Contingency Management of Health Care Organizations: It Depends.
AB - Managers in health care organizations (HCOs) must perform many processes and
activities, such as planning goals, designing organization structure, leading
people, motivating employees, making decisions, and resolving conflict. How they
do all this strongly affects the performance and outcomes of their organizations
and themselves. Some managers develop a usual way of performing their jobs and
achieve some success with a preferred method of leading or a favorite approach to
motivating. However, their success will be limited if they always rely on a
standard "1-size-fits-all" approach. This is because contingency factors
influence the effectiveness of a given approach to managing. The "best" approach
depends on contingency factors, including the situation and the people involved.
Managers should choose an approach to fit with the changing contingency factors.
This article explains why and how managers should develop a contingency approach
to managing HCOs. The development of contingency theory is briefly described.
Practical application of contingency management is explained for leading,
motivating, decision making, and resolving conflict. By using a contingency
approach, managers can be more effective when managing their HCOs.
PMID- 27892911
TI - Case in Health Care Management.
PMID- 27892912
TI - Rightful Discharge: Making "Termination" Mean It Is Really Over: Part 1-Issues
and Legislation.
AB - One of the most difficult undertakings for any employer is carrying out a
decision to terminate an employee. Of all the employment-related actions taken by
employers, the act of termination creates the greatest risk of legal liability.
Many claims of employment discrimination filed with the Equal Employment
Opportunity Commission arise from the act of termination. In many federal courts,
employment-related lawsuits account for more than 50% of all court filings; these
lawsuits cover a wide range of subjects, such as failure to hire, defamation,
breach of contract, and harassment, to name a few. However, most employees sue
because they have lost their job or fear they will lose their job. Because these
individuals have virtually nothing to lose, they often see filing a claim with
the Equal Employment Opportunity Commission or filing a lawsuit as the only
viable option-often suing for wrongful discharge. With a thoughtful review of the
issues and the legislation addressed in this article, health care managers can
reduce the unnecessary risk of expensive, time-consuming litigation.
PMID- 27892913
TI - Developing a Successful Master of Health Administration Student Mentor-Mentee
Program.
AB - A student mentoring program can impact the overall experience in an academic
program and establish a strong connection between students. It creates a platform
for students to ask specific questions, learn more about the curriculum and
professional opportunities, and compare tips on how to approach businesses for
career-building positions. Topics such as conducting a residency search, finding
an external mentor, and navigating through academic projects are often stressful
components of the master of health administration (MHA) curriculum. This stress
and confusion can be reduced by engaging students to actively communicate and
utilize university resources through the mentor program. Future opportunities
exist for growth and expansion of the mentor programs within an existing or newly
established MHA program. Key factors to successful integration of the student
mentor-mentee program are open communication and transparency between students,
program chair, and faculty. This study compares past methods for implementing and
running a mentor program, with newer program models. In addition, the various
models of mentor programs will be discussed within the academic environment of an
MHA program.
PMID- 27892915
TI - Patient Access: Improving Wait Times in a Specialty Clinic.
AB - A vascular surgery clinic in an academic center faced many delays for patients in
gaining access to an appointment. In January 2014, wait times from referral to
patient appointment were estimated to be 3 to 4 weeks. A 6-month pilot project
was conducted from January 2014 through June 2014 with the aim of scheduling 50%
of new patients within 7 days of referral. The clinic manager, medical director,
clinic staff, and clerical team worked in partnership to initiate a series of
interventions to reduce the backlog of patients, implement scheduling guidelines,
and use nurse practitioners to improve practice efficiencies. By June 2014, the
vascular surgery clinic achieved the goals of increased accommodation of new
patients and a positive trend patient satisfaction comments as surveyed by Press
Ganey. Performance outcomes in both areas were sustained for 6 consecutive
months.
PMID- 27892914
TI - The Effects of Transformational Leadership and Mediating Factors on the
Organizational Success Using Structural Equation Modeling: A Case Study.
AB - This study was undertaken to determine the effects of transformational leadership
(TL) and mediating factors on organizational success (OS) from the
administrative, financial, and support employees' perspective in teaching
hospitals affiliated with Shiraz University of Medical Sciences using structural
equation modeling. Three hundred administrative and financial employees were
selected, using stratified sampling proportional to size and simple random
sampling. Data were collected using 5 questionnaires and analyzed using SPSS 21.0
and Lisrel 8.5 through Pearson correlation coefficient and path analysis and
confirmatory factor analysis methods. Results showed that TL had significant
positive effects on the 3 mediating factors, including organizational culture (t
= 15.31), organizational citizenship behavior (OCB) (t = 10.06), and social
capital (t = 10.25). Also, the organizational culture (t = 2.26), OCB (t = 3.48),
and social capital (t = 7.41) had significant positive effects on OS. According
to the results, TL had an indirect effect on OS. Therefore, organizations can
achieve more success by strengthening organizational culture, OCB, and social
capital through using transformational leadership style. Therefore, in order to
increase OS, the following recommendations are made: supporting and encouraging
new ideas in the organization, promoting teamwork, strengthening intergroup and
intragroup relationships, planning to strengthen and enrich the social and
organizational culture, considering the promotion of social capital in the
employee training, establishing a system to give rewards to the employees
performing extra-role activities, providing a suitable environment for creative
employees, and so on.
PMID- 27892916
TI - Deciding to Decide: How Decisions Are Made and How Some Forces Affect the
Process.
AB - There is a decision-making pattern that applies in all situations, large or
small, although in small decisions, the steps are not especially evident. The
steps are gathering information, analyzing information and creating alternatives,
selecting and implementing an alternative, and following up on implementation.
The amount of effort applied in any decision situation should be consistent with
the potential consequences of the decision. Essentially, all decisions are
subject to certain limitations or constraints, forces, or circumstances that
limit one's range of choices. Follow-up on implementation is the phase of
decision making most often neglected, yet it is frequently the phase that
determines success or failure. Risk and uncertainty are always present in a
decision situation, and the application of human judgment is always necessary. In
addition, there are often emotional forces at work that can at times unwittingly
steer one away from that which is best or most workable under the circumstances
and toward a suboptimal result based largely on the desires of the decision
maker.
PMID- 27892917
TI - Adjunctive Social Media for More Effective Contraceptive Counseling: A Randomized
Controlled Trial: Correction.
PMID- 27892919
TI - Practice Bulletin No. 165 Summary: Prevention and Management of Obstetric
Lacerations at Vaginal Delivery: Correction.
PMID- 27892918
TI - Effect of Diaphragm and Lubricant Gel Provision on Human Papillomavirus Infection
Among Women Provided With Condoms: A Randomized Controlled Trial: Correction.
PMID- 27892920
TI - FOXK1 interaction with FHL2 promotes proliferation, invasion and metastasis in
colorectal cancer.
AB - The transcriptional factor Forkhead box k1 (FOXK1) is a member of the FOX family.
The abnormal expression of FOXK1 may have an important role in tumour
development. Our previous studies showed that four-and-a-half LIM protein 2
(FHL2) is a critical inducer of the epithelial-to-mesenchymal transition (EMT)
and invasion. However, the molecular mechanism by which FOXK1 synergizes with
FHL2 tumour proliferation, EMT and metastasis is not well defined. We evaluated
that messenger RNA (mRNA) and protein expression levels by quantitative RT-PCR,
western blot, immunofluorescence and immunohistochemistry (IHC) assays. The
migration and invasive abilities of colorectal cancer (CRC) cells were evaluated
using short hairpin RNA (shRNA)-mediated inhibition in vitro and in vivo. We
showed that FOXK1 expression was upregulated in CRC compared with matched normal
tissues. FOXK1 physically interacts with FHL2 in CRC. Moreover, higher expression
levels of the two proteins were significantly associated with differentiation,
lymph node metastasis, AJCC stage and poorer prognosis. Furthermore, the
overexpression of FOXK1 in CRC cells is associated with EMT, invasion and
metastasis. However, the siRNA-mediated repression of FHL2 in FOXK1
overexpressing cells reversed EMT and both the proliferative and metastatic
phenotypes in vitro and in vivo. These data identified that the co-expression of
FOXK1 and FHL2 enhances cell proliferation and metastasis through the induction
of EMT. Thus, FOXK1 and FHL2 may serve as putative targets in the combined
therapy of CRC.
PMID- 27892921
TI - An essential role for bacterial nitric oxide synthase in Staphylococcus aureus
electron transfer and colonization.
AB - Nitric oxide (NO*) is a ubiquitous molecular mediator in biology. Many signalling
actions of NO* generated by mammalian NO* synthase (NOS) result from targeting of
the haem moiety of soluble guanylate cyclase. Some pathogenic and environmental
bacteria also produce a NOS that is evolutionary related to the mammalian
enzymes, but a bacterial haem-containing receptor for endogenous enzymatically
generated NO* has not been identified previously. Here, we show that NOS of the
human pathogen Staphylococcus aureus, in concert with an NO*-metabolizing
flavohaemoprotein, regulates electron transfer by targeting haem-containing
cytochrome oxidases under microaerobic conditions to maintain membrane
bioenergetics. This process is essential for staphylococcal nasal colonization
and resistance to the membrane-targeting antibiotic daptomycin and demonstrates
the conservation of NOS-derived NO*-haem receptor signalling between bacteria and
mammals.
PMID- 27892922
TI - Convergence and divergence of bitterness biosynthesis and regulation in
Cucurbitaceae.
AB - Differentiation of secondary metabolite profiles in closely related plant species
provides clues for unravelling biosynthetic pathways and regulatory circuits, an
area that is still underinvestigated. Cucurbitacins, a group of bitter and highly
oxygenated tetracyclic triterpenes, are mainly produced by the plant family
Cucurbitaceae. These compounds have similar structures, but differ in their
antitumour activities and ecophysiological roles. By comparative analyses of the
genomes of cucumber, melon and watermelon, we uncovered conserved syntenic loci
encoding metabolic genes for distinct cucurbitacins. Characterization of the
cytochrome P450s (CYPs) identified from these loci enabled us to unveil a novel
multi-oxidation CYP for the tailoring of the cucurbitacin core skeleton as well
as two other CYPs responsible for the key structural variations among
cucurbitacins C, B and E. We also discovered a syntenic gene cluster of
transcription factors that regulates the tissue-specific biosynthesis of
cucurbitacins and may confer the loss of bitterness phenotypes associated with
convergent domestication of wild cucurbits. This study illustrates the potential
to exploit comparative genomics to identify enzymes and transcription factors
that control the biosynthesis of structurally related yet unique natural
products.
PMID- 27892924
TI - The pattern-recognition receptor CORE of Solanaceae detects bacterial cold-shock
protein.
AB - Plants and animals recognize microbial invaders by detecting microbe-associated
molecular patterns (MAMPs) by cell surface receptors. Many plant species of the
Solanaceae family detect the highly conserved nucleic acid binding motif RNP-1 of
bacterial cold-shock proteins (CSPs), represented by the peptide csp22, as a
MAMP. Here, we exploited the natural variation in csp22 perception observed
between cultivated tomato (Solanum lycopersicum) and Solanum pennellii to map and
identify the leucine-rich repeat (LRR) receptor kinase CORE (cold shock protein
receptor) of tomato as the specific, high-affinity receptor site for csp22.
Corroborating its function as a genuine receptor, heterologous expression of CORE
in Arabidopsis thaliana conferred full sensitivity to csp22 and, importantly, it
also rendered these plants more resistant to infection by the bacterial pathogen
Pseudomonas syringae pv. tomato DC3000. Our study also confirms the
biotechnological potential of enhancing plant immunity by interspecies transfer
of highly effective pattern-recognition receptors such as CORE to different plant
families.
PMID- 27892923
TI - Origin and function of stomata in the moss Physcomitrella patens.
AB - Stomata are microscopic valves on plant surfaces that originated over 400 million
years (Myr) ago and facilitated the greening of Earth's continents by permitting
efficient shoot-atmosphere gas exchange and plant hydration1. However, the core
genetic machinery regulating stomatal development in non-vascular land plants is
poorly understood2-4 and their function has remained a matter of debate for a
century5. Here, we show that genes encoding the two basic helix-loop-helix
proteins PpSMF1 (SPEECH, MUTE and FAMA-like) and PpSCREAM1 (SCRM1) in the moss
Physcomitrella patens are orthologous to transcriptional regulators of stomatal
development in the flowering plant Arabidopsis thaliana and essential for stomata
formation in moss. Targeted P. patens knockout mutants lacking either PpSMF1 or
PpSCRM1 develop gametophytes indistinguishable from wild-type plants but mutant
sporophytes lack stomata. Protein-protein interaction assays reveal
heterodimerization between PpSMF1 and PpSCRM1, which, together with moss
angiosperm gene complementations6, suggests deep functional conservation of the
heterodimeric SMF1 and SCRM1 unit is required to activate transcription for moss
stomatal development, as in A. thaliana7. Moreover, stomata-less sporophytes of
DeltaPpSMF1 and DeltaPpSCRM1 mutants exhibited delayed dehiscence, implying
stomata might have promoted dehiscence in the first complex land-plant
sporophytes.
PMID- 27892926
TI - Dual-specificity phosphatase 6 deficiency regulates gut microbiome and
transcriptome response against diet-induced obesity in mice.
AB - The gut microbiota plays profound roles in host metabolism and the inflammatory
response associated with the development of obesity. Dusp6-deficient mice have
been shown to be resistant to diet-induced obesity, but the mechanism behind this
remains unclear. 16S ribosomal RNA gene analysis demonstrated that dusp6
deficient mice harbour unique gut microbiota with resistance to diet-induced
obesity-mediated alteration of the gut microbiome. Using a germ-free mouse model,
we found that faecal/gut microbiota derived from dusp6-deficient mice
significantly increased energy expenditure and reduced weight gain in recipient
wild-type mice fed on a high-fat diet. On analysis of the intestinal
transcriptome of dusp6-deficient mice, we found that dusp6 deficiency mainly
induced biological processes involved in metabolism and the extracellular matrix,
particularly the peroxisome proliferator-activated receptor gamma (Ppargamma)
pathway and tight-junction genes. Furthermore, dusp6-deficient mice have a high
fat-diet-specific transcriptomic response to reverse the expression of genes
associated with intestinal barrier functions and mucosal immunity involved in
microbiome homeostasis. This study demonstrates that dusp6 deficiency is a strong
genetic factor shaping gut microbiota, and that it confers obesity protection by
ameliorating the gut microbiota response to diet-mediated stress.
PMID- 27892927
TI - Photosynthesis: Mining grasses for a better Rubisco.
PMID- 27892925
TI - A mouse model for MERS coronavirus-induced acute respiratory distress syndrome.
AB - Middle East respiratory syndrome coronavirus (MERS-CoV) is a novel virus that
emerged in 2012, causing acute respiratory distress syndrome (ARDS), severe
pneumonia-like symptoms and multi-organ failure, with a case fatality rate of
~36%. Limited clinical studies indicate that humans infected with MERS-CoV
exhibit pathology consistent with the late stages of ARDS, which is reminiscent
of the disease observed in patients infected with severe acute respiratory
syndrome coronavirus. Models of MERS-CoV-induced severe respiratory disease have
been difficult to achieve, and small-animal models traditionally used to
investigate viral pathogenesis (mouse, hamster, guinea-pig and ferret) are
naturally resistant to MERS-CoV. Therefore, we used CRISPR-Cas9 gene editing to
modify the mouse genome to encode two amino acids (positions 288 and 330) that
match the human sequence in the dipeptidyl peptidase 4 receptor, making mice
susceptible to MERS-CoV infection and replication. Serial MERS-CoV passage in
these engineered mice was then used to generate a mouse-adapted virus that
replicated efficiently within the lungs and evoked symptoms indicative of severe
ARDS, including decreased survival, extreme weight loss, decreased pulmonary
function, pulmonary haemorrhage and pathological signs indicative of end-stage
lung disease. Importantly, therapeutic countermeasures comprising MERS-CoV
neutralizing antibody treatment or a MERS-CoV spike protein vaccine protected the
engineered mice against MERS-CoV-induced ARDS.
PMID- 27892928
TI - Microfluidics-assisted fluorescence in situ hybridization for advantageous human
epidermal growth factor receptor 2 assessment in breast cancer.
AB - Fluorescence in situ hybridization (FISH) is one of the recommended techniques
for human epidermal growth factor receptor 2 (HER2) status assessment on cancer
tissues. Here we develop microfluidics-assisted FISH (MA-FISH), in which
hybridization of the FISH probes with their target DNA strands is obtained by
applying square-wave oscillatory flows of diluted probe solutions in a thin
microfluidic chamber of 5 MUl volume. By optimizing the experimental parameters,
MA-FISH decreases the consumption of the expensive probe solution by a factor 5
with respect to the standard technique, and reduces the hybridization time to 4
h, which is four times faster than in the standard protocol. To validate the
method, we blindly conducted HER2 MA-FISH on 51 formalin-fixed paraffin-embedded
tissue slides of 17 breast cancer samples, and compared the results with standard
HER2 FISH testing. HER2 status classification was determined according to
published guidelines, based on average number of HER2 copies per cell and average
HER2/CEP17 ratio. Excellent agreement was observed between the two methods,
supporting the validity of MA-FISH and further promoting its short hybridization
time and reduced reagent consumption.
PMID- 27892929
TI - A review of kinase fusions in melanocytic tumors.
AB - Translocations resulting in a kinase fusion are well reported in many tumor types
and indeed can be defining, particularly in the case of hematopoietic
malignancies. The recent reports of multiple protein kinase fusions within
melanocytic neoplasms, particularly in those with spitzoid morphology, have
heralded a new era of classification of these melanocytic tumors. Seen within
approximately half of all spitzoid neoplasms and present within the full spectrum
of benign, atypical, and malignant lesions, kinase fusions likely represent an
early oncogenic event contributing to cell proliferation and growth. Although the
presence of a kinase fusion does not seem to correlate with the biologic
potential of a given lesion, documentation of a kinase fusion will likely be
important, particularly in the case of spitzoid melanoma, as numerous specific
kinase receptor inhibitors have shown promise as therapeutic agents in a subset
of cases with kinase fusions. Interrogation of non-spitzoid melanomas for similar
kinase fusions as a potential driving oncogenic mechanism has revealed some
similarities and some differences. This review will focus on the kinase fusions
described to date in spitzoid neoplasms and how subsequent studies have informed
current melanoma research.
PMID- 27892930
TI - An optimized protocol for purification of functional islets of Langerhans.
AB - Islets of Langerhans and beta-cell isolation constitute routinely used cell
models for diabetic research, and refining islet isolation protocols and cell
quality assessment is a high priority. Numerous protocols have been published
describing isolate of islets, but often rigorous and systematic assessment of
their integrity is lacking. Herein, we propose a new protocol for optimal
generation of islets. Pancreases from mice and rats were excised and digested
using a low-activity collagenase solution and islets were then purified by a
series of sedimentations and a Percoll gradient. Islets were maintained in
culture for 5 days, during which viability, pro/antiapoptotic, and islet-specific
genes, glucose-stimulated calcium entry, glucose uptake, and insulin secretion
were assessed. The commonly used islet isolation technique by collagenase
injection through the common bile duct (CBD) was also performed and compared with
the present approach. This new protocol produced islets that retained a healthy
status as demonstrated by the yield of stable living cells. Furthermore, calcium
oscillation, glucose uptake, and insulin secretion remained intact in the islet
cultures. This was reproducible when many rodent species were used, and neither
sex nor age affected the cells behavior. When compared with the CBD technique,
islet physiology was similar. Finally, this approach was used to uncover new ion
channel candidates implicated in insulin secretion. In conclusion, this study
outlines an efficient protocol for islet preparation that may support research
into new therapeutic targets in diabetes research.
PMID- 27892931
TI - The influence of tumor regression, solar elastosis, and patient age on
pathologists' interpretation of melanocytic skin lesions.
AB - It is not known whether patient age or tumor characteristics such as tumor
regression or solar elastosis influence pathologists' interpretation of
melanocytic skin lesions (MSLs). We undertook a study to determine the influence
of these factors, and to explore pathologist's characteristics associated with
the direction of diagnosis. To meet our objective, we designed a cross-sectional
survey study of pathologists' clinical practices and perceptions. Pathologists
were recruited from diverse practices in 10 states in the United States. We
enrolled 207 pathologist participants whose practice included the interpretation
of MSLs. Our findings indicated that the majority of pathologists (54.6%) were
influenced toward a less severe diagnosis when patients were <30 years of age.
Most pathologists were influenced toward a more severe diagnosis when patients
were >70 years of age, or by the presence of tumor regression or solar elastosis
(58.5%, 71.0%, and 57.0%, respectively). Generally, pathologists with
dermatopathology board certification and/or a high caseload of MSLs were more
likely to be influenced, whereas those with more years' experience interpreting
MSL were less likely to be influenced. Our findings indicate that the
interpretation of MSLs is influenced by patient age, tumor regression, and solar
elastosis; such influence is associated with dermatopathology training and higher
caseload, consistent with expertise and an appreciation of lesion complexity.
PMID- 27892933
TI - Parity-time-symmetric circular Bragg lasers: a proposal and analysis.
AB - We propose a new type of semiconductor lasers by implementing the concept of
parity-time symmetry in a two-dimensional circular Bragg grating structure, where
both the real and imaginary parts of the refractive index are modulated along the
radial direction. The laser modal properties are analyzed with a transfer-matrix
method and are verified with numerical simulation of a practical design. Compared
with conventional distributed-feedback lasers with modulation of only the real
part of refractive index, the parity-time-symmetric circular Bragg lasers feature
reduced threshold and enhanced modal discrimination, which in combination with
the intrinsic circularly symmetric, large emission aperture are clear advantages
in applications that require mode-hop-free, high-power, single-mode laser
operation.
PMID- 27892934
TI - Treatment with FGFR2-IIIc monoclonal antibody suppresses weight gain and
adiposity in KKAy mice.
AB - Expression of beta-Kotho, fibroblast growth factor receptor (FGFR)-1c and 2c,
which bind FGF21, is decreased in the white adipose tissue of obese mice. The aim
of the present study was to determine the role of FGFR2c in the development of
obesity and diabetes in KKAy mice. Treatment with mouse monoclonal FGFR2-IIIc
antibody (0.5 mg kg-1) significantly suppressed body weight gain and epididymal
white adipose tissue weight in individually housed KKAy mice while having no
effect on daily food intake. In addition, treatment with FGFR2-IIIc antibody
significantly increased plasma-free fatty acid levels while having no effect on
blood glucose or plasma FGF21 levels. Moreover, treatment with FGFR2-IIIc
antibody had no significant effect on the expression of uncoupling protein-1,
uncoupling protein-2 or peroxisome proliferator-activated receptor-gamma
coactivator 1alpha in the epididymal white adipose tissue. The treatment with
FGFR2-IIIc antibody had no significant effects on daily food intake and body
weight gain in individually housed KK mice. These findings suggest that FGFR2
IIIc upregulates the adiposity induced by social isolation in KKAy mice, and that
decreased expression and/or function of FGFR2c might be a compensatory response
to enhanced adiposity. Inhibition of FGFR2-IIIc function might be a novel
therapeutic approach for obesity.
PMID- 27892932
TI - Reciprocal regulation of carbon monoxide metabolism and the circadian clock.
AB - Circadian clocks are cell-autonomous oscillators regulating daily rhythms in a
wide range of physiological, metabolic and behavioral processes. Feedback of
metabolic signals, such as redox state, NAD+/NADH and AMP/ADP ratios, or heme,
modulate circadian rhythms and thereby optimize energy utilization across the 24
h cycle. We show that rhythmic heme degradation, which generates the signaling
molecule carbon monoxide (CO), is required for normal circadian rhythms as well
as circadian metabolic outputs. CO suppresses circadian transcription by
attenuating CLOCK-BMAL1 binding to target promoters. Pharmacological inhibition
or genetic depletion of CO-producing heme oxygenases abrogates normal daily
cycles in mammalian cells and Drosophila. In mouse hepatocytes, suppression of CO
production leads to a global upregulation of CLOCK-BMAL1-dependent circadian gene
expression and dysregulated glucose metabolism. Together, our findings show that
CO metabolism is an important link between the basic circadian-clock machinery,
metabolism and behavior.
PMID- 27892935
TI - The link between soda intake and asthma: science points to the high-fructose corn
syrup, not the preservatives: a commentary.
AB - Recent research conducted by investigators at the National Center for Chronic
Disease Prevention and Health Promotion-a division of the US Centers for Disease
Control and Prevention (CDC)-found that 'Regular-Soda Intake, Independent of
Weight Status, is Associated with Asthma among US High School Students.' On the
basis of their review of prior studies, researchers hypothesized that the
association may be due to high intake of sodium benzoate, a commonly used
preservative in US soft drinks. But a closer look at these prior research studies
suggests that there is no strong scientific evidence that the preservatives in US
soft drinks are associated with asthma. Importantly, other recent research
suggests that the association may be with the unpaired (excess free) fructose in
high fructose corn syrup.
PMID- 27892936
TI - Influence of early life exposure, host genetics and diet on the mouse gut
microbiome and metabolome.
AB - Although the gut microbiome plays important roles in host physiology, health and
disease1, we lack understanding of the complex interplay between host genetics
and early life environment on the microbial and metabolic composition of the gut.
We used the genetically diverse Collaborative Cross mouse system2 to discover
that early life history impacts the microbiome composition, whereas dietary
changes have only a moderate effect. By contrast, the gut metabolome was shaped
mostly by diet, with specific non-dietary metabolites explained by microbial
metabolism. Quantitative trait analysis identified mouse genetic trait loci (QTL)
that impact the abundances of specific microbes. Human orthologues of genes in
the mouse QTL are implicated in gastrointestinal cancer. Additionally, genes
located in mouse QTL for Lactobacillales abundance are implicated in arthritis,
rheumatic disease and diabetes. Furthermore, Lactobacillales abundance was
predictive of higher host T-helper cell counts, suggesting an important link
between Lactobacillales and host adaptive immunity.
PMID- 27892937
TI - Novel recombinant papillomavirus genomes expressing selectable genes.
AB - Papillomaviruses infect and replicate in keratinocytes, but viral proteins are
initially expressed at low levels and there is no effective and quantitative
method to determine the efficiency of infection on a cell-to-cell basis. Here we
describe human papillomavirus (HPV) genomes that express marker proteins
(antibiotic resistance genes and Green Fluorescent Protein), and can be used to
elucidate early stages in HPV infection of primary keratinocytes. To generate
these recombinant genomes, the late region of the oncogenic HPV18 genome was
replaced by CpG free marker genes. Insertion of these exogenous genes did not
affect early replication, and had only minimal effects on early viral
transcription. When introduced into primary keratinocytes, the recombinant marker
genomes gave rise to drug-resistant keratinocyte colonies and cell lines, which
maintained the extrachromosomal recombinant genome long-term. Furthermore, the
HPV18 "marker" genomes could be packaged into viral particles (quasivirions) and
used to infect primary human keratinocytes in culture. This resulted in the
outgrowth of drug-resistant keratinocyte colonies containing replicating HPV18
genomes. In summary, we describe HPV18 marker genomes that can be used to
quantitatively investigate many aspects of the viral life cycle.
PMID- 27892939
TI - Postoperative survival According to the Glasgow PrognosticScore in Patients with
Resected Lung Adenocarcinoma
AB - Background: The Glasgow Prognostic Score (GPS) is calculated from measured CRP
and albumin levels. We hereevaluated the significance of the GPS in patients with
resected pulmonary adenocarcinoma. Materials and Methods:The present study
included 156 patients with lung adenocarcinoma who underwent lobectomy at
Kanazawa MedicalUniversity between 2002 and 2012. Classification was into three
groups: those with normal albumin (>=3.5 g/dl) andC-reactive protein (CRP) (<=1.0
mg/dl) levels were classified as GPS 0 (n =136), those with low albumin (<3.5
g/dl) or elevated CRP (>1.0 mg/dl) levels as GPS 1 (n = 16), and those with low
albumin (<3.5 g/dl) and elevated CRP(>1.0 mg/dl) levels as GPS 2 (n = 4). We
retrospectively investigated relationships between the patient
characteristicsincluding the GPS, and disease-free survival and cancer-specific
survival. Results: The pathological stages of thepatients were as follows: IA
(n=78, 50%), IB (n=31, 19.9%), IIA (n=20.0, 12.8%), IIB (n=9.0, 5.7%), and IIIA
(n=18.0,11.5%). Lobectomy was performed in all cases. The average GPS was 0.15 (0
2) and showed significant relationshipswith stage and tumor size. The 2-year
survival rates in patients with GPS0, 1 and 2 were 81.4%, 38.4%, and
25.0%,respectively. Clear correlations were noted with both cancer-specific
survival and disease-free survival. Furthermore,multivariate analysis revealed
that GPS was a significant prognostic factor. Conclusions: The GPS could be a
prognosticfactor for patients with resected pulmonary adenocarcinoma.
PMID- 27892940
TI - Robustness and Vulnerability of Networks with Dynamical Dependency Groups.
AB - The dependency property and self-recovery of failure nodes both have great
effects on the robustness of networks during the cascading process. Existing
investigations focused mainly on the failure mechanism of static dependency
groups without considering the time-dependency of interdependent nodes and the
recovery mechanism in reality. In this study, we present an evolving network
model consisting of failure mechanisms and a recovery mechanism to explore
network robustness, where the dependency relations among nodes vary over time.
Based on generating function techniques, we provide an analytical framework for
random networks with arbitrary degree distribution. In particular, we
theoretically find that an abrupt percolation transition exists corresponding to
the dynamical dependency groups for a wide range of topologies after initial
random removal. Moreover, when the abrupt transition point is above the failure
threshold of dependency groups, the evolving network with the larger dependency
groups is more vulnerable; when below it, the larger dependency groups make the
network more robust. Numerical simulations employing the Erdos-Renyi network and
Barabasi-Albert scale free network are performed to validate our theoretical
results.
PMID- 27892938
TI - Dendritic cell function and pathogen-specific T cell immunity are inhibited in
mice administered levonorgestrel prior to intranasal Chlamydia trachomatis
infection.
AB - The growing popularity of levonorgestrel (LNG)-releasing intra-uterine systems
for long-acting reversible contraception provides strong impetus to define
immunomodulatory properties of this exogenous progestin. In initial in vitro
studies herein, we found LNG significantly impaired activation of human dendritic
cell (DCs) and their capacity to promote allogeneic T cell proliferation. In
follow-up studies in a murine model of intranasal Chlamydia trachomatis
infection, we analogously found that LNG treatment prior to infection
dramatically reduced CD40 expression in DCs isolated from draining lymph nodes at
2 days post infection (dpi). At 12 dpi, we also detected significantly fewer CD4+
and CD8+ T cells in the lungs of LNG-treated mice. This inhibition of DC
activation and T cell expansion in LNG-treated mice also delayed chlamydial
clearance and the resolution of pulmonary inflammation. Conversely, administering
agonist anti-CD40 monoclonal antibody to LNG-treated mice at 1 dpi restored lung
T cell numbers and chlamydial burden at 12 dpi to levels seen in infected
controls. Together, these studies reveal that LNG suppresses DC activation and
function, and inhibits formation of pathogen-specific T cell immunity. They also
highlight the need for studies that define in vivo effects of LNG use on human
host response to microbial pathogens.
PMID- 27892941
TI - Hatching asynchrony vs. foraging efficiency: the response to food availability in
specialist vs. generalist tit species.
AB - Breeding mistiming is increasingly frequent in several ecosystems in the face of
current climate change. Species belonging to higher trophic levels must employ
mechanisms to reduce it. One of these mechanisms is hatching asynchrony, with the
eggs in a clutch hatching over a period of several days. Some authors have
suggested it to be adaptive when food is unpredictable. However, these birds can
also suffer associated costs. We tested whether a species with higher foraging
efficiency avoid hatching asynchrony compared to its sister species. We studied
hatching asynchrony and nestling provisioning in relation to food availability in
sympatric populations of blue and great tits. For the first time, we show that
sister species respond to food availability with different strategies. Blue tit
feeding rates readily responded to the abundance of their main prey, and also
reduced the impact of nestling size hierarchy on mean nestling weight,
consequently increasing fledging rate. Our results suggest that levels of
hatching asynchrony seem to be influenced by species-specific life history
traits, as generalist foragers rely less on it. They also highlight the
importance of multi-species approaches when studying the response of organisms to
environmental unpredictability.
PMID- 27892942
TI - Scattering of nanowire surface plasmons coupled to quantum dots with azimuthal
angle difference.
AB - Coherent scatterings of surface plasmons coupled to quantun dots have attracted
great attention in plasmonics. Recently, an experiment has shown that the quantum
dots located nearby a nanowire can be separated not only in distance, but also an
angle phi along the cylindrical direction. Here, by using the real-space
Hamiltonian and the transfer matrix method, we analytically obtain the
transmission/reflection spectra of nanowire surface plasmons coupled to quantum
dots with an azimuthal angle difference. We find that the scattering spectra can
show completely different features due to different positions and azimuthal
angles of the quantum dots. When additionally coupling a cavity to the dots, we
obtain the Fano-like line shape in the transmission and reflection spectra due to
the interference between the localized and delocalized modes.
PMID- 27892943
TI - Temperature responses of Rubisco from Paniceae grasses provide opportunities for
improving C3 photosynthesis.
AB - Enhancing the catalytic properties of the CO2-fixing enzyme Rubisco is a target
for improving agricultural crop productivity. Here, we reveal extensive diversity
in the kinetic response between 10 and 37 degrees C by Rubisco from C3 and C4
species within the grass tribe Paniceae. The CO2 fixation rate (kcatc) for
Rubisco from the C4 grasses with nicotinamide adenine dinucleotide (NAD)
phosphate malic enzyme (NADP-ME) and phosphoenolpyruvate carboxykinase (PCK)
photosynthetic pathways was twofold greater than the kcatc of Rubisco from NAD-ME
species across all temperatures. The declining response of CO2/O2 specificity
with increasing temperature was less pronounced for PCK and NADP-ME Rubisco,
which would be advantageous in warmer climates relative to the NAD-ME grasses.
Modelled variation in the temperature kinetics of Paniceae C3 Rubisco and PCK
Rubisco differentially stimulated C3 photosynthesis relative to tobacco above and
below 25 degrees C under current and elevated CO2. Amino acid substitutions in
the large subunit that could account for the catalytic variation among Paniceae
Rubisco are identified; however, incompatibilities with Paniceae Rubisco
biogenesis in tobacco hindered their mutagenic testing by chloroplast
transformation. Circumventing these bioengineering limitations is critical to
tailoring the properties of crop Rubisco to suit future climates.
PMID- 27892945
TI - Therapy of pediatric ALL relapsing after allogeneic transplant: how to make
progress with limited patient numbers?
PMID- 27892944
TI - Veno-occlusive disease/sinusoidal obstruction syndrome after haematopoietic stem
cell transplantation: Middle East/North Africa regional consensus on prevention,
diagnosis and management.
AB - Veno-occlusive disease/sinusoidal obstruction syndrome (VOD/SOS) of the liver is
a serious, early complication of haematopoietic stem cell transplantation (HSCT),
severe and very severe forms of which are associated with a high mortality rate.
A wide variety of patient, disease and treatment-related risk factors for VOD/SOS
have been identified. Several bodies have published recommendations for the
diagnosis, prevention and management of VOD/SOS following HSCT. A group of
regional experts have developed a consensus statement on the diagnosis,
prevention and management of VOD/SOS in the Middle East and North Africa region
to help in the management of HSCT patients in the region. Risk factors of
particular relevance in the region include iron overload in thalassaemia
patients, some hereditary metabolic disorders due to consanguinity and infection
with hepatitis virus B or C. Recommendations include diagnosis of VOD/SOS based
on established clinical criteria, prophylaxis with defibrotide and/or
ursodeoxycholic acid in patients at increased risk of VOD/SOS, and treatment with
defibrotide for patients with severe/very severe VOD/SOS (and, if clinically
indicated, in those with moderate or rapidly progressing VOD/SOS, as per the new
European Society for Blood and Marrow Transplantation classification).
PMID- 27892947
TI - Danaparoid reduces the incidence of hematopoietic stem cell transplantation
associated thrombotic microangiopathy.
PMID- 27892946
TI - First-line escalated BEACOPP does not hinder stem cell collection and
transplantation strategy in patients with relapsed/refractory Hodgkin's lymphoma.
PMID- 27892948
TI - Long-term outcomes after thiotepa-based high-dose therapy (HDT) and autologous
hematopoietic cell transplantation (auto-HCT) in non-Hodgkin lymphoma (NHL).
PMID- 27892949
TI - The EBMT-ELN working group recommendations on the prophylaxis and treatment of
GvHD: a change-control analysis.
AB - In 2013, recommendations for a standardized practice in the prophylaxis and
treatment of GvHD were adopted and published by the European Society for Blood
and Marrow Transplantation and the European LeukemiaNet. One year later, all 341
European Society for Blood and Marrow Transplantation centres performing
allogeneic haematopoietic stem cell transplantation were contacted for a change
control analysis and asked to fill in a questionnaire; 111 centres (33%)
responded. Of these, 83% had been aware of the recommendations. Paediatric
centres (P=0.004), centres with shorter programme duration (P=0.049), not JACIE
(the Joint Accreditation Committee of the International Society for Cellular
Therapy and the European Society for Blood and Marrow Transplantation)-accredited
centres (P=0.010) and centres from middle-income countries (P=0.033) were more
likely to be unaware of the recommendations. Thirty-eight per cent of the centres
regarded the recommendations as relevant guidelines affecting their policies, 61%
as interesting information. Thirty per cent had decided to make changes in their
institutional protocols based on the recommendations. More than 80% were willing
to use the recommendations for a control arm in randomized studies. This survey
shows that the published recommendations had some, though insufficient, impact on
the strategies and methods of allogeneic haematopoietic stem cell transplantation
applied by the centres. It also identified some of the weaknesses to be addressed
when releasing recommendations in the future.
PMID- 27892950
TI - PD-1 checkpoint blockade in patients with relapsed AML after allogeneic stem cell
transplantation.
PMID- 27892951
TI - Comparison of three or fewer high-dose chemotherapy cycles as salvage treatment
in germ cell tumors in first relapse.
PMID- 27892952
TI - Dynamic prognostic value of the revised international prognostic scoring system
following pretransplant hypomethylating treatment in myelodysplastic syndrome.
AB - This study aimed to analyze the use of the revised International Prognostic
Scoring System (IPSS-R) assessed after hypomethylating treatment (HMT) for
patients with myelodysplastic syndrome (MDS) undergoing an allogeneic stem cell
transplantation (SCT). Among 115 patients who received pre-SCT HMT, comparison
analysis of the prognostic values between the IPSS-R at the time of HMT (IPSS
R@HMT) and at the time of SCT after HMT (IPSS-R@SCT) showed a significantly
higher predictive power for overall survival (OS) of the latter. Alteration in
IPSS-R risk occurred in 60%, while the patients with 'down-staged' IPSS-R@SCT
showed better OS compared with those with 'unchanged' or 'up-staged' risk. On
multivariate analysis in all 201 patients, IPSS-R@SCT, monosomal karyotype,
treatment failure to pre-SCT treatment, and high hematopoietic cell
transplantation-comorbidity index were independently associated with OS.
Constructed using these factors, the MDS Transplantation Prognostic Scoring
System (MTPSS) identified four risk groups with 4-year OS of 76.4% in low, 61.4%
in intermediate-1 and 21.9% in intermediate-2 risk groups, whereas all in the
high risk group died within 2 years after SCT (P<0.001). Our study emphasizes the
need for further studies aiming to evaluate a transplantation prognostic model
such as the MTPSS to make appropriate decisions for transplantation in MDS.
PMID- 27892955
TI - Erratum: The histone deacetylase inhibiting drug Entinostat induces lipid
accumulation in differentiated HepaRG cells.
PMID- 27892953
TI - Thalamic miR-338-3p mediates auditory thalamocortical disruption and its late
onset in models of 22q11.2 microdeletion.
AB - Although 22q11.2 deletion syndrome (22q11DS) is associated with early-life
behavioral abnormalities, affected individuals are also at high risk for the
development of schizophrenia symptoms, including psychosis, later in life.
Auditory thalamocortical (TC) projections recently emerged as a neural circuit
that is specifically disrupted in mouse models of 22q11DS (hereafter referred to
as 22q11DS mice), in which haploinsufficiency of the microRNA (miRNA)-processing
factor-encoding gene Dgcr8 results in the elevation of the dopamine receptor Drd2
in the auditory thalamus, an abnormal sensitivity of thalamocortical projections
to antipsychotics, and an abnormal acoustic-startle response. Here we show that
these auditory TC phenotypes have a delayed onset in 22q11DS mice and are
associated with an age-dependent reduction of miR-338-3p, a miRNA that targets
Drd2 and is enriched in the thalamus of both humans and mice. Replenishing
depleted miR-338-3p in mature 22q11DS mice rescued the TC abnormalities, and
deletion of Mir338 (which encodes miR-338-3p) or reduction of miR-338-3p
expression mimicked the TC and behavioral deficits and eliminated the age
dependence of these deficits. Therefore, miR-338-3p depletion is necessary and
sufficient to disrupt auditory TC signaling in 22q11DS mice, and it may mediate
the pathogenic mechanism of 22q11DS-related psychosis and control its late onset.
PMID- 27892954
TI - A purified membrane protein from Akkermansia muciniphila or the pasteurized
bacterium improves metabolism in obese and diabetic mice.
AB - Obesity and type 2 diabetes are associated with low-grade inflammation and
specific changes in gut microbiota composition. We previously demonstrated that
administration of Akkermansia muciniphila to mice prevents the development of
obesity and associated complications. However, the underlying mechanisms of this
protective effect remain unclear. Moreover, the sensitivity of A. muciniphila to
oxygen and the presence of animal-derived compounds in its growth medium
currently limit the development of translational approaches for human medicine.
We have addressed these issues here by showing that A. muciniphila retains its
efficacy when grown on a synthetic medium compatible with human administration.
Unexpectedly, we discovered that pasteurization of A. muciniphila enhanced its
capacity to reduce fat mass development, insulin resistance and dyslipidemia in
mice. These improvements were notably associated with a modulation of the host
urinary metabolomics profile and intestinal energy absorption. We demonstrated
that Amuc_1100, a specific protein isolated from the outer membrane of A.
muciniphila, interacts with Toll-like receptor 2, is stable at temperatures used
for pasteurization, improves the gut barrier and partly recapitulates the
beneficial effects of the bacterium. Finally, we showed that administration of
live or pasteurized A. muciniphila grown on the synthetic medium is safe in
humans. These findings provide support for the use of different preparations of
A. muciniphila as therapeutic options to target human obesity and associated
disorders.
PMID- 27892957
TI - Corrigendum: Lithium-ion-based solid electrolyte tuning of the carrier density in
graphene.
PMID- 27892956
TI - Phenology and Growth dynamics of Avicennia marina in the Central Red Sea.
AB - The formation of nodes, stem elongation and the phenology of stunted Avicennia
marina was examined in the Central Red Sea, where Avicennia marina is at the
limit of its distribution range and submitted to extremely arid conditions with
salinity above 38 psu and water temperature as high as 35 degrees C. The annual
node production was rather uniform among locations averaging 9.59 node y-1, which
resulted in a plastocron interval, the interval in between production of two
consecutive nodes along a stem, of 38 days. However, the internodal length varied
significantly between locations, resulting in growth differences possibly
reflecting the environmental conditions of locations. The reproductive cycle
lasted for approximately 12 months, and was characterized by peak flowering and
propagule development in November and January. These phenological observations
provide a starting point for research and restoration programs on the ecology of
mangroves in the Central Red Sea, while the plastochrone index reported here
would allow calculations of the growth and production of the species from simple
morphological measurements.
PMID- 27892958
TI - A scored human protein-protein interaction network to catalyze genomic
interpretation.
AB - Genome-scale human protein-protein interaction networks are critical to
understanding cell biology and interpreting genomic data, but challenging to
produce experimentally. Through data integration and quality control, we provide
a scored human protein-protein interaction network (InWeb_InBioMap, or InWeb_IM)
with severalfold more interactions (>500,000) and better functional biological
relevance than comparable resources. We illustrate that InWeb_InBioMap enables
functional interpretation of >4,700 cancer genomes and genes involved in autism.
PMID- 27892959
TI - novoBreak: local assembly for breakpoint detection in cancer genomes.
AB - We present novoBreak, a genome-wide local assembly algorithm that discovers
somatic and germline structural variation breakpoints in whole-genome sequencing
data. novoBreak consistently outperformed existing algorithms on real cancer
genome data and on synthetic tumors in the ICGC-TCGA DREAM 8.5 Somatic Mutation
Calling Challenge primarily because it more effectively utilized reads spanning
breakpoints. novoBreak also demonstrated great sensitivity in identifying short
insertions and deletions.
PMID- 27892961
TI - Host-derived extracellular RNA promotes adhesion of Streptococcus pneumoniae to
endothelial and epithelial cells.
AB - Streptococcus pneumoniae is the most frequent cause of community-acquired
pneumonia. The infection process involves bacterial cell surface receptors, which
interact with host extracellular matrix components to facilitate colonization and
dissemination of bacteria. Here, we investigated the role of host-derived
extracellular RNA (eRNA) in the process of pneumococcal alveolar epithelial cell
infection. Our study demonstrates that eRNA dose-dependently increased S.
pneumoniae invasion of alveolar epithelial cells. Extracellular enolase (Eno), a
plasminogen (Plg) receptor, was identified as a novel eRNA-binding protein on S.
pneumoniae surface, and six Eno eRNA-binding sites including a C-terminal 15
amino acid motif containing lysine residue 434 were characterized. Although the
substitution of lysine 434 for glycine (K434G) markedly diminished the binding of
eRNA to Eno, the adherence to and internalization into alveolar epithelial cells
of S. pneumoniae strain carrying the C-terminal lysine deletion and the mutation
of internal Plg-binding motif were only marginally impaired. Accordingly, using a
mass spectrometric approach, we identified seven novel eRNA-binding proteins in
pneumococcal cell wall. Given the high number of eRNA-interacting proteins on
pneumococci, treatment with RNase1 completely inhibited eRNA-mediated
pneumococcal alveolar epithelial cell infection. Our data support further efforts
to employ RNAse1 as an antimicrobial agent to combat pneumococcal infectious
diseases.
PMID- 27892963
TI - Stress Wave Propagation in Two-dimensional Buckyball Lattice.
AB - Orderly arrayed granular crystals exhibit extraordinary capability to tune stress
wave propagation. Granular system of higher dimension renders many more stress
wave patterns, showing its great potential for physical and engineering
applications. At nanoscale, one-dimensionally arranged buckyball (C60) system has
shown the ability to support solitary wave. In this paper, stress wave behaviors
of two-dimensional buckyball (C60) lattice are investigated based on square close
packing and hexagonal close packing. We show that the square close packed system
supports highly directional Nesterenko solitary waves along initially excited
chains and hexagonal close packed system tends to distribute the impulse and
dissipates impact exponentially. Results of numerical calculations based on a two
dimensional nonlinear spring model are in a good agreement with the results of
molecular dynamics simulations. This work enhances the understanding of wave
properties and allows manipulations of nanoscale lattice and novel design of
shock mitigation and nanoscale energy harvesting devices.
PMID- 27892962
TI - Peptides Labeled with Pyridinium Salts for Sensitive Detection and Sequencing by
Electrospray Tandem Mass Spectrometry.
AB - Mass spectrometric analysis of trace amounts of peptides may be problematic due
to the insufficient ionization efficiency resulting in limited sensitivity. One
of the possible ways to overcome this problem is the application of ionization
enhancers. Herein we developed new ionization markers based on 2,4,6
triphenylpyridinium and 2,4,6-trimethylpyridinium salts. Using of inexpensive and
commercially available pyrylium salt allows selective derivatization of primary
amino groups, especially those sterically unhindered, such as epsilon-amino group
of lysine. The 2,4,6-triphenylpyridinium modified peptides generate in MS/MS
experiments an abundant protonated 2,4,6-triphenylpyridinium ion. This fragment
is a promising reporter ion for the multiple reactions monitoring (MRM) analysis.
In addition, the fixed positive charge of the pyridinium group enhances the
ionization efficiency. Other advantages of the proposed ionization enhancers are
the simplicity of derivatization of peptides and the possibility of convenient
incorporation of isotopic labels into derivatized peptides.
PMID- 27892960
TI - New Genome-Wide Algorithm Identifies Novel In-Vivo Expressed Mycobacterium
Tuberculosis Antigens Inducing Human T-Cell Responses with Classical and
Unconventional Cytokine Profiles.
AB - New strategies are needed to develop better tools to control TB, including
identification of novel antigens for vaccination. Such Mtb antigens must be
expressed during Mtb infection in the major target organ, the lung, and must be
capable of eliciting human immune responses. Using genome-wide transcriptomics of
Mtb infected lungs we developed data sets and methods to identify IVE-TB (in-vivo
expressed Mtb) antigens expressed in the lung. Quantitative expression analysis
of 2,068 Mtb genes from the predicted first operons identified the most
upregulated IVE-TB genes during in-vivo pulmonary infection. By further analysing
high-level conservation among whole-genome sequenced Mtb-complex strains (n =
219) and algorithms predicting HLA-class-Ia and II presented epitopes, we
selected the most promising IVE-TB candidate antigens. Several of these were
recognized by T-cells from in-vitro Mtb-PPD and ESAT6/CFP10-positive donors by
proliferation and multi-cytokine production. This was validated in an independent
cohort of latently Mtb-infected individuals. Significant T-cell responses were
observed in the absence of IFN-gamma-production. Collectively, the results
underscore the power of our novel antigen discovery approach in identifying Mtb
antigens, including those that induce unconventional T-cell responses, which may
provide important novel tools for TB vaccination and biomarker profiling. Our
generic approach is applicable to other infectious diseases.
PMID- 27892964
TI - Temporally-coherent terawatt attosecond XFEL synchronized with a few cycle laser.
AB - Attosecond metrology using laser-based high-order harmonics has been
significantly advanced and applied to various studies of electron dynamics in
atoms, molecules and solids. Laser-based high-order harmonics have a limitation
of low power and photon energies. There is, however, a great demand for even
higher power and photon energy. Here, we propose a scheme for a terawatt
attosecond (TW-as) X-ray pulse in X-ray free-electron laser controlled by a few
cycle IR pulse, where one dominant current spike in an electron bunch is used
repeatedly to amplify a seeded radiation to a terawatt level. This scheme is
relatively simple, compact, straightforward, and also produces a temporally and
spectrally clean pulse. The viability of this scheme is demonstrated in
simulations using Pohang accelerator laboratory (PAL)-XFEL beam parameters.
PMID- 27892965
TI - Diabetes Mellitus and Risk of Colorectal Cancer Mortality inJapan: the Japan
Collaborative Cohort Study
AB - Objective: Our aim was to estimate whether diabetes mellitus (DM) may be
associated with an increased risk ofcolorectal cancer (CRC) mortality in Japan.
Methods: The Japan Collaborative Cohort (JACC) Study is a nationwideprospective
study, initiated in 1988, which involves 110,585 subjects (age range: from 40 to
79 years; 46,395 males and64,190 females). Our present analysis population
comprised 96,081 (40,510 men and 55,571 women) who provideddetails on DM history.
The questionnaire also included age, sex, weight, height, family history of CRC,
smoking,drinking and exercise habits, and education. Cox proportional-hazard
regression was used to estimate the hazard ratio(HR). We used SPSS 21 software to
analyze all data. Results: Among the participants with DM, we followed up
for71,174 person-years and 640. deaths from CRC were confirmed; and, among the
non-diabetic participants, 785 CRCdeaths were identified during 1,499,324 person
years. After adjusting for multivariate confounding factors, such asage, sex,
body mass index (BMI), family history of colorectal cancer, smoking habit,
drinking habit, physical activity(sports and walking) and education, DM was
associated with an increased risk of CRC death (HR 1.4, 95% confidenceinterval
[CI] 1.0-2.0). Diabetic women, but not diabetic men, experienced increased
mortality from CRC (HR 1.7, 95%CI 1.0-3.0). Conclusion: The risk of CRC mortality
is significantly increased in both sexes and women with diabetes,but no
significant increase was seen for diabetic men among Japanese.
PMID- 27892968
TI - Reactions at the nanoscale: general discussion.
PMID- 27892970
TI - From single cells to single molecules: general discussion.
PMID- 27892974
TI - Dimalononitrile-containing probe based on aggregation-enhanced emission features
for the multi-mode fluorescence detection of volatile amines.
AB - A novel multi-mode probe consisting of a hexaphenyl-1,3-butadiene derivative,
2,2'-((((1Z,3Z)-1,2,3,4-tetraphenylbuta-1,3-diene-1,4-diyl)bis(4,1
phenylene))bis(methanylylidene))dimalononitrile (ZZ-HPB-CN), with typical
aggregation-enhanced emission (AEE) features was easily prepared for the highly
sensitive and rapid detection of amine vapors. The ZZ-HPB-CN sensor, which was
prepared by simply depositing ZZ-HPB-CN on filter paper, could detect low
concentration vapors of volatile amines using fluorescence, ultraviolet and naked
eye detection. The limit of detection of the sensor was as low as 1 ppb for the
fluorescence detection. The color change of the sensor caused by 1-10 ppm amine
vapors could be observed under UV light or with the naked eye. The high
sensitivity, quick response and easy operation of the probe give it great
potential for real-life applications.
PMID- 27892971
TI - Electrochemistry of single nanoparticles: general discussion.
PMID- 27892966
TI - Effect of sex, age and genetics on crossover interference in cattle.
AB - Crossovers generated by homologous recombination ensure proper chromosome
segregation during meiosis. Crossover interference results in chiasmata being
more evenly distributed along chromosomes, but the mechanism underlying crossover
interference remains elusive. Based on large pedigrees of Holstein and Jersey
cattle with genotype data, we extracted three-generation families, including
147,327 male and 71,687 female meioses in Holstein, and 108,163 male and 37,008
female meioses in Jersey, respectively. We identified crossovers in these meioses
and fitted the Housworth-Stahl "interference-escape" model to study crossover
interference patterns in the cattle genome. Our result reveals that the degree of
crossover interference is stronger in females than in males. We found evidence
for inter-chromosomal variation in the level of crossover interference, with
smaller chromosomes exhibiting stronger interference. In addition, crossover
interference levels decreased with maternal age. Finally, sex-specific GWAS
analyses identified one locus near the NEK9 gene on chromosome 10 to have a
significant effect on crossover interference levels. This locus has been
previously associated with recombination rate in cattle. Collectively, this large
scale analysis provided a comprehensive description of crossover interference
across chromosome, sex and age groups, identified associated candidate genes, and
produced useful insights into the mechanism of crossover interference.
PMID- 27892975
TI - Nanopores: general discussion.
PMID- 27892976
TI - Validation of a Method for Estimation of Facial Age by Plastic Surgeons.
AB - Importance: Within cosmetic facial plastic surgery, there is considerable
difficulty in producing high-quality scientific publications because of the lack
of scientific tools that serve to transform sensations, such as more beautiful or
rejuvenated, into numbers capable of being used in statistical analysis.
Objective: To validate an objective evaluation method that can be used to define
the perception of facial age in scientific studies. Design, Setting, and
Participants: This is a cross-sectional, observational study of evaluation by
plastic surgeons of 70 photographs of patients from a private care hospital
performed from March 1, 2015, through April 30, 2016. When evaluating the
photographs, 7 plastic surgeons wrote down the perceived age of each patient. The
photographs of each patient were randomly presented twice to each evaluator
(photograph 1 and photograph 2) and analyzed singly using a trimmed mean. Three
evaluators were randomly chosen for further statistical analysis in an attempt to
make the assessment technique more practical. Exposures: Usual aging process.
Main Outcomes and Measures: Estimated mean age and chronological age. Results:
Photographs of 70 patients were evaluated (mean [SD] age, 41.5 [13.8] years; 48
women [68.6%]; and mean [SD] body mass index, 22.5 [2.7]). No significant
differences were observed between photographs 1 and 2 for any of the evaluators.
A significant difference in the mean ages was not observed when comparing
evaluators. For photograph 1 (evaluated by only 3 evaluators), the difference was
0.16 years (P = .52). For photograph 2, the difference was 0.05 years (P = .86).
The difference between the mean perceived age for the 3 evaluators and the
chronological age was only 0.8 years (<10 months). Conclusions and Relevance: The
intraevaluator and interevaluator agreement suggests that 3 plastic surgeons can
estimate the age of a person with a margin of error of 10 months by analyzing a
photograph. This article is important to facial plastic surgeons because it
reveals how the results of rejuvenation procedures can be assessed. Level of
Evidence: NA.
PMID- 27892977
TI - Societal Value of Surgery for Facial Reanimation.
AB - Importance: Patients with facial paralysis are perceived negatively by society in
a number of domains. Society's perception of the health utility of varying
degrees of facial paralysis and the value society places on reconstructive
surgery for facial reanimation need to be quantified. Objective: To measure
health state utility of varying degrees of facial paralysis, willingness to pay
(WTP) for a repair, and the subsequent value of facial reanimation surgery as
perceived by society. Design, Setting, and Participants: This prospective
observational study conducted in an academic tertiary referral center evaluated a
group of 348 casual observers who viewed images of faces with unilateral facial
paralysis of 3 severity levels (low, medium, and high) categorized by House
Brackmann grade. Structural equation modeling was performed to understand
associations among health utility metrics, WTP, and facial perception domains.
Data were collected from July 16 to September 26, 2015. Main Outcomes and
Measures: Observer-rated (1) quality of life (QOL) using established health
utility metrics (standard gamble, time trade-off, and a visual analog scale) and
(2) their WTP for surgical repair. Results: Among the 348 observers (248 women
[71.3%]; 100 men [28.7%]; mean [SD] age, 29.3 [11.6] years), mixed-effects linear
regression showed that WTP increased nonlinearly with increasing severity of
paralysis. Participants were willing to pay $3487 (95% CI, $2362-$4961) to repair
low-grade paralysis, $8571 (95% CI, $6401-$11 234) for medium-grade paralysis,
and $20 431 (95% CI, $16 273-$25 317) for high-grade paralysis. The dominant
factor affecting the participants' WTP was perceived QOL. Modeling showed that
perceived QOL decreased with paralysis severity (regression coefficient, -0.004;
95% CI, -0.005 to -0.004; P < .001) and increased with attractiveness (regression
coefficient, 0.002; 95% CI, 0.002 to 0.003; P < .001). Mean (SD) health utility
scores calculated by the standard gamble metric for low- and high-grade paralysis
were 0.98 (0.09) and 0.77 (0.25), respectively. Time trade-off and visual analog
scale measures were highly correlated. We calculated mean (SD) WTP per quality
adjusted life-year, which ranged from $10 167 ($14 565) to $17 008 ($38 288) for
low- to high-grade paralysis, respectively. Conclusions and Relevance: Society
perceives the repair of facial paralysis to be a high-value intervention.
Societal WTP increases and perceived health state utility decreases with
increasing House-Brackmann grade. This study demonstrates the usefulness of WTP
as an objective measure to inform dimensions of disease severity and signal the
value society places on proper facial function. Level of Evidence: NA.
PMID- 27892979
TI - The Need for Ergonomics Education in Dermatology and Dermatologic Surgery: Sit Up
Straight, Stand Up Tall, and Carry a Sharp Scalpel.
PMID- 27892980
TI - Ocular Toxicity of Mitogen-Activated Protein Kinase Inhibitors.
PMID- 27892981
TI - Rituximab in Treatment-Refractory Myasthenia Gravis.
PMID- 27892978
TI - Estimating Survival in Patients With Lung Cancer and Brain Metastases: An Update
of the Graded Prognostic Assessment for Lung Cancer Using Molecular Markers (Lung
molGPA).
AB - Importance: Lung cancer is the leading cause of cancer-related mortality in the
United States and worldwide. As systemic therapies improve, patients with lung
cancer live longer and thus are at increased risk for brain metastases.
Understanding how prognosis varies across this heterogeneous patient population
is essential to individualize care and design future clinical trials. Objective:
To update the current Diagnosis-Specific Graded Prognostic Assessment (DS-GPA)
for patients with non-small-cell lung cancer (NSCLC) and brain metastases. The DS
GPA is based on data from patients diagnosed between 1985 and 2005, and we set
out to update it by incorporating more recently reported gene and molecular
alteration data for patients with NSCLC and brain metastases. This new index is
called the Lung-molGPA. Design, Setting, and Participants: This is a multi
institutional retrospective database analysis of 2186 patients diagnosed between
2006 and 2014 with NSCLC and newly diagnosed brain metastases. The multivariable
analyses took place between December 2015 and May 2016, and all prognostic
factors were weighted for significance by hazard ratios. Significant factors were
included in the updated Lung-molGPA prognostic index. Main Outcomes and Measures:
The main outcome was survival. Multiple Cox regression was used to select and
weight prognostic factors in proportion to their hazard ratios. Log rank tests
were used to compare adjacent classes and to compare overall survival for
adenocarcinoma vs nonadenocarcinoma groups. Results: The original DS-GPA was
based on 4 factors found in 1833 patients with NSCLC and brain metastases
diagnosed between 1985 and 2005: patient age, Karnofsky Performance Status,
extracranial metastases, and number of brain metastases. The patients studied for
the creation of the DS-GPA had a median survival of 7 months from the time of
initial treatment of brain metastases. To design the updated Lung-molGPA, we
analyzed data from 2186 patients from 2006 through 2014 with NSCLC and newly
diagnosed brain metastases (1521 adenocarcinoma and 665 nonadenocarcinoma).
Significant prognostic factors included the original 4 factors used in the DS-GPA
index plus 2 new factors: EGFR and ALK alterations in patients with
adenocarcinoma (mutation status was not routinely tested for nonadenocarcinoma).
The overall median survival for the cohort in the present study was 12 months,
and those with NSCLC-adenocarcinoma and Lung-molGPA scores of 3.5 to 4.0 had a
median survival of nearly 4 years. Conclusions and Relevance: In recent years,
patient survival and physicians' ability to predict survival in NSCLC with brain
metastases has improved significantly. The updated Lung-molGPA incorporating gene
alteration data into the DS-GPA is a user-friendly tool that may facilitate
clinical decision making and appropriate stratification of future clinical
trials.
PMID- 27892982
TI - Vision Loss in a Teenage Girl With Postconcussion Syndrome.
PMID- 27892983
TI - Association of Mutations in TBK1 With Sporadic and Familial Amyotrophic Lateral
Sclerosis and Frontotemporal Dementia.
AB - Amyotrophic lateral sclerosis (ALS) and frontotemporal dementia (FTD) are related
neurodegenerative syndromes that occur sporadically or have been associated with
mostly dominant inheritance of mutations in more than 30 genes. A critical issue
is whether all reported mutations are disease causing or are coincidental
findings. In this review we analyze the pathogenicity of nonsynonymous variants
in the newly discovered gene encoding TANK-binding kinase 1 (TBK1). The available
data suggest that mutations in TBK1 that cause a 50% reduction of TBK1 protein
levels are pathogenic. In most cases, the almost complete loss of expression of
the mutated TBK1 allele is due to loss-of-function mutations creating a premature
termination codon and the degradation of the mutated messenger RNA by nonsense
mediated messenger RNA decay. In addition, TBK1 protein levels reduced by 50%
have been proven for specific in-frame deletions of 1 or several amino acids,
probably due to increased degradation of the mutated protein. Evaluation of many
of the TBK1 missense mutations found in patients with ALS or FTD is prevented by
missing data demonstrating cosegregation of the variants and incomplete knowledge
about the TBK1 functions relevant for neurodegeneration. These findings suggest
that haploinsufficiency of TBK1 is causative for ALS and FTD regardless of the
type of mutation. Evaluation of TBK1 variants that do not cause
haploinsufficiency is not possible without data demonstrating cosegregation.
PMID- 27892985
TI - Adoption of Pathologic Complete Response as a Surrogate End Point in Neoadjuvant
Trials in HER2-Positive Breast Cancer Still an Open Question-Reply.
PMID- 27892984
TI - Anatomical Distributions of Basal Cell Carcinoma and Squamous Cell Carcinoma in a
Population-Based Study in Queensland, Australia.
AB - Importance: Keratinocyte cancers (KCs), including basal cell carcinoma (BCC) and
squamous cell carcinoma (SCC), are the most common cancers among fair-skinned
populations worldwide. Although studies have indicated that the anatomical
distribution of BCC and SCC differ, few have compared them directly in well
defined population samples. Objectives: To describe and compare the anatomical
distribution of BCC and SCC in a population-based sample in Queensland,
Australia. Design, Setting, and Participants: This study was nested within the
population-based QSkin Sun and Health Study in Queensland, Australia. Of 37 103
study participants linked to national medical insurance records, 3398 diagnosed
with KCs from September 1, 2010, to September 30, 2012, were identified, and
information about their KCs was extracted from pathology reports. Data were
analyzed from January 1, 2013, to March 30, 2016. Main Outcomes and Measures: The
relative tumor densities (RTDs) on defined body sites, calculated by dividing the
proportion of tumors occurring at a specified site by the proportion of skin area
of that site. Results: A total of 5150 KCs with complete data were identified in
2374 study participants (1339 men [56.4%] and 1035 women [43.6%]; mean [SD] age,
59.7 [7.4] years). Of these, 3846 KCs (74.7%) were BCCs. Most BCCs were on the
head and/or neck (1547 [40.2%]) and the trunk (1305 [33.9%]); most SCCs were on
the head and/or neck (435 [33.4%]) and upper limbs (455 [34.9%]). The greatest
differences in RTDs between BCC and SCC were on the hand (BCC:SCC ratio, 1:14)
and the back and/or buttocks (BCC:SCC ratio, 8:1). Relative tumor densities of
KCs were higher on the scalp and ear in men compared with women, and on the upper
arm in women compared with men. The pattern of RTDs did not differ with age for
BCC. Compared with younger adults (40-54 years), the RTDs in older adults (55-69
years) were 2-fold higher for SCC on the scalp (0.38 [95% CI, 0.00-0.81] vs 1.07
[95% CI, 0.75-1.38]) and the back and/or buttocks (0.05 [95% CI, 0.00-0.12] vs
0.12 [95% CI, 0.07-0.16]). Conclusions and Relevance: The high RTDs on sun
exposed body sites for BCC and SCC are in keeping with sun exposure as the
primary etiologic factor for both tumors. However, for BCC, the low RTD on the
hand and high RTDs on less sun-exposed sites suggest a complex association
between sun exposure and occurrence of BCC. Knowledge about the anatomical
distribution of BCC and SCC may provide insight into their diagnoses and causes.
PMID- 27892986
TI - New Yellow Plaques in a Patient Taking Pembrolizumab.
PMID- 27892988
TI - Association of Molecular Marker Status With Graded Prognostic Assessment of Lung
Cancer With Brain Metastases.
PMID- 27892987
TI - Sweet-Like Eruption Associated With Obinutuzumab Therapy for Chronic Lymphocytic
Leukemia.
PMID- 27892989
TI - Patient-Acquired Photographs for the Management of Postoperative Concerns.
PMID- 27892990
TI - Zika Virus-What the Otolaryngologist Should Know: A Review.
AB - Importance: Initially discovered in 1947, Zika virus infection received little
notoriety as a tropical disease until 2015 when an outbreak of microcephaly cases
was reported in Brazil. Zika is a single-stranded RNA arbovirus of the
Flaviviridae family. The primary source of infection in humans stems from Aedes
aegypti mosquito bites but can also occur through sexual, blood, and perinatal
transmission. With expectations that 3 to 4 million people across the Americas
will be infected over the next year, the World Health Organization has declared
this event a Public Health Emergency of International Concern. Observations:
Although acute Zika virus infection is typically mild and self-limited,
researchers have demonstrated serious neurologic complications associated with it
such as microcephaly and Guillain-Barre syndrome. Otolaryngologists should be
aware of head and neck manifestations which include conjunctivitis, retro-orbital
pain, cephalgia, and odynophagia. The Centers for Disease Control and Prevention
have developed specific molecular and serologic testing protocols and algorithms
for follow-up care of suspected cases. Currently, the mainstay of management is
conservative care while researchers attempt to develop a vaccine. Strategies to
contain the Zika virus include vector control, travel restriction for women who
are pregnant or trying to become pregnant, and avoidance of mosquito bites in
endemic regions of the world. Conclusions and Revelance: The future outlook
regarding the current Zika virus outbreak in the Americas remains uncertain. What
is certain is our need to promptly and efficiently address research gaps in our
understanding of clinical outcomes from infection and environmental factors that
influence emergence meanwhile improving diagnostic, therapeutic, and preventive
measures against the disease.
PMID- 27892992
TI - Overall Survival in Cancer Drug Trials as a New Surrogate End Point for Overall
Survival in the Real World.
PMID- 27892993
TI - Third Nerve Palsies-Less Frequent but Just as Concerning.
PMID- 27892991
TI - Surveillance Mammography in Older Patients With Breast Cancer-Can We Ever Stop?:
A Review.
AB - Importance: Approximately 4% to 5% of breast cancer survivors will develop a new
ipsilateral or contralateral cancer (in-breast event) over the 5 years following
diagnosis, and annual surveillance mammography is recommended for those with
residual breast tissue. The risk for such in-breast events persists over time,
though increasing age at cancer diagnosis and treatment with hormonal therapy are
associated with lower risk, and most older survivors of breast cancer will
ultimately die from nonbreast cancer-related causes. Specific guidelines for
surveillance strategies in older patients are limited. Prospective data on the
benefits and harms of surveillance mammography in this population are lacking,
and most of the evidence is derived from observational, retrospective data, often
in the general population. Observations: We review the current recommendations
for breast cancer screening and surveillance for older patients, the current
evidence for ipsilateral and contralateral breast cancer risks in older survivors
of breast cancer, and suggested approaches for discussions about surveillance
mammography with older patients. We recommend individualized decision making for
surveillance breast imaging in older survivors of breast cancer, with
consideration of the following strategy for women 70 years or older: 1-time
imaging 6 to 12 months after completion of local therapy followed by annual or
biennial surveillance mammography for healthy women and cessation of mammography
in patients whose life expectancy is less than 5 years to 10 years, regardless of
age. Decisions on mammographic surveillance should also incorporate whether
hormonal therapy is being administered, whether a patient's anticipated life
expectancy is extraordinary, and whether a patient's individual risk for in
breast events is higher (or lower) than average risk for breast cancer survivors.
Conclusions and Relevance: We propose reframing discussions around surveillance
mammography in older breast cancer survivors and to consider cessation while
taking into account life expectancy, the estimated risk for subsequent in-breast
events, and patient preferences.
PMID- 27892994
TI - Adoption of Pathologic Complete Response as a Surrogate End Point in Neoadjuvant
Trials in HER2-Positive Breast Cancer Still an Open Question.
PMID- 27892995
TI - Laryngeal Mass in an Infant.
PMID- 27892996
TI - Technique Standards for Skin Lesion Imaging: A Delphi Consensus Statement.
AB - Importance: Variability in the metrics for image acquisition at the total body,
regional, close-up, and dermoscopic levels impacts the quality and
generalizability of skin images. Consensus guidelines are indicated to achieve
universal imaging standards in dermatology. Objective: To achieve consensus among
members of the International Skin Imaging Collaboration (ISIC) on standards for
image acquisition metrics using a hybrid Delphi method. Evidence Review: Delphi
study with 5 rounds of ratings and revisions until relative consensus was
achieved. The initial set of statements was developed by a core group (CG) on the
basis of a literature review and clinical experience followed by 2 rounds of
rating and revisions. The consensus process was validated by an extended group
(EG) of ISIC members through 2 rounds of scoring and revisions. In all rounds,
respondents rated the draft recommendations on a 1 (strongly agree) to 5
(strongly disagree) scale, explained ratings of less than 5, and optionally
provided comments. At any stage, a recommendation was retained if both mean and
median rating was 4 or higher. Results: The initial set of 45 items (round 1) was
expanded by the CG to 56 variants in round 2, subsequently reduced to 42 items
scored by the EG in round 3, yielding an EG set of 33 recommendations (rounds 4
and 5): general recommendation (1 guideline), lighting (5), background color (3),
field of view (3), image orientation (8), focus/depth of field (3), resolution
(4), scale (3), color calibration (2), and image storage (1). Conclusions and
Relevance: This iterative process of ratings and comments yielded a strong
consensus on standards for skin imaging in dermatology practice. Adoption of
these methods for image standardization is likely to improve clinical practice,
information exchange, electronic health record documentation, harmonization of
clinical studies and database development, and clinical decision support.
Feasibility and validity testing under real-world clinical conditions is
indicated.
PMID- 27892997
TI - Otolaryngologist-Beware of Zika.
PMID- 27892999
TI - Omitted Author Name in Print Article.
PMID- 27892998
TI - Overall Survival Following Neoadjuvant Chemotherapy vs Primary Cytoreductive
Surgery in Women With Epithelial Ovarian Cancer: Analysis of the National Cancer
Database.
AB - Importance: Uncertainty remains about the relative benefits of primary
cytoreductive surgery (PCS) vs neoadjuvant chemotherapy (NACT) for advanced-stage
epithelial ovarian cancer (EOC). Objective: To compare overall survival of PCS vs
NACT in a large national population of women with advanced-stage EOC. Design,
Setting, and Participants: Retrospective cohort study of women with stage IIIC
and IV EOC diagnosed between 2003 and 2011 treated at hospitals across the United
States reporting to the National Cancer Data Base. We focused on patients 70
years or younger with a Charlson comorbidity index of 0 who were likely
candidates for either treatment. Exposures: Initial treatment approach of PCS vs
NACT, examined using an intent-to-treat analysis. Main Outcomes and Measures:
Overall survival, defined as months from cancer diagnosis to death or date of the
last contact. We used propensity score matching to compare similar women who
underwent PCS and NACT. The association of treatment approach with overall
survival was assessed using the Kaplan-Meier method and the log-rank test. We
assessed whether the findings were influenced by differences in the prevalence of
an unobserved confounder, such as limited performance status (Eastern Cooperative
Oncology Group 1-2), preoperative disease burden, and BRCA status. Results: Among
22 962 patients (mean [SD] age, 56.12 [9.38] years), 19 836 (86.4%) received PCS
and 3126 (13.6%) underwent NACT. We matched 2935 patients treated with NACT with
similar patients who received PCS. The median follow-up was 56.5 (95% CI, 54.5
59.2) months in the PCS group and 56.3 (95% CI, 54.5-59.8) months in the NACT
group in the propensity-matched cohort. Among propensity score-matched groups,
the median overall survival was 37.3 (95% CI, 35.2-38.7) months in the PCS group
and 32.1 (95% CI, 30.8-34.1) months in the NACT group (P < .001). However, if the
NACT group had a higher proportion of women with performance statuses of 1 to 2
compared with those who underwent PCS (60% vs 50%), the association of PCS and
improved survival would not be statistically significant. Conclusions and
Relevance: Primary cytoreductive surgery was associated with improved survival
compared with NACT in otherwise healthy women with advanced-stage epithelial
ovarian cancer aged 70 years or younger. The lower survival in women who received
NACT could be explained by a higher prevalence of limited performance status in
women undergoing NACT.
PMID- 27893000
TI - Association Between Benzodiazepine Receptor Agonists and Snoring Among Women in
the Nurses' Health Study.
AB - Importance: Snoring is highly prevalent among adults. The use of benzodiazepine
receptor agonists is also common, with higher prevalence of use with more
advanced age. Benzodiazepine receptor agonists cause muscle relaxation, which may
affect muscle tone and airway dynamics and thereby increase snoring. Previous
studies examining the association between use of benzodiazepine receptor agonists
and snoring were underpowered to detect clinically meaningful differences or did
not report the magnitude of association. Objective: To investigate the
association between use of benzodiazepine receptor agonists and snoring in women.
Design, Setting, and Participants: Women aged 62 to 86 years provided information
on snoring and covariates of interest in the 2008 survey of the Nurses' Health
Study, a cross-sectional cohort study of female registered nurses in the United
States. Potential effect modification of the association between use of
benzodiazepine receptor agonists and snoring by age, body mass index, waist
circumference, smoking, alcohol consumption, and physical activity was explored.
Logistic regression was used to adjust for potential confounders. Data analysis
was conducted from November 2015 to March 2016. Main Outcomes and Measures: Self
reported habitual snoring, defined as a few nights a week or more. Results: Of 52
504 participants (mean [SD] age, 72.4 [6.7] years), 14 831 (28.2%) reported
habitual snoring. There was a slightly higher prevalence of benzodiazepine
receptor agonist use among habitual snorers (11.4%) compared with nonhabitual
snorers (10.6%) (absolute difference, 0.8%; 95% CI, 0.2%-1.4%). After
multivariable adjustment, use of benzodiazepine receptor agonists was not
associated with snoring (odds ratio, 1.01; 95% CI, 0.95-1.07) compared with women
who did not use benzodiazepine receptor agonists. Although there was no
significant interaction with smoking, there were higher odds of snoring with use
of benzodiazepine receptor agonists among current smokers (odds ratio, 1.34; 95%
CI, 1.04-1.73). Conclusions and Relevance: Use of benzodiazepine receptor
agonists is not associated with odds of snoring in middle-aged and elderly women.
PMID- 27893001
TI - Association of Improved Locoregional Control With Prolonged Survival in Early
Stage Extranodal Nasal-Type Natural Killer/T-Cell Lymphoma.
AB - Importance: The long-term survival benefit for radiotherapy (RT) in early-stage
extranodal natural killer/T-cell lymphoma (NKTCL) is not known, and it is unclear
whether improved locoregional control (LRC) translates into a survival benefit.
Objective: To investigate the dose-dependent effect and potential survival
benefits of RT on the basis of LRC improvements. Design, Setting, and
Participants: Review of clinical data of patients with early-stage NKTCL at 10
institutions in China between 2000 and 2014. Radiotherapy dose as a continuous
variable was entered into the Cox regression model by using penalized spline
regression to allow for a nonlinear relationship between RT dose and events.
Regression analysis was used to assess whether a linear correlation exists
between LRC and progression-free survival (PFS) or overall survival (OS).
Patients received chemotherapy (CT) alone, RT alone, or a combination.
Chemotherapy alone was defined as 0 Gy. Main Outcomes and Measures: The
association between LRC and OS or PFS. Results: A total of 1332 patients (923
[69%] male; median age, 43 years [range, 2-87 years]) were reviewed. For patients
treated with RT, median dose was 50 Gy (range, 10-70 Gy); 996 (86%) received at
least 50 Gy, and 164 (14%) received 10 to 49 Gy. The risk of locoregional
recurrence, disease progression, and mortality decreased sharply until 50 to 52
Gy. For patients receiving RT, high-dose RT (>=50 Gy) was associated with
significantly better 5-year LRC (85% vs 73%; P < .001), PFS (61% vs 50%; P =
.004), and OS (70% vs 58%; P = .04) than low-dose RT (<50 Gy). Improved LRC with
high-dose RT was independent of the RT/CT sequence or initial response to CT.
Radiotherapy yielded a dose-dependent effect on LRC (range, 41%-87%), PFS (18%
63%), and OS (33%-71%). Dose-response regression analysis revealed a linear
correlation between 5-year LRC and 5-year PFS (correlation coefficient, r =
0.994, P < .001; determination coefficient, R2 = 0.988) or 5-year OS (r = 0.985,
P = .002; R2 = 0.97), which was externally validated using published data.
Conclusions and Relevance: The optimal dose was 50 Gy for patients with early
stage disease. The improved LRC was associated with prolonged survival. These
findings emphasize the importance of RT in optimizing first-line therapy, and
provide evidence for making treatment decisions and designing clinical trials.
PMID- 27893002
TI - Incidence and Etiologies of Acquired Third Nerve Palsy Using a Population-Based
Method.
AB - Importance: Among cranial nerve palsies, a third nerve palsy is important because
a subset is caused by life-threatening aneurysms. However, there is significant
disagreement regarding its incidence and the reported etiologies. Objective: To
determine the incidence and etiologies of acquired third nerve palsy using a
population-based method. Design, Setting, and Participants: All newly diagnosed
cases of acquired third nerve palsy from January 1, 1978, through December 31,
2014, in Olmsted County, Minnesota, were identified using the Rochester
Epidemiology Project, a record-linkage system of medical records for all patient
physician encounters among Olmsted County residents. All medical records were
reviewed to confirm a diagnosis of acquired third nerve palsy and determine the
etiologies, presenting signs, and symptoms. Incidence rates were adjusted to the
age and sex distribution of the 2010 US white population. Main Outcomes and
Measures: Incidence and etiologies of acquired third nerve palsies. The secondary
outcome was incidence of pupil involvement in acquired third nerve palsies.
Results: We identified 145 newly diagnosed cases of acquired third nerve palsy in
Olmsted County, Minnesota, over the 37-year period. The age- and sex-adjusted
annual incidence of acquired third nerve palsy was 4.0 per 100 000 (95% CI, 3.3
4.7 per 100 000). The annual incidence in patients older than 60 was greater than
patients younger than 60 (12.5 vs 1.7 per 100 000; difference, 10.8 per 100 000;
95% CI, 4.7-16.9; P < .001). The most common causes of acquired third nerve palsy
were presumed microvascular (42%), trauma (12%), compression from neoplasm (11%),
postneurosurgery (10%), and compression from aneurysm (6%). Ten patients (17%)
with microvascular third nerve palsies had pupil involvement, while pupil
involvement was seen in 16 patients (64%) with compressive third nerve palsies.
Conclusions and Relevance: This population-based cohort demonstrates a higher
incidence of presumed microvascular third nerve palsies and a lower incidence of
aneurysmal compression than previously reported in non-population-based studies.
While compressive lesions had a higher likelihood of pupil involvement, pupil
involvement did not exclude microvascular third nerve palsy and lack of pupil
involvement did not rule out compressive third nerve palsy.
PMID- 27893003
TI - Navigation Guidance During Free Flap Mandibular Reconstruction: A Cadaveric
Trial.
AB - Importance: Segmental mandibulectomy for tumors that distort the buccal surface
of the mandible present a reconstructive challenge. Objective: To determine
whether mandible alignment after navigation-guided mandible reconstruction is
better than alignment after non-template-assisted freehand reconstruction and as
good as template-assisted reconstruction in a cadaveric trial. Design, Setting,
and Participants: A cadaveric trial using 10 specimens was conducted at a
tertiary academic center. Fiducials were created on the ramus to compare
alignment with each intervention. Segmental mandibulectomy was performed on each
cadaver. Each cadaver underwent navigation-guided reconstruction, template
assisted reconstruction using a manually shaped plate, and non-template-assisted
freehand reconstruction with plate contouring performed after mandibulectomy. The
study was conducted from October 1, 2015, to January 1, 2016; data analysis was
performed from February 1, 2016, to March 1, 2016. Interventions: Segmental
mandibulectomy, navigation-guided reconstruction, template-assisted
reconstruction using a manually shaped plate, and non-template-assisted freehand
reconstruction. Main Outcomes and Measures: Ramus fiducial coordinates were
recorded at baseline and after each intervention. Mandible dimensions were
measured using cephalometric landmarks. Postintervention and baseline differences
in ramus and mandible position were calculated. Results: Ramus alignment was not
significantly different between navigation-guided and template-assisted
reconstruction, differing by 0.54 mm (98.3% CI, -0.38 to 1.47 mm). Non-template
assisted freehand reconstruction was associated with a 3.14-mm difference in
alignment compared with template-assisted reconstruction (98.3% CI, 1.09 to 5.19
mm). Navigation-guided alignment resulted in a 3.69-mm improvement in alignment
compared with non-template-assisted freehand reconstruction (98.3% CI, 1.79 to
5.58 mm). There was some improvement in the gonion-gonion and lingula mandibulae
lingula mandibulae (Lm-Lm) alignment for navigation-assisted compared with non
template-assisted freehand reconstruction by 1.97 mm (98.3% CI, -0.65 to 4.58 mm)
and 1.39 mm (98.3% CI, -0.17 to 2.95 mm), respectively. There was marginal
evidence of better Lm-Lm alignment for navigation-guided than template-assisted
reconstruction (0.44 mm; 98.3% CI, -0.06 to 0.95 mm). Conclusions and Relevance:
Mandible alignment following navigation-guided reconstruction is similar to
template-assisted reconstruction. Navigation-guided alignment is likely better
than non-template-assisted freehand reconstruction, and navigation guidance
offers a reliable technique for real-time adjustment when reconstructing complex
surgical defects, such as tumors effacing the buccal cortex of the mandible.
PMID- 27893004
TI - Genetic and Environmental Factors Associated With the Ganglion Cell Complex in a
Healthy Aging British Cohort.
AB - Importance: Measurement of ganglion cell complex (GCC) thickness may be more
sensitive than current methods for glaucoma diagnosis and research. However,
little is known about the factors influencing GCC thickness in the general
population. Objectives: To investigate the heritability of and factors associated
with GCC thickness in a healthy aging population. Design, Setting, and
Participants: A cross-sectional twin study was conducted from August 27, 2014, to
March 31, 2016, among 1657 participants of white British ancestry from the
TwinsUK study cohort without ocular pathologic conditions. Heritability analyses
were conducted in 1432 twins (426 monozygous and 290 dizygous pairs). Association
analyses were performed using univariable and multivariable stepwise linear
regression models, taking family structure into account. Heritability analyses
were conducted using maximum likelihood structural equation twin modeling. Main
Outcomes and Measures: Parameters measured included GCC thickness,
autorefraction, intraocular pressure, blood pressure, body mass index, and
cholesterol, creatinine, glucose, insulin, triglycerides, and urea levels.
Estimated glomerular filtration rate was calculated using the Modification of
Diet in Renal Disease formula. Results: Among the 1657 participants (mean [SD]
age, 56.0 [15.3] years; 89.5% women and 10.5% men), the mean [SD] inner GCC
thickness was 96.0 [7.6] MUm (95% CI, 95.1-96.2). In multivariable modeling, the
mean inner GCC thickness was associated with advancing age (beta, -0.14; P <
.001), increased body mass index (beta, -0.15; P = .001), spherical equivalent
(beta, 0.70; P < .001), and higher estimated glomerular filtration rate (beta,
0.03; P = .02). A 1-U increase in age or body mass index was associated with a
0.14-um and 0.15-um decrease in GCC thickness, respectively (P < .001), while a 1
U increase in spherical equivalent or estimated glomerular filtration rate was
associated with a 0.70-um (P < .001) and 0.03-um (P = .02) increase in GCC
thickness, respectively. Ganglion cell complex thickness was not associated with
sex, intraocular pressure, or diabetes. Age-adjusted GCC thickness was highly
heritable, with additive genetic effects explaining 81% (95% CI, 78%-84%) of
phenotypic variance and individual environmental factors explaining the remaining
19% (95% CI, 16%-22%). Conclusions and Relevance: Ganglion cell complex thickness
appears to be highly heritable and further genetic analysis may help identify new
biological pathways for glaucoma. The results suggest it may be important to
account for age, body mass index, refractive error, and sex when using GCC
thickness as a diagnostic tool. Replication of their results is required, as is
further research to explain the association between renal function and GCC
thickness.
PMID- 27893006
TI - What Otolaryngologists Need to Know About Hearing Aids.
PMID- 27893005
TI - Transforming Head and Neck Surgeon Into Thyroid Expert: The 2016 Hayes Martin
Lecture.
AB - With the rapid rise in the incidence of thyroid cancer, the number of
thyroidectomies has increased remarkably in the last 10 years. Thyroidectomy
accounts for approximately 40% of the workload in head and neck fellowship. The
decision making in thyroid surgery is quite complex, especially when patients
present with recurrent or advanced thyroid cancer. The complications of thyroid
surgery can be very serious in relation to quality of life. Some of these
complications can be avoided with meticulous surgical technique. Thyroid surgery
continues to span various specialties, and surgical volume continues to be an
important parameter in outcomes. Technological advances have made a significant
impact in thyroid surgery; however, these need to be carefully evaluated before
their routine implementation and for extracervical approaches to thyroidectomy.
The use of external radiation therapy and targeted therapies has expanded in
recent years for patients with recurrent thyroid cancer. In a specialized and
tertiary care center, the thyroid expert should be well-trained to make
appropriate complex decisions. Thyroid experts should be part of the
multidisciplinary team managing thyroid cancer from A to Z.
PMID- 27893007
TI - Another Tattoo Reaction Pitfall: A Pink Lamb in Wolf's Clothing.
PMID- 27893008
TI - Use of Genetic Testing in Amyotrophic Lateral Sclerosis by Neurologists.
PMID- 27893009
TI - Lymphadenectomy for Treatment of Early-Stage Endometrial Cancer.
AB - Clinical Question: What is the association between lymphadenectomy and survival,
disease recurrence, and surgical morbidity in women with presumed early-stage,
low-grade endometrial carcinoma? Bottom Line: The evidence from randomized
clinical trials suggests that lymphadenectomy does not improve survival or
decrease disease recurrence in women with early-stage, low-grade endometrial
carcinoma. Furthermore lymphadenectomy is associated with an increase in both
short- and long-term surgery-related systemic morbidity.
PMID- 27893010
TI - Rethinking Outcomes in Leucine-Rich, Glioma-Inactivated 1 Protein Encephalitis:
"Good" Isn't Good Enough.
PMID- 27893011
TI - Cardiovascular Medications and Fractures: Dodging Complexity.
PMID- 27893012
TI - Surgeons' and Trauma Care Physicians' Perception of the Impact of the
Globalization of Medical Education on Quality of Care in Lima, Peru.
AB - Importance: The globalization of medical education-the process by which trainees
in any region gain access to international training (electronic or in-person)-is
a growing trend. More data are needed to inform next steps in the responsible
stewardship of this process, from the perspective of trainees and institutions at
all income levels, and for use by national and international policymakers.
Objective: To describe the impact of the globalization of medical education on
surgical care in Peru from the perspective of Peruvian surgeons who received
international training. Design, Setting, and Participants: Observational study of
qualitative interviews conducted from September 2015 to January 2016 using
grounded theory qualitative research methods. The study was conducted at 10 large
public institutions that provide most of the trauma care in Lima, Peru, and
included urban resident and faculty surgery and trauma care physicians.
Exposures: Access to international surgical rotations and medical information.
Main Outcomes and Measures: Outcome measures defining the impact of globalization
on surgical care were developed as part of simultaneous data collection and
analysis during qualitative research as part of a larger project on trauma
quality improvement practices in Peru. Results: Fifty qualitative interviews of
surgeons and emergency medicine physicians were conducted at 10 hospitals,
including multiple from the public and social security systems. A median of 4
interviews were conducted at each hospital, and fewer than 3 interviews were
conducted at only 1 hospital. From the broader theme of globalization emerged
subthemes of an eroded sense of agency and a perception of inadequate training on
the adaptation of international standards as negative effects of globalization on
surgical care in Peru. Access to research funds, provision of incentives for
acquisition of advanced clinical training, increased expectations for patient
outcomes, and education in quality improvement skills are ways in which
globalization positively affected surgeons and their patients in Peru.
Conclusions and Relevance: Short-term overseas training of surgeons from low- and
middle-income countries may improve care in the surgeons' country of origin
through the acquisition of skills and altered expectations for excellence.
Prioritization of evidence-based medical education is necessary given widespread
internet access and thus clinician exposure to variable quality medical
information. Finally, the establishment of centers of excellence in low- and
middle-income countries may address the eroded sense of agency attributable to
globalization and offer a local example of world-class surgical outcomes,
diminishing surgeons' most frequently cited reason for emigration: access to
better surgical training.
PMID- 27893013
TI - Proton Chemical Shift Imaging of the Brain in Pediatric and Adult Developmental
Stuttering.
AB - Importance: Developmental stuttering is a neuropsychiatric condition of
incompletely understood brain origin. Our recent functional magnetic resonance
imaging study indicates a possible partial basis of stuttering in circuits
enacting self-regulation of motor activity, attention, and emotion. Objective: To
further characterize the neurophysiology of stuttering through in vivo assay of
neurometabolites in suspect brain regions. Design, Setting, and Participants:
Proton chemical shift imaging of the brain was performed in a case-control study
of children and adults with and without stuttering. Recruitment, assessment, and
magnetic resonance imaging were performed in an academic research setting. Main
Outcomes and Measures: Ratios of N-acetyl-aspartate plus N-acetyl-aspartyl
glutamate (NAA) to creatine (Cr) and choline compounds (Cho) to Cr in widespread
cerebral cortical, white matter, and subcortical regions were analyzed using
region of interest and data-driven voxel-based approaches. Results: Forty-seven
children and adolescents aged 5 to 17 years (22 with stuttering and 25 without)
and 47 adults aged 21 to 51 years (20 with stuttering and 27 without) were
recruited between June 2008 and March 2013. The mean (SD) ages of those in the
stuttering and control groups were 12.2 (4.2) years and 13.4 (3.2) years,
respectively, for the pediatric cohort and 31.4 (7.5) years and 30.5 (9.9) years,
respectively, for the adult cohort. Region of interest-based findings included
lower group mean NAA:Cr ratio in stuttering than nonstuttering participants in
the right inferior frontal cortex (-7.3%; P = .02), inferior frontal white matter
(-11.4%; P < .001), and caudate (-10.6%; P = .04), while the Cho:Cr ratio was
higher in the bilateral superior temporal cortex (left: +10.0%; P = .03 and
right: +10.8%; P = .01), superior temporal white matter (left: +14.6%; P = .003
and right: +9.5%; P = .02), and thalamus (left: +11.6%; P = .002 and right:
+11.1%; P = .001). False discovery rate-corrected voxel-based findings were
highly consistent with region of interest findings. Additional voxel-based
findings in the stuttering sample included higher NAA:Cr and Cho:Cr ratios
(regression coefficient, 197.4-275; P < .001) in the posterior cingulate, lateral
parietal, hippocampal, and parahippocampal cortices and amygdala, as well as
lower NAA:Cr and Cho:Cr ratios (regression coefficient, 119.8-275; P < .001) in
the superior frontal and frontal polar cortices. Affected regions comprised nodes
of the Bohland speech-production (motor activity regulation), default-mode
(attention regulation), and emotional-memory (emotion regulation) networks.
Regional correlations were also observed between local metabolites and stuttering
severity (r = 0.40-0.52; P = .001-.02). Conclusions and Relevance: This
spectroscopy study of stuttering demonstrates brainwide neurometabolite
alterations, including several regions implicated by other neuroimaging
modalities. Prior ascription of a role in stuttering to inferior frontal and
superior temporal gyri, caudate, and other structures is affirmed. Consistent
with prior functional magnetic resonance imaging findings, these results further
intimate neurometabolic aberrations in stuttering in brain circuits subserving
self-regulation of speech production, attention, and emotion.
PMID- 27893014
TI - Durability of the Rituximab Response in Acetylcholine Receptor Autoantibody
Positive Myasthenia Gravis.
AB - Importance: Myasthenia gravis (MG), an autoimmune disorder of neuromuscular
transmission, is treated by an array of immunotherapeutics, many of which are
nonspecific. Even with current therapies, a subset of patients has medically
refractory MG. The benefits of B-cell-targeted therapy with rituximab have been
observed in MG; however, the duration of these benefits after treatment is
unclear. Objective: To evaluate the durability of response to rituximab in the
treatment of acetylcholine receptor autoantibody-positive (AChR+) generalized MG.
Design, Setting and Participants: This retrospective case series study included
16 patients with AChR+ MG referred to an MG clinic from January 1, 2007, to
December 31, 2015. The patients were treated with rituximab and followed up for
18 to 84 months after treatment. Main Outcomes and Measures: Assessment of long
term clinical response, durability of response and/or relapse rate, AChR
autoantibody levels, adverse effects, and inflammatory markers. Results: In the
16 patients (6 men and 10 women; median age, 42 [range, 18-69] years), clinical
improvement was observed in parallel with complete withdrawal or reduction of
other immunotherapies, with all patients achieving complete stable remission,
pharmacologic remission, or minimal manifestations based on the Myasthenia Gravis
Foundation of America postintervention status criteria. Nine patients (56%) had a
relapse during a mean follow-up of 36 (range, 24-47) months. Seven patients (44%)
remained relapse free with a mean follow-up of 47 (range, 18-81) months since the
last rituximab treatment. All values were normalized to a pretreatment anti-AChR
antibody level of 100% and the mean levels after each rituximab cycle were
calculated. A 33% decrease was seen after cycle 1 of rituximab treatment (100% vs
67%; P = .004); 20% after cycle 2 (compared with cycle 1) (67% vs 47%; P = .008);
and 17% after cycle 3 (compared with cycle 2) (47% vs 30%; P = .02). However, the
serum cytokine levels measured were found to be unchanged. Conclusions and
Relevance: Rituximab therapy appears to be an effective option in patients with
refractory AChR+ MG, who were observed to have a durable response after
treatment. Identification of markers of disease relapse and sustained remission
are critical next steps in the development of pathophysiology-relevant, evidence
based practice parameters for rituximab in the treatment of MG.
PMID- 27893015
TI - Dementia Trends in the United States: Read Up and Weigh In.
PMID- 27893016
TI - Highlights in Head and Neck Cancer.
PMID- 27893017
TI - Evaluation of Cognitive Deficits and Structural Hippocampal Damage in
Encephalitis With Leucine-Rich, Glioma-Inactivated 1 Antibodies.
AB - Importance: Limbic encephalitis with leucine-rich, glioma-inactivated 1 (LGI1)
antibodies is one of the most frequent variants of autoimmune encephalitis with
antibodies targeting neuronal surface antigens. However, the neuroimaging pattern
and long-term cognitive outcome are not well understood. Objective: To study
cognitive outcome and structural magnetic resonance imaging (MRI) alterations in
patients with anti-LGI1 encephalitis. Design, Setting, and Participants: A cross
sectional study was conducted at the Departments of Neurology at Charite
Universitatsmedizin Berlin and University Hospital Schleswig-Holstein, Kiel,
Germany. Data on 30 patients with anti-LGI1 encephalitis and 27 healthy control
individuals matched for age, sex, and educational level were collected from June
1, 2013, through February 28, 2015. Main Outcomes and Measures: Clinical
assessment, cognitive testing, and high-resolution MRI data, including whole
brain, hippocampal and basal ganglia volumetry; white matter integrity (diffusion
tensor imaging); gray matter density (voxel-based morphometry); and hippocampal
microstructural integrity (mean diffusivity and fractional anisotropy). Results:
Of the 30 patients included in the study, 19 were male (63%); mean (SD) age was
65.7 (12.3) years. Patients with anti-LGI1 encephalitis had incomplete recovery
with significant and persisting verbal (mean [SE] Rey Auditory Verbal Learning
Test [RAVLT], delayed recall: patients, 6.52 [1.05]; controls, 11.78 [0.56], P <
.001) and visuospatial (Rey-Osterrieth Complex Figure Test [ROCF], delayed
recall: patients, 16.0 [1.96]; controls, 25.86 [1.24]; P < .001) memory deficits.
These deficits were accompanied by pronounced hippocampal atrophy, including
subfields cornu ammonis 2/3 (CA2/3) and CA4/dentate gyrus (DG), as well as
impaired hippocampal microstructural integrity. Higher disease severity
correlated with larger verbal memory deficits (RAVLT delayed recall, r = -0.40; P
= .049), decreased volumes of left hippocampus (r = -0.47; P = .02) and left
CA2/3 (r = -0.41; P = .04) and CA4/DG (r = -0.43; P = .03) subfields, and
impaired left hippocampal microstructural integrity (r = 0.47; P = .01). In turn,
decreased volume of the left CA2/3 subfield (RAVLT delayed recall, r = 0.40; P =
.047) and impaired left hippocampal microstructural integrity (RAVLT recognition,
r = -0.41; P = .04) correlated with verbal memory deficits. Basal ganglia MRI
signal abnormalities were observed in only 1 patient, but a longer duration of
faciobrachial dystonic seizures correlated with a reduction of pallidum volume (r
= -0.71; P = .03). In contrast, no abnormalities of cortical gray matter or white
matter were found. The latency between disease onset and initiation of
immunotherapy was significantly correlated with verbal (RAVLT recall after
interference, r = -0.48; P = .02) and visuospatial (ROCF delayed recall, r =
0.46; P = .03) memory deficits. Conclusions and Relevance: Anti-LGI1 encephalitis
is associated with cognitive deficits and disability as a result of structural
damage to the hippocampal memory system. This damage might be prevented by early
immunotherapy.
PMID- 27893018
TI - Effect of omega-3 Polyunsaturated Fatty Acids in Young People at Ultrahigh Risk
for Psychotic Disorders: The NEURAPRO Randomized Clinical Trial.
AB - Importance: A promising treatment to prevent onset and improve outcomes in
patients at ultrahigh risk for psychosis is dietary supplementation with long
chain omega-3 polyunsaturated fatty acids (PUFAs). Objective: To determine
whether treatment with omega-3 PUFAs in combination with a high-quality
psychosocial intervention (cognitive behavioral case management [CBCM]) is more
effective than placebo plus CBCM. Design, Setting, and Participants: NEURAPRO, a
double-blind, placebo-controlled, randomized clinical trial, was conducted from
March 1, 2010, to September 30, 2014, in 10 specialized early psychosis treatment
services in Australia, Asia, and Europe. The primary analysis used the intention
to-treat approach. Interventions: A daily dose of 1.4 g of omega-3 PUFAs or
placebo (paraffin oil), plus 20 or fewer sessions of CBCM over the 6-month study
period. Main Outcomes and Measures: The primary outcome was transition to
psychosis status at 6 months. The secondary outcomes were general levels of
psychopathology and functioning, as assessed by the Brief Psychiatric Rating
Scale (BPRS) (range, 24-168), Scale for the Assessment of Negative Symptoms
(SANS) (range, 0-125), Montgomery-Asberg Depression Rating Scale (MADRS) (range,
0-60), Young Mania Rating Scale (YMRS) (range, 0-44), Social and Occupational
Functioning Assessment Scale (SOFAS) (range, 0-100), and the Global Functioning:
Social and Role scale (range, 0-10). For SOFAS and Global Functioning: Social and
Role scale, higher scores were better; for other measures, lower scores were
better. Results: In this study of 304 adults at ultrahigh risk for psychotic
disorders, 153 (50.3%) received omega-3 PUFAs and 151 (49.7%) received placebo.
In all, 139 (45.7%) were male; mean (SD) age was 19.1 (4.6) years. The Kaplan
Meier-estimated 6-month transition rates were 5.1% (95% CI, 1.3%-8.7%) in the
control group and 6.7% (95% CI, 2.3%-10.8%) in the omega-3 PUFA group. At 12
months, the rates were 11.2% (95% CI, 5.5%-16.7%) in the control group and 11.5%
(95% CI, 5.8%-16.9%) in the omega-3 PUFA group. No significant difference was
observed between the transition rates of both groups (hazard ratio, 1.1; 95% CI,
0.55-2.23; P = .76, stratified log-rank test). Conclusions and Relevance: This
trial clearly failed to replicate the findings of the original single-center
trial. The most likely explanation is that omega-3 PUFAs lack efficacy under
these conditions. However, the lower-than-expected transition rate may have
prevented a test of the main hypothesis. Given the substantial symptomatic and
functional improvement in both groups, the other treatments received (ie, CBCM
and antidepressants) likely produced a ceiling effect beyond which omega-3 PUFAs,
even if effective, could not be shown to confer additional benefits.
Nevertheless, the main conclusion is that omega-3 PUFAs are not effective under
conditions where good quality, evidence-based psychosocial treatment is
available. Trial Registration: anzctr.org.au Identifier: 12608000475347.
PMID- 27893019
TI - Occurrence of Bruise, Hematoma, and Pain in Upper Blepharoplasty Using Blunt
Needle vs Sharp-Needle Anesthetic Injection in Upper Blepharoplasty: A Randomized
Clinical Trial.
AB - Importance: Though it has been a common practice to use sharp needles to
administer local anesthesia for upper blepharoplasty, the evidence for their
benefit is lacking. Objective: To evaluate whether there is any benefit of using
blunt-needle injection for local anesthesia when performing upper blepharoplasty
to reduce postoperative bruise, hematoma, and pain. Design, Setting, and
Participants: Randomized clinical trial of 44 patients who underwent bilateral
upper blepharoplasty in an academic medical setting were randomized to receive
local anesthesia injections (lidocaine, 2%; 27-gauge needle) with a blunt needle
in one eyelid and a sharp needle in the other eyelid. Main Outcomes and Measures:
Visual analog scale (VAS) score of 0 to 10 (lower score indicating lower level of
pain) was used to blindly assess pain in patients receiving anesthesia injections
with both needle types. After injection and skin incision, photographs of the
eyelids of each patient were taken and used by 2 blinded observers to identify
bruise or hematoma. Results: In the 44 patients (88 eyelids) included in the
study (all women; mean age, 31 years; age range, 18-56 years) bruise or hematoma
occurred at the sharp-needle injection site in 11 women (25%) vs 0 women at the
blunt-needle site (P < .001). The mean VAS scores were 5.48 and 4.64 for pain
assessed at sites of sharp- and blunt-needle injections, respectively (P = .002).
Conclusions and Relevance: Use of blunt needles to administer local anesthesia
when performing upper blepharoplasty is less likely to cause hemorrhage and
require interventional pain procedures than use of sharp needles. Therefore, for
a more accurate surgical procedure and faster recovery, a blunt needle may be a
preferable choice. The use of the blunt needle presents fewer complications and
allows more accurate and refined work with faster patient recovery. Level of
Evidence: 1. Trial Registration: Chinese Clinical Trial Registry identifier:
ChiCTR-ONC-16007979.
PMID- 27893021
TI - Mitigating Financial Toxicity Among US Patients With Cancer.
PMID- 27893020
TI - Assessment of the EuroQol 5-Dimension Questionnaire for Detection of Clinically
Significant Global Health-Related Quality-of-Life Improvement Following
Functional Septorhinoplasty.
AB - Importance: Nasal airway obstruction is a common presenting complaint among
patients in otolaryngology practices, and its treatment necessitates critical
outcomes evaluation and cost-utility analysis. Objective: To evaluate the utility
and applicability of the EuroQol 5-Dimension (EQ5D) global health-related quality
of-life (HRQoL) questionnaire for the assessment of clinical outcomes in
functional septorhinoplasty. Design, Setting, and Participants: Prospective
cohort study at a university-based tertiary medical center of patients undergoing
functional septorhinoplasty for treatment of nasal obstruction. Interventions:
Patient demographic characteristics, operative intervention, and preoperative and
postoperative Nasal Obstruction Symptom Evaluation (NOSE) and EQ5D scores were
collected and analyzed between November 2013 and June 2016. Main Outcomes and
Measures: Comparison of preoperative and postoperative EQ5D and NOSE scores at 2
and 6 or more months following surgery (long-term follow-up). Results: A total of
135 patients (56.3% male; mean [SD] age, 36.8 [14.8] years) completed EQ5D and
NOSE surveys preoperatively and postoperatively, with mean (SD) follow-up of 5.82
(4.1) months. Of these, 117 completed the 2-month survey and 64 completed their
last survey at 6 or more months. Baseline NOSE and EQ5D visual analog scale (VAS)
scores were moderately correlated (r = -0.37, P < .001) as were changes in NOSE
and EQ5D VAS scores at long-term follow-up (r = -0.33, P = .007). Mean baseline
NOSE score of 64.3 (95% CI, 60.5-68.2) decreased to 24.8 (95% CI, 18.8-30.9) at
long-term follow-up (P < .001). The frequency of patients reporting problems in
the EQ5D domains of pain/discomfort and usual activity decreased by more than
half in the long-term follow-up group (30 [47%] vs 14 [22%] and 11 [17%] vs 4
[6%]; P = .002 and .02, respectively). The minimal clinically important
difference of the EQ5D VAS score was calculated at 9.5. The EQ5D VAS scores had
statistically and clinically significant improvement at long-term follow-up in
patients with NOSE score improvements of 30 to 60 points (EQ5D VAS increased from
mean [SD] of 72.3 [20] to 85.9 [10]; P < .001) and 65 to 100 points (EQ5D VAS
increased from mean [SD] of 66.2 [25] to 82.0 [13]; P = .01). Conclusions and
Relevance: The EQ5D, a global HRQoL instrument, was able to detect clinically
significant improvement following functional septorhinoplasty for nasal
obstruction. Nasal valve correction improved not only disease-specific quality of
life but also global HRQoL. The ability to calculate health utility values from
the EQ5D and its low response burden make it an attractive tool for
septorhinoplasty outcomes research. Level of Evidence: 4.
PMID- 27893022
TI - Suicide Attempts Among US Army Soldiers-Reply.
PMID- 27893023
TI - Patient-Reported Outcome Measures for Facial Plastic Surgery: A Specialty Finally
Gets to Go to the PROM.
PMID- 27893024
TI - Glycemic Control and Functional Decline in Nursing Home Residents With Diabetes.
PMID- 27893025
TI - Medicare's Shift to Mandatory Alternative Payment Models: Why Surgeons Should
Care.
PMID- 27893026
TI - Prevalence of Depression in Patients With Mild Cognitive Impairment: A Systematic
Review and Meta-analysis.
AB - Importance: Depression is common in individuals with mild cognitive impairment
(MCI) and may confer a higher likelihood of progression to dementia. Prevalence
estimates of depression in those with MCI are required to guide both clinical
decisions and public health policy, but published results are variable and lack
precision. Objective: To provide a precise estimate of the prevalence of
depression in individuals with MCI and identify reasons for heterogeneity in the
reported results. Data Sources: A search of literature from database inception to
March 2016 was performed using Medline, Embase, and PsycINFO. Hand searching of
all included articles was performed, including a Google Scholar search of
citations of included articles. Study Selection: Articles were included if they
(1) were published in English, (2) reported patients with MCI as a primary study
group, (3) reported depression or depressive symptoms using a validated
instrument, and (4) reported the prevalence of depression in patients with MCI.
Data Extraction and Synthesis: All abstracts, full-text articles, and other
sources were reviewed, with data extracted in duplicate. The overall prevalence
of depression in patients with MCI was pooled using a random-effects model.
Heterogeneity was explored using stratification and random-effects meta
regression. Main Outcomes and Measures: The prevalence of depression in patients
with MCI, reported as a percentage with 95% CIs. Estimates were also stratified
by population source (community-based or clinic-based sample), method of
depression diagnosis (clinician-administered, informant-based, or self-report),
and method of MCI diagnosis (cognitive vs global measure and amnestic vs
nonamnestic). Results: Of 5687 unique abstracts, 255 were selected for full-text
review, and 57 studies, representing 20 892 patients, met all inclusion criteria.
The overall pooled prevalence of depression in patients with MCI was 32% (95% CI,
27-37), with significant heterogeneity between estimates (I2 = 90.7%). When
stratified by source, the prevalence of depression in patients with MCI in
community-based samples was 25% (95% CI, 19-30) and was 40% (95% CI, 32-48) in
clinic-based samples, which was significantly different (P < .001). The method
used to diagnose depression did not significantly influence the prevalence
estimate, nor did the criteria used for MCI diagnosis or MCI subtype. Conclusions
and Relevance: The prevalence of depression in patients with MCI is high. A
contributor to heterogeneity in the reported literature is the source of the
sample, with greater depression burden prevalent in clinic-based samples.
PMID- 27893027
TI - Errors in Table.
PMID- 27893029
TI - Androgen Deprivation Therapy and Dose-Escalated Radiotherapy for Intermediate-
and High-Risk Prostate Cancer-Reply.
PMID- 27893028
TI - Out-of-Pocket Spending and Financial Burden Among Medicare Beneficiaries With
Cancer.
AB - Importance: Medicare beneficiaries with cancer are at risk for financial hardship
given increasingly expensive cancer care and significant cost sharing by
beneficiaries. Objectives: To measure out-of-pocket (OOP) costs incurred by
Medicare beneficiaries with cancer and identify which factors and services
contribute to high OOP costs. Design, Setting, and Participants: We prospectively
collected survey data from 18 166 community-dwelling Medicare beneficiaries,
including 1409 individuals who were diagnosed with cancer during the study
period, who participated in the January 1, 2002, to December 31, 2012, waves of
the Health and Retirement Study, a nationally representative panel study of US
residents older than 50 years. Data analysis was performed from July 1, 2014, to
June 30, 2015. Main Outcomes and Measures: Out-of-pocket medical spending and
financial burden (OOP expenditures divided by total household income). Results:
Among the 1409 participants (median age, 73 years [interquartile range, 69-79
years]; 46.4% female and 53.6% male) diagnosed with cancer during the study
period, the type of supplementary insurance was significantly associated with
mean annual OOP costs incurred after a cancer diagnosis ($2116 among those
insured by Medicaid, $2367 among those insured by the Veterans Health
Administration, $5976 among those insured by a Medicare health maintenance
organization, $5492 among those with employer-sponsored insurance, $5670 among
those with Medigap insurance coverage, and $8115 among those insured by
traditional fee-for-service Medicare but without supplemental insurance
coverage). A new diagnosis of cancer or common chronic noncancer condition was
associated with increased odds of incurring costs in the highest decile of OOP
expenditures (cancer: adjusted odds ratio, 1.86; 95% CI, 1.55-2.23; P < .001;
chronic noncancer condition: adjusted odds ratio, 1.82; 95% CI, 1.69-1.97; P <
.001). Beneficiaries with a new cancer diagnosis and Medicare alone incurred OOP
expenditures that were a mean of 23.7% of their household income; 10% of these
beneficiaries incurred OOP expenditures that were 63.1% of their household
income. Among the 10% of beneficiaries with cancer who incurred the highest OOP
costs, hospitalization contributed to 41.6% of total OOP costs. Conclusions and
Relevance: Medicare beneficiaries without supplemental insurance incur
significant OOP costs following a diagnosis of cancer. Costs associated with
hospitalization may be a primary contributor to these high OOP costs. Medicare
reform proposals that restructure the benefit design for hospital-based services
and incorporate an OOP maximum may help alleviate financial burden, as can
interventions that reduce hospitalization in this population.
PMID- 27893031
TI - The beta-HPV Subtypes-Cornerstone of the Next-Generation Vaccine.
PMID- 27893030
TI - Development and Initial Validation of the Risk Analysis Index for Measuring
Frailty in Surgical Populations.
AB - Importance: Growing consensus suggests that frailty-associated risks should
inform shared surgical decision making. However, it is not clear how best to
screen for frailty in preoperative surgical populations. Objective: To develop
and validate the Risk Analysis Index (RAI), a 14-item instrument used to measure
surgical frailty. It can be calculated prospectively (RAI-C), using a clinical
questionnaire, or retrospectively (RAI-A), using variables from the surgical
quality improvement databases (Veterans Affairs or American College of Surgeons
National Surgical Quality Improvement Projects). Design, Setting, and
Participants: Single-site, prospective cohort from July 2011 to September 2015 at
the Veterans Affairs Nebraska-Western Iowa Heath Care System, a Level 1b Veterans
Affairs Medical Center. The study included all patients presenting to the medical
center for elective surgery. Exposures: We assessed the RAI-C for all patients
scheduled for surgery, linking these scores to administrative and quality
improvement data to calculate the RAI-A and the modified Frailty Index. Main
Outcomes and Measures: Receiver operator characteristics and C statistics for
each measure predicting postoperative mortality and morbidity. Results: Of the
participants, the mean (SD) age was 60.7 (13.9) years and 249 participants (3.6%)
were women. We assessed the RAI-C 10 698 times, from which we linked 6856 unique
patients to mortality data. The C statistic predicting 180-day mortality for the
RAI-C was 0.772. Of these 6856 unique patients, we linked 2785 to local Veterans
Affairs Surgeons National Surgical Quality Improvement Projects data and
calculated the C statistic for both the RAI-A (0.823) and RAI-C (0.824), along
with the correlation between the 2 scores (r = 0.478; P < .001). Of these 2785
patients, there were sufficient data to calculate the modified Frailty Index for
1021, in which the C statistics were 0.865 (RAI-A), 0.797 (RAI-C), and 0.811
(modified Frailty Index). The correlation between the RAI-A and RAI-C was 0.547,
and the correlations of the modified Frailty Index to the RAI-A and RAI-C were
0.301 and 0.269, respectively (all P < .001). A cutoff of RAI-C of at least 21
classified 18.3% patients as "frail" with a sensitivity of 0.50 and specificity
of 0.82, whereas the RAI-A was less sensitive (0.25) and more specific (0.97),
classifying only 3.7% as "frail." Conclusions and Relevance: The RAI-C and RAI-A
represent effective tools for measuring frailty in surgical populations with
predictive ability on par with other frailty tools. Moderate correlation between
the measures suggests convergent validity. The RAI-C offers the advantage of
prospective, preoperative assessment that is proved feasible for large-scale
screening in clinical practice. However, further efforts should be directed at
determining the optimal components of preoperative frailty assessment.
PMID- 27893032
TI - Effect of Group vs Individual Cognitive Processing Therapy in Active-Duty
Military Seeking Treatment for Posttraumatic Stress Disorder: A Randomized
Clinical Trial.
AB - Importance: Cognitive processing therapy (CPT), an evidence-based treatment for
posttraumatic stress disorder (PTSD), has not been tested as an individual
treatment among active-duty military. Group CPT may be an efficient way to
deliver treatment. Objective: To determine the effects of CPT on PTSD and co
occurring symptoms and whether they differ when administered in an individual or
a group format. Design, Setting, and Participants: In this randomized clinical
trial, 268 active-duty servicemembers consented to assessment at an army medical
center from March 8, 2012, to September 23, 2014, and were randomized to group or
individual CPT. Inclusion criteria were PTSD after military deployment and stable
medication therapy. Exclusion criteria consisted of suicidal or homicidal intent
or psychosis. Data collection was completed on June 15, 2015. Analysis was based
on intention to treat. Interventions: Participants received CPT (the version
excluding written accounts) in 90-minute group sessions of 8 to 10 participants
(15 cohorts total; 133 participants) or 60-minute individual sessions (135
participants) twice weekly for 6 weeks. The 12 group and individual sessions were
conducted concurrently. Main Outcomes and Measures: Primary measures were scores
on the Posttraumatic Symptom Scale-Interview Version (PSS-I) and the stressor
specific Posttraumatic Stress Disorder Checklist (PCL-S); secondary measures were
scores on the Beck Depression Inventory-II (BDI-II) and the Beck Scale for
Suicidal Ideation (BSSI). Assessments were completed by independent evaluators
masked to treatment condition at baseline and 2 weeks and 6 months after
treatment. Results: Among the 268 participants (244 men [91.0%]; 24 women [9.0%];
mean [SD] age, 33.2 [7.4] years), improvement in PTSD severity at posttreatment
was greater when CPT was administered individually compared with the group format
(mean [SE] difference on the PSS-I, -3.7 [1.4]; Cohen d = 0.6; P = .006).
Significant improvements were maintained with the individual (mean [SE] PSS-I,
7.8 [1.0]; Cohen d = 1.3; mean [SE] PCL-S, -12.6 [1.4]; Cohen d = 1.2) and group
(mean [SE] PSS-I, -4.0 [0.97]; Cohen d = 0.7; mean [SE] PCL-S, -6.3 [1.4]; Cohen
d = 0.6) formats, with no differences in remission or severity of PTSD at the 6
month follow-up. Symptoms of depression and suicidal ideation did not differ
significantly between formats. Conclusions and Relevance: Individual treatment
resulted in greater improvement in PTSD severity than group treatment. Depression
and suicidal ideation improved equally with both formats. However, even among
those receiving individual CPT, approximately 50% still had PTSD and clinically
significant symptoms. In the military population, improving existing treatments
such as CPT or developing new treatments is needed. Trial Registration:
clinicaltrials.gov identifier: NCT02173561.
PMID- 27893035
TI - Suicide Attempts Among US Army Soldiers.
PMID- 27893036
TI - omega-3 Polyunsaturated Fatty Acids to Prevent Psychosis: The Importance of
Replication Studies.
PMID- 27893034
TI - Quality of Abstracts Reporting Randomized Clinical Trials Presented at a Major
Oncology Conference.
PMID- 27893037
TI - Refining Trauma-Focused Treatments for Servicemembers and Veterans With
Posttraumatic Stress Disorder: Progress and Ongoing Challenges.
PMID- 27893033
TI - Effect of a Decision Aid on Access to Total Knee Replacement for Black Patients
With Osteoarthritis of the Knee: A Randomized Clinical Trial.
AB - Importance: Black patients with advanced osteoarthritis (OA) of the knee are
significantly less likely than white patients to undergo surgery. No strategies
have been proved to improve access to surgery for black patients with end-stage
OA of the knee. Objective: To assess whether a decision aid improves access to
total knee replacement (TKR) surgery for black patients with OA of the knee.
Design, Setting, and Participants: In a randomized clinical trial, 336 eligible
participants who self-identified as black and 50 years or older with chronic and
frequent knee pain, a Western Ontario McMaster Universities Osteoarthritis Index
score of at least 39, and radiographic evidence of OA of the knee were recruited
from December 1, 2010, to May 31, 2014, at 3 medical centers. Exclusion criteria
were history of major joint replacement, terminal illness, inflammatory
arthritis, prosthetic leg, cognitive impairment, lack of a telephone, or
contraindications to elective replacement surgery. Data were analyzed on a per
protocol and intention-to-treat (ITT) basis. Exposure: Access to a decision aid
for OA of the knee, a 40-minute video that describes the risks and benefits of
TKR surgery. Main Outcomes and Measures: Receipt of TKR surgery within 12 months
and/or a recommendation for TKR surgery from an orthopedic surgeon within 6
months after the intervention. Results: Among 336 patients (101 men [30.1%]; 235
women [69.9%]; mean [SD] age, 59.1 [7.2] years) randomized to the intervention or
control group, 13 of 168 controls (7.7%) and 25 of 168 intervention patients
(14.9%) underwent TKR within 12 months (P = .04). These changes represent a 70%
increase in the TKR rate, which increased by 86% (11 of 154 [7.1%] vs 23 of 150
[15.3%]; P = .02) in the per-protocol sample. Twenty-six controls (15.5%) and 34
intervention patients (20.2%) in the ITT analysis received a recommendation for
surgery within 6 months (P = .25). The difference in the surgery recommendation
rate between the controls (24 of 154 [15.6%]) and the intervention group (31 of
150 [20.7%]) in the per-protocol analysis also was not statistically significant
(P = .25). Adjustment for study site yielded similar results: for receipt of TKR
at 12 months, adjusted ORs were 2.10 (95% CI, 1.04-4.27) for the ITT analysis and
2.39 (95% CI, 1.12-5.10) for the per-protocol analysis; for recommendation of TKR
at 6 months, 1.39 (95% CI, 0.79-2.44) and 1.41 (95% CI, 0.78-2.55). Conclusions
and Relevance: A decision aid increased rates of TKR among black patients.
However, rates of recommendation for surgery did not differ significantly. A
patient-centered counseling and educational intervention may help to address
racial variations in the use of TKR for the management of end-stage OA of the
knee. Trial Registration: clinicaltrials.gov Identifer: NCT01851785.
PMID- 27893038
TI - Targeting the PI3K/AKT/mTOR Pathway for the Treatment of Mesenchymal Triple
Negative Breast Cancer: Evidence From a Phase 1 Trial of mTOR Inhibition in
Combination With Liposomal Doxorubicin and Bevacizumab.
AB - Importance: Triple-negative breast cancer (TNBC) classified by transcriptional
profiling as the mesenchymal subtype frequently harbors aberrations in the
phosphoinositide 3-kinase (PI3K) pathway, raising the possibility of targeting
this pathway to enhance chemotherapy response. Up to 30% of mesenchymal TNBC can
be classified histologically as metaplastic breast cancer, a chemorefractory
group of tumors with a mixture of epithelial and mesenchymal components
identifiable by light microscopy. While assays to identify mesenchymal TNBC are
under development, metaplastic breast cancer serves as a clinically identifiable
surrogate to evaluate potential regimens for mesenchymal TNBC. Objective: To
assess safety and efficacy of mammalian target of rapamycin (mTOR) inhibition in
combination with liposomal doxorubicin and bevacizumab in patients with advanced
metaplastic TNBC. Design, Setting, and Participants: Phase 1 study with dose
escalation and dose expansion at the University of Texas MD Anderson Cancer
Center of patients with advanced metaplastic TNBC. Patients were enrolled from
April 16, 2009, to November 4, 2014, and followed for outcomes with a cutoff date
of November 1, 2015, for data analysis. Interventions: Liposomal doxorubicin,
bevacizumab, and the mTOR inhibitors temsirolimus or everolimus using 21-day
cycles. Main Outcomes and Measures: Safety and response. When available, archived
tissue was evaluated for aberrations in the PI3K pathway. Results: Fifty-two
women with metaplastic TNBC (median age, 58 years; range, 37-79 years) were
treated with liposomal doxorubicin, bevacizumab, and temsirolimus (DAT) (N = 39)
or liposomal doxorubicin, bevacizumab, and everolimus (DAE) (N = 13). The
objective response rate was 21% (complete response = 4 [8%]; partial response = 7
[13%]) and 10 (19%) patients had stable disease for at least 6 months, for a
clinical benefit rate of 40%. Tissue was available for testing in 43 patients,
and 32 (74%) had a PI3K pathway aberration. Presence of PI3K pathway aberration
was associated with a significant improvement in objective response rate (31% vs
0%; P = .04) but not clinical benefit rate (44% vs 45%; P > .99). Conclusions and
Relevance: Using metaplastic TNBC as a surrogate for mesenchymal TNBC, DAT and
DAE had notable activity in mesenchymal TNBC. Objective response was limited to
patients with PI3K pathway aberration. A randomized trial should be performed to
test DAT and DAE for metaplastic TNBC, as well as nonmetaplastic, mesenchymal
TNBC, especially when PI3K pathway aberrations are identified.
PMID- 27893039
TI - Cyclic Mechanical Loading Enhances Transport of Antibodies Into Articular
Cartilage.
AB - The goal of this study was to characterize antibody penetration through cartilage
tissue under mechanical loading. Mechanical stimulation aids in the penetration
of some proteins, but this effect has not characterized molecules such as
antibodies (>100 kDa), which may hold some clinical value for treating
osteoarthritis (OA). For each experiment, fresh articular cartilage plugs were
obtained and exposed to fluorescently labeled antibodies while under cyclic
mechanical load in unconfined compression for several hours. Penetration of these
antibodies was quantified using confocal microscopy, and finite element (FE)
simulations were conducted to predict fluid flow patterns within loaded samples.
Transport enhancement followed a linear trend with strain amplitude (0.25-5%) and
a nonlinear trend with frequency (0.25-2.60 Hz), with maximum enhancement found
to be at 5% cyclic strain and 1 Hz, respectively. Regions of highest enhancement
of transport within the tissue were associated with the regions of highest
interstitial fluid velocity, as predicted from finite-element simulations.
Overall, cyclic compression-enhanced antibody transport by twofold to threefold.
To our knowledge, this is the first study to test how mechanical stimulation
affects the diffusion of antibodies in cartilage and suggest further study into
other important factors regarding macromolecular transport.
PMID- 27893040
TI - Association of a Dedicated Post-Hospital Discharge Follow-up Visit and 30-Day
Readmission Risk in a Medicare Advantage Population.
PMID- 27893042
TI - Androgen Deprivation Therapy and Dose-Escalated Radiotherapy for Intermediate-
and High-Risk Prostate Cancer: Sign of Changing Times?
PMID- 27893043
TI - Appropriate Use of High-Sensitivity Cardiac Troponin Levels in Patients With
Suspected Acute Myocardial Infarction.
PMID- 27893041
TI - A Comparison of the Prevalence of Dementia in the United States in 2000 and 2012.
AB - Importance: The aging of the US population is expected to lead to a large
increase in the number of adults with dementia, but some recent studies in the
United States and other high-income countries suggest that the age-specific risk
of dementia may have declined over the past 25 years. Clarifying current and
future population trends in dementia prevalence and risk has important
implications for patients, families, and government programs. Objective: To
compare the prevalence of dementia in the United States in 2000 and 2012. Design,
Setting, and Participants: We used data from the Health and Retirement Study
(HRS), a nationally representative, population-based longitudinal survey of
individuals in the United States 65 years or older from the 2000 (n = 10 546) and
2012 (n = 10 511) waves of the HRS. Main Outcomes and Measures: Dementia was
identified in each year using HRS cognitive measures and validated methods for
classifying self-respondents, as well as those represented by a proxy. Logistic
regression was used to identify socioeconomic and health variables associated
with change in dementia prevalence between 2000 and 2012. Results: The study
cohorts had an average age of 75.0 years (95% CI, 74.8-75.2 years) in 2000 and
74.8 years (95% CI, 74.5-75.1 years) in 2012 (P = .24); 58.4% (95% CI, 57.3%
59.4%) of the 2000 cohort was female compared with 56.3% (95% CI, 55.5%-57.0%) of
the 2012 cohort (P < .001). Dementia prevalence among those 65 years or older
decreased from 11.6% (95% CI, 10.7%-12.7%) in 2000 to 8.8% (95% CI, 8.2%-9.4%)
(8.6% with age- and sex-standardization) in 2012 (P < .001). More years of
education was associated with a lower risk for dementia, and average years of
education increased significantly (from 11.8 years [95% CI, 11.6-11.9 years] to
12.7 years [95% CI, 12.6-12.9 years]; P < .001) between 2000 and 2012. The
decline in dementia prevalence occurred even though there was a significant age-
and sex-adjusted increase between years in the cardiovascular risk profile (eg,
prevalence of hypertension, diabetes, and obesity) among older US adults.
Conclusions and Relevance: The prevalence of dementia in the United States
declined significantly between 2000 and 2012. An increase in educational
attainment was associated with some of the decline in dementia prevalence, but
the full set of social, behavioral, and medical factors contributing to the
decline is still uncertain. Continued monitoring of trends in dementia incidence
and prevalence will be important for better gauging the full future societal
impact of dementia as the number of older adults increases in the decades ahead.
PMID- 27893045
TI - Association of 3 Different Antihypertensive Medications With Hip and Pelvic
Fracture Risk in Older Adults: Secondary Analysis of a Randomized Clinical Trial.
AB - Importance: On the basis of observational studies, the use of thiazide diuretics
for the treatment of hypertension is associated with reduced fracture risk
compared with nonuse. Data from randomized clinical trials are lacking.
Objective: To examine whether the use of thiazide diuretics for the treatment of
hypertension is associated with reduced fracture risk compared with nonuse.
Design, Setting, and Participants: Using Veterans Affairs and Medicare claims
data, this study examined hip and pelvic fracture hospitalizations in
Antihypertensive and Lipid-Lowering Treatment to Prevent Heart Attack Trial
participants randomized to first-step therapy with a thiazide-type diuretic
(chlorthalidone), a calcium channel blocker (amlodipine besylate), or an
angiotensin-converting enzyme inhibitor (lisinopril). Recruitment was from
February 1994 to January 1998; in-trial follow-up ended in March 2002. The mean
follow-up was 4.9 years. Posttrial follow-up was conducted through the end of
2006, using passive surveillance via national databases. For this secondary
analysis, which used an intention-to-treat approach, data were analyzed from
February 1, 1994, through December 31, 2006. Main Outcomes and Measures: Hip and
pelvic fracture hospitalizations. Results: A total of 22 180 participants (mean
[SD] age, 70.4 [6.7] years; 43.0% female; and 49.9% white non-Hispanic, 31.2%
African American, and 19.1% other ethnic groups) were followed for up to 8 years
(mean [SD], 4.9 [1.5] years) during masked therapy. After trial completion, 16
622 participants for whom claims data were available were followed for up to 5
additional years (mean [SD] total follow-up, 7.8 [3.1] years). During the trial,
338 fractures occurred. Participants randomized to receive chlorthalidone vs
amlodipine or lisinopril had a lower risk of fracture on adjusted analyses
(hazards ratio [HR], 0.79; 95% CI, 0.63-0.98; P = .04). Risk of fracture was
significantly lower in participants randomized to receive chlorthalidone vs
lisinopril (HR, 0.75; 95% CI, 0.58-0.98; P = .04) but not significantly different
compared with those randomized to receive amlodipine (HR, 0.82; 95% CI, 0.63
1.08; P = .17). During the entire trial and posttrial period of follow-up, the
cumulative incidence of fractures was nonsignificantly lower in participants
randomized to receive chlorthalidone vs lisinopril or amlodipine (HR, 0.87; 95%
CI, 0.74-1.03; P = .10) and vs each medication separately. In sensitivity
analyses, when 1 year after randomization was used as the baseline (to allow for
the effects of medications on bone to take effect), similar results were obtained
for in-trial and in-trial plus posttrial follow-up. Conclusions and Relevance:
These findings from a large randomized clinical trial provide evidence of a
beneficial effect of thiazide-type diuretic therapy in reducing hip and pelvic
fracture risk compared with treatment with other antihypertensive medications.
Trial Registration: clinicaltrials.gov Identifier: NCT00000542.
PMID- 27893044
TI - Failure of the Porcine Ascending Aorta: Multidirectional Experiments and a
Unifying Microstructural Model.
AB - The ascending thoracic aorta is poorly understood mechanically, especially its
risk of dissection. To make better predictions of dissection risk, more
information about the multidimensional failure behavior of the tissue is needed,
and this information must be incorporated into an appropriate
theoretical/computational model. Toward the creation of such a model, uniaxial,
equibiaxial, peel, and shear lap tests were performed on healthy porcine
ascending aorta samples. Uniaxial and equibiaxial tests showed anisotropy with
greater stiffness and strength in the circumferential direction. Shear lap tests
showed catastrophic failure at shear stresses (150-200 kPa) much lower than
uniaxial tests (750-2500 kPa), consistent with the low peel tension (~60 mN/mm).
A novel multiscale computational model, including both prefailure and failure
mechanics of the aorta, was developed. The microstructural part of the model
included contributions from a collagen-reinforced elastin sheet and interlamellar
connections representing fibrillin and smooth muscle. Components were represented
as nonlinear fibers that failed at a critical stretch. Multiscale simulations of
the different experiments were performed, and the model, appropriately specified,
agreed well with all experimental data, representing a uniquely complete
structure-based description of aorta mechanics. In addition, our experiments and
model demonstrate the very low strength of the aorta in radial shear, suggesting
an important possible mechanism for aortic dissection.
PMID- 27893046
TI - Two vs Three Doses of Human Papillomavirus Vaccine: New Policy for the Second
Decade of the Vaccination Program.
PMID- 27893047
TI - The Road Ahead for Personalized Firearms.
PMID- 27893048
TI - Dark Retinal Lesion in a Young Asymptomatic Man.
PMID- 27893050
TI - When Does High Risk for Stroke Become Low Risk After Atrial Fibrillation
Ablation?
PMID- 27893049
TI - A Novel Approach to Assess the In Situ Versus Ex Vivo Mechanical Behaviors of the
Coronary Artery.
AB - Ex vivo mechanical testing has provided tremendous insight toward prediction of
the in vivo mechanical behavior and local mechanical environment of the arterial
wall; however, the role of perivascular support on the local mechanical behavior
of arteries is not well understood. Here, we present a novel approach for
quantifying the impact of the perivascular support on arterial mechanics using
intravascular ultrasound (IVUS) on cadaveric porcine hearts. We performed
pressure-diameter tests (n = 5) on the left anterior descending coronary arteries
(LADCAs) in situ while embedded in their native perivascular environment using
IVUS imaging and after removal of the perivascular support of the artery. We then
performed standard cylindrical biaxial testing on these vessels ex vivo and
compared the results. Removal of the perivascular support resulted in an upward
shift of the pressure-diameter curve. Ex vivo testing, however, showed
significantly lower circumferential compliance compared to the in situ
configuration. On a second set of arteries, local axial stretch ratios were
quantified (n = 5) along the length of the arteries. The average in situ axial
stretch ratio was 1.28 +/- 0.16; however, local axial stretch ratios showed
significant variability, ranging from 1.01 to 1.70. Taken together, the data
suggest that both the perivascular loading and the axial tethering have an
important role in arterial mechanics. Combining nondestructive testing using IVUS
with traditional ex vivo cylindrical biaxial testing yields a more comprehensive
assessment of the mechanical behavior of arteries.
PMID- 27893052
TI - Errors in Reported Numbers in Table 1.
PMID- 27893051
TI - Study of Cholesterol Repletion Effect on Nanomechanical Properties of Human
Umbilical Vein Endothelial Cell Via Rapid Broadband Atomic Force Microscopy.
AB - Abnormalities of blood cholesterol concentration are associated with increased
risks for vascular disease, especially heart attacks and strokes. As one of the
main lipid components of plasma membrane in all mammalian cells, cholesterol has
a major impact on the mechanical properties of the membrane of endothelial cells.
Although the effect of cholesterol depletion on cell mechanical properties has
been studied, no results yet have been reported on quantitative investigation of
cholesterol repletion effect. In this study, the cholesterol repletion effect on
the nanomechanical properties of human umbilical vein endothelial cell (EA.hy926)
was studied using a control-based atomic force microscope (AFM) nanomechanical
measurement protocol. The viscoelasticity of EA.hy926 cells were measured over a
large frequency range (0.1-100 Hz) using both constant-rate excitation force with
different loading rates and a broadband excitation force. The viscoelasticity
oscillation of the cell membranes under the cholesterol effect was also monitored
in real-time. The experiment results showed that under the effect of cholesterol
repletion, both the Young's modulus and the complex modulus of EA.hy926 cell were
increased over 30%, respectively, and moreover, the amplitudes of both the
elasticity oscillation and the viscosity oscillation at a period of around 200 s
were increased over 70%, respectively. Therefore, this work is among the first to
investigate the mechanical properties, particularly, the broadband
viscoelasticity variations of EA.hy926 cells under cholesterol repletion
treatment. The results revealed that cholesterol repletion may reinforce the
coupling of F-actin to plasma membrane by increasing actin stability, and the
cholesterol might have modified the submembrane cytoskeletal organization of
EA.hy926 cell by causing the involvement of the motor protein nonmuscle myosin
II.
PMID- 27893053
TI - Appropriate Use of High-Sensitivity Cardiac Troponin Levels in Patients With
Suspected Acute Myocardial Infarction.
PMID- 27893054
TI - Outcomes and Temporal Trends of Inpatient Percutaneous Coronary Intervention at
Centers With and Without On-site Cardiac Surgery in the United States.
AB - Importance: There are concerns whether percutaneous coronary intervention (PCI)
at centers without on-site cardiac surgery is safe outside of a tightly regulated
research environment. Objective: To analyze the outcomes and temporal trends of
inpatient PCI at centers without on-site cardiac surgery in an unselected and
nationally representative population of the United States. Design, Setting, and
Participants: A national inpatient sample (N = 6 912 232) was used to identify
patients who underwent inpatient PCI in the United States from January 1, 2003,
to December 31, 2012. Hospitals that performed 1 or more coronary artery bypass
graft surgeries in a given calendar year were classified as centers with on-site
cardiac surgery, and weighted sampling of all inpatient hospitalizations was
performed. Data analysis was performed from February to May 2016. Exposures:
Inpatient PCI. Main Outcomes and Measures: In-hospital mortality. Results: Of the
6 912 232 inpatient PCIs performed, 2 336 334 patients (33.8%) were women and 4
575 898 (66.2%) were men; their mean (SD) age was 64.5 (12.3) years. Of these
PCIs, 396 741 (5.7%) were conducted at centers without on-site cardiac surgery.
The rate of in-hospital mortality was significantly lower at centers with on-site
cardiac surgery compared with centers without on-site cardiac surgery (1.4% vs
1.9%; unadjusted odds ratio [OR], 0.74; 95% CI, 0.72-0.75). After adjustment,
there was no significant difference in in-hospital mortality between centers with
and without on-site cardiac surgery (OR, 1.01; 95% CI, 0.98-1.03; P = .62) for
acute coronary syndromes and elective procedures requiring inpatient
hospitalization. In addition, there were no significant differences in the risk
adjusted, in-hospital mortality between the 2 groups in prespecified subgroups
after adjusting for multiple comparisons, including ST-elevation myocardial
infarction (OR, 0.99; 95% CI, 0.96-1.03; P = .65), non-ST-elevation acute
coronary syndrome (OR, 0.99; 95% CI, 0.93-1.05; P = .66), and elective PCI (OR,
0.93; 95% CI, 0.84-1.03; P = .17). There was a significant increase in the
proportion of PCIs at centers without on-site cardiac surgery within the study
period (from 1.8% to 12.7%; P < .001 for trend by Cochrane-Armitage test)
reflected across all the indications. Conclusions and Relevance: There was a 7
fold increase in the proportion of PCIs at centers without on-site cardiac
surgery from 2003 to 2012 in the United States, with the adjusted in-hospital
mortality after inpatient PCI being similar at centers with and without on-site
cardiac surgery. These data provide evidence that PCI at centers without on-site
cardiac surgery may be safe in the modern era.
PMID- 27893056
TI - Percutaneous Coronary Intervention Without On-site Cardiac Surgery: It's Trendy,
but Is It Right?
PMID- 27893055
TI - Assessment of Use vs Discontinuation of Oral Anticoagulation After Pulmonary Vein
Isolation in Patients With Atrial Fibrillation.
AB - Importance: Pulmonary vein isolation (PVI) is a recommended treatment for
patients with atrial fibrillation, but it is unclear whether it results in a
lower risk of stroke. Objectives: To investigate the proportion of patients
discontinuing anticoagulation treatment after PVI in association with the CHA2DS2
VASc (congestive heart failure, hypertension, age >=75 years [doubled], diabetes,
stroke [doubled], vascular disease, age 65-74 years, sex category [female])
score, identify factors predicting stroke after PVI, and explore the risk of
cardiovascular events after PVI in patients with and without guideline
recommended anticoagulation treatment. Design, Setting, and Participants: A
retrospective cohort study was conducted using Swedish national health registries
from January 1, 2006, to December 31, 2012, with a mean-follow up of 2.6 years. A
total of 1585 patients with atrial fibrillation undergoing PVI from the Swedish
Catheter Ablation Register were included, with information about exposure to
warfarin in the national quality register Auricula. Data analysis was performed
from January 1, 2015, to April 30, 2016. Exposures: Warfarin treatment. Main
Outcomes and Measures: Ischemic stroke, intracranial hemorrhage, and death.
Results: In this cohort of 1585 patients, 73.0% were male, the mean (SD) age was
59.0 (9.4) years, and the mean (SD) CHA2DS2-VASc score was 1.5 (1.4). Of the 1585
patients, 1175 were followed up for more than 1 year after PVI. Of these, 360
(30.6%) discontinued warfarin treatment during the first year. In patients with a
CHA2DS2-VASc score of 2 or more, patients discontinuing warfarin treatment had a
higher rate of ischemic stroke (5 events in 312 years at risk [1.6% per year])
compared with those continuing warfarin treatment (4 events in 1192 years at risk
[0.3% per year]) (P = .046). Patients with a CHA2DS2-VASc score of 2 or more or
those who had previously experienced an ischemic stroke displayed a higher risk
of stroke if warfarin treatment was discontinued (hazard ratio, 4.6; 95% CI, 1.2
17.2; P = .02 and hazard ratio, 13.7; 95% CI, 2.0-91.9; P = .007, respectively).
Conclusions and Relevance: These findings indicate that discontinuation of
warfarin treatment after PVI is not safe in high-risk patients, especially those
who have previously experienced an ischemic stroke.
PMID- 27893057
TI - Appropriate Use of High-Sensitivity Cardiac Troponin Levels in Patients With
Suspected Acute Myocardial Infarction-Reply.
PMID- 27893058
TI - Lymph Node Biopsy in Patients With Papillary Thyroid Cancer-Reply.
PMID- 27893059
TI - The Localized Hemodynamics of Drug-Eluting Stents Are Not Improved by the
Presence of Magnetic Struts.
AB - The feasibility of implementing magnetic struts into drug-eluting stents (DESs)
to mitigate the adverse hemodynamics which precipitate stent thrombosis is
examined. These adverse hemodynamics include platelet-activating high wall shear
stresses (WSS) and endothelial dysfunction-inducing low wall shear stresses. By
magnetizing the stent struts, two forces are induced on the surrounding blood:
(1) magnetization forces which reorient red blood cells to align with the
magnetic field and (2) Lorentz forces which oppose the motion of the conducting
fluid. The aim of this study was to investigate whether these forces can be used
to locally alter blood flow in a manner that alleviates the thrombogenicity of
stented vessels. Two-dimensional steady-state computational fluid dynamics (CFD)
simulations were used to numerically model blood flow over a single magnetic drug
eluting stent strut with a square cross section. The effects of magnet
orientation and magnetic flux density on the hemodynamics of the stented vessel
were elucidated in vessels transporting oxygenated and deoxygenated blood. The
simulations are compared in terms of the size of separated flow regions. The
results indicate that unrealistically strong magnets would be required to achieve
even modest hemodynamic improvements and that the magnetic strut concept is ill
suited to mitigate stent thrombosis.
PMID- 27893061
TI - Miscalculation and Errors in Numbers Reported in Table.
PMID- 27893060
TI - Association Between Allergen Exposure in Inner-City Schools and Asthma Morbidity
Among Students.
AB - Importance: Home aeroallergen exposure is associated with increased asthma
morbidity in children, yet little is known about the contribution of school
aeroallergen exposures to such morbidity. Objective: To evaluate the effect of
school-specific aeroallergen exposures on asthma morbidity among students,
adjusting for home exposures. Design, Setting, and Participants: The School Inner
City Asthma Study was a prospective cohort study evaluating 284 students aged 4
to 13 years with asthma who were enrolled from 37 inner-city elementary schools
in the northeastern United States between March 1, 2008, and August 31, 2013.
Enrolled students underwent baseline clinical evaluations before the school year
started and were then observed clinically for 1 year. During that same school
year, classroom and home dust samples linked to the students were collected and
analyzed for common indoor aeroallergens. Associations between school
aeroallergen exposure and asthma outcomes during the school year were assessed,
adjusting for home exposures. Exposures: Indoor aeroallergens, including rat,
mouse, cockroach, cat, dog, and dust mites, measured in dust samples collected
from inner-city schools. Main Outcomes and Measures: The primary outcome was
maximum days in the past 2 weeks with asthma symptoms. Secondary outcomes
included well-established markers of asthma morbidity, including asthma
associated health care use and lung function, measured by forced expiratory
volume in 1 second. Results: Among 284 students (median age, 8 years
[interquartile range, 6-9 years]; 148 boys and 136 girls), exposure to mouse
allergen was detected in 441 (99.5%) of 443 school dust samples, cat allergen in
420 samples (94.8%), and dog allergen in 366 samples (82.6%). Levels of mouse
allergen in schools were significantly higher than in students' homes (median
settled dust level, 0.90 vs 0.14 ug/g; P < .001). Exposure to higher levels of
mouse allergen in school (comparing 75th with 25th percentile) was associated
with increased odds of having an asthma symptom day (odds ratio, 1.27; 95% CI,
1.05-1.54; P = .02) and 4.0 percentage points lower predicted forced expiratory
volume in 1 second (95% CI, -6.6 to -1.5; P = .002). This effect was independent
of allergic sensitization. None of the other indoor aeroallergens were associated
with worsening asthma outcomes. Conclusions and Relevance: In this study of inner
city students with asthma, exposure to mouse allergen in schools was associated
with increased asthma symptoms and decreased lung function. These findings
demonstrate that the school environment is an important contributor to childhood
asthma morbidity. Future school-based environmental interventions may be
beneficial for this important public health problem.
PMID- 27893062
TI - A Reporting Tool for Practice Guidelines in Health Care: The RIGHT Statement.
AB - The quality of reporting practice guidelines is often poor, and there is no
widely accepted guidance or standards for such reporting in health care. The
international RIGHT (Reporting Items for practice Guidelines in HealThcare)
Working Group was established to address this gap. The group followed an existing
framework for developing guidelines for health research reporting and the EQUATOR
(Enhancing the QUAlity and Transparency Of health Research) Network approach. It
developed a checklist and an explanation and elaboration statement. The RIGHT
checklist includes 22 items that are considered essential for good reporting of
practice guidelines: basic information (items 1 to 4), background (items 5 to 9),
evidence (items 10 to 12), recommendations (items 13 to 15), review and quality
assurance (items 16 and 17), funding and declaration and management of interests
(items 18 and 19), and other information (items 20 to 22). The RIGHT checklist
can assist developers in reporting guidelines, support journal editors and peer
reviewers when considering guideline reports, and help health care practitioners
understand and implement a guideline.
PMID- 27893063
TI - Assessment of the Psychometric Properties of a Questionnaire Assessing Patient
Reported Outcomes With Laser In Situ Keratomileusis (PROWL).
AB - Importance: Patient-reported outcome (PRO) measures for laser in situ
keratomileusis (LASIK) are needed. Objective: To develop PRO measures to assess
satisfaction, eye-related symptoms, and their effect on functioning and well
being following LASIK based on patient and expert input. Design, Setting, and
Participants: The Patient-Reported Outcomes With LASIK (PROWL) studies were
prospective observational studies of patients undergoing LASIK surgery for
myopia, hyperopia, or astigmatism. PROWL-1 was a single-center study of active
duty US Navy personnel and PROWL-2 was a 5-center study of civilians. PROWL-1
enrolled 262 active-duty service personnel and PROWL-2 enrolled 312 civilians 21
years or older who spoke English; 241 individuals in PROWL-1 and 280 in PROWL-2
completed a baseline questionnaire before surgery. The analytic sample included
those also completing 1 or more follow-up questionnaires: 240 (99.6%) of those in
PROWL-1 and 271 (94.4%) of those in PROWL-2. Questionnaires were self
administered through the internet preoperatively and at 1 and 3 months
postoperatively in both studies and at 6 months postoperatively in PROWL-1. PROWL
1 began in August 2011 and was completed May 30, 2014; PROWL-2 began in July 2012
and was completed June 27, 2014. Data were analyzed from June 28, 2014, to
October 24, 2016. Main Outcomes and Measures: Scales assessing visual symptoms
(double images, glare, halos, and starbursts), dry eye symptoms, satisfaction
with vision, and satisfaction with LASIK surgery. Items from the National Eye
Institute (NEI) Refractive Error Quality of Life Instrument (NEI-RQL-42), NEI
Visual Function Questionnaire (NEI-VFQ), and the Ocular Surface Disease Index
(OSDI) were included. All scales are scored on a 0 to 100 possible range.
Construct validity and responsiveness to change were evaluated (comparing scores
before and after surgery). Results: The median age of the 240-person PROWL-1
analytic sample was 27 years (range, 21-52 years); 49 were women (20.4%). The
median age of the 271-person PROWL-2 analytic sample was 30 years (range, 21-57
years); 147 were women (54.2%). Internal consistency reliabilities for the 4
visual symptom scales ranged from 0.96 to 0.98 in PROWL-1 and from 0.95 to 0.97
in PROWL-2. The median (interquartile range) test-retest intraclass correlation
was 0.69 (0.57-0.79) and 0.76 (0.68-0.84) in PROWL-1 and PROWL-2, respectively.
Product-moment correlations of satisfaction with surgery with visual symptom
scales at follow-up evaluations ranged from r = 0.24 to r = 0.49. Measures
improved from baseline to follow-up, with effect sizes of 0.14 to 1.98, but
scores on the NEI-RQL-42 glare scale worsened at the 1-month follow-up. Hours of
work did not change significantly from baseline to 1-month follow-up, with the
mean number (mean [SD] difference) in PROWL-1 of 41.7 vs 40.9 hours (-0.8 [18.7])
and in PROWL-2 of 38.8 vs 38.2 hours (-0.6 [17.1]). Conclusions and Relevance:
The results of these studies support the reliability and validity of visual
symptom scales to evaluate the effects of LASIK surgery in future studies.
PMID- 27893065
TI - Histology and Biaxial Mechanical Behavior of Abdominal Aortic Aneurysm Tissue
Samples.
AB - Abdominal aortic aneurysms (AAAs) represent permanent, localized dilations of the
abdominal aorta that can be life-threatening if progressing to rupture.
Evaluation of risk of rupture depends on understanding the mechanical behavior of
patient AAA walls. In this project, a series of patient AAA wall tissue samples
have been evaluated through a combined anamnestic, mechanical, and
histopathologic approach. Mechanical properties of the samples have been
characterized using a novel, strain-controlled, planar biaxial testing protocol
emulating the in vivo deformation of the aorta. Histologically, the tissue
ultrastructure was highly disrupted. All samples showed pronounced mechanical
stiffening with stretch and were notably anisotropic, with greater stiffness in
the circumferential than the axial direction. However, there were significant
intrapatient variations in wall stiffness and stress. In biaxial tests in which
the longitudinal stretch was held constant at 1.1 as the circumferential stretch
was extended to 1.1, the maximum average circumferential stress was 330 +/- 70
kPa, while the maximum average axial stress was 190 +/- 30 kPa. A constitutive
model considering the wall as anisotropic with two preferred directions fit the
measured data well. No statistically significant differences in tissue mechanical
properties were found based on patient gender, age, maximum bulge diameter,
height, weight, body mass index, or smoking history. Although a larger patient
cohort is merited to confirm these conclusions, the project provides new insight
into the relationships between patient natural history, histopathology, and
mechanical behavior that may be useful in the development of accurate methods for
rupture risk evaluation.
PMID- 27893064
TI - Effect of Increased Enteral Protein Intake on Growth in Human Milk-Fed Preterm
Infants: A Randomized Clinical Trial.
AB - Importance: Protein, supplied in currently available commercial fortifiers, may
be inadequate to meet the requirements of very preterm infants; in addition,
intraindividual and interindividual variability of human milk protein and energy
content potentially contribute to unsatisfactory early postnatal growth.
Objective: To determine effects on growth of different levels of enteral protein
supplementation in predominantly human milk-fed preterm infants. Design, Setting,
and Participants: This randomized clinical and partially blinded single-center
trial was conducted in a neonatal tertiary referral center in Germany. Sixty
preterm infants (gestation <32 weeks and weight <1500 g at birth) were recruited
from October 2012 to October 2014 and included 35% of 173 eligible infants.
Median (interquartile range [IQR]) gestational age at birth was 29.9 (28.7-31.2)
weeks. All analyses were conducted in an intention-to-treat population.
Interventions: Infants were randomly assigned to either a lower-protein (adding 1
g of bovine protein/100 mL of breast milk through a commercial human milk
fortifier; n = 30) or a higher-protein group at a median (IQR) postnatal age of 7
(6-8) days. The higher-protein group (n = 30) received either standardized higher
protein supplementation (study fortifier adding 1.8 g of bovine protein/100 mL of
breast milk [n = 15]) or individualized high-protein supplementation based on
protein and fat content of administered breast milk (n = 15). Study interventions
were continued for a median (IQR) of 41 (30-57) days and until definite discharge
planning. Main Outcomes and Measures: Primary outcome was weight gain (g/kg/d)
from birth to the end of intervention. Results: Sixty preterm infants (gestation
<32 weeks and weight <1500 g at birth), 33 girls, were recruited from October
2012 to October 2014 and included 35% of 173 eligible infants. Median (IQR)
gestational age at birth was 29.9 (28.7-31.2) weeks. Demographic characteristics
and hospital courses were similar in both groups, and birth weights ranged from
580 to 1495 g in the lower-protein group and 490 to 1470 g in the higher-protein
group. Weight gain was similar in the lower- and higher-protein groups: mean (95%
CI), 16.3 g/kg/d (15.4-17.1 g/kg/d) in the lower-protein group vs 16.0 g/kg/d
(15.1-16.9 g/kg/d) in the higher-protein group) (P = .70), despite an increase in
actual protein intake by 0.6 g/kg/d (0.4-0.7 g/kg/d) (P < .001). Head
circumference and lower leg longitudinal growth were also similar, as was the
proportion of cumulative total enteral feeding volume provided as breast milk:
median (IQR) proportion of breast milk, 92% (79%-98%) in the lower-protein group
vs 94% (62%-99%) in the higher-protein group (P = .89). Conclusions and
Relevance: An increase in protein intake by 0.6 g/kg/d to a mean intake of 4.3
g/kg/d did not further enhance growth of very preterm infants with a median birth
weight of 1200 g, who achieved near-fetal growth rates. This might point to a
ceiling effect for enteral protein intake with respect to its influence on
growth. Trial Registration: clinicaltrials.gov Identifier: NCT01773902.
PMID- 27893066
TI - Symptoms and Satisfaction of Patients in the Patient-Reported Outcomes With Laser
In Situ Keratomileusis (PROWL) Studies.
AB - Importance: Patient-reported outcomes should be collected using validated
questionnaires prior to and following laser in situ keratomileusis (LASIK)
surgery. Objective: To report the frequency of patient-reported visual symptoms,
dry eye symptoms, satisfaction with vision, and satisfaction with LASIK surgery
in the Patient-Reported Outcomes With LASIK (PROWL) studies. Design, Setting, and
Participants: The PROWL-1 and PROWL-2 studies were prospective, observational
studies conducted from September 13, 2011, to June 27, 2014. The PROWL-1 study
was a single-military center study of 262 active-duty Navy personnel 21 to 52
years of age. The PROWL-2 study was a study of 312 civilians 21 to 57 years of
age conducted at 5 private practice and academic centers. The LASIK surgery and
the postoperative care were performed based on the usual practice and clinical
judgment at the site. Participants completed a self-administered, web-based
questionnaire, preoperatively and postoperatively at 1 and 3 months (the PROWL-1
and -2 studies) and at 6 months (the PROWL-2 study). Exposures: Participants
underwent LASIK surgery for myopia, hyperopia, and/or astigmatism. Main Outcomes
and Measures: Visual symptoms (double images, glare, halos, and/or starbursts),
dry eye symptoms, participant satisfaction (with vision and LASIK surgery), and
clinical measures (visual acuity, refractive error, and slitlamp and posterior
segment eye examination findings) were assessed preoperatively and at 1, 3, and 6
months postoperatively. Results: A total of 262 participants were enrolled in the
PROWL-1 study (mean [SD] age, 29.1 [6.1] years), and a total of 312 participants
were enrolled in the PROWL-2 study (mean [SD] age, 31.5 [7.3] years). Visual
symptoms and dissatisfaction with vision were common preoperatively. Overall, the
prevalence of visual symptoms and dry eye symptoms decreased, although a
substantial percentage of participants reported new visual symptoms after surgery
(43% [95% CI, 31%-55%] from the PROWL-1 study and 46% [95% CI, 33%-58%] from the
PROWL-2 study at 3 months). The percentages of participants in the PROWL-1 study
with normal Ocular Surface Disease Index scores were 55% (95% CI, 48%-61%) at
baseline, 66% (95% CI, 59%-72%) at 3 months, and 73% (95% CI, 67%-79%) at 6
months. The percentages of participants in the PROWL-2 study with normal Ocular
Surface Disease Index scores were 44% (95% CI, 38%-50%) at baseline and 65% (95%
CI, 59%-71%) at 3 months. Of those participants who had normal scores at baseline
in both the PROWL-1 and -2 studies, about 28% (95% CI, 19%-37%) had mild,
moderate, or severe dry eye symptoms at 3 months. While most participants were
satisfied, the rates of dissatisfaction with vision ranged from 1% (95% CI, 0%
4%) to 4% (95% CI, 2%-7%), and the rates of dissatisfaction with surgery ranged
from 1% (95% CI, 0%-4%) to 2% (95% CI, 1%-5%). Conclusions and Relevance: The
systematic administration of a questionnaire to patients who have undergone LASIK
surgery is a new approach to assess symptoms and satisfaction. Our findings
support the need for adequate counseling about the possibility of developing new
symptoms after LASIK surgery.
PMID- 27893067
TI - Prioritizing Pediatric Drug Research Using Population-Level Health Data.
PMID- 27893069
TI - New Medical and Surgical Insights Into Neonatal Necrotizing Enterocolitis: A
Review.
AB - Importance: Necrotizing enterocolitis (NEC) has long remained a significant cause
of morbidity and mortality in neonatal intensive care units. While the mainstay
of treatment for this devastating condition remains largely supportive, research
efforts continue to be directed toward understanding pathophysiology as well as
how best to approach surgical management when indicated. Observations: In this
review, we first examine recent medical observations, including overviews on the
microbiome and a brief review of the use of probiotics. Next, we discuss the use
of biomarkers and how clinicians may be able to use them in the future to predict
the course of disease and, perhaps, the need for surgical intervention. We then
provide an overview on the use of exclusive human milk feeding and the utility of
this approach in preventing NEC. Finally, we discuss recent developments in the
surgical management of NEC, beginning with indications for surgery and following
with a section on technical surgical considerations, including peritoneal drain
vs laparotomy. The review concludes with outcomes from infants with surgically
treated NEC. Conclusions and Relevance: Although medical treatment options for
NEC are largely unchanged, understanding of the disease continues to evolve. As
new research methods are developed, NEC pathophysiology can be more completely
understood. In time, it is hoped that data from ongoing and planned clinical
trials will allow us to routinely add targeted preventive measures in addition to
human milk, such as prebiotics and probiotics, to the management of high-risk
infants. In addition, the discovery of novel biomarkers may not only prove useful
in predicting severity of illness but also will hopefully allow for
identification of the disease prior to onset of clinical signs. Finally,
continued investigation into optimizing surgical outcomes is essential in this
population of infants, many of whom require long-term parenteral therapy and
intestinal rehabilitation.
PMID- 27893068
TI - Immunogenicity of the 9-Valent HPV Vaccine Using 2-Dose Regimens in Girls and
Boys vs a 3-Dose Regimen in Women.
AB - Importance: Human papillomavirus (HPV) infections cause anogenital cancers and
warts. The 9-valent HPV vaccine provides protection against 7 high-risk types of
HPV responsible for 90% of cervical cancers and 2 other HPV types accounting for
90% of genital warts. Objective: To determine whether HPV type-specific antibody
responses would be noninferior among girls and boys aged 9 to 14 years after
receiving 2 doses of the 9-valent HPV vaccine compared with adolescent girls and
young women aged 16 to 26 years receiving 3 doses. Design, Setting, and
Participants: Open-label, noninferiority, immunogenicity trial conducted at 52
ambulatory care sites in 15 countries. The study was initiated on December 16,
2013, with the last participant visit for this report on June 19, 2015. Five
cohorts were enrolled: (1) girls aged 9 to 14 years to receive 2 doses 6 months
apart (n = 301); (2) boys aged 9 to 14 years to receive 2 doses 6 months apart (n
= 301); (3) girls and boys aged 9 to 14 years to receive 2 doses 12 months apart
(n = 301); (4) girls aged 9 to 14 years to receive 3 doses over 6 months (n =
301); and (5) a control group of adolescent girls and young women aged 16 to 26
years to receive 3 doses over 6 months (n = 314). Interventions: Two doses of the
9-valent HPV vaccine administered 6 or 12 months apart or 3 doses administered
over 6 months. Main Outcomes and Measures: The primary end point was prespecified
as the antibody response against each HPV type assessed 1 month after the last
dose using a competitive immunoassay. Each of the three 2-dose regimens was
compared with the standard 3-dose schedule in adolescent girls and young women
using a noninferiority margin of 0.67 for the ratio of the antibody geometric
mean titers. Results: Of the 1518 participants (753 girls [mean age, 11.4 years];
451 boys [mean age, 11.5 years]; and 314 adolescent girls and young women [mean
age, 21.0 years]), 1474 completed the study and data from 1377 were analyzed. At
4 weeks after the last dose, HPV antibody responses in girls and boys given 2
doses were noninferior to HPV antibody responses in adolescent girls and young
women given 3 doses (P < .001 for each HPV type). Compared with adolescent girls
and young women who received 3 doses over 6 months, the 1-sided 97.5% CIs for the
ratio of HPV antibody geometric mean titers at 1 month after the last dose across
the 9 HPV subtypes ranged from 1.36 to infinity to 2.50 to infinity for girls who
received 2 doses 6 months apart; from 1.37 to infinity to 2.55 to infinity for
boys who received 2 doses 6 months apart; and from 1.61 to infinity to 5.36 to
infinity for girls and boys who received 2 doses 12 months apart. Conclusions and
Relevance: Among girls and boys aged 9 to 14 years receiving 2-dose regimens of a
9-valent HPV vaccine separated by 6 or 12 months, immunogenicity 4 weeks after
the last dose was noninferior to a 3-dose regimen in a cohort of adolescent girls
and young women. Further research is needed to assess persistence of antibody
responses and effects on clinical outcomes. Trial Registration:
clinicaltrials.gov Identifier: NCT01984697.
PMID- 27893070
TI - Contingent Valuation Analysis of an Otolaryngology and Ophthalmology Emergency
Department: The Value of Acute Specialty Care.
AB - Importance: Specialty emergency departments (EDs) provide a unique mechanism of
health care delivery, but the value that they add to the medical system is not
known. Evaluation of patient preferences to determine value can have a direct
impact on resource allocation and direct-to-specialist care. Objective: To assess
the feasibility of contingent valuation (CV) methodology using a willingness-to
pay (WTP) survey to evaluate specialty emergency services, in the context of an
ophthalmology- and otolaryngology-specific ED. Design, Setting, and Participants:
Contingent valuation analysis of a standalone otolaryngology and ophthalmology
ED. Participants were English-speaking adults presenting to a dedicated
otolaryngology and ophthalmology ED. The WTP questions were assessed using a
payment card format, with reference to an alternative modality of treatment (ie,
general ED), and were analyzed with multivariate regression. Intervention:
Validated WTP survey administered from October 14, 2014, through October 1, 2015.
Main Outcomes and Measures: Sociodemographic data, level of distress, referral
data, income, and WTP. Results: A total of 327 of 423 (77.3%) ED patients
responded to the WTP survey, with 116 ophthalmology and 211 otolaryngology
patients included (52.3% female; mean [range] age, 46 [18-90] years). The most
common reason for seeking care at this facility was a reputation for specialty
care for both ear, nose, and throat (80 [37.9%]) and ophthalmology (43 [37.1%]).
Mean WTP for specialty-specific ED services was $377 for ophthalmology patients,
and $321 for otolaryngology patients ($340 overall; 95% CI, $294 to $386),
without significant difference between groups (absolute difference, $56; 95% CI,
$-156 to $43). Self-reported level of distress was higher among ear, nose, and
throat vs ophthalmology patients (absolute difference, 0.47 on a Likert scale of
1-7; 95% CI, 0.10 to 0.84). Neither level of distress, income, nor demographic
characteristics influenced WTP, but patients with higher estimates of total visit
cost were more likely to have higher WTP (beta coefficient, 0.27; SE, 0.05;
adjusted R2 = 0.17 for model). Conclusions and Relevance: Patients with eye and
ear, nose, and throat complaints place a mean explicit value on specialty
emergency services of $340 per visit, relative to general emergency care.
Ultimately, CV data using WTP methodology are useful in valuing patient
preferences in monetary terms and can help inform state-wide resource allocation
and the availability of direct-to-specialist care.
PMID- 27893071
TI - Small Steps Toward Asthma-Friendly School Environments.
PMID- 27893072
TI - Lymph Node Biopsy in Patients With Papillary Thyroid Cancer.
PMID- 27893073
TI - Association Between Severity of Obstructive Sleep Apnea and Number and Sites of
Upper Airway Operations With Surgery Complications.
AB - Importance: In patients with obstructive sleep apnea (OSA), operative risks
depend on the severity of the underlying OSA and the invasiveness of the surgical
procedure. Objective: To investigate the nature of the associations between the
severity of OSA and the number and anatomical sites of upper airway operations
with operative complications. Design, Setting, and Participants: This
retrospective study included adult patients diagnosed with OSA (apnea-hypopnea
index [AHI], >5) who underwent upper airway surgery at a single tertiary referral
hospital between October 1, 2008, and October 1, 2015. Interventions: All
patients underwent single or combination surgery on the nose, palatopharyngeal
(tonsils, adenoids, and soft palate), and tongue base as a treatment of OSA. Main
Outcomes and Measures: Pulmonary, surgical, and cardiovascular complications
within the first 30 postoperative days were analyzed according to OSA severity
and types of upper airway surgery. Logistic regression was used to assess the
multivariable association of OSA, age, sex, body mass index, medical
comorbidities, and types of upper airway surgery with short-term operative
complications. Results: The study included 95 patients (87 males [91.6%]; 83 were
Malay [87.4%]; mean [SD] age, 37.7 [1.6] years) with complete data and follow-up
who underwent upper airway surgery to treat OSA. Patients with more severe OSA
had greater body mass index (Cohen d, 0.27; 95% CI, -0.28 to 0.82), longer
surgical time (Cohen d, 1.57; 95% CI, 0.95-2.15), and older age (Cohen d, 3.06;
95% CI, 2.29-3.77). At least 1 operative complication occurred in 48 of 95
patients (51%). In a multivariable model, the overall complication rate was
increased with age and body mass index. Complication rates were not associated
with AHI severity, type of procedure performed, and whether the surgery was
single or combination surgery. Lowest oxygen desaturation (odds ratio, 1.03; 95%
CI, 0.96-1.45; P = .04) and longest apnea duration (odds ratio, 1.03; 95% CI,
0.99-1.08; P = .02) were polysomnographic variables that predict the short-term
operative complications. Conclusions and Relevance: In patients with OSA
undergoing upper airway surgery, the severity of OSA as assessed by AHI, and the
sites and numbers of concurrent operations performed were not associated with the
rate of short-term operative complications.
PMID- 27893077
TI - A general account of peripheral encoding also predicts scene perception
performance.
AB - People are good at rapidly extracting the "gist" of a scene at a glance, meaning
with a single fixation. It is generally presumed that this performance cannot be
mediated by the same encoding that underlies tasks such as visual search, for
which researchers have suggested that selective attention may be necessary to
bind features from multiple preattentively computed feature maps. This has led to
the suggestion that scenes might be special, perhaps utilizing an unlimited
capacity channel, perhaps due to brain regions dedicated to this processing. Here
we test whether a single encoding might instead underlie all of these tasks. In
our study, participants performed various navigation-relevant scene perception
tasks while fixating photographs of outdoor scenes. Participants answered
questions about scene category, spatial layout, geographic location, or the
presence of objects. We then asked whether an encoding model previously shown to
predict performance in crowded object recognition and visual search might also
underlie the performance on those tasks. We show that this model does a
reasonably good job of predicting performance on these scene tasks, suggesting
that scene tasks may not be so special; they may rely on the same underlying
encoding as search and crowded object recognition. We also demonstrate that a
number of alternative "models" of the information available in the periphery also
do a reasonable job of predicting performance at the scene tasks, suggesting that
scene tasks alone may not be ideal for distinguishing between models.
PMID- 27893074
TI - The Nurses' Health Study Takes Fresh Aim at Breast Cancer as It Heads Into Decade
Five.
PMID- 27893078
TI - Recent advances toward preclinical and clinical translation of photoacoustic
tomography: a review.
PMID- 27893079
TI - Endoscopic Repair of Tympanic Membrane Perforations : An Exercise of Acrobatic
Dexterity or the Sign of the Beginning of a New Era in Otology?
PMID- 27893083
TI - Cohesive Referencing Errors During Narrative Production as Clinical Evidence of
Central Nervous System Abnormality in School-Aged Children With Fetal Alcohol
Spectrum Disorders.
AB - Purpose: Previous evidence suggests that cohesive referencing errors made during
narratives may be a behavior that is revealing of underlying central nervous
system abnormality in children with fetal alcohol spectrum disorders (FASD). The
current research extends this evidence. Method: Retrospective analysis of
narrative and clinical data from 152 children (ages 6 to 14), 72 of whom had
confirmed FASD, was used. Narrative analysis was conducted blind to diagnostic
status, age, or gender. Group performance was compared. The associations between
measures of cohesive referencing and clinically gathered indices of the degree of
central nervous system abnormality were examined. Results: Results show clear
associations between elevated rates of cohesive referencing errors and central
nervous system abnormality. Elevated error rates were more common in children
with FASD than those without, and prevalence increased predictably across groups
with more severe central nervous system abnormality. Risk is particularly
elevated for those with microcephaly or a diagnosis of fetal alcohol syndrome.
Conclusion: Cohesive referencing errors during narrative are a viable behavioral
marker of the kinds of central nervous system abnormality associated with
prenatal alcohol exposure, having significant potential to become a valuable
diagnostic and research tool.
PMID- 27893084
TI - Feasibility of Clinical Endoscopy and Stroboscopy in Children With Bilateral
Vocal Fold Lesions.
AB - Purpose: The purpose of this study was to examine the utility of flexible and
rigid endoscopy and stroboscopy for the identification of anatomical and
physiological features in children with bilateral vocal fold lesions. The
secondary purpose was to describe the age distribution of patients who could
tolerate use of the different types of endoscopes. Method: This cross-sectional
clinic-based study included 38 children (ages 5 to 12 years) diagnosed with
bilateral vocal fold lesions via videoendoscopy. Vocal fold vibratory
characteristics (e.g., mucosal wave) were rated by 4 clinicians by consensus.
Results: Bilateral vocal fold lesions could be well described anatomically after
visualization with both flexible and rigid endoscopes and were most commonly
described as symmetrical and broad based. However, the clinicians' confidence in
the accuracy of stroboscopy for rating vocal fold vibratory characteristics was
limited for both flexible and rigid stroboscopes. Conclusions: Videoendoscopy was
adequate for viewing and characterizing anatomical structures of bilateral vocal
fold lesions in pediatric patients; however, vibratory characteristics were often
not fully visualized with videostroboscopy. In view of the importance of
visualizing vocal fold vibration in the differential diagnosis and treatment of
vocal fold lesions, other imaging modalities, such as high-speed videoendoscopy,
may provide more accurate descriptions of vocal fold vibratory characteristics in
this population.
PMID- 27893080
TI - Travel-Associated Zika Virus Disease Acquired in the Americas Through February
2016: A GeoSentinel Analysis.
AB - Background: Zika virus has spread rapidly in the Americas and has been imported
into many nonendemic countries by travelers. Objective: To describe clinical
manifestations and epidemiology of Zika virus disease in travelers exposed in the
Americas. Design: Descriptive, using GeoSentinel records. Setting: 63 travel and
tropical medicine clinics in 30 countries. Patients: Ill returned travelers with
a confirmed, probable, or clinically suspected diagnosis of Zika virus disease
seen between January 2013 and 29 February 2016. Measurements: Frequencies of
demographic, trip, and clinical characteristics and complications. Results:
Starting in May 2015, 93 cases of Zika virus disease were reported. Common
symptoms included exanthema (88%), fever (76%), and arthralgia (72%). Fifty-nine
percent of patients were exposed in South America; 71% were diagnosed in Europe.
Case status was established most commonly by polymerase chain reaction (PCR)
testing of blood and less often by PCR testing of other body fluids or serology
and plaque-reduction neutralization testing. Two patients developed Guillain
Barre syndrome, and 3 of 4 pregnancies had adverse outcomes (microcephaly, major
fetal neurologic abnormalities, and intrauterine fetal death). Limitation:
Surveillance data collected by specialized clinics may not be representative of
all ill returned travelers, and denominator data are unavailable. Conclusion:
These surveillance data help characterize the clinical manifestations and adverse
outcomes of Zika virus disease among travelers infected in the Americas and show
a need for global standardization of diagnostic testing. The serious fetal
complications observed in this study highlight the importance of travel
advisories and prevention measures for pregnant women and their partners.
Travelers are sentinels for global Zika virus circulation and may facilitate
further transmission. Primary Funding Source: Centers for Disease Control and
Prevention, International Society of Travel Medicine, and Public Health Agency of
Canada.
PMID- 27893085
TI - Goodness and Accentedness Ratings of /hVt/ Tokens by Aware and Naive Listeners.
AB - Purpose: This study compares goodness and accentedness ratings of speech tokens
rated by listeners who are naive to and aware of speakers' native language
backgrounds. Listener responses to open-ended questions regarding goodness and
accentedness ratings are also examined. Method: Twenty-eight monolingual speakers
of U.S. English served as listeners. Listeners were presented with 5 blocks of
/hVt/ tokens. Each block represented a different vowel target and comprised
correct and incorrect productions from English, Spanish, Korean, and Japanese
speakers. Listeners rated goodness and accentedness using a 9-point Likert scale
and explained their decision-making criteria when judging goodness versus
accentedness. Results: There is a high positive correlation between goodness and
accentedness. Both naive and aware listeners assigned poorer ratings when judging
goodness compared with accentedness, but results varied on the basis of target
accuracy. Aware listeners assigned better goodness and accentedness ratings
compared with naive listeners. This difference was highly statistically
significant. Both accentedness and goodness ratings are susceptible to listener
bias. Conclusions: Goodness and accentedness are highly correlated yet distinct
measures. Goodness is more reflective of target accuracy than is accentedness.
Native English tokens were affected by listener bias to a greater extent than
nonnative English tokens.
PMID- 27893086
TI - The Composition of Early Vocabulary in Spanish Children With Down Syndrome and
Their Peers With Typical Development.
AB - Purpose: There are very few studies, and at present none in Spanish, on
vocabulary composition in children with Down syndrome (DS). Nor has the topic
been widely assessed in Spanish-speaking children with typical development (TD).
This study analyzed the composition of early vocabularies in a large sample of
Spanish-speaking children with DS and compared it with that of children with TD.
Method: We studied 108 children with DS and 108 children with TD with mental ages
between 8 and 29 months, matched for size of productive vocabulary and gender.
The MacArthur-Bates Communicative Development Inventories (Fenson et al., 1993,
2007), adapted to the language development profile of children with DS, were
used. The categories examined were nouns, predicates, closed-class words, and
social words. Results: The performance of children with DS was similar to that of
children with TD with the same vocabulary size. The only significant difference
was the larger production of nouns by children with DS. The trends of development
in the different classes of words were also similar. Conclusions: The strategies
used by children with DS to learn vocabulary may be similar to those used by
children with TD in the first stages of language learning.
PMID- 27893088
TI - Light-scattering gating and characterization of plasma microparticles.
AB - Flow cytometry method (FCM) is widely used for analysis of cell-derived
microparticles (MPs). Numerous efforts are currently aimed to standardize these
measurements among different instruments. We push the FCM characterization of MPs
to the limit based on rigorous simulation of measured signals. We measured
forward- and side-scatter (FSC/SSC) signals and angle-resolved light-scattering
profiles (LSPs) of polystyrene microspheres and MPs, including their aggregates,
using a scanning flow cytometer (SFC). We used the Mie theory to (1) accurately
evaluate instrument detection limits; (2) construct FSC/SSC gates for MPs in
absolute scales of size and refractive index (RI); and (3) determine size and RI
of individual spherical MPs. LSPs were used for advanced characterization,
including differentiation of spherical and nonspherical particles. The proposed
absolute FSC/SSC gating is naturally standardized for any FCM instrument, given
the knowledge of its optical system and leads to instrument-independent analysis
of MPs. The inverse Mie problem has a unique solution only for some regions of
size and RI and uncertainties rapidly increase with decreasing size and RI. The
developed methods are applicable to any flow cytometer, but are limited by
assumption of particle sphericity. The latter can be relaxed only if additional
signals, such as LSP, are measured.
PMID- 27893087
TI - Targeting Functional Decline in Alzheimer Disease: A Randomized Trial.
AB - Background: Alzheimer disease results in progressive functional decline, leading
to loss of independence. Objective: To determine whether collaborative care plus
2 years of home-based occupational therapy delays functional decline. Design:
Randomized, controlled clinical trial. (ClinicalTrials.gov: NCT01314950).
Setting: Urban public health system. Patients: 180 community-dwelling
participants with Alzheimer disease and their informal caregivers. Intervention:
All participants received collaborative care for dementia. Patients in the
intervention group also received in-home occupational therapy delivered in 24
sessions over 2 years. Measurements: The primary outcome measure was the
Alzheimer's Disease Cooperative Study Group Activities of Daily Living Scale
(ADCS ADL); performance-based measures included the Short Physical Performance
Battery (SPPB) and Short Portable Sarcopenia Measure (SPSM). Results: At
baseline, clinical characteristics did not differ significantly between groups;
the mean Mini-Mental State Examination score for both groups was 19 (SD, 7). The
intervention group received a median of 18 home visits from the study
occupational therapists. In both groups, ADCS ADL scores declined over 24 months.
At the primary end point of 24 months, ADCS ADL scores did not differ between
groups (mean difference, 2.34 [95% CI, -5.27 to 9.96]). We also could not
definitively demonstrate between-group differences in mean SPPB or SPSM values.
Limitation: The results of this trial are indeterminate and do not rule out
potential clinically important effects of the intervention. Conclusion: The
authors could not definitively demonstrate whether the addition of 2 years of in
home occupational therapy to a collaborative care management model slowed the
rate of functional decline among persons with Alzheimer disease. This trial
underscores the burden undertaken by caregivers as they provide care for family
members with Alzheimer disease and the difficulty in slowing functional decline.
Primary Funding Source: National Institute on Aging.
PMID- 27893089
TI - Photoacoustic mammography capable of simultaneously acquiring photoacoustic and
ultrasound images.
AB - We have constructed a prototype photoacoustic mammography system (PAM-02) capable
of simultaneously acquiring photoacoustic (PA) and ultrasound (US) images. Each
PA, US, and fused PA/US image can be acquired over a wide area of the breast
using the scanning module of a US transducer, a PA detector, and optical prisms.
The resolution of the PA images exhibits improvement from 2 to 1 mm compared to
images acquired using our previous prototype. The maximum scan area of PAM-02 is
90 mm along the horizontal axis and 150 mm along the vertical axis. In a phantom
experiment, the available depth was at least 45 mm. A representative example of
the application of the PAM-02 prototype in clinical research at Kyoto University
is presented and shows S-factor images, which are considered an approximation
parameter related to hemoglobin saturation of tumor-related blood vessels. We
confirmed the applicability of the system for anatomical and biological research.
PMID- 27893091
TI - Spatial probabilistic pulsatility model for enhancing photoplethysmographic
imaging systems.
AB - Photoplethysmographic imaging (PPGI) is a widefield noncontact biophotonic
technology able to remotely monitor cardiovascular function over anatomical
areas. Although spatial context can provide insight into physiologically relevant
sampling locations, existing PPGI systems rely on coarse spatial averaging with
no anatomical priors for assessing arterial pulsatility. Here, we developed a
continuous probabilistic pulsatility model for importance-weighted blood pulse
waveform extraction. Using a data-driven approach, the model was constructed
using a 23 participant sample with a large demographic variability (11/12
female/male, age 11 to 60 years, BMI 16.4 to 35.1??kg.m?2). Using time
synchronized ground-truth blood pulse waveforms, spatial correlation priors were
computed and projected into a coaligned importance-weighted Cartesian space. A
modified Parzen-Rosenblatt kernel density estimation method was used to compute
the continuous resolution-agnostic probabilistic pulsatility model. The model
identified locations that consistently exhibited pulsatility across the sample.
Blood pulse waveform signals extracted with the model exhibited significantly
stronger temporal correlation (W=35,p<0.01) and spectral SNR (W=31,p<0.01)
compared to uniform spatial averaging. Heart rate estimation was in strong
agreement with true heart rate [r2=0.9619, error (?,?)=(0.52,1.69) bpm].
PMID- 27893090
TI - Distinguishing tracheal and esophageal tissues with hyperspectral imaging and
fiber-optic sensing.
AB - During emergency medical situations, where the patient has an obstructed airway
or necessitates respiratory support, endotracheal intubation (ETI) is the medical
technique of placing a tube into the trachea in order to facilitate adequate
ventilation of the lungs. Complications during ETI, such as repeated attempts,
failed intubation, or accidental intubation of the esophagus, can lead to severe
consequences or ultimately death. Consequently, a need exists for a feedback
mechanism to aid providers in performing successful ETI. Our study examined the
spectral reflectance properties of the tracheal and esophageal tissue to
determine whether a unique spectral profile exists for either tissue for the
purpose of detection. The study began by using a hyperspectral camera to image
excised pig tissue samples exposed to white and UV light in order to capture the
spectral reflectance properties with high fidelity. After identifying a unique
spectral characteristic of the trachea that significantly differed from
esophageal tissue, a follow-up investigation used a fiber optic probe to confirm
the detectability and consistency of the different reflectance characteristics in
a pig model. Our results characterize the unique and consistent spectral
reflectance characteristic of tracheal tissue, thereby providing foundational
support for exploiting spectral properties to detect the trachea during medical
procedures.
PMID- 27893092
TI - Global Patterns in Health Burden of Uncorrected Refractive Error.
AB - Purpose: To evaluate the global patterns in health burden of uncorrected
refractive error (URE) by year, age and sex, region, and socioeconomic status,
using disability-adjusted life years (DALYs). Methods: Global, regional, or
national DALY numbers, crude DALY rates, and age-standardized DALY rates caused
by URE, by year, or age and sex, were obtained from the Global Burden of Disease
Study 2013. Human development index (HDI) in 2013 as a national socioeconomic
indicator was obtained from the Human Development Report. Kruskal-Wallis test and
linear regression were performed to explore the association between age
standardized DALY rates and HDI. Results: From 1990 to 2013, global DALY numbers
caused by URE rose by 43.8%, crude rates remained relatively constant, and age
standardized rates fell by 8.8%. Global DALY rates increased with age, and older
females had higher DALY numbers and rates than males of the same age. Age
standardized DALY rates in Eastern Mediterranean, South-East Asia, and Africa
were higher than that at a global level. Multiple comparisons indicated higher
age-standardized DALY rates in lower HDI countries. Age-standardized DALY rates
were inversely related to HDI (standardized beta = -0.616, P < 0.001).
Conclusions: The global health of URE is improving but crude DALY rates are
keeping constant, implying that health progress does not mean fewer demands of
refractive services. Worldwide, older age, female sex, and lower socioeconomic
status are associated with higher URE burden. The findings of this study may
raise public awareness of the global URE burden and are important for health
policy making.
PMID- 27893093
TI - Ligation of CD40 in Human Muller Cells Induces P2X7 Receptor-Dependent Death of
Retinal Endothelial Cells.
AB - Purpose: Cluster of differentiation 40 (CD40) is required for retinal capillary
degeneration in diabetic mice, a process mediated by the retinal endothelial
cells (REC) death. However, CD40 activates prosurvival signals in endothelial
cells. The purpose of this study was to identify a mechanism by which CD40
triggers programmed cell death (PCD) of RECs and address this paradox. Methods:
Human RECs and Muller cells were incubated with CD154 and L-N6-(1
Iminoethyl)lysine (L-Nil, nitric oxide synthase 2 inhibitor), alpha-lipoic acid
(inhibitor of oxidative stress), anti-Fas ligand antibody, or A-438079 (P2X7
adenosine triphosphate [ATP] receptor inhibitor). Programmed cell death was
analyzed by fluorescence-activated cell sorting (FACS) or Hoechst/propidium
iodide staining. Release of ATP was measured using a luciferase-based assay. Mice
were made diabetic with streptozotocin. Expression of P2X7 was assessed by FACS,
quantitative PCR, or immunohistochemistry. Results: Ligation of CD40 in primary
RECs did not induce PCD. In contrast, in the presence of primary CD40+ Muller
cells, CD40 stimulation caused PCD of RECs that was not impaired by L-Nil, alpha
lipoic acid, or anti-Fas ligand antibody. We found CD40 did not trigger TNF-alpha
or IL-1beta secretion. Primary Muller cells released extracellular ATP in
response to CD40 ligation. Inhibition of P2X7 (A-438079) impaired PCD of RECs;
CD40 upregulated P2X7 in RECs, making them susceptible to ATP/P2X7-mediated PCD.
Diabetic mice upregulated P2X7 in the retina and RECs in a CD40-dependent manner.
Conclusions: Cluster of differentiation 40 induces PCD of RECs through a dual
mechanism: ATP release by Muller cells and P2X7 upregulation in RECs. These
findings are likely of in vivo relevance since CD40 upregulates P2X7 in RECs in
diabetic mice and CD40 is known to be required for retinal capillary
degeneration.
PMID- 27893094
TI - Comparison of Patient-Specific Computational Modeling Predictions and Clinical
Outcomes of LASIK for Myopia.
AB - Purpose: To assess the predictive accuracy of simulation-based LASIK outcomes.
Methods: Preoperative and 3-month post-LASIK tomographic data from 20 eyes of 12
patients who underwent wavefront-optimized LASIK for myopia were obtained
retrospectively. Patient-specific finite element models were created and case
specific treatment settings were simulated. Simulated keratometry (SimK) values
and the mean tangential curvature of the central 3 mm (Kmean) were obtained from
the anterior surfaces of the clinical tomographies, and computational models were
compared. Correlations between Kmean prediction error and patient age,
preoperative corneal hysteresis (CH), and corneal resistance factor (CRF) were
assessed. Results: The mean difference for Kmean between simulated and actual
post-LASIK cases was not statistically significant (-0.13 +/- 0.36 diopters [D],
P = 0.1). The mean difference between the surgically induced clinical change in
Kmean and the model-predicted change was -0.11 +/- 0.34 D (P = 0.2). Kmean
prediction error was correlated to CH, CRF, and patient age (r = 0.63, 0.53, and
0.5, respectively, P < 0.02), and incorporation of CH values into predictions as
a linear offset increased their accuracy. Simulated changes in Kmean accounted
for 97% of the variance in actual spherical equivalent refractive change.
Conclusions: Clinically feasible computational simulations predicted corneal
curvature and manifest refraction outcomes with a level of accuracy in myopic
LASIK cases that approached the limits of measurement error. Readily available
preoperative biomechanical measures enhanced simulation accuracy. Patient
specific simulation may be a useful tool for clinical guidance in de novo LASIK
cases.
PMID- 27893095
TI - Functional Reading Independence (FRI) Index: A New Patient-Reported Outcome
Measure for Patients With Geographic Atrophy.
AB - Purpose: To develop and validate the Functional Reading Independence (FRI) Index,
a new patient-reported outcome measure assessing reading activities in
individuals with geographic atrophy (GA) due to age-related macular degeneration.
Methods: The Index was developed through expert consultation and qualitative
patient interviews. Reliability, validity, and responsiveness were tested with
data from the Mahalo study (NCT01229215) of lampalizumab in patients with GA.
Results: Qualitative interviews (n = 40) yielded a 10-item FRI Index, which was
refined to seven items in quantitative testing (n = 100). Strong internal
consistency (marginal reliability = 0.90) and reproducibility (intraclass
correlation coefficient = 0.86) were shown. Known-group validity testing for
baseline mean FRI Index scores showed differences (mean [SD]) between patients
with Minnesota Low-Vision Reading test reading speed >=80 vs. <80 words per
minute (3.0 [0.7] vs. 1.9 [0.7]; P < 0.001), and between patients above vs. below
median values on the National Eye Institute Visual Function Questionnaire-25 (NEI
VFQ-25) score (2.9 [0.7] vs. 2.1 [0.8]; P < 0.001). Convergent validity with
binocular measures was strong (Spearman's correlation = 0.72 for reading speed,
0.66 for NEI-VFQ-25). Analysis of sensitivity to change revealed mean FRI Index
score changes for patients with GA lesion size growth >=2.5 mm2/18 months of
0.41 (0.70) vs. -0.13 (0.61) for patients with lesion growth <2.5 mm2/18 months
(P = 0.07). Conclusions: The FRI Index demonstrated good reliability and validity
in patients with GA. Further study in a broader GA population is warranted to
confirm responsiveness.
PMID- 27893096
TI - Chemogenetic Activation of ipRGCs Drives Changes in Dark-Adapted (Scotopic)
Electroretinogram.
AB - Purpose: The purpose of this study was to investigate the impact of activating
melanopsin-expressing intrinsically photosensitive retinal ganglion cells
(ipRGCs) on dark-adapted (scotopic) electroretinograms (ERG). Methods: We used
mice (Opn4Cre/+) expressing cre recombinase in melanopsin-expressing cells for a
targeted gene delivery of a chemogenetic Gq-coupled receptor, hM3Dq, to ipRGCs.
Intraperitoneal injection of clozapine N-oxide (CNO) at 5 mg/kg was used for
acute activation of hM3Dq and thus excitation of ipRGCs in darkness. Dark-adapted
flash ERGs were recorded across a 9-fold range of irradiances from hM3Dq
Opn4Cre/+ and control Opn4Cre/+ mice before and after intraperitoneal injection
of CNO. A- and b-wave amplitudes and implicit times and oscillatory potentials
(OPs) were analyzed. Paired-flash stimuli were used to isolate cone-driven
responses. Results: Clozapine N-oxide application suppressed a- and b-wave
amplitudes of the dark-adapted ERG across the flash intensity range in hM3Dq
Opn4Cre/+ mice compared to control mice. Examination of the normalized irradiance
response functions revealed a shift in b-wave but not a-wave sensitivity. No
changes in a- and b-wave implicit times were detected. Total OP amplitudes were
also reduced in hM3Dq Opn4Cre/+ mice compared to controls following CNO
administration. The paired-flash method revealed reduction in both the first
(rods and cones) and second (cones only) flash response. Conclusions: Acute and
selective activation of ipRGCs modulates the amplitude of both a- and b-waves of
the scotopic ERG, indicating that the influence of this ganglion cell class on
the retinal physiology extends to the photoreceptors as well as their downstream
pathways.
PMID- 27893098
TI - Vitreal Cytokine Profile Differences Between Eyes With Epiretinal Membranes or
Macular Holes.
AB - Purpose: Cytokines play an important role in cell signaling in inflammatory and
repair processes, also within the posterior segment of the eye. These molecules
are thus implicated in the pathophysiology of several vitreoretinal diseases. In
the present study, we compared vitreal cytokine profiles in patients with
idiopathic epiretinal membranes (ERMs) and idiopathic full-thickness macular
holes (MHs) without epiretinal membranes. Methods: Native vitreal humor was
collected during elective pars plana vitrectomy for the treatment of macular
pathologies (group 1: ERM; group 2: MH) from patients without any other ocular or
systemic disease. The concentrations of 43 chemokines and cytokines were measured
in parallel by multiplex beads analysis. Intergroup comparisons were conducted
using the Mann-Whitney U test and Bonferroni's correction, at a level of
significance of P < 0.0012. Results: Vitreal samples from 31 patients with ERMs
(group 1) and from 30 with MHs (group 2) were analyzed. For 12 of the tested
cytokines (GM-CSF, MCP-1, MIF, CCL15, CCL20, CCL17, CX3CL1, CXCL10, CXCL16, and
TGF-beta-1, -2, and -3), no intergroup differences were revealed; for the other
31, the concentrations were higher in the ERM than in the MH group (P < 0.0012 in
each case). Conclusions: The vitreal levels of 72% of the tested cytokines were
higher in ERM than in MH. This indicates that even in the absence of clinical
markers, activation of inflammatory and profibrotic mechanisms is implicated in
the progression of ERMs. Although frequently used as such in the past, eyes with
ERMs should be considered with caution as a healthy control group.
PMID- 27893097
TI - Benefit of Measuring Anterior Segment Structures Using an Increased Number of
Optical Coherence Tomography Images: The Chinese American Eye Study.
AB - Purpose: The purpose of this study was to evaluate the benefit of analyzing an
increased number of anterior segment optical coherence tomography (AS-OCT) images
on measurement values of various anterior segment parameters. Methods: Subjects
for this cross-sectional study were recruited from the Chinese American Eye Study
(CHES), a population-based study in Los Angeles, CA. Thirty-two AS-OCT images
were acquired from one eye each of 83 consecutive subjects. Sixteen parameters
were analyzed in each image, including angle opening distance (AOD), angle recess
area (ARA), trabecular iris space area (TISA), trabecular iris angle (TIA),
scleral spur angle (SSAngle), lens vault (LV), pupillary diameter (PD), anterior
chamber depth (ACD), anterior chamber width (ACW), iris area (IA), and anterior
chamber area (ACA). Data from 1, 2, 4, 8, 16, or 32 OCT images were averaged
across subjects to calculate the range and mean of measurement values for each
parameter. Results: Anatomical variations were poorly captured with fewer OCT
images for AOD, ARA, TISA, SSAngle, IA, and LV. For these parameters, the range
and mean of measurement values obtained from one OCT image deviated from 32-image
values by up to 43.9% and 13.3% of the 32-image mean, respectively. These
deviations decreased when additional OCT images were analyzed. Deviations from 32
image range and mean values were less pronounced regardless of image number for
PD, ACD, ACW, and ACA, measuring up to 3.5% and 5.0%, respectively. Conclusions:
A multi-image approach should be the standard in OCT-based studies of AOD, ARA,
TISA, TIA, SSAngle, IA, and LV.
PMID- 27893099
TI - Electrically Evoked Responses in the Rabbit Cortex Induced by Current Steering
With Penetrating Optic Nerve Electrodes.
AB - Purpose: Current steering is a neural stimulation strategy that uses simultaneous
stimulation of adjacent electrodes to produce additional intermediate stimulation
sites and thus improves spatial resolution. We investigated the feasibility of
current steering using electrophysiological and computational methods after
implanting paired penetrating electrodes into the rabbit's optic nerve (ON).
Methods: Penetrating electrodes at different interelectrode distances were
implanted into the ON and electrically evoked cortical potentials (EEPs) in V1
recorded with a 6 * 8 array. The current thresholds, EEP amplitudes, and spatial
distributions were analyzed during current steering. Computational simulation
studies were performed based on finite element models to calculate the area and
spatial distribution of recruited ON fibers using a current steering stimulation
strategy. Results: Threshold reduction and EEP amplitude enhancement were found
with simultaneous stimulation of closely spaced electrode pairs. Spatially
shifted cortical responses were achieved using current steering, whereas the
amplitudes and spatial spreads of the responses were similar to that elicited by
a single electrode. Computational simulations suggested that the centroid of the
ON recruitment area could be modulated by current steering while the total
recruitment area did not show any appreciable variability at a fixed current
intensity. Conclusions: Current steering is a useful strategy to enhance the
spatial resolution of an ON prosthesis without increasing the number of physical
electrodes. This study provides useful information for optimizing the design of
stimulation strategies with a penetrating ON prosthesis.
PMID- 27893101
TI - Comparison of Local Structure-Function Relationships and Dynamic Range in
Glaucoma.
PMID- 27893100
TI - Do Intense Perimetric Stimuli Saturate the Healthy Visual System?
AB - Purpose: A recent proposal for why glaucomatous perimetric sensitivities of
approximately 15 to 19 dB or less are unreliable involves the idea that the
neural response of normal retinal ganglion cells saturates for intense perimetric
stimuli. A predicted consequence of this saturation is that the neural response
for two different high intensity stimuli will be the same, leading to an
inability to discriminate between them. We test that prediction. Methods: We used
a two-interval forced-choice method of constant stimuli (7 steps, 40
presentations/step) to measure the ability of four healthy observers to
discriminate between different intensity Size III perimetric stimuli at 0 degrees
, 9 degrees , and 21 degrees eccentricity. The lower intensity stimulus for each
discrimination was either 27, 23, 19, 15, or 11 dB (Humphrey Field Analyzer
equivalents). Results: Foveally, discrimination performance exceeded 90% for all
observers provided the more intense stimulus was made sufficiently intense, even
if the lower intensity stimulus in the pair was itself already intense (<=19 dB).
The shapes of the curves were similar across all lower intensity stimulus values
investigated. At 21 degrees , discrimination performance exceeded 90% in three of
the four observers despite the lower intensity stimulus being 19 dB. Conclusions:
Observers can reliably discriminate between two different, but both very intense,
perimetric stimuli, indicating that responses of the human visual system are not
saturated by such stimuli. Therefore, the cause of high perimetric test-retest
variability is not readily predicted from our current knowledge of how normal
ganglion cells respond to high intensity stimuli.
PMID- 27893102
TI - Author Response: Comparison of Local Structure-Function Relationships and Dynamic
Range in Glaucoma.
PMID- 27893104
TI - Stronger Association of CDKN2B-AS1 Variants in Female Normal-Tension Glaucoma
Patients in a Japanese Population.
PMID- 27893103
TI - Potential of Small Molecule-Mediated Reprogramming of Rod Photoreceptors to Treat
Retinitis Pigmentosa.
AB - Purpose: Mutations in rod photoreceptor genes can cause retinitis pigmentosa
(RP). Rod gene expression is regulated by the nuclear hormone receptor, Nr2e3.
Genetic deletion of Nr2e3 reprograms rods into cells that resemble cone
photoreceptors, and might therefore prevent their death from some forms of RP.
There are no identified ligands for Nr2e3; however, reverse agonists might mimic
the genetic rescue effect and may be therapeutically useful for the treatment of
RP. Methods: We screened for small molecule modulators of Nr2e3 using primary
retinal cell cultures and characterized the most potent, which we have named
photoregulin1 (PR1), in vitro and in vivo. We also tested the ability of PR1 to
slow the progression of photoreceptor degeneration in two common mouse models of
autosomal dominant RP, the RhoP23H and the Pde6brd1 mutations. Results: In
developing retina, PR1 causes a decrease in rod gene expression and an increase
in S opsin+ cones. Photoregulin1 continues to inhibit rod gene expression in
adult mice. When applied to two mouse models of RP, PR1 slows the degeneration of
photoreceptors. Conclusions: Chemical compounds identified as modulators of Nr2e3
activity may be useful for the treatment of RP through their effects on
expression of disease-causing mutant genes.
PMID- 27893105
TI - Author Response: Stronger Association of CDKN2B-AS1 Variants in Female Normal
Tension Glaucoma Patients in a Japanese Population.
PMID- 27893107
TI - Diurnal Fluctuation of Intraocular Pressure.
PMID- 27893108
TI - Choroidal Thickness Influences Near-Infrared Reflectance Intensity in Eyes With
Geographic Atrophy Due To Age-Related Macular Degeneration.
AB - Purpose: To evaluate the effects of retinal and choroidal thickness on near
infrared reflectance (NIR) scanning laser ophthalmoscopy in eyes with geographic
atrophy (GA) secondary to non-neovascular age-related macular degeneration (AMD).
Methods: This was a cross-sectional review of the clinical records and multimodal
imaging data of eyes diagnosed with GA secondary to non-neovascular AMD. Imaging
modalities included color fundus photography, fundus autofluorescence, NIR, and
structural spectral-domain optical coherence tomography (SD-OCT). On SD-OCT
images, the foveal retina thickness and the subfoveal choroidal thickness were
measured by two independent readers. Near-infrared reflectance intensity within
areas of GA was subjectively graded as hyperreflective, isoreflective, or
hyporeflective and objectively estimated by using ImageJ to calculate the mean
gray scale value within each GA area. A linear regression analysis was performed
to model the relationship between mean NIR gray scale value and retinal and
choroidal thickness. Results: One hundred four eyes of 104 patients with a mean
age of 81.3 years (SD: +/-8.3) were included. The area of GA was hyperreflective
on NIR in 88 eyes (85%), isoreflective in 13 eyes (12%), and hyporeflective in 3
eyes (3%). The mean foveal retinal thickness was 101.5 MUm (SD: +/-54) showing no
significant relationship with mean NIR (P = 0.464); and the mean subfoveal
choroidal thickness was 172.6 MUm (SD: +/-114.7) showing a statistically
significant relationship with mean NIR intensity in the linear regression
analysis (r = 0.590; r2 = 0.348; P < 0.00001). Conclusions: Variations in
choroidal thickness appear to influence NIR intensity in areas of GA and have the
potential to affect image interpretation. The recognition of this relationship
may provide useful information regarding choroidal thickness.
PMID- 27893106
TI - Evidence for a GPR18 Role in Diurnal Regulation of Intraocular Pressure.
AB - Purpose: The diurnal cycling of intraocular pressure (IOP) was first described in
humans more than a century ago. This cycling is preserved in other species. The
physiologic underpinning of this diurnal variation in IOP remains a mystery, even
though elevated pressure is indicated in most forms of glaucoma, a common cause
of blindness. Once identified, the system that underlies diurnal variation would
represent a natural target for therapeutic intervention. Methods: Using
normotensive mice, we measured the regulation of ocular lipid species by the
enzymes fatty acid amide hydrolase (FAAH) and N-arachidonoyl
phosphatidylethanolamine phospholipase (NAPE-PLD), mRNA expression of these
enzymes, and their functional role in diurnal regulation of IOP. Results: We now
report that NAPE-PLD and FAAH mice do not exhibit a diurnal cycling of IOP. These
enzymes produce and break down acylethanolamines, including the endogenous
cannabinoid anandamide. The diurnal lipid profile in mice shows that levels of
most N-acyl ethanolamines and, intriguingly, N-arachidonoyl glycine (NAGly),
decline at night: NAGly is a metabolite of arachidonoyl ethanolamine and a potent
agonist at GPR18 that lowers intraocular pressure. The GPR18 blocker O1918 raises
IOP during the day when pressure is low, but not at night. Quantitative PCR
analysis shows that FAAH mRNA levels rise with pressure, suggesting that FAAH
mediates the changes in pressure. Conclusions: Our results support FAAH-dependent
NAGly action at GPR18 as the physiologic basis of the diurnal variation of
intraocular pressure in mice.
PMID- 27893109
TI - Safety and Efficacy Clinical Trials for SYL1001, a Novel Short Interfering RNA
for the Treatment of Dry Eye Disease.
AB - Purpose: To evaluate the efficacy and safety of SYL1001, a short interfering (si)
RNA targeting the transient receptor potential cation channel subfamily V member
1 (TRPV1), for the treatment of dry eye disease (DED). Methods: This study
combines a phase I and two phase II clinical trials to test different doses of
SYL1001 in a total of 156 healthy subjects and patients with DED. After 10 days
of treatment, the primary efficacy endpoints were the effect on (1) the scoring
in the Visual Analogue Scale (VAS) and Ocular Surface Disease Index (OSDI)
questionnaires, and (2) ocular tolerance evaluated by corneal fluorescein
staining and conjunctival hyperemia. Secondary endpoints included the assessment
of systemic and local tolerance. Results: Topical administration of SYL1001
1.125% once daily produced a significant decrease in VAS scores compared with
placebo from day 4 until the end of treatment (change from baseline at day 10:
1.73 +/- 0.32 vs. -0.91 +/- 0.34; P = 0.013). For all treatments, OSDI scores
were significantly reduced compared to their respective baseline values (P <
0.01), although no significant changes were detected between groups. Conjunctival
hyperemia (quantified as normal or abnormal) significantly improved after
instillation of SYL1001 1.125% compared with placebo (50% vs. 20%; P < 0.05).
Excellent tolerability was reported, with no differences in the rates of
occurrence of adverse events between groups. Conclusion: These trials achieved
their primary endpoints of identifying the most effective dose of SYL1001
(1.125%). SYL1001 showed a large safety margin and may provide novel therapeutic
opportunity for the relief of dry eye. (ClinicalTrials.gov numbers, NCT01438281,
NCT01776658, and NCT02455999.).
PMID- 27893110
TI - The Art of Plastic Surgery.
PMID- 27893111
TI - Mixing Study for Evaluation of Abnormal Coagulation Testing.
PMID- 27893112
TI - Reducing Adverse Drug Events: The Need to Rethink Outpatient Prescribing.
PMID- 27893113
TI - The Promise of Palliative Care: Translating Clinical Trials to Clinical Care.
PMID- 27893114
TI - NIH Launches Study of Children's Environmental Exposures.
PMID- 27893115
TI - Under Affordable Care Act, Uninsured Rates Fell Across Demographic Groups.
PMID- 27893116
TI - New Report Outlines Roadmap for Preventing Youth Suicide.
PMID- 27893118
TI - Problems Confronting Medical Investigators.
PMID- 27893119
TI - Infectious Disease Mortality Trends in the United States, 1980-2014.
PMID- 27893120
TI - Revisions to the Nutrition Facts Label.
PMID- 27893121
TI - Euthanasia or Assisted Suicide in Patients With Psychiatric Illness.
PMID- 27893122
TI - Cost-effectiveness of PCSK9 Inhibitor Therapy.
PMID- 27893123
TI - Revisions to the Nutrition Facts Label-Reply.
PMID- 27893124
TI - Euthanasia or Assisted Suicide in Patients With Psychiatric Illness-Reply.
PMID- 27893125
TI - Cost-effectiveness of PCSK9 Inhibitor Therapy-Reply.
PMID- 27893126
TI - Combined Incentives and Restrictions Lead to Better Food Choices.
PMID- 27893127
TI - Low Prostate-Cancer Mortality No Matter the Treatment.
PMID- 27893128
TI - Human Milk Protein Prevents Infections in Preterm Infants.
PMID- 27893129
TI - US Emergency Department Visits for Outpatient Adverse Drug Events, 2013-2014.
AB - Importance: The Patient Protection and Affordable Care Act of 2010 brought
attention to adverse drug events in national patient safety efforts. Updated,
detailed, nationally representative data describing adverse drug events can help
focus these efforts. Objective: To describe the characteristics of emergency
department (ED) visits for adverse drug events in the United States in 2013-2014
and describe changes in ED visits for adverse drug events since 2005-2006.
Design, Setting, and Participants: Active, nationally representative, public
health surveillance in 58 EDs located in the United States and participating in
the National Electronic Injury Surveillance System-Cooperative Adverse Drug Event
Surveillance project. Exposures: Drugs implicated in ED visits. Main Outcomes and
Measures: National weighted estimates of ED visits and subsequent
hospitalizations for adverse drug events. Results: Based on data from 42 585
cases, an estimated 4.0 (95% CI, 3.1-5.0) ED visits for adverse drug events
occurred per 1000 individuals annually in 2013 and 2014 and 27.3% (95% CI, 22.2%
32.4%) of ED visits for adverse drug events resulted in hospitalization. An
estimated 34.5% (95% CI, 30.3%-38.8%) of ED visits for adverse drug events
occurred among adults aged 65 years or older in 2013-2014 compared with an
estimated 25.6% (95% CI, 21.1%-30.0%) in 2005-2006; older adults experienced the
highest hospitalization rates (43.6%; 95% CI, 36.6%-50.5%). Anticoagulants,
antibiotics, and diabetes agents were implicated in an estimated 46.9% (95% CI,
44.2%-49.7%) of ED visits for adverse drug events, which included clinically
significant adverse events, such as hemorrhage (anticoagulants), moderate to
severe allergic reactions (antibiotics), and hypoglycemia with moderate to severe
neurological effects (diabetes agents). Since 2005-2006, the proportions of ED
visits for adverse drug events from anticoagulants and diabetes agents have
increased, whereas the proportion from antibiotics has decreased. Among children
aged 5 years or younger, antibiotics were the most common drug class implicated
(56.4%; 95% CI, 51.8%-61.0%). Among children and adolescents aged 6 to 19 years,
antibiotics also were the most common drug class implicated (31.8%; 95% CI, 28.7%
34.9%) in ED visits for adverse drug events, followed by antipsychotics (4.5%;
95% CI, 3.3%-5.6%). Among older adults (aged >=65 years), 3 drug classes
(anticoagulants, diabetes agents, and opioid analgesics) were implicated in an
estimated 59.9% (95% CI, 56.8%-62.9%) of ED visits for adverse drug events; 4
anticoagulants (warfarin, rivaroxaban, dabigatran, and enoxaparin) and 5 diabetes
agents (insulin and 4 oral agents) were among the 15 most common drugs
implicated. Medications to always avoid in older adults according to Beers
criteria were implicated in 1.8% (95% CI, 1.5%-2.1%) of ED visits for adverse
drug events. Conclusions and Relevance: The prevalence of emergency department
visits for adverse drug events in the United States was estimated to be 4 per
1000 individuals in 2013 and 2014. The most common drug classes implicated were
anticoagulants, antibiotics, diabetes agents, and opioid analgesics.
PMID- 27893130
TI - Effect of Inpatient Palliative Care on Quality of Life 2 Weeks After
Hematopoietic Stem Cell Transplantation: A Randomized Clinical Trial.
AB - Importance: During hospitalization for hematopoietic stem cell transplantation
(HCT), patients receive high-dose chemotherapy before transplantation and
experience significant physical and psychological symptoms and poor quality of
life (QOL). Objective: To assess the effect of inpatient palliative care on
patient- and caregiver-reported outcomes during hospitalization for HCT and 3
months after transplantation. Design, Setting, and Participants: Nonblinded
randomized clinical trial among 160 adults with hematologic malignancies
undergoing autologous/allogeneic HCT and their caregivers (n = 94). The study was
conducted from August 2014 to January 2016 in a Boston hospital; follow-up was
completed in May 2016. Interventions: Patients assigned to the intervention
(n=81) were seen by palliative care clinicians at least twice a week during HCT
hospitalization; the palliative intervention was focused on management of
physical and psychological symptoms. Patients assigned to standard transplant
care (n=79) could be seen by palliative care clinicians on request. Main Outcomes
and Measures: Primary: change in patient QOL from baseline to week 2; secondary:
patient-assessed mood, fatigue, and symptom burden scores at baseline, 2 weeks,
and 3 months after HCT and caregiver-assessed QOL and mood at baseline and 2
weeks after HCT. Results: Among 160 enrolled patients (mean age, 60 [SD, 13.3]
years; 91 women [56.9%]; median hospital stay, 21 days) and 94 caregivers, 157
(98.1%) and 89 (94.7%), respectively, completed 2-week follow-up, and 149
patients (93.1%) completed 3-month follow-up. Patients in the intervention group
reported a smaller decrease in QOL from baseline to week 2 (mean baseline score,
110.26; week 2 score, 95.46; mean change, -14.72) compared with patients in the
control group (mean baseline score, 106.83; week 2 score, 85.42; mean change,
21.54; difference between groups, -6.82; 95% CI, -13.48 to -0.16; P = .045).
Among the secondary outcomes, from baseline to week 2, patients in the
intervention group vs those in the control group had less increase in depression
(mean, 2.43 vs 3.94; mean difference, 1.52; 95% CI, 0.23-2.81; P = .02), lower
anxiety (mean, -0.80 vs 1.12; mean difference, 1.92; 95% CI, 0.83-3.01; P <
.001), no difference in fatigue (mean, -10.30 vs -13.65; mean difference, -3.34;
95% CI, -7.25 to 0.56; P = .09), and less increase in symptom burden (mean, 17.35
vs 23.14; mean difference, 5.80; 95% CI, 0.49-11.10; P = .03). At 3 months after
HCT, intervention patients vs control patients had higher QOL scores (mean,
112.00 vs 106.66; mean difference, 5.34; 95% CI, 0.04-10.65; P = .048) and less
depression symptoms (mean, 3.49 vs 5.19; mean difference, -1.70; 95% CI, -2.75 to
-0.65; P = .002) but no significant differences in anxiety, fatigue, or symptom
burden. From baseline to week 2 after HCT, caregivers of patients in the
intervention group vs caregivers of patients in the control group reported no
significant differences in QOL or anxiety but had a smaller increase in
depression (mean, 0.25 vs 1.80; mean difference, 1.55; 95% CI, 0.14-2.96; P =
.03). Conclusions and Relevance: Among adults at a single institution undergoing
HCT for hematologic malignancy, the use of inpatient palliative care compared
with standard transplant care resulted in a smaller decrease in QOL 2 weeks after
transplantation. Further research is needed for replication and to assess longer
term outcomes and cost implications. Trial Registration: clinicaltrials.gov
Identifier: NCT02207322.
PMID- 27893132
TI - Essential Tremor.
PMID- 27893134
TI - The Good-Enough Parent.
PMID- 27893131
TI - Association Between Palliative Care and Patient and Caregiver Outcomes: A
Systematic Review and Meta-analysis.
AB - Importance: The use of palliative care programs and the number of trials
assessing their effectiveness have increased. Objective: To determine the
association of palliative care with quality of life (QOL), symptom burden,
survival, and other outcomes for people with life-limiting illness and for their
caregivers. Data Sources: MEDLINE, EMBASE, CINAHL, and Cochrane CENTRAL to July
2016. Study Selection: Randomized clinical trials of palliative care
interventions in adults with life-limiting illness. Data Extraction and
Synthesis: Two reviewers independently extracted data. Narrative synthesis was
conducted for all trials. Quality of life, symptom burden, and survival were
analyzed using random-effects meta-analysis, with estimates of QOL translated to
units of the Functional Assessment of Chronic Illness Therapy-palliative care
scale (FACIT-Pal) instrument (range, 0-184 [worst-best]; minimal clinically
important difference [MCID], 9 points); and symptom burden translated to the
Edmonton Symptom Assessment Scale (ESAS) (range, 0-90 [best-worst]; MCID, 5.7
points). Main Outcomes and Measures: Quality of life, symptom burden, survival,
mood, advance care planning, site of death, health care satisfaction, resource
utilization, and health care expenditures. Results: Forty-three RCTs provided
data on 12 731 patients (mean age, 67 years) and 2479 caregivers. Thirty-five
trials used usual care as the control, and 14 took place in the ambulatory
setting. In the meta-analysis, palliative care was associated with statistically
and clinically significant improvements in patient QOL at the 1- to 3-month
follow-up (standardized mean difference, 0.46; 95% CI, 0.08 to 0.83; FACIT-Pal
mean difference, 11.36] and symptom burden at the 1- to 3-month follow-up
(standardized mean difference, -0.66; 95% CI, -1.25 to -0.07; ESAS mean
difference, -10.30). When analyses were limited to trials at low risk of bias (n
= 5), the association between palliative care and QOL was attenuated but remained
statistically significant (standardized mean difference, 0.20; 95% CI, 0.06 to
0.34; FACIT-Pal mean difference, 4.94), whereas the association with symptom
burden was not statistically significant (standardized mean difference, -0.21;
95% CI, -0.42 to 0.00; ESAS mean difference, -3.28). There was no association
between palliative care and survival (hazard ratio, 0.90; 95% CI, 0.69 to 1.17).
Palliative care was associated consistently with improvements in advance care
planning, patient and caregiver satisfaction, and lower health care utilization.
Evidence of associations with other outcomes was mixed. Conclusions and
Relevance: In this meta-analysis, palliative care interventions were associated
with improvements in patient QOL and symptom burden. Findings for caregiver
outcomes were inconsistent. However, many associations were no longer significant
when limited to trials at low risk of bias, and there was no significant
association between palliative care and survival.
PMID- 27893135
TI - Screening for Colorectal Cancer and Evolving Issues for Physicians and Patients:
A Review.
AB - Importance: Colorectal cancer (CRC) is the second-leading cause of cancer death
in the United States. Screening can reduce CRC mortality and incidence, and
numerous screening options, although available, complicate informed decision
making. This review provides evidence-based tools for primary care physicians to
identify patients with higher-than-average-risk and engage patients in informed
decision making about CRC screening options. Observations: Recently, the US
Preventive Services Task Force recommended any of 8 CRC screening approaches for
average-risk individuals, beginning at age 50 years. Only 2 methods have been
shown in randomized clinical trials to reduce mortality: fecal occult blood
testing and flexible sigmoidoscopy. Of the 8 programs, screenings using the fecal
immunochemical test annually and colonoscopy every 10 years are now the most
commonly used tests in the United States and among the most effective in reducing
CRC mortality as determined by decision models. With the exception of primary
screening using colonoscopy, all of the other screening approaches have multiple
steps. Adherence to each phase of a multistep program is critical to achieving
maximal effectiveness of the screening program. It is likely that each of the
recommended programs can reduce CRC mortality, but other key outcomes may differ
such as lifetime burden of colonoscopy, complications, patient acceptance, and
cost. Decisions about the timing of screening cessation should be individualized.
Conclusions and Relevance: CRC screening is effective if patients adhere to the
steps in each screening program. There is no evidence that one program is
superior to another. Informed decision-making tools are provided to assist
patients and clinicians with the goal of improving adherence to effective
screening.
PMID- 27893137
TI - Trade, Investment, and Tobacco: Philip Morris v Uruguay.
PMID- 27893138
TI - Achieving the Triple Aim Through Disruptive Innovations in Self-care.
PMID- 27893139
TI - Fewer Opioids, More Exercise for Severe Joint Pain From Arthritis.
PMID- 27893140
TI - Undervaccinated Kindergarteners.
PMID- 27893141
TI - Ten Tips for Maximizing the Effectiveness of Emergency Medicine Procedure
Laboratories.
PMID- 27893142
TI - Assessment of Hospital Staff's Knowledge of Osteopathic Manipulative Medicine: A
Survey-Based Study.
AB - CONTEXT: Research has shown that osteopathic manipulative treatment (OMT) in
hospitalized patients can shorten hospital length of stay. However, hospital
staff may be unfamiliar with OMT and its use in this setting. OBJECTIVE: To
assess a hospital staff's knowledge of osteopathic manipulative medicine (OMM)
and investigate whether there is a correlation between job category and knowledge
of OMM. METHODS: The study used a 13-item survey that was developed using
SurveyMonkey. A brief description stating the purpose of the survey with a
hyperlink to the survey was sent in an e-mail to the employee LISTSERV at Good
Samaritan Regional Medical Center. This LISTSERV included all 1933 employees at
the medical center. After 10 days the survey was closed and the responses were
collected and interpreted by a statistician and the primary investigator.
Incomplete surveys were included. RESULTS: A total of 474 employees (24.5%)
returned the survey. Of these respondents, 267 (66.9%) responded that OMM could
be done in the hospital. Only 97 respondents (24.6%) reported seeing OMM
performed in the hospital. Physicians had the highest awareness of OMM (53.7%),
compared with all other employees (7%). CONCLUSION: An overall lack of knowledge
exists regarding OMM among hospital staff, especially nonphysician employees, at
a medical clinic.
PMID- 27893143
TI - Association Between WIC Enrollment and Exclusive Breastfeeding at 3 Months
Postpartum Among Low-Income Mothers.
AB - CONTEXT: Existing literature suggests participation in the Special Supplemental
Nutrition Program for Women, Infants, and Children (WIC) in the prenatal and
postnatal periods is associated with lower rates of breastfeeding among WIC
eligible mothers. However, minimal research has been published on the association
between WIC enrollment and exclusive breastfeeding. OBJECTIVE: To examine the
association between WIC exposure and exclusive breastfeeding at 3 months
postpartum. METHODS: We conducted a secondary data analysis using information on
784 low-income women who participated in the longitudinal population-based Infant
Feeding Practices Study II between May 2005 and June 2007. The main outcome of
interest was exclusive breastfeeding at 3 months postpartum. Logistic regression
analysis was used to estimate OR and 95% CI for exclusive breastfeeding relative
to WIC enrollment status, controlling for the confounding effects of other
maternal characteristics. We further conducted a subgroup analysis among those
participating in WIC prenatally to examine the association between receipt of
information about infant feeding from WIC and exclusive breastfeeding at 3 months
postpartum. RESULTS: The crude prevalence of exclusive breastfeeding at 3 months
postpartum was 18.1% of women enrolled in WIC and 41.1% of WIC-eligible
nonparticipants (P<.0001). After adjusting for sociodemographic, behavioral, and
anthropometric factors, the odds of exclusive breastfeeding at 3 months were
lower for women enrolled in WIC (OR, 0.57; 95% CI, 0.37-0.88) when compared with
women not enrolled in WIC. In the subgroup analysis, receipt of information from
WIC about feeding infants during the prenatal period was not significantly
associated with exclusive breastfeeding at 3 months (OR, 0.86; 95% CI, 0.39
1.89). CONCLUSION: Women who were enrolled in WIC and who received information
about feeding infants were less likely to exclusively breastfeed than women not
in WIC. Continued improvement and adjustment to the existing WIC breastfeeding
program could potentially improve these rates. Additional studies that examine
the quality of WIC services provided, especially those pertaining to
breastfeeding programs, are warranted.
PMID- 27893144
TI - Effectiveness of Shared Medical Appointments Targeting the Triple Aim Among
Patients With Overweight, Obesity, or Diabetes.
AB - BACKGROUND: Obesity and diabetes are epidemic in the United States, with many
treatment options having limited long-term efficacy. A possible effective medical
management tool is the shared medical appointment (SMA), which offers an
efficient and cost-effective approach to behavior change and aligns with the
Triple Aim (reduce costs, improve population health, and improve patient care
experience) set forth by the Institute for Healthcare Improvement. OBJECTIVES: To
assess the effectiveness of SMAs to achieve the Triple Aim and to improve the
management of overweight/obesity or diabetes. METHODS: Peer-reviewed literature
from PubMed was searched by the keywords shared medical appointments, group
medical appointment, and group medical visit, with no date restrictions and
limited to English publications with sample sizes greater than or equal to 20.
RESULTS: Eight articles met inclusion criteria. The Triple Aim was not referenced
in the studies, but most reported some combination of reduced costs, improved
care, and improved outcomes or patient satisfaction. CONCLUSIONS: Potential
benefits of SMAs include improved patient outcomes and satisfaction. Osteopathic
and, in particular, primary care medicine could likely benefit from moving toward
greater adoption of SMAs; however, more randomized controlled trials are needed
to assess their effectiveness with regard to the Triple Aim.
PMID- 27893145
TI - Are Low-Carbohydrate Diets Safe and Effective?
PMID- 27893146
TI - Alternative Scheduling Models: Improving Continuity of Care, Medical Outcomes,
and Graduate Medical Education in Resident Ambulatory Training.
AB - An association has been consistently made about continuity of care with improved
quality of care and improved medical outcomes. However, resident ambulatory block
scheduling prevents the optimization of continuity of care in ambulatory clinical
education. The author performed a PubMed search for studies examining continuity
of care and curriculum scheduling in US primary care residency clinics. These
studies indicate the success of an X + Y scheduling model in resident ambulatory
training. Additional benefits have also been noted, including improved clinical
teaching and learning, increased sense of teamwork, increased resident
satisfaction, improved recruitment and retention, improved patient satisfaction,
and elimination of year-end patient care issues after graduation. Many allopathic
institutions have begun to implement such curricular changes with demonstrated
success. The author argues that osteopathic graduate medical education should
embrace the X + Y scheduling model.
PMID- 27893147
TI - Update on the Pharmacology and Legal Status of Kratom.
AB - Kratom (Mitragyna speciosa) is a plant indigenous to Southeast Asia. Its leaves
and the teas brewed from them have long been used by people in that region to
stave off fatigue and to manage pain and opioid withdrawal. In a comprehensive
review published in 2012, Prozialeck et al presented evidence that kratom had
been increasingly used for the self-management of opioid withdrawal and pain in
the United States. At the time, kratom was classified as a legal herbal product
by the US Drug Enforcement Administration. Recent studies have confirmed that
kratom and its chemical constituents do have useful pharmacologic actions.
However, there have also been increasing numbers of reports of adverse effects
resulting from use of kratom products. In August 2016, the US Drug Enforcement
Administration announced plans to classify kratom and its mitragynine
constituents as Schedule 1 controlled substances, a move that triggered a massive
response from kratom advocates. The purpose of this report is to highlight the
current scientific and legal controversies regarding kratom.
PMID- 27893148
TI - Osteopathic Manipulative Treatment for Somatic Dysfunction After Acute Severe
Traumatic Brain Injury.
AB - Somatic dysfunction caused by traumatic brain injury (TBI) may be managed by
osteopathic manipulative treatment (OMT). In this case report, the authors
describe 2 patients with severe TBI who were each treated with OMT in a level-1
regional trauma center. Both patients received OMT beginning in the acute care
phase of injury. Somatic dysfunction improved during the course of treatment, and
no adverse effects of OMT were noted. More comprehensive research may clarify the
efficacy and adverse effects of OMT as part of multimodal acute care of patients
with severe TBI.
PMID- 27893149
TI - Bipartite Patella.
PMID- 27893150
TI - Client Accounts of Corrective Experiences in Psychotherapy: Implications for
Clinical Practice.
AB - The Patient Perceptions of Corrective Experiences in Individual Therapy (PPCEIT;
Constantino, Angus, Friedlander, Messer, & Moertl, 2011) posttreatment interview
guide was developed to provide clinical researchers with an effective mode of
inquiry to identify and further explore clients' firsthand accounts of corrective
and transformative therapy experiences and their determinants. Not only do
findings from the analysis of client corrective experience (CE) accounts help
identify what and how CEs happen in or as a result of psychotherapy, but the
measure itself may also provide therapists with an effective tool to further
enhance clients' awareness, understanding, and integration of transformative
change experiences. Accordingly, we discuss in this afterword to the series the
implications for clinical practice arising from (a) the thematic analysis of
client CE accounts, drawn from a range of clinical samples and international
research programs and (b) the clinical effect of completing the PPCEIT
posttreatment interview inquiry. We also identify directions for future clinical
training and research.
PMID- 27893151
TI - Anomalies in human sex determination provide unique insights into the complex
genetic interactions of early gonad development.
AB - Human sex determination (SD) involves complex mutually antagonistic genetic
interactions of testis- and ovary-determining pathways. For many years, both male
and female SD were considered to be regulated by a linear cascade of pro-male and
pro-female genes, respectively; however, it has become clear that male and female
development is achieved through the repression of the alternative state. A gene
determining the formation of a testis may function by repressing the female state
and vice versa. Uniquely in development, SD is achieved by suppression of the
alternate fate and maintained in adulthood by a mutually antagonistic double
repressive pathway. Here, we review genetic data generated through large-scale
sequencing approaches that are changing our view of how this system works,
including the recently described recurrent NR5A1 p.R92W mutation associated with
testis development in 46,XX children. We also review some of the unique
challenges in the field to establish that mutations, such as this are pathogenic.
The impending surge of new genetic data on human SD from sequencing projects will
create opportunities for the development of mechanistic models that will clarify
how the system operates and importantly provide data to understand how selection
and developmental processes interact to direct the evolution of SD across
species.
PMID- 27893152
TI - An evaluation of high-stage cutaneous squamous cell carcinoma outcomes by sex.
PMID- 27893154
TI - WITHDRAWN: Occlusal interventions for periodontitis in adults.
AB - BACKGROUND: Occlusal interventions may be used in adults with periodontitis. At
present there is little consensus regarding the indications and effectiveness of
occlusal interventions in periodontal patients. OBJECTIVES: To identify and
analyse the evidence for the effect of occlusal interventions on adults who have
periodontitis in relation to tooth loss, probing depths, clinical attachment
level, adverse effects and patient-centred outcomes. SEARCH METHODS: The search
was last conducted in April 2008. We searched the Cochrane Oral Health Group's
Trials Register (to 30th April 2008); the Cochrane Central Register of Controlled
Trials (CENTRAL) (The Cochrane Library 2008, Issue 1); MEDLINE (1966 to 30th
April 2008); and EMBASE (1980 to 30th April 2008). There were no language
restrictions. SELECTION CRITERIA: We included randomised controlled trials (RCTs)
assessing occlusal interventions in patients with periodontitis with a follow up
of at least 3 months. DATA COLLECTION AND ANALYSIS: Screening of eligible
studies, assessment of the methodological quality of the trials and data
extraction were conducted in duplicate and independently by two review authors.
Any disagreements between the review authors were resolved by discussion. The
main investigator of the included trial was contacted to obtain missing
information. The Cochrane Collaboration statistical guidelines were to be
followed for data synthesis. MAIN RESULTS: Abstracts of 54 papers were identified
by the search. One paper was eligible for inclusion. This paper studied the
effect of occlusal adjustment against no occlusal adjustment in patients who were
treated with non-surgical and surgical periodontal therapy. Methodological
quality assessment of the included paper revealed that randomisation of the
patients into the treatment groups was adequate. Allocation concealment, masking
of patients and clinicians were not reported and no response to author contact
was received.Mean change in attachment level and mean pocket depth were reported
in the included trial. Mean difference in clinical attachment level between
occlusal intervention and control in the non-surgical group amounted to 0.38 mm
(95% confidence interval (CI) 0.04 to 0.72) favouring the occlusal intervention
group and was statistically significant. In the surgical group the mean
difference in clinical attachment level between occlusal intervention and control
amounted to 0.40 mm (95% CI 0.05 to 0.75) favouring the occlusal intervention
group and was also statistically significant. The difference in mean pocket depth
reduction between the occlusal intervention and control in both the surgical and
non-surgical groups was less than 0.1 mm and was not statistically significant.
Tooth loss, patient-centred affects and adverse effects were not reported. Meta
analysis was not possible due to the inclusion of only one study. AUTHORS'
CONCLUSIONS: There is only one randomised trial that has addressed this question.
The data from this study are inconclusive. We therefore conclude there is no
evidence for or against the use of occlusal interventions in clinical practice.
This question can only be addressed by adequately powered bias-protected
randomised controlled trials.
PMID- 27893153
TI - Efficacy and safety of dabigatran, rivaroxaban, and warfarin for stroke
prevention in Chinese patients with atrial fibrillation: the Hong Kong Atrial
Fibrillation Project.
AB - BACKGROUND: Little is known about the comparative effectiveness and safety of non
vitamin K antagonist oral anticoagulants (NOAC) compared to warfarin in Chinese
atrial fibrillation (AF) patients. Our aim was to compare the ischemic stroke
risk reduction and incidence of intracranial hemorrhage (ICH) of warfarin in
relation to quality of anticoagulation control (as reflected by time in
therapeutic range [TTR]), and to dabigatran and rivaroxaban in a real-world
cohort of Chinese AF patients. HYPOTHESIS: NOAC, rather than warfarin, is
preferred in Chinese AF patients. METHODS: Of 2099 patients studied (73.1 +/-
12.3 years, female: 44.6%, CHA2 DS2 -VASc 3.7 +/- 1.9 and HAS-BLED 2.0 +/- 1.0)
with nonvalvular AF, 963 patients (45.9%) were on warfarin (only 16.3% had TTR
>=65%), 669 patients were on rivaroxaban, and 467 patients were on dabigatran.
RESULTS: After a mean follow-up of 21.7 +/- 13.4 months, there were 156 ischemic
strokes (annual incidence of 4.10%/year), with the incidence of ischemic stroke
being highest in patients on warfarin with TTR <65% (5.24%/year), followed by
those on rivaroxaban (3.74%/year), and those on warfarin with TTR >=65%
(3.35%/year), whereas patients on dabigatran had the lowest incidence of ischemic
stroke (1.89%/year). The incidence of ICH was lowest in patients on dabigatran
(0.39%/year) compared with those on rivaroxaban (0.52%/year) and warfarin, with
TTR <65% (0.95%/year) and TTR >=65% (0.58%/year). Patients on rivaroxaban 20 mg
daily had similar ischemic stroke risk (1.93%/year) and ICH risk (0.21%/year)
compared to dabigatran. CONCLUSIONS: In Chinese AF patients, the benefits of
warfarin therapy for stroke prevention and ICH reduction depend on TTR. Of the
treatments compared, dabigatran, as well as rivaroxaban 20 mg daily, was
associated with lowest ischemic stroke and ICH rates.
PMID- 27893155
TI - Health Cards by Google: dermatologist review of the inclusivity and utility of
the medical search application.
PMID- 27893156
TI - Authors' response to a reply to 'A phase II randomized controlled trial of
nicotinamide for skin cancer chemoprevention in renal transplant recipients'.
PMID- 27893157
TI - Brown world forests: increased ungulate browsing keeps temperate trees in
recruitment bottlenecks in resource hotspots.
AB - Plant biomass consumers (mammalian herbivory and fire) are increasingly seen as
major drivers of ecosystem structure and function but the prevailing paradigm in
temperate forest ecology is still that their dynamics are mainly bottom-up
resource-controlled. Using conceptual advances from savanna ecology, particularly
the demographic bottleneck model, we present a novel view on temperate forest
dynamics that integrates consumer and resource control. We used a fully factorial
experiment, with varying levels of ungulate herbivory and resource (light)
availability, to investigate how these factors shape recruitment of five
temperate tree species. We ran simulations to project how inter- and
intraspecific differences in height increment under the different experimental
scenarios influence long-term recruitment of tree species. Strong herbivore
driven demographic bottlenecks occurred in our temperate forest system, and
bottlenecks were as strong under resource-rich as under resource-poor conditions.
Increased browsing by herbivores in resource-rich patches strongly counteracted
the increased escape strength of saplings in these patches. This finding is a
crucial extension of the demographic bottleneck model which assumes that
increased resource availability allows plants to more easily escape consumer
driven bottlenecks. Our study demonstrates that a more dynamic understanding of
consumer-resource interactions is necessary, where consumers and plants both
respond to resource availability.
PMID- 27893158
TI - Articular cartilage scores in cranial cruciate ligament-deficient dogs with or
without bucket handle tears of the medial meniscus.
AB - OBJECTIVE: To compare articular cartilage scores in cranial cruciate ligament
(CCL)-deficient dogs with or without concurrent bucket handle tears (BHT) of the
medial meniscus. STUDY DESIGN: Retrospective case series. ANIMALS: Client-owned
dogs treated with arthroscopy and tibial plateau leveling osteotomy or
extracapsular repair for complete CCL rupture (290 stifles from 264 dogs).
METHODS: Medical records and arthroscopic images were reviewed. Medial femoral
condyle (MFC) and medial tibial plateau (MTP) cartilage was scored using the
modified Outerbridge scale. Periarticular osteophytosis (PAO) and injury to the
medial meniscus were recorded. Data were analyzed using Student's t-tests,
Wilcoxon rank-sum test, and Fisher's exact test for changes in the stifle based
on meniscal condition, body weight, and duration of lameness. RESULTS: PAO, MFC,
and MTP articular cartilage scores were not significantly different in dogs with
or without BHT. There were no significant differences in MFC or MTP scores when
dogs were evaluated based on bodyweight and the presence or absence of a BHT.
However, PAO formation was significantly increased in dogs weighing >13.6 kg and
concurrent meniscal injury vs. dogs weighing <13.6 kg and concurrent meniscal
injury (P < .001). Significantly more stifles with chronic lameness (40 of 89;
44.9%) had the highest PAO score of 2 reported compared to only 42 of 182 stifles
(23.1%) with acute lameness (P < .001). CONCLUSION: The presence of a BHT of the
medial meniscus was not associated with more severe arthroscopic articular
cartilage lesions in the medial joint compartment at the time of surgery.
PMID- 27893159
TI - Modified technique for common carotid artery transposition in standing horses.
AB - OBJECTIVE: To describe a modified technique for permanent translocation of the
common carotid artery (CCA) to a subcutaneous position in standing horses. STUDY
DESIGN: Experimental study. ANIMALS: Healthy adult Standardbred and Warmblood
horses (n = 8). METHODS: Surgery was performed with the horses standing under
sedation and with local anesthesia. A combination of previously described
techniques was used modifying the approach and closure of the incision. The right
CCA was approached through a linear skin incision dorsal and parallel to the
jugular vein and through the brachiocephalicus and omohyoideus muscles. The
artery was dissected free of its sheath and elevated to the skin incision with
Penrose drains. The brachiocephalicus muscle was sutured in two layers underneath
the artery leaving it in a subcutaneous position. The horses were allowed to heal
for 3 weeks prior to catheterization of the artery. RESULTS: The transposed CCA
was successfully used for repeated catheterization in six of eight horses for a
period of 10 weeks. None of the horses had intraoperative complications. Two
horses developed mild peri-incisional edema that resolved spontaneously. Right
sided laryngeal hemiplegia was observed endoscopically in two horses
postoperatively. Two horses developed complications (surgical site infection and
excessive periarterial fibrosis) that compromised the patency of the CCA and
precluded catheterization. CONCLUSION: Permanent translocation of the CCA in
standing horses was successful in six out of eight horses. Upper airway endoscopy
postoperatively may be warranted as laryngeal hemiplegia may ensue.
PMID- 27893160
TI - Integrating Household Risk Mitigation Behavior in Flood Risk Analysis: An Agent
Based Model Approach.
AB - Recent studies showed that climate change and socioeconomic trends are expected
to increase flood risks in many regions. However, in these studies, human
behavior is commonly assumed to be constant, which neglects interaction and
feedback loops between human and environmental systems. This neglect of human
adaptation leads to a misrepresentation of flood risk. This article presents an
agent-based model that incorporates human decision making in flood risk analysis.
In particular, household investments in loss-reducing measures are examined under
three economic decision models: (1) expected utility theory, which is the
traditional economic model of rational agents; (2) prospect theory, which takes
account of bounded rationality; and (3) a prospect theory model, which accounts
for changing risk perceptions and social interactions through a process of
Bayesian updating. We show that neglecting human behavior in flood risk
assessment studies can result in a considerable misestimation of future flood
risk, which is in our case study an overestimation of a factor two. Furthermore,
we show how behavior models can support flood risk analysis under different
behavioral assumptions, illustrating the need to include the dynamic adaptive
human behavior of, for instance, households, insurers, and governments. The
method presented here provides a solid basis for exploring human behavior and the
resulting flood risk with respect to low-probability/high-impact risks.
PMID- 27893161
TI - Elevated CO2 plus chronic warming reduce nitrogen uptake and levels or activities
of nitrogen-uptake and -assimilatory proteins in tomato roots.
AB - Atmospheric CO2 enrichment is expected to often benefit plant growth, despite
causing global warming and nitrogen (N) dilution in plants. Most plants primarily
procure N as inorganic nitrate (NO3- ) or ammonium (NH4+ ), using membrane
localized transport proteins in roots, which are key targets for improving N use.
Although interactive effects of elevated CO2 , chronic warming and N form on N
relations are expected, these have not been studied. In this study, tomato
(Solanum lycopersicum) plants were grown at two levels of CO2 (400 or 700 ppm)
and two temperature regimes (30 or 37 degrees C), with NO3- or NH4+ as the N
source. Elevated CO2 plus chronic warming severely inhibited plant growth,
regardless of N form, while individually they had smaller effects on growth.
Although %N in roots was similar among all treatments, elevated CO2 plus warming
decreased (1) N-uptake rate by roots, (2) total protein concentration in roots,
indicating an inhibition of N assimilation and (3) shoot %N, indicating a
potential inhibition of N translocation from roots to shoots. Under elevated CO2
plus warming, reduced NO3- -uptake rate per g root was correlated with a decrease
in the concentration of NO3- -uptake proteins per g root, reduced NH4+ uptake was
correlated with decreased activity of NH4+ -uptake proteins and reduced N
assimilation was correlated with decreased concentration of N-assimilatory
proteins. These results indicate that elevated CO2 and chronic warming can act
synergistically to decrease plant N uptake and assimilation; hence, future global
warming may decrease both plant growth and food quality (%N).
PMID- 27893162
TI - Late-onset combined immune deficiency due to LIGIV mutations in a 12-year-old
patient.
PMID- 27893163
TI - Sorafenib and azacitidine as salvage therapy for relapse of FLT3-ITD mutated AML
after allo-SCT.
AB - OBJECTIVE: Patients with acute myeloid leukemia (AML) carrying FLT3-ITD mutations
(FLT3-ITD+) who relapse after allogeneic transplantation (allo-SCT) have a very
dismal prognosis with the currently available treatment options. METHODS: We
treated eight patients with FLT3-ITD+ AML who had relapsed in median 91 d (range,
28-249) following allo-SCT with a combination of the multikinase inhibitor
sorafenib and the DNA methyltransferase inhibitor azacitidine (Aza). RESULTS:
Patients received a median of five cycles of Aza (range, 2-9) and sorafenib with
a median daily dosage of 750 mg (range 400-800) for 129 d (range, 61-221). Six of
eight patients received donor lymphocyte infusions (DLI) with a median number of
two DLI per patient (range, 1-4). Following this treatment, four patients (50%)
achieved a complete remission and three of them a complete molecular remission.
Median duration of CR was 182 d (range, 158-406), and two patients remain in
ongoing remission for 406 and 168 d. Median overall survival was 322 d (range,
108-574 d) with three patients being currently alive. CONCLUSION: Taken together,
the combination of sorafenib, Aza, and DLI shows promising efficacy and deserves
further evaluation in larger patient groups.
PMID- 27893165
TI - Enabling Stakeholder Involvement in Coastal Disaster Resilience Planning.
AB - Coastal hazards including storm surge, sea-level rise, and cyclone winds continue
to have devastating effects on infrastructure systems and communities despite
costly investments in risk management. Risk management has generally not been
sufficiently focused on coastal resilience, with community stakeholders involved
in the process of making their coastline, as a system, more resilient to coastal
storms. Thus, without stakeholder earlier involvement in coastal resilience
planning for their community, they are frustrated after disasters occur. The U.S.
National Academies has defined resilience as "the ability to prepare and plan
for, absorb, recover from, and more successfully adapt to adverse
events"(National Research Council). This article introduces a methodology for
enabling stakeholder-involved resilience discussions across physical,
information, cognitive, and social domains. The methodology addresses the stages
of resilience-prepare, absorb, recover, and adapt-and integrates performance
assessment with scenario analysis to characterize disruptions of risk-management
priorities. The methodology is illustrated through a case study at Mobile Bay,
Alabama, USA.
PMID- 27893164
TI - Diagnostic and prognostic value of human prion detection in cerebrospinal fluid.
AB - OBJECTIVE: Several prion amplification systems have been proposed for detection
of prions in cerebrospinal fluid (CSF), most recently, the measurements of prion
seeding activity with second-generation real-time quaking-induced conversion (RT
QuIC). The objective of this study was to investigate the diagnostic performance
of the RT-QuIC prion test in the broad phenotypic spectrum of prion diseases.
METHODS: We performed CSF RT-QuIC testing in 2,141 patients who had rapidly
progressive neurological disorders, determined diagnostic sensitivity and
specificity in 272 cases that were autopsied, and evaluated the impact of
mutations and polymorphisms in the PRNP gene, and type 1 or type 2 human prions
on diagnostic performance. RESULTS: The 98.5% diagnostic specificity and 92%
sensitivity of CSF RT-QuIC in a blinded retrospective analysis matched the 100%
specificity and 95% sensitivity of a blind prospective study. The CSF RT-QuIC
differentiated 94% of cases of sporadic Creutzfeldt-Jakob disease (sCJD) MM1 from
the sCJD MM2 phenotype, and 80% of sCJD VV2 from sCJD VV1. The mixed prion type 1
2 and cases heterozygous for codon 129 generated intermediate CSF RT-QuIC
patterns, whereas genetic prion diseases revealed distinct profiles for each PRNP
gene mutation. INTERPRETATION: The diagnostic performance of the improved CSF RT
QuIC is superior to surrogate marker tests for prion diseases such as 14-3-3 and
tau proteins, and together with PRNP gene sequencing the test allows the major
prion subtypes to be differentiated in vivo. This differentiation facilitates
prediction of the clinicopathological phenotype and duration of the disease-two
important considerations for envisioned therapeutic interventions. ANN NEUROL
2017;81:79-92.
PMID- 27893167
TI - Effects of low-level laser therapy on burning mouth syndrome.
AB - To investigate low-level laser therapy (LLLT) applied to treat burning mouth
syndrome (BMS). This prospective, comparative, partially blinded, single-centre,
clinical trial of GaAlAs Laser, with 815 nm wavelength, included 44 BMS patients
divided randomly into three groups: Group I (n = 16): GaAlAs laser 815 nm
wavelength, 1 W output power, continuous emissions, 4 s, 4 J and fluence rate
133.3 J cm-2 ; Group II (n = 16): GaAlAs infrared laser, 815 nm wavelength, 1 W
output power, continuous emissions, 6 s, 6 J and fluence rate 200 J cm-2 ; Group
III (n = 12) placebo group, sham laser. All groups received a weekly dose for 4
weeks. Pain intensity was recorded using a 10-cm visual analogue scale; patients
responded to the oral health impact profile (OHIP-14), xerostomia severity test
and the hospital anxiety-depression scale (HAD). These assessments were performed
at baseline, 2 and 4 weeks. LLLT decreased pain intensity and improved OHIP-14
scores significantly from baseline to 2 weeks in groups I and II compared with
the placebo group. No statistically significant differences were found from 2 to
4 weeks. Overall improvements in visual analogue scale (VAS) scores from baseline
to the end of treatment were as follows: Group I 15.7%; Group II 15.6%; Group III
placebo 7.3%. LLLT application reduces symptoms slightly in BMS patients.
PMID- 27893166
TI - The association between parental consanguinity and primary immunodeficiency
diseases: A systematic review and meta-analysis.
AB - BACKGROUND: We aimed to establish the prevalence of parental consanguinity among
patients with primary immunodeficiency diseases (PID) and compare the prevalence
with the general population. METHOD: We searched PubMed, EMBASE, and Scopus for
studies mentioning parental consanguinity prevalence in patients with PID and
calculated the prevalence odds ratio (POR) of parental consanguinity in each
study, compared to a matched healthy population. RESULTS: We identified 21
eligible studies with a total population of 18091 accounting for sample overlap.
The POR among studies on a sample of mixed patients with PID ranged from 0.6 to
21.9 with the pooled POR of 3.0 (p < 0.001; I2 = 89%, 95% CI: 2.5-3.7).
CONCLUSION: PIDs with an autosomal recessive pattern of inheritance had
significant odds of parental consanguinity compared to the healthy population, a
phenomenon not observed in other inheritance patterns. Determining the extent of
the impact that consanguinity imposes upon the progeny paves the way for
convincing healthcare policymakers in highly consanguineous communities to act
more diligently in informing the masses about the consequences of practicing
inbreeding.
PMID- 27893169
TI - Reinforcement in removable prosthodontics: a literature review.
AB - Removable prosthodontics are often associated with mechanical troubles in daily
use, such as fracture or deformation. These troubles render prostheses unusable
and reduce wearers' QOL. Various reinforcements are used to prevent such
problems, but consensus on reinforcement has not been reached. This review aimed
to summarise the effects of reinforcement and to propose favourable reinforcement
based on material, design and position in the prostheses. Initially, 139 articles
were selected by electronic and manual searches. After exclusion of 99 articles
based on the exclusion criteria, 40 articles were finally included in the review.
Electronic searches were performed for articles published from 2005 to 2015 in
PubMed, EMBASE, MEDLINE and Cochrane Library, and manual searches were performed
in 10 journals relevant to the topic of removable prosthodontics. For in vitro
studies, certain dental alloys and fibres were mainly used. Their forms were
different, including complicated forms in dental alloys and various forms in
fibres. The materials were examined for mechanical properties like fracture
strength, flexural strength and elastic modulus and compared with one another or
without reinforcement. There were a few clinical studies and one longitudinal
study. Cast metal reinforcement seemed to be most favourable in terms of fracture
toughness and stiffness. The most favourable forms differed depending on the
prostheses, but placement around thin and deformable areas was effective.
However, randomised or longitudinal clinical reports and comparative clinical
studies on the use of reinforcement were still lacking and such studies are
necessary in the future.
PMID- 27893168
TI - Not every patient needs a triglyceride check, but all can get pancreatitis: a
systematic review and clinical characterization of isotretinoin-associated
pancreatitis.
AB - Monitoring of triglycerides for patients on isotretinoin is practised primarily
to avoid hypertriglyceridaemia-associated pancreatitis. The aim of this study was
to describe clinically the published cases of hypertriglyceride-associated
pancreatitis. A comprehensive search strategy using MEDLINE, Embase and grey
literature was conducted (1960 to January 2016) to identify all case reports of
isotretinoin-associated pancreatitis and all relevant studies of isotretinoin and
triglycerides for any indication (>= 20 patients). Terms related to isotretinoin,
triglycerides and pancreatitis were searched with all available synonyms. Any
studies that used isotretinoin and mentioned triglycerides or pancreatitis were
searched in full text, where available, for cases of pancreatitis. Studies from
all countries and published in any language were included, but Korean and Turkish
studies could not be analysed. Two authors independently reviewed the
publications to determine eligibility, and for data extraction. In total, 125
papers fulfilled the inclusion criteria and were searched for cases of
pancreatitis. Eleven papers with 25 cases of pancreatitis associated with
isotretinoin were identified; four of these cases were likely due to
hypertriglyceridaemia. Three patients had elevated baseline triglycerides, but no
monitoring. Pancreatitis occurred 6 and 7 weeks, and 6 months after initiation of
therapy. For the fourth patient who was treated for glioblastoma and died, no
detailed clinical information was available. Idiosyncratic pancreatitis
associated with isotretinoin is the most frequent pancreatitis on isotretinoin,
and patients should be warned about it. Hypertriglyceride-associated pancreatitis
is an exceedingly rare adverse event of isotretinoin therapy. Our data cannot
give a frequency or risk for either adverse event. Based on the clinical
information of the patients available, we conclude that for patients without
elevated baseline triglycerides, or risk thereof, monitoring of triglycerides
during therapy is of little value.
PMID- 27893171
TI - Salvage therapy in first relapse: a retrospective study in a large patient
population with multiple myeloma.
AB - OBJECTIVE: There is no strong evidence to guide therapeutic approach to multiple
myeloma (MM) patients who experience first relapse. The treatment choice can be
difficult since currently all patients are exposed to novel agents as
thalidomide, bortezomib and lenalidomide. METHODS: In this retrospective
analysis, we evaluated the best therapeutic sequence, the role of retreatment,
and the most beneficial cutoff of first remission in order to choose retreatment,
analyzing 476 patients relapsed after first-line therapy. RESULTS: Bortezomib
based regimens upfront followed by lenalidomide-based regimens at first relapse
resulted in significantly better second progression-free survival (2ndPFS), PFS2,
and overall survival (OS) compared to the opposite sequence. Changing therapy
resulted in significantly better 2ndPFS in the whole population, whereas PFS2 was
significantly longer only in patients who underwent maintenance therapy.
Moreover, until PFS1 was shorter than 27 months, changing therapy at first
relapse significantly extended 2ndPFS and PFS2 compared to retreatment, whereas
similar outcomes were observed between the two strategies, when PFS1 was longer
than 27 months. CONCLUSION: Lacking randomized trials, our study could help to
choose the most appropriate therapy algorithm in patients with MM.
PMID- 27893170
TI - Safety profiles of iron chelators in young patients with haemoglobinopathies.
AB - BACKGROUND: This review describes the safety of deferoxamine (DFO), deferiprone
(DFP), deferasirox (DFX) and combined therapy in young patients less than 25 yr
of age with haemoglobinopathies. METHODS: Searches in electronic literature
databases were performed. Studies reporting adverse events associated with iron
chelation therapy were included. Study and reporting quality was assessed using
AHRQ Risk of Bias Assessment Tool and McMaster Quality Assessment Scale of Harms.
Prospective clinical studies were pooled in a random-effects meta-analysis of
proportions. RESULTS: Safety data of 2040 patients from 34 studies were included.
Ninety-two case reports of 246 patients were identified. DFX (937 patients) and
DFP (667 patients) possess the largest published safety evidence. Fewer studies
on combination regimens are available. Increased transaminases were seen in all
regimens (3.9-31.3%) and gastrointestinal disorders with DFP and DFX (3.7-18.4%
and 5.8-18.8%, respectively). Therapy discontinuations due to adverse events were
low (0-4.1%). Reporting quality was selective and poor in most of the studies.
CONCLUSION: Iron chelation therapy is generally safe in young patients, and
published data correspond to summary of product characteristics. Each iron
chelation regimen has its specific safety risks. DFO seems not to be associated
with serious adverse effects in recommended doses. In DFP and DFX, rare, but
serious, adverse reactions can occur. Data on combined therapy are scarce, but it
seems equally safe compared to monotherapy.
PMID- 27893172
TI - Anthropometrics and prognosis in diffuse large B-cell lymphoma: a multicentre
study of 653 patients.
AB - OBJECTIVE: The impact of body mass index (BMI) and body surface area (BSA) on
survival in diffuse large B-cell lymphoma (DLBCL) is controversial. Recent
studies show superior outcomes for overweight and obese patients. PATIENTS AND
METHODS: A total of 653 R-CHOP(-like)-treated DLBCL patients were included in
this retrospective cohort study. Patients, baseline clinicopathologic
characteristics and treatment information were retrieved from the Danish Lymphoma
Registry. Anthropometric measures were obtained from chemotherapy prescription
charts. RESULTS: Underweight (BMI <18.5 kg/m2 ) was associated with significantly
worse progression-free survival (PFS) for male patients only in sex-stratified
analyses (HR 3.92, 95% CI: 1.57-9.75, P = 0.003, for males; HR 1.65, 95% CI: 0.90
3.02, P = 0.107, for females). In multivariate analyses, underweight was
associated with worse PFS for both sexes (HR 5.34, 95% CI: 2.07-13.79, P = 0.001,
for males; HR 2.14, 95% CI: 1.12-4.08, P = 0.021, for females). Similar results
were obtained in analyses of overall survival. In crude analyses, BSA <1.8 m2 was
associated with worse PFS for men and women (HR 1.65, 95% CI: 1.03-2.65, P =
0.039, for men; HR 1.62, 95% CI: 1.03-2.56, P = 0.037, for women). In
multivariate analyses, however, these associations diminished. CONCLUSIONS: Our
study demonstrates that underweight DLBCL patients have worse outcomes following
R-CHOP as compared to normal as well as overweight patients.
PMID- 27893173
TI - bmp15l, figla, smc1bl, and larp6l are preferentially expressed in germ cells in
Atlantic salmon (Salmo salar L.).
AB - Atlantic salmon is a valuable commercial aquaculture species that would benefit
economically and environmentally by controlling precocious puberty and preventing
escapees from reproducing with wild populations. One solution to both these
challenges is the production of sterile individuals by inhibiting the formation
of germ cells, but achieving this requires more information on the specific
factors that control germ cell formation. Here, we identified and characterized
novel factors that are preferentially expressed in Atlantic salmon germ cells by
screening for gonad-specific genes using available adult multi-tissue
transcriptomes. We excluded genes with expression in tissues other than gonads
based on quantity of reads, and then a subset of genes was selected for
verification in a multi-tissue PCR screen. Four gonad-specific genes (bmp15l,
figla, smc1bl, and larp6l) were chosen for further characterization, namely: germ
cell specificity, investigated by comparing mRNA abundance in wild-type and germ
cell-free gonads by quantitative real-time PCR, and cellular location, visualized
by in situ hybridization. All four genes were expressed in both testis and ovary,
and preferentially within the germ cells of both sexes. These genes may be
essential players in salmon germ cell development, and could be important for
future studies aiming to understand and control reproduction. Mol. Reprod. Dev.
84: 76-87, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27893174
TI - Is there a relationship between objectively measured cognitive changes in
patients with solid tumours undergoing chemotherapy treatment and their health
related quality of life outcomes? A systematic review.
AB - BACKGROUND: This systematic review examines whether there is a relationship
between objective measures of chemotherapy-related cognitive impairment in
patients with solid cancer tumours and health-related quality of life (HRQoL).
METHODS: Multiple online databases were searched (including Ovid MEDLINE, EMBASE,
PsycINFO, PsycARTICLES, CINAHL, PubMed, and Web of Science) to identify articles
published between 1980 and 2016 examining the extent of chemotherapy-related
cognitive deficit and its relationship with HRQoL in cancer patients. Of 2769
potentially relevant articles, 17 studies met the inclusion criteria for the
current review. RESULTS: Evidence for the presence of cognitive impairment in
patients treated with chemotherapy was found in 15 of the 17 studies. Of the 15
studies finding some sort of cognitive impairment, 12 were in female breast
cancer patients, 2 in bowel cancer, and 1 each in ovarian and lung cancer. Three
of the 15 studies found a significant relationship between various objectively
measured cognitively impaired domains and specific HRQoL outcomes. There was,
however, only limited testing of the relationships between quantifiable cognitive
dysfunction and HRQoL domains. CONCLUSIONS: This review suggests that in patients
with solid tumours, where there is a relationship between chemotherapy treatment
and cognitive impairment, the type and level of cognitive decline does not
consistently appear to affect such patients' HRQoL. This could be partly
explained by variations in study design, measures used, definitions of cognitive
impairment, varying measurement time frames, small sample sizes, and differences
in disease severity and type of treatment regimes.
PMID- 27893175
TI - Noble-Metal-Free Hybrid Membranes for Highly Efficient Hydrogen Evolution.
AB - Free-standing and flexible WS2 /WO2.9 /C hybrid membranes are synthesized and
used as catalytic electrodes for electrochemical hydrogen evolution, exhibiting a
high and stable catalytic activity. By virtue of the synergetic effect, a low
onset overpotential of 20 mV and a Tafel slope of 36 mV dec-1 are achieved.
PMID- 27893176
TI - Desmopressin for treatment of platelet dysfunction and reversal of antiplatelet
agents: a systematic review and meta-analysis of randomized controlled trials.
AB - : Essentials The optimal management of patients with platelet dysfunction
undergoing surgery is unclear. This meta-analysis compared perioperative
administration of desmopressin to placebo. Desmopressin reduced red cell
transfusions, blood loss and risk of re-operation due to bleeding. There were too
few events to determine if there was a change in the risk of thrombotic events.
SUMMARY: Background Platelet dysfunction, including that caused by antiplatelet
agents, increases the risk of perioperative bleeding. The optimal management of
patients with platelet dysfunction undergoing surgery is unclear. Objectives To
assess whether desmopressin reduces perioperative allogeneic red cell transfusion
and bleeding in patients with platelet dysfunction. Patients/Methods We searched
for randomized controlled trials in The Cochrane Central Register of Controlled
Trials, MEDLINE, PubMed, Embase, the Transfusion Evidence Library and the ISI Web
of Science to 7th July 2016. Data were pooled using mean difference (MD),
relative risks or Peto odds ratios (pOR) using a random-effects model. Results
Ten trials with 596 participants were identified, all in the setting of cardiac
surgery. Platelet dysfunction was due to antiplatelet agents in six trials and
cardiopulmonary bypass in four trials. Patients treated with desmopressin were
transfused with fewer red cells (MD, -0.65 units; 95% Confidence Interval [CI],
1.16 to -0.13 units), lost less blood (MD, -253.93 mL; 95% CI, -408.01 to -99.85
mL) and had a lower risk of re-operation due to bleeding (pOR, 0.39; 95% CI, 0.18
0.84). The GRADE quality of evidence was very low to moderate, suggesting
considerable uncertainty over the results Conclusions Desmopressin may be a
useful agent to reduce bleeding and transfusion requirements for people with
platelet dysfunction or with a history of recent antiplatelet drug administration
undergoing cardiac surgery.
PMID- 27893177
TI - Efficient Charge Transfer and Fine-Tuned Energy Level Alignment in a THF
Processed Fullerene-Free Organic Solar Cell with 11.3% Efficiency.
AB - Fullerene-free organic solar cells show over 11% power conversion efficiency,
processed by low toxic solvents. The applied donor and acceptor in the bulk
heterojunction exhibit almost the same highest occupied molecular orbital level,
yet exhibit very efficient charge creation.
PMID- 27893178
TI - Comprehensive genetic characterization of rosette-forming glioneuronal tumors:
independent component analysis by tissue microdissection.
AB - A rosette-forming glioneuronal tumor (RGNT) is a rare mixed neuronal-glial tumor
characterized by biphasic architecture of glial and neurocytic components. The
number of reports of genetic analyses of RGNTs is few. Additionally, the genetic
background of the unique biphasic pathological characteristics of such mixed
neuronal-glial tumors remains unclear. To clarify the genetic background of
RGNTs, we performed separate comprehensive genetic analyses of glial and
neurocytic components of five RGNTs, by tissue microdissection. Two missense
mutations in FGFR1 in both components of two cases, and one mutation in PIK3CA in
both components of one case, were detected. In the latter case with PIK3CA
mutation, the additional FGFR1 mutation was detected only in the glial component.
Moreover, the loss of chromosome 13q in only the neurocytic component was
observed in one other case. Their results suggested that RGNTs, which are tumors
harboring two divergent differentiations that arose from a single clone, have a
diverse genetic background. Although previous studies have suggested that RGNTs
and pilocytic astrocytomas (PAs) represent the same tumor entity, their results
confirm that the genetic background of RGNTs is not identical to that of PA.
PMID- 27893179
TI - CtrA controls cell division and outer membrane composition of the pathogen
Brucella abortus.
AB - Brucella abortus is a pathogen infecting cattle, able to survive, traffic, and
proliferate inside host cells. It belongs to the Alphaproteobacteria, a
phylogenetic group comprising bacteria with free living, symbiotic, and
pathogenic lifestyles. An essential regulator of cell cycle progression named
CtrA was described in the model bacterium Caulobacter crescentus. This regulator
is conserved in many alphaproteobacteria, but the evolution of its regulon
remains elusive. Here we identified promoters that are CtrA targets using ChIP
seq and we found that CtrA binds to promoters of genes involved in cell cycle
progression, in addition to numerous genes encoding outer membrane components
involved in export of membrane proteins and synthesis of lipopolysaccharide.
Analysis of a conditional B. abortus ctrA loss of function mutant confirmed that
CtrA controls cell division. Impairment of cell division generates elongated and
branched morphologies, that are also detectable inside HeLa cells. Surprisingly,
abnormal bacteria are able to traffic to the endoplasmic reticulum, the usual
replication niche of B. abortus in host cells. We also found that CtrA depletion
affected outer membrane composition, in particular the abundance and spatial
distribution of Omp25. Control of the B. abortus envelope composition by CtrA
indicates the plasticity of the CtrA regulon along evolution.
PMID- 27893180
TI - Hybrid crosses and the genetic basis of interspecific divergence in lifespan in
Pristionchus nematodes.
AB - Characterizing the genetic basis of among-species variation in lifespan is a
major goal of evolutionary gerontology research, but the very feature that
defines separate species - the inability to interbreed - makes achieving this
goal impractical, if not impossible, for most taxa. Pristionchus nematodes
provide an intriguing system for tackling this problem, as female lifespan varies
among species that can be crossed to form viable (although infertile) hybrids. By
conducting reciprocal crosses among three species - two dioecious (long-lived
Pristionchus exspectatus and short-lived Pristionchus arcanus) and one
androdioecious (short-lived Pristionchus pacificus) - we found that female
lifespan was long for all hybrids, consistent with the hypothesis that the
relatively short lifespans seen for P. pacificus hermaphrodites and P. arcanus
females are caused by independent, recessive alleles that are masked in hybrid
genomes. Cross-direction had a small effect on survivorship for crosses involving
P. exspectatus, indicating that nuclear-mitochondrial interactions may also
influence Pristionchus longevity. Our findings suggest that long lifespan in P.
exspectatus reflects the realization of an ancestral potential for extended
longevity in the P. pacificus species complex. This work demonstrates the utility
of interspecific hybrids for ageing research and provides a foundation for future
work on the genetic architecture of interspecific lifespan variation.
PMID- 27893181
TI - Synaesthesia lost and found: two cases of person- and music-colour synaesthesia.
AB - Synaesthesia is a developmental condition involving cross-communication between
sensory modalities or substreams whereby an inducer (e.g. a sound) automatically
evokes a concurrent percept in another modality (e.g. a colour). Whether this
condition arises due to atypical structural connectivity (e.g., between normally
unconnected cortical areas) or altered neurochemistry remains a central question.
We report the exceptional cases of two synaesthetes - subjects AB and CD - both
of whom experience coloured auras around individuals, as well as coloured
perceptions in response to music. Both subjects have, in recent years, suffered a
complete loss or reduction of their synaesthetic experiences, one (AB) through
successive head traumas, including a lightning strike, followed by a number of
medications, and the other (CD) while taking anxiolytic medications. Using semi
structured interviews and data from the Synaesthesia Battery and a colourpicker
task, we characterize the phenomenological characteristics of their pre-loss
synaesthesia, as well as the subsequent restoration of each subject's
synaesthetic experiences (in the months post-trauma for AB, and after cessation
of medication for CD). Even after years of suppression, the patterns of
associations were highly consistent with those experienced pre-injury. The
phenomenological experience of synaesthesia can, thus, like most conscious
experiences, be modulated by pharmacologically diverse medications or head
injury. However, the underlying neural substrates mediating specific synaesthetic
pairings appear remarkably 'hard-wired' and can persist over very long periods
even under conditions that alter or completely suppress the conscious
synaesthetic experience itself.
PMID- 27893182
TI - Interindividual variability in dabigatran and rivaroxaban exposure: contribution
of ABCB1 genetic polymorphisms and interaction with clarithromycin.
AB - : Essentials Rivaroxaban and dabigatran are substrates of the P-glycoprotein (P
gp) encoded by the ABCB1 gene. We tested the effect of ABCB1 polymorphisms and of
a P-gp inhibitor on both drugs' pharmacokinetics. The ABCB1 genotype was not a
clinically relevant determinant of both drugs' pharmacokinetics. Administration
of P-gp inhibitors with dabigatran or rivaroxaban should be exercised with
caution. SUMMARY: Background The direct oral anticoagulants (DOACs) dabigatran
and rivaroxaban are both substrates of the P-glycoprotein (P-gp) transporter,
encoded by the ABCB1 gene. Rivaroxaban is metabolized by cytochrome P450 A4
(CYP3A4). Interindividual variability in DOAC exposure and frequent P-gp
associated drug-drug interactions have been described in patients. Objective To
assess the influence of ABCB1 polymorphisms on the pharmacokinetics of dabigatran
and rivaroxaban, associated or not with clarithromycin, a P-gp and CYP3A4
inhibitor. Methods Sixty healthy male volunteers, selected according to ABCB1
genotype (20 homozygous mutated, 20 heterozygous mutated, and 20 wild-type for
haplotype 2677-3435), were included in this randomized, two-center, crossover
study. All received sequentially a single dose of dabigatran etexilate (300 mg)
and rivaroxaban (40 mg) associated or not with clarithromycin. Peak plasma
concentration and area under the curve (AUC) were compared across the three ABCB1
genotypes. The effect of clarithromycin on dabigatran or rivaroxaban
pharmacokinetics was assessed. Results Interindividual coefficients of variation
for AUC were 77% for dabigatran and 51% for rivaroxaban. ABCB1 genotype did not
significantly affect drug pharmacokinetics: AUC ratios between mutant-allele
carriers and wild-type volunteers were 1.27 (95% confidence interval [CI] 0.84
1.92) and 1.20 (95% CI 0.96-1.51) for dabigatran and rivaroxaban, respectively.
Clarithromycin coadministration led to a two-fold increase in both drugs' AUC,
irrespective of ABCB1 genotype: ratios of geometric means were 2.0 (95% CI 1.15
3.60) and 1.94 (95% CI 1.42-2.63) for dabigatran and rivaroxaban, respectively.
Conclusions ABCB1 genotype is not a significant determinant of interindividual
variability in dabigatran and rivaroxaban pharmacokinetics. The levels of one
drug did not predict the levels of the other. Coadministration of a P-gp/CYP3A4
inhibitor with dabigatran or rivaroxaban may warrant caution in patients at risk
of overexposure.
PMID- 27893183
TI - Toxic effects of human and rodent variants of alpha-synuclein in vivo.
AB - In Parkinson's disease, abnormal alpha-synuclein (asyn) accumulation leads to the
formation of soluble oligomeric species thought to be toxic to cells as well as
intraneuronal inclusions. To date, the precise mechanisms leading to aggregation
of asyn in the brain is not well-understood. Previous studies in yeast,
drosophila, and transgenic mice suggested that a non-A beta component depleted
version of human asyn [h-asyn(D70-83)] or human beta-synuclein (h-bsyn),
naturally lacking this centrally located hydrophobic region, are less prone to
form aggregates in vitro and are expected to be less toxic compared to h-asyn in
vivo, although not all experimental studies unequivocally support the latter
view. To address this outstanding issue, we directly compared the neurotoxicity
of human asyn against that of h-asyn(D70-83), h-bsyn as well as rat asyn using an
adeno-associated viral vector to express these proteins in a dose-response study
where the vector load was varied over two orders of magnitude. By quantifying the
neurodegeneration of rat substantia nigra dopamine neurons here we show that h
asyn, h-bsyn, and h-asyn(D70-83) display comparable neurotoxicity across the
vector doses tested. On the other hand, rat asyn and GFP control vectors
displayed a different profile, where no detectable neurodegeneration was seen
except at the highest vector titer. Thus, the two main conclusions of our study
are that (i) deletion of the central hydrophobic region in h-asyn is not
sufficient to alter its neurotoxic properties and (ii) expression of the widely
used GFP control protein can cause measurable neurodegeneration at high titers.
PMID- 27893184
TI - Electrocardiogram Alterations Associated With Psychotropic Drug Use and CACNA1C
Gene Variants in Three Independent Samples.
AB - Several antipsychotics and antidepressants have been associated with QTc
prolongation or other electrocardiogram (ECG) alterations, but their impact is
still debated and other risk factors are known to affect QTc. We investigated the
effect of antidepressants and antipsychotics on QTc and other ECG intervals/waves
in three samples. Two discovery samples (cross-sectional sample n = 145 and
prospective sample n = 68, naturalistic treatment) and a replication prospective
sample (Clinical Antipsychotic Trials of Intervention Effectiveness, n = 515,
randomized treatment) were analysed. In both prospective samples, baseline/follow
up changes in ECG parameters were analysed in relation to the number of
psychotropic drugs stratified according to their known cardiovascular risk. In
the cross-sectional sample, ECG parameters were compared among drugs with
different risk profile. The possible effect of single nucleotide polymorphisms
(SNPs) in the CACNA1C gene on QTc was also investigated. There was no evidence of
mean QTc prolongation or increased risk of clinically relevant QTc prolongation
(>=20 msec.) in association with psychotropic drugs stratified according to their
known cardiovascular risk. The prescription of drugs with cardiovascular risk was
less common in older individuals or individuals with cardiovascular
comorbidities. Other factors (gender, baseline QTc, renal function) affected QTc.
rs1006737 and SNPs in linkage disequilibrium with it modulated QTc
duration/changes in all samples. An association between risk drugs and shorter RR
interval or higher heart rate was found in all samples. A relevant effect of
psychotropic drugs with cardiovascular risk on QTc duration was not observed. A
number of factors other than psychotropic drugs may influence QTc. CACNA1C
rs1006737 may modulate QTc in patients treated with psychotropic drugs.
PMID- 27893185
TI - Women's Pathways to Abortion Care in South Carolina: A Qualitative Study of
Obstacles and Supports.
AB - CONTEXT: Women seeking timely and affordable abortion care may face myriad
challenges, including high out-of-pocket costs, transportation demands,
scheduling difficulties and stigma. State-level regulations may exacerbate these
burdens and impede women's access to a full range of care. Women's reports of
their experiences can inform efforts to improve pathways to abortion care.
METHODS: In 2014, semistructured qualitative interviews were conducted with 45
women obtaining abortions in South Carolina, which has a restrictive abortion
environment. Interviews elicited information about women's pathways to abortion,
including how they learned about and obtained care, whether they received
professional referrals, and the supports and obstacles they experienced.
Transcripts were examined using thematic analysis to identify key themes along
the pathways, and a process map was constructed to depict women's experiences.
RESULTS: Twenty participants reported having had contact with a health
professional or crisis pregnancy center staff for pregnancy confirmation, and
seven of them received an abortion referral. Women located abortion clinics
through online searches, previous experience, and friends or family. Financial
strain was the most frequently cited obstacle, followed by transportation
challenges. Women reported experiencing emotional strain, stress and stigma, and
described the value of receiving social support. Because of financial pressures,
the regulation with the greatest impact was the one prohibiting most insurance
plans from covering abortion care. CONCLUSIONS: Further research on experiences
of women seeking abortion services, and how these individuals are affected by
evolving state policy environments, will help shape initiatives to support
timely, affordable and safe abortion care in a climate of increasing
restrictions.
PMID- 27893187
TI - "To Twist or Not to Twist": Figure-of-Eight and Planar Structures of Octaphyrins.
AB - Amongst the various porphyrinoids, octaphyrin has attracted significant attention
owing to its diverse syntheses, conformations, and metal-ligation properties.
Octaphyrin is a higher homologue of porphyrin and is formed by linking together
heterocycles such as pyrrole, furan, thiophene, and selenophene through alpha
alpha or alpha-meso carbon bonds. The planar conformation is mainly achieved
through inversion of the heterocyclic units from the center of macrocycle;
avoiding meso-bridges; introducing a para-quinodimethane bridge; employing a neo
confusion approach; protonation; and by generating dianionic species. In this
Focus Review, recent synthetic advancements in the field of octaphyrins are
summarized. The twisted conformation of the octaphyrin binds to two metal ions in
a tetracoordinate geometry. The diphosphorus complex of octaphyrin represents the
first example of a stable expanded isophlorin.
PMID- 27893186
TI - The relative influence of hematocrit and red blood cell velocity on oxygen
transport from capillaries to tissue.
AB - OBJECTIVE: Oxygen transport to parenchymal cells occurs mainly at the
microvascular level and depends on convective RBC flux, which is proportional in
an individual capillary to the product of capillary hematocrit and RBC velocity.
This study investigates the relative influence of these two factors on tissue PO2
. METHODS: A simple analytical model is used to quantify the respective
influences of hematocrit, RBC velocity, and RBC flow on tissue oxygenation around
capillaries. Predicted tissue PO2 levels are compared with a detailed
computational model. RESULTS: Hematocrit is shown to have a larger influence on
tissue PO2 than RBC velocity. The effect of RBC velocity increases with distance
from the arterioles. Good agreement between analytical and numerical results is
obtained, and the discrepancies are explained. Significant dependence of MTCs on
RBC velocity at low hematocrit is demonstrated. CONCLUSIONS: For a given RBC flux
in a capillary, the PO2 in the surrounding tissue increases with increasing
hematocrit, as a consequence of decreasing IVR to diffusive oxygen transport from
RBCs to tissue. These results contribute to understanding the effects of blood
flow changes on oxygen transport, such as those that occur in functional
hyperemia in the brain.
PMID- 27893188
TI - DEK oncogene is overexpressed during melanoma progression.
AB - DEK is an oncoprotein involved in a variety of cellular functions, such as DNA
repair, replication, and transcriptional control. DEK is preferentially expressed
in actively proliferating and malignant cells, including melanoma cell lines in
which DEK was previously demonstrated to play a critical role in proliferation
and chemoresistance. Still, the impact of this protein in melanoma progression
remains unclear. Thus, we performed a comprehensive analysis of DEK expression in
different melanocytic tumors. The immunostaining results of 303 tumors
demonstrated negligible DEK expression in benign lesions. Conversely, malignant
lesions, particularly in metastatic cases, were largely positive for DEK
expression, which was partially associated with genomic amplification.
Importantly, DEK overexpression was correlated with histological features of
aggressiveness in primary tumors and poor prognosis in melanoma patients. In
conclusion, our study provides new insight into the involvement of DEK in
melanoma progression, as well as proof of concept for its potential application
as a marker and therapeutic target of melanoma.
PMID- 27893189
TI - A first population-based long-term outcome study in adults with repaired
tetralogy of Fallot in Malta.
AB - OBJECTIVES: To determine overall and reintervention-free survival for repaired
Maltese tetralogy of Fallot patients and to investigate the potential impact of
gender, age at repair, genetic syndromes, previous palliation, and type of repair
on these outcomes. DESIGN: All 130 tetralogy of Fallot patients born before the
end of 1997 included in the local database were extracted. Surgical repair type,
age at repair and operative survival were analyzed among the 103/130 repaired
patients. Kaplan-Meier survival analyses were performed on the 75 repair
survivors with complete follow-up data (mean follow-up 26.37 +/- 9.27 (range 9.95
51.21) years). RESULTS: Patients born after 1985 were operated at a younger age
(median 1.28 years) compared with patients born before 1985 (median 9.64 years)
(P < .001). Transannular patch repair was the commonest operation among patients
born after 1985 (43.90%), while repair without transannular patch use prevailed
among those born before 1985 (66.13%). 90.24% of patients born after 1985
survived reparative surgery compared with 70.37% of those born before 1985. Of
the 75 repair survivors, 7 (9.33%) died of cardiac causes and 22 (29.33%) needed
reintervention during follow-up. Overall estimated mean survival was 45.56 years
(95% CI 41.67-49.24) with estimated survival rate of 77.5% at 40 years from
repair. Estimated mean reintervention-free survival was 37.71 years (95% CI 33.75
41.66) with estimated reintervention-free survival rate of 59.2% at 40 years.
Patients with genetic syndromes had significantly lower overall survival after
repair. Transannular patch repair was associated with significantly lower
reintervention-free survival (median 32.37 years (95% CI 12.75-51.99)) compared
with repair without transannular patch [median 44.21 years (95% CI 43.06-45.35);
P = .03]. CONCLUSIONS: Although survival after tetralogy of Fallot repair in
contemporary patients is very good, cardiac death can occur at any stage and
structural reintervention is common. Regular follow-up with imaging and rhythm
monitoring remains of utmost importance in all patients.
PMID- 27893190
TI - A High-Performance Lithium-Ion Capacitor Based on 2D Nanosheet Materials.
AB - Lithium-ion capacitors (LICs) are promising electrical energy storage systems for
mid-to-large-scale applications due to the high energy and large power output
without sacrificing long cycle stability. However, due to the different energy
storage mechanisms between anode and cathode, the energy densities of LICs often
degrade noticeably at high power density, because of the sluggish kinetics
limitation at the battery-type anode side. Herein, a high-performance LIC by well
defined ZnMn2 O4 -graphene hybrid nanosheets anode and N-doped carbon nanosheets
cathode is presented. The 2D nanomaterials offer high specific surface areas in
favor of a fast ion transport and storage with shortened ion diffusion length,
enabling fast charge and discharge. The fabricated LIC delivers a high specific
energy of 202.8 Wh kg-1 at specific power of 180 W kg-1 , and the specific energy
remains 98 Wh kg-1 even when the specific power achieves as high as 21 kW kg-1 .
PMID- 27893191
TI - Young Investigator Challenge: Molecular testing in noninvasive follicular thyroid
neoplasm with papillary-like nuclear features.
AB - BACKGROUND: Molecular testing provides an important ancillary study for thyroid
nodules with indeterminate cytology. The nomenclature shift to "noninvasive
follicular thyroid neoplasm with papillary-like nuclear features" (NIFTP) will
impact the performance of molecular tests. For the current study, the authors
reviewed the performance of the Afirma gene-expression classifier (GEC) and the
University of Pittsburgh Medical Center (UPMC) targeted mutation panel tests in
thyroid nodules that were subsequently diagnosed as NIFTP on surgical resection.
METHODS: In total, 302 nodules were sent for molecular testing between June 2012
and June 2016. These cases were retrospectively reviewed to identify patients who
underwent subsequent surgical resection and were diagnosed with follicular
variant of papillary thyroid carcinoma (FVPTC). Twenty-five nodules that were
diagnosed as FVPTC met the initial inclusion criteria. These cases were reviewed
using strict criteria to identify NIFTP. RESULTS: Eight cases met criteria for
NIFTP, and 4 NIFTPs underwent Afirma testing. Cytology diagnoses were all
Bethesda category III, with 3 diagnosed as atypia of undetermined significance
(AUS) and 1 diagnosed as follicular lesion of undetermined significance (FLUS).
All of these nodules were identified as "suspicious" using GEC. Four NIFTPs
underwent testing at UPMC, all using ThyroSeq V2. The cytology diagnoses for
these nodules also were category III, with the exception of 1 nodule that was
category IV, suspicious for follicular neoplasm. All NIFTPs were positive for
mutations, all of which were RAS mutations (NRAS, KRAS). One patient who had a
nodule classified as NIFTP had metastatic carcinoma identified in a lymph node.
Another who had a 6-cm tumor had coexisting NRAS and TERT mutations. CONCLUSIONS:
The current results indicate that NIFTP is a rare tumor if defined by strict
criteria, that both the GEC and UPMC methods indicate abnormalities in NIFTP, and
further independent study will be needed to better characterize the molecular and
clinical characteristics of NIFTP. Cancer Cytopathol 2016;124:893-900. (c) 2016
American Cancer Society.
PMID- 27893192
TI - Serial cardiac MRIs in adult Fontan patients detect progressive hepatic
enlargement and congestion.
AB - BACKGROUND: The progression of hepatic disease in adult Fontan patients is not
well understood. They reviewed the experience with serial cardiac MRIs (CMR) in
adult Fontan patients to determine if hepatic anatomic markers of prolonged
Fontan exposure were present and if clinical predictors of progressive hepatic
congestion could be identified. METHODS AND RESULTS: A retrospective cohort study
of all adult Fontan patients who had undergone at least two CMRs was performed.
Hepatic dimensions, inferior vena cava (IVC) size, right hepatic vein (RHV) size
and spleen diameter were determined from images acquired at the time of
clinically guided CMR. Two radiologists with expertise in hepatic imaging graded
congestion and liver size independently using post-gadolinium contrast sequences.
Twenty-seven patients met inclusion criteria. Over a mean time of 5.1 years
between CMRs, there was a significant increase in mean lateral-medial hepatic
dimension (P = .005), mean RHV diameter (P = .004), and mean splenic diameter (P
= .001). Serial post-gadolinium imaging was available in 25/27 (93%) patients of
which 15/27 (55%) showed evidence of progressive hepatic congestion across serial
studies. Progressive hepatic congestion was associated with single ventricle
ejection fraction (SVEF) less than 50% (P = .008), and larger indexed end
diastolic (EDVI) and end-systolic volume (ESVI). RHV diameter was the only
anatomic variable significantly correlated with time from Fontan completion (P =
.004). CONCLUSIONS: Serial CMRs detected progressive liver and hepatic vein
enlargement in our cohort of adult Fontan patients over a mean time of 5.2 years.
Progressive hepatic congestion occurs in a significant number of adult Fontan
patients and may be associated with ventricular enlargement and decreased
ventricular function by CMR.
PMID- 27893193
TI - The oil-contaminated soil diazotroph Azoarcus olearius DQS-4T is genetically and
phenotypically similar to the model grass endophyte Azoarcus sp. BH72.
AB - The genome of Azoarcus olearius DQS-4T , a N2 -fixing Betaproteobacterium
isolated from oil-contaminated soil in Taiwan, was sequenced and compared with
other Azoarcus strains. The genome sequence showed high synteny with Azoarcus sp.
BH72, a model endophytic diazotroph, but low synteny with five non-plant
associated strains (Azoarcus CIB, Azoarcus EBN1, Azoarcus KH32C, A. toluclasticus
MF63T and Azoarcus PA01). Average Nucleotide Identity (ANI) revealed that DQS-4T
shares 98.98% identity with Azoarcus BH72, which should now be included in the
species A. olearius. The genome of DQS-4T contained several genes related to
plant colonization and plant growth promotion, such as nitrogen fixation, plant
adhesion and root surface colonization. In accordance with the presence of these
genes, DQS-4T colonized rice (Oryza sativa) and Setaria viridis, where it was
observed within the intercellular spaces and aerenchyma mainly of the roots.
Although they promote the growth of grasses, the mechanism(s) of plant growth
promotion by A. olearius strains is unknown, as the genomes of DQS-4T and BH72 do
not contain genes for indole acetic acid (IAA) synthesis nor phosphate
solubilization. In spite of its original source, both the genome and behaviour of
DQS-4T suggest that it has the capacity to be an endophytic, nitrogen-fixing
plant growth-promoting bacterium.
PMID- 27893195
TI - Photodynamic therapy for the treatment of atherosclerotic plaque: Lost in
translation?
AB - Acute coronary syndrome is a life-threatening condition of utmost clinical
importance, which, despite recent progress in the field, is still associated with
high morbidity and mortality. Acute coronary syndrome results from a rupture or
erosion of vulnerable atherosclerotic plaque with secondary platelet activation
and thrombus formation, which leads to partial or complete luminal obstruction of
a coronary artery. During the last decade, scientific evidence demonstrated that
when an acute coronary event occurs, several nonculprit plaques are in a
"vulnerable" state. Among the promising approaches, several investigations
provided evidence of photodynamic therapy (PDT)-induced stabilization and
regression of atherosclerotic plaque. Significant development of PDT strategies
improved its therapeutic outcome. This review addresses PDT's pertinence and
major problems/challenges toward its translation to a clinical reality.
PMID- 27893194
TI - Incidence, morphology, and progression of bicuspid aortic valve in pediatric and
young adult subjects with coexisting congenital heart defects.
AB - BACKGROUND: Bicuspid aortic valve (BAV) occurs both as an isolated cardiac lesion
and in association with congenital heart defects (CHD). Their aim was to identify
the incidence and morphology of BAV in patients with coexisting CHD and compare
their disease progression to patients with isolated BAV. METHODS: The Mayo Clinic
echocardiography database was retrospectively analyzed to identify pediatric and
young adult patients (<=22 years) who were diagnosed with BAV from 1990 to 2015.
The morphology of BAV was determined from the echocardiographic studies before
any intervention. RESULTS: Overall, 1010 patients with BAV were identified, 619
(61%) with isolated BAV and 391 (39%) with BAV and coexisting CHD. The incidence
of BAV was highest in patients with coarctation of the aorta (36%) and
interrupted aortic arch (36%). In comparison to patients with isolated BAV,
patients with BAV and left-sided obstructive lesions more frequently had right
left cusp fusion (P = .0001). BAV in patients with right-sided obstructive
lesions was rare, but they more frequently had right-noncoronary or left
noncoronary cusp fusion (P = .01). No significant progression of aortic stenosis
or regurgitation was observed in patients with BAV and coexisting CHD; however in
patients with isolated BAV the severity of aortic regurgitation increased with
age. In patients with isolated BAV, the ascending aorta diameter (z-score)
increased with age, peaked around 8-9 years of age, and was larger in comparison
to patients with BAV and coexisting CHD. The sinus of Valsalva diameter (z-score)
in patients with BAV and ventricular septal defect was larger than isolated BAV
patients after 18 years (P < .04). CONCLUSIONS: The morphology of BAV, the
pattern and progression of aortic dilatation, and the severity of aortic valve
disease vary in pediatric and young adult patients with BAV and coexisting CHD.
However, there was no significant BAV disease progression when associated with
these CHD.
PMID- 27893196
TI - Guiding hMSC Adhesion and Differentiation on Supported Lipid Bilayers.
AB - Mesenchymal stem cells (MSCs) are intensively investigated for regenerative
medicine applications due to their ease of isolation and multilineage
differentiation capacity. Hence, designing instructive microenvironments to guide
MSC behavior is important for the generation of smart interfaces to enhance
biomaterial performance in guiding desired tissue formation. Supported lipid
bilayers (SLBs) as cell membrane mimetics can be employed as biological
interfaces with easily tunable characteristics such as biospecificity, mobility,
and density of predesigned ligand molecules. Arg-Gly-Asp (RGD) ligand
functionalized SLBs are explored for guiding human MSC (hMSC) adhesion and
differentiation by studying the effect of changes in ligand density and mobility.
Cellular and molecular analyses show that adhesion occurs through specific
interactions with RGD ligands where the extent is positively correlated to
changes in ligand density. Furthermore, cell area is significantly regulated by
ligand density on ligand-mobile SLBs when compared to ligand-immobile SLBs.
Finally, the osteogenic differentiation capacity of hMSCs is positively
correlated to ligand density on ligand-mobile SLBs indicating that regulation of
cell spreading is linked to cell differentiation capacity. These results
demonstrate that hMSC behavior can be directed on SLBs by molecular design and
presents SLBs as versatile platforms for future engineering of smart biomaterial
coatings.
PMID- 27893197
TI - Importance to question sinonasal symptoms and to perform rhinoscopy and
rhinomanometry in cystic fibrosis patients.
AB - OBJECTIVES: Cystic fibrosis (CF) patients almost regularly reveal sinonasal
pathology. The purpose of this study was to assess association between objective
and subjective measurements of sinonasal involvement comparing nasal airflow
obtained by active anterior rhinomanometry (AAR), nasal endoscopic findings, and
symptoms assessed with the Sino-Nasal Outcome Test-20 (SNOT-20). METHODS: Nasal
cavities were explored by anterior rigid rhinoscopy and findings were compared to
inspiratory nasal airflow measured by AAR to quantify nasal patency and
subjective health-related quality of life in sinonasal disease obtained with the
SNOT-20 questionnaire. Relations to upper and lower airway colonization with
Pseudomonas aeruginosa, medical treatment, and sinonasal surgery were analysed.
RESULTS: A total of 124 CF patients were enrolled (mean age 19.9 +/- 10.4 years,
range 4-65 years). A significant association of detection of nasal polyposis (NP)
in rhinoscopy was found with increased primary nasal symptoms (PNS) which include
"nasal obstruction," "sneezing," "runny nose," "thick nasal discharge," and
"reduced sense of smell." At the same time patients with pathologically decreased
airflow neither showed elevated SNOT-20 scores nor abnormal rhinoscopic findings.
Altogether, rhinomanometric and rhinoscopic findings are not significantly
related. CONCLUSIONS: Among SNOT-20 scores the PNS subscore is related to
rhinoscopically detected polyposis and sinonasal secretion. Therefore, we
recommend including short questions regarding PNS into CF-routine care. At the
same time our results show that a high inspiratory airflow is not associated with
a good sensation of nasal patency. Altogether, rhinomanometry is not required
within routine CF-care, but it can be interesting as an outcome parameter within
clinical trials. Pediatr Pulmonol. 2017;52:167-174. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27893198
TI - Clinical Application of Ultrasound-Guided Thyroid Fine NeedleAspiration Biopsy
and Thinprep Cytology Test in Diagnosis ofThyroid Disease
AB - Purpose: To study the clinical application value of ultrasound guided thyroid
fine needle aspiration biopsy andthinprep cytology testing in diagnosis of
thyroid disease. Methods: A total of 78 patients with thyroid nodules
wereenrolled, 34 males and 44 females, aged 33-64 years old with mean age of 47.6
years. All underwent thyroid modulefine needle puncture after surgery to assess
cell pathology and histopathological features. Results: Sufficient specimenswere
obtained from all of 78 patients, the cytological results of 73 cases (93.6 %)
being consistent with pathologicalresults. While 20 cases (25.6 %) were malignant
tumors, 44 (56.4 %) were benign and 9 (11.5 %) were non-tumor lesions.The
sensitivity of benign and malignant thyroid nodule by thyroid fine needle
puncture was 90.9 %, specificity was98.1 % and the positive predictive value was
96.3 %. Conclusions: It is demonstrated that ultrasound-guided thyroidfine needle
aspiration biopsy and thinprep cytology testing have diagnostic value in clinical
application for thyroiddisease,showing good diagnostic coincidence rates with
histopathological examination. They can thus be regarded assafe and effective for
preoperative diagnosis and providing an appropriate basis for selection of
surgery.
PMID- 27893199
TI - Prognostic Factors in Stage III Non-Small-Cell Lung CancerPatients
AB - Aim: The objective of this study is to investigate prognostic factors affecting
survival of patients undergoingconcurrent or sequential chemoradiotherapy (CRT)
for stage III non-small-cell lung cancer (NSCL). Methods andmaterials: We
retrospectively reviewed the clinical records of 148 patients with advanced,
inoperable stage IIINSCLC, who were treated between 2007 and 2015. Results: The
median survival was found to be 19 months and3-year overall survival was 27%. Age
(<65 vs >=65 years, p=0.026), stage (IIIA vs IIIB, p=0.033), dose of
radiotherapy(RT) (<60 vs >=60 Gy, p=0.024) and treatment method (sequential
chemotherapy+RT vs concurrent CRT , p=0.023)were found to be factors affecting
survival in univariate analyses. Gender, histological subtype, weight loss
duringCRT, performance status, induction/consolidation chemotherapy and presence
of comorbidities did not affect survival(p>0.050). Conclusion: Young age, stage
IIIA, radiotherapy dose and concurrent chemoradiotherapy may positivelyaffect
survival in stage III NSCL cases.
PMID- 27893200
TI - Clinical Impact of Overexpression of FOXP3 and WT1 onDisease Outcome in Egyptian
Acute Myeloid Leukemia Patients
AB - Background: In the last decade, it has become clear that change of gene
expression may alter the hematopoietic cellquiescent state and consequently play
a major role in leukemogenesis. WT1 is known to be a player in acute
myeloidleukemia (AML) and FOXP3 has a crucial role in regulating the immune
response. Objectives: To evaluate the impactof overexpression of WT1and FOXP3
genes on clinical course in adult and pediatric AML patients in Egypt.
Patientsand methods: Bone marrow and peripheral blood samples were obtained from
97 de novo non M3 AML patients(63 adult and 34 pediatric). Real-time quantitative
PCR was used to detect overexpression WT1 and FOXP3 genes.Patient follow up
ranged from 0.2 to 39.0 months with a median of 5 months. Results: In the
pediatric group; WT1was significantly expressed with a high total leukocyte count
median 50X109/L (p=0.018). In the adult group, WT1had an adverse impact on
complete remission induction, disease-free survival and overall survival (p=0.02,
p=0.035,p=0.019 respectively). FOXP3 overexpression was associated with FAB
subtypes AML M0 +M1 vs. M2, M4+M5 (p=0.039) and the presence of hepatomegaly
(p=0.005). Conclusions: WT1 and FOXP3 overexpression has an adverseimpact on
clinical presentation, treatment response and survival of pediatric and adult
Egyptian AML patients.
PMID- 27893202
TI - Role of GSTM1 Copy Number Variant in the Prognosis ofThai Colorectal Cancer
Patients Treated with 5-FU-basedChemotherapy
AB - Background: Glutathione S-transferase M1 (GSTM1) is involved in the
detoxification of carcinogenic agents.DNA copy number variants of GSTM1 may be
associated with cancer progression and may result in reduced survivaltime of
various cancers. Determination of DNA copy number variants was here used to
assess the associationbetween GSTM1 copy number variant and pathological status
and survival time of colorectal-cancer patients treatedwith 5-fluorouracil-based
chemotherapy. Methods: One hundred thirteen Thai colorectal-cancer patients
wereinvestigated for GSTM1 copy number variant by real-time PCR. Relationships
between gene copy number variantsand clinico-pathological parameters were
determined. Result: Associations were evident between GSTM1 copynumber and stage
of tumor (P = 0.026) and metastasis at diagnosis (P = 0.049), with odds ratio
values of 0.2 and 0.3respectively. Conclusions: GSTM1 copy number variant was
here not related with reduced overall survival for thecolorectal-cancer patients
receiving 5-FU-based chemotherapy.
PMID- 27893201
TI - Effects of Tobacco Smoking on the Dorsum of the Tongue andBuccal Epithelium
AB - Objective: The aim of this study was to assess the effects of tobacco smoking on
the dorsum of the tongue andbuccal epithelium. Methodology: This case control
cross-sectional study was conducted with 174 smoking andnon-smoking volunteers
living in the city of Hail, Northern KSA. Cytological Materials were obtained
from buccalmucosa and dorsum of the tongue, and assessed using cytopathological
methods. Results: In buccal smears, cytologicalatypia was observed in 17 out of
101 (16.8%) smoker cases but only 3/73(4.1%) of the controls. For cytological
atypiain buccal and tongue smears, the adjusted odd ratio (OR) and the 95%
confidence interval (CI) were found to be 4.7(1.3-16.8), P < 0.016)) and 4.3
(0.93- 20.2), P <0.06)), respectively, in the two sites. Conclusion: Tobacco
smokingis a major risk factor for occurrence of cytological atypia, which might
subsequently develop into oral precancerousand cancerous lesions. Oral
exfoliative cytology is an easy and cheap non-invasive procedure which appears
highlysuitable for screening populations at risk of developing oral cancer.
PMID- 27893203
TI - High Mortality Rate of Stomach Cancer Caused Not by HighIncidence but Delays in
Diagnosis in Aomori Prefecture, Japan
AB - Background: There are substantial differences in the mortality rates of stomach
cancer among the 47 prefecturesin Japan, and Aomori prefecture is one of the most
severely impacted. The aims of this study were to determine theincidence and
mortality rates of stomach cancer in Aomori prefecture in comparison with Japan
as a whole and castlight on reasons underlying variation. Methods: Data on
stomach cancer cases were extracted from the Aomori CancerRegistry Database.
Incidence rates for specific stages at the time of diagnosis were cited from
Monitoring of CancerIncidence in Japan, and mortality rates for stomach cancer in
Aomori prefecture and the whole of Japan were obtainedfrom Vital Statistics. Age
standardised incidence and mortality rates were calculated using the direct
method. Results:The age-standardised incidence rate of stomach cancer in Aomori
prefecture was higher than in the whole of Japan formales but lower for females.
However, the age-standardised mortality rates were higher in Aomori prefecture in
bothsexes. The proportion of localised cancers was lower in Aomori prefecture
than in the whole of Japan for most agegroups. Conclusions: The lower rate for
localised cancer suggests that higher age-standardised mortality rates are dueto
delays in diagnosis, despite an attendance rate for stomach cancer screening was
higher in Aomori prefecture thanin the whole of Japan. One plausible explanation
for the failure of successful early detection might be poor qualitycontrol during
screening implementation that impedes early detection.
PMID- 27893204
TI - Estimating the Economic Burden of Lung Cancer in Iran
AB - Objectives: Lung cancer is a major public health problem and one of the most
costly illnesses. The study aimedto estimate the economic burden of lung cancer
in Iran in 2014. Methods: A cross-sectional study was conducted toestimate the
direct and indirect costs for patients with lung cancer using a prevalence-based
approach. A human capitalapproach was employed to estimate the indirect costs.
Data were obtained from several sources such as through patientinterview using
structured questionnaire, medical records, the GLOBOCAN databases, the Iranian
Statistical Center,the Iranian Ministry of Cooperation, Labor and Social Welfare,
and the Institute for Health Metrics and Evaluation(IHME). Results: The economic
burden of lung cancer in Iran in the year 2014 was 3,225,998,555,090 IR. The
maincomponents of the cost were associated with mortality (81.9 %) and
hospitalization (7.6 %). The costs of direct medicalcare, non-medical aspects,
patient time, and mortality accounted for 10.8%, 2.7%, 4.5%, and 81.5% of the
total cost,respectively. Conclusion: Findings from this study indicated that the
economic burden of lung cancer is substantialboth to Iran's health system and to
society as a whole. Early diagnosis, strengthening cancer prevention,
implementingnew cancer therapy and medical technology, and effective smoking
cessation interventions could offset some of thecosts associated with lung cancer
in Iran.
PMID- 27893205
TI - Patterns of Recurrence after Resection of Mass-Forming TypeIntrahepatic
Cholangiocarcinomas
AB - Background: Intrahepatic cholangiocarcinoma (IHCCA) is an aggressive tumor for
which surgical resection isa mainstay of treatment. However, recurrence after
resection is common associated with a poor prognosis. Studiesregarding recurrence
of mass-forming IHCCA are rare; therefore, we investigated the pattern with our
dataset. Methods:We retrospectively reviewed the medical and pathological records
of 50 mass-forming IHCCA patients who underwenthepatic resection between January
2004 and December 2009 in order to determine the patterns of recurrence
andprognosis. All demographic and operative parameters were analyzed for their
effects on recurrence-free survival.Results: The median recurrence-free survival
time was 188 days (95%CI: 149-299). The respective 1-, 2-, and 3-yearrecurrence
free survival rates were 16.2% (95%CI: 6.6-29.4), 5.4% (95%CI: 1.0-15.8) and 2.7%
(95%CI: 0.2-12.0).There was an equal distribution of recurrence at solitary and
multiple sites. Univariate analysis revealed no factorsrelated to recurrence-free
survival.Conclusion: The overall survival and recurrence-free survival after
surgery formass-forming IHCCA were found to be very poor. Almost all recurrences
were detected within 2 years after surgery.Adjuvant chemotherapy after surgery
may add benefit in the affected patients.
PMID- 27893206
TI - No Significant Association between Human Papillomavirusand Breast Cancer,
Sanandaj, Iran
AB - Background and Objective: Any role of human papillomavirus (HPV) in the
development of breast cancer isconjectural. The aim of this study was to
investigate possible links between HPV and breast cancer in women, Sanandaj,Iran.
Methods: In this case-control study, 70 formalin fixed and paraffin embedded
blocks of breast malignant tumorsas a case group and 70 blocks of lesions without
malignancy were selected as controls. Sections about 10 MUm thickwere prepared.
After removing the paraffin, DNA was extracted. Samples were tested by PCR using
general and highriskspecific HPV primers. Results: All 70 malignant breast tumors
(cases) were invasive ductal carcinomas, and ofthe 70 controls, 17 (24.3%) were
fibrocystic tumors and 53 (75.7%) fibroadenomas. The age range of women in
thecase group was 25-72 years old and in the control group It was13-66 years.
Using HPV general primers two sampleswere positive in the case group, confirmed
to be HPV-18 using high-risk specific primers. Conclusion: No
statisticallysignificant association was found between breast cancer and HPV. It
is necessary to confirm this result by furtherinvestigations in other
populations.
PMID- 27893207
TI - Prevalence and Risk Factors for Helicobacter Pylori Infection among Healthy
Inhabitants in Northern Jakarta, Indonesia
AB - Background: The prevalence of Helicobacter pylori (H. pylori) infection in
Indonesia has been reported to beexceedingly low. The purpose of our study was to
confirm whether this is the case in Northern Jakarta using a sensitive13C-urea
breath test (UBT), and to examine any associations with lifestyle/environment
factors and potential routesof transmission. Methods: We recruited a total of 196
subjects from a low-income community in Northern Jakarta,Indonesia, data from 193
who completed a questionnaire about their lifestyle/environment and had UBT being
includedas the final. Odds ratios (ORs) adjusted for sex and age with 95%
confidence intervals (CIs) were calculated using alogistic regression model.
Results: The overall H. pylori infection rate was 15.0% (95%CI, 10.3-20.9), with
variationamong Javanese (9.1%, total=77), Buginese (40.0%, 35), Betawi (9.1%,
33), Sundanese (3.7%, 27), and Batak (40.0%,5). On multivariate analysis, the ORs
for intake of soybean milk, cucumber more than once a week, infrequent
handwashing practice before meals, and alcohol consumption were 0.10 (95%CI: 0.01
0.97), 6.61 (95%CI: 1.87-23.3), 4.10(95%CI: 1.15-14.6), and 61.9 (95%CI: 1.67
2300.8), respectively. Rates for Buginese (OR=7.84; 95%CI: 1.82-33.8)and Batak
(OR=20.1; 95%CI: 1.90-213.2) were significantly higher than for Javanese.
Conclusions: The H. pyloriinfection rate in this study was relatively low, in
line with previous studies. Regarding ethnicity factors, Buginese andBatak
reported eating food using fingers more frequently than Javanese, Betawi, and
Sundanese. Our study indicatedthat person-person transmission is possible in this
low prevalence area. The low infection rates for H. pylori amongJavanese, Betawi,
and Sundanese ethnics could be partly due to their sanitary practices.
PMID- 27893208
TI - Kanahia Laniflora Methanolic Extract Suppressed Proliferation of Human Non-Small
Cell Lung Cancer A549 Cells
AB - Introduction: Lung cancer is one of the most common cancers worldwide. In certain
countries such as UnitedStates of America, it is the leading cause of related
cancer mortality among both men and women. Natural productsplay an important role
in overcoming the limitations of chemotherapy and radiotherapy. Objectives: In
this study,we investigated the antiproliferative and apoptotic activities of
Kanahia laniflora methanolic extract against humannon-small cell lung cancer
cells (A549). Methods: Sulforhodamine B colorimetric assays were used to
determinethe inhibitory effects of a leaf methanolic extract against A549 cells.
Results: The extract showed strong cytotoxicactivity against A549 cells with an
IC50 value of 0.13 MUg/ml compared to 0.21 MUg/ml for doxorubicin. The extract
alsosignificantly increased the percentage of apoptotic cells to 49.7% as
compared to 1.4% and 47.4% for control anddoxorubicin respectively. Conclusion:
These results showed, for the first time, that a methanolic extract of
Kanahialaniflora leaves can inhibit the proliferation of human non-small cell
lung cancer cells (A549). Further attention to itspotential as a new effective
anticancer agent is warranted.
PMID- 27893209
TI - Determination of Metal Levels in Shamma (Smokeless Tobacco) with Inductively
Coupled Plasma Mass Spectrometry (ICP-MS) in Najran, Saudi Arabia
AB - Objective: The use of Shamma (smokeless tobacco) by certain groups is giving rise
to health problems, includingcancer, in parts of Saudi Arabia. Our objective was
to determine metals levels in Shamma using inductively coupledplasma mass
spectrometry (ICP-MS). Methods: Thirty-three samples of Shamma (smokeless
tobacco) were collected,comprising four types: brown Shamma (n = 14.0), red
Shamma (n = 9.0), white Shamma (n = 4.0), and yellow Shamma(n = 6.0). All samples
were collected randomly from Shamma users in the city of Najran. Levels of 11
elements (Al,As, Cd, Co, Cr, Cu, Li, Mn, Ni, Pb, and Zn) were determined by ICP
MS. Results: A mixed standard (20 ppb) of allelements was used for quality
control, and average recoveries ranged from 74.7% to 112.2%. The highest
averageconcentrations were found in the following order: Al (598.8-812.2 MUg/g),
Mn (51.0-80.6 MUg/g), and Ni (23.2-53.3 MUg/g)in all four Shamma types. The
lowest concentrations were for As (0.7-1.0 MUg/g) and Cd (0.0-0.06 MUg/g).
Conclusions:The colour of each Shamma type reflects additives mixed into the
tobacco. Cr and Cu were showed significant differences(P < 0.05) among Shamma
types. Moreover, Pb levels are higher in red and yellow Shamma, which could be
due touse (PbCrO4) as yellow colouring agent and lead tetroxide, Pb3O4 as a red
colouring agent. The findings from thisstudy can be used to raise public
awareness about the safety and health effects of Shamma, which is clearly a
sourceof oral exposure to metals.
PMID- 27893210
TI - Impact of Treatment Type on Overall Survival in ElderlyBrazilian Women with
Breast Cancer
AB - Objective: To analyze the survival of elderly patients with breast cancer
according to the type of treatment used.Methods: A cohort study of women aged 80
or over with breast cancer registered with the Brazilian National CancerInstitute
(Instituto Nacional do Cancer - INCA) between 2008 and 2009 was conducted.
Prognosis was analyzedaccording to the cancer treatment performed: surgery,
radiotherapy, or hormone therapy. Analysis of the overall 5-yearsurvival rate was
performed using the Kaplan - Meier method, and comparisons of curves were
undertaken using thelog-rank test. For multiple regression analysis, Cox
regression was used, adjusting for age and clinical stage, consideringvalues of p
< 0.05 as significant. Data were all analyzed using the statistical package SPSS
version 20. Results: 70women with a mean age of 84.0 +/- 3.7 years at diagnosis
participated in the study. The median follow-up time was37.1 months (range 0.5
75.5), and 31 deaths (44.3%) occurred during this time. The median survival time
was 51.2months (95% CI, 44.9-57.4), higher in those who underwent surgery (p =
0.012) and those who had hormone therapy(p=0.001). Treatment with surgery reduced
the risk of death by 61.7% (HR 0.3; 95% CI, 0.1-0.6; p = 0.001) whenadjusted for
clinical stage and age at diagnosis. However, there was no significant benefit
from radiotherapy (HR 1.2;95% CI, 0.5-2.5; p = 0.694). Conclusion: Treatment with
surgery and hormone therapy increased the survival of ourBrazilian patients with
breast cancer aged 80 or over.
PMID- 27893211
TI - Changes in the Distribution of Cancer Incidence in Nepal from 2003 to 2013
AB - Background: Cancer incidence data are vital for cancer control planning in any
nation. This retrospective studywas conducted to compare the cancer incidence of
all sites between the first cancer registry report and the most recentexample in
Nepal. Material and Methods: The cases in the first (2003) and latest (2013)
national cancer registryreports, accumulated by all the hospital based cancer
registries in Nepal were taken for the research. The frequencies,crude incidences
and age specific incidences (per 100,000) of the five major cancers were
calculated for both malesand females. Result: The most common cancer type for
males in both years 2003 and 2013 was lung. Stomach wasthe third most common
cancer in 2003 while it was the second in 2013. Similarly, the first four major
cancers (cervix,breast, lung and ovary) did not change between 2003 and 2013 in
females. The total cancer incidence rate increased from12.8 in 2003 to 30.4 per
100,000 in 2013 for males and from 15.1 to 33.3 in females. Conclusion: The most
commoncancers in males in 2003 and 2013 were in the bronchus and lung. Similarly,
the most common cancer in females wascervix at both time points. The cancer
incidence rate in females was higher than in males both in 2003 and 2013.
PMID- 27893213
TI - Reliability and validity of data obtained from alcohol, cannabis, and gambling
populations on Amazon's Mechanical Turk.
AB - Researchers recently have begun using Mechanical Turk (MTurk), an online
crowdsourcing platform, to recruit addiction populations. However, whether the
data obtained from substance users and gamblers on MTurk are reliable and valid
is unknown. Herein, we assessed the internal and retest reliability of and
concurrent and convergent validity of data obtained from addiction populations on
MTurk. Current drinkers (N = 208), cannabis users (N = 200), and gamblers (N =
200) residing in the United States completed measures of alcohol, cannabis, and
gambling severity, psychological constructs (e.g., impulsivity) related to
addictions, overt and subtle measures of valid responding, and motivations for
completing MTurk studies. Of the original sample, 88-92% of participants who
provided informed consent for recontact completed a reassessment 1 week later.
The internal consistency of the addiction severity measures ranged from alpha =
.75 to .93. The stability over 1 week ranged from kappa = .57 to .70 for
categorical classification, and intraclass correlation coefficient (ICC) = .71 to
.86 for continuous measures. The addiction measures were significantly correlated
with each other and with other constructs related to addictive behaviors.
Overall, 80-85% of participants provided valid responses. They reported attending
and answering questions honestly, with financial motives being the most
frequently endorsed motivation. After invalid responses were excluded, results
remained the same for alcohol and gambling, but significant differences emerged
for the cannabis sample. The results suggest that the self-report data obtained
from alcohol and gambling populations are of high quality, however, caution is
warranted with cannabis populations. MTurk shows promise as a recruitment tool
for some addictive behaviors. (PsycINFO Database Record
PMID- 27893212
TI - Effects of Energy Conservation Strategies on Cancer Related Fatigue and Health
Promotion Lifestyle in Breast CancerSurvivors: a Randomized Control Trial
AB - Purpose: Cancer-related fatigue is frequently experienced by patients during and
after therapy. The present studywas conducted to assess the impact of energy
conservation strategies and health promotion in breast cancer survivors.Methods:
A randomized controlled trial was carried out to compare the intervention effect
(n=69.0) with controls(n=66.0) based on routine oncology ward care. The
intervention was five weekly sessions for groups of 6-8 breast cancersurvivors.
Data on fatigue and health promotion lifestyle were obtained before and after
completion the interventionand then 8 weeks later for analysis of variance
(ANOVA) with repeated measures. Results: Our findings showedcancer-related
fatigue to be reduced in the intervention group from pre- to post-intervention,
and this persisted overthe 8-weeks follow-up period (F = 69.8, p<0.001). All
subscales of the cancer fatigue scale demonstrated statisticallysignificant
effects with partial eta-squared values ranging from 0.15 (the smallest effect in
cognitive fatigue) to 0.21(the largest for affective fatigue). Changes in the
health promotion life style indicated a significant promotion frompre- to post
intervention, and this again continued after 8-weeks follow-up (F = 41.6, p <
0.001). All six domains ofa health promoting life style featured significantly
elevated values, the largest effect being seen in the interpersonalrelations
subscale (F=57.7, partial eta2=0.21, p<0.001) followed by physical activity
(F=51.9, partial eta2=0.18, p<0.001).Conclusions: The program was effective in
decreasing cancer related fatigue and promoting a healthy lifestyle.
PMID- 27893214
TI - Public perceptions of behavioral and substance addictions.
AB - Most of the research on public perceptions of people with addictive disorders has
focused on alcohol and illicit drugs, rather than addiction to behavioral
activities. To expand the range of addictive behaviors and types of perceptions
studied, we designed the present study to assess the lay public's definitions of
and willingness to affiliate with people described as addicted to 1 of 2 specific
behaviors (i.e., pornography or gambling) or 1 of 3 specific substances (i.e.,
alcohol, marijuana, or heroin). A nationwide convenience sample (N = 612) of
American adults completed online questionnaires during the summer of 2015.
Participants rated heroin as more addictive than the other drugs and behaviors
and, despite differences among the conditions, were generally unwilling to
affiliate with an individual addicted to any of the 2 behaviors or 3 substances.
When asked to rate different potential indications of addiction, participants
endorsed behavioral signs of impaired control and physiological and psychological
dependence as more indicative of all 5 types of addiction than desire to use the
substance or engage in the addictive behavior. Despite recent efforts to increase
public knowledge about addictive disorders, members of the public continue to
endorse some attitudes indicative of stigmatization toward people with selected
substance and behavioral addictions. (PsycINFO Database Record
PMID- 27893215
TI - Type I error rates and power of several versions of scaled chi-square difference
tests in investigations of measurement invariance.
AB - A Monte Carlo simulation study was conducted to investigate Type I error rates
and power of several corrections for nonnormality to the normal theory chi-square
difference test in the context of evaluating measurement invariance via
structural equation modeling. Studied statistics include the uncorrected
difference test, DML, Satorra and Bentler's (2001) original correction, DSB1,
Satorra and Bentler's (2010) strictly positive correction, DSB10, and a hybrid
procedure, DSBH (Asparouhov & Muthen, 2013). Multiple-group data were generated
from confirmatory factor analytic population models invariant on all parameters,
or lacking invariance on residual variances, indicator intercepts, or factor
loadings. Conditions varied in terms of the number of indicators associated with
each factor in the population model, the location of noninvariance (if any),
sample size, sample size ratio in the 2 groups, and nature of nonnormality. Type
I error rates and power of corrected statistics were evaluated for a series of 4
nested invariance models. Overall, the strictly positive correction, DSB10, is
the best and most consistently performing statistic, as it was found to be much
less sensitive than the original correction, DSB1, to model size and sample
evenness. (PsycINFO Database Record
PMID- 27893216
TI - Assessing the fit of structural equation models with multiply imputed data.
AB - Multiple imputation has enjoyed widespread use in social science applications,
yet the application of imputation-based inference to structural equation modeling
has received virtually no attention in the literature. Thus, this study has 2
overarching goals: evaluate the application of Meng and Rubin's (1992) pooling
procedure for likelihood ratio statistic to the SEM test of model fit, and
explore the possibility of using this test statistic to define imputation-based
versions of common fit indices such as the TLI, CFI, and RMSEA. Computer
simulation results suggested that, when applied to a correctly specified model,
the pooled likelihood ratio statistic performed well as a global test of model
fit and was closely calibrated to the corresponding full information maximum
likelihood (FIML) test statistic. However, when applied to misspecified models
with high rates of missingness (30%-40%), the imputation-based test statistic
generally exhibited lower power than that of FIML. Using the pooled test
statistic to construct imputation-based versions of the TLI, CFI, and RMSEA
worked well and produced indices that were well-calibrated with those of full
information maximum likelihood estimation. This article gives Mplus and R code to
implement the pooled test statistic, and it offers a number of recommendations
for future research. (PsycINFO Database Record
PMID- 27893217
TI - Multi-relational measurement for latent construct networks.
AB - Multiple item scales have long been used to measure latent constructs on
individual-level data. This is appropriate when an otherwise unobserved construct
is indirectly measured by combining observable correlated characteristics that
are thought to measure slightly different dimensions of that construct. Network
data, which consist of observations on the relationships between a set of actors,
however, are typically drawn from single-relation measurements. While this
approach is sufficient for learning about discrete relations (communication,
coauthorship, etc.), multi-item measurement of extemporaneous valued
relationships, such as cohesion and conflict, may be of common interest in
psychology and related sciences. In this article, we evaluate the use of
multirelational network measurement in inferring valued latent construct
networks. In particular, we present a psychometric framework for developing
multirelational measures of latent construct networks, evaluating their
reliability and construct validity, and identification of appropriate scaling
approaches for these construct-level networks. (PsycINFO Database Record
PMID- 27893218
TI - Measuring response styles in Likert items.
AB - The recently proposed class of item response tree models provides a flexible
framework for modeling multiple response processes. This feature is particularly
attractive for understanding how response styles may affect answers to
attitudinal questions. Facilitating the disassociation of response styles and
attitudinal traits, item response tree models can provide powerful process tests
of how different response formats may affect the measurement of substantive
traits. In an empirical study, 3 response formats were used to measure the 2
dimensional Personal Need for Structure traits. Different item response tree
models are proposed to capture the response styles for each of the response
formats. These models show that the response formats give rise to similar trait
measures but different response-style effects. (PsycINFO Database Record
PMID- 27893219
TI - Integrating the context-appropriate balanced attention model and reinforcement
sensitivity theory: Towards a domain-general personality process model.
AB - Over the last 40 years or more the personality literature has been dominated by
trait models based on the Big Five (B5). Trait-based models describe personality
at the between-person level but cannot explain the within-person mental
mechanisms responsible for personality. Nor can they adequately account for
variations in emotion and behavior experienced by individuals across different
situations and over time. An alternative, yet understated, approach to
personality architecture can be found in neurobiological theories of personality,
most notably reinforcement sensitivity theory (RST). In contrast to static trait
based personality models like the B5, RST provides a more plausible basis for a
personality process model, namely, one that explains how emotions and behavior
arise from the dynamic interaction between contextual factors and within-person
mental mechanisms. In this article, the authors review the evolution of a
neurobiologically based personality process model based on RST, the response
modulation model and the context-appropriate balanced attention model. They argue
that by integrating this complex literature, and by incorporating evidence from
personality neuroscience, one can meaningfully explain personality at both the
within- and between-person levels. This approach achieves a domain-general
architecture based on RST and self-regulation that can be used to align within
person mental mechanisms, neurobiological systems and between-person measurement
models. (PsycINFO Database Record
PMID- 27893220
TI - Is body dissatisfaction changing across time? A cross-temporal meta-analysis.
AB - It remains unclear whether body dissatisfaction, a widely recognized predictor of
eating-related pathologies and depressive symptomatology, is consistent across
cohorts and time. This question is important to investigate because dominant
theories propose that sociocultural influences, which may fluctuate, play an
important role in the development of body dissatisfaction. Previous efforts for
tracking body dissatisfaction across cohorts and time are limited by relying on
data from a single institution or using assessments that lack psychometric
support across genders. In this study, we utilized cross-temporal meta-analyses
to examine changes in 2 dimensions of body dissatisfaction: thinness-oriented
dissatisfaction as assessed with the Eating Disorder Inventory-Body
Dissatisfaction subscale (data available across 31 years from 326 unique samples,
n = 100,228 participants) and muscularity-oriented dissatisfaction as measured
with the Drive for Muscularity Scale (data available across 14 years from 117
unique samples, n = 23,575 participants). Results revealed a significant
interaction between year of study and gender in predicting thinness-oriented
dissatisfaction: girls and women scored higher than boys and men consistently (ds
= 0.51-1.17), although only girls' and women's scores decreased gradually across
time (d = 0.49). Boys and men scored higher than girls and women on muscularity
oriented dissatisfaction (d = 1.72), with no significant changes across time.
These patterns remained when controlling for age and geographic location.
Overall, these findings highlight the importance of considering multiple
dimensions of body dissatisfaction in research and offer evidence that
sociocultural shifts in body acceptance and diversity may be countering thinness
related pressures for girls and women. (PsycINFO Database Record
PMID- 27893221
TI - Substance use and psychological distress is related with accommodation status
among homeless immigrants.
AB - Immigrant homelessness constitutes a cruel expression of social exclusion. We
analyzed the relation of sociodemographic characteristics with stressful life
events, substance use and psychological distress, giving a special importance to
the influence of the time spent on the streets and the accommodation status of
107 homeless immigrants. To this end, both quantitative and qualitative
methodologies were combined. Discussion groups with care resources practitioners
and service users, were followed by in depth interviews combined with
psychometric questionnaires. Results show clear interrelations between stressful
life events, alcohol and drug use, psychological distress, and the duration of
(current) homelessness. This information, and especially the contextualization
which took place within the analytical framework of this project, may provide
practitioners and policymakers with information that can help overcome barriers
preventing homeless immigrants' full citizenship and social participation.
(PsycINFO Database Record
PMID- 27893222
TI - The emotion seen in a face can be a methodological artifact: The process of
elimination hypothesis.
AB - The claim that certain facial expressions signal certain specific emotions has
been supported by high observer agreement in labeling the emotion predicted for
that expression. Our hypothesis was that, with a method common to the field, high
observer agreement can be achieved through a process of elimination: As
participants move from trial to trial and they encounter a type of expression not
previously encountered in the experiment, they tend to eliminate labels they have
already associated with expressions seen on previous trials; they then select
among labels not previously used. Seven experiments (total N = 1,068) here showed
that the amount of agreement can be altered through a process of elimination. One
facial expression not previously theorized to signal any emotion was consensually
labeled as disgusted (76%), annoyed (85%), playful (89%), and mischievous (96%).
Three quite different facial expressions were labeled nonplussed (82%, 93%, and
82%). A prototypical sad expression was labeled disgusted (55%), and a
prototypical fear expression was labeled surprised (55%). A facial expression was
labeled with a made-up word (tolen; 53%). Similar results were obtained both in a
context focused on demonstrating a process of elimination and in one similar to a
commonly used method, with 4 target expressions embedded with other expressions
in 24 randomly ordered trials. (PsycINFO Database Record
PMID- 27893224
TI - The influence of a word's number of letters, spatial extent, and initial bigram
characteristics on eye movement control during reading: Evidence from Arabic.
AB - The authors conducted 2 eye movement experiments in which they used the
typographical and linguistic properties of Arabic to disentangle the influences
of words' number of letters and spatial extent on measures of fixation duration
and saccade targeting (Experiment 1), and to investigate the influence of initial
bigram characteristics on saccade targeting during reading (Experiment 2). In the
first experiment, through the use of a proportional font, which is more natural
looking in Arabic compared to monospaced fonts, the authors manipulated the
number of letters (5 vs. 7) and the spatial extent (wide vs. narrow) of words
embedded in frame sentences. The results obtained replicate and expand upon
previous findings in other alphabetic languages that the number of letters
influences fixation durations, whereas saccade targeting (as indicated by
measures of fixation count and probability of skipping and refixation) is more
influenced by the word's spatial extent. In the second experiment, the authors
compared saccade targeting measures (saccade amplitude and initial fixation
location) in 6- and 7-letter words beginning with initial bigrams that were of
extremely high frequency (|? the), relatively high frequency (?? to/for the), or
beginning with the letters of the word stem. The results showed negligible
modulation of saccade targeting by initial bigram characteristics. The results
also highlighted the importance of selecting the appropriate measures of initial
fixation location (spatial vs. character-based measures) during reading text
rendered using proportional fonts. (PsycINFO Database Record
PMID- 27893225
TI - "Profiling pathological narcissism according to DSM-5 domains and traits: A study
on consecutively admitted Italian psychotherapy Patients": Correction to Fossati
et al. (2016).
AB - Reports an error in "Profiling pathological narcissism according to DSM-5 domains
and traits: A study on consecutively admitted Italian psychotherapy patients" by
Andrea Fossati, Antonella Somma, Serena Borroni, Aaron L. Pincus, Kristian E.
Markon and Robert F. Krueger (Psychological Assessment, Advanced Online
Publication, Jun 23, 2016, np). In the article, several values were reversed and
the mean was misreported in Table 2. The corrected table is present in the
erratum. (The following abstract of the original article appeared in record 2016
31181-001.) Pathological narcissism represents a clinically relevant, albeit
controversial personality construct, with multiple conceptualizations that are
operationalized by different measures. Even in the recently published Diagnostic
and Statistical Manual for Mental Disorders-Fifth Edition (DSM-5), 2 different
views of narcissistic personality disorder (NPD) are formulated (i.e., Section II
and Section III). The DSM-5 Section III alternative PD model diagnosis of NPD is
based on self and interpersonal dysfunction (Criterion A) and a profile of
maladaptive personality traits (Criterion B), specifically elevated scores on
Attention Seeking and Grandiosity. Given the diversity of conceptualizations of
pathological narcissism, we evaluated the convergences and divergences in DSM-5
trait profiles characterizing multiple measures of narcissism in a clinical
sample of 278 consecutively admitted Italian psychotherapy patients. Patients
were administered the Italian versions of the Personality Inventory for DSM-5
(PID-5) and 4 measures of NPD, (a) the Narcissistic Personality Inventory (NPI);
(b) the NPD scale of the Personality Diagnostic Questionnaire-4+; (c) the
Structured Clinical Interview for Axis II Personality Disorders, Version 2.0
(SCID-II) as an observer-rated measure of NPD; and (d) the Pathological
Narcissism Inventory (PNI). Multiple regression analyses showed that PID-5 traits
explained from 13% to more than 60% of the variance in the different NPD
measures. Attention Seeking was consistently associated with all measures of NPD,
whereas Grandiosity was associated with some of the NPD measures. All measures of
NPD were also significantly related to additional DSM-5 maladaptive traits.
(PsycINFO Database Record
PMID- 27893223
TI - Intervention with the mother-infant relationship reduces cell proliferation in
the Locus Coeruleus of female rat pups.
AB - The Locus Coeruleus (LC) is a noradrenergic nucleus involved in several
neuroendocrine and behavioral functions. During the neonatal period, the LC is
critical for olfactory learning. Full development occurs during the early
postnatal period. Environmental interventions after birth may affect
neurogenesis. In rats, the neonatal handling procedure has been used as a model
to analyze the effects of environmental intervention early in life. It has been
related to several long-lasting behavioral and neuroendocrine changes. The
present study analyzed the effects of handling on the number of neurons, cellular
proliferation, and apoptosis in the LC of 11-day-old female rats. Wistar rat pups
were submitted to brief maternal separation followed by handling (1 min per day
from postnatal day [PND] 1 to 10). On PND 11, the LC was analyzed using
immunohistochemistry for NeuN and BrdU, TUNEL staining, and electron microscopy.
The intervention reduced the number of neurons in the LC but showed no
significant change in the number of apoptotic cells, as measured by the TUNEL
technique. However, the number of proliferating cells was significantly lower in
the handled rat pups as compared with the nonhandled ones. This study
demonstrates that the infant LC is sensitive to changes in maternal behavior. A
seemingly mild environmental intervention during the neonatal period may
reprogram the development of the LC, altering cell proliferation. (PsycINFO
Database Record
PMID- 27893226
TI - The relation between the bifactor model of the Youth Psychopathic Traits
Inventory and conduct problems in adolescence: Variations across gender, ethnic
background, and age.
AB - The current study examines how the bifactor model of the Youth Psychopathic
Traits Inventory (YPI) is related to conduct problems in a sample of Dutch
adolescents (N = 2,874; 43% female). It addresses to what extent the YPI
dimensions explain variance over and above a General Psychopathy factor (i.e.,
one factor related to all items) and how the general factor and dimensional
factors are related to conduct problems. Group differences in these relations for
gender, ethnic background, and age were examined. Results showed that the general
factor is most important, but dimensions explain variance over and above the
general factor. The general factor, and Affective and Lifestyle dimensions, of
the YPI were positively related to conduct problems, whereas the Interpersonal
dimension was not, after taking the general factor into account. However, across
gender, ethnic background, and age, different dimensions were related to conduct
problems over and above the general factor. This suggests that all 3 dimensions
should be assessed when examining the psychopathy construct. (PsycINFO Database
Record
PMID- 27893228
TI - Ethnic identity: Factor structure and measurement invariance across ethnic
groups.
AB - Considering a historically diversified (and growing) population in the United
States, one's ethnic identification is often an important psychological-as well
as social and political-construct because it can serve as a hindrance to
interpersonal interaction. Despite the importance of ethnic identity in
psychological research, the most widely developed ethnic identity measurement
tool, the Multigroup Ethnic Identity Measure (MEIM; Phinney, 1992), lacks
consensus regarding its psychometric properties. The purpose of this article is
to identify the factor structure of this measure and identify whether it exhibits
measurement equivalence/invariance (ME/I) across ethnicities. The current
findings offer several contributions to the state of the literature. First, our
data suggests a two-factor model, including affirmation/commitment and
exploration factors, is the most appropriate structure when considering fit and
parsimony indices via confirmatory factor analysis. Second, configural and metric
measurement equivalence was found across Caucasian and non-Caucasian
participants. Interestingly, partial scalar invariance was established when
comparing Caucasians with the minority groups with the exception of the Hispanic
subgroup, which exhibited no scalar invariance. Third, differences in ethnic
identity factor means were found, especially across Caucasians and African
Americans. In conclusion, the use of the two-factor model of the MEIM is
recommended, and results suggest that the MEIM is an appropriate measure of
ethnic identity in most ethnic groups. Limitations and future research are also
discussed. (PsycINFO Database Record
PMID- 27893227
TI - A measure of perceived family stigma: Validity in a military sample.
AB - The primary aim of the present study was to evaluate the reliability and validity
of the newly developed Perceived Family Stigma Scale (PFSS) in a diverse sample
of 623 military veterans. The PFSS is a 4-item scale that has acceptable internal
consistency (alpha = .86) and strong interitem correlations (r = .51 to .76).
Confirmatory factor analysis (CFA) indicated the single factor model was a good
fit statistically (chi2[df = 2, N = 620] = .34, p = .84) and descriptively (CFI =
1.00, RMSEA < .001). Multigroup CFA was performed to test the measurement
invariance of the PFSS across demographic indicators. The PFSS achieved full
scalar invariance across deployment history, education level, urban/rural
location, marital status, and military rank, and partial scalar invariance across
gender, ethnicity/race, and income level. Results of a logistic regression
analysis indicated significant relationships of mean PFSS scores and gender with
likelihood of needing help for an emotional problem, above and beyond a measure
of self- and public stigma. Specifically, each point increase in mean PFSS scores
predicted an almost 4 times higher probability of reporting a need for help, and
men were also 6 times more likely than women to report a need for help. However,
there was a significant relationship between the PFSS and gender such that, for
women, each 1 point increase in mean PFSS scores predicted a likelihood of
reporting a need/desire for help for an emotional problem 3 times that of men.
(PsycINFO Database Record
PMID- 27893229
TI - Measurement invariance of the Yale Food Addiction Scale 2.0 across gender and
racial groups.
AB - Food addiction describes a psychological and behavioral eating pattern that is
similar to the experience of those compulsively taking drugs of abuse. Recent
developments related to food addiction, including the development and validation
of an updated measure (Yale Food Addiction Scale 2.0; Gearhardt, Corbin, &
Brownell, 2016), have increased knowledge as to the prevalence and associated
correlates of food addiction. However, less is known about the phenomenological
experience of food addiction in diverse samples or how the existing measure of
food addiction performs in heterogeneous samples. In a cross-sectional survey
design, using a diverse sample of undergraduate students (N = 642) tests of
measurement invariance were performed. Confirmatory factor analysis supported the
hypothesized factor structure, indicating a single latent construct of food
addiction modeled by 11 dichotomous indicators, in samples of White and Black
participants as well as samples of men and women. Measurement invariance testing
across the various demographic groups broadly provided good psychometric support
for use of the measure. However, a single indicator related to attempts to cut
down on highly palatable food varied across men and women. Thus, when using the
measure in mixed gender samples researchers may consider obtaining additional
information regarding gender and its relative impact on the experience of food
addiction, particularly with respect to efforts to quit or cut down intake of
highly palatable foods. (PsycINFO Database Record
PMID- 27893232
TI - Narrative production in children with autism spectrum disorder (ASD) and children
with attention-deficit/hyperactivity disorder (ADHD): Similarities and
differences.
AB - The present study focuses on the similarities and differences in language
production between children with autism spectrum disorder (ASD) and children with
attention-deficit/hyperactivity disorder (ADHD). In addition, we investigated
whether Theory of Mind (ToM), working memory, and response inhibition are
associated with language production. Narratives, produced by 106 Dutch-speaking
children (36 with ASD, 34 with ADHD, and 36 typically developing) aged 6 to 12
during ADOS assessment, were examined on several linguistic measures: verbal
productivity, speech fluency, syntactic complexity, lexical semantics, and
discourse pragmatics. Children were tested on ToM, working memory, and response
inhibition and parents filled in the Children's Communication Checklist (CCC-2).
Gold-standard diagnostic measures (Autism Diagnostic Observation Schema [ADOS],
Autism Diagnostic Interview Revised [ADI-R], and the Parent Interview for Child
Symptoms [PICS]) were administered to all children to confirm diagnosis.
Regarding similarities, both clinical groups showed impairments in narrative
performance relative to typically developing children. These were confirmed by
the CCC-2. These impairments were not only present on pragmatic measures, such as
the inability to produce a narrative in a coherent and cohesive way, but also on
syntactic complexity and their production of repetitions. As for differences,
children with ADHD but not children with ASD showed problems in their choice of
referring expressions and speech fluency. ToM and working memory performance but
not response inhibition were associated with many narrative skills, suggesting
that these cognitive mechanisms explain some of the impairments in language
production. We conclude that children with ASD and children with ADHD manifest
multiple and diverse language production problems, which may partly relate to
their problems in ToM and working memory. (PsycINFO Database Record
PMID- 27893230
TI - Ecological momentary assessment of negative symptoms in schizophrenia:
Relationships to effort-based decision making and reinforcement learning.
AB - Negative symptoms are a core clinical feature of schizophrenia, but conceptual
and methodological problems with current instruments can make their assessment
challenging. One hypothesis is that current symptom assessments may be influenced
by impairments in memory and may not be fully reflective of actual functioning
outside of the laboratory. The present study sought to investigate the validity
of assessing negative symptoms using ecological momentary assessment (EMA).
Participants with schizophrenia (N = 31) completed electronic questionnaires on
smartphones 4 times a day for 1 week. Participants also completed effort-based
decision making and reinforcement learning (RL) tasks to assess the relationship
between EMA and laboratory measures, which tap into negative symptom relevant
domains. Hierarchical linear modeling analyses revealed that clinician-rated and
self-report measures of negative symptoms were significantly related to negative
symptoms assessed via EMA. However, working memory moderated the relationship
between EMA and retrospective measures of negative symptoms, such that there was
a stronger relationship between EMA and retrospective negative symptom measures
among individuals with better working memory. The authors also found that
negative symptoms assessed via EMA were related to poor performance on the effort
task, whereas clinician-rated symptoms and self-reports were not. Further, they
found that negative symptoms were related to poorer performance on learning
reward contingencies. The findings suggest that negative symptoms can be assessed
through EMA and that working memory impairments frequently seen in schizophrenia
may affect recall of symptoms. Moreover, these findings suggest the importance of
examining the relationship between laboratory tasks and symptoms assessed during
daily life. (PsycINFO Database Record
PMID- 27893231
TI - Trajectories of higher- and lower-order dimensions of negative and positive
affect relative to restrictive eating in anorexia nervosa.
AB - Despite robust support for the role of affect in the maintenance of binge eating
and purging, the relationship between affect and restrictive eating remains
poorly understood. To investigate the relationship between restrictive eating and
affect, ecological momentary assessment data from 118 women with anorexia nervosa
(AN) were used to examine trajectories of higher-order dimensions of negative
affect (NA) and positive affect (PA), as well as lower-order dimensions of NA
(Fear, Guilt) and PA (Joviality, Self-Assurance) relative to restrictive eating.
Affect trajectories were modeled before and after restrictive eating episodes and
AN subtype was examined as a moderator of these trajectories. Across the sample,
Guilt significantly increased before and decreased after restrictive eating
episodes. Global NA, Global PA, Fear, Joviality, and Self-Assurance did not vary
relative to restrictive eating episodes across the sample. However, significant
subtype by trajectory interactions were detected for PA indices. Among
individuals with AN restricting subtype, Global PA, Joviality, and Self-Assurance
decreased prior to and Self-Assurance increased following restrictive eating
episodes. In contrast, Global PA and Self-Assurance increased prior to, but did
not change following, restrictive eating episodes among individuals with AN binge
eating/purging subtype. Results suggest that dietary restriction may function to
mitigate guilt across AN subtypes and to enhance self-assurance among individuals
with AN restricting subtype. (PsycINFO Database Record
PMID- 27893233
TI - Trajectories of victimization in ethnic diaspora immigrant and native
adolescents: Separating acculturation from development.
AB - This longitudinal study aimed to differentiate between acculturative and
developmental processes by (a) comparing levels and change rates in victimization
among ethnic German immigrants and native German adolescents in Germany and
Russian Jewish immigrants in Israel, and (b) testing whether interindividual
differences in victimization among immigrant youth can be explained by the same
general factors as in native groups or by migration-specific factors. In
addition, we tested whether or not acculturative and developmental processes
interact. The sample comprised 1,300 ethnic German immigrants, 820 native German
adolescents, and 1,535 Russian Jewish adolescents. The participants (15.36-years
old) completed 3 annual assessments. Two-part latent growth models showed similar
levels and rates of change among all 3 ethnic groups. Interindividual differences
in victimization were largely explained by the same general factors across all
ethnic groups but acculturation-related hassles explained additional variance
among immigrant youth. Acculturation and development interacted such that the
protective effect of age did not set in until 3-5 years of residence among both
immigrant groups. Results suggest that developmental pathways to victimization
are very similar among immigrant and native youth once immigrants successfully
have managed the phase transition of resettlement. (PsycINFO Database Record
PMID- 27893234
TI - Mindful parenting predicts mothers' and infants' hypothalamic-pituitary-adrenal
activity during a dyadic stressor.
AB - Mindfulness in the parenting relationship has been proposed to help both parents
and children better regulate stress, though this has not yet been shown at the
physiological level. In this study, we tested relations between maternal
mindfulness in parenting and both mothers' and their infants' hypothalamic
pituitary-adrenal (HPA) axis activity during a dyadic stressor 3 months later.
Participants were 73 mother-infant dyads from a larger longitudinal study. At 3
months postpartum, mothers completed self-report measures of general
dispositional mindfulness and parenting-specific mindfulness, as well as
stressful life events. At 6 months postpartum, mother-infant dyads completed the
Still Face task. Four saliva samples were collected from each dyad member for
cortisol assay to index the HPA axis response. Hierarchical linear modeling of
cortisol trajectories revealed a main effect of maternal parenting-specific
mindfulness (mindful parenting), but not general dispositional mindfulness, on
mothers' cortisol; mothers with higher mindful parenting showed steeper cortisol
recovery slopes. In addition, maternal mindful parenting moderated the effect of
life stress on later mother and infant cortisol. In the context of high life
stress, maternal mindful parenting predicted lower infant cortisol levels, but
more extended maternal cortisol elevations. Implications for a biobehavioral
model of mindful parenting are discussed. (PsycINFO Database Record
PMID- 27893235
TI - Age-related differences in audiovisual interactions of semantically different
stimuli.
AB - Converging results have shown that adults benefit from congruent multisensory
stimulation in the identification of complex stimuli, whereas the developmental
trajectory of the ability to integrate multisensory inputs in children is less
well understood. In this study we explored the effects of audiovisual semantic
congruency on identification of visually presented stimuli belonging to different
categories, using a cross-modal approach. Four groups of children ranging in age
from 6 to 13 years and adults were administered an object identification task of
visually presented pictures belonging to living and nonliving entities. Stimuli
were presented in visual, congruent audiovisual, incongruent audiovisual, and
noise conditions. Results showed that children under 12 years of age did not
benefit from multisensory presentation in speeding up the identification. In
children the incoherent audiovisual condition had an interfering effect,
especially for the identification of living things. These data suggest that the
facilitating effect of the audiovisual interaction into semantic factors
undergoes developmental changes and the consolidation of adult-like processing of
multisensory stimuli begins in late childhood. (PsycINFO Database Record
PMID- 27893236
TI - Training in the adolescent brain: An fMRI training study on divergent thinking.
AB - Prior research suggests that adolescence is a time of enhanced sensitivity for
practice and learning. In this study we tested the neural correlates of divergent
thinking training in 15- to 16-year-old adolescents relative to an age-matched
active control group. All participants performed an alternative uses task, a
valid measure to test divergent thinking, while functional magnetic resonance
imaging (fMRI) images were acquired before and after a training program. In
between the 2 scanning sessions the experimental group completed 2 weeks of
divergent thinking training (8 sessions) and the control group completed 2 weeks
of rule switching training (8 session). A Group * Time interaction demonstrated
stable divergent thinking performance for the experimental group, whereas in the
control group performance declined. Generating alternative uses (experimental
task condition) relative to generating ordinary characteristics of objects
(control task condition) was associated with increased activation in the
supramarginal gyrus (SMG), angular gyrus (AG), and middle temporal gyrus (MTG).
Test-retest analyses showed that within-individuals-activation in these regions
was stable over time in both groups. Changes in alternative uses fluency over
time, however, were positively associated with changes in superior lateral PFC
activation over time. Together, the results indicate that core brain regions for
creativity (SMG, AG, and MTG) are consistently recruited in adolescence, and that
changes in performance are associated with changes in activation in lateral PFC.
(PsycINFO Database Record
PMID- 27893237
TI - Emergence of Japanese infants' prosodic preferences in infant-directed
vocabulary.
AB - The article examines the role of infant-directed vocabulary (IDV) in infants
language acquisition, specifically addressing the question of whether IDV forms
that are not prominent in adult language may nonetheless be useful to the process
of acquisition. Japanese IDV offers a good test case, as IDV characteristically
takes a bisyllabic H(eavy)-L(ight) form that is rare in adult speech. In 5
experiments using the Headturn Preference Procedure (HPP), 8- to 10-month-old
Japanese infants, but not 4- to 6-month-olds, were found to show a preference for
bisyllabic H-L words over other types of words. These results demonstrate (a)
that infants may develop a preference for a dominant prosodic form based on
infant-directed speech, even when it is not a prominent characteristic of adult
language; and perhaps more importantly, and (b) that infant-directed speech may
provide a boost for a feature that could be useful for infants' acquisition of
language even when it not prominent in adult language. (PsycINFO Database Record
PMID- 27893238
TI - Does anger regulation mediate the discrimination-mental health link among Mexican
origin adolescents? A longitudinal mediation analysis using multilevel modeling.
AB - [Correction Notice: An Erratum for this article was reported in Vol 53(2) of
Developmental Psychology (see record 2017-04475-001). In the article, there were
several typographical errors in the Recruitment and Procedures section. The
percentage of mothers who responded to survey items should have been 99.3%.
Additionally, the youths surveyed at T2 and T3 should have been n 246.
Accordingly, the percentage of youths surveyed in T2 and T3 should have been
91.4% and the percentage of mothers surveyed at T2 and T3 should have been 90.7%.
Finally, the youths missing at T2 should have been n 23, and therefore the
attrition rate for youth participants should have been 8.6. All versions of this
article have been corrected.] Although prior research has consistently documented
the association between racial/ethnic discrimination and poor mental health
outcomes, the mechanisms that underlie this link are still unclear. The present 3
wave longitudinal study tested the mediating role of anger regulation in the
discrimination-mental health link among 269 Mexican-origin adolescents (Mage =
14.1 years, SD = 1.6; 57% girls), 12 to 17 years old. Three competing anger
regulation variables were tested as potential mediators: outward anger
expression, anger suppression, and anger control. Longitudinal mediation analyses
were conducted using multilevel modeling that disaggregated within-person effects
from between-person effects. Results indicated that outward anger expression was
a significant mediator; anger suppression and anger control were not significant
mediators. Within a given individual, greater racial/ethnic discrimination was
associated with more frequent outward anger expression. In turn, more frequent
outward anger expression was associated with higher levels of anxiety and
depression at a given time point. Gender, age, and nativity status were not
significant moderators of the hypothesized mediation models. By identifying
outward anger expression as an explanatory mechanism in the discrimination
distress link among Latino youths, this study points to a malleable target for
prevention and intervention efforts aimed at mitigating the detrimental impact of
racism on Latino youths' mental health during the developmentally critical period
of adolescence. (PsycINFO Database Record
PMID- 27893240
TI - Is recovery possible outside the financial mainstream?
AB - As psychiatric rehabilitation practitioners, we have solid evidence that our
field's services are effective in helping people become employed, participate in
social and civic life, avoid restrictive and coercive psychiatric treatment, and
thrive in stable and secure community residences. Yet, hundreds of thousands of
people with psychiatric disabilities do not do these things. So we must ask
ourselves, to what extent is this due to their location outside the financial
mainstream, and if it is, what we can do as a field to address poverty among
those we serve? This editorial addresses this question: Is recovery possible
outside of the financial mainstream? (PsycINFO Database Record
PMID- 27893241
TI - Ill or injured: Shifting the emphasis to trauma in mental health diagnosis and
treatment.
AB - TOPIC: This contribution examines the disparity that exists between research that
identifies the prevalence of trauma among mental health service users and the low
frequency of adequately diagnosing and treating trauma in practice. For this
author, the important question to pose is "Could behavioral health services be
persistently erring in both diagnoses and treatment approaches to adequately
assist and support service users?" PURPOSE: The author proposes an alternative
service model which is aligned with the prevalence of complex trauma. Data is
presented that supports the high incidence of trauma among service users as well
as the historical efficacy of relationship-based treatment. SOURCES USED:
Personal experience is shared in conjunction with an overview of research in
support of the prevalence of trauma and the efficacy of relationship-based
treatment. CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: Shifting our diagnostic
focus away from biomedical illness to be inclusive of trauma-based, developmental
injury will align mental health and rehabilitation practice more adequately with
research and encourage improved diagnostic accuracy and a shift toward
relationship-based treatment interventions, thereby hopefully improving outcomes
for our service recipients. (PsycINFO Database Record
PMID- 27893239
TI - Face-blind for other-race faces: Individual differences in other-race recognition
impairments.
AB - We report the existence of a previously undescribed group of people, namely
individuals who are so poor at recognition of other-race faces that they meet
criteria for clinical-level impairment (i.e., they are "face-blind" for other
race faces). Testing 550 participants, and using the well-validated Cambridge
Face Memory Test for diagnosing face blindness, results show the rate of other
race face blindness to be nontrivial, specifically 8.1% of Caucasians and Asians
raised in majority own-race countries. Results also show risk factors for other
race face blindness to include: a lack of interracial contact; and being at the
lower end of the normal range of general face recognition ability (i.e., even for
own-race faces); but not applying less individuating effort to other-race than
own-race faces. Findings provide a potential resolution of contradictory evidence
concerning the importance of the other-race effect (ORE), by explaining how it is
possible for the mean ORE to be modest in size (suggesting a genuine but minor
problem), and simultaneously for individuals to suffer major functional
consequences in the real world (e.g., eyewitness misidentification of other-race
offenders leading to wrongful imprisonment). Findings imply that, in legal
settings, evaluating an eyewitness's chance of having made an other-race
misidentification requires information about the underlying face recognition
abilities of the individual witness. Additionally, analogy with prosopagnosia
(inability to recognize even own-race faces) suggests everyday social
interactions with other-race people, such as those between colleagues in the
workplace, will be seriously impacted by the ORE in some people. (PsycINFO
Database Record
PMID- 27893242
TI - Work-based learning: A training model for state wide system changes.
AB - TOPIC: Despite the substantial amount of money invested in staff training each
year, many people trained fail to transfer what they learn to the workplace. We
document a training initiative that was implemented to develop and maintain a
competent workforce. PURPOSE: A work-based learning (WBL) model was used as a
guide to teach the knowledge and skills necessary to effectively deliver
psychiatric rehabilitation services. SOURCES USED: This training framework
afforded practitioners an opportunity to acquire the critical knowledge and
skills to improve the quality of life for individuals living with serious mental
illnesses. CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: Preliminary pre and
posttest results show an overall increase in practitioner knowledge.
Additionally, individualized technical assistance has the potential to increase
positive learning outcomes. (PsycINFO Database Record
PMID- 27893243
TI - Adolescent psychosocial development: A review of longitudinal models and
research.
AB - This review used 4 types of longitudinal models (descriptive models, prediction
models, developmental sequence models and longitudinal mediation models) to
identify regular patterns of psychosocial development in adolescence. Eight
patterns of adolescent development were observed across countries: (1) adolescent
maturation in multiple developmental domains; (2) heterogeneous continuity of
personal relationships; (3) good goes together with good, and bad with bad,
across time in adolescence; (4) parents transmit values and behaviors to their
adolescent children over time; (5) adolescent psychopathology leads to erosion of
personal relationships with parents and peers; (6) adolescent psychopathology
prevents adolescent independence from parents; (7) parental interference in
personal issues of adolescents has counterproductive effects over time; (8) mood
variability and (social and personal) uncertainty are mechanisms that maintain
psychopathology in adolescence. Principles of life span developmental psychology
are used to discuss adolescent maturation, and a developmental contextual
perspective is used to discuss links between the various developmental patterns.
Strengths and limitations of the various longitudinal models, and links between
longitudinal and experimental research are discussed. (PsycINFO Database Record
PMID- 27893244
TI - Temporal ordering effects of adolescent depression, relational aggression, and
victimization over six waves: Fully latent reciprocal effects models.
AB - The temporal ordering of depression, aggression, and victimization has important
implications for theory, policy, and practice. For a representative sample of
high school students (Grades 7-10; N = 3,793) who completed the same
psychometrically strong, multiitem scales 6 times over a 2-year period, there
were reciprocal effects between relational-aggression and relational
victimization factors: aggression led to subsequent victimization and
victimization led to subsequent aggression. After controlling for prior
depression, aggression, and victimization, depression had a positive effect on
subsequent victimization, but victimization had no effect on subsequent
depression. Aggression neither affected nor was affected by depression. The
results suggest that depression is a selection factor that leads to
victimization, but that victimization has little or no effect on subsequent
depression beyond what can be explained by the preexisting depression. In support
of developmental equilibrium, the results were consistent across the 6 waves.
(PsycINFO Database Record
PMID- 27893245
TI - The quest for identity in adolescence: Heterogeneity in daily identity formation
and psychosocial adjustment across 5 years.
AB - Identity formation is one of the key developmental tasks in adolescence.
According to Erikson (1968) experiencing identity uncertainty is normative in
adolescence. However, empirical studies investigating identity uncertainty on a
daily basis are lacking. Hence, studying individual differences in daily
certainty (i.e., identity commitment levels) and uncertainty (i.e., identity
commitment fluctuations and identity reconsideration) in the identity formation
process may advance our knowledge about the extent to which adolescents' identity
uncertainty is part of normative identity development. Therefore, this
longitudinal study examined heterogeneity in certainty and uncertainty dynamics
of adolescents' daily identity formation using a longitudinal microlevel
approach. Dutch adolescents (N = 494; Mage = 13.03 years at T1; 56.7% boys)
reported on 2 key dimensions of identity formation (i.e., commitment and
reconsideration) in both the educational and interpersonal domain on a daily
basis for 3 weeks within 1 year, across 5 successive years. Multivariate latent
class growth analyses suggested both in the educational and interpersonal
identity domain a class of adolescents displaying a "crisis-like" identity
formation process, and an "identity synthesis" class. Classes revealed
differential development of (global and school) anxiety, aggression, and best
friend support. Taken together, the present study confirmed Erikson's notion that
experiencing daily identity uncertainty is common during adolescence. However, a
substantial amount of adolescents also showed a process toward identity
maturation already during adolescence. (PsycINFO Database Record
PMID- 27893246
TI - The unique roles of intrapersonal and social factors in adolescent smoking
development.
AB - Adolescence is a vulnerable period for the initiation and peak of many harmful
risk-taking behaviors such as smoking, which is among the most addictive and
deadliest behaviors. Generic metatheories like the theory of triadic influence
(TTI) suggest that interrelated risk factors across multiple domains (i.e.,
intrapersonal and social/environmental) jointly contribute to adolescent smoking
behavior. Yet, studies are lacking that investigate risk factors across different
domains in the same study, which obscures whether each makes a unique
contribution to the increase in smoking throughout adolescence or whether there
is overlap across the domains. Hence, to fill this gap using a latent growth
approach, the current accelerated longitudinal study investigated the collective
contribution of multiple intrapersonal and social risk factors in the development
of smoking behavior from ages 12 to 17 in 574 ethnically diverse Dutch
adolescents. Results from the latent growth model showed that whereas the
contribution of motivational-intrapersonal factors like sensation-seeking was no
longer significant in the stringent multivariate model, higher levels of
impulsivity (cognitive-intrapersonal) and overt peer pressure (social) at age 12
proved to be robust and unique predictors of linear increases in adolescent
smoking up until age 17. Consistent with the TTI, adolescent smoking progression
does not occur in isolation and the determinants are wide-ranging as they stem
from both intrapersonal and social domains. Thus focusing on such confluence of
intrapersonal and social risk factors via prevention programs from as young as
age 12 might halt the deadly increase in smoking behavior throughout adolescence.
(PsycINFO Database Record
PMID- 27893247
TI - What drives developmental change in adolescent disclosure and maternal knowledge?
Heterogeneity in within-family processes.
AB - This study aimed to gain a better understanding of the normative declines in
adolescent disclosure and maternal knowledge over the course of adolescence, by
assessing the underlying monitoring processes. Multilevel structural equation
models were applied to 15 assessments among 479 families across 5 years (13 years
at T1, 57% boys, 11% low socioeconomic status). Developmental declines in mother
perceived disclosure and knowledge were observed, which were partially explained
by processes operating at the level of the family unit. On average, mothers were
more knowledgeable in weeks with more disclosure and more solicitation, and
adolescent disclosure was higher in weeks with more maternal solicitation and
less control. The effect sizes and even the directions of these within-family
correlations varied between families, however. This heterogeneity was partially
explained by the level of maternal control and adolescent disclosure, and by the
families' socioeconomic status. Within-family fluctuations in knowledge and
disclosure were also correlated with fluctuations in relationship quality and
adolescent and mother mood. Overall, these within-family processes explained up
to 14% of the normative developmental decline in disclosure and 19% of the
decline in knowledge. This study thus suggests that a wide variety in monitoring
processes may drive normative declines in adolescent disclosure and maternal
knowledge. (PsycINFO Database Record
PMID- 27893248
TI - Can student engagement serve as a motivational resource for academic coping,
persistence, and learning during late elementary and early middle school?
AB - How children and youth deal with academic challenges and setbacks can make a
material difference to their learning and school success. Hence, it is important
to investigate the factors that allow students to cope constructively. A process
model focused on students' motivational resources was used to frame a study
examining whether engagement in the classroom shapes students' academic coping,
and whether coping in turn contributes to subsequent persistence on challenging
tasks and learning, which then feed back into ongoing engagement. In fall and
spring of the same school year, 880 children in 4th through 6th grades and their
teachers completed measures of students' engagement and disaffection in the
classroom, and of their re-engagement in the face of obstacles and difficulties;
students also reported on 5 adaptive and 6 maladaptive ways of academic coping;
and information on a subset of students' classroom grades was collected.
Structural analyses, incorporating student-reports, teacher-reports, and their
combination, indicated that the model of motivational processes was a good fit
for time-ordered data from fall to spring. Multiple regressions examining each
step in the process model also indicated that it was the profile of coping
responses, rather than any specific individual way of coping, that was most
centrally connected to changes in engagement and persistence. Taken together,
findings suggest that these internal dynamics may form self-perpetuating cycles
that could cement or augment the development of children's motivational
resilience and vulnerability across time. (PsycINFO Database Record
PMID- 27893249
TI - Sleep spindles and intelligence in early childhood-developmental and trait
dependent aspects.
AB - Sleep spindles act as a powerful marker of individual differences in cognitive
ability. Sleep spindle parameters correlate with both age-related changes in
cognitive abilities and with the age-independent concept of IQ. While some
studies have specifically demonstrated the relationship between sleep spindles
and intelligence in young children, our previous work in older subjects revealed
sex differences in the sleep spindle correlates of IQ, which was never
investigated in small children before. We investigated the relationship between
age, Raven Colored Progressive Matrices (CPM) scores and sleep spindles in 28
young children (age 4-8 years, 15 girls). We specifically investigated sex
differences in the psychometric correlates of sleep spindles. We also aimed to
separate the correlates of sleep spindles that are because of age-related
maturation from other effects that reflect an age-independent relationship
between sleep spindles and general intelligence. Our results revealed a modest
positive correlation between fast spindle amplitude and age. Raven CPM scores
positively correlated with both slow and fast spindle amplitude, but this effect
remained a tendency in males and vanished after correcting for the effects of
age. Age-corrected correlations between Raven CPM scores and both slow and fast
spindle amplitude were only significant in females. Overall, our results show
that in male children sleep spindles are a maturational marker, but in female
children they indicate trait-like intelligence, in line with previous studies in
adolescent and adult subjects. Thalamocortical white matter connectivity may be
the underlying mechanism behind both higher spindle amplitude and higher
intelligence in female, but not male subjects. (PsycINFO Database Record
PMID- 27893250
TI - Variation in impacts of Tulsa pre-K on cognitive development in kindergarten: The
role of instructional support.
AB - Public prekindergarten (pre-K) programs have been a recent focus of policy and
research attention, in part because of their empirically documented, positive
short-term impacts on child cognitive development and school readiness. However,
no studies have explored factors that might explain variation across schools in
public pre-K impacts. The current study examines the Tulsa Public School pre-K
program's impacts on children's letter-word identification, spelling, and applied
problem-solving skills at kindergarten entry. Findings reveal substantial across
school variation in treatment impacts, associated with variation in instructional
support. Results are robust to sensitivity checks and are discussed alongside
implications for policy and future research. (PsycINFO Database Record
PMID- 27893251
TI - Sources of developmental change in the efficiency of information search.
AB - Children are active learners: they learn not only from the information people
offer and the evidence they happen to observe, but by actively seeking
information. However, children's information search strategies are typically less
efficient than those of adults. In two studies, we isolate potential sources of
developmental change in how children (7- and 10-year-olds) and adults search for
information. To do so, we develop a hierarchical version of the 20-questions
game, in which participants either ask questions (Study 1) or test individual
objects (Study 2) to discover which category of objects within a nested structure
(e.g., animals, birds, or owls) has a novel property. We also develop a
computational model of the task, which allows us to evaluate performance in
quantitative terms. As expected, we find developmental improvement in the
efficiency of information search. In addition, we show that participants'
performance exceeds random search, but falls short of optimal performance. We
find mixed support for the idea that children's inefficiency stems from
difficulty thinking beyond the level of individual objects or hypotheses.
Instead, we reveal a previously undocumented source of developmental change:
Children are significantly more likely than adults to continue their search for
information beyond the point at which a single hypothesis remains, and thus to
ask questions and select objects associated with zero information gain. This
suggests that one crucial source of developmental change in information search
efficiency lies in children's "stopping rules." (PsycINFO Database Record
PMID- 27893252
TI - Developing knowledge of nonadjacent dependencies.
AB - Characterizing the nature of linguistic representations and how they emerge
during early development is a central goal in the cognitive science of language.
One area in which this development plays out is in the acquisition of
dependencies-relationships between co-occurring elements in a word, phrase, or
sentence. These dependencies often involve multiple levels of representation and
abstraction, built up as infants gain experience with their native language. The
authors used the Headturn Preference Procedure to systematically investigate the
early acquisition of 1 such dependency, the agreement between a subject and verb
in French, at 6 different ages between 14 and 24 months. The results reveal a
complex developmental trajectory that provides the first evidence that infants
might indeed progress through distinct stages in the acquisition of this
nonadjacent dependency. The authors discuss how changes in general cognition and
representational knowledge (from reflecting surface statistics to higher-level
morphological features) might account for their findings. These findings
highlight the importance of studying language acquisition at close time intervals
over a substantial age range. (PsycINFO Database Record
PMID- 27893253
TI - Perception of visual-tactile colocation in the first year of life.
AB - An ability to perceive tactile and visual stimuli in a common spatial frame of
reference is a crucial ingredient in forming a representation of one's own body
and the interface between bodily and external space. In this study, the authors
investigated young infants' abilities to perceive colocation between tactile and
visual stimuli presented on the hands. They examined infants' visual preferences
for spatially congruent and incongruent visual-tactile events across two age
groups (6 months and 10-months). They observed increased duration of looking to
incongruent stimuli displays in both age groups, indicating that infants from at
least 6 months of age demonstrate the ability to determine whether simultaneously
presented visual-tactile perceptual events are colocated or not. These findings
indicate that an ability to perceive visual and tactile stimuli within a common
spatial frame of reference is available by the end of the first half year of
life. (PsycINFO Database Record
PMID- 27893254
TI - Dynamic interplay between merger process justice and cognitive trust in top
management: A longitudinal study.
AB - This study examines two fundamental concerns in the context of organizational
change: employees' perceptions of merger process justice and cognitive trust in
the top management team. Our main purpose is to better understand the nature of
reciprocal relations between these important constructs through a significant
change event. Previous research, building mainly on social exchange theory, has
framed trust as a consequence of justice perceptions. More recently, scholars
have suggested that this view may be overly simplistic and that trust-related
cognitions may also represent an important antecedent of justice perceptions.
Using 3-wave longitudinal survey data (N = 622) gathered during a merger process,
we tested reciprocal relations over time between cognitive trust in the top
management team and perceptions of the merger process justice. In contrast to the
conventional unidirectional notion of trust or trust-related cognitions as
outcomes of perceived justice, our results show positive reciprocal relations
over time between cognitive trust and justice. Our findings also revealed that
the positive influence of cognitive trust on subsequent justice perceptions was
slightly more robust than the opposite direction. By examining cross-lagged
longitudinal relations between these critical psychological reactions, this study
contributes across multiple domains of the management literature including trust,
justice, and organizational mergers. (PsycINFO Database Record
PMID- 27893255
TI - The relationship between sleep and work: A meta-analysis.
AB - Sleep has tremendous importance to organizations because of its relationship with
employee performance, safety, health, and attitudes. Moreover, sleep is a
malleable behavior that may be improved by individual and organizational changes.
Despite the consequential and modifiable nature of sleep, little consensus exists
regarding its conceptualization, and how the choice of conceptualization may
impact relationships with organizational antecedents and outcomes. To offer a
stronger foundation for future theory and research about employee sleep, this
study calculated meta-analytic correlations of sleep quality and sleep quantity
from 152 primary studies of sleep among workers in organizations. Analyses
revealed that both sleep quality and sleep quantity associated negatively with
workload and a number of health, attitudinal, and affective outcomes. Despite
their conceptual similarity, notable differences existed in sleep quality and
sleep quantity in terms of their relationships to many different correlates.
Generally, the relationships between sleep quality and the examined correlates
were stronger for variables that reflected perceptions. Moderator analyses showed
that relationships between sleep quality and quantity may be affected by
measurement method and the number of self-report items used, while there is
little evidence of the effect of measurement time frame. Findings from this first
meta-analytic investigation of the occupational sleep literature have
implications for the development of theory about relationships between sleep and
work, the measurement of sleep, the identification of organizational correlates
of sleep, and the design of interventions intended to improve employee sleep.
(PsycINFO Database Record
PMID- 27893256
TI - Sticks and stones can break my bones but words can also hurt me: The relationship
between customer verbal aggression and employee incivility.
AB - Customer service employees tend to react negatively to customer incivility by
demonstrating incivility in return, thereby likely reducing customer service
quality. Research, however, has yet to uncover precisely what customers do that
results in employee incivility. Through transcript and computerized text analysis
in a multilevel, multisource, mixed-method field study of customer service events
(N = 434 events), we found that employee incivility can occur as a function of
customer (a) aggressive words, (b) second-person pronoun use (e.g., you, your),
(c) interruptions, and (d) positive emotion words. First, the positive
association between customer aggressive words and employee incivility was more
pronounced when the verbal aggression included second-person pronouns, which we
label targeted aggression. Second, we observed a 2-way interaction between
targeted aggression and customer interruptions such that employees demonstrated
more incivility when targeted customer verbal aggression was accompanied by more
(vs. fewer) interruptions. Third, this 2-way interaction predicting employee
incivility was attenuated when customers used positive emotion words. Our results
support a resource-based explanation, suggesting that customer verbal aggression
consumes employee resources potentially leading to self-regulation failure,
whereas positive emotion words from customers can help replenish employee
resources that support self-regulation. The present study highlights the
advantages of examining what occurs within customer-employee interactions to gain
insight into employee reactions to customer incivility. (PsycINFO Database Record
PMID- 27893257
TI - Is it new? Personal and contextual influences on perceptions of novelty and
creativity.
AB - Novelty recognition is the crucial starting point for extracting value from the
ideas generated by others. In this paper we develop an associative evaluation
account for how personal and contextual factors motivate individuals to perceive
novelty and creativity. We report 4 studies that systematically tested hypotheses
developed from this perspective. Study 1 (a laboratory experiment) showed that
perceivers' regulatory focus, as an experimentally induced state, affected
novelty perception. Study 2 (a field study) found that perceivers' promotion
focus and prevention focus, measured as chronic traits, each interacted with
normative level of novelty and creativity: perceivers who scored higher on
promotion focus perceived more novelty (or creativity) in novel (or creative)
targets than those who scored lower, whereas perceivers who scored higher on
prevention focus perceived less novelty (or creativity) in novel (or creative)
targets than those who scored lower. Study 3 (a field study) showed that
organizational culture affected the perception of novelty and creativity. Study 4
(a laboratory experiment) found perceiver-by-idea-by-context 3-way interaction
effects: for perceivers with prevention focus, the positive relation between
normative level of novelty and novelty ratings was weakened in the loss-framing
condition versus the gain-framing condition. We discuss implications of the
findings for future research and management practice. (PsycINFO Database Record
PMID- 27893258
TI - The rich get richer and the poor get poorer: Country- and state-level income
inequality moderates the job insecurity-burnout relationship.
AB - Despite the prevalence of income inequality in today's society, research on the
implications of income inequality for organizational research is scant. This
study takes the first step to explore the contextual role of national- and state-
level income inequality as a moderator in the relationship between individual
level job insecurity (JI) and burnout. Drawing from conservation of resource
(COR) theory, we argue that income inequality at the country-level and state
level threatens one's obtainment of object (i.e., material coping) and condition
(i.e., nonmaterial coping) resources, thus serving as an environmental stressor
exacerbating one's burnout reactions to JI. The predicted cross-level interaction
effect of income inequality was tested in 2 studies. Study 1 consisting of 23,778
individuals nested in 30 countries explored the moderating effect of country
level income inequality on the relationship between individual JI and exhaustion.
Study 2 collected data from 402 employees residing in 48 states in the United
States, and tested the moderating effect of state-level income inequality on the
relationship between JI and burnout (i.e., emotional exhaustion and cynicism).
Results of both studies converge to support the exacerbating role of higher-level
income inequality on the JI -burnout relationship. Our findings contribute to the
literature on psychological health disparities by exploring the contextual role
of income inequality as a predictor of differential reactions to JI. (PsycINFO
Database Record
PMID- 27893259
TI - Adolescent conscientiousness predicts lower lifetime unemployment.
AB - Existing research on Big Five personality and unemployment has relied on
personality measures elicited after the respondents had already spent years in
the labor market, an experience that could change personality. We clarify the
direction of influence by using the British Cohort Study (N = 4,206) to examine
whether conscientiousness and other Big Five personality traits at age 16-17
predict unemployment over age 16-42. Our hypothesis that higher conscientiousness
in adolescence would predict lower unemployment was supported. In analyses
controlling for intelligence, gender, and parental socioeconomic status, the less
conscientious (-1 SD) had a predicted probability of unemployment twice as high
(3.4% vs. 1.7%) as the highly conscientious (+1 SD), an effect size comparable to
intelligence. Mediation analysis revealed that academic motivation and
educational attainment explained only 8.9% of this association. Fostering
conscientiousness in early life may be an effective way to reduce unemployment
throughout adulthood. (PsycINFO Database Record
PMID- 27893260
TI - Brain drain? An examination of stereotype threat effects during training on
knowledge acquisition and organizational effectiveness.
AB - Stereotype threat describes a situation in which individuals are faced with the
risk of upholding a negative stereotype about their subgroup based on their
actions. Empirical work in this area has primarily examined the impact of
negative stereotypes on performance for threatened individuals. However, this
body of research seldom acknowledges that performance is a function of learning
which may also be impaired by pervasive group stereotypes. This study presents
evidence from a 3-day self-guided training program demonstrating that stereotype
threat impairs acquisition of cognitive learning outcomes for females facing a
negative group stereotype. Using hierarchical Bayesian modeling, results revealed
that stereotyped females demonstrated poorer declarative knowledge acquisition,
spent less time reflecting on learning activities, and developed less efficiently
organized knowledge structures compared with females in a control condition.
Findings from a Bayesian mediation model also suggested that despite stereotyped
individuals "working harder" to perform well, their underachievement was largely
attributable to failures in learning to "work smarter." Building upon these
empirical results, a computational model and computer simulation is also
presented to demonstrate the practical significance of stereotype-induced
impairments to learning on the development of an organization's human capital
resources and capabilities. The simulation results show that even the presence of
small effects of stereotype threat during learning/training have the potential to
exert a significant negative impact on an organization's performance potential.
Implications for future research and practice examining stereotype threat during
learning are discussed. (PsycINFO Database Record
PMID- 27893261
TI - Implementation of behavioral health interventions in real world scenarios:
Managing complex change.
AB - INTRODUCTION: A practice embarks on a radical reformulation of how care is
designed and delivered when it decides to integrate medical and behavioral health
care for its patients and success depends on managing complex change in a complex
system. We examined the ways change is managed when integrating behavioral health
and medical care. METHOD: Observational cross-case comparative study of 19
primary care and community mental health practices. We collected mixed methods
data through practice surveys, observation, and semistructured interviews. We
analyzed data using a data-driven, emergent approach. RESULTS: The change
management strategies that leadership employed to manage the changes of
integrating behavioral health and medical care included: (a) advocating for a
mission and vision focused on integrated care; (b) fostering collaboration, with
a focus on population care and a team-based approaches; (c) attending to
learning, which includes viewing the change process as continuous, and creating a
culture that promoted reflection and continual improvement; (d) using data to
manage change, and (e) developing approaches to finance integration. DISCUSSION:
This paper reports the change management strategies employed by practice leaders
making changes to integrate care, as observed by independent investigators. We
offer an empirically based set of actionable recommendations that are relevant to
a range of leaders (policymakers, medical directors) and practice members who
wish to effectively manage the complex changes associated with integrated primary
care. (PsycINFO Database Record
PMID- 27893263
TI - "Incidental fear cues increase monetary loss aversion": Correction to Schulreich,
Gerhardt, and Heekeren (2016).
AB - Reports an error in "Incidental fear cues increase monetary loss aversion" by
Stefan Schulreich, Holger Gerhardt and Hauke R. Heekeren (Emotion, 2016[Apr], Vol
16[3], 402-412). In the current article, there was an error in the Study 2
portion of the article. The fourth paragraph of the Results section should read
as follows: Performing the same analyses as in Study 1, we found an effect of
incidental fear cues on decision behavior. Participants accepted fewer gambles in
the fearful-face condition (32.77%) than in the neutral-face condition (33.96%),
with Z = -2.187, p = .027, d = -0.998 in the Wilcoxon signed-ranks test and beta
= 0.012, SE = 0.0053, F(1, 21) = 4.434, p = .047, partial eta2 = .174 in the
linear regression. This suggests increased risk aversion in the fearful-face
condition. Concerning personality, however, there were no significant between
subjects effects or between-within interaction effects (all ps = .349). (The
following abstract of the original article appeared in record 2015-52358-001.) In
many everyday decisions, people exhibit loss aversion-a greater sensitivity to
losses relative to gains of equal size. Loss aversion is thought to be (at least
partly) mediated by emotional-in particular, fear-related-processes. Decision
research has shown that even incidental emotions, which are unrelated to the
decision at hand, can influence decision making. The effect of incidental fear on
loss aversion, however, is thus far unclear. In two studies, we experimentally
investigated how incidental fear cues, presented during (Study 1) or before
(Study 2) choices to accept or reject mixed gambles over real monetary stakes,
influence monetary loss aversion. We find that the presentation of fearful faces,
relative to the presentation of neutral faces, increased risk aversion-an effect
that could be attributed to increased loss aversion. The size of this effect was
moderated by psychopathic personality: Fearless dominance, in particular its
interpersonal facet, but not self-centered impulsivity, attenuated the effect of
incidental fear cues on loss aversion, consistent with reduced fear reactivity.
Together, these results highlight the sensitivity of loss aversion to the
affective context. (PsycINFO Database Record
PMID- 27893262
TI - Reducing barriers to mental health care for student-athletes: An integrated care
model.
AB - Research suggests that National Collegiate Athletic Association (NCAA) Division I
student-athletes have higher levels of stress and other behavioral health issues,
including substance use, than nonathletes. For several reasons, student-athletes
may be less likely to admit to behavioral health issues and seek mental health
care. Integrated care is a model of care that integrates behavioral health into a
medical practice. This article explores the newly released NCAA Best Mental
Health Practice guidelines and the application of integrated care to a Division I
athletic training room setting using the three-worldview framework for successful
integration, incorporating clinical outcomes, operational reliability, and
financial stability. (PsycINFO Database Record
PMID- 27893264
TI - "Is supervision necessary? Examining the effects of Internet-based CBT training
with and without supervision": Correction to Rakovshik et al. (2016).
AB - : Reports an error in "Is supervision necessary? Examining the effects of
internet-based CBT training with and without supervision" by Sarah G. Rakovshik,
Freda McManus, Maria Vazquez-Montes, Kate Muse and Dennis Ougrin (Journal of
Consulting and Clinical Psychology, 2016[Mar], Vol 84[3], 191-199). In the
article, the department and affiliation were misspelled for author Kate Muse. The
department and affiliation should have read Psychology Department, University of
Worcester. All versions of this article has been corrected. (The following
abstract of the original article appeared in record 2016-03513-001.) Objective:
To investigate the effect of Internet-based training (IBT), with and without
supervision, on therapists' (N = 61) cognitive-behavioral therapy (CBT) skills in
routine clinical practice. METHOD: Participants were randomized into 3
conditions: (1) Internet-based training with use of a consultation worksheet (IBT
CW); (2) Internet-based training with CBT supervision via Skype (IBT-S); and (3)
"delayed-training" controls (DTs), who did not receive the training until all
data collection was completed. The IBT participants received access to training
over a period of 3 months. CBT skills were evaluated at pre-, mid- and
posttraining/wait using assessor competence ratings of recorded therapy sessions.
RESULTS: Hierarchical linear analysis revealed that the IBT-S participants had
significantly greater CBT competence at posttraining than did IBT-CW and DT
participants at both the mid- and posttraining/wait assessment points. There were
no significant differences between IBT-CW and the delayed (no)-training DTs.
CONCLUSIONS: IBT programs that include supervision may be a scalable and
effective method of disseminating CBT into routine clinical practice,
particularly for populations without ready access to more-traditional "live"
methods of training. There was no evidence for a significant effect of IBT
without supervision over a nontraining control, suggesting that merely providing
access to IBT programs may not be an effective method of disseminating CBT to
routine clinical practice. (PsycINFO Database Record
PMID- 27893265
TI - VA residential treatment providers' use of two evidence-based psychotherapies for
PTSD: Global endorsement versus specific components.
AB - OBJECTIVE: Despite a growing body of knowledge about the dissemination of
evidence-based psychotherapies (EBPs), their actual use in clinical settings is
not well understood. The purpose of the current study was to compare self
reported component use with global use for 2 EBPs for posttraumatic stress
disorder (PTSD), prolonged exposure (PE), and cognitive processing therapy (CPT).
METHOD: Around 174 providers from 38 VA PTSD residential treatment programs were
asked about both global use and component use of PE and CPT. RESULTS: Among
frequent users of these EBPs, component use was generally high, especially for
low-intensity and nonspecific components. For each form of treatment, there were
a small number of providers who reported using the treatment frequently but did
not use most of the key components of the treatment. CONCLUSIONS: These findings
highlight the importance of understanding the modifications that providers make
to EBPs and suggest the importance of flexibility within fidelity to these
treatments. (PsycINFO Database Record
PMID- 27893266
TI - Assessing civilian perceptions of combat veterans: An IAT study.
AB - OBJECTIVE: Evidence suggests that civilians may have considerable ambivalence to
returning veterans. While civilians are frequently grateful for the service of
military personnel, they can often be wary of the mental health and stability of
returning veterans. If civilians do hold such negative biases toward veterans,
whether implicit or explicit, this may have a significant impact on the ability
of military personnel to integrate back into society. The goal of the study was
to test whether participants held an implicit bias of mental instability toward
veterans. METHOD: In this study, 48 participants took an adapted version of the
Implicit Association Test (IAT; Greenwald, McGhee, & Schwartz, 1998). Pictures of
veterans and civilians were paired with words that either reflected mental
stability (safe, sane, reliable, responsible) or instability (crazy, dangerous,
unstable, unpredictable). RESULTS: The results demonstrated a finding of moderate
effect size for an association between veterans and instability. Participants had
significantly shorter response times (RTs) for IAT blocks in which veteran
pictures and negative words were paired. CONCLUSIONS: This is the first study in
the literature to demonstrate implicit biases of veterans as unstable. If
implicit biases do in fact exist, the reintegration issues that veterans face may
be due, at least in part, to a negative bias they face upon their return.
(PsycINFO Database Record
PMID- 27893267
TI - Identity adjustment among Afghanistan and Iraq war veterans with reintegration
difficulty.
AB - OBJECTIVE: To examine perceptions of identity adjustment in a diverse, national
sample of U.S. veterans of the wars in Afghanistan and Iraq. METHOD: The authors
conducted a planned thematic analysis of text written by Afghanistan and Iraq war
veterans when they were asked to describe their reintegration difficulties as
part of a randomized controlled trial (RCT) of online expressive writing (Sayer
et al., 2015). Participants were 100 randomly selected veterans from the larger
study (42 women and 58 men, 60 active duty and 38 reserves or National Guard).
RESULTS: Nearly 2/3s of participants wrote about their identity adjustment. The 5
interrelated areas of identity adjustment difficulty were (a) feeling like one
does not belong in civilian society, (b) missing the military's culture and
structured lifestyle, (c) holding negative views of civilian society, (d) feeling
left behind compared to civilian counterparts due to military service, and (e)
having difficulty finding meaning in the civilian world. The authors did not
observe differences by gender. However, those deployed from active duty were
particularly likely to feel as if they did not belong in civilian society and
that they had not acquired needed skills, whereas those deployed from the
reserves or National Guard experienced difficulty in reestablishing former
civilian identities. CONCLUSIONS: Identity adjustment is a critical yet
understudied aspect of veteran reintegration into community life following combat
deployment. (PsycINFO Database Record
PMID- 27893268
TI - Domain-general mechanisms for speech segmentation: The role of duration
information in language learning.
AB - Speech segmentation is supported by multiple sources of information that may
either inform language processing specifically, or serve learning more broadly.
The Iambic/Trochaic Law (ITL), where increased duration indicates the end of a
group and increased emphasis indicates the beginning of a group, has been
proposed as a domain-general mechanism that also applies to language. However,
language background has been suggested to modulate use of the ITL, meaning that
these perceptual grouping preferences may instead be a consequence of language
exposure. To distinguish between these accounts, we exposed native-English and
native-Japanese listeners to sequences of speech (Experiment 1) and nonspeech
stimuli (Experiment 2), and examined segmentation using a 2AFC task. Duration was
manipulated over 3 conditions: sequences contained either an initial-item
duration increase, or a final-item duration increase, or items of uniform
duration. In Experiment 1, language background did not affect the use of duration
as a cue for segmenting speech in a structured artificial language. In Experiment
2, the same results were found for grouping structured sequences of visual
shapes. The results are consistent with proposals that duration information draws
upon a domain-general mechanism that can apply to the special case of language
acquisition. (PsycINFO Database Record
PMID- 27893269
TI - Submentalizing or mentalizing in a Level 1 perspective-taking task: A cloak and
goggles test.
AB - It has been proposed that humans possess an automatic system to represent mental
states ('implicit mentalizing'). The existence of an implicit mentalizing system
has generated considerable debate however, centered on the ability of various
experimental paradigms to demonstrate unambiguously such mentalizing. Evidence
for implicit mentalizing has previously been provided by the 'dot perspective
task,' where participants are slower to verify the number of dots they can see
when an avatar can see a different number of dots. However, recent evidence
challenged a mentalizing interpretation of this effect by showing it was
unaltered when the avatar was replaced with an inanimate arrow stimulus. Here we
present an extension of the dot perspective task using an invisibility cloaking
device to render the dots invisible on certain trials. This paradigm is capable
of providing unambiguous evidence of automatic mentalizing, but no such evidence
was found. Two further well-powered experiments used opaque and transparent
goggles to manipulate visibility but found no evidence of automatic mentalizing,
nor of individual differences in empathy or perspective-taking predicting
performance, contradicting previous studies using the same design. The results
cast doubt on the existence of an implicit mentalizing system, suggesting that
previous effects were due to domain-general processes. (PsycINFO Database Record
PMID- 27893271
TI - Visual search for conjunctions of physical and numerical size shows that they are
processed independently.
AB - The size congruity effect refers to the interaction between numerical magnitude
and physical digit size in a symbolic comparison task. Though this effect is well
established in the typical 2-item scenario, the mechanisms at the root of the
interference remain unclear. Two competing explanations have emerged in the
literature: an early interaction model and a late interaction model. In the
present study, we used visual conjunction search to test competing predictions
from these 2 models. Participants searched for targets that were defined by a
conjunction of physical and numerical size. Some distractors shared the target's
physical size, and the remaining distractors shared the target's numerical size.
We held the total number of search items fixed and manipulated the ratio of the 2
distractor set sizes. The results from 3 experiments converge on the conclusion
that numerical magnitude is not a guiding feature for visual search, and that
physical and numerical magnitude are processed independently, which supports a
late interaction model of the size congruity effect. (PsycINFO Database Record
PMID- 27893270
TI - A link between attentional function, effective eye movements, and driving
ability.
AB - The misallocation of driver visual attention has been suggested as a major
contributing factor to vehicle accidents. One possible reason is that the
relatively high cognitive demands of driving limit the ability to efficiently
allocate gaze. We present an experiment that explores the relationship between
attentional function and visual performance when driving. Drivers performed 2
variations of a multiple-object tracking task targeting aspects of cognition
including sustained attention, dual-tasking, covert attention, and visuomotor
skill. They also drove a number of courses in a driving simulator. Eye movements
were recorded throughout. We found that individuals who performed better in the
cognitive tasks exhibited more effective eye movement strategies when driving,
such as scanning more of the road, and they also exhibited better driving
performance. We discuss the potential link between an individual's attentional
function, effective eye movements, and driving ability. We also discuss the use
of a visuomotor task in assessing driving behavior. (PsycINFO Database Record
PMID- 27893272
TI - Action effects are coded as transitions from current to future stimulation:
Evidence from compatibility effects in tracking.
AB - There is ample evidence that motor actions are stored in terms of, and controlled
by, the sensory effects that these actions produce. At present it is unclear,
though, whether action control is governed by intended sensory changes (e.g., the
transition from darkness to brightness when switching on a light) or only by
intended sensory end states (e.g., the light being on). The present study
explored the role of sensory changes for action control. To address this issue,
participants engaged in a spatial tracking task. We show that performance is
determined by the compatibility between motor patterns and subsequent changes of
a controlled stimulus, while the intended end state of the stimulus remains
constant. Spatial compatibility increases performance even when perceptual
changes of spatial features are not the primary target of control. These results
suggest that intended transitions of stimulation have the potential to bias motor
actions. We consider these results as an important step toward integrating closed
loop regulation approaches and ideomotor approaches of action control. (PsycINFO
Database Record
PMID- 27893273
TI - Spontaneous rereading within sentences: Eye movement control and visual sampling.
AB - Three experiments examine the role of previously read text in sentence
comprehension and the control of eye movements during spontaneous rereading.
Spontaneous rereading begins with a regressive saccade and involves reinspection
of previously read text. All 3 experiments employed the gaze-contingent change
technique to modulate the availability of previously read text. In Experiment 1,
previously read text was permanently masked either immediately to the left of the
fixated word (beyond wordn) or more than 1 word to the left (beyond wordn-1). The
results of Experiment 1 indicate that the availability of the word immediately to
the left (wordn-1) is important for comprehension. Experiments 2 and 3 further
explored the role of previously read text beyond wordn-1. In these studies, text
beyond wordn-1 was replaced, retaining only word length information, or word
length and shape information. Following a regression back within a sentence,
meaningful text either reappeared or remained unavailable during rereading. The
experiments show that the visual format of text beyond wordn-1 (the parafoveal
postview) is important for triggering regressions. The results also indicate
that, as least for more complex sentences, the availability of meaningful text is
important in driving eye movement control during rereading. (PsycINFO Database
Record
PMID- 27893274
TI - Perceptual adaptation of vowels generalizes across the phonology and does not
require local context.
AB - Listeners usually understand without difficulty even speech that sounds atypical.
When they encounter noncanonical realizations of speech sounds, listeners can
make short-term adjustments of their long-term representations of those sounds.
Previous research, focusing mostly on adaptation in consonants, has suggested
that for perceptual adaptation to take place some local cues (lexical,
phonotactic, or visual) have to guide listeners' interpretation of the atypical
sounds. In the present experiment we investigated perceptual adaptation in
vowels. Our first aim was to show whether perceptual adaptation generalizes to
unexposed but phonologically related vowels. To this end, we exposed Greek
listeners to words or nonwords containing manipulated /i/ or /e/, and tested
whether they adapted their perception of the /i/-/e/ contrast, as well as the
unexposed /u/-/o/ contrast, which represents the same phonological height
distinction. Our second aim was to test whether perceptual adaptation in vowels
requires local context. Thus, a half of our listeners heard the manipulated
vowels in real Greek words, while the other half heard them in nonwords providing
no phonotactic cues on vowel identity. The results showed similar adjustment of
/i/-/e/ categorization and of /u/-/o/ categorization, which indicates
generalization of perceptual adaptation across phonologically related vowels.
Furthermore, adaptation occurred irrespective of whether local context cues were
present or not, suggesting that, at least in vowels, adaptation can be based on
the distribution of auditory properties in the input. Our findings, confirming
that fast perceptual adaptation in adult listeners occurs even for vowels,
highlight the role of phonological abstraction in speech perception. (PsycINFO
Database Record
PMID- 27893275
TI - Re: "Identifying Underlying Emotional Instability and Utilizing a Combined
Intervention in the Treatment of Childhood Constipation and Encopresis-A Case
Report" by Jamie L. Davis (J Altern Complement Med 2016;22:489-492).
PMID- 27893276
TI - Opportunities for HIV Prevention Communication During Sexual Encounters with
Black Men Who Have Sex with Men.
AB - Conversations about HIV prevention before engaging in sex may result in safer sex
practices and decreased HIV transmission. However, partner communication for HIV
prevention has been understudied among black/African American men who have sex
with men (BMSM), a group that is disproportionately affected by HIV. We explored
and described encounters and perceptions about HIV prevention conversations among
BMSM and their sex partner(s) in New York City. We conducted an inductive
thematic analysis of semi-structured interviews with BMSM who reported sex with a
man in the previous 3 months. Interviews were professionally transcribed; Nvivo
was used for data analysis. Twenty-two BMSM were included in this analysis;
median age = 29.1 years; 71.4% self-identified as MSM; 85.7% were ever HIV
tested; and 52.6% reported no disclosure or discussion about HIV status with
their previous sex partner. The main themes were: (1) missed opportunities for
HIV prevention conversations (e.g., no HIV prevention conversations or HIV
prevention conversations after sex had occurred); (2) barriers to HIV prevention
conversations (e.g., being in the moment; not wanting to pause); (3) emotional
thoughts after sex (e.g., feeling worried about possible HIV exposure); and (4)
rethinking relationships and sexual health (e.g., changed sex practices by asking
partners' HIV status before sex; started using condoms). These findings offer
insight into HIV prevention conversations by BMSM around the time of or during
sexual encounters and may inform and strengthen partner-level HIV prevention
communication interventions for BMSM.
PMID- 27893277
TI - Lung Carcinoma Staging Update.
AB - CONTEXT: - The International Association for the Study of Lung Cancer Staging
Committee has prospectively created an international lung cancer database that
was used to address many lung cancer staging questions, such as tumor size, nodal
status, and metastatic disease. The proposed changes for the upcoming 8th edition
of the cancer staging manual were based on survival data and better prognostic
stratification of patients with lung cancer. OBJECTIVES: - To review published
recommendations for the revision of lung carcinoma TNM staging and to address
potential challenges in pathologic staging. DATA SOURCE: - PubMed available
articles by the International Association for the Study of Lung Cancer Staging
Committee were reviewed. CONCLUSIONS: - The TNM system remains the best
prognosticator of lung cancer outcome. The recommendations are established on new
prospective data analysis and reflect the improvements in prognostic separation
of patients with lung cancer based on a multidisciplinary approach.
PMID- 27893278
TI - Expected Fitness Gains of Randomized Search Heuristics for the Traveling
Salesperson Problem.
AB - Randomized search heuristics are frequently applied to NP-hard combinatorial
optimization problems. The runtime analysis of randomized search heuristics has
contributed tremendously to our theoretical understanding. Recently, randomized
search heuristics have been examined regarding their achievable progress within a
fixed-time budget. We follow this approach and present a fixed-budget analysis
for an NP-hard combinatorial optimization problem. We consider the well-known
Traveling Salesperson Problem (TSP) and analyze the fitness increase that
randomized search heuristics are able to achieve within a given fixed-time
budget. In particular, we analyze Manhattan and Euclidean TSP instances and
Randomized Local Search (RLS), (1+1) EA and (1+[Formula: see text]) EA algorithms
for the TSP in a smoothed complexity setting, and derive the lower bounds of the
expected fitness gain for a specified number of generations.
PMID- 27893279
TI - American Alcohol Photo Stimuli (AAPS): A standardized set of alcohol and matched
non-alcohol images.
AB - BACKGROUND: Photographic stimuli are commonly used to assess cue reactivity in
the research and treatment of alcohol use disorder. The stimuli used are often
non-standardized, not properly validated, and poorly controlled. There are no
previously published, validated, American-relevant sets of alcohol images created
in a standardized fashion. OBJECTIVES: We aimed to: 1) make available a
standardized, matched set of photographic alcohol and non-alcohol beverage
stimuli, 2) establish face validity, the extent to which the stimuli are
subjectively viewed as what they are purported to be, and 3) establish construct
validity, the degree to which a test measures what it claims to be measuring.
METHODS: We produced a standardized set of 36 images consisting of American
alcohol and non-alcohol beverages matched for basic color, form, and complexity.
A total of 178 participants (95 male, 82 female, 1 genderqueer) rated each image
for appetitiveness. An arrow-probe task, in which matched pairs were categorized
after being presented for 200 ms, assessed face validity. Criteria for construct
validity were met if variation in AUDIT scores were associated with variation in
performance on tasks during alcohol image presentation. RESULTS: Overall, images
were categorized with >90% accuracy. Participants' AUDIT scores correlated
significantly with alcohol "want" and "like" ratings [r(176) = 0.27, p = <0.001;
r(176) = 0.36, p = <0.001] and arrow-probe latency [r(176) = -0.22, p = 0.004],
but not with non-alcohol outcomes. Furthermore, appetitive ratings and arrow
probe latency for alcohol, but not non-alcohol, differed significantly for heavy
versus light drinkers. CONCLUSION: Our image set provides valid and reliable
alcohol stimuli for both explicit and implicit tests of cue reactivity. The use
of standardized, validated, reliable image sets may improve consistency across
research and treatment paradigms.
PMID- 27893280
TI - Establishment of a Human Breast Cancer Model by Fusion PCR for In Vivo and In
Vitro Fluorescence Imaging of Human Breast Cancer.
AB - This study aimed to construct a breast cancer model that could continuously
express the genes of luciferase and green fluorescent protein. The genes
luciferase, EGFP, and Neo were obtained by fusion polymerase chain reaction (PCR)
and inserted into pAAV-MCS. The pAAV-Luciferase-EGFP-Neo vector was transfected
into MDA-MB-231 cells. After antibiotic resistance gene screening and limiting
dilution assay, we constructed a monoclonal stable cell line that expresses the
fusion protein Luciferase-EGFP. In comparison with the polyclonal stable cell
line, the monoclonal cell line had good genetic stability and was not different
from the parental cell line MDA-MB-231. The monoclonal stable cell line would be
ideal for a breast cancer model. Indices of fluorescence imaging can be applied
to fluorescence imaging in vitro and in vivo, providing a straightforward and
reliable system for breast cancer and drug discovery research.
PMID- 27893281
TI - The effects of drug and alcohol consumption on driver injury severities in single
vehicle crashes.
AB - OBJECTIVE: It is well known that alcohol and drugs influence driving behavior by
affecting the central nervous system, awareness, vision, and perception/reaction
times, but the resulting effect on driver injuries in car crashes is not fully
understood. The purpose of this study was to identify factors affecting the
injury severities of unimpaired, alcohol-impaired, and drug-impaired drivers.
METHOD: The current article applies a random parameters logit model to study the
differences in injury severities among unimpaired, alcohol-impaired, and drug
impaired drivers. Using data from single-vehicle crashes in Cook County,
Illinois, over a 9-year period from January 1, 2004, to December 31, 2012,
separate models for unimpaired, alcohol-impaired, and drug-impaired drivers were
estimated. A wide range of variables potentially affecting driver injury severity
was considered, including roadway and environmental conditions, driver
attributes, time and location of the crash, and crash-specific factors. RESULTS:
The estimation results show significant differences in the determinants of driver
injury severities across groups of unimpaired, alcohol-impaired, and drug
impaired drivers. The findings also show that unimpaired drivers are
understandably more responsive to variations in lighting, adverse weather, and
road conditions, but these drivers also tend to have much more heterogeneity in
their behavioral responses to these conditions, relative to impaired drivers. In
addition, age and gender were found to be important determinants of injury
severity, but the effects varied significantly across all drivers, particularly
among alcohol-impaired drivers. CONCLUSIONS: The model estimation results show
that statistically significant differences exist in driver injury severities
among the unimpaired, alcohol-impaired, and drug-impaired driver groups
considered. Specifically, we find that unimpaired drivers tend to have more
heterogeneity in their injury outcomes in the presence potentially adverse
weather and road surface conditions. This makes sense because one would expect
unimpaired drivers to apply their full knowledge/judgment range to deal with
these conditions, and the variability of this range across the driver population
(with different driving experiences, etc.) should be great. In contrast, we find,
for the most part, that alcohol-impaired and drug-impaired drivers have far less
heterogeneity in the factors that affect injury severity, suggesting an
equalizing effect resulting from the decision-impairing substance.
PMID- 27893282
TI - Plant Food Supplements with Antioxidant Properties for the Treatment of Chronic
and Neurodegenerative Diseases: Benefits or Risks?
AB - Wine by-products, in particular grape pomace, can be an important source of
polyphenols and dietary fibers and are increasingly being used as a starting
material in the industrial production of plant food supplements, such as other
matrices containing biomolecules, with antioxidant properties. The risk
associated with the consumption of these products was recently analyzed through a
study of potential genotoxic and carcinogenic compounds that can be found in the
marketed products. In particular, occurrence data about contamination with the
mycotoxin ochratoxin A were also reported. This short review aims at giving an
overview about the quality and benefits of these kinds of food supplements, and
also about risks of incorrect use, focusing on the emerging need for stricter
European regulations.
PMID- 27893283
TI - Driver speed selection on high-speed two-lane highways: Comparing speed profiles
between uniform and differential speed limits.
AB - OBJECTIVE: Although a considerable amount of prior research has investigated the
impacts of speed limits on traffic safety and operations, much of this research,
and nearly all of the research related to differential speed limits, has been
specific to limited access freeways. The unique safety and operational issues on
highways without access control create difficulty relating the conclusions from
prior freeway-related speed limit research to 2-lane highways, particularly
research on differential limits due to passing limitations and subsequent
queuing. Therefore, the objective of this study was to assess differences in
driver speed selection with respect to the posted speed limit on rural 2-lane
highways, with a particular emphasis on the differences between uniform and
differential speed limits. METHODS: Data were collected from nearly 59,000
vehicles across 320 sites in Montana and 4 neighboring states. Differences in
mean speeds, 85th percentile speeds, and the standard deviation in speeds for
free-flowing vehicles were examined across these sites using ordinary least
squares regression models. RESULTS: Ultimately, the results of the analysis show
that the mean speed, 85th percentile speed, and variability in travel speeds for
free-flowing vehicles on 2-lane highways are generally lower at locations with
uniform 65 mph speed limits, compared to locations with differential limits of 70
mph for cars and 60 mph for trucks. CONCLUSIONS: In addition to posted speed
limits, several site characteristics were shown to influence speed selection
including shoulder widths, frequency of horizontal curves, percentage of the
segment that included no passing zones, and hourly volumes. Differences in
vehicle speed characteristics were also observed between states, indicating that
speed selection may also be influenced by local factors, such as driver
population or enforcement.
PMID- 27893284
TI - Biomarkers and Metabolic Patterns in the Sediments of Evolving Glacial Lakes as a
Proxy for Planetary Lake Exploration.
AB - Oligotrophic glacial lakes in the Andes Mountains serve as models to study the
effects of climate change on natural biological systems. The persistent high UV
regime and evolution of the lake biota due to deglaciation make Andean lake
ecosystems potential analogues in the search for life on other planetary bodies.
Our objective was to identify microbial biomarkers and metabolic patterns that
represent time points in the evolutionary history of Andean glacial lakes, as
these may be used in long-term studies as microscale indicators of climate change
processes. We investigated a variety of microbial markers in shallow sediments
from Laguna Negra and Lo Encanado lakes (Region Metropolitana, Chile). An on-site
immunoassay-based Life Detector Chip (LDChip) revealed the presence of sulfate
reducing bacteria, methanogenic archaea, and exopolymeric substances from
Gammaproteobacteria. Bacterial and archaeal 16S rRNA gene sequences obtained from
field samples confirmed the results from the immunoassays and also revealed the
presence of Alpha-, Beta-, Gamma-, and Deltaproteobacteria, as well as
cyanobacteria and methanogenic archaea. The complementary immunoassay and
phylogenetic results indicate a rich microbial diversity with active sulfate
reduction and methanogenic activities along the shoreline and in shallow
sediments. Sulfate inputs from the surrounding volcanic terrains during
deglaciation may explain the observed microbial biomarker and metabolic patterns,
which differ with depth and between the two lakes. A switch from aerobic and
heterotrophic metabolisms to anaerobic ones such as sulfate reduction and
methanogenesis in the shallow shores likely reflects the natural evolution of the
lake sediments due to deglaciation. Hydrodynamic deposition of sediments creates
compartmentalization (e.g., sediments with different structure and composition
surrounded by oligotrophic water) that favors metabolic transitions. Similar
phenomena would be expected to occur on other planetary lakes, such as those of
Titan, where watery niches fed by depositional events would be surrounded by a
"sea" of hydrocarbons. Key Words: Glacier lakes-Sedimentation-Prokaryotic
metabolisms and biomarkers-Deglaciation-Life detection-Planetary exploration.
Astrobiology 18, 586-606.
PMID- 27893285
TI - Recent advances in subtyping tumors of the central nervous system using molecular
data.
AB - INTRODUCTION: Primary brain tumors account for substantial morbidity and
mortality. They often infiltrate the brain diffusely, continue growing, and cause
adverse events, such as headaches, seizures, and neurological deficits. The
classification of primary brain tumors, based for decades on histology, has been
fundamentally changed by the World Health Organization in 2016 by incorporation
of molecular data. Areas covered: Literature from glioblastomas, high- and low
grade astrocytic, oligodendroglial, glioneuronal and ependymal tumors from the
last five years were reviewed. Results from comprehensive molecular profiling of
neoplasms and impact of recent molecular subtyping on neuropathological diagnosis
are presented. Expert commentary: The identification of frequent acquired
mutations shows that adult and pediatric glioblastomas have divergent biology
with differing prognoses. Astrocytoma and oligodendroglioma are more closely
related than previously thought. Molecular profiling now enables the precise
classification of most diffuse gliomas into three clinically and therapeutically
different subtypes according to the presence or absence of IDH mutation and
1p/19q codeletion. New subgroups with different clinical outcomes and anatomic
locations have emerged in ependymomas and pediatric embryonal tumors.
PMID- 27893286
TI - Cessation of Nocturnal Enuresis with Aripiprazole.
PMID- 27893288
TI - Analyzing injury severity of bus passengers with different movements.
AB - OBJECTIVE: Though public transport vehicles are rarely involved in mass casualty
accidents, when they are, the number of injuries and fatalities is usually high
due to the high passenger capacity. Of the few studies that have been conducted
on bus safety, the majority focused on vehicle safety features, road
environmental factors, as well as driver characteristics. Nevertheless, few
studies have attempted to investigate the underlying risk factors related to bus
occupants. This article presents an investigation aimed at identifying the risk
factors affecting injury severity of bus passengers with different movements.
METHOD: Three different passenger movement types including standing, seated, and
boarding/alighting were analyzed individually using classification and regression
tree (CART) method based on publicly available accident database of Great
Britain. RESULTS: According to the results of exploratory analyses, passenger age
and vehicle maneuver are associated with passenger injury severity in all 3 types
of accidents. Moreover, the variable "skidding and overturning" is associated
with injury severity of seated passengers and driver age is correlated with
injury severity of standing and boarding/alighting passengers. CONCLUSIONS: The
CART method shows its ability to identify and easily explain the complicated
patterns affecting passenger injury severity. Several countermeasures to reduce
bus passenger injury severity are recommended.
PMID- 27893287
TI - Hepatitis E Virus in Wild Boar in Northwest Poland: Sensitivity of Methods of
Detection.
AB - In northwest Poland, 163 blood and 53 fecal samples of wild boars were collected
in winter 2012/13 and 2013/14. All blood samples were tested for the presence of
hepatitis E virus (HEV) ribonucleic acid (RNA) by two reverse transcription
polymerase chain reaction (RT-PCR) based methods and by anti-HEV IgG enzyme
linked immunosorbent assay (ELISA). About 17.2% of blood samples were
seropositive. One-step nested RT-PCR turned out to be too insensitive (11.6% were
positive). Therefore a two-step nested RT-PCR was applied where 25.8% of the
blood samples were tested positive for HEV RNA. About 50.0% of blood samples
positive in ELISA were also positive in two-step nested RT-PCR. The prevalence of
HEV RNA in feces was 9.4%. Based on the results of blood (ELISA, PCR) and fecal
(PCR) tests, the overall prevalence of HEV in wild boars in northwest Poland was
36.8%. There was no correlation between the ELISA results and the presence of HEV
RNA in plasma or in feces. According to the sequencing results of 348 bp PCR
products of HEV, there were four different subtypes identified. Reports on the
prevalence of HEV in wild boar populations are varying due to different
sensitivities of the detection methods. However, this study reveals based on a
highly sensitive method that HEV is widely spread in wild boar populations in the
northwestern region of Poland and posing a potential risk to the consumer of game
meat.
PMID- 27893289
TI - Solitary Active Videogame Play Improves Executive Functioning More Than
Collaborative Play for Children with Special Needs.
AB - OBJECTIVE: This pilot study examined the impact of playing an active videogame on
executive functioning (EF) skills for children with special needs, who typically
have lower EF skills. MATERIALS AND METHODS: Acute EF change was measured in 36
children with a range of special needs, including mental health disorders and
developmental disabilities. Participants were assigned to one of two active
videogame conditions: playing alone and playing with a peer. Two different EF
tasks were conducted pre- and postplay. RESULTS: Children who played alone
increased their accuracy performance more than children in the paired-play
condition on two measures of EF. The study explored potential covariates of prior
videogame experience, age, and enjoyment, but none of these variables related to
EF change. CONCLUSION: This study's findings support active videogame play as an
activity that can boost EF skills for children with special needs when they play
alone. Future research should continue to examine the relationships between EF
and active videogame play with a peer to elucidate the contributions of social
interactions.
PMID- 27893290
TI - Gene alterations and epigenetic changes in intrahepatic cholangiocarcinoma.
AB - INTRODUCTION: Intrahepatic cholangiocarcinoma (ICC) is a highly malignant
neoplasm. The incidence of ICC has been increasing world-wide over the past
several decades. Areas covered: The pathogenesis of ICC is a complex process
involving the alteration of specific genes and epigenetic changes. This review
summarizes the advances in research of gene alterations and epigenetic changes in
ICC; it also aims to promote the findings of new biomarkers that can be used to
diagnose ICC and to provide novel ideas for the combination of molecular targeted
therapies. Expert commentary: Surgical resection is regarded as the most
effective curative treatment, although in many cases, resectability and
curability are unsatisfactory. Furthermore, many patients are diagnosed at
advanced stages and have to resort to chemotherapy, which is rarely curative.
Many of the molecular mechanisms of ICC are yet to be determined; once these
determinations are made, then ICC may be able to be treated by precise targeted
drugs.
PMID- 27893291
TI - Association between use of short-acting benzodiazepines and migraine occurrence:
a nationwide population-based case-control study.
AB - AIM: To evaluate the association between using benzodiazepines (BZDs) with short-
or long-acting durations and migraine occurrence. METHODS: The migraine group
comprised 9616 subjects older than 20 years and newly diagnosed with migraine
between 2005 and 2011, and the comparison group comprised 38,464 subjects without
migraine. The BZDs used in the subjects were dichotomously defined as short
acting (half-life <=24 h) and long-acting substances. A logistic regression model
was used to calculate the odds ratio (OR) of migraine associated with BZD
exposure and other diseases. RESULTS: The adjusted OR of migraine associated with
BZD exposure was 1.73 (95% confidence interval [CI] = 1.63-1.84). Either exposure
to a short-acting BZD alone or using it combining with a long-acting BZD had
significant higher risks of migraine (adjusted OR = 1.69, 95% CI = 1.59-1.80;
adjusted OR = 2.06, 95% CI = 1.91-2.24, respectively), whereas only long-acting
BZD use was not associated with an increase of migraine. Meanwhile, sleep
disorders, anxiety, and stroke were strongly associated with migraine (adjusted
OR = 2.00, 1.91, and 1.57, respectively). CONCLUSIONS: We observed a significant
increase of migraine occurrence in subjects using short-acting BZDs, either alone
or in combination with long-acting ones.
PMID- 27893292
TI - INAPPROPRIATE USE OF SUPPRESSIVE DOSES OF THYROID HORMONE IN THYROID NODULE
MANAGEMENT: RESULTS FROM A NATIONWIDE SURVEY.
PMID- 27893294
TI - Management of Multifactorial Infant Delirium with Intravenous Haloperidol in the
Setting of Over Sedation and Poor Enteral Absorption.
PMID- 27893295
TI - Medical Directors of Breastfeeding Programs at Academic Medical Centers: Duties
and Challenges.
AB - INTRODUCTION: An emerging trend in Academic Medical Centers (AMCs) is to
coordinate breastfeeding care, research, and trainee education through "medical
director" positions. Little is known about their duties, supports, and
challenges. OBJECTIVE: To determine the duties and challenges of directors of
breastfeeding medicine in AMCs. METHODS: A survey was distributed four times over
a 3-month period to three listservs with a total of 860 members. Physicians
directing breastfeeding programs or services at AMCs were included. Participants
were asked about details of their employment, time distribution of the position,
their role in education, billing practices, and major hurdles. RESULTS: Thirty
two physicians responded to the survey: 25 from the United States, and seven
international. Only 22% of respondents had formal job descriptions and only 31%
reported being paid for their breastfeeding work. Most positions were created in
the past 10 years (75%), were housed in Departments of Pediatrics (75%), and
provided clinical services for breastfeeding in inpatient and outpatient
settings. Ninety-one percent of respondents educated trainees and provided
oversight of lactation consultants. Major hurdles included difficulties with
billing for services, lack of protected time and personal reimbursement, lack of
formal structure and job descriptions, and lack of buy-in at the institutional
and sometimes governmental levels. CONCLUSIONS: Medical Directors of
Breastfeeding Services may be increasingly prevalent in AMCs and are engaged in
inpatient and outpatient clinical work, trainee education, and lactation
consultant oversight. Both financial and structural hurdles were reported by
those holding these positions.
PMID- 27893293
TI - PREVELENCE OF PRIMARY ALDOSTERONISM IN AN URBAN HYPERTENSIVE POPULATION.
AB - OBJECTIVE: To determine the prevalence of primary aldosteronism (PA) in
hypertensive patients presenting to the primary care clinic at The Mount Sinai
Hospital, regardless of the degree of hypertension and to identify clinical
criteria that should prompt screening for PA. METHODS: An aldosterone:renin ratio
(ARR, cutoff >=20, with plasma aldosterone concentration [PAC] >=10 and
suppressed renin) was used to prospectively screen 296 hypertensive patients
(blood pressure [BP] >=140/90) over the age of 18 from August 2012 through May
2013. Subjects who screened positive then underwent confirmatory oral salt load
testing (OSLT). RESULTS: Of the 296 patients, 14 screened positive for PA, an
overall prevalence of 4.7%. Six of the 14 cases underwent confirmatory OSLT, upon
which 2 were confirmed positive, for a prevalence of 0.7%. Overall, patients with
confirmed PA were more likely to have resistant hypertension (42.9% vs. 18.1% (P
= .0334)) and require more antihypertensive agents (2.8 +/- 1.2 agents vs. 2.1 +/
1.1 agents, P = .0213). There was a trend toward lower potassium values in the
cases. CONCLUSION: The prevalence of PA in our clinic is much lower than in
reports from certain "at-risk" populations. PA screening is indicated in patients
with resistant hypertension, regardless of serum potassium levels. ABBREVIATIONS:
ARR = aldosterone:renin ratio ACTH = adrenocorticotropic hormone AVS = adrenal
venous sampling BP = blood pressure MRA = mineralocorticoid receptor antagonist
OSLT = oral salt load confirmatory test PA = primary aldosteronism PAC = plasma
aldosterone concentration PCP = primary care provider PRA = plasma renin
activity.
PMID- 27893296
TI - Evaluation of Visual Field Test Parameters after Artificial Tear Administration
in Patients with Glaucoma and Dry Eye.
AB - PURPOSE: To examine the effect of a single dose of artificial tear administration
on automated visual field (VF) testing in patients with glaucoma and dry eye
syndrome. MATERIAL AND METHODS: A total of 35 patients with primary open-angle
glaucoma experienced in VF testing with symptoms of dry eye were enrolled in this
study. At the first visit, standard VF testing was performed. At the second and
third visits with an interval of one week, while the left eyes served as control,
one drop of artificial tear was administered to each patient's right eye, and
then VF testing was performed again. The reliability parameters, VF indices,
number of depressed points at probability levels of pattern deviation plots, and
test times were compared between visits. RESULTS: No significant difference was
observed in any VF testing parameters of control eyes (P>0.05). In artificial
tear administered eyes, significant improvement was observed in test duration,
mean deviation, and the number of depressed points at probability levels (P?0.5%,
P?1%, P?2) of pattern deviation plots (P?0.05). The post-hoc test revealed that
artificial tear administration elicited an improvement in test duration, mean
deviation, and the number of depressed points at probability levels (P?0.5%,
P?1%, P?2%) of pattern deviation plots from first visit to second and third
visits (P?0.01, for all comparisons). The intraclass correlation coefficient for
the three VF test indices was found to be between 0.735 and 0.85 (P<0.001, for
all). DISCUSSION: A single dose of artificial tear administration immediately
before VF testing seems to improve test results and decrease test time.
PMID- 27893297
TI - Population-Based Trachoma Mapping in Six Evaluation Units of Papua New Guinea.
AB - PURPOSE: We sought to determine the prevalence of trachomatous inflammation -
follicular (TF) in children aged 1-9 years, and trachomatous trichiasis (TT) in
those aged >=15 years, in suspected trachoma-endemic areas of Papua New Guinea
(PNG). METHODS: We carried out six population-based prevalence surveys using the
protocol developed as part of the Global Trachoma Mapping Project. RESULTS: A
total of 19,013 individuals were sampled for inclusion, with 15,641 (82.3%)
consenting to participate. Four evaluation units had prevalences of TF in
children >=10%, above which threshold the World Health Organization (WHO)
recommends mass drug administration (MDA) of azithromycin for at least three
years; Western Province (South Fly/Daru) 11.2% (95% confidence interval, CI, 6.9
17.0%), Southern Highlands (East) 12.2% (95% CI 9.6-15.0%), Southern Highlands
(West) 11.7% (95% CI 8.5-15.3%), and West New Britain 11.4% (95% CI 8.7-13.9%).
TF prevalence was 5.0-9.9% in Madang (9.4%, 95% CI 6.1-13.0%) and National
Capital District (6.0%. 95% CI 3.2-9.1%) where consideration of a single round of
MDA is warranted. Cases of TT were not found outside West New Britain, in which
four cases were seen, generating an estimated population-level prevalence of TT
in adults of 0.10% (95% CI 0.00-0.40%) for West New Britain, below the WHO
elimination threshold of 0.2% of those aged >=15 years. CONCLUSION: Trachoma is a
public health issue in PNG. However, other than in West New Britain, there are
few data to support the idea that trachoma is a cause of blindness in PNG.
Further research is needed to understand the stimulus for the active trachoma
phenotype in these populations.
PMID- 27893298
TI - Replication, checkpoint suppression and structure of centromeric DNA.
AB - Human centromeres contain large amounts of repetitive DNA sequences known as
alpha satellite DNA, which can be difficult to replicate and whose functional
role is unclear. Recently, we have characterized protein composition, structural
organization and checkpoint response to stalled replication forks of centromeric
chromatin reconstituted in Xenopus laevis egg extract. We showed that centromeric
DNA has high affinity for SMC2-4 subunits of condensins and for CENP-A, it is
enriched for DNA repair factors and suppresses the ATR checkpoint to ensure its
efficient replication. We also showed that centromeric chromatin forms condensins
enriched and topologically constrained DNA loops, which likely contribute to the
overall structure of the centromere. These findings have important implications
on how chromosomes are organized and genome stability is maintained in mammalian
cells.
PMID- 27893299
TI - Does apoptotic activity have a role in the development of the placental
abruption?
AB - OBJECTIVE: The purpose of the present study is to analyses the role of apoptotic
activity in placental abruption (PA) development by evaluating the level of
plasma M30-M65. METHODS: The study group included 46 pregnant women who underwent
caesarean sections (CS) because of PA, and the control group included 48 pregnant
women who underwent CS because of obstetric causes. Venous blood samples were
received from all expectants before starting the CS for the purpose of evaluating
the M30-M65 levels, which are indicators of apoptotic activity in maternal
plasma. RESULTS: The plasma M30-M65 levels were determined to be statistically
significantly higher in with PA group. The sensitivity and specificity of the
test were determined to be 71.7% and 64.6%, respectively in identifying the
expectants with PA when the cut-off value was taken as 163.50 U/L for the plasma
M30 value. The sensitivity and specificity of the test were determined to be
76.1% and 66.7%, respectively in identifying the PA when the cut-off value was
taken as 295.50 U/L for the M65 value. CONCLUSIONS: The increase of apoptotic
activity induced by thrombin resulting from decidual bleeding may have a role in
the development of PA.
PMID- 27893302
TI - Letter to the Editor Regarding Wiesel et al.: Minimally Invasive Esophagectomy.
PMID- 27893300
TI - The Use of Robotic and Laparoscopic Surgical Stapling Devices During Minimally
Invasive Colon and Rectal Surgery: A Comparison.
AB - PURPOSE: To date there exists no published study examining the safety and
efficacy of the EndoWrist 45 (Intuitive Surgical, Inc.) robotic stapler. We
compared outcomes between the robotic and comparable laparoscopic stapler in
robotic-assisted colorectal procedures. MATERIALS AND METHODS: We conducted a
retrospective review of 93 patients who underwent robotic-assisted colorectal
surgery at our institution from 2012 to 2014. Surgeries included left, sigmoid,
subtotal and total colectomies, and low anterior rectal resections. Indications
were malignancy and diverticular and inflammatory bowel disease. Preoperative
demographics, intraoperative data, and postoperative outcomes were examined.
Student's t-test and Fischer's exact used were appropriate. RESULTS: Forty-five
millimeters laparoscopic staplers were used in 58 cases, while the 45 mm robotic
stapler was used in 35 cases. There was no difference in age (P = .651), gender
(P = .832), or body mass index (P = .204) between groups. There was no difference
in estimated blood loss (P = .524), operative time (P = .769), length of stay (P
= .895), or complication rate (P = .778). The robotic stapler group had one
anastomotic leak, while the laparoscopic stapler group had six (P = .705). There
were more laparoscopic stapler fires (2.69) per patient than robotic stapler
fires (1.86) (P = .001). The cost per patient for the laparoscopic group was
$631.45 versus $473.28 for the robotic group (P = .001). CONCLUSION: This is the
first study to evaluate the robotic stapler. Advantages of the robotic stapler
include large range of motion and 90 degrees of articulation, which may provide
a benefit when using the stapler in difficult areas like the pelvis. The robotic
stapler has a comparable level of safety as a 45 mm laparoscopic stapler and is
more cost effective.
PMID- 27893301
TI - Optimizing the expression of a Heterologous chitinase: A study of different
promoters.
AB - Many relevant applications have been demonstrated for chitinolytic enzymes.
However, their successful exploitation depends upon the availability of strains
and expression conditions that allow the production of active forms and large
quantities of these enzymes. Escherichia coli has been commonly used to express
and overproduce different proteins, among them chitinases. Improving the
functional gene expression of chitinases is key to exploiting their potential. In
a recent study, we described the effect of various parameters on the functional
expression of 2 chitinases from different families, demonstrating that the effect
of each of these parameters on the activity of both chitinases was specific to
each enzyme. In this study, the expression of a Lactococcus lactis chitinase
encoded by a new allele, ChiA1-2, was optimized. The results showed that not only
the expression parameters seemed to influence protein production, solubility and
activity but also the plasmid used for the expression. Herein, we describe the
effect of 2 different promoters, tac and T7, on the expression of the active form
of the chitinolytic enzyme.
PMID- 27893304
TI - Blame it on Southern, but it's a western blot.
AB - Edwin M. Southern is a professor emeritus at the University of Oxford. He is
perhaps best known for development of the "Southern blot" (Dr. Southern was at
the University of Edinburgh when he wrote his landmark paper). The Southern blot
provided a scientific breakthrough by allowing scientists to detect a particular
DNA sequence without first purifying it from the rest of the genome; the basic
method involves the transfer of the DNA to a membrane, followed by detection with
a specific probe. Although few people perform Southern blots as originally
carried out by Southern, due in part to the more recent technique of the
polymerase chain reaction, the basic concept continues to play an important role
in molecular biology.
PMID- 27893305
TI - Foreword: Special focus issue on semaphorins.
PMID- 27893303
TI - Do Patient Characteristics Decide if Young Adult Cancer Patients Undergo
Fertility Preservation?
AB - PURPOSE: The Fertility Attitudes and Cancer Treatment Study (FACTS) is a two
phase research initiative aimed to understand factors involved with decision
making for future fertility. The FACTS will improve services and utilization of
fertility preservation (FP) before cancer treatment. Phase-I examined patient
characteristics as associated with FP decision. METHODS: A retrospective cohort
study of 108 reproductive-aged (18-45 years) males and females who received a
fertility consultation before cancer treatment from January 1, 2012 to April 30,
2014 was conducted. Chi-square, student's t-test, and logistic regression were
conducted to examine associations with FP decision. RESULTS: The utilization rate
of FP following fertility consultation was 49%. Gender was the most significant
factor contributing to FP decision; 74% of those who choose FP were male (odds
ratio = 12.5; 95% confidence interval 5.1-31.4). Those who opted for FP were more
likely to be Caucasian (p = 0.042), have a solid tumor (p = 0.03), and have a
shorter time from diagnosis to fertility consultation (29.5 vs. 58.8 days; p =
0.017). Age, relationship, tumor location, treatment plan, and parity were not
significant predictors of FP. CONCLUSIONS: Current perceptions about patient
demographics do not predict FP utilization by young adult cancer patients.
Providing patients an informed fertility consultation has demonstrated an
increase in FP utilization to nearly one-half of patients. Despite gender being a
significant factor in choosing FP, the study did not provide reasons as to why.
The phase-II study will explore patients' reasons for FP decision in a
qualitative design to understand these differences.
PMID- 27893307
TI - Barriers to Increasing Physical Activity Among Young Adult Cancer Survivors.
AB - Increasing physical activity may reduce some of the physical and mental health
risks faced by young adult cancer survivors. Unfortunately, most young survivors
are sedentary. The aim of this study was to identify barriers experienced by
young survivors attempting to increase their physical activity; a better
understanding of these barriers may yield more efficacious interventions for this
population. Data on physical activity barriers were collected during an
intervention for 18-to-39-year-old survivors. Findings indicated that
participants faced a variety of barriers, including limited time and health
related issues. An exploratory analysis suggested that health-related barriers
may impact postintervention levels of physical activity.
PMID- 27893306
TI - Mesh Fixation with Fibrin Sealant in Totally Extraperitoneal Hernia Repair.
AB - INTRODUCTION: Repair of inguinal hernia is a common procedure, but there is a
lack of consensus as to the optimal repair technique along with the use of mesh
and methods of mesh fixation. The objective of this study was to evaluate the
efficacy and safety of fibrin sealant for mesh fixation in laparoscopic totally
extraperitoneal (TEP) inguinal hernia repair. MATERIALS AND METHODS: A study was
conducted of the first 200 patients undergoing TEP hernia repair with mesh
fixation using fibrin sealant between March 2012 and January 2014. The primary
outcome measures were (1) chronic pain (persisting for >3 months), (2)
persistence of hernia (recurrence identified within first 2 weeks
postoperatively), (3) hernia recurrence, and (4) any additional perioperative
complications. The mean follow-up in the series was 34.4 +/- 6.1 months (range
22.2-44.1). RESULTS: Of the 278 hernias repaired in 204 patients (74 bilateral,
130 unilateral), 38 were recurrent and 240 were primary. Three patients (1.5%)
had a persistent hernia, including one with a planned return to the operating
room the next day due to poor visualization. Three patients (1.5%) had a hernia
recurrence. Twelve patients (5.9%) reported experiencing chronic pain. The
remaining complications were minor and resolved over time. CONCLUSIONS: TEP
repair of inguinal hernia using mesh secured with fibrin sealant can be
effectively used to treat primary, recurrent, unilateral, and bilateral inguinal
hernias in adults with minimal recurrence rates and complications during almost 3
years of follow-up.
PMID- 27893308
TI - Evaluation of Hypotensive and Antihypertensive Effects of Velvet Bean (Mucuna
pruriens L.) Hydrolysates.
AB - Hypertension could cause significant worldwide health problems that affect 15-20%
of all adults; according to National Health and Nutrition Examination Survey,
about 29% of the adult population in the United States are hypertensive. Recent
research has shown that peptides derived from the hydrolysis of food proteins can
decrease blood pressure. This study was carried out to evaluate the hypotensive
and antihypertensive potential of Mucuna pruriens protein hydrolysates in in
vitro and in vivo models. M. pruriens protein concentrate was prepared by wet
fractionation and enzymatically hydrolyzed using Alcalase(r), Flavourzyme(r), and
the sequential system Alcalase-Flavourzyme at different times (5-120 min). The
biological potential was measured in vitro based on the IC50 value as well as in
vivo effect, measuring the systolic (SBP) and diastolic (DBP) blood pressure in
normotensive and antihypertensive Wistar-Kyoto rats by the tail-cuff method.
Hydrolysis of M. pruriens protein concentrates with commercial enzymes generated
extensive hydrolysates with angiotensin-converting enzyme (ACE-I) inhibitory
activity (IC50: 0.589-0.993 mg/mL) and hypotensive (SBP: 0.6-47.43%, DBP: 1.94
43.47%) and antihypertensive (SBP: 8.84-27.29% DBP: 16.1-29.37%) effect. These
results indicate that Mucuna pruriens protein hydrolysate (MPPH) could be used as
a functional ingredient to prevent blood pressure increase.
PMID- 27893309
TI - Acarological Risk of Borrelia burgdorferi Sensu Lato Infections Across Space and
Time in The Netherlands.
AB - A longitudinal investigation on tick populations and their Borrelia infections in
the Netherlands was undertaken between 2006 and 2011 with the aim to assess
spatial and temporal patterns of the acarological risk in forested sites across
the country and to assess variations in Borrelia genospecies diversity. Ticks
were collected monthly in 11 sites and nymphs were examined for Borrelia
infections. Tick populations expressed strong seasonal variations, with
consistent and significant differences in mean tick densities between sites.
Borrelia infections were present in all study sites, with a site-specific mean
prevalence per month ranging from 7% to 26%. Prevalence was location-dependent
and was not associated with tick densities. Mean Borrelia prevalence was lowest
in January (4%), gradually increasing to reach a maximum (24%) in August.
Borrelia afzelii represented 70% of all infections, with Borrelia burgdorferi
sensu stricto, Borrelia garinii, and Borrelia valaisiana represented with 4%, 8%,
and 10%, respectively. The density of infected nymphs and the proportional
distribution of the four Borrelia genospecies, were significantly different
between sites. The results show a consistent and significant spatial and temporal
difference in acarological risk across the Netherlands.
PMID- 27893310
TI - Participation and Intellectual Disability: A Review of the Literature.
AB - Participation is a central aspect of human functioning and a key focus of
research and practice in the intellectual disability field. However, there is not
an accepted definition of participation that guides research and practice. To
inform the development of a definition, a scoping review of the intellectual
disability literature from 2001-2015 was conducted. Findings suggest that
existing research rarely uses definitions of participation, but does examine
participation across multiple domains and addresses issues of access and
inclusion. Less focus was placed on individual aspects of participation such as
meaning, responsibility, and choice. Based on the findings, implications for
future research and practice are provided.
PMID- 27893312
TI - Resumenes al Espanol.
PMID- 27893311
TI - More Job Services-Better Employment Outcomes: Increasing Job Attainment for
People With IDD.
AB - Job search, job placement, and on-the-job supports are valuable services provided
to many people with intellectual and developmental disabilities (IDD) to obtain
work in the community. Investigating those who were unemployed at the time of
service entry, this study seeks to extend understanding about the effect of
services. Using extant data, a sample of 39,277 people with IDD using Vocational
Rehabilitation services were studied to understand the potential cumulative
effects of these job-related services and individual characteristics on job
attainment. Findings showed people with IDD of different demographic groups had
different outcomes. Also, those receiving three job-related services were 16
times more likely to obtain employment than the reference group. This study has
wide implications for research, policy, and practice.
PMID- 27893314
TI - Resumes en Francais.
PMID- 27893313
TI - Issues in the Medication Management Process in People Who Have Intellectual and
Developmental Disabilities: A Qualitative Study of the Caregivers' Perspective.
AB - People who have intellectual and developmental disabilities (IDD) often rely on
caregivers to assist in the medication management process. The aim of this study
was to learn from caregivers, who are either family or support staff, what major
issues arise throughout the process of managing medication and how these might be
addressed. Problems identified by caregivers include (a) prescribers
understanding of insurance and agency policies regarding medication utilization;
(b) lack of continuity of care and accuracy of the medication record as well as
clinical records;
PMID- 27893315
TI - Presidential Address, 2016-Re-Membering the Gifts of Professional.
PMID- 27893316
TI - Is It Worth It? Benefits in Research With Adults With Intellectual Disability.
AB - Including adults with intellectual disability in research promotes direct
benefits to participants and larger societal benefits. Stakeholders may have
different views of what count as benefits and their importance. We compared views
on benefits in research with adults with intellectual disability among adults
with intellectual disability, family and friends, service providers, researchers,
and institutional review board members. We found that adults with intellectual
disability value direct and indirect research benefits, and want to participate
in research that offers them. Other stakeholders generally see less value in
direct benefits and predict more tempered interest in research participation as
compared to adults with intellectual disability. To promote respectful research
participation, research policy and practice should incorporate the views of
adults with intellectual disability.
PMID- 27893317
TI - The Relation Between Intellectual Functioning and Adaptive Behavior in the
Diagnosis of Intellectual Disability.
AB - Intellectual disability originates during the developmental period and is
characterized by significant limitations both in intellectual functioning and in
adaptive behavior as expressed in conceptual, social, and practical adaptive
skills. In this article, we present a brief history of the diagnostic criteria of
intellectual disability for both the DSM-5 and AAIDD. The article also (a)
provides an update of the understanding of adaptive behavior, (b) dispels two
thinking errors regarding mistaken temporal or causal link between intellectual
functioning and adaptive behavior, (c) explains that there is a strong
correlational, but no causative, relation between intellectual functioning and
adaptive behavior, and (d) asserts that once a question of determining
intellectual disability is raised, both intellectual functioning and adaptive
behavior are assessed and considered jointly and weighed equally in the diagnosis
of intellectual disability. We discuss the problems created by an inaccurate
statement that appears in the DSM-5 regarding a causal link between deficits in
intellectual functioning and adaptive behavior and propose an immediate revision
to remove this erroneous and confounding statement.
PMID- 27893318
TI - Sticks, Stones, and Stigma: Student Bystander Behavior in Response to Hearing the
Word "Retard".
AB - The present study explored the prevalence of the r-word in schools and students'
bystander behavior in response to hearing the word. In total, 2,297 students from
12 high schools across the country participated in this study. Results revealed
the r-word was used frequently among high school students, most often toward
individuals without intellectual disability (ID). Students were more likely to
take an active bystander role when hearing the r-word used toward students with
ID than when hearing it used toward students without ID. Students' gender and
prosocialness also played a role in determining their bystander behavior in
response to the r-word. This study has implications for reducing the use of the r
word and the stigma associated with ID.
PMID- 27893321
TI - Reply to D. Pulte et al.
PMID- 27893319
TI - Insight into the machinery that oils chromatin dynamics.
AB - The packaging of genetic information in form of chromatin within the nucleus
provides cells with the ability to store and protect massive amounts of
information within a compact space. Storing information within chromatin allows
selective access to specific DNA sequences by regulating the various levels of
chromatin structure from nucleosomes, to chromatin fibers, loops and topological
associating domains (TADs) using mechanisms that are being progressively
unravelled. However, a relatively unexplored aspect is the energetic cost of
changing the chromatin configuration to gain access to DNA information. Among the
enzymes responsible for regulating chromatin access are the ATP-dependent
chromatin remodellers that act on nucleosomes and use the energy of ATP
hydrolysis to make chromatin DNA more accessible. It is assumed that the ATP used
by these enzymes is provided by the mitochondria or by cytoplasmic glycolysis. We
hypothesize that though this may be the case for cells in steady state, when gene
expression has to be globally reprogramed in response to externals signals or
stress conditions, the cell directs energy production to the cell nucleus, where
rapid chromatin reorganization is needed for cell survival. We discovered that in
response to hormones a nuclear ATP synthesis mechanism is activated that
utilizing ADP-ribose and pyrophosphate as substrates. 1 This extra view aims to
put this process within its historical context, to describe the enzymatic steps
in detail, to propose a possible structure of the ATP synthesising enzyme, and to
shed light on how this may link to other reactions within the cell providing a
perspective for future lines of investigation.
PMID- 27893322
TI - Reply to M. Lambertini et al.
PMID- 27893323
TI - ERCC1 as Predictor of Platinum Benefit in Non-Small-Cell Lung Cancer.
PMID- 27893324
TI - Reply to B. De Bari et al and J. Widder et al.
PMID- 27893325
TI - Basket Trials in Oncology: A Trade-Off Between Complexity and Efficiency.
PMID- 27893326
TI - Randomized Prospective Biomarker Trial of ERCC1 for Comparing Platinum and
Nonplatinum Therapy in Advanced Non-Small-Cell Lung Cancer: ERCC1 Trial (ET).
AB - Purpose Retrospective studies indicate that expression of excision repair cross
complementing group 1 (ERCC1) protein is associated with platinum resistance and
survival in non-small-cell lung cancer (NSCLC). We conducted the first randomized
trial, to our knowledge, to evaluate ERCC1 prospectively and to assess the
superiority of nonplatinum therapy over platinum doublet therapy for ERCC1
positive NSCLC as well as noninferiority for ERCC1-negative NSCLC. Patients and
Methods This trial had a marker-by-treatment interaction phase III design, with
ERCC1 (8F1 antibody) status as a randomization stratification factor. Chemonaive
patients with NSCLC (stage IIIB and IV) were eligible. Patients with squamous
histology were randomly assigned to cisplatin and gemcitabine or paclitaxel and
gemcitabine; nonsquamous patients received cisplatin and pemetrexed or paclitaxel
and pemetrexed. Primary end point was overall survival (OS). We also evaluated an
antibody specific for XPF (clone 3F2). The target hazard ratio (HR) for patients
with ERCC1-positive NSCLC was <= 0.78. Results Of patients, 648 were recruited
(177 squamous, 471 nonsquamous). ERCC1-positive rates were 54.5% and 76.7% in
nonsquamous and squamous patients, respectively, and the corresponding XPF
positive rates were 70.5% and 68.5%. Accrual stopped early in 2012 for squamous
patients because OS for nonplatinum therapy was inferior to platinum therapy
(median OS, 7.6 months [paclitaxel and gemcitabine] v 10.7 months [cisplatin and
gemcitabine]; HR, 1.46; P = .02). Accrual for nonsquamous patients halted in
2013. Median OS was 8.0 (paclitaxel and pemetrexed) versus 9.6 (cisplatin and
pemetrexed) months for ERCC1-positive patients (HR, 1.11; 95% CI, 0.85 to 1.44),
and 10.3 (paclitaxel and pemetrexed) versus 11.6 (cisplatin and pemetrexed)
months for ERCC1-negative patients (HR, 0.99; 95% CI, 0.73 to 1.33; interaction P
= .64). OS HR was 1.09 (95% CI, 0.83 to 1.44) for XPF-positive patients, and 1.39
(95% CI, 0.90 to 2.15) for XPF-negative patients (interaction P = .35). Neither
ERCC1 nor XPF were prognostic: among nonsquamous patients, OS HRs for positive
versus negative were ERCC1, 1.11 ( P = .32), and XPF, 1.08 ( P = .55). Conclusion
Superior outcomes were observed for patients with squamous histology who received
platinum therapy compared with nonplatinum chemotherapy; however, selecting
chemotherapy by using commercially available ERCC1 or XPF antibodies did not
confer any extra survival benefit.
PMID- 27893328
TI - What's in a Name? Steady Progress in Staging Pancreatic Neuroendocrine Tumors.
PMID- 27893327
TI - Radiation Therapy for Glioblastoma: American Society of Clinical Oncology
Clinical Practice Guideline Endorsement of the American Society for Radiation
Oncology Guideline.
AB - Purpose The American Society for Radiation Oncology (ASTRO) produced an evidence
based guideline on radiation therapy for glioblastoma. Because of its relevance
to the ASCO membership, ASCO reviewed the guideline and applied a set of
procedures and policies used to critically examine guidelines developed by other
organizations. Methods The ASTRO guideline on radiation therapy for glioblastoma
was reviewed for developmental rigor by methodologists. An ASCO endorsement panel
updated the literature search and reviewed the content and recommendations.
Results The ASCO endorsement panel determined that the recommendations from the
ASTRO guideline, published in 2016, are clear, thorough, and based on current
scientific evidence. ASCO endorsed the ASTRO guideline on radiation therapy for
glioblastoma and added qualifying statements. Recommendations Partial-brain
fractionated radiotherapy with concurrent and adjuvant temozolomide is the
standard of care after biopsy or resection of newly diagnosed glioblastoma in
patients up to 70 years of age. Hypofractionated radiotherapy for elderly
patients with fair to good performance status is appropriate. The addition of
concurrent and adjuvant temozolomide to hypofractionated radiotherapy seems to be
safe and efficacious without impairing quality of life for elderly patients with
good performance status. Reasonable options for patients with poor performance
status include hypofractionated radiotherapy alone, temozolomide alone, or best
supportive care. Focal reirradiation represents an option for select patients
with recurrent glioblastoma, although this is not supported by prospective
randomized evidence. Additional information is available at
www.asco.org/glioblastoma-radiotherapy-endorsement and
www.asco.org/guidelineswiki .
PMID- 27893329
TI - Reaffirming and Clarifying the American Society of Clinical Oncology's Policy
Statement on the Critical Role of Phase I Trials in Cancer Research and
Treatment.
PMID- 27893330
TI - Reply to M. Lambertini et al.
PMID- 27893333
TI - Population-Level Survival for Patients With Chronic Myeloid Leukemia: Higher
Survival in Sweden Than Internationally.
PMID- 27893334
TI - Can Segmentectomy Still Be Proposed As an Alternative to Lobectomy in 2016?
PMID- 27893331
TI - Multidisciplinary Approach to Novel Therapies in Cardio-Oncology Research
(MANTICORE 101-Breast): A Randomized Trial for the Prevention of Trastuzumab
Associated Cardiotoxicity.
AB - Purpose The primary toxicity of trastuzumab therapy for human epidermal growth
factor receptor 2-overexpressing (HER2-positive) breast cancer is dose
independent cardiac dysfunction. Angiotensin-converting enzyme inhibitors and
beta-blockers are recommended first-line agents for heart failure. We
hypothesized that angiotensin-converting enzyme inhibitors and beta-blockers
could prevent trastuzumab-related cardiotoxicity. Patients and Methods In this
double-blinded, placebo-controlled trial, patients with HER2-positive early
breast cancer were randomly assigned to receive treatment with perindopril,
bisoprolol, or placebo (1:1:1) for the duration of trastuzumab adjuvant therapy.
Patients underwent cardiac magnetic resonance imaging at baseline and post-cycle
17 for the determination of left ventricular volumes and left ventricular
ejection fraction (LVEF). Cardiotoxicity was evaluated as the change in indexed
left ventricular end diastolic volume and LVEF. Results Thirty-three patients
received perindopril, 31 received bisoprolol, and 30 received placebo. Baseline
demographic, cancer, and cardiovascular profiles were similar between groups.
Study drugs were well tolerated with no serious adverse events. After 17 cycles
of trastuzumab, indexed left ventricular end diastolic volume increased in
patients treated with perindopril (+7 +/- 14 mL/m2), bisoprolol (+8 mL +/- 9
mL/m2), and placebo (+4 +/- 11 mL/m2; P = .36). In secondary analyses,
trastuzumab-mediated decline in LVEF was attenuated in bisoprolol-treated
patients (-1 +/- 5%) relative to the perindopril (-3 +/- 4%) and placebo (-5 +/-
5%) groups ( P = .001). Perindopril and bisoprolol use were independent
predictors of maintained LVEF on multivariable analysis. Conclusion Perindopril
and bisoprolol were well tolerated in patients with HER2-positive early breast
cancer who received trastuzumab and protected against cancer therapy-related
declines in LVEF; however, trastuzumab-mediated left ventricular remodeling-the
primary outcome-was not prevented by these pharmacotherapies.
PMID- 27893332
TI - Outcome of Men With Relapse After Adjuvant Carboplatin for Clinical Stage I
Seminoma.
AB - Purpose Adjuvant carboplatin is one of three management strategies that may
follow inguinal orchiectomy in clinical stage I seminoma. However, little is
known about the outcome of patients who experience a relapse after such
treatment. Patients and Methods Data from 185 patients who relapsed after
adjuvant carboplatin between January 1987 and August 2013 at 31 centers/groups
from 20 countries were collected and retrospectively analyzed. Primary outcomes
were disease-free survival and overall survival. Secondary outcomes were time to,
stage at, and treatment of relapse as well as rate of subsequent relapses.
Results With a median follow-up of 53 months (95% CI, 48 to 60 months) the 5-year
disease-free survival was 82% (95% CI, 77% to 89%), and the 5-year overall
survival was 98% (95% CI, 95% to 100%). The median time from orchiectomy to
relapse was 19 months (95% CI, 17 to 23 months); 15% (95% CI, 10% to 21%) of
relapses occurred > 3 years after treatment. The majority of relapses were
detected by computed tomography scan during routine follow-up, 98% in the
International Germ Cell Cancer Collaborative Group good prognosis group.
Chemotherapy was administered to 92% of patients, mostly as standard first-line
treatment corresponding to stage; 8% of patients had additional local treatments.
Only 28 patients experienced a second relapse. At last follow-up, 174 (94%) of
185 patients were alive without disease, and four patients with disease. Seven
patients died, three of whom due to progressive disease. Conclusion Within the
limitations of a retrospective analysis, the results suggest that the majority of
patients who experience a relapse after adjuvant carboplatin for clinical stage I
seminoma can be successfully treated with a cisplatin-based chemotherapy regimen
adequate for stage. Because 15% of the relapses occurred > 3 years after adjuvant
treatment, a minimum of 5 years follow-up is recommended.
PMID- 27893335
TI - Debated Role of Ovarian Protection With Gonadotropin-Releasing Hormone Agonists
During Chemotherapy for Preservation of Ovarian Function and Fertility in Women
With Cancer.
PMID- 27893336
TI - Lobectomy or Sublobectomy for Small Non-Small-Cell Lung Cancer: The Question
Remains.
PMID- 27893337
TI - Inflammatory Cytokines and Comorbidity Development in Breast Cancer Survivors
Versus Noncancer Controls: Evidence for Accelerated Aging?
AB - Purpose The sequelae of cancer treatment may increase systemic inflammation and
create a phenotype at increased risk of functional decline and comorbidities,
leading to premature mortality. Little is known about how this trajectory
compares with natural aging among peers of the same age without cancer. This
longitudinal study investigated proinflammatory cytokines and comorbidity
development over time among breast cancer survivors and a noncancer control
group. Methods Women (N = 315; 209 with breast cancer and 106 in the control
group) were recruited at the time of their work-up for breast cancer; they
completed the baseline questionnaire, interview, and blood draw
(lipopolysaccharide-stimulated production of interleukin [IL] -6, tumor necrosis
factor-alpha, and IL-1beta). Measures were repeated 6 and 18 months after primary
cancer treatment (cancer survivors) or within a comparable time frame (control
group). Results There were no baseline differences in comorbidities or cytokines
between survivors and the control group. Over time, breast cancer survivors had
significantly higher tumor necrosis factor-alpha and IL-6 compared with the
control group. Survivors treated with surgery, radiation, and chemotherapy
accumulated a significantly greater burden of comorbid conditions and suffered
greater pain associated with inflammation over time after cancer treatment than
did the control group. Conclusion Survivors who had multimodal treatment had
higher cytokines and comorbidities, suggestive of accelerated aging.
Comorbidities were related to inflammation in this sample, which could increase
the likelihood of premature mortality. Given that many comorbidities take years
to develop, future research with extended follow-up beyond 18 months is necessary
to examine the evidence of accelerated aging in cancer survivors and to determine
the responsible mechanisms.
PMID- 27893339
TI - Psychopathy in Everyday Life.
AB - Psychopathy is a spectrum of possibilities linked with survival drives. It
appears in commonly accepted forms in everyday life and more egregious,
devastating ways as well. Often extreme manifestations of psychopathy become part
of everyday existence, almost taken as normal while its cumulative
destructiveness mounts. This paper explores a range of psychopathic states in
individuals and groups, including positive and negative contributions these
tendencies can make. Psychopathy in individuals and culture interweave in many
ways, and some of the latter are discussed.
PMID- 27893338
TI - Seroprevalence and Risk Factors of Toxoplasma gondii Infection in Farmed Raccoon
Dogs (Nyctereutes procyonoides) in China.
AB - Toxoplasma gondii, an obligate intracellular apicomplexan parasite, can infect
homoiothermal vertebrate animals, including raccoon dogs (Nyctereutes
procyonoides). Regretfully, data on T. gondii infection in raccoon dogs were
limited in China. Therefore, to investigate the seroprevalence and to evaluate
risk factors for T. gondii infection in raccoon dogs, a total of 1181 raccoon dog
blood samples were collected from Jilin and Shandong provinces, China, from
September to December 2014. The antibodies of T. gondii were examined using the
modified agglutination test. Overall, the seroprevalence of T. gondii infection
was 8.64% in the examined raccoon dogs. The prevalences of T. gondii infection
were different among cities (ranging from 2.96% in Yantai to 17.62% in Qingdao),
genders (female: 7.58%; male: 9.22%), and ages (young: 8.53%; subadult: 7.71%;
adult: 7.73%). Region was considered as an important risk factor for T. gondii
infection in this study. This is the first report of T. gondii infection in
raccoon dogs in China, providing baseline information for prevention and control
of T. gondii infection in raccoon dogs in Jilin and Shandong provinces, China.
PMID- 27893341
TI - Index of Contents: Psychoanalytic Review, Volume 103.
PMID- 27893342
TI - Person-Environment Mergence and Separation: Otto Rank's Psychology of Emotion,
Personality, and Culture.
AB - Between 1924 and 1939 Otto Rank put forward three major elements of a
comprehensive theoretical edifice that has yet to be fully articulated. These are
conceptually linked by the fundamental importance of person-environment mergence
and separation. Rank's theory of emotions highlights anxiety as the affect of
separation, and guilt as the feeling that binds the individual to others. His
personality theory distinguishes between the partialist, who responds to life
fear with identification, and the totalist, who responds to death fear with
projection. His cultural psychology contrasts primal collectivism with
contemporary individualism, which orients the person toward individual
immortality striving. Individualism has produced problematic self-consciousness
and neuroticism, in the face of which Rank struggled to find a new psychology.
PMID- 27893344
TI - From Hallucination to Fiction: The Invention of Meaning in Psychosis.
AB - Taking their inspiration from a case history, the authors explore the effects of
a writing workshop led by a professional writer for patients in a psychiatric
hospital. This workshop allowed different modes of transference to unfold:
transference to the analyst-therapist, transference to the writer who led the
workshop, and transference to the other members of the group. The writing
activity created conditions in which there could be a movement from hallucination
to delusion-a delusion expressed in fiction through the act of writing. Psychotic
patients "invent" a writing that remains unfinished and that relates to the
experiences of persecution. Writing thus makes it possible for them to tolerate
language, through its transformation into writing.
PMID- 27893345
TI - Quo Vadis? The Future of Psychoanalysis.
AB - Although contemporary psychoanalysis is split into different schools and
traditions, there is growing support for some of the main tenets of contemporary
psychodynamic thinking from attachment theory, infant research, developmental
psychopathology, new models of motivation, the neuroscience of emotions and
emotional regulation, and the discovery of different implicit and explicit memory
systems. These tenets, which psychodynamic clinicians of all stripes encounter in
their daily work with clients, are the following: (1) that large footprints are
left over from infancy and childhood which involved insensitive, intrusive,
frightening, or shaming care; (2) the carryover of these relational experiences
into adulthood are expressed as unconscious expectations and attributions we make
of others (transference and countertransference; (3) defensive processes and
emotional regulation and deregulatory patterns develop to cope with these
unhealthy relations. Many findings from infant research, attachment theory, and
new models of motivation and neuroscience have developed alongside the
intersubjective and relational turn in psychoanalysis in the last sixty years. To
different degrees this new developmental science has been incorporated into the
relational field. This essay is a plea to incorporate this new science in the
teaching of psychodynamic psychotherapy in order to create a dialogue among
different relational and intersubjective traditions in psychoanalysis that could
reduce the splintering and support efforts toward integration.
PMID- 27893346
TI - The Preoedipal and Oedipal Structure of Termination: An In-depth Case Study.
AB - Much has been written about the Oedipus complex as a central organizing focus for
the understanding of early developmental attainments as well as for the
structuring of the personality, but nowhere are triangular forces more vitally
active, clinically, than in the termination phase. The decision to end, once
agreed upon, typically sets in motion a symmetrical process in which two dynamics
occur concurrently. First, what drives the termination is a mourning process in
which the primary task is to relinquish the analyst as a transference object and
to establish the analyst as an internal object. In parallel, oedipal structures
take hold of the termination process so that the final working-through and the
resolution of the transference/countertransference can be maximized-with the goal
of integration. An eight-year, four-times-a-week analytic psychotherapy on the
couch is described to illustrate the critical role of triangulation dynamics in
termination. Using in-depth transcripts of dream and sessional material, the
author highlights a number of conscious and unconscious resistances in the
patient to this phase of work that were particular to his perverse oedipal
pathology. Ron Britton's concept of an organized oedipal illusion or an oedipal
delusion as defenses against the termination phase proved helpful to both patient
and psychotherapist in negotiating some of the heightened emotional aspects of
the final working-through.
PMID- 27893347
TI - Asymptomatic infections in blood donors harbouring Plasmodium: an invisible risk
detected by molecular and serological tools.
AB - BACKGROUND: Transfusion-transmitted malaria due to asymptomatic Plasmodium
infections is a challenge for blood banks. There is a lack of data on the
prevalence of asymptomatic infected blood donors and the incidence of transfusion
transmitted malaria in low endemicity areas worldwide. We estimated the frequency
of blood donors harbouring Plasmodium in an area in which asymptomatic infections
have been reported. MATERIAL AND METHODS: To estimate the frequency of blood
donors harbouring Plasmodium we used microscopy and molecular tools. Serological
tests were applied to measure the exposure of candidates to Plasmodium antigens.
Venous blood was collected from 91 candidates attending the "Pro-Sangue" Blood
Centre Foundation in Sao Paulo, who lived in the municipality of Juquitiba, Sao
Paulo, Brazil, where sporadic autochthonous cases of malaria have been described.
Blood samples were used for parasitological, molecular and serological studies.
RESULTS: Among the 91 samples examined, rare Plasmodium forms were observed in
two donors. Genus real-time polymerase chain reaction analysis demonstrated
Plasmodium amplification in three candidates and species-specific nested
polymerase chain reaction identified P. malariae in two. ELISA-IgG was reactive
in 42.9% of samples for P. vivax (Pv-MSP119) and in 6.6% for P. falciparum (Pf
Zw). ELISA-IgM was reactive in 2.2% of samples for P. vivax and in 4.4% for P.
falciparum. An indirect immunofluorescence assay was reactive for P. malariae in
15.4% of cases. DISCUSSION: Reservoirs of Plasmodium represent a challenge for
blood banks, since studies have shown that high levels of submicroscopic
infections can occur in low transmission areas. The risk of transfusion
transmitted malaria presented here points to the need to conduct molecular
investigations of candidate donors with any positive malarial antibody test.
PMID- 27893348
TI - Cost-utility and budget impact of methylene blue-treated plasma compared to
quarantine plasma.
AB - BACKGROUND: Methylene blue and visible light treatment and quarantine are two
methods used to reduce adverse events, mostly infections, associated with the
transfusion of fresh-frozen plasma. The objective of this study was to estimate
and compare the budget impact and cost-utility of these two methods from a
payer's perspective. MATERIALS AND METHODS: A budget impact and cost-utility
model simulating the risks of hepatitis B virus, hepatitis C virus,
cytomegalovirus, a West Nile virus-like infection, allergic reactions and febrile
non-haemolytic transfusion reactions achieved using plasma treated with methylene
blue and visible light (MBP) and quarantine plasma (QP) was constructed for
Spain. QP costs were estimated using data from one blood centre in Spain and
published literature. The costs of producing fresh-frozen plasma from whole
blood, apheresis plasma, and multicomponent apheresis, and separately for passive
and active methods of donor recall for QP were included. Costs and outcomes over
a 5-year and lifetime time horizon were estimated. RESULTS: Compared to passive
QP, MBP led to a net increase of ? 850,352, and compared to active QP, MBP led to
a net saving of ? 5,890,425 over a 5-year period. Compared to passive QP, MBP
increased the cost of fresh-frozen plasma per patient by ? 7.21 and had an
incremental cost-utility ratio of ? 705,126 per quality-adjusted life-year.
Compared to active QP, MBP reduced cost by ? 50.46 per patient and was more
effective. DISCUSSION: Plasma collection method and quarantine approach had the
strongest influence on the budget impact and cost-utility of MBP. If QP relies on
plasma from whole blood collection and passive quarantine, it is less costly than
MBP. However, MPB was estimated to be more effective than QP in all analyses.
PMID- 27893349
TI - Platelet-, leucocyte- and red cell-derived microparticles in stored whole blood,
with and without leucofiltration, with and without ionising radiation.
AB - BACKGROUND: Storage lesion, including microparticle formation, has been partially
characterised in whole blood, but not in all combinations of pre-storage
leucofiltration and/or irradiation. MATERIALS AND METHODS: Single-donor whole
blood products were processed into four subunits: with and without
leucofiltration, with and without X-irradiation (25 Gy). Platelet-, leucocyte-,
and erythrocyte-derived microparticles and free haemoglobin were measured
periodically throughout 42 days of storage. RESULTS: Pre-storage leucofiltration
substantially reduced platelet- and leucocyte-derived microparticle counts
throughout storage. Irradiation, in contrast, had no significant effect on
microparticle counts. A gate for all microparticles showed a substantial time
dependent increase in unfiltered whole blood. A time-dependent increase in free
haemoglobin was greatest in unfiltered, irradiated whole blood. DISCUSSION: This
study indicates that leucofiltration can prevent the formation of leucocyte- and
platelet-derived microparticles, and might reduce haemolysis in irradiated whole
blood, either by removing factors that provoke haemolysis, or by selective
retention of senescent or effete red cells most prone to haemolysis.
PMID- 27893350
TI - The Bleeding Assessment Tool and laboratory data in the characterisation of a
female with inherited haemophilia A.
PMID- 27893351
TI - Management of iron overload in myelodysplastic syndromes: combined deferasirox
and deferoxamine in a patient with liver disease.
PMID- 27893352
TI - Prevalence of antibodies to a new histo-blood system: the FORS system.
AB - BACKGROUND: In 1987, three unrelated English families were reported with a
putative blood subgroup called Apae. Swedish researchers later found evidence
leading to abolishment of the Apae subgroup and establishment instead of the FORS
blood group system (System 31 - ISBT, 2012). It is important to know the
prevalence of antibodies in order to make the best decisions in transfusion
medicine. Cells expressing the Forssman saccharide, such as sheep erythrocytes,
are needed to detect the anti-Forssman antibody. The aim of this study was to
define the prevalence of human anti-Forssman antibody. MATERIALS AND METHODS:
Plasma samples from 800 individuals were studied. Sheep erythrocytes or Forssman
"kodecytes" were mixed with the plasma samples using the tube technique. Plasma
from an Apae individual was used as a negative control and monoclonal anti
Forssman antibody (M1/22.25.8HL cell line supernatant) was used as the positive
control. RESULTS: Of the 800 individuals tested, one was negative for the
presence of anti-Forssman antibody. We compared the anti-Forssman antibody
reaction pattern between genders and found that males have weaker reactions than
females, both at room temperature (p=0.026) and at 37 degrees C (p=0.043). We
also investigated the reaction pattern of anti-Forssman antibody in relation to
ABO and Rh blood group types without finding any significant differences.
DISCUSSION: Sheep erythrocytes are suitable for searching for human anti-Forssman
antibody. The quantity of anti-Forssman antibodies in plasma is higher in females
than in males. In the population (n=800) studied here, we found one individual
lacking the anti-Forssman antibody. These results contribute to the data already
published, confirming that FORS is a rare blood group.
PMID- 27893353
TI - Low-dose erythropoietin treatment is not associated with clinical benefits in
severely anaemic Jehovah's Witnesses: a plea for a change.
AB - BACKGROUND: Jehovah's Witnesses who refuse blood transfusion have high mortality.
Erythropoietin (EPO) has been used as an alternative to blood transfusion. The
optimal dosing of EPO in anaemic Jehovah's Witnesses is unknown. The aim of our
study was to evaluate the clinical benefits of treatment with a low dose (<600
IU/kg/week) of epoietin beta (EPO-beta). MATERIALS AND METHODS: This was an
observational study, retrospectively considering a 10-year period during which
3,529 adult Jehovah's Witnesses with a total of 10,786 hospital admissions were
identified from databases of four major public hospitals in New Zealand. Patients
with severe symptomatic anaemia (haemoglobin <80 g/L) who were unable to tolerate
physical activity were included in the study. Patients treated without EPO were
assigned to the conventional therapy group and those treated with EPO to the EPO
treatment group. RESULTS: Ninety-one Jehovah's Witnesses met the eligibility
criteria. Propensity score matching yielded a total of 57 patients. Patients
treated with conventional therapy and those treated with EPO had similar
durations of severe anaemia (average difference 6.25 days, 95% confidence
interval [CI]: -3.77-16.27 days; p=0.221). The mortality rate among Jehovah's
Witnesses treated with conventional therapy was 4.68 per year (95% CI: 2.23
9.82), while that in those treated with EPO was 2.77 per year (95% CI: 0.89
8.60). Treatment with EPO was associated with a mortality ratio of 0.59 (95% CI:
0.1-2.6; p=0.236). Both groups of patients had similar in-hospital survival
(p=0.703). DISCUSSION: Treatment with low-dose EPO-beta was not associated with
either shorter duration of severe anaemia or a reduction in mortality.
PMID- 27893354
TI - Summary report of the First International Conference on inhibitors in haemophilia
A.
PMID- 27893356
TI - Single-stranded DNA aptamer targeting and neutralization of anti-D alloantibody:
a potential therapeutic strategy for haemolytic diseases caused by Rhesus
alloantibody.
AB - BACKGROUND: Rhesus (Rh) D antigen is the most important antigen in the Rh blood
group system because of its strong immunogenicity. When RhD-negative individuals
are exposed to RhD-positive blood, they may produce anti-D alloantibody,
potentially resulting in delayed haemolytic transfusion reactions and Rh
haemolytic disease of the foetus and newborn, which are difficult to treat.
Inhibition of the binding of anti-D antibody with RhD antigens on the surface of
red blood cells may effectively prevent immune haemolytic diseases. MATERIALS AND
METHODS: In this study, single-stranded (ss) DNA aptamers, specifically binding
to anti-D antibodies, were selected via systematic evolution of ligands by
exponential enrichment (SELEX) technology. After 14 rounds of selection, the
purified ssDNA was sequenced using a Personal Genome Machine system.
Haemagglutination inhibition assays were performed to screen aptamers for
biological activity in terms of blocking antigen-antibody reactions: the affinity
and specificity of the aptamers were also determined. RESULTS: In addition to
high specificity, the aptamers which were selected showed high affinity for anti
D antibodies with dissociation constant (Kd) values ranging from 51.46+/-14.90 to
543.30+/-92.59 nM. By the combined use of specific ssDNA aptamer 7 and auxiliary
ssDNA aptamer 2, anti-D could be effectively neutralised at low concentrations of
the aptamers. DISCUSSION: Our results demonstrate that ssDNA aptamers may be a
novel, promising strategy for the treatment of delayed haemolytic transfusion
reactions and Rh haemolytic disease of the foetus and newborn.
PMID- 27893355
TI - Performance evaluation study of ID CORE XT, a high throughput blood group
genotyping platform.
AB - BACKGROUND: Traditionally, red blood cell antigens have been identified using
serological methods, but recent advances in molecular biology have made the
implementation of methods for genetic testing of most blood group antigens
possible. The goal of this study was to validate the performance of the ID CORE
XT blood group typing assay. MATERIALS AND METHODS: One thousand independent
samples from donors, patients and neonates were collected from three research
institutes in Spain and the Netherlands. DNA was extracted from EDTA
anticoagulated blood. The data were processed with the ID CORE XT to obtain the
genotypes and the predicted blood group phenotypes, and results were compared to
those obtained with well-established serological and molecular methods. All 1,000
samples were typed for major blood group antigens (C, c, E, e, K) and 371-830
samples were typed for other antigens depending on the rarity and availability of
serology comparators. RESULTS: The incorrect call rate was 0%. Four "no calls"
(rate: 0.014%) were resolved after repetition. The sensitivity of ID CORE XT for
all phenotypes was 100% regarding serology. There was one discrepancy in E-
antigen and 33 discrepancies in Fyb- antigen. After bidirectional sequencing, all
discrepancies were resolved in favour of ID CORE XT (100% specificity). ID CORE
XT detected infrequent antigens of Caucasians in the sample as well as rare
allelic variants. DISCUSSION: In this evaluation performed in an extensive sample
following the European Directive, the ID CORE XT blood genotyping assay performed
as a reliable and accurate method for correctly predicting the genotype and
phenotype of clinically relevant blood group antigens.
PMID- 27893357
TI - FUT1 mutations responsible for the H-deficient phenotype in the Polish
population, including the first example of an abolished start codon.
PMID- 27893358
TI - The price of enhanced half-life factor IX.
PMID- 27893359
TI - Collection of umbilical cord blood for banking: collection rate and factors
influencing collection.
PMID- 27893360
TI - Vaccine-Preventable Diseases In Pediatric Patients: A Review Of Measles, Mumps,
Rubella, And Varicella.
AB - Vaccine-preventable diseases such as measles, mumps, rubella, and varicella
continue to plague children and adults worldwide. Although public health programs
have helped decrease the prevalence and sequelae of these diseases, outbreaks
still occur. To limit the spread of these diseases, emergency clinicians must be
able to readily identify the characteristic presentations of the rashes
associated with measles, rubella, and varicella, as well as the common presenting
features associated with mumps. Diagnostic laboratory studies are not usually
necessary, as a complete history and physical examination usually lead to an
accurate diagnosis. Treatment for these vaccine-preventable diseases usually
consists of supportive care, but, in some cases, severe complications and death
may occur. This issue provides a review of the clinical features, differential
diagnoses, potential complications, and treatment options for measles, mumps,
rubella, and varicella.
PMID- 27893362
TI - Pure Abstraction.
AB - Vincent Pucciarelli's imagery falls into the category of pure digital
abstraction. The only requirements for his images are shapes, lines, colors,
patterns, and the viewer's own boundless imagination.
PMID- 27893363
TI - Using Art to Visualize Cellular Environments: An Interview with David Goodsell.
AB - David Goodsell creates paintings of the inside of cells as well as other
molecular scale objects. His work reveals cellular structures that are
scientifically accurate and engaging to the human eye. This interview explores
how he brings to life that which we cannot see and how the scale and detail of
his work produces a more complete understanding of and engagement with these
complex structures.
PMID- 27893361
TI - Regulatory changes in two chemoreceptor genes contribute to a Caenorhabditis
elegans QTL for foraging behavior.
AB - Natural isolates of C. elegans differ in their sensitivity to pheromones that
inhibit exploratory behavior. Previous studies identified a QTL for pheromone
sensitivity that includes alternative alleles of srx-43, a chemoreceptor that
inhibits exploration through its activity in ASI sensory neurons. Here we show
that the QTL is multigenic and includes alternative alleles of srx-44, a second
chemoreceptor gene that modifies pheromone sensitivity. srx-44 either promotes or
inhibits exploration depending on its expression in the ASJ or ADL sensory
neurons, respectively. Naturally occurring pheromone insensitivity results in
part from previously described changes in srx-43 expression levels, and in part
from increased srx-44 expression in ASJ, which antagonizes ASI and ADL.
Antagonism between the sensory neurons results in cellular epistasis that is
reflected in their transcription of insulin genes that regulate exploration.
These results and genome-wide evidence suggest that chemoreceptor genes may be
preferred sites of adaptive variation in C. elegans.
PMID- 27893365
TI - Design and Choice of Visual Display Solutions in the Training Domain.
AB - Visual display solutions are a key element of computer-based system architectures
intended for human-system interaction. Particularly in the training domain, an
ill-matched visual display can render a system unusable or ineffectual, which may
cause underutilization or a negative transfer of training. This article outlines
a set of approaches and best practices used in research efforts focused on the
design of novel military training systems.
PMID- 27893364
TI - Visualizing Rugby Game Styles Using Self-Organizing Maps.
AB - Rugby coaches and analysts often use notational data describing match events to
assess their team's performance and to devise strategic plans for upcoming
matches. However, given the volume and complexity of the data available, it is
difficult for them to recognize high-dimensional relationships among the
available performance variables. A nonlinear approach using self-organizing maps
(SOM) can help visualize the performance of a team and its opponents as well as
the subsequent suitability of certain game styles, given the style of the
opponent.
PMID- 27893366
TI - HuSIS: A Dedicated Space for Studying Human Interactions.
AB - To support the study of effective human-surrogate interaction techniques and
modalities, the Office of Naval Research awarded an equipment grant to support
the development of a Human-Surrogate Interaction Space (HuSIS) at the University
of Central Florida in the Institute for Simulation & Training. The HuSIS consists
of a dedicated physical space, structures, and components designed specifically
for carrying out controlled studies related to human-surrogate interactions. This
article describes the motivation, design, and realization of the HuSIS and the
benefits of the common data-collection and analysis framework developed for HuSIS
research.
PMID- 27893367
TI - Interactive Crowd-Behavior Learning for Surveillance and Training.
AB - The proposed interactive crowd-behavior learning algorithms can be used to
analyze crowd videos for surveillance and training applications. The authors'
formulation combines online tracking algorithms from computer vision, nonlinear
pedestrian motion models from computer graphics, and machine learning techniques
to automatically compute trajectory-level pedestrian behaviors for each agent in
the video. These learned behaviors are used to automatically detect anomalous
behaviors, perform motion segmentation, and generate realistic behaviors for
virtual reality training applications.
PMID- 27893368
TI - BKViz: A Basketball Visual Analysis Tool.
AB - The amount of data available in the sports field is difficult for coaches,
analysts, and players to comprehend using classic analytics methods. Thus, new
methods are necessary to help users break down that information and analyze it at
a deeper level. The BKViz visual analytics system focuses on individual
basketball games using classic and novel methods to reveal how players perform
together and as individuals. The information is presented in interactive
visualizations that allow immediate user feedback.
PMID- 27893370
TI - Network Visualization as a Higher-Order Visual Analysis Tool.
AB - The transformation of data into visuals that are as effective and engaging as
possible for compelling presentation is an emerging topic in information
visualization research. With this goal in mind, several data-analysis system
approaches offer a higher-order network visualization that map insights rather
than raw data. Knowledge visualization or externalization in the form of small,
focused diagrams can communicate insights as well as help analysts structure
their reasoning process.
PMID- 27893369
TI - Customized Body Mapping to Facilitate the Ergonomic Design of Sportswear.
AB - A successful high-performance sportswear design that considers human factors
should result in a significant increase in thermal comfort and reduce energy
loss. The authors describe a body-mapping approach that facilitates the effective
ergonomic design of sportswear. Their general framework can be customized based
on the functional requirements of various sports and sportswear, the desired
combination and selection of mapping areas for the human body, and customized
quantitative data distribution of target physiological indicators.
PMID- 27893371
TI - Efficient High-Dimensional, Edge-Aware Filtering.
AB - Traditional computer graphics filters are agnostic to the concept of objects and
the transition between objects (edges), but image filters need to take edges into
account in order to produce high-quality, artifact-free, beautifully formed
images. Eduardo S.L. Gastal's dissertation focuses on efficient algorithms for
performing edge-aware image and video filtering. The techniques he describes here
leverage the known relationship between edge-aware filtering and high-dimensional
spaces and are capable of achieving real-time performance in high-resolution
data.
PMID- 27893373
TI - Robust Color Guided Depth Map Restoration.
AB - One of the most challenging issues in color guided depth map restoration is the
inconsistency between color edges in guidance color images and depth
discontinuities on depth maps. This makes the restored depth map suffer from
texture copy artifacts and blurring depth discontinuities. To handle this
problem, most state-of-the-art methods design complex guidance weight based on
guidance color images and heuristically make use of the bicubic interpolation of
the input depth map. In this paper, we show that using bicubic interpolated depth
map can blur depth discontinuities when the upsampling factor is large and the
input depth map contains large holes and heavy noise. In contrast, we propose a
robust optimization framework for color guided depth map restoration. By adopting
a robust penalty function to model the smoothness term of our model, we show that
the proposed method is robust against the inconsistency between color edges and
depth discontinuities even when we use simple guidance weight. To the best of our
knowledge, we are the first to solve this problem with a principled mathematical
formulation rather than previous heuristic weighting schemes. The proposed robust
method performs well in suppressing texture copy artifacts. Moreover, it can
better preserve sharp depth discontinuities than previous heuristic weighting
schemes. Through comprehensive experiments on both simulated data and real data,
we show promising performance of the proposed method.
PMID- 27893375
TI - Liver Segmentation on CT and MR Using Laplacian Mesh Optimization.
AB - OBJECTIVE: The purpose of this paper is to describe a semiautomated segmentation
method for the liver and evaluate its performance on CT-scan and MR images.
METHODS: First, an approximate 3-D model of the liver is initialized from a few
user-generated contours to globally outline the liver shape. The model is then
automatically deformed by a Laplacian mesh optimization scheme until it precisely
delineates the patient's liver. A correction tool was implemented to allow the
user to improve the segmentation until satisfaction. RESULTS: The proposed method
was tested against 30 CT-scans from the SLIVER07 challenge repository and 20 MR
studies from the Montreal University Hospital Center, covering a wide spectrum of
liver morphologies and pathologies. The average volumetric overlap error was 5.1%
for CT and 7.6% for MRI and the average segmentation time was 6 min. CONCLUSION:
The obtained results show that the proposed method is efficient, reliable, and
could effectively be used routinely in the clinical setting. SIGNIFICANCE: The
proposed approach can alleviate the cumbersome and tedious process of slice-wise
segmentation required for precise hepatic volumetry, virtual surgery, and
treatment planning.
PMID- 27893376
TI - Modulated Excitation Imaging System for Intravascular Ultrasound.
AB - Advances in methodologies and tools often lead to new insights into
cardiovascular diseases. Intravascular ultrasound (IVUS) is a well-established
diagnostic method that provides high-resolution images of the vessel wall and
atherosclerotic plaques. High-frequency (>50 MHz) ultrasound enables the spatial
resolution of IVUS to approach that of optical imaging methods. However, the
penetration depth decreases when using higher imaging frequencies due to the
greater acoustic attenuation. An imaging method that improves the penetration
depth of high-resolution IVUS would, therefore, be of major clinical importance.
Modulated excitation imaging is known to allow ultrasound waves to penetrate
further. This paper presents an ultrasound system specifically for modulated
excitation-based IVUS imaging. The system incorporates a high-voltage waveform
generator and an image processing board that are optimized for IVUS applications.
In addition, a miniaturized ultrasound transducer has been constructed using a
Pb(Mg1/3Nb2/3)O3-PbTiO3 single crystal to improve the ultrasound characteristics.
The results show that the proposed system was able to provide increases of 86.7%
in penetration depth and 9.6 dB in the signal-to-noise ratio for 60 MHz IVUS. In
vitro tissue samples were also investigated to demonstrate the performance of the
system.
PMID- 27893377
TI - High-Performance CAD-CTC Scheme Using Shape Index, Multiscale Enhancement
Filters, and Radiomic Features.
AB - OBJECTIVE: Computer-aided detection (CAD) systems for computed tomography
colonography (CTC) can automatically detect colorectal polyps. The main problem
of currently developed CAD-CTC systems is the numerous false positives (FPs)
caused by the existence of complicated colon structures (e.g., haustral fold,
residual fecal material, inflation tube, and ileocecal valve). This study
proposes a CAD-CTC scheme using shape index, multiscale enhancement filters, and
radiomic features to address the FP issue. METHODS: Shape index and multiscale
enhancement filter calculated in the Gaussian smoothed geodesic distance field
are combined to generate the polyp candidates. A total of 440 well-defined
radiomic features collected from previous radiomic studies and 200 newly
developed radiomic features are used to construct a supervised classification
model to reduce the numerous FPs. RESULTS: The proposed CAD-CTC scheme was
evaluated on 152 oral contrast-enhanced CT datasets from 76 patients with 103
polyps >=5 mm. The detection results were 98.1% and 95.3% by-polyp sensitivity
and per-scan sensitivity, respectively, with the same FP rate of 1.3 FPs per
dataset for polyps >=5 mm. CONCLUSION: Experimental results indicate that the
proposed CAD-CTC scheme can achieve high sensitivity while maintaining a low FP
rate. SIGNIFICANCE: The proposed CAD-CTC scheme would be a beneficial tool in
clinical colon examination.
PMID- 27893378
TI - Semi-supervised Stacked Label Consistent Autoencoder for Reconstruction and
Analysis of Biomedical Signals.
AB - OBJECTIVE: An autoencoder-based framework that simultaneously reconstruct and
classify biomedical signals is proposed. Previous work has treated reconstruction
and classification as separate problems. This is the first study that proposes a
combined framework to address the issue in a holistic fashion. METHODS: For
telemonitoring purposes, reconstruction techniques of biomedical signals are
largely based on compressed sensing (CS); these are "designed" techniques where
the reconstruction formulation is based on some "assumption" regarding the
signal. In this study, we propose a new paradigm for reconstruction-the
reconstruction is "learned," using an autoencoder; it does not require any
assumption regarding the signal as long as there is sufficiently large training
data. But since the final goal is to analyze/classify the signal, the system can
also learn a linear classification map that is added inside the autoencoder. The
ensuing optimization problem is solved using the Split Bregman technique.
RESULTS: Experiments were carried out on reconstructing and classifying
electrocardiogram (ECG) (arrhythmia classification) and EEG (seizure
classification) signals. CONCLUSION: Our proposed tool is capable of operating in
a semi-supervised fashion. We show that our proposed method is better in
reconstruction and more than an order magnitude faster than CS based methods; it
is capable of real-time operation. Our method also yields better results than
recently proposed classification methods. SIGNIFICANCE: This is the first study
offering an alternative to CS-based reconstruction. It also shows that the
representation learning approach can yield better results than traditional
methods that use hand-crafted features for signal analysis.
PMID- 27893379
TI - BLASST: Band Limited Atomic Sampling With Spectral Tuning With Applications to
Utility Line Noise Filtering.
AB - OBJECTIVE: In this paper, we present and test a new method for the identification
and removal of nonstationary utility line noise from biomedical signals. METHODS:
The method, band limited atomic sampling with spectral tuning (BLASST), is an
iterative approach that is designed to 1) fit nonstationarities in line noise by
searching for best-fit Gabor atoms at predetermined time points, 2) self-modulate
its fit by leveraging information from frequencies surrounding the target
frequency, and 3) terminate based on a convergence criterion obtained from the
same surrounding frequencies. To evaluate the performance of the proposed
algorithm, we generate several simulated and real instances of nonstationary line
noise and test BLASST along with alternative filtering approaches. RESULTS: We
find that BLASST is capable of fitting line noise well and/or preserving local
signal features relative to tested alternative filtering techniques. CONCLUSION:
BLASST may present a useful alternative to bandpass, notch, or other filtering
methods when experimentally relevant features have significant power in a
spectrum that is contaminated by utility line noise, or when the line noise in
question is highly nonstationary. SIGNIFICANCE: This is of particular
significance in electroencephalography experiments, where line noise may be
present in the frequency bands of neurological interest and measurements are
typically of low enough strength that induced line noise can dominate the
recorded signals. In conjunction with this paper, the authors have released a
MATLAB toolbox that performs BLASST on real, vector-valued signals (available at
https://github.com/VisLab/blasst).
PMID- 27893380
TI - Area Determination of Diabetic Foot Ulcer Images Using a Cascaded Two-Stage SVM
Based Classification.
AB - The standard chronic wound assessment method based on visual examination is
potentially inaccurate and also represents a significant clinical workload.
Hence, computer-based systems providing quantitative wound assessment may be
valuable for accurately monitoring wound healing status, with the wound area the
best suited for automated analysis. Here, we present a novel approach, using
support vector machines (SVM) to determine the wound boundaries on foot ulcer
images captured with an image capture box, which provides controlled lighting and
range. After superpixel segmentation, a cascaded two-stage classifier operates as
follows: in the first stage, a set of k binary SVM classifiers are trained and
applied to different subsets of the entire training images dataset, and
incorrectly classified instances are collected. In the second stage, another
binary SVM classifier is trained on the incorrectly classified set. We extracted
various color and texture descriptors from superpixels that are used as input for
each stage in the classifier training. Specifically, color and bag-of-word
representations of local dense scale invariant feature transformation features
are descriptors for ruling out irrelevant regions, and color and wavelet-based
features are descriptors for distinguishing healthy tissue from wound regions.
Finally, the detected wound boundary is refined by applying the conditional
random field method. We have implemented the wound classification on a Nexus 5
smartphone platform, except for training which was done offline. Results are
compared with other classifiers and show that our approach provides high global
performance rates (average sensitivity = 73.3%, specificity = 94.6%) and is
sufficiently efficient for a smartphone-based image analysis.
PMID- 27893381
TI - A Compressed Sensing Based Decomposition of Electrodermal Activity Signals.
AB - The measurement and analysis of electrodermal activity (EDA) offers applications
in diverse areas ranging from market research to seizure detection and to human
stress analysis. Unfortunately, the analysis of EDA signals is made difficult by
the superposition of numerous components that can obscure the signal information
related to a user's response to a stimulus. We show how simple preprocessing
followed by a novel compressed sensing based decomposition can mitigate the
effects of the undesired noise components and help reveal the underlying
physiological signal. The proposed framework allows for decomposition of EDA
signals with provable bounds on the recovery of user responses. We test our
procedure on both synthetic and real-world EDA signals from wearable sensors and
demonstrate that our approach allows for more accurate recovery of user responses
as compared with the existing techniques.
PMID- 27893382
TI - A New Framework for Quality Assessment of High-Resolution Fingerprint Images.
AB - The quality assessment of sets of features extracted from patterns of epidermal
ridges on our fingers is a biometric challenge problem with implications on
questions concerning security, privacy and identity fraud. In this work, we
introduced a new methodology to analyze the quality of high-resolution
fingerprint images containing sets of fingerprint pores. Our approach takes into
account the spatial interrelationship between the considered features and some
basic transformations involving point process and anisotropic analysis. We
proposed two new quality index algorithms following spatial and structural
classes of analysis. These algorithms have proved to be effective as a
performance predictor and as a filter excluding low-quality features in a
recognition process. The experiments using error reject curves show that the
proposed approaches outperform the state-of-the-art quality assessment algorithm
for high-resolution fingerprint recognition, besides defining a new method for
reconstructing their friction ridge phases in a very consistent way.
PMID- 27893383
TI - Efficient Globally Optimal Consensus Maximisation with Tree Search.
AB - Maximum consensus is one of the most popular criteria for robust estimation in
computer vision. Despite its widespread use, optimising the criterion is still
customarily done by randomised sample-and-test techniques, which do not guarantee
optimality of the result. Several globally optimal algorithms exist, but they are
too slow to challenge the dominance of randomised methods. Our work aims to
change this state of affairs by proposing an efficient algorithm for global
maximisation of consensus. Under the framework of LP-type methods, we show how
consensus maximisation for a wide variety of vision tasks can be posed as a tree
search problem. This insight leads to a novel algorithm based on A* search. We
propose efficient heuristic and support set updating routines that enable A*
search to efficiently find globally optimal results. On common estimation
problems, our algorithm is much faster than previous exact methods. Our work
identifies a promising direction for globally optimal consensus maximisation.
PMID- 27893384
TI - Recovering Inner Slices of Layered Translucent Objects by Multi-Frequency
Illumination.
AB - This paper describes a method for recovering appearance of inner slices of
translucent objects. The appearance of a layered translucent object is the summed
appearance of all layers, where each layer is blurred by a depth-dependent point
spread function (PSF). By exploiting the difference of low-pass characteristics
of depth-dependent PSFs, we develop a multi-frequency illumination method for
obtaining the appearance of individual inner slices. Specifically, by observing
the target object with varying the spatial frequency of checker-pattern
illumination, our method recovers the appearance of inner slices via computation.
We study the effect of non-uniform transmission due to inhomogeneity of
translucent objects and develop a method for recovering clear inner slices based
on the pixel-wise PSF estimates under the assumption of spatial smoothness of
inner slice appearances. We quantitatively evaluate the accuracy of the proposed
method by simulations and qualitatively show faithful recovery using real-world
scenes.
PMID- 27893385
TI - NELasso: Group-Sparse Modeling for Characterizing Relations Among Named Entities
in News Articles.
AB - Named entities such as people, locations, and organizations play a vital role in
characterizing online content. They often reflect information of interest and are
frequently used in search queries. Although named entities can be detected
reliably from textual content, extracting relations among them is more
challenging, yet useful in various applications (e.g., news recommending
systems). In this paper, we present a novel model and system for learning
semantic relations among named entities from collections of news articles. We
model each named entity occurrence with sparse structured logistic regression,
and consider the words (predictors) to be grouped based on background semantics.
This sparse group LASSO approach forces the weights of word groups that do not
influence the prediction towards zero. The resulting sparse structure is utilized
for defining the type and strength of relations. Our unsupervised system yields a
named entities' network where each relation is typed, quantified, and
characterized in context. These relations are the key to understanding news
material over time and customizing newsfeeds for readers. Extensive evaluation of
our system on articles from TIME magazine and BBC News shows that the learned
relations correlate with static semantic relatedness measures like WLM, and
capture the evolving relationships among named entities over time.
PMID- 27893387
TI - A CCA and ICA-Based Mixture Model for Identifying Major Depression Disorder.
AB - The fMRI signals are usually filtered before processing and analyzing. This
process can result in the loss of information carried by the higher frequency in
the low frequency fluctuation. ICA and CCA are two classical methods in fMRI. ICA
finds the statistically independent components of the observed data, however
these components are usually physiologically uninterpretable without auxiliary
procedures. CCA decomposes two sets of data into component pairs in some order,
however these components may be mixtures of real signals and noise. In order to
obtain statistically independent components and avoid the loss of information in
the process of filtering, we propose a mixed model based on ICA and CCA, which
does not need to filter the data. It is shown by the experiments that the new
model has some advantages compared with the classical ICA and CCA. The components
obtained by the new model is statistically independent. The useful information
included in the low frequency fluctuation can be preserved. Experiments on
synthetic data show satisfying results. As an application, this new model is used
to design an algorithm to discriminate the major depressions from normal
controls, with encouraging experimental results.
PMID- 27893388
TI - Sparse Representation-Based Multiple Frame Video Super-Resolution.
AB - In this paper, we propose two multiple-frame super-resolution (SR) algorithms
based on dictionary learning (DL) and motion estimation. First, we adopt the use
of video bilevel DL, which has been used for single-frame SR. It is extended to
multiple frames by using motion estimation with sub-pixel accuracy. We propose a
batch and a temporally recursive multi-frame SR algorithm, which improves over
single-frame SR. Finally, we propose a novel DL algorithm utilizing consecutive
video frames, rather than still images or individual video frames, which further
improves the performance of the video SR algorithms. Extensive experimental
comparisons with the state-of-the-art SR algorithms verify the effectiveness of
our proposed multiple-frame video SR approach.
PMID- 27893386
TI - Optimizing MR Scan Design for Model-Based ${T}_{1}$ , ${T}_{2}$ Estimation From
Steady-State Sequences.
AB - Rapid, reliable quantification of MR relaxation parameters T1 and T2 is desirable
for many clinical applications. Steady-state sequences such as Spoiled Gradient
Recalled Echo (SPGR) and Dual-Echo Steady-State (DESS) are fast and well-suited
for relaxometry because the signals they produce are quite sensitive to T1 and T2
variation. However, T1, T2 estimation with these sequences typically requires
multiple scans with varied sets of acquisition parameters. This paper describes a
systematic framework for selecting scan types (e.g., combinations of SPGR and
DESS scans) and optimizing their respective parameters (e.g., flip angles and
repetition times). The method is based on a Cramer-Rao Bound (CRB)-inspired min
max optimization that finds scan parameter combinations that robustly enable
precise object parameter estimation. We apply this technique to optimize
combinations of SPGR and DESS scans for T1, T2 relaxometry in white matter (WM)
and grey matter (GM) regions of the human brain at 3T field strength. Phantom
accuracy experiments show that SPGR/DESS scan combinations are in excellent
agreement with reference measurements. Phantom precision experiments show that
trends in T1,T2 pooled sample standard deviations reflect CRB-based predictions.
In vivo experiments show that in WM and GM, T1 and T2 estimates from a pair of
optimized DESS scans exhibit precision (but not necessarily accuracy) comparable
to that of optimized combinations of SPGR and DESS scans. To our knowledge, T1
maps from DESS acquisitions alone are new. This example application illustrates
that scan optimization may help reveal new parameter mapping techniques from
combinations of established pulse sequences.
PMID- 27893389
TI - Joint Defogging and Demosaicking.
AB - Image defogging is a technique used extensively for enhancing visual quality of
images in bad weather conditions. Even though defogging algorithms have been well
studied, defogging performance is degraded by demosaicking artifacts and sensor
noise amplification in distant scenes. In order to improve the visual quality of
restored images, we propose a novel approach to perform defogging and
demosaicking simultaneously. We conclude that better defogging performance with
fewer artifacts can be achieved when a defogging algorithm is combined with a
demosaicking algorithm simultaneously. We also demonstrate that the proposed
joint algorithm has the benefit of suppressing noise amplification in distant
scenes. In addition, we validate our theoretical analysis and observations for
both synthesized data sets with ground truth fog-free images and natural scene
data sets captured in a raw format.
PMID- 27893391
TI - Robust Transfer Metric Learning for Image Classification.
AB - Metric learning has attracted increasing attention due to its critical role in
image analysis and classification. Conventional metric learning always assumes
that the training and test data are sampled from the same or similar
distribution. However, to build an effective distance metric, we need abundant
supervised knowledge (i.e., side/label information), which is generally
inaccessible in practice, because of the expensive labeling cost. In this paper,
we develop a robust transfer metric learning (RTML) framework to effectively
assist the unlabeled target learning by transferring the knowledge from the well
labeled source domain. Specifically, RTML exploits knowledge transfer to mitigate
the domain shift in two directions, i.e., sample space and feature space. In the
sample space, domain-wise and class-wise adaption schemes are adopted to bridge
the gap of marginal and conditional distribution disparities across two domains.
In the feature space, our metric is built in a marginalized denoising fashion and
low-rank constraint, which make it more robust to tackle noisy data in reality.
Furthermore, we design an explicit rank constraint regularizer to replace the
rank minimization NP-hard problem to guide the low-rank metric learning.
Experimental results on several standard benchmarks demonstrate the effectiveness
of our proposed RTML by comparing it with the state-of-the-art transfer learning
and metric learning algorithms.
PMID- 27893392
TI - Waterloo Exploration Database: New Challenges for Image Quality Assessment
Models.
AB - The great content diversity of real-world digital images poses a grand challenge
to image quality assessment (IQA) models, which are traditionally designed and
validated on a handful of commonly used IQA databases with very limited content
variation. To test the generalization capability and to facilitate the wide usage
of IQA techniques in real-world applications, we establish a large-scale database
named the Waterloo Exploration Database, which in its current state contains 4744
pristine natural images and 94 880 distorted images created from them. Instead of
collecting the mean opinion score for each image via subjective testing, which is
extremely difficult if not impossible, we present three alternative test criteria
to evaluate the performance of IQA models, namely, the pristine/distorted image
discriminability test, the listwise ranking consistency test, and the pairwise
preference consistency test (P-test). We compare 20 well-known IQA models using
the proposed criteria, which not only provide a stronger test in a more
challenging testing environment for existing models, but also demonstrate the
additional benefits of using the proposed database. For example, in the P-test,
even for the best performing no-reference IQA model, more than 6 million failure
cases against the model are "discovered" automatically out of over 1 billion test
pairs. Furthermore, we discuss how the new database may be exploited using
innovative approaches in the future, to reveal the weaknesses of existing IQA
models, to provide insights on how to improve the models, and to shed light on
how the next-generation IQA models may be developed. The database and codes are
made publicly available at: https://ece.uwaterloo.ca/~k29ma/exploration/.
PMID- 27893393
TI - Salient object detection with spatiotemporal background priors for video.
AB - Saliency detection for images has been studied for many years, for which a lot of
methods have been designed. In saliency detection, background priors which are
often regarded as pseudo-background are effective clues to find salient objects
in images. Although image boundary is commonly used background priors, it doesn't
work well for images of complex scenes and videos. In this paper, we explore how
to identify the background priors for a video and propose a saliency based method
to detect the visual objects by using background priors. For a video, we
integrate multiple pairs of SIFT flows from long-range frames and a bidirectional
consistency propagation is conducted to obtain the accurate and sufficient
temporal background priors, which are combined with spatial background priors to
generate spatiotemporal background priors. Next, a novel dual-graph based
structure using spatiotemporal background priors is put forward in computation of
saliency maps, fully taking advantage of appearance and motion information in
videos. Experimental results on different challenging datasets show that the
proposed method robustly and accurately detect the video objects in both simple
and complex scenes and achieve better performance compared with other state-of
the-art video saliency models.
PMID- 27893394
TI - A Robust and Efficient Approach to License Plate Detection.
AB - This paper presents a robust and efficient method for license plate detection
with the purpose of accurately localizing vehicle license plates from complex
scenes in real time. A simple yet effective image downscaling method is first
proposed to substantially accelerate license plate localization without
sacrificing detection performance compared with that achieved using the original
image. Furthermore, a novel line density filter approach is proposed to extract
candidate regions, thereby significantly reducing the area to be analyzed for
license plate localization. Moreover, a cascaded license plate classifier based
on linear support vector machines using color saliency features is introduced to
identify the true license plate from among the candidate regions. For performance
evaluation, a data set consisting of 3977 images captured from diverse scenes
under different conditions is also presented. Extensive experiments on the widely
used Caltech license plate data set and our newly introduced data set demonstrate
that the proposed approach substantially outperforms state-of-the-art methods in
terms of both detection accuracy and run-time efficiency, increasing the
detection ratio from 91.09% to 96.62% while decreasing the run time from 672 to
42 ms for processing an image with a resolution of 1082*728 . The executable code
and our collected data set are publicly available.
PMID- 27893395
TI - Fast Physically Correct Refocusing for Sparse Light Fields Using Block-Based
Multi-Rate View Interpolation.
AB - Digital refocusing has a tradeoff between complexity and quality when using
sparsely sampled light fields for low-storage applications. In this paper, we
propose a fast physically correct refocusing algorithm to address this issue in a
twofold way. First, view interpolation is adopted to provide photorealistic
quality at infocus-defocus hybrid boundaries. Regarding its conventional high
complexity, we devised a fast line-scan method specifically for refocusing, and
its 1D kernel can be 30* faster than the benchmark View Synthesis Reference
Software (VSRS)-1D-Fast. Second, we propose a block-based multi-rate processing
flow for accelerating purely infocused or defocused regions, and a further 3- 34*
speedup can be achieved for high-resolution images. All candidate blocks of
variable sizes can interpolate different numbers of rendered views and perform
refocusing in different subsampled layers. To avoid visible aliasing and block
artifacts, we determine these parameters and the simulated aperture filter
through a localized filter response analysis using defocus blur statistics. The
final quadtree block partitions are then optimized in terms of computation time.
Extensive experimental results are provided to show superior refocusing quality
and fast computation speed. In particular, the run time is comparable with the
conventional single-image blurring, which causes serious boundary artifacts.
PMID- 27893398
TI - Probabilistic Inference on Multiple Normalized Genome-Wide Signal Profiles With
Model Regularization.
AB - Understanding genome-wide protein-DNA interaction signals forms the basis for
further focused studies in gene regulation. In particular, the chromatin
immunoprecipitation with massively parallel DNA sequencing technology (ChIP-Seq)
can enable us to measure the in vivo genome-wide occupancy of the DNA-binding
protein of interest in a single run. Multiple ChIP-Seq runs thus inherent the
potential for us to decipher the combinatorial occupancies of multiple DNA
binding proteins. To handle the genome-wide signal profiles from those multiple
runs, we propose to integrate regularized regression functions (i.e., LASSO,
Elastic Net, and Ridge Regression) into the well-established SignalRanker and
FullSignalRanker frameworks, resulting in six additional probabilistic models for
inference on multiple normalized genome-wide signal profiles. The corresponding
model training algorithms are devised with computational complexity analysis.
Comprehensive benchmarking is conducted to demonstrate and compare the
performance of nine related probabilistic models on the ENCODE ChIP-Seq datasets.
The results indicate that the regularized SignalRanker models, in contrast to the
original SignalRanker models, can demonstrate excellent inference performance
comparable to the FullSignalRanker models with low model complexities and time
complexities. Such a feature is especially valuable in the context of the rapidly
growing genome-wide signal profile data in the recent years.
PMID- 27893397
TI - Capacity and Delay Spread in Multilayer Diffusion-Based Molecular Communication
(DBMC) Channel.
AB - In nanoscale communication, diffusion-based molecular communication (DBMC) in
which information is encoded into molecule patterns by a transmitter nanomachine,
has emerged as a promising communication system, particularly for biomedical and
healthcare applications. Although, numerous studies have been conducted to
evaluate and analyze DBMC systems, investigation on DBMC system through a
multilayer channel has received less attention. The aims of this paper are to
formulate channel characteristics and to evaluate the performance of multilayer
DBMC channel in terms of delay spread and capacity. In this paper, the
propagation of molecules over an n- layer channel is assumed to follow the
Brownian motion and subjected to Fick's law of diffusion. Fourier transform is
used to convert time to frequency domain functions. Besides, the multilayer
channel is considered as a linear and deterministic channel. For the performance
evaluation, the air-water-blood plasma medium representing the simplified
multilayer diffusion model in the respiratory system was chosen. It was found
that a high channel capacity can be achieved with wide transmission bandwidth,
short transmission distance, and high averaged transmitted power. In addition,
the findings showed that channel delay spread increases as both the transmission
distance, and the pulse duration increased. By setting the symbol duration
greater than the pulse duration or delay spread, an inter-symbol interference
problem due to previous molecules transmission can be mitigated. These findings
can be used as a guide in the development and fabrication of future artificial
nanocommunication and nanonetworks systems involving multilayer transmission
medium.
PMID- 27893396
TI - Enhanced Control of Cortical Pyramidal Neurons With Micromagnetic Stimulation.
AB - Magnetic stimulation is less sensitive to the inflammatory reactions that plague
conventional electrode-based cortical implants and therefore may be useful as a
next-generation (implanted) cortical prosthetic. The fields arising from micro
coils are quite small however and thus, their ability to modulate cortical
activity must first be established. Here, we show that layer V pyramidal neurons
(PNs) can be strongly activated by micro-coil stimulation and further, the
asymmetric fields arising from such coils do not simultaneously activate
horizontally-oriented axon fibers, thus confining activation to a focal region
around the coil. The spatially-narrow fields from micro-coils allowed the
sensitivity of different regions within a single PN to be compared: while the
proximal axon was most sensitive in naive cells, repetitive stimulation over the
apical dendrite led to a change in state of the neuron that reduced thresholds
there to below those of the axon. Thus, our results raise the possibility that
regardless of the mode of stimulation, penetration depths that target specific
portions of the apical dendrite may actually be more effective than those that
target Layer 6. Interestingly, the state change had similar properties to state
changes described previously at the systems level, suggesting a possible neuronal
mechanism underlying such responses.
PMID- 27893400
TI - Multivariate Cryptography Based on Clipped Hopfield Neural Network.
AB - Designing secure and efficient multivariate public key cryptosystems
[multivariate cryptography (MVC)] to strengthen the security of RSA and ECC in
conventional and quantum computational environment continues to be a challenging
research in recent years. In this paper, we will describe multivariate public key
cryptosystems based on extended Clipped Hopfield Neural Network (CHNN) and
implement it using the MVC (CHNN-MVC) framework operated in space. The Diffie
Hellman key exchange algorithm is extended into the matrix field, which
illustrates the feasibility of its new applications in both classic and
postquantum cryptography. The efficiency and security of our proposed new public
key cryptosystem CHNN-MVC are simulated and found to be NP-hard. The proposed
algorithm will strengthen multivariate public key cryptosystems and allows
hardware realization practicality.
PMID- 27893401
TI - Heartbeat Classification Using Abstract Features From the Abductive
Interpretation of the ECG.
AB - OBJECTIVE: This paper aims to prove that automatic beat classification on ECG
signals can be effectively solved with a pure knowledge-based approach, using an
appropriate set of abstract features obtained from the interpretation of the
physiological processes underlying the signal. METHODS: A set of qualitative
morphological and rhythm features are obtained for each heartbeat as a result of
the abductive interpretation of the ECG. Then, a QRS clustering algorithm is
applied in order to reduce the effect of possible errors in the interpretation.
Finally, a rule-based classifier assigns a tag to each cluster. RESULTS: The
method has been tested with the MIT-BIH Arrhythmia Database records, showing a
significantly better performance than any other automatic approach in the state
of-the-art, and even improving most of the assisted approaches that require the
intervention of an expert in the process. CONCLUSION: The most relevant issues in
ECG classification, related to a large extent to the variability of the signal
patterns between different subjects and even in the same subject over time, will
be overcome by changing the reasoning paradigm. SIGNIFICANCE: This paper
demonstrates the power of an abductive framework for time-series interpretation
to make a qualitative leap in the significance of the information extracted from
the ECG by automatic methods.
PMID- 27893403
TI - Real-Time Robust Heart Rate Estimation From Wrist-Type PPG Signals Using Multiple
Reference Adaptive Noise Cancellation.
AB - Heart rate (HR) monitoring using photoplethysmographic (PPG) signals recorded
from wearers' wrist greatly facilitates design of wearable devices and maximizes
user experience. However, placing PPG sensors in wrist causes much stronger and
complicated motion artifacts (MA) due to loose interface between sensors and
skin. Therefore, developing robust HR estimation algorithms for wrist-type PPG
signals has significant commercial values. In this paper, we propose a robust HR
estimation algorithm for wrist-type PPG signals using multiple reference adaptive
noise cancellation (ANC) technique-termed here as "MURAD." The main challenge of
using ANC for MA reduction is to devise a qualified reference noise signal (RNS)
to the adaptive filter. We propose a novel solution by using four RNSs, namely,
the three-axis accelerometer data and the difference signal between the two PPG
signals. For each RNS, we get a different version of the cleaned PPG signal.
Then, a set of probable HR values is estimated using all of the cleaned PPG
signals, and then, the value that is closest to the estimated HR of the previous
time window is chosen to be the HR estimate of the current window. Then, some
peak verification techniques are employed to ensure accurate HR estimations. The
proposed technique gives lower average absolute error compared to state-of-the
art methods. So, MURAD method provides a promising solution to the challenge of
HR monitoring using PPG in wearable devices during severe MA conditions.
PMID- 27893404
TI - Policy Gradient Adaptive Dynamic Programming for Data-Based Optimal Control.
AB - The model-free optimal control problem of general discrete-time nonlinear systems
is considered in this paper, and a data-based policy gradient adaptive dynamic
programming (PGADP) algorithm is developed to design an adaptive optimal
controller method. By using offline and online data rather than the mathematical
system model, the PGADP algorithm improves control policy with a gradient descent
scheme. The convergence of the PGADP algorithm is proved by demonstrating that
the constructed Q -function sequence converges to the optimal Q -function. Based
on the PGADP algorithm, the adaptive control method is developed with an actor
critic structure and the method of weighted residuals. Its convergence properties
are analyzed, where the approximate Q -function converges to its optimum.
Computer simulation results demonstrate the effectiveness of the PGADP-based
adaptive control method.
PMID- 27893402
TI - A Convolutional Neural Network for Automatic Characterization of Plaque
Composition in Carotid Ultrasound.
AB - Characterization of carotid plaque composition, more specifically the amount of
lipid core, fibrous tissue, and calcified tissue, is an important task for the
identification of plaques that are prone to rupture, and thus for early risk
estimation of cardiovascular and cerebrovascular events. Due to its low costs and
wide availability, carotid ultrasound has the potential to become the modality of
choice for plaque characterization in clinical practice. However, its significant
image noise, coupled with the small size of the plaques and their complex
appearance, makes it difficult for automated techniques to discriminate between
the different plaque constituents. In this paper, we propose to address this
challenging problem by exploiting the unique capabilities of the emerging deep
learning framework. More specifically, and unlike existing works which require a
priori definition of specific imaging features or thresholding values, we propose
to build a convolutional neural network (CNN) that will automatically extract
from the images the information that is optimal for the identification of the
different plaque constituents. We used approximately 90 000 patches extracted
from a database of images and corresponding expert plaque characterizations to
train and to validate the proposed CNN. The results of cross-validation
experiments show a correlation of about 0.90 with the clinical assessment for the
estimation of lipid core, fibrous cap, and calcified tissue areas, indicating the
potential of deep learning for the challenging task of automatic characterization
of plaque composition in carotid ultrasound.
PMID- 27893405
TI - Second-Order Consensus in Multiagent Systems via Distributed Sliding Mode
Control.
AB - In this paper, the new decoupled distributed sliding-mode control (DSMC) is first
proposed for second-order consensus in multiagent systems, which finally solves
the fundamental unknown problem for sliding-mode control (SMC) design of coupled
networked systems. A distributed full-order sliding-mode surface is designed
based on the homogeneity with dilation for reaching second-order consensus in
multiagent systems, under which the sliding-mode states are decoupled. Then, the
SMC is applied to the decoupled sliding-mode states to reach their origin in
finite time, which is the sliding-mode surface. The states of agents can first
reach the designed sliding-mode surface in finite time and then move to the
second-order consensus state along the surface in finite time as well. The DSMC
designed in this paper can eliminate the influence of singularity problems and
weaken the influence of chattering, which is still very difficult in the SMC
systems. In addition, DSMC proposes a general decoupling framework for designing
SMC in networked multiagent systems. Simulations are presented to verify the
theoretical results in this paper.
PMID- 27893406
TI - Adaptive Granulation-Based Prediction for Energy System of Steel Industry.
AB - The flow variation tendency of byproduct gas plays a crucial role for energy
scheduling in steel industry. An accurate prediction of its future trends will be
significantly beneficial for the economic profits of steel enterprise. In this
paper, a long-term prediction model for the energy system is proposed by
providing an adaptive granulation-based method that considers the production
semantics involved in the fluctuation tendency of the energy data, and partitions
them into a series of information granules. To fully reflect the corresponding
data characteristics of the formed unequal-length temporal granules, a 3-D
feature space consisting of the timespan, the amplitude and the linetype is
designed as linguistic descriptors. In particular, a collaborative-conditional
fuzzy clustering method is proposed to granularize the tendency-based feature
descriptors and specifically measure the amplitude variation of industrial data
which plays a dominant role in the feature space. To quantify the performance of
the proposed method, a series of real-world industrial data coming from the
energy data center of a steel plant is employed to conduct the comparative
experiments. The experimental results demonstrate that the proposed method
successively satisfies the requirements of the practically viable prediction.
PMID- 27893407
TI - Decentralized Fault Tolerant Control for a Class of Interconnected Nonlinear
Systems.
AB - This paper proposes a decentralized fault tolerant methodology for a class of
interconnected nonlinear systems. The key novelty of our proposed method is that
fault tolerant control can be achieved without necessarily exchanging the state
information between the subsystems and the couplings' effect can be dealt with
utilizing the cyclic-small-gain methodology. Simulation results demonstrate
effectively the validity of our proposed approach.
PMID- 27893408
TI - Betweenness Centrality-Based Consensus Protocol for Second-Order Multiagent
Systems With Sampled-Data.
AB - This paper designs a new leader-following consensus protocol for second-order
multiagent systems with time-varying sampling. For the first time in designing a
leader-following protocol, the concept of betweenness centrality is adopted to
analyze the information flow in the consensus problem for multiagent systems. By
construction of a suitable Lyapunov-Krasovskii functional, some criteria for
designing consensus protocols of such systems are established in terms of linear
matrix inequalities which can be easily solved by various effective optimization
algorithms. One numerical example is given to illustrate the validity of the
proposed argument.
PMID- 27893409
TI - Strategies for improvement of WeChat-PBL teaching: experience from China.
PMID- 27893410
TI - Characterization of variations in IL23A and IL23R genes: possible roles in
multiple sclerosis and other neuroinflammatory demyelinating diseases.
AB - Multiple sclerosis is among the most serious inflammatory demyelinating diseases
(IDD). Interleukin-23A (IL23A) regulates and coordinates the activities of immune
cells by interacting with its receptor IL23R and plays key roles in the
pathogenesis of immune inflammatory diseases. IDD, deemed to be a kind of
autoimmune diseases, may involve IL23A in the pathogenesis. The aim of this work
was to validate the hypothesized involvement of IL-23A and its receptor in IDD.
We sequenced the IL-23A and IL-23R genes for 206 Chinese Han IDD patients and
evaluated SNPs within or near those genes. The serum levels of IL23A in IDD
participants were analyzed using ELISA. The statistical analyses were conducted
using Chi-Square Tests as implemented in SPSS (version 19.0). The Hardy-Weinberg
equilibrium test of the population was carried out using online software OEGE.
Three variants rs2066808, rs2371494, rs11575248 in IL-23A gene and one variant
rs1884444 in IL-23R gene were demonstrated to be associated with the risk of MS
or other IDD diseases, and the expression level of serum IL-23A in the MS
patients was also altered. We conclude that variants in IL-23A and IL-23R genes
were associated with the risk of MS or other IDD diseases.
PMID- 27893411
TI - Plasma osteoprotegerin and breast cancer risk in BRCA1 and BRCA2 mutation
carriers.
AB - Emerging evidence suggests a role of receptor activator of nuclear factor kappaB
(RANK)/RANK ligand (RANKL) signaling in breast cancer development. Lower
osteoprotegerin (OPG) levels, the endogenous decoy receptor for RANKL which
competes with RANK for binding of RANKL, has been reported among BRCA mutation
carriers. Whether low OPG levels contribute to the high breast cancer risk in
this population is unknown. OPG concentrations were measured in plasma of 206
cancer-free BRCA mutation carriers using an enzyme-linked immunosorbent assay.
Subjects were categorized as high vs. low based on the median of the entire
cohort (95 ng/mL) and followed for a new diagnosis of breast cancer. Cumulative
incidence by baseline plasma OPG concentration was estimated using Kaplan-Meier
survival analysis. Cox proportional hazards models were used to estimate the
adjusted hazard ratios for the association between plasma OPG and breast cancer
risk. Over a mean follow-up period of 6.5 years (range 0.1-18.8 years), 18
incident breast cancer cases were observed. After ten years of follow-up, the
cumulative incidence of breast cancer among women with low OPG was 21%, compared
to 9% among women with high OPG (P-log rank = 0.046). After multivariate
adjustment, women with high plasma OPG had a significantly decreased risk of
developing breast cancer, compared to women with low OPG (HR = 0.25; 95%CI 0.08
0.78; P = 0.02). These data suggest that low OPG levels are associated with an
increased risk of BRCA-associated breast cancer. Targeting RANK signalling may
represent a plausible, non-surgical prevention option for BRCA mutation carriers.
PMID- 27893412
TI - Molecular mechanism and therapeutic implications of selinexor (KPT-330) in
liposarcoma.
AB - Exportin-1 mediates nuclear export of multiple tumor suppressor and growth
regulatory proteins. Aberrant expression of exportin-1 is noted in human
malignancies, resulting in cytoplasmic mislocalization of its target proteins. We
investigated the efficacy of selinexor against liposarcoma cells both in vitro
and in vivo. Exportin-1 was highly expressed in liposarcoma samples and cell
lines as determined by immunohistochemistry, western blot, and immunofluorescence
assay. Knockdown of endogenous exportin-1 inhibited proliferation of liposarcoma
cells. Selinexor also significantly decreased cell proliferation as well as
induced cell cycle arrest and apoptosis of liposarcoma cells. The drug also
significantly decreased tumor volumes and weights of liposarcoma xenografts.
Importantly, selinexor inhibited insulin-like growth factor 1 (IGF1) activation
of IGF-1R/AKT pathway through upregulation of insulin-like growth factor binding
protein 5 (IGFBP5). Further, overexpression and knockdown experiments showed that
IGFBP5 acts as a tumor suppressor and its expression was restored upon selinexor
treatment of liposarcoma cells. Selinexor decreased aurora kinase A and B levels
in these cells and inhibitors of these kinases suppressed the growth of the
liposarcoma cells. Overall, our study showed that selinexor treatment restored
tumor suppressive function of IGFBP5 and inhibited aurora kinase A and B in
liposarcoma cells supporting the usefulness of selinexor as a potential
therapeutic strategy for the treatment of this cancer.
PMID- 27893413
TI - KIR 2D (L1, L3, L4, S4) and KIR 3DL1 protein expression in non-small cell lung
cancer.
AB - BACKGROUND: Nature killer (NK) cells are the immune system's first line of
defense against both viral infections and tumors. Killer cell immunoglobulin-like
receptors (KIRs) are associated with susceptibility to different types of
cancers. We investigated KIR 2D (L1, L3, L4, S4) and KIR 3DL1 protein expression
and their association with survival in non-small cell lung cancer (NSCLC).
METHODS: The expression of KIR 2D (L1, L3, L4, S4) (BC032422/ ADQ31987/
NP_002246/ NP_036446, ABCAM) and KIR 3DL1 (AA 1-444, ABCAM) protein was assessed
by immunohistochemistry (IHC) in 62 NSCLC patients. RESULTS: KIR 2D (L1, L3, L4,
S4) and KIR 3DL1 were expressed both on NSCLC tumor cells and tumor infiltrating
lymphocytes (TILs). Fourteen samples (22.6%) stained positive for KIR 2D (L1, L3,
L4, S4) on the tumor cells, and 10 (16.1%) had positive expression on the TILs.
Thirty-three samples (53.2%) stained positive for KIR 3DL1 on the tumor cells,
and 31 (50.0%) had positive expression on the TILs. Patients with negative KIR 2D
(L1, L3, L4, S4) expression on tumor cells or TILs had longer overall survival
(OS) than patients who are KIR 2D (L1, L3, L4, S4) positive on tumor cells (40.70
weeks, 95% CI 24.76-56.65 vs. 7.10 weeks, 95% CI 0.00-19.38, P = 0.014) or TILs
(40.70 weeks, 95% CI 24.05-57.35 vs. 3.90 weeks, 95% CI 0.00-9.17, P < 0.001).
Likewise, longer OS was significantly correlated with negative expression of KIR
3DL1 on tumor cells (62.30 weeks, 95% CI 0.00-177.37 vs. 13.10 weeks, 95% CI 3.42
22.78, P < 0.001) or TILs (62.30 weeks, 95% CI 0.00-152.05 vs. 12.10 weeks, 95%
CI 2.61-21.59, P < 0.001). Cox regression analysis showed that KIR 2D (L1, L3,
L4, S4) on TILs was correlated with OS (P = 0.032, Odds Ratio 2.628 95%CI 1.089
6.340). CONCLUSIONS: KIR 2D (L1, L3, L4, S4) and KIR 3DL1 expression was
correlated with poor prognosis in NSCLC patients.
PMID- 27893414
TI - Recombinant Haemonchus contortus 24 kDa excretory/secretory protein (rHcES-24)
modulate the immune functions of goat PBMCs in vitro.
AB - A 24 kDa protein is one of the important components in Haemonchus contortus
(barber pole worm) excretory/secretory products (HcESPs), which was shown to have
important antigenic function. However, little is known about the immunomodulatory
effects of this proteinon host cell. In the present study gene encoding 24kDa
excretory/secretory protein (HcES-24) was cloned. The recombinant protein of HcES
24 (rHcES-24) was expressed in a histidine-tagged fusion protein soluble form in
Escherichia coli. Binding activity of rHcES-24 to goat PBMCs was confirmed by
immunofluorescence assay (IFA) and its immunomudulatory effect on cytokine
secretion, cell proliferation, cell migration and nitric oxide production were
observed by co-incubation of rHcES-24. IFA results revealed that rHcES-24 could
bind to the PBMCs. The interaction of rHcES-24 increased the production of IL4,
IL10, IL17 and cell migration in dose dependent manner. However, rHcES-24
treatment significantly suppressed the production of IFNgamma, proliferation of
the PBMC and Nitric oxide (NO) production. Our findings showed that the rHcES-24
played important regulatory effects on the goat PBMCs.
PMID- 27893415
TI - Pentraxin 3 plasma levels at graft-versus-host disease onset predict disease
severity and response to therapy in children given haematopoietic stem cell
transplantation.
AB - Acute Graft-versus-Host Disease (GvHD) remains a major complication of allogeneic
haematopoietic stem cell transplantation, with a significant proportion of
patients failing to respond to first-line systemic corticosteroids. Reliable
biomarkers predicting disease severity and response to treatment are warranted to
improve its management. Thus, we sought to determine whether pentraxin 3 (PTX3),
an acute-phase protein produced locally at the site of inflammation, could
represent a novel acute GvHD biomarker. Using a murine model of the disease, we
found increased PTX3 plasma levels after irradiation and at GvHD onset.
Similarly, plasma PTX3 was enhanced in 115 pediatric patients on day of
transplantation, likely due to conditioning, and at GvHD onset in patients
experiencing clinical symptoms of the disease. PTX3 was also found increased in
skin and colon biopsies from patients with active disease. Furthermore, PTX3
plasma levels at GvHD onset were predictive of disease outcome since they
resulted significantly higher in both severe and therapy-unresponsive patients.
Multiple injections of rhPTX3 in the murine model of GvHD did not influence the
disease course. Taken together, our results indicate that PTX3 constitutes a
biomarker of GvHD severity and therapy response useful to tailor treatment
intensity according to early risk-stratification of GvHD patients.
PMID- 27893416
TI - The effect of FcgammaRIIA and FcgammaRIIB on coronary artery lesion formation and
intravenous immunoglobulin treatment responses in children with Kawasaki disease.
AB - Previous research has found patients with the FcgammaRIIIB NA1 variant having
increased risk of intravenous immunoglobulin (IVIG) resistance in Kawasaki
disease (KD). Our previous studies revealed that elevated FcgammaRIIA expression
correlated with the susceptibility of KD patients. We conducted this research to
determine whether and how Fcgamma receptors affect the susceptibility, IVIG
treatment response, and coronary artery lesions (CAL) of KD patients. The
activating FcgammaRIIA and inhibitory FcgammaRIIB methylation levels of seven
patients with KD and four control subjects were examined using HumanMethylation27
BeadChip. We enrolled a total of 44 KD patients and 10 control subjects with
fevers. We performed real-time RT-PCR to determine the FcgammaRIIA and
FcgammaRIIB expression levels, as well as a luciferase assay of FcgammaRIIA. We
found a considerable increase in methylation of both FcgammaRIIA and FcgammaRIIB
in KD patients undergoing IVIG treatment. Promoter methylation of FcgammaRIIA
inhibited reporter activity in K562 cells using luciferase assay. The FcgammaRIIB
mRNA expression levels were not found to increase susceptibility, CAL formation,
or IVIG resistance. FcgammaRIIA mRNA expression levels were significantly higher
in IVIG-resistant patients than in those that responded to IVIG during the pre
treatment period. Furthermore, the FcgammaRIIA/IIB mRNA expression ratio was
considerably higher in KD patients with CAL than in those without CAL.
FcgammaRIIA and FcgammaRIIB both demonstrated increased methylation levels in KD
patients that underwent IVIG treatment. FcgammaRIIA expression influenced the
IVIG treatment response of KD patients. The FcgammaRIIA/IIB mRNA expression ratio
was greater in KD patients with CAL formation.
PMID- 27893417
TI - Genetic and epigenetic silencing of mircoRNA-506-3p enhances COTL1 oncogene
expression to foster non-small lung cancer progression.
AB - Although previous studies suggested that microRNA-506-3p (miR-506-3p) was
frequently downregulated, and functioned as a tumor suppressor in several
cancers, the biological role and intrinsic regulatory mechanisms of miR-506-3p in
non-small cell lung cancer (NSCLC) remain elusive. The present study found miR
506-3p expression was downregulated in advanced NSCLC tissues and cell lines. The
expression of miR-506-3p in NSCLC was inversely correlated with larger tumor
size, advanced TNM stage and lymph node metastasis. In addition, we also found
patients with lower expression of miR-506-3p had a poor prognosis than those
patients with higher expression of miR-506-3p. Function studies demonstrated that
aberrant miR-506-3p expression modulates tumor cell growth, cell mobility, cell
migration and invasion in vitro and in vivo. Mechanistic investigations
manifested that coactosin-like protein 1 (COTL1) was a direct downstream target
of miR-506-3p. Knockdown of COTL1 mimicked the tumor-suppressive effects of miR
506-3p overexpression in A549 cells, whereas COTL1 overexpression enhanced the
tumorigenic function in HCC827 cells. Importantly, we also found GATA3
transcriptionally actives miR-506-3p expression, and the long non-coding RNA
urothelial carcinoma-associated 1 (UCA1) exerts oncogenic function in NSCLC by
competitively 'sponging' miRNA-506. Together, our combined results elucidated
genetic and epigenetic silencing of miR-506-3p enhances COTL1 oncogene expression
to foster NSCLC progression.
PMID- 27893418
TI - High-risk HPV genotypes and P16INK4a expression in a cohort of head and neck
squamous cell carcinoma patients in Singapore.
AB - Human papillomavirus (HPV), especially HPV16 genotype, is associated with
oropharyngeal squamous cell carcinoma (OPSCC). We aim to determine the prevalence
and characterize the high-risk (HR)-HPV genotypes in head and neck SCC (HNSCC) in
a South-East Asian multi-ethnic society in Singapore and examine its prognostic
significance.159 HNSCC archival tissue samples were retrieved and tumour DNA was
screened for 18 HR-HPV genotypes using a PCR-based assay (Qiagen, digene HPV
genotyping RH test). P16 protein overexpression was identified using
immunohistochemistry (IHC). Statistical correlation between clinical outcomes
were performed between HPV-positive and negative HNSCC patients.Six HR-HPVs
(HPV16, 18, 31, 45, 56, 68) were detected in 90.6% of HNSCC; and 79.9% had
multiple HPV genotypes detected. HPV31 and HPV45 were the most prevalent (79.2%
and 87.4%, respectively); and HPV16 was predominantly found in OPSCC (p < 0.001).
HPV-DNA PCR assay yielded a high sensitivity (96%) but low specificity (11%) when
compared to p16 immunohistochemistry as the reference standard.P16-positive HNSCC
was predominantly observed in OPSCC (73.7%; p = 0.005); and p16-positive OPSCC
exhibited improved overall survival compared to p16-negative OPSCC (p = 0.022).
Similarly, smoking and alcohol consumption were poor prognostic factors of
overall survival (p = 0.007; p = 0.01) in OPSCC patients.HR-HPVs were identified
in 90.6% of HNSCC patients using the HPV-DNA PCR assay. This test had a poor
specificity when compared to p16 IHC; making it an unreliable detection technique
in selecting patients for radiation dose de-escalation treatment protocol. P16
positive tumor was predominantly found in the oropharynx these patients
demonstrated better overall survival than those with p16-negative OPSCC.
PMID- 27893419
TI - Silver nanoparticles enhance the sensitivity of temozolomide on human glioma
cells.
AB - Glioblastoma multiforme (GBM) continues to be associated with a dismal prognosis
despite aggressive treatment. Significant efforts are being made to develop new
nanotechnology-based therapeutic and diagnostic agents. Nanoparticles can act
directly on cancer cells or as drug carriers to enhance the cancer therapeutic
effect. In this study, we investigated the effect of silver nanoparticles (AgNPs)
on human glioma U251 cells and its role in the combinational use with
Temozolomide (TMZ), an imidazotetrazine derivative of the alkylating agent
dacarbazine, against glioma cells. AgNPs were synthesized in the sodium citrate
system and the mean size were 26 nm in diameter. The AgNP particles showed dose
dependent cytotoxicity on U251 cells. They also showed the ability to enhance the
drug-sensitivity of TMZ on U251 cells. Our results revealed that AgNPs could have
a potential application in enhancing chemotherapy for glioma.
PMID- 27893420
TI - Prognostic value of three-dimensional echocardiographic right ventricular
ejection fraction in patients with pulmonary arterial hypertension.
AB - BACKGROUND: Right ventricular (RV) function is an independent predictor of
clinical outcomes in patients with pulmonary arterial hypertension (PAH).
However, it remains controversial which RV parameter should be measured as an
appropriate index for the treatment of PAH. The aim of this study was to identify
the most useful parameter that correlates with hemodynamics and predicts clinical
outcomes in PAH. RESULTS: Most of the clinical and echocardiographic RV
parameters were significantly correlated with pulmonary vascular resistance (PVR)
as well as mean pulmonary arterial pressure (mPAP). Among these, three
dimensional right ventricular ejection fraction (3DRVEF) showed the strongest
hemodynamic correlation, followed by 6-minute walk distance. Receiver operating
characteristic analysis of association with cardiac events including death,
hospitalization, and intervention revealed a greater area under the curve for
3DRVEF than for mPAP (0.78 vs. 0.74). Kaplan-Meier analysis showed that patients
with 3DRVEF less than 38% had significantly shorter event-free survival than
those with greater than 38% (P = 0.0007). Finally, the Cox proportional hazards
analysis revealed that 3DRVEF, but not mPAP, was an independent predictor of
clinical events in PAH. MATERIALS AND METHODS: Eighty-six consecutive patients
were enrolled in this study. RV hemodynamic parameters were measured by right
heart catheterization (RHC). RV function was assessed using two-dimensional
speckle-tracking echocardiography and three-dimensional transthoracic
echocardiography (3DTTE) to evaluate RV free wall global strain (RVFS) and RVEF.
CONCLUSIONS: RVEF measured by 3DTTE could be a useful parameter for noninvasively
assessing RV hemodynamics and predicting the clinical outcomes in PAH patients.
PMID- 27893421
TI - PHACTR1 and SLC22A3 gene polymorphisms are associated with reduced coronary
artery disease risk in the male Chinese Han population.
AB - Previous studies showed that PHACTR1 and SLC22A3 are involved in coronary
vascular development and are key determinants of cardiovascular disease risk. We
conducted a case-control study to examine the effect of SLC22A3 and PHACTR1
single nucleotide polymorphisms (SNPs) on CAD risk among 376 male CAD patients
and 388 male healthy controls from China. Eleven SLC22A3 and PHACTR1 SNPs were
selected and genotyped using Sequenom Mass-ARRAY technology. Odds ratios (OR) and
95% confidence intervals (CIs) were calculated using unconditional logistic
regression adjusting for age. The rs9381439 minor allele "A" (OR = 0.72; 95% CI =
0.54-0.96; p = 0.024) in an allelic model was associated with reduced CAD risk,
as were the rs2048327 "C/C" (OR = 0.60; 95% CI: 0.37-0.97; p = 0.036) and
rs1810126 "T/T" (OR = 0.58; 95% CI: 0.36-0.93; p = 0.024) genotypes. Likewise,
the rs9349379 "A/G" genotype in a dominant model (p = 0.041), the rs1810126 "T/C"
genotype in additive (p = 0.041) and recessive (p = 0.012) models, and the
rs2048327 "C/T" genotype in a recessive model were associated with decreased CAD
risk (p = 0.016). These results suggest several PHACTR1 and SLC22A3 polymorphisms
are associated with decreased CAD risk in the male Chinese Han population.
PMID- 27893422
TI - PTTG1 regulated by miR-146a-3p promotes bladder cancer migration, invasion,
metastasis and growth.
AB - Pituitary tumor-transforming gene 1 (PTTG1) is identified as an oncogene, and
overexpresses in many tumors. However, the role of PTTG1 in bladder cancer (BC)
hasn't yet been characterized well. In this study, we showed the expression of
PTTG1 mRNA and protein were both significantly increased in BC tissues and cells.
The PTTG1 protein levels were positive correlated with increased tumor size,
tumor-node-metastasis (TNM) stage, lymphatic invasion and distant metastasis of
BC. PTTG1 knockdown dramatically suppressed the migration, invasion, metastasis
and growth, and induced senescence and cell-cycle arrest at G0/G1 phase of BC
cells. We further identified PTTG1 was the direct target of miR-146a-3p through
using target prediction algorithms and luciferase reporter assay. miR-146a-3p was
low expressed and negatively correlated with PTTG1 levels in BC tissues and
cells. miR-146a-3p overexpression inhibited migration, invasion, metastasis and
growth, and induced senescence of BC cells. Rescue experiment suggested ectopic
expression of miR-146a-3p and PTTG1 suppressed migration, invasion and induced
cell cycle arrest and senescence of BC cells compared to PTTG1 overexpression,
confirming miR-146a-3p inhibited BC progression by targeting PTTG1. In summary,
our study found miR-146a-3p/PTTG1 axis regulated BC migration, invasion,
metastasis and growth, and might be a targets for BC therapy.
PMID- 27893423
TI - Efficacy and safety of icotinib in treating non-small cell lung cancer: a
systematic evaluation and meta-analysis based on 15 studies.
AB - Icotinib is a new epidermal growth factor receptor (EGFR) tyrosine kinase
inhibitor (TKI) that developed and used in China; this work was to evaluate its
efficacy and safety in treating non-small cell lung cancer (NSCLC). Clinical
studies evaluating the efficacy and safety of icotinib in treating NSCLC were
identified from the databases of Medline, Web of Science, Embase and Cochrance
Library. Pooled efficacy and safety of icotinib were calculated through a series
of predefined search strategies. A total of 15 studies with 2,304 patients were
involved in this study. The overall response rate (ORR) and disease control rate
(DCR) of icotinib were 40.99% (95% CI: 33.77% to 48.22%) and 77.16% (95% CI:
51.43% to 82.31%). The pooled progression-free survival (PFS) and overall
survival (OS) were 7.34 months (95% CI: 5.60 to 9.07) and 14.98 months (95% CI:
9.78 to 20.18). Patients with EGFR mutations exhibited better ORR (OR = 3.67, p <
0.001), DCR (OR = 1.39, p = 0.001) and PFS (11.0 +/- 0.76 vs. 1.97 +/- 0.82
months). Moreover, patients with rash had a higher ORR (OR = 2.14, p = 0.001)
than those without rash. The common adverse effects (AEs) included skin rash
(31.4%), diarrhea (14.2%), pruritus (6.7%) and hepatic toxicity (3.8%) and most
of them were well tolerated. In conclusion, Icotinib is an effective and well
tolerated regimen for Chinese patients with advanced NSCLC. Further randomized
trials with large population are required to provide stronger evidence for
icotinib in treating NSCLC.
PMID- 27893424
TI - Accumulated promoter methylation as a potential biomarker for esophageal cancer.
AB - We performed a two-stage molecular epidemiological study to explore DNA
methylation profiles for potential biomarkers of esophageal squamous cell
carcinoma (ESCC) in a Chinese population. Infinium Methylation 450K BeadChip was
used to identify genes with differentially methylated CpG sites. Sixteen
candidate genes were validated by sequencing 1160 CpG sites in their promoter
regions using the Illumina MiSeq platform. When excluding sites with negative
changes, 10 genes (BNIP3, BRCA1, CCND1, CDKN2A, HTATIP2, ITGAV, NFKB1, PIK3R1,
PRDM16 and PTX3) showed significantly different methylation levels among cancer
lesions, remote normal-appearing tissues, and healthy controls. PRDM16 had the
highest diagnostic value with the AUC (95% CI) of 0.988 (0.965-1.000), followed
by PIK3R1, with the AUC (95% CI) of 0.969 (0.928-1.000). In addition, the
methylation status was higher in patients with advanced cancer stages. These
results indicate that aberrant DNA methylation may be a potential biomarker for
the diagnosis of ESCC.
PMID- 27893425
TI - Tag SNPs of long non-coding RNA TINCR affect the genetic susceptibility to
gastric cancer in a Chinese population.
AB - Tissue differentiation-inducing non-protein coding RNA (TINCR) is required for
normal epidermal differentiation. TINCR is also strongly overexpressed in human
gastric cancer (GC) and contributes to carcinogenesis and tumor progression.
However, the association between TINCR polymorphisms and the risk of any
diseases, such as GC, remains unknown. In the present study, the tag single
nucleotide polymorphisms rs8113645, rs2288947, rs8105637, and rs12610531 were
analyzed in 602 patients with GC and 602 age- and sex-matched controls.
Polymorphisms were genotyped using TaqMan technology. Carriers of variant
rs8113645 and rs2288947 alleles indicated reduced risks of GC (p = 0.003 and
0.037, respectively). A allele genotypes of rs8113645 and G allele genotypes of
rs2288947 (rs8113645 GA and AA; rs2288947 AG and GG) were also significantly
associated with decreased GC risk (p < 0.05). Stratification analysis displayed
that the correlations between GC risk and variant genotypes of both rs8113645 and
rs2288947were more evident in younger individuals, men, nonsmokers, and
individuals from rural areas. We also demonstrated that rs8113645 GA+AA genotype
carriers had lower TINCR mRNA expression levels compared with common genotype in
both normal and GC tissues (p < 0.05). These results suggest that long non-coding
RNA TINCR polymorphisms may be implicated in GC development.
PMID- 27893426
TI - Sublethal exposure to alpha radiation (223Ra dichloride) enhances various
carcinomas' sensitivity to lysis by antigen-specific cytotoxic T lymphocytes
through calreticulin-mediated immunogenic modulation.
AB - Radium-223 dichloride (Xofigo(r); 223Ra) is an alpha-emitting radiopharmaceutical
FDA-approved for the treatment of bone metastases in patients with advanced
castration-resistant prostate cancer. It is also being examined clinically in
patients with breast and lung carcinoma and patients with multiple myeloma. As
with other forms of radiation, the aim of 223Ra is to reduce tumor burden by
directly killing tumor cells. External beam (photon) and proton radiation have
been shown to augment tumor sensitivity to antigen-specific CD8+ cytotoxic T
lymphocytes (CTLs). However, little is known about whether treatment with 223Ra
can also induce such immunogenic modulation in tumor cells that survive
irradiation. We examined these effects in vitro by exposing human prostate,
breast, and lung carcinoma cells to sublethal doses of 223Ra. 223Ra significantly
enhanced T cell-mediated lysis of each tumor type by CD8+ CTLs specific for MUC
1, brachyury, and CEA tumor antigens. Immunofluorescence analysis revealed that
the increase in CTL killing was accompanied by augmented protein expression of
MHC-I and calreticulin in each tumor type, molecules that are essential for
efficient antigen presentation. Enhanced tumor-cell lysis was facilitated by
calreticulin surface translocation following 223Ra exposure. The phenotypic
changes observed after treatment appear to be mediated by induction of the
endoplasmic reticulum stress response pathway. By rendering tumor cells more
susceptible to T cell-mediated lysis, 223Ra may potentially be effective in
combination with various immunotherapies, particularly cancer vaccines that are
designed to generate and expand patients' endogenous antigen-specific T-cell
populations against specific tumor antigens.
PMID- 27893428
TI - MicroRNA-206 is involved in the pathogenesis of ulcerative colitis via regulation
of adenosine A3 receptor.
AB - Increasing evidence suggests that miRNAs are widely dysregulated in ulcerative
colitis (UC), potentially affecting UC pathogenesis, diagnosis, and therapy.
microRNA (miR) -206 has been reported to be upregulated in UC; however, its
function and role in UC remain unknown. Here, we elucidate the function of miR
206 in the pathogenesis of UC. In patients with active-UC, miR-206 and adenosine
A3 receptor (A3AR) levels were significantly upregulated and downregulated,
respectively, and were inversely correlated. A3AR was expressed in the colon
mucosa (particularly in colon epithelial-cell membranes). In HT-29 cells, miR-206
downregulated A3AR mRNA/protein expression by directly targeting the A3AR 3'-UTR;
miR-206 overexpression and knockdown respectively increased and decreased TNF
alpha-induced nuclear NF-kappaB/p65, p-IkappaB-alpha, IKKalpha, p-IKKalpha and IL
8/IL-1beta secretion. However, A3AR-siRNA reversed the miR-206 inhibitory effect.
Furthermore, miR-206 increased dextran sodium sulphate-induced colitis severity
(i.e., increased bodyweight loss, DAI score, colon shrinkage, and MPO activity),
which was partially ameliorated by miR-206-antagomir treatment. miR-206-agomir
treatment potently suppressed A3AR expression and increased NF-kappaB signalling
and downstream cytokine (TNF-alpha/IL-8/IL-1beta) expression in the mouse colon,
in contrast to miR-206-antagomir administration. Taken together, our results
demonstrated that miR-206 has a proinflammatory role in UC by downregulating A3AR
expression and activating NF-kappaB signalling.
PMID- 27893427
TI - Cholesterol import and steroidogenesis are biosignatures for gastric cancer
patient survival.
AB - Androgens, estrogens, progesterone and related signals are reported to be
involved in the pathology of gastric cancer. However, varied conclusions exist
based on serum hormone levels, receptor expressions, and in vitro or in vivo
studies. This report used a web-based gene survival analyzer to evaluate
biochemical processes, including cholesterol importing via lipoprotein/receptors
(L/R route), steroidogenic enzymes, and steroid receptors, in gastric cancer
patients prognosis. The sex hormone receptors (androgen receptor, progesterone
receptor, and estrogen receptor ESR1 or ESR2), L/R route (low/high-density
lipoprotein receptors, LDLR/LRP6/SR-B1 and lipoprotein lipase, LPL) and
steroidogenic enzymes (CYP11A1, HSD3B1, CYP17, HSD17B1, HSD3B1, CYP19A1 and
SRD5A1) were associated with 5-year survival of gastric cancer patients. The AR,
PR, ESR1 and ESR2 are progression promoters, as are the L/R route LDLR, LRP6, SR
B1 and LPL. It was found that CYP11A1, HSD3B1, CYP17, HSD17B1 and CYP19A1 promote
progression, but dihydrotestosterone (DHT) converting enzyme SRD5A1 suppresses
progression. Analyzing steroidogenic lipidome with a hazard ratio score algorithm
found that CYP19A1 is the progression confounder in surgery, HER2 positive or
negative patients. Finally, in the other patient cohort from TCGA, CYP19A1 was
expressed higher in the tumor compared to that in normal counterparts, and also
promoted progression. Lastly, exemestrane (type II aromatase inhibitor)
dramatically suppress GCa cell growth in pharmacological tolerable doses in
vitro. This work depicts a route-specific outside-in delivery of cholesterol to
promote disease progression, implicating a host-to-tumor macroenvironmental
regulation. The result indicating lipoprotein-mediated cholesterol entry and
steroidogenesis are GCa progression biosignatures. And the exemestrane clinical
trial in GCa patients of unmet medical needs is suggested.
PMID- 27893429
TI - MicroRNA-595 sensitizes ovarian cancer cells to cisplatin by targeting ABCB1.
AB - Ovarian cancer is among the leading cause of cancer-related deaths in females. In
this study, we demonstrated that miR-595 expression was downregulated in the
ovarian cancer tissues and cell lines. miR-595 expression was lower in the lymph
node metastases tissues than in the primary ovarian cancer tissues and normal
tissues. Furthermore, miR-595 overexpression suppressed the ovarian cancer cell
proliferation, colony formation and invasion and promoted the sensitivity of
ovarian cancer cell to cisplatin. We identified ABCB1 as a direct target gene of
miR-595 in the ovarian cancer cell. ABCB1 expression was upregulated in the
ovarian cancer tissues and cell lines. Morevoer, the expression level of ABCB1
was inversely correlated with miR-595 in the ovarian cancer tissues. In addition,
overexpression of ABCB1 decreased the miR-595-overexpressing HO8910PM and SKOV-3
cell sensitivity to cisplatin. Ectopic expression of ABCB1 promoted the miR-595
overexpressing HO8910PM and SKOV-3 cell proliferation, colony formation and
invasion. These data suggested that miR-595 acted a tumor suppressor role in
ovarian cancer development and increased the sensitivity of ovarian cancer to
cisplatin.
PMID- 27893430
TI - KIAA0247 suppresses the proliferation, angiogenesis and promote apoptosis of
human glioma through inactivation of the AKT and Stat3 signaling pathway.
AB - Gliomas are the most common and aggressive type of primary adult brain tumors.
Although KIAA0247 previously is a speculated target of the tumor suppressor gene,
little is known about the association between KIAA0247 and glioma. In this study,
we clearly demonstrate that KIAA0247 expression is decreased in glioma and was
negatively correlated with the histologic grade. Overexpression of KIAA0247 in
glioma cells inhibits proliferation, angiogenesis and promoted apoptosis of human
glioma cells in vitro. In contrast, knockdown of KIAA0247 increases the
proliferation, angiogenesis and decreases apoptosis of these cells. In a tumor
xenograft model, overexpression of KIAA0247 suppresses tumor growth of glioma
cells in vivo, while KIAA0247 knockdown promotes the tumor growth.
Mechanistically, overexpression of KIAA0247 is able to inhibit phosphorylation of
AKT and Stat3 in glioma cells, resulting in inactivation of the AKT and Stat3
signaling pathways, this ultimately decreases the expression of PCNA, CyclinD1,
Bcl2 and VEGF. Collectively, these data indicate that KIAA0247 may work as a
tumor suppressor gene in glioma and a promising therapeutic target for gliomas.
PMID- 27893431
TI - Dysregulated human Tyrosyl-DNA phosphodiesterase I acts as cellular toxin.
AB - Tyrosyl-DNA phosphodiesterase I (TDP1) hydrolyzes the drug-stabilized 3'phospho
tyrosyl bond formed between DNA topoisomerase I (TOPO1) and DNA. TDP1-mediated
hydrolysis uses a nucleophilic histidine (Hisnuc) and a general acid/base
histidine (Hisgab). A Tdp1Hisgab to Arg mutant identified in patients with the
autosomal recessive neurodegenerative disease SCAN1 causes stabilization of the
TDP1-DNA intermediate. Based on our previously reported Hisgab-substitutions
inducing yeast toxicity (Gajewski et al. J. Mol. Biol. 415, 741-758, 2012), we
propose that converting TDP1 into a cellular poison by stabilizing the covalent
enzyme-DNA intermediate is a novel therapeutic strategy for cancer treatment.
Here, we analyzed the toxic effects of two TDP1 catalytic mutants in HEK293
cells. Expression of human Tdp1HisnucAla and Tdp1HisgabAsn mutants results in
stabilization of the covalent TDP1-DNA intermediate and induces cytotoxicity.
Moreover, these mutants display reduced in vitro catalytic activity compared to
wild type. Co-treatment of Tdp1mutant with topotecan shows more than additive
cytotoxicity. Overall, these results support the hypothesis that stabilization of
the TDP1-DNA covalent intermediate is a potential anti-cancer therapeutic
strategy.
PMID- 27893432
TI - MicroRNA-34a expression levels in serum and intratumoral tissue can predict bone
metastasis in patients with hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) patients with bone metastasis (BM) suffer from
pain and other symptoms that significantly reduce their quality of life. We
screened a microRNA (miRNA) microarray to identify potential serum biomarkers for
BM in HCC patients. A miRNA microarray was used to screen for BM-related miRNAs
in paired serum samples from HCC patients with BM and from HCC patients without
BM. Real-time quantitative polymerase chain reaction (qRT-PCR) was used to
quantify candidate miRNAs in serum samples from 106 independent HCC patients.
Levels of candidate miRNAs in tissue samples from an independent cohort of 296
HCC patients were evaluated by in situ hybridization and intratumoral tissue
microarray. The migration and invasion capabilities of HCCLM3 and SMMC-7721 cells
were evaluated following treatment with a mimic and an inhibitor of miR-34a.
Ninety miRNAs were differentially expressed in sera from HCC patients with BM
when compared with sera from non-BM HCC patients (P < 0.05). Only miR-34a and miR
498 had false discovery rates (FDRs) < 0.05. In cohorts of 106 and 296 HCC
patients, we found that reduced serum and intratumoral miR-34a expression levels
were independent risk factors for developing BM. Migration and invasion
experiments indicated that a reverse correlation existed between miR-34a and HCC
tumor migration and invasion. This study demonstrates the potential for the use
of miR-34a as a serum and intratumoral tissue biomarker for predicting the risk
of BM in HCC patients.
PMID- 27893435
TI - Non-targeted effects and radiation-induced cancer.
PMID- 27893433
TI - Regulation of brachyury by fibroblast growth factor receptor 1 in lung cancer.
AB - Recent evidence suggests that T-box transcription factor brachyury plays an
important role in lung cancer development and progression. However, the
mechanisms underlying brachyury-driven cellular processes remain unclear. Here we
found that fibroblast growth factor receptor 1/mitogen-activated protein kinase
(FGFR1/MAPK) signaling regulated brachyury in lung cancer. Analysis of FGFR1-4
and brachyury expression in human lung tumor tissue and cell lines found that
only expression of FGFR1 was positively correlated with brachyury expression.
Specific knockdown of FGFR1 by siRNA suppressed brachyury expression and
epithelial-mesenchymal transition (EMT) (upregulation of E-cadherin and beta
catenin and downregulation of Snail and fibronectin), whereas forced
overexpression of FGFR1 induced brachyury expression and promoted EMT in lung
cancer cells. Activation of fibroblast growth factor (FGF)/FGFR1 signaling
promoted phosphorylated MAPK extracellular signal-regulated kinase (ERK) 1/2
translocation from cytoplasm to nucleus, upregulated brachyury expression, and
increased cell growth and invasion. In addition, human lung cancer cells with
higher brachyury expression were more sensitive to inhibitors targeting
FGFR1/MAPK pathway. These findings suggest that FGFR1/MAPK may be important for
brachyury activation in lung cancer, and this pathway may be an appealing
therapeutic target for a subset of brachyury-driven lung cancer.
PMID- 27893436
TI - Carbon nanotubes as carriers of Panax ginseng metabolites and enhancers of
ginsenosides Rb1 and Rg1 anti-cancer activity.
AB - A major benefit to nanomaterial based-medicine is the ability to provide
nanosized vehicles for sporadic metabolites. Here, we describe how the
conjugation of valuable ginseng secondary metabolites (ginsenoside Rb1 or Rg1)
with carbon nanotubes (CNT) can enhance their anti-proliferative and anti-cancer
effects. Ginsenoside-CNT conjugate (Rb-CNT or Rg-CNT) permitted the ginsenosides
to be used at a low dose, yet achieve a higher incidence of cancer killing. We
were able to demonstrate that the ginsenoside-CNT conjugate can decrease cell
viability up to 62% in breast cancer cells (MCF-7) and enhance antiproliferation
of drug-resistant pancreatic cancer cells (PANC-1) by 61%. The interaction of the
ginsenoside-CNT conjugate with breast cancer cells was studied using Raman
Spectroscopy mapping. Total transcriptome profiling (Affymetrix platform) of MCF
7 cells treated with the ginsenoside-CNT conjugate shows that a number of
cellular, apoptotic and response to stimulus processes were affected. Therefore,
our data confirmed the potential use of CNT as a drug delivery system.
PMID- 27893437
TI - Silicon nanowire heterostructures for advanced energy and environmental
applications: a review.
AB - Semiconductor nanowires (NWs), in particular Si NWs, have attracted much
attention in the last decade for their unique electronic properties and potential
applications in several emerging areas. With the introduction of heterostructures
(HSs) on NWs, new functionalities are obtained and the device performance is
improved significantly in many cases. Due to the easy fabrication techniques,
excellent optoelectronic properties and compatibility of forming HSs with
different inorganic/organic materials, Si NW HSs have been utilized in various
configurations and device architectures. Herein, we review the recent
developments in Si NW HS-based devices including the fabrication techniques,
properties (e.g., light emitting, antireflective, photocatalytic, electrical,
photovoltaic, sensing etc) and related emerging applications in energy
generation, conversion, storage, and environmental cleaning and monitoring. In
particular, recent advances in Si NW HS-based solar photovoltaics, light-emitting
devices, thermoelectrics, Li-ion batteries, supercapacitors, hydrogen generation,
artificial photosynthesis, photocatalytic degradation of organic dyes in water
treatment, chemical and gas sensors, biomolecular sensors for microbial
monitoring etc have been addressed in detail. The problems and challenges in
utilizing Si NW HSs in device applications and the key parameters to improve the
device performance are pointed out. The recent trends in the commercial
applications of Si NW HS-based devices and future outlook of the field are
presented at the end.
PMID- 27893438
TI - Communicating the significance of different levels of dose.
PMID- 27893439
TI - One step spray-coated TiO2 electron-transport layers for decent perovskite solar
cells on large and flexible substrates.
AB - Spray-coating as a facile and quantitative method was introduced to prepare thin
and continuous TiO2 compact layers on different substrates for perovskite solar
cells. The as-prepared film is highly transparent and smooth, which is of
significance in perovskite solar cells to decrease incident light loss and
facilitate the film cast and electric contact. The compact TiO2 layer shows
excellent performance when coated with perovskite and assembled into a device.
Since it provides unlimited substrate size, patterning function and the TiO2 used
for spray-coating is well crystallized, this method has huge potential for mass
production and great adaptability for a variety of applications.
PMID- 27893434
TI - Neuroinflammatory and cognitive consequences of combined radiation and
immunotherapy in a novel preclinical model.
AB - BACKGROUND: Cancer patients often report behavioral and cognitive changes
following cancer treatment. These effects can be seen in patients who have not
yet received treatment or have received only peripheral (non-brain) irradiation.
Novel treatments combining radiotherapy (RT) and immunotherapy (IT) demonstrate
remarkable efficacy with respect to tumor outcomes by enhancing the
proinflammatory environment in the tumor. However, a proinflammatory environment
in the brain mediates cognitive impairments in other neurological disorders and
may affect brain function in cancer patients receiving these novel treatments.
Currently, gaps exist as to whether these treatments impact the brain in
individuals with or without tumors and with regard to the underlying mechanisms.
RESULTS: Combined treatment with precision RT and checkpoint inhibitor IT
achieved control of tumor growth. However, BALB/c mice receiving combined
treatment demonstrated changes in measures of anxiety levels, regardless of tumor
status. C57BL/6J mice with tumors demonstrated increased anxiety, except
following combined treatment. Object recognition memory was impaired in C57BL/6J
mice without tumors following combined treatment. All mice with tumors showed
impaired object recognition, except those treated with RT alone. Mice with tumors
demonstrated impaired amygdala-dependent cued fear memory, while maintaining
hippocampus-dependent context fear memory. These behavioral alterations and
cognitive impairments were accompanied by increased microglial activation in mice
receiving immunotherapy alone or combined with RT. Finally, based on tumor
status, there were significant changes in proinflammatory cytokines (IFN-gamma,
IL-6, IL-5, IL-2, IL-10) and a growth factor (FGF-basic). MATERIALS AND METHODS:
Here we test the hypothesis that IT combined with peripheral RT have detrimental
behavioral and cognitive effects as a result of an enhanced proinflammatory
environment in the brain. BALB/c mice with or without injected hind flank CT26
colorectal carcinoma or C57BL/6J mice with or without Lewis Lung carcinoma were
used for all experiments. Checkpoint inhibitor IT, using an anti-CTLA-4 antibody,
and precision CT-guided peripheral RT alone and combined were used to closely
model clinical treatment. We assessed behavioral and cognitive performance and
investigated the immune environment using immunohistochemistry and multiplex
assays to analyze proinflammatory mediators. CONCLUSIONS: Although combined
treatment achieved tumor growth control, it affected the brain and induced
changes in measures of anxiety, cognitive impairments, and neuroinflammation.
PMID- 27893440
TI - A 3D reconstruction algorithm for magneto-acoustic tomography with magnetic
induction based on ultrasound transducer characteristics.
AB - In this study we present a three-dimensional (3D) reconstruction algorithm for
magneto-acoustic tomography with magnetic induction (MAT-MI) based on the
characteristics of the ultrasound transducer. The algorithm is investigated to
solve the blur problem of the MAT-MI acoustic source image, which is caused by
the ultrasound transducer and the scanning geometry. First, we established a
transducer model matrix using measured data from the real transducer. With
reference to the S-L model used in the computed tomography algorithm, a 3D
phantom model of electrical conductivity is set up. Both sphere scanning and
cylinder scanning geometries are adopted in the computer simulation. Then, using
finite element analysis, the distribution of the eddy current and the acoustic
source as well as the acoustic pressure can be obtained with the transducer model
matrix. Next, using singular value decomposition, the inverse transducer model
matrix together with the reconstruction algorithm are worked out. The acoustic
source and the conductivity images are reconstructed using the proposed
algorithm. Comparisons between an ideal point transducer and the realistic
transducer are made to evaluate the algorithms. Finally, an experiment is
performed using a graphite phantom. We found that images of the acoustic source
reconstructed using the proposed algorithm are a better match than those using
the previous one, the correlation coefficient of sphere scanning geometry is
98.49% and that of cylinder scanning geometry is 94.96%. Comparison between the
ideal point transducer and the realistic transducer shows that the correlation
coefficients are 90.2% in sphere scanning geometry and 86.35% in cylinder
scanning geometry. The reconstruction of the graphite phantom experiment also
shows a higher resolution using the proposed algorithm. We conclude that the
proposed reconstruction algorithm, which considers the characteristics of the
transducer, can obviously improve the resolution of the reconstructed image. This
study can be applied to analyse the effect of the position of the transducer and
the scanning geometry on imaging. It may provide a more precise method to
reconstruct the conductivity distribution in MAT-MI.
PMID- 27893441
TI - Engineering tumor cell targeting in nanoscale amyloidal materials.
AB - Bacterial inclusion bodies are non-toxic, mechanically stable and functional
protein amyloids within the nanoscale size range that are able to naturally
penetrate into mammalian cells, where they deliver the embedded protein in a
functional form. The potential use of inclusion bodies in protein delivery or
protein replacement therapies is strongly impaired by the absence of specificity
in cell binding and penetration, thus preventing targeting. To address this
issue, we have here explored whether the genetic fusion of two tumor-homing
peptides, the CXCR4 ligands R9 and T22, to an inclusion body-forming green
fluorescent protein (GFP), would keep the interaction potential and the
functionality of the fused peptides and then confer CXCR4 specificity in cell
binding and further uptake of the materials. The fusion proteins have been well
produced in Escherichia coli in their full-length form, keeping the potential for
fluorescence emission of the partner GFP. By using specific inhibitors of CXCR4
binding, we have demonstrated that the engineered protein particles are able to
penetrate CXCR4+ cells, in a receptor-mediated way, without toxicity or visible
cytopathic effects, proving the availability of the peptide ligands on the
surface of inclusion bodies. Since no further modification is required upon their
purification, the biological production of genetically targeted inclusion bodies
opens a plethora of cost-effective possibilities in the tissue-specific
intracellular transfer of functional proteins through the use of structurally and
functionally tailored soft materials.
PMID- 27893442
TI - Inconsistency of a recently proposed method for assessing magnetic field exposure
for protection against peripheral nerve stimulation in occupational situations.
AB - A non-binding guide to practical implementation of European Directive 2013/35/EU
concerning the limitation of occupational exposure against electromagnetic fields
has been published recently. With regard to exposure assessment this guide
proposes practically applicable assessment methods for non-uniform and non
sinusoidal environmental electric and magnetic fields, respectively. For non
sinusoidal magnetic fields in the low frequency range this guide proposes a time
domain assessment (TDA) method, claimed to reduce the overestimation of exposure
inherent to other assessment methods while being based on fundamental
physiological principles regarding nerve stimulation. In the present paper we
demonstrate that the proposed TDA method is not consistent with the obvious
underlying principles of directive 2013/35/EU. Based on practically relevant
waveforms and general considerations it can be shown that external magnetic
fields may be deemed compliant by the TDA method although the underlying exposure
limit values defined in 2013/35/EU may be exceeded. We therefore strongly
recommend that the TDA method is removed from the guide for implementing
2013/35/EU as soon as possible.
PMID- 27893444
TI - Response to criticism of the paper titled 'Non-targeted effects and radiation
induced carcinogenesis: a review'.
PMID- 27893443
TI - Health effects of the Windscale Pile fire.
PMID- 27893445
TI - A method for high-energy, low-dose mammography using edge illumination x-ray
phase-contrast imaging.
AB - Since the breast is one of the most radiosensitive organs, mammography is
arguably the area where lowering radiation dose is of the uttermost importance.
Phase-based x-ray imaging methods can provide opportunities in this sense, since
they do not require x-rays to be stopped in tissue for image contrast to be
generated. Therefore, x-ray energy can be considerably increased compared to
those usually exploited by conventional mammography. In this article we show how
a novel, optimized approach can lead to considerable dose reductions. This was
achieved by matching the edge-illumination phase method, which reaches very high
angular sensitivity also at high x-ray energies, to an appropriate image
processing algorithm and to a virtually noise-free detection technology capable
of reaching almost 100% efficiency at the same energies. Importantly, while proof
of-concept was obtained at a synchrotron, the method has potential for a
translation to conventional sources.
PMID- 27893446
TI - Stability of radiomic features in CT perfusion maps.
AB - This study aimed to identify a set of stable radiomic parameters in CT perfusion
(CTP) maps with respect to CTP calculation factors and image discretization, as
an input for future prognostic models for local tumor response to chemo
radiotherapy. Pre-treatment CTP images of eleven patients with oropharyngeal
carcinoma and eleven patients with non-small cell lung cancer (NSCLC) were
analyzed. 315 radiomic parameters were studied per perfusion map (blood volume,
blood flow and mean transit time). Radiomics robustness was investigated
regarding the potentially standardizable (image discretization method, Hounsfield
unit (HU) threshold, voxel size and temporal resolution) and non-standardizable
(artery contouring and noise threshold) perfusion calculation factors using the
intraclass correlation (ICC). To gain added value for our model radiomic
parameters correlated with tumor volume, a well-known predictive factor for local
tumor response to chemo-radiotherapy, were excluded from the analysis. The
remaining stable radiomic parameters were grouped according to inter-parameter
Spearman correlations and for each group the parameter with the highest ICC was
included in the final set. The acceptance level was 0.9 and 0.7 for the ICC and
correlation, respectively. The image discretization method using fixed number of
bins or fixed intervals gave a similar number of stable radiomic parameters
(around 40%). The potentially standardizable factors introduced more variability
into radiomic parameters than the non-standardizable ones with 56-98% and 43-58%
instability rates, respectively. The highest variability was observed for voxel
size (instability rate >97% for both patient cohorts). Without standardization
of CTP calculation factors none of the studied radiomic parameters were stable.
After standardization with respect to non-standardizable factors ten radiomic
parameters were stable for both patient cohorts after correction for inter
parameter correlations. Voxel size, image discretization, HU threshold and
temporal resolution have to be standardized to build a reliable predictive model
based on CTP radiomics analysis.
PMID- 27893447
TI - Room temperature nanostructured graphene transistor with high on/off ratio.
AB - We report the batch fabrication of graphene field-effect-transistors (GFETs) with
nanoperforated graphene as channel. The transistors were cut and encapsulated.
The encapsulated GFETs display saturation regions that can be tuned by modifying
the top gate voltage, and have on/off ratios of at least 2 * 103 at room
temperature and at small drain and gate voltages. In addition, the nanoperforated
GFETs display orders of magnitude higher photoresponses than any room-temperature
graphene detector configurations that do not involve heterostructures with
bandgap materials.
PMID- 27893448
TI - In-field evaluation of the impact of ageing and fading effects on annual radon
concentration measurements for two different techniques.
AB - Measurements covering a 1 year period are often used and required by legislation
to assess the average radon concentration within a house or a workplace. This
kind of long-term measurement-generally carried out with techniques based on
nuclear track detectors-can be affected by a reduction in sensitivity due to
ageing and fading of latent tracks during the exposure period, thus resulting in
an underestimation of the actual average concentration. In order to evaluate in
field conditions the ageing and fading effects on annual radon concentration
measurements, two different studies in a large sample of rooms in dwellings (162)
and in workplaces (432) were conducted using two different techniques (detector
and track read-out system): (i) CR-39 plastics readout with a fully automated
image analysis system, and (ii) LR 115 films with a spark-counter for track
counting. Study design and data analysis aimed to evaluate both the average and
the variability of ageing and fading effects in real conditions, and to reduce
and separate the contribution of measurement uncertainty to the observed
variability. For the CR-39 based technique, the results show that radon
concentration measurements over a 12month period are on average about 16% lower
than those evaluated with measurements of two consecutive 6 month periods,
implying the need for a correction factor to avoid measurement bias (i.e.
underestimation) due to ageing and fading effects. The observed variability of
ageing and fading effects among the sampled rooms is not negligible (coefficient
of variation about 18%), although a considerable fraction is attributable to
measurement uncertainty, which is presumably not related to ageing and fading.
For the technique based on LR 115 spark counting, ageing and fading do not
significantly affect the results of radon concentration measurement.
PMID- 27893449
TI - Biaxially stretchable silver nanowire conductive film embedded in a taro leaf
templated PDMS surface.
AB - A biaxially wave-shaped polydimethylsiloxane (PDMS) surface was developed simply
by using a taro leaf as the template. The resulting leaf-templated PDMS (L-PDMS)
possesses a micro-sized curved interface structure, which is greatly beneficial
for the exact embedding of a silver nanowire (AgNW) network conductive film
covering the L-PDMS surface. The intrinsically curved AgNW/L-PDMS film surface,
without any dangling nanowire, could prevent the fracture of AgNWs due to
stretching stress even after cyclic stretching. More importantly, it also
exhibited a biaxial stretchability, which showed ultra-stable resistance after
continuous stretching for 100 cycles each in X- and Y-directions. This biaxially
stretchable AgNW/L-PDMS film could extend the application fields in stretchable
electronics.
PMID- 27893450
TI - Parental wishes for continued internal radiation contamination screenings in
Fukushima schoolchildren.
PMID- 27893451
TI - Rotating and translating anthropomorphic head voxel models to establish an
horizontal Frankfort plane for dental CBCT Monte Carlo simulations: a dose
comparison study.
AB - In order to carry out Monte Carlo (MC) dosimetry studies, voxel phantoms,
modeling human anatomy, and organ-based segmentation of CT image data sets are
applied to simulation frameworks. The resulting voxel phantoms preserve patient
CT acquisition geometry; in the case of head voxel models built upon head CT
images, the head support with which CT scanners are equipped introduces an
inclination to the head, and hence to the head voxel model. In dental cone beam
CT (CBCT) imaging, patients are always positioned in such a way that the
Frankfort line is horizontal, implying that there is no head inclination. The
orientation of the head is important, as it influences the distance of critical
radiosensitive organs like the thyroid and the esophagus from the x-ray tube.
This work aims to propose a procedure to adjust head voxel phantom orientation,
and to investigate the impact of head inclination on organ doses in dental CBCT
MC dosimetry studies. The female adult ICRP, and three in-house-built paediatric
voxel phantoms were in this study. An EGSnrc MC framework was employed to
simulate two commonly used protocols; a Morita Accuitomo 170 dental CBCT scanner
(FOVs: 60 * 60 mm2 and 80 * 80 mm2, standard resolution), and a 3D Teeth
protocol (FOV: 100 * 90 mm2) in a Planmeca Promax 3D MAX scanner. Result
analysis revealed large absorbed organ dose differences in radiosensitive organs
between the original and the geometrically corrected voxel models of this study,
ranging from -45.6% to 39.3%. Therefore, accurate dental CBCT MC dose
calculations require geometrical adjustments to be applied to head voxel models.
PMID- 27893452
TI - Confounding of the association between radiation exposure from CT scans and risk
of leukemia and brain tumors by cancer susceptibility syndromes.
AB - Recent studies linking radiation exposure from pediatric computed tomography (CT)
to increased risks of leukemia and brain tumors lacked data to control for cancer
susceptibility syndromes (CSS). These syndromes might be confounders because they
are associated with an increased cancer risk and may increase the likelihood of
pediatric CT scans. We identify CSS predisposing to leukemia and brain tumors
through a systematic literature search and summarize prevalence and risk. Since
empirical evidence is lacking in published literature on patterns of CT use for
most types of CSS, we estimate confounding bias of relative risks (RR) for
categories of radiation exposure based on expert opinion about patterns of CT
scans among CSS patients. We estimate that radiation-related RRs for leukemia are
not meaningfully confounded by Down syndrome, Noonan syndrome and other CSS.
Moreover, tuberous sclerosis complex, von Hippel-Lindau disease,
neurofibromatosis type 1 and other CSS do not meaningfully confound RRs for brain
tumors. Empirical data on the use of CT scans among CSS patients is urgently
needed. Our assessment indicates that associations with radiation exposure from
pediatric CT scans and leukemia or brain tumors reported in previous studies are
unlikely to be substantially confounded by unmeasured CSS.
PMID- 27893453
TI - A geographical study of thyroid cancer incidence in north-west England following
the Windscale nuclear reactor fire of 1957.
AB - The Windscale nuclear reactor fire at Sellafield, United Kingdom, in October 1957
led to an uncontrolled release of iodine-131 (radioactive half-life, 8 d) into
the atmosphere. Contamination from the accident was most pronounced in the
counties of Cumbria and Lancashire, north-west England. Radioiodine concentrates
in the thyroid gland producing an excess risk of thyroid cancer, notably among
those exposed as children, which persists into later life. For an initial
investigation of thyroid cancer incidence in north-west England, data were
obtained on cases of thyroid cancer among people born during 1929-1973 and
diagnosed during 1974-2012 while resident in England, together with corresponding
populations. Incidence rate ratios (IRRs), with Poisson 95% confidence intervals
(CIs), compared thyroid cancer incidence rates in Cumbria and in Lancashire with
those in the rest of England. For those aged <20 years in 1958, a statistically
significantly increased IRR was found for those diagnosed during 1974-2012 while
living in Cumbria (IRR = 1.29; 95% CI 1.09-1.52), but the equivalent IRR for
Lancashire was marginally non-significantly decreased (IRR = 0.91; 95% CI 0.80
1.04). This pattern of IRRs was also apparent for earlier births, and the
significantly increased IRR in Cumbria extended to individuals born in 1959-1963,
who would not have been exposed to iodine-131 from the Windscale accident.
Moreover, significant overdispersion was present in the temporal distributions of
the IRRs, so that Poisson CIs substantially underestimate statistical
uncertainties. Consequently, although further investigations are required to
properly understand the unusual patterns of thyroid cancer IRRs in Cumbria and
Lancashire, the results of this preliminary study are not consistent with an
effect of exposure to iodine-131 from the Windscale accident.
PMID- 27893454
TI - Incoherent magnetization dynamics in strain mediated switching of
magnetostrictive nanomagnets.
AB - Micromagnetic studies of the magnetization change in magnetostrictive nanomagnets
subjected to stress are performed for nanomagnets of different sizes. The
interplay between demagnetization, exchange and stress anisotropy energies is
used to explain the rich physics of size-dependent magnetization dynamics induced
by modulating stress anisotropy in planar nanomagnets. These studies have
important implications for strain mediated ultralow energy magnetization control
in nanomagnets and its application in energy-efficient nanomagnetic computing
devices.
PMID- 27893455
TI - Patient radiation doses in paediatric interventional cardiology procedures: a
review.
AB - A large number of investigations into the radiation doses from x-ray guided
interventional cardiology procedures in children have been carried out in recent
years. A review was conducted of these studies, gathering data on kerma area
product (P KA), fluoroscopic screening time (FT), air kerma, and estimates of
effective dose and organ doses. The majority of studies focus on P KA and FT with
no estimation of dose to the patient. A greater than ten-fold variation in
average P KA was found between different studies, even where data were stratified
by patient age or weight. Typical values of P KA were 0.6-10 Gy . cm2 (<1 year/10
kg), 1.5-30 Gy . cm2 (1-5 years), 2-40 Gy . cm2 (5-10 years), 5-100 Gy . cm2 (10
16 years) and 10-200 Gy . cm2 (>16 years). P KA was lowest for heart biopsy (0.3
10 Gy . cm2 for all ages combined) and atrial septostomy (0.4-4.0 Gy . cm2), and
highest for pulmonary artery angioplasty (1.5-35 Gy . cm2) and right ventricular
outflow tract dilatation (139 Gy . cm2). Most estimates of patient dose were in
the form of effective dose (typically 3-15 mSv) which is of limited usefulness in
individualised risk assessment. Few studies estimated organ doses. Despite
advances in radiation protection, recent publications have reported surprisingly
large doses, as represented by P KA and air kerma. There is little indication of
a fall in these dose indicators over the last 15 years. Nor is there much
suggestion of a fall in doses associated with the use of flat panel detectors, as
opposed to image intensifiers. An assessment of the impact of radiation dose in
the context of overall patient outcome is required.
PMID- 27893456
TI - Updated effective doses in radiology.
AB - The aim of this study was to review recent literature in order to provide updated
values of the typical effective doses associated with the top 20 imaging tests
for adults and children and for the most widely used set of weights (ICRP60) as
well as for the most recent one (ICRP103). We performed a systematic research on
radiation dosimetry in radiology published from 2007 onwards through the Medline,
Embase and Cochrane Library Plus databases. We also included studies backed by
scientific or governmental organizations. Other variables included: year and type
of study (survey or descriptive), country, method and sample used for the
measurement. Mean effective dose, minimum, maximum and standard deviation were
calculated. We compared our results with previous evidence and with data from
DDM2. We included 27 articles and 5 web references in the study. A total of 378
values from the 20 procedures included were obtained, 280 (74%) using ICRP60 and
98 (26%) using ICRP103. Effective doses for CT procedures in children were very
similar to those for adults, with the exception of CT Trunk, but fluoroscopy
procedures had consistently lower dose. There were differences between the
current data with either ICRP60 or ICRP103, and the previous published data. In
conclusion, we provided the best available evidence from literature to evaluate
the effective dose received by each patient for the most typical examinations.
According to the recommendations from the Report 154 and from the European
Council Directive, these results could also be useful to estimate the range of
average exposures to the population.
PMID- 27893457
TI - Core ethical values of radiological protection applied to Fukushima case:
reflecting common morality and cultural diversities.
AB - The International Commission on Radiological Protection (ICRP) has established
Task Group 94 (TG94) to develop a publication to clarify the ethical foundations
of the radiological protection system it recommends. This TG identified four core
ethical values which structure the system: beneficence and non-maleficence,
prudence, justice, and dignity. Since the ICRP is an international organization,
its recommendations and guidance should be globally applicable and acceptable.
Therefore, first this paper presents the basic principles of the ICRP
radiological protection system and its core ethical values, along with a
reflection on the variation of these values in Western and Eastern cultural
traditions. Secondly, this paper reflects upon how these values can be applied in
difficult ethical dilemmas as in the case of the emergency and post-accident
phases of a nuclear power plant accident, using the Fukushima case to illustrate
the challenges at stake. We found that the core ethical values underlying the
ICRP system of radiological protection seem to be quite common throughout the
world, although there are some variations among various cultural contexts.
Especially we found that 'prudence' would call for somewhat different
implementation in each cultural context, balancing and integrating sometime
conflicting values, but always with objectives to achieve the well-being of
people, which is itself the ultimate aim of the radiological protection system.
PMID- 27893458
TI - Requirements for dynamical differential phase contrast x-ray imaging with a
laboratory source.
AB - X-ray phase contrast enables weakly-attenuating structures to be imaged, with
bright synchrotron sources adding the ability to capture time sequences and
analyse sample dynamics. Here, we describe the translation of dynamical
differential phase contrast imaging from the synchrotron to a compact x-ray
source, in order to achieve this kind of time sequence imaging in the laboratory.
We formulate broadly-applicable set-up guidelines for the single-grid, single
exposure imaging technique using a divergent source, exploring the experimental
factors that restrict set-up size, imaging sensitivity and sample size.
Experimental images are presented using the single-grid phase contrast technique
with a steel attenuation grid and a liquid-metal-jet x-ray source, enabling
exposure times as short as 0.5 s for dynamic imaging. Differential phase contrast
images were retrieved from phantoms, incorporating noise filtering to improve the
low-count images encountered when imaging dynamics using short exposures.
PMID- 27893459
TI - Extended T2-IVIM model for correction of TE dependence of pseudo-diffusion volume
fraction in clinical diffusion-weighted magnetic resonance imaging.
AB - The bi-exponential intravoxel-incoherent-motion (IVIM) model for diffusion
weighted MRI (DWI) fails to account for differential T 2 s in the model
compartments, resulting in overestimation of pseudodiffusion fraction f. An
extended model, T2-IVIM, allows removal of the confounding echo-time (TE)
dependence of f, and provides direct compartment T 2 estimates. Two consented
healthy volunteer cohorts (n = 5, 6) underwent DWI comprising multiple TE/b
value combinations (Protocol 1: TE = 62-102 ms, b = 0-250 mm-2s, 30
combinations. Protocol 2: 8 b-values 0-800 mm-2s at TE = 62 ms, with 3
additional b-values 0-50 mm-2s at TE = 80, 100 ms; scanned twice). Data from
liver ROIs were fitted with IVIM at individual TEs, and with the T2-IVIM model
using all data. Repeat-measures coefficients of variation were assessed for
Protocol 2. Conventional IVIM modelling at individual TEs (Protocol 1)
demonstrated apparent f increasing with longer TE: 22.4 +/- 7% (TE = 62 ms)
to 30.7 +/- 11% (TE = 102 ms); T2-IVIM model fitting accounted for all data
variation. Fitting of Protocol 2 data using T2-IVIM yielded reduced f estimates
(IVIM: 27.9 +/- 6%, T2-IVIM: 18.3 +/- 7%), as well as T 2 = 42.1 +/- 7
ms, 77.6 +/- 30 ms for true and pseudodiffusion compartments, respectively. A
reduced Protocol 2 dataset yielded comparable results in a clinical time frame
(11 min). The confounding dependence of IVIM f on TE can be accounted for using
additional b/TE images and the extended T2-IVIM model.
PMID- 27893461
TI - Dual modulation of MCL-1 and mTOR determines the response to sunitinib.
AB - Most patients who initially respond to treatment with the multi-tyrosine kinase
inhibitor sunitinib eventually relapse. Therefore, developing a deeper
understanding of the contribution of sunitinib's numerous targets to the clinical
response or to resistance is crucial. Here, we have shown that cancer cells
respond to clinically relevant doses of sunitinib by enhancing the stability of
the antiapoptotic protein MCL-1 and inducing mTORC1 signaling, thus evoking
little cytotoxicity. Inhibition of MCL-1 or mTORC1 signaling sensitized cells to
clinically relevant doses of sunitinib in vitro and was synergistic with
sunitinib in impairing tumor growth in vivo, indicating that these responses are
triggered as prosurvival mechanisms that enable cells to tolerate the cytotoxic
effects of sunitinib. Furthermore, higher doses of sunitinib were cytotoxic,
triggered a decline in MCL-1 levels, and inhibited mTORC1 signaling.
Mechanistically, we determined that sunitinib modulates MCL-1 stability by
affecting its proteasomal degradation. Dual modulation of MCL-1 stability at
different dose ranges of sunitinib was due to differential effects on ERK and
GSK3beta activity, and the latter also accounted for dual modulation of mTORC1
activity. Finally, comparison of patient samples prior to and following sunitinib
treatment suggested that increases in MCL-1 levels and mTORC1 activity correlate
with resistance to sunitinib in patients.
PMID- 27893462
TI - Biallelic mutations in IRF8 impair human NK cell maturation and function.
AB - Human NK cell deficiencies are rare yet result in severe and often fatal disease,
particularly as a result of viral susceptibility. NK cells develop from
hematopoietic stem cells, and few monogenic errors that specifically interrupt NK
cell development have been reported. Here we have described biallelic mutations
in IRF8, which encodes an interferon regulatory factor, as a cause of familial NK
cell deficiency that results in fatal and severe viral disease. Compound
heterozygous or homozygous mutations in IRF8 in 3 unrelated families resulted in
a paucity of mature CD56dim NK cells and an increase in the frequency of the
immature CD56bright NK cells, and this impairment in terminal maturation was also
observed in Irf8-/-, but not Irf8+/-, mice. We then determined that impaired
maturation was NK cell intrinsic, and gene expression analysis of human NK cell
developmental subsets showed that multiple genes were dysregulated by IRF8
mutation. The phenotype was accompanied by deficient NK cell function and was
stable over time. Together, these data indicate that human NK cells require IRF8
for development and functional maturation and that dysregulation of this function
results in severe human disease, thereby emphasizing a critical role for NK cells
in human antiviral defense.
PMID- 27893460
TI - TGF-beta1 modulates microglial phenotype and promotes recovery after
intracerebral hemorrhage.
AB - Intracerebral hemorrhage (ICH) is a devastating form of stroke that results from
the rupture of a blood vessel in the brain, leading to a mass of blood within the
brain parenchyma. The injury causes a rapid inflammatory reaction that includes
activation of the tissue-resident microglia and recruitment of blood-derived
macrophages and other leukocytes. In this work, we investigated the specific
responses of microglia following ICH with the aim of identifying pathways that
may aid in recovery after brain injury. We used longitudinal transcriptional
profiling of microglia in a murine model to determine the phenotype of microglia
during the acute and resolution phases of ICH in vivo and found increases in TGF
beta1 pathway activation during the resolution phase. We then confirmed that TGF
beta1 treatment modulated inflammatory profiles of microglia in vitro. Moreover,
TGF-beta1 treatment following ICH decreased microglial Il6 gene expression in
vivo and improved functional outcomes in the murine model. Finally, we observed
that patients with early increases in plasma TGF-beta1 concentrations had better
outcomes 90 days after ICH, confirming the role of TGF-beta1 in functional
recovery from ICH. Taken together, our data show that TGF-beta1 modulates
microglia-mediated neuroinflammation after ICH and promotes functional recovery,
suggesting that TGF-beta1 may be a therapeutic target for acute brain injury.
PMID- 27893463
TI - Inhibition of the GAS6/AXL pathway augments the efficacy of chemotherapies.
AB - The AXL receptor and its activating ligand, growth arrest-specific 6 (GAS6), are
important drivers of metastasis and therapeutic resistance in human cancers.
Given the critical roles that GAS6 and AXL play in refractory disease, this
signaling axis represents an attractive target for therapeutic intervention.
However, the strong picomolar binding affinity between GAS6 and AXL and the
promiscuity of small molecule inhibitors represent important challenges faced by
current anti-AXL therapeutics. Here, we have addressed these obstacles by
engineering a second-generation, high-affinity AXL decoy receptor with an
apparent affinity of 93 femtomolar to GAS6. Our decoy receptor, MYD1-72,
profoundly inhibited disease progression in aggressive preclinical models of
human cancers and induced cell killing in leukemia cells. When directly compared
with the most advanced anti-AXL small molecules in the clinic, MYD1-72 achieved
superior antitumor efficacy while displaying no toxicity. Moreover, we uncovered
a relationship between AXL and the cellular response to DNA damage whereby
abrogation of AXL signaling leads to accumulation of the DNA-damage markers
gammaH2AX, 53BP1, and RAD51. MYD1-72 exploited this relationship, leading to
improvements upon the therapeutic index of current standard-of-care
chemotherapies in preclinical models of advanced pancreatic and ovarian cancer.
PMID- 27893464
TI - The H3K9 dimethyltransferases EHMT1/2 protect against pathological cardiac
hypertrophy.
AB - Cardiac hypertrophic growth in response to pathological cues is associated with
reexpression of fetal genes and decreased cardiac function and is often a
precursor to heart failure. In contrast, physiologically induced hypertrophy is
adaptive, resulting in improved cardiac function. The processes that selectively
induce these hypertrophic states are poorly understood. Here, we have profiled 2
repressive epigenetic marks, H3K9me2 and H3K27me3, which are involved in stable
cellular differentiation, specifically in cardiomyocytes from physiologically and
pathologically hypertrophied rat hearts, and correlated these marks with their
associated transcriptomes. This analysis revealed the pervasive loss of
euchromatic H3K9me2 as a conserved feature of pathological hypertrophy that was
associated with reexpression of fetal genes. In hypertrophy, H3K9me2 was reduced
following a miR-217-mediated decrease in expression of the H3K9
dimethyltransferases EHMT1 and EHMT2 (EHMT1/2). miR-217-mediated, genetic, or
pharmacological inactivation of EHMT1/2 was sufficient to promote pathological
hypertrophy and fetal gene reexpression, while suppression of this pathway
protected against pathological hypertrophy both in vitro and in mice. Thus, we
have established a conserved mechanism involving a departure of the cardiomyocyte
epigenome from its adult cellular identity to a reprogrammed state that is
accompanied by reexpression of fetal genes and pathological hypertrophy. These
results suggest that targeting miR-217 and EHMT1/2 to prevent H3K9 methylation
loss is a viable therapeutic approach for the treatment of heart disease.
PMID- 27893465
TI - Accelerated resolution of inflammation underlies sex differences in inflammatory
responses in humans.
AB - BACKGROUND: Cardiovascular disease occurs at lower incidence in premenopausal
females compared with age-matched males. This variation may be linked to sex
differences in inflammation. We prospectively investigated whether inflammation
and components of the inflammatory response are altered in females compared with
males. METHODS: We performed 2 clinical studies in healthy volunteers. In 12 men
and 12 women, we assessed systemic inflammatory markers and vascular function
using brachial artery flow-mediated dilation (FMD). In a further 8 volunteers of
each sex, we assessed FMD response to glyceryl trinitrate (GTN) at baseline and
at 8 hours and 32 hours after typhoid vaccine. In a separate study in 16 men and
16 women, we measured inflammatory exudate mediators and cellular recruitment in
cantharidin-induced skin blisters at 24 and 72 hours. RESULTS: Typhoid vaccine
induced mild systemic inflammation at 8 hours, reflected by increased white cell
count in both sexes. Although neutrophil numbers at baseline and 8 hours were
greater in females, the neutrophils were less activated. Systemic inflammation
caused a decrease in FMD in males, but an increase in females, at 8 hours. In
contrast, GTN response was not altered in either sex after vaccine. At 24 hours,
cantharidin formed blisters of similar volume in both sexes; however, at 72
hours, blisters had only resolved in females. Monocyte and leukocyte counts were
reduced, and the activation state of all major leukocytes was lower, in blisters
of females. This was associated with enhanced levels of the resolving lipids,
particularly D-resolvin. CONCLUSIONS: Our findings suggest that female sex
protects against systemic inflammation-induced endothelial dysfunction. This
effect is likely due to accelerated resolution of inflammation compared with
males, specifically via neutrophils, mediated by an elevation of the D-resolvin
pathway. TRIAL REGISTRATION: ClinicalTrials.gov NCT01582321 and NRES: City Road
and Hampstead Ethics Committee: 11/LO/2038. FUNDING: The authors were funded by
multiple sources, including the National Institute for Health Research, the
British Heart Foundation, and the European Research Council.
PMID- 27893468
TI - Linear Folliculotropic CD30-Positive Lymphomatoid Drug Reaction.
AB - We report a unique case of probable drug-induced CD30-positive lymphomatoid
reaction. A 58-year-old woman presented with bilateral facial eruptions of 3
weeks duration composed of erythematosus papules in a linear distribution. The
pathological features demonstrated a dense dermal and follicular infiltrate of
many medium- to large-sized atypical CD30-positive lymphoid cells. The rash
resolved rapidly after discontinuation of her medication 1 week later and did not
recur. This case highlights the importance of clinicopathological correlation.
PMID- 27893467
TI - Bullous Pyoderma Gangrenosum With Subungual Involvement Associated With
Ulcerative Colitis.
AB - Pyoderma gangrenosum (PG) is a rare inflammatory and ulcerative skin disease of
unknown etiology characterized by neutrophilic infiltration of the dermis, mainly
affecting the lower extremities. Bullous PG is a rare variant of this disease,
usually associated with hematologic disorders. Here, we report a case of pathergy
positive bullous PG with subungual involvement associated with ulcerative
colitis.
PMID- 27893466
TI - CD30 Expression Is Rare in Myeloid Leukemia Cutis: A Study of 55 Cases and
Implications for Routine Diagnostic Algorithms.
AB - Expression of CD30 in blastoid cutaneous infiltrates typically signifies a CD30
lymphoproliferative disorder, often requiring minimal immunohistochemical workup,
if clinically consonant. However, myeloid and other hematologic malignancies
often express CD30. We retrospectively examined the prevalence of CD30 expression
in 41 patients (median age 59) and 55 biopsies with the diagnosis of leukemia
cutis (LC) to determine whether an extensive immunohistochemical workup is
warranted in all large, round cell CD30 cutaneous infiltrates. Each patient had
refractory or recurrent disease, the histologic presence of a large mononuclear
cell infiltrate, and varied cytogenetics. CD30 mononuclear cells within the
infiltrate ranged from rare to many in 22 biopsies (22/55). In 18 biopsies, CD30
cells were interpreted as lymphocytic based on morphology, strong cytoplasmic and
Golgi staining for CD30, and negative CD34 and CD117 staining. One case showing
3+ staining of lymphocytes was identified as a posttransplant lymphoproliferative
disorder. The second 3+ case was favored to represent a subset of CD30-positive
acute myeloid leukemia. Three other cases with 1+ membranous and cytoplasmic
staining were interpreted as myeloid leukemia. In conclusion, CD30 positivity in
myeloid leukemia in the skin is rare and does not often exhibit the strong
membranous (2+ or 3+) and/or Golgi staining seen in reactive lymphocytes. Acute
myeloid leukemia or myeloid LC may occasionally show 1+ (and rarely 2-3+)
cytoplasmic/membranous or nonspecific blush nuclear CD30 labeling. Strong diffuse
staining for CD30 should prompt consideration of a reactive
lymphoid/lymphoproliferative process, and, when the clinical likelihood of CD30
LC is low, may obviate the need for further immunohistochemistry.
PMID- 27893469
TI - A Review of the Surgical Management of Extrathoracic Solitary Fibrous Tumors.
AB - OBJECTIVES: Extrathoracic solitary fibrous tumors (ESFTs) are rare low-to
intermediate grade spindle-cell neoplasms of pluripotent fibroblastic or
myofibroblastic origin. This review explores prognostic factors in the management
of ESFTs and provides guidance on optimal treatment regimens based on the current
literature. PATIENTS AND METHODS: Electronic searches were performed using
MEDLINE, Embase, and the Cochrane library to identify studies on prognostic
factors in the management of ESFTs published between January 1970 and June 2016.
The literature search and review process identified 100 articles that were
included in this review article. This included both surgical and nonsurgical
studies on the management of ESFTs. RESULTS: Surgical excision with wide
resection margins forms the mainstay of treatment and provides optimal long-term
oncological outcomes. Large tumor size (>5 to 10 cm diameter), inadequate
resection margins, malignant histologic features, dedifferentiation, and tumor
location within the abdomen/pelvis are associated with adverse oncological
outcomes. Radiotherapy may be used for preoperative tumor shrinkage and/or as
adjuvant therapy in patients with malignant disease or incomplete surgical
margins. Chemotherapy with molecular-targeted therapies has produced promising
results and the results of further phase 2 trials are awaited. CONCLUSIONS:
Routine long-term follow-up is essential for benign and malignant disease to
enable early detection and treatment of recurrent disease.
PMID- 27893471
TI - An Oncologist's Perspective on the Affordable Care Act.
PMID- 27893472
TI - Correspondence Between Physical Self-Concept and Participation in, and Fitness
Change After, Biweekly Body Conditioning Classes in Sedentary Women.
AB - Aasa, U, Paulin, J, and Madison, G. Correspondence between physical self-concept
and participation in, and fitness change after, biweekly body conditioning
classes in sedentary women. J Strength Cond Res 31(2): 451-461, 2017-The aims of
the study were (a) to investigate the effects of participation in low impact body
conditioning classes on physical fitness in sedentary women at different ages and
(b) to examine the correspondence between physical self-concept and participation
in, and fitness change after, the participation. Ninety-two sedentary women (mean
age 44.2 years) participated in 11 weeks of biweekly classes that included
cardiovascular, strength, core, endurance, and mobility exercises, all performed
in synchrony with music. Cardiorespiratory fitness, maximal lifting strength,
mobility, and balance tests were performed before and after the exercise period
and the short-form of the Physical Self-Description Questionnaire (PSDQ-S) was
completed. Zero-order Spearman correlation analyses showed that women who rated
the PSDQ-S dimension sport competence higher participated in a larger number of
sessions (rs = 0.24, p = 0.040). At posttests, all participants had increased
their balance, the participants aged 20-34 years had increased their lifting
strength, and the participants aged 35-65 years had increased their
cardiorespiratory fitness and mobility. Most PSDQ-S dimensions did not affect
performance change, but the perception of being physically active was related to
increased cardiovascular fitness. We conclude that women with a sedentary
lifestyle who wish to increase their physical capacity benefit from music
exercise and that inquiries about perceived sport competence and physical
activity can improve recommendations made by strength and conditioning
professionals.
PMID- 27893470
TI - The Association Between Out-of-Pocket Costs and Adherence to Adjuvant Endocrine
Therapy Among Newly Diagnosed Breast Cancer Patients.
AB - OBJECTIVE: To determine how out-of-pocket costs for adjuvant endocrine therapy
(AET) medication affects adherence among newly diagnosed breast cancer survivors
with private health insurance who initiate therapy. MATERIALS AND METHODS: We
examined medical and pharmacy claims for the 1-year period after initiating AET
using the Truven Health Analytics MarketScan database. Adherence was defined as
>=80% proportion of days covered. Mean out-of-pocket costs for AET fill were
measured as the sum of copayments, coinsurance, and deductibles and adjusted to
30-day amounts. Using a multivariable logistic regression model we calculated
adjusted risk ratios controlling for age, comorbidities, type of surgery, use of
chemotherapy and/or radiation therapy, average out-of-pocket costs for other
services, and pharmacy use characteristics. RESULTS: Of the 6863 women 64 years
and younger who were diagnosed with breast cancer and initiated AET, 73.9% were
adherent (proportion of days covered>=80%). A total of 19% of patients had <$5
monthly out-of-pocket costs for AET, 30% had $5 to $9.99, 17% had $10 to $14.99,
10% had $15 to $19.99, and 25% had $20 or greater. Patients with out-of-pocket
costs for AET between $10 and $14.99, $15 and $19.99, and >$20 were 6% to 8% less
likely to be adherent compared with patients paying <$5.00, after controlling for
covariates (P<0.05). Out-of-pocket costs for inpatient, outpatient, and other
pharmacy services were not associated with adherence. CONCLUSIONS: A substantial
proportion of privately insured patients are nonadherent to AET and out-of-pocket
costs for AET medication are significantly associated with a greater likelihood
of nonadherence.
PMID- 27893473
TI - Concurrent Training Promoted Sustained Anti-atherogenic Benefits in the Fasting
Plasma Triacylglycerolemia of Postmenopausal Women at 1-Year Follow-up.
AB - Rossi, FE, Diniz, TA, Fortaleza, ACS, Neves, LM, Picolo, MR, Monteiro, PA,
Buonani, C, Lira, FS, and Freitas, IF Jr. Concurrent training promoted sustained
anti-atherogenic benefits in the fasting plasma triacylglycerolemia of
postmenopausal women at 1-year follow-up. J Strength Cond Res 32(12): 3573-3582,
2018-The aim of this study was to compare the effects of aerobic and concurrent
training (aerobic plus strength training) on the lipid profiles of
normotriacylglycerolemic and hypertriacylglycerolemic postmenopausal women and to
verify whether the benefits of aerobic and concurrent training were sustained
after 1 year. Total cholesterol, high-density lipoprotein cholesterol (HDL-c),
low-density lipoprotein cholesterol, triacylglycerol (TAG), and glucose were
assessed in 46 normotriacylglycerolemic (TAG < 150 mg.dl) postmenopausal women
divided into 3 groups: aerobic training, concurrent training (CT), and a control
group. For CT group, hypertriacylglycerolemic postmenopausal women were recruited
(TAG >= 150 mg.dl, n = 14). Total daily caloric consumption and free-living
physical activity were evaluated by dietary questionnaires and accelerometer,
respectively, and fat mass by DXA. In 16 weeks, CT was effective in increasing
HDL-c (normotriacylglycerolemic: pre = 57.1 +/- 17.3 mg.dl * post = 64.3 +/- 16.1
mg.dl p = 0.020 and hypertriacylglycerolemic: pre = 44.7 +/- 9.6 mg.dl * post =
50.3 +/- 15.3 mg.dl; p = 0.012) and reducing the atherogenic index in
normotriacylglycerolemic (pre = 3.6 +/- 0.9 mg.dl * post = 3.0 +/- 0.6 mg.dl; p =
0.003) and hypertriacylglycerolemic (pre = 5.2 +/- 1.1 mg.dl * post = 4.7 +/- 1.2
mg.dl; p = 0.018) postmenopausal women. In addition, the effects were sustained
at the 1-year follow-up only among the hypertriacylglycerolemic postmenopausal
women. The anti-atherogenic status in normotriacylglycerolemic and
hypertriacylglycerolemic postmenopausal women was changed by CT but without
significant differences between groups. Furthermore, these benefits are sustained
at the 1-year follow-up among the hypertriacylglycerolemic subjects.
PMID- 27893474
TI - Hypertrophy and Explosive-Reactive Functioning in Sedentary Men After 10 Weeks of
Whole-Body Vibration.
AB - Ebing, J, Gast, U, Hauptmann, C, Felsenberg, D, and Belavy, DL. Hypertrophy and
explosive-reactive functioning in sedentary men after 10 weeks of whole-body
vibration. J Strength Cond Res 32(1): 27-36, 2018-The objective of this study was
to determine the impact of vertical (Power-plate; POW) and side-alternating
(Galileo; GAL) whole-body vibration exercise on muscle mass and lower-limb
neuromuscular function. Forty-three sedentary male subjects (18-30 year)
randomized into 3 groups underwent 2 upper-body exercise sessions per week for 10
weeks. Two groups of subjects underwent additional squat exercises on the GAL (N
= 15) or POW (N = 14) devices. The third group was control. On magnetic resonance
imaging, volume of the thigh muscles was measured. Countermovement jump, multiple
one-leg hopping, drop jump, landing test, 15-m sprint, and grip strength were
performed. Measurements were performed at baseline, and at 5 and 10 weeks.
Significantly greater increases in vasti volume were seen in the GAL (+4.15%; p =
0.00076 vs. control) and POW (+4.81%; p = 0.0074 vs. control) groups than in the
control group (-1.22%) at 10 weeks. The adductor magnus volume increased in the
GAL (+2.24%; p = 0.00038 vs. baseline) and POW (+2.33%; p = 0.00038 vs. baseline)
groups at 10 weeks, but this was not significantly different from the control (
0.67%; p = 0.54 vs. baseline). Hamstring volume decreased in GAL (-1.85%; p =
0.00038 vs. baseline) at 5 weeks with the reduction in the POW group at 5 weeks (
1.73%; p = 0.17 vs. baseline) not reaching significance. There were no
significant differences between the POW and GAL groups (p >= 0.084) and no
significant changes in neuromuscular performance. Twice weekly squat exercises
with whole-body vibration, progressing from 3- to 5-minute time under tension,
lead to thigh muscle hypertrophy but no improvements in explosive-reactive
function.
PMID- 27893476
TI - Comparison of Muscle Involvement and Posture Between the Conventional Deadlift
and a "Walk-In" Style Deadlift Machine.
AB - The deadlift exercise is one of the most effective exercises for developing lower
body strength; however, technique errors can lead to low back injuries. The use
of a "walk-in" deadlift machine removes the weight bar by using a lever system
with independent handles on either side of the body. Theoretically, this would
allow alignment of the load with the center of gravity, encouraging a more
upright torso and decreasing the involvement of the low back extensors. This
study compared trunk angle, knee angle, and electrical activity of key muscles
between the conventional deadlift (CDL) and 2 foot positions (ball of foot or toe
alignment) with pronated grip (called BallPro and ToePro) of a walk-in deadlift
machine among high-skilled and low-skilled lifters. Although there were no skill
group differences, in the combined groups, the walk-in deadlift resulted in a
significantly more upright trunk angle (p <= 0.05) for both the BallPro (29.9
degrees +/- 12.0 SD) and the ToePro (32.4 degrees +/- 10.4) compared with the
CDL (23.7 degrees +/- 11.3) at the start of the lift. Similar results were noted
in the midconcentric phase, with trunk angles for the ToePro (46.9 degrees +/-
6.8) significantly different from CDL (42.66 degrees +/- 3.7), and for the
mideccentric phase of the lift, with ToePro (47.2 degrees +/- 7.0) significantly
higher than CDL (42.9 degrees +/- 6.5). ToePro knee angle was significantly more
flexed (101.6 degrees +/- 10.6) than CDL (110.8 degrees +/- 11.5) at the
starting position, with both BallPro (135.7 degrees +/- 14.2) and ToePro (136.5
degrees +/- 8.8) significantly more flexed than CDL (159.3 degrees +/- 5.9) in
both the midconcentric phase and the mideccentric phase (BallPro 129.2 degrees
+/- 14.0, ToePro 127.7 degrees +/- 8.9, and CDL 150.5 degrees +/- 7.8). In the
combined low- and high-skilled groups, electrical activity as a percent of
maximum isometric root mean square activity of the erector spinae during the
BallPro variation (53.1% +/- 33.8) was significantly lower than CDL (73.19% +/-
23.9), whereas vastus lateralis activity was significantly higher in both BallPro
(79.9% +/- 26.3) and ToePro (64.3 +/- 22.4) compared with CDL (48.6% +/- 13.0).
Gluteus maximus (GM) activity was significantly lower for BallPro (30.1% +/-
16.6) and ToePro (30.2% +/- 15.0) than CDL (47.1% +/- 27.6). Together, the
results indicate that the walk-in deadlift machine has potential to reduce the
stress on the low back during the deadlift activity, with subjects generally
exhibiting a more upright posture and erector spinae muscle activity, depending
on the foot position. However, there was also a shift in muscle activity away
from the GM and towards the knee extensors, which may limit the machine's long
term usefulness as a deadlift replacement.
PMID- 27893477
TI - An Evaluation of Agonist: Antagonist Strength Ratios and Posture Among
Powerlifters.
AB - Cutrufello, PT, Gadomski, SJ, and Ratamess, NA. An evaluation of
agonist:antagonist strength ratios and posture among powerlifters. J Strength
Cond Res 31(2): 298-304, 2017-Powerlifters routinely focus on 3 exercises: bench
press, squat, and deadlift. This focus may predispose them to the development of
muscle imbalances in either the upper or lower extremity which might also
influence posture. Therefore, the purpose of the present study was to examine the
agonist:antagonist strength ratios and their relationship to postural measures
among powerlifters. An ex post facto study design compared 15 male powerlifters
(35.3 +/- 13.7 years old) and 15 age-matched controls (34.9 +/- 14.6 years old).
Maximal isometric strength tests were conducted using handheld dynamometry.
Posture was evaluated using pectoralis minor length, pelvic tilt, thoracic
kyphosis, and lumbar lordosis. Strength imbalances were observed for shoulder
horizontal adduction:abduction (2.57 +/- 0.58 vs. 1.78 +/- 0.28; p < 0.001) and
knee flexion:extension (0.61 +/- 0.15 vs. 0.50 +/- 0.10; p = 0.033). Pectoralis
minor length was significantly shorter among the powerlifters (6.1 +/- 1.9 vs.
4.2 +/- 1.4; p = 0.005); however, there was no statistical difference in thoracic
kyphosis (37.7 +/- 9.4 vs. 39.1 +/- 10.9; p = 0.722), pelvic tilt (10.6 +/- 3.6
vs. 11.3 +/- 3.7; p = 0.622), or lumbar lordosis (25.0 +/- 7.6 vs. 23.0 +/- 8.4;
p = 0.500) angles. Strength imbalances, including shoulder horizontal
adduction:abduction and knee flexion:extension, and a shortened pectoralis minor
may evolve as training adaptations among powerlifters, whereas thoracic kyphosis,
pelvic tilt, and lumbar lordosis remain unchanged.
PMID- 27893478
TI - Loads and Movement Speeds Dictate Differences in Power Output During Circuit
Training.
AB - Power training has become a common exercise intervention for improving muscle
strength, power, and physical function while reducing injury risk. Few studies,
however, have evaluated acute load changes on power output during traditional
resistance training protocols. Therefore, the aim of this study was to quantify
the effects of different loading patterns on power output during a single session
of circuit resistance training (CRT). Nine male (age = 19.4 +/- 0.9 years) and 11
female participants (age = 20.6 +/- 1.6 years) completed 3 CRT protocols during
separate testing sessions using 7 pneumatic exercises. Protocols included heavy
load explosive contraction (HLEC: 80% one repetition maximum [1RM], maximum speed
concentric-2 seconds eccentric), heavy load controlled contraction (HLCC: 80%
1RM, 2 seconds concentric-2 seconds eccentric), and moderate load explosive
contraction (MLEC: 50% 1RM, maximum speed concentric-2 seconds eccentric).
Protocols were assigned randomly using a counterbalanced design. Power for each
repetition and set were determined using computerized software interfaced with
each machine. Blood lactate was measured at rest and immediately postexercise.
For male and female participants, average power was significantly greater during
all exercises for HLEC and MLEC than HLCC. Average power was greatest during the
HLEC for leg press (LP), hip adduction (ADD), and hip abduction (ABD) (p <=
0.05), whereas male participants alone produced their greatest power during HLEC
for leg curl (LC) (p < 0.001). For male and female participants, significantly
greater power was detected by set for LP, lat pull-down (LAT), ADD, LC, and ABD
for the MLEC protocol (p < 0.02) and for LP, LAT, CP, and LC for the HLEC
protocol (p < 0.03). A condition * sex interaction was seen for blood lactate
changes ((Equation is included in full-text article.)= 0.249; p = 0.024), with
female participants producing a significantly greater change for MLEC than HLEC
(Mdiff = 1.61 +/- 0.35 mmol.L; p = 0.011), whereas male participants showed no
significant differences among conditions. Performing a CRT protocol using
explosive training patterns, especially at high loads for lower-body exercises
and moderate loads for upper-body exercises, produces significantly higher power
than controlled speed training in most exercises. These results provide
exercisers, personal trainers, and strength coaches with information that can
assist in the design of training protocols to maximize power output during CRT.
PMID- 27893480
TI - High-Speed Resistance Training Modifies Load-Velocity and Load-Power
Relationships in Parkinson's Disease.
AB - Muscle power is a major neuromuscular factor affecting motor function and
independence in patients with Parkinson's disease (PD), and it is commonly
targeted using high-speed exercise. This study examined the changes in velocities
(Vpp) and percent loads (%1RMpp) at peak power and load-velocity (L-V) and load
power (L-P) relationships, resulting from resistance training because of exercise
choice and loading in older patients with PD. Fourteen older adults with mild to
moderate PD participated in a 12-week randomized controlled power training trial.
Changes in L-V and L-P relationships for the biceps curl, chest press, leg press,
hip abduction, and seated calf were assessed using pneumatic resistance machines
at loads ranging from 30 through 90% of subjects' 1 repetition maximum for each
exercise. Significant increases in Vpp were seen for biceps curl, leg press, hip
abduction, and seated calf and decreases in %1RMpp were noted for biceps curl and
hip abduction. Additionally, unique patterns of change were seen in these
relationships across exercises, with biceps curl, chest press, and leg press
showing the greatest shifts at the lower load end of the loading spectrum, and
hip abduction and seated calf showing greatest responses at the higher end. The
patterns of change in L-V and L-P relationships provide evidence for the unique
responses of the specific muscle groups and joints to the exercises evaluated and
offer a framework for more exacting exercise prescriptions in patients with PD.
PMID- 27893481
TI - The Effect of Exercise-Induced Muscle Damage After a Bout of Accentuated
Eccentric Load Drop Jumps and the Repeated Bout Effect.
AB - Bridgeman, LA, Gill, ND, Dulson, DK, and McGuigan, MR. The effect of exercise
induced muscle damage after a bout of accentuated eccentric load drop jumps and
the repeated bout effect. J Strength Cond Res 31(2): 386-394, 2017-Although
previous studies have investigated exercise-induced muscle damage (EIMD) after a
bout of unloaded drop jumps (DJs), none have investigated the effects of
accentuated eccentric load (AEL) DJs on EIMD. The purpose of this study was to
investigate the effects of 30 and 50 AEL DJs on strength, jump performance,
muscle soreness, and blood markers. Eight resistance trained athletes
participated in this study. In week 1, baseline countermovement jump (CMJ), squat
jump (SJ), concentric and eccentric peak force (PF), creatine kinase, and muscle
soreness were assessed. Subjects then completed 30 AEL DJs and baseline measures
were retested immediately postintervention, 1, 24, and 48 hours later. Two weeks
later, the subjects completed the same protocol with an increase in AEL DJ volume
(50). Subjects' SJ height was reduced in week 1 compared with week 3,
postintervention, 1, 24, and 48 hours later (ES = -0.34, -0.44, -0.38, and
0.40). Subjects' CMJ height was reduced in week 1 compared with week 3,
postintervention, 1, and 24 hours later (ES = -0.37, -0.29, and -0.39).
Concentric PF was reduced in week 1 compared with week 3, postintervention and 24
and 48 hours later (ES = -0.02, -0.23, and -0.32). Eccentric PF was reduced in
week 1 compared with week 3, postintervention, 24, and 48 hours later (ES =
0.24, -0.16, and -0.50). In this sample, 30 AEL DJs attenuated the effects of
EIMD following which 50 AEL DJs completed 2 weeks later.
PMID- 27893482
TI - Effects of Sprint Training With and Without Weighted Vest on Speed and Repeated
Sprint Ability in Male Soccer Players.
AB - The purpose of this study was to assess the effect resisted sprint training using
weighted vests (WVs) compared with unresisted sprint (US) training on physical
fitness (countermovement jump, 10-m sprint, 30-m sprint, and repeated sprint
ability [RSA]) in amateur male soccer players. Nineteen soccer players (age: 23.7
+/- 4.5 years; height: 178.3 +/- 5.8 cm; body mass: 72.9 +/- 5.2 kg) were
randomly assigned to a WV (n = 10) or a US (n = 9) group. The intervention
program had to be performed 2 times a week over 6 weeks. The only difference
between the 2 interventions was that the WV group performed all the sprints with
an additional weight of 18.9% +/- 2.1% of body mass. Within-group analysis showed
significant improvements (p < 0.001) in 10-m and 30-m sprint performances from
pretest to posttest in WV (+9.42% and +6.04%) and US (+10.87% and +5.10%).
Players in both WV and US also showed significant enhancements in RSA average
time (AT), fastest time, and total time from pretest to posttest. Percentage
changes in 30-m sprint performance, for both groups combined, had a very large
correlation with percentage changes in AT of RSA. In the between-groups analysis,
there were no differences between the sprint training groups (WV vs. US) in any
variable. In conclusion, the findings of this study indicate that both sprint
training methods used seem to be effective to improve soccer-related performance
measures, and could be beneficial to players and coaches in field settings.
PMID- 27893483
TI - Game Times and Higher Winning Percentages of West Coast Teams of the National
Football League Correspond With Reduced Prevalence of Regular Season Injury.
AB - Brager, AJ and Mistovich, RJ. Game times and higher winning percentages of west
coast teams of the National Football League correspond with reduced prevalence of
regular season injury. J Strength Cond Res 31(2): 462-467, 2017-West coast teams
of the National Football League are more statistically likely to win home night
games against east coast opponents. The alignment of game times with daily
rhythms of alertness is thought to contribute to this advantage. This study aims
to determine whether rates of turnovers and injuries during the regular season,
putative measures of mental and physical fatigue, impact winning percentages.
Regular season schedules and rates of turnovers for each of the 32 teams were
obtained from Pro-Football-Reference. We developed our own metric of injury risk
for each position obtained from depth charts and regular season schedules. This
metric compared cumulative weeks on injury reserve with cumulative time zone
travel. West coast teams traveled 4 times as often as east coast teams. However,
teams traveling eastward won twice as many games. There was no relationship
between the extent and direction of travel and number of turnovers. Losing teams
had more turnovers. The offensive and defensive lines in Central Time (CT) were
placed on injury reserve 4 times as often as offensive and defensive lines in
Pacific Time (PT). Injury prevalence in CT vs. PT was most prominent midseason.
Plotting midseason game time relative to biological time revealed that PT teams
play games closer to endogenous peaks in alertness, whereas CT teams play games
closer to endogenous troughs in alertness. Overall, closer alignment of game time
with the endogenous "alerting" signal may protect west coast teams from fatigue
related injuries and suggests for modified strength and conditioning programs.
PMID- 27893484
TI - Effects of Pelvic and Core Strength Training on High School Cross-Country Race
Times.
AB - Clark, AW, Goedeke, MK, Cunningham, SR, Rockwell, DE, Lehecka, BJ, Manske, RC,
and Smith, BS. Effects of pelvic and core strength training on high school cross
country race times. J Strength Cond Res 31(8): 2289-2295, 2017-There is only
limited research examining the effect of pelvic and core strength training on
running performance. Pelvic and core muscle fatigue is believed to contribute to
excess motion along frontal and transverse planes which decreases efficiency in
normal sagittal plane running motions. The purpose of this study was to determine
whether adding a 6-week pelvic and core strengthening program resulted in
decreased race times in high school cross-country runners. Thirty-five high
school cross-country runners (14-19 years old) from 2 high schools were randomly
assigned to a strengthening group (experimental) or a nonstrengthening group
(control). All participants completed 4 standardized isometric strength tests for
hip abductors, adductors, extensors, and core musculature in a test-retest
design. The experimental group performed a 6-week pelvic and core strengthening
program along with their normal training. Participants in the control group
performed their normal training without additional pelvic and core strengthening.
Baseline, 3-week, and 6-week race times were collected using a repeated measures
design. No significant interaction between experimental and control groups
regarding decreasing race times and increasing pelvic and core musculature
strength occurred over the 6-week study period. Both groups increased strength
and decreased overall race times. Clinically significant findings reveal a 6-week
pelvic and core stability strengthening program 3 times a week in addition to
coach led team training may help decrease race times.
PMID- 27893487
TI - Radial Extracorporeal Shock Wave Therapy Is Effective and Safe in Chronic Distal
Biceps Tendinopathy.
AB - OBJECTIVE: To assess the efficacy and safety of radial extracorporeal shock wave
therapy (rESWT) for chronic distal biceps tendinopathy (cDBT). DESIGN: Case
control study (level of evidence, 3). SETTING: SUN Orthopaedics and Sports
Medicine. PATIENTS: Patients with a diagnosis of cDBT were recruited between
January 2010 and February 2015. INTERVENTIONS: Patients received a single session
of rESWT (2000 shock waves with energy flux density of 0.18 mJ/mm) or other forms
of nonoperative therapy. MAIN OUTCOME MEASURES: Patients completed the visual
analog scale (VAS), the modified QuickDASH (MQD) score, and the Roles and
Maudsley (RM) score over a 12-month period. RESULTS: Forty-eight patients
completed the final review at 12 months and were included in the study. Subjects
ranged in age from 30 to 64 years. Mean pretreatment VAS scores for the rESWT and
control groups were 8.3 and 8.5, respectively. Three and 12 months after
inclusion in the study, the mean VAS scores for the rESWT and control groups were
3.4 and 5.6 (P < 0.001) and 2.7 and 4.7 (P < 0.001), respectively. Twelve-month
follow-up MQD-Sports and MQD-Work scores for the rESWT and control groups were
3.7 and 1.7 (P < 0.001) and 3.8 and 1.8 (P < 0.001), respectively. Differences in
mean RM scores were statistically significant between groups at 3 months after
the treatment. There were no significant complications. CONCLUSIONS: Overall,
rESWT is an effective and safe treatment for cDBT. CLINICAL RELEVANCE: Radial
ESWT as a novel, effective, and safe treatment for cDBT.
PMID- 27893486
TI - Rankings of High School Sports Injury Rates Differ Based on Time Loss
Assessments.
AB - OBJECTIVE: To examine how injury definition inclusiveness affects the rank order
of injury rates in 27 high school (HS) sports. DESIGN: The National Athletic
Treatment, Injury and Outcomes Network (NATION) used certified athletic trainers
(ATs) to collect injury and athlete-exposure (AE) data in practices and
competitions for 27 HS sports during the 2011/2012 to 2013/2014 academic years.
Time loss (TL) injuries resulted in >=24 hours of participation restriction.
Nontime loss (NTL) injuries resulted in <24 hours of participation restriction.
SETTING: Aggregate injury and exposure data collected from 27 HS sports.
PARTICIPANTS: High school student-athletes. INTERVENTIONS: Sports injury data
from the National Athletic Treatment, Injury and Outcomes Network. MAIN OUTCOME
MEASURES: Time loss and TL + NTL injury rates were calculated. Sport-specific
rates were placed in rank order, stratified by gender. RESULTS: Most of the 47
014 injuries reported were NTL (82.8%). Among boys' sports, TL injury rates were
greatest in football (3.27/1000AE) and wrestling (2.43/1000AE); TL + NTL injury
rates were greatest also in football (15.29/1000AE) and wrestling (11.62/1000AE).
Among girls' sports, TL injury rates were greatest in soccer (1.97/1000AE) and
basketball (1.76/1000AE); TL + NTL injury rates were greatest in field hockey and
lacrosse (both 11.32/1000AE). CONCLUSIONS: The rank order of injury rates and the
resulting injury prevention priorities may depend on injury definition
inclusiveness, particularly in female HS sports.
PMID- 27893485
TI - Peripheral neuropathic pain: a mechanism-related organizing principle based on
sensory profiles.
AB - Patients with neuropathic pain are heterogeneous in etiology, pathophysiology,
and clinical appearance. They exhibit a variety of pain-related sensory symptoms
and signs (sensory profile). Different sensory profiles might indicate different
classes of neurobiological mechanisms, and hence subgroups with different sensory
profiles might respond differently to treatment. The aim of the investigation was
to identify subgroups in a large sample of patients with neuropathic pain using
hypothesis-free statistical methods on the database of 3 large multinational
research networks (German Research Network on Neuropathic Pain (DFNS), IMI
Europain, and Neuropain). Standardized quantitative sensory testing was used in
902 (test cohort) and 233 (validation cohort) patients with peripheral
neuropathic pain of different etiologies. For subgrouping, we performed a cluster
analysis using 13 quantitative sensory testing parameters. Three distinct
subgroups with characteristic sensory profiles were identified and replicated.
Cluster 1 (sensory loss, 42%) showed a loss of small and large fiber function in
combination with paradoxical heat sensations. Cluster 2 (thermal hyperalgesia,
33%) was characterized by preserved sensory functions in combination with heat
and cold hyperalgesia and mild dynamic mechanical allodynia. Cluster 3
(mechanical hyperalgesia, 24%) was characterized by a loss of small fiber
function in combination with pinprick hyperalgesia and dynamic mechanical
allodynia. All clusters occurred across etiologies but frequencies differed. We
present a new approach of subgrouping patients with peripheral neuropathic pain
of different etiologies according to intrinsic sensory profiles. These 3 profiles
may be related to pathophysiological mechanisms and may be useful in clinical
trial design to enrich the study population for treatment responders.
PMID- 27893489
TI - Military Service and Amyotrophic Lateral Sclerosis in a Population-based Cohort:
Extended Follow-up 1979-2011.
PMID- 27893488
TI - Pregnancy and HIV Disease Progression in an Early Infection Cohort from Five
African Countries.
AB - BACKGROUND: Understanding associations between pregnancy and HIV disease
progression is critical to provide appropriate counseling and care to HIV
positive women. METHODS: From 2006 to 2011, women less than age 40 with incident
HIV infection were enrolled in an early HIV infection cohort in Kenya, Rwanda,
South Africa, Uganda, and Zambia. Time-dependent Cox models evaluated
associations between pregnancy and HIV disease progression. Clinical progression
was defined as a single CD4 measurement <200 cells/MUl, percent CD4 <14%, or
category C event, with censoring at antiretroviral (ART) initiation for reasons
other than prevention of mother-to-child transmission (PMTCT). Immunologic
progression was defined as two consecutive CD4s <=350 cells/MUl or a single CD4
<=350 cells/MUl followed by non-PMTCT ART initiation. Generalized estimating
equations assessed changes in CD4 before and after pregnancy. RESULTS: Among 222
women, 63 experienced clinical progression during 783.5 person-years at risk
(8.0/100). Among 205 women, 87 experienced immunologic progression during 680.1
person-years at risk (12.8/100). The association between pregnancy and clinical
progression was adjusted hazard ratio [aHR] = 0.7; 95% confidence interval (CI):
0.2, 1.8. The association between pregnancy and immunologic progression was aHR =
1.7; 95% CI: 0.9, 3.3. Models controlled for age; human leukocyte antigen alleles
A*03:01, B*45, B*57; CD4 set point; and HIV-1 subtype. CD4 measurements before
versus after pregnancies were not different. CONCLUSIONS: In this cohort,
pregnancy was not associated with increased clinical or immunologic HIV
progression. Similarly, we did not observe meaningful deleterious associations of
pregnancy with CD4s. Our findings suggest that HIV-positive women may become
pregnant without harmful health effects occurring during the pregnancy.
Evaluation of longer-term impact of pregnancy on progression is warranted.
PMID- 27893490
TI - Total Wrist Arthrodesis: Indications and Clinical Outcomes.
AB - Total wrist arthrodesis remains an important technique in the surgical
armamentarium of upper extremity surgeons. The procedure has evolved over time
but continues to provide reliable pain relief at the expense of wrist motion. It
is indicated for management of a wide variety of upper extremity conditions,
including rheumatoid arthritis, posttraumatic osteoarthritis, cerebral palsy, and
brachial plexus injuries, and as a salvage technique after failed implant
arthroplasty. Recent studies demonstrate high levels of patient satisfaction and
good functional outcomes after bilateral wrist fusion. Compared with total wrist
arthroplasty, total wrist arthrodesis provides more reliable pain relief with
lower rates of complications, but further studies are needed to compare
functional outcomes and cost-effectiveness.
PMID- 27893491
TI - Role of low-molecular-weight heparins in prevention of thromboembolic
complication after transarterial chemoembolization in hepatocellular carcinoma.
AB - BACKGROUND AND AIM: Portal vein thrombosis (PVT) is a common complication after
transarterial chemoembolization (TACE) in hepatocellular carcinoma (HCC). This is
the first clinical study to evaluate the role of low-molecular-weight heparins
(LMWHs) with TACE in HCC for the prevention of thromboembolism complications
(PVT). PATIENTS AND METHODS: This study was carried out on 40 patients with HCC
requiring TACE who presented to the Tropical Medicine Department, Tanta
University and Interventional Radiology Department of Ain-Shams University
Hospitals starting from April 2015. Patients were divided in two groups: group I
included 20 patients with HCC treated by TACE only. Group II included 20 patients
with HCC treated by TACE and an adjuvant dose of LMWH. Radiological assessment of
efficacy of procedure and detection of PVT as a complication was performed using
ultrasound abdomen and pelvis and triphasic spiral computed tomography with
contrast. RESULTS: This study was carried out on 40 patients with HCC requiring
TACE who presented to the Tropical Medicine Department of Tanta University and
Interventional Radiology Department of Ain-Shams University Hospitals. The
incidence of PVT after TACE was higher in group I than group II, with seven cases
in group I and only one case in group II. CONCLUSION: LMWH with TACE in HCC is
strongly recommended for prevention of thromboembolism complications (PVT).
However, larger randomized-controlled studies are needed to confirm these obvious
findings.
PMID- 27893492
TI - Safety of Local Intracutaneous Lidocaine Anesthesia Used by Dermatologic Surgeons
for Skin Cancer Excision and Postcancer Reconstruction: Quantification of
Standard Injection Volumes and Adverse Event Rates.
AB - BACKGROUND: Intracutaneous lidocaine is used for anesthesia in dermatologic
surgery for skin cancer excision and repair with exceedingly low incidence of
reported adverse events. OBJECTIVE: To measure (1) the quantity of lidocaine
typically used for facial skin cancer excision and reconstruction; and (2) the
frequency and character of associated adverse events. METHODS: Survey study of
dermatologic surgeons with longitudinal reporting. Reported practice during 10
business days: (1) mean volume of 1% lidocaine per skin cancer excision; (2)
maximum per excision; (3) mean per reconstruction; and (4) maximum per
reconstruction. RESULTS: A total of 437 of 1,175 subjects contacted (37.2%)
responded. Mean per excision was 3.44 mL (SD: 2.97), and reconstruction 11.70 mL
(10.14). Maximum per excision was 6.54 mL (4.23), and reconstruction was 15.85 mL
(10.39). No cases of lidocaine toxicity were reported, diagnosed, or treated.
Incidence of adverse events possibly anesthesia related was >0.15%, with most
(0.13%) being mild cases of dizziness, drowsiness, or lightheadedness from
epinephrine tachycardia. CONCLUSION: Toxicity associated with local anesthesia
other than lidocaine was not studied. Volumes of lidocaine in skin cancer
excision and repair are modest and within safe limits. Lidocaine toxicity is
exceedingly rare to entirely absent. For comparable indications, lidocaine is
safer than conscious sedation or general anesthesia.
PMID- 27893493
TI - Improving Detection of Iron Deposition in Cirrhotic Liver Using Susceptibility
Weighted Imaging With Emphasis on Histopathological Correlation.
AB - OBJECTIVE: The aim of this study was to investigate the value of susceptibility
weighted imaging (SWI) for detection and quantification of iron deposition in
cirrhotic liver. METHODS: Fifty-five cirrhotic patients underwent hepatic
magnetic resonance imaging examination including SWI and multiecho T2*-weighted
imaging (T2*WI). Detection of iron deposition and number of siderotic nodules
were compared between SWI and T2*WI. Correlation among SWI phase value, T2*
value, and hepatic iron concentration were determined. RESULTS: Susceptibility
weighted imaging significantly improved detection of iron deposition compared
with T2*WI (90.7% vs 66.7%, P = 0.002), attributing to grade 1 (73.3% vs 26.7%, P
= 0.027) and grade 2 (93.8% vs 56.3%, P = 0.037). Iron deposition of grade 3 and
4 could be detected by both SWI and T2*WI. The number of siderotic nodules
visualized on SWI was significantly larger than that on T2*WI (107.5 +/- 7.4 vs
62.7 +/- 4.6, P = 0.002). There were significantly negative correlation between
phase value and iron score (r = -0.803), and positive correlation between phase
value and T2* value (r = 0.771). CONCLUSIONS: Susceptibility-weighted imaging can
improve detection of minimal and mild iron deposition in cirrhotic liver.
PMID- 27893494
TI - A Comparison of Magnetic Resonance Imaging and Electroneuromyography for
Denervated Muscle Diagnosis.
AB - PURPOSE: The aim of this study was to evaluate the diagnostic value of magnetic
resonance imaging (MRI) for muscle denervation due to focal lesions of the median
nerve and to compare electrophysiological findings with MRI findings. METHODS:
Twenty-six patients with electrophysiological studies diagnosed for focal lesions
of the median nerve were included in this study. Electrophysiological studies and
MRI were conducted on 34 patients' hands. Patients' hands were divided into two
groups based on edema findings revealed by the MRI: group 1 (edema-negative
group; n = 24) and group 2 (edema-positive group; n = 10). RESULTS: Positive
correlations were found between the existence of edema in MRI and fibrillation,
positive sharp waves, denervation, and the level of reduced recruitment pattern.
In median nerve conduction studies, amplitude of compound muscle action potential
and palm-to-wrist segment mixed-nerve action potentials were significantly lower,
and also the third-digit wrist sensory nerve conduction velocity and mixed-nerve
palm-wrist conduction velocity were significantly slower in group 2. CONCLUSIONS:
For muscle denervation resulting from median nerve lesions, MRI findings
correlated with electrophysiological findings; further study is required for the
use of MRI.
PMID- 27893495
TI - Integration of EEG Into Psychiatric Practice: A Step Toward Precision Medicine
for Autism Spectrum Disorder.
AB - INTRODUCTION: Data from an EEG is not commonly used by psychiatrists to plan
treatment and medication. However, EEG abnormalities such as isolated
epileptiform discharges are found to be more prevalent in psychiatric patients,
particularly those diagnosed with autism spectrum disorder (ASD). Most
medications prescribed for ASD lower seizure threshold and increase side effects.
Therefore, it may be prudent to order an EEG for ASD cases, especially those
categorized as refractory. METHODS: The data set was obtained from a
multidisciplinary practice that treats a wide variety of neuroatypical children
and adolescent refractory patients. This study investigated 140 nonepileptic
subjects diagnosed with ASD, aged 4 to 25 years. Visual inspection of the EEG was
performed to search for paroxysmal, focal, or lateralizing patterns. RESULTS: Of
the 140 subjects, the EEG data identified 36% with isolated epileptiform
discharges. The chi analysis found no significant difference between genders
among the three age groups. Findings indicated a high prevalence of isolated
epileptiform discharges among individuals with ASD. CONCLUSIONS: Our results find
that compared with the healthy population, a large number of patients with ASD
have isolated epileptiform discharges despite never having a seizure. Our
findings support the use of EEG in children, adolescents, and young adults with
ASD, regardless of gender or age. This is particularly true for those who exhibit
aggressive behaviors or those who have failed previous medication attempts with
stimulants, antidepressants, and/or antipsychotics.
PMID- 27893496
TI - Do Leadership Style, Unit Climate, and Safety Climate Contribute to Safe
Medication Practices?
AB - OBJECTIVES: This study aims at: examining if leadership style and unit climate
predict safety climate; and testing the direct, indirect, and total effect of
leadership style, unit climate, and safety climate on nurses' safe medication
practices. BACKGROUND: The Institute of Medicine and nursing scholars propose
that safety climate is a prerequisite to safety practices. However, there is
limited empirical evidence about factors contributing to the development of
safety climate and about the association with nurses' safe medication practices.
METHOD: This cross-sectional study used survey data from 246 RNs working in a
Magnet(r) hospital. RESULTS: Leadership style and unit climate predicted 20% to
50% of variance on all safety climate dimensions. Model testing revealed the
indirect impact of leadership style and unit climate on nurses' safe medication
practices. CONCLUSION: Our hypothesized model explained small amount of the
variance on nurses' safe medication practices. This finding suggests that nurses'
safe medication practices are influenced by multiple contextual and personal
factors that should be further examined.
PMID- 27893497
TI - Nurse Managers Speak Out About Disruptive Nurse-to-Nurse Relationships.
AB - OBJECTIVE: The purpose of this study is to explore nurse managers' (NMs')
perceptions regarding disruptive nurse-to-nurse relationships. BACKGROUND: Nurse
managers play a pivotal role in creating and sustaining healthy practice
environments. They must understand how to recognize and confront disruptive nurse
relationships that can threaten the health of their units. METHODS: A qualitative
study design using researcher-participant interviews of 13 NMs from 5
institutions provided data regarding NMs' views on nurse relationships. RESULTS:
Nurse managers reported how they became aware of disruptive nurse relationships,
their strategies for dealing with those relationships, and the impact that
confronting disruptive relationships had on them personally. CONCLUSION: Findings
can be helpful to NMs who are faced with addressing disruptive nurse-to-nurse
relationships as they endeavor to create and sustain healthy work environments.
PMID- 27893498
TI - Wired to the Workplace: The Relationship Between Electronic Connectedness to Work
and Nurse Manager Satisfaction.
AB - OBJECTIVE: The aim of this study is to describe the beliefs and behaviors of
nurse leaders regarding electronic connectedness with their workplace and
workplace support. BACKGROUND: Electronic communication enables leaders'
continuous availability to the workplace. This may blur home-work boundaries and
contribute to burnout. METHODS: This mixed-methods study surveyed nurses in 6
acute care hospitals in north Texas. A qualitative phase employed focus groups
composed of nurses from participating hospitals to validate and enrich data
collected in the quantitative phase. RESULTS: Data showed that leader support
directly influenced work-related electronic communication by influencing
expectations regarding connectedness. Furthermore, leaders who frequently thought
of leaving employment reported significantly lower levels of supervisor support
and stronger beliefs that work interfered with home life than other respondents
did. Focus group data supported survey findings. CONCLUSIONS: Electronic
availability of nurse leaders did not directly affect satisfaction, but
supervisor support and perception that work interferes with home life strongly
and directly affected satisfaction.
PMID- 27893499
TI - The Role of the Nurse Executive in Rural Hospital Closure.
AB - Between 2010 and June 2016, 75 rural hospitals closed, and more than 250 more are
at risk of closure. Nurse executives need to be prepared for this eventuality.
There is a need for formal direction on how to close a highly regulated
healthcare facility.
PMID- 27893501
TI - Foreword.
PMID- 27893500
TI - The Effect of Reported Sleep, Perceived Fatigue, and Sleepiness on Cognitive
Performance in a Sample of Emergency Nurses.
AB - OBJECTIVE: The aim of this study is to explore the relationship between reported
sleep, perceived fatigue and sleepiness, and cognitive performance. BACKGROUND:
Although evidence suggests that fatigue and sleepiness affect the provision of
care in inpatient units, there is a lack of research on the sleep patterns of
emergency nurses and the effects of disturbed sleep and fatigue on their
cognitive abilities and susceptibility to medical errors. METHODS: A quantitative
correlational design was used in this study; in each of 7 different statistical
models, zero-order relationships between predictors and the dependent variable
were examined with appropriate inferential tests. RESULTS: Participants reported
high levels of sleepiness and chronic fatigue that impeded full functioning both
at work and at home. CONCLUSIONS: Although high levels of self-reported fatigue
did not show any effects on cognitive function, other factors in the environment
may contribute to delayed, missed, or inappropriate care. Further research is
indicated.
PMID- 27893502
TI - Implementing a 4C Approach to Quality Improvement.
AB - Designing a quality improvement activity can be labor intensive and intimidating
to facilitators who have not been specially trained in process improvement
methodologies. To simplify the process, a team was formed with the specific task
of developing a basic model that addresses key concepts in change theory. The
2010 Institute of Medicine report stresses the importance of health care
professionals working together, collaboratively, to use data to improve patient
outcomes and improve care nationally. In addition, as noted in Quality and Safety
Education for Nurses (2013), competencies have been developed to promote
frontline problem solving including using data for quality improvement, providing
safe care, functioning in collaborative teams, respecting and addressing patient
and family concerns, applying evidence-based practice, and using informatics to
make decisions. The team completed a search of the literature on change theory to
identify the factors that are imperative to address when initiating a process
improvement cycle. Kotter's Model of Change provided direction and guidance on
the creation of the 4C model, which involves centering on issue, collaborating
with team members, using the change process, and celebrating successes. This
model has been implemented in a multihospital system as a framework for process
improvement with such initiatives as preventing hospital-acquired infections,
implementing patient safety initiatives, and addressing human resource concerns
such as turnover and patient satisfaction.
PMID- 27893503
TI - Impacting Care and Treatment of the Burn Patient Conversion to Electronic
Documentation.
AB - Improving patient care through enhanced electronic communication among health
care providers is aimed at reducing the number of medication and medical errors.
The American Reinvestment and Recovery Act (ARRA) was signed into law in 2009,
supporting the federal government's commitment to the improvement of health care
quality, safety, and efficiency through requirements to implement an electronic
health record by October 2015 or hospitals and eligible providers potentially
realizing penalties or reduced reimbursement rates. In addition to ARRA, Congress
presented another initiative to further advance the delivery of high-quality
health care, the Health Information Technology for Economic and Clinical Health
Act (HITECH), leading to the authorization of $27 billion to encourage health
care providers to achieve meaningful use of the electronic health record.
However, the conversion of the paper medical records to an electronic version has
been challenging, particularly in specialty departments. The burn unit of a
tertiary hospital located in the Pittsburgh area experienced such challenges. A
project plan, developed in 2009 prior to the electronic medical record going
live, involved a multidisciplinary team, consisting of providers, nurses, and
information system builders who came together to determine how to capture the
totality of the burn unit documentation. The goal of the project was to develop
an electronic documentation tool and provide a system to accurately and
efficiently evaluate documentation compliance with the staff. The Lund Browder
documentation tool, used with the paper medical record, was the selected tool for
the electronic conversion. This tool has been regarded by most health care
organizations as being the most accurate in measuring the extent and degree of
the burn. With the paper documentation tool, the staff was, on average, 74%
compliant with the Lund Browder tool. The electronic version and availability of
the tool increased compliance to 100% in the fourth quarter of 2015.
PMID- 27893504
TI - Accurate Identification of Infection Source in Burn Trauma Patients With Central
Line Infection to Determine Appropriate Treatment Option As Well As Proper Public
Reporting.
AB - With the advent of the Patient Safety Movement in the late 1990s and the CMS
(Centers for Medicare & Medicaid Services) nonreimbursement program for never
events, there has been much focus on the prevention and accurate identification
of health care-associated infections such as central line-associated bloodstream
infections (CLABSIs). There has certainly been a national effort to decrease the
occurrence of these infections. With the implementation of patient safety
initiatives such as the central line prevention bundle, there has been a
considerable reduction in the number of CLABSIs except for patients with burn
trauma. Because of the compromised nature of these patients, the number of
CLABSIs has not decreased similarly to other types of patients. In addition,
these patients may have a secondary infection that was not accurately or timely
identified. With CLABSIs, proper identification of primary and secondary
infections is very important, particularly when identifying treatment options and
ensuring accurate public reporting of health care-associated infection
information.
PMID- 27893505
TI - Rib Fracture Protocol Advancing the Care of the Elderly Patient.
AB - This article discusses unique factors associated with rib fractures in the
elderly patient population and explains the process used in one facility to
develop a revised protocol for the management of elderly patients with a rib
fracture. The goals were to eliminate gaps in early trauma care management and
employ a care routine that would improve outcomes for this vulnerable group of
patients with fracture.
PMID- 27893507
TI - Case Study: Obstetrical Trauma With Maternal Death and Fetal Survival.
AB - Trauma during pregnancy is the leading cause of non-obstetric-related maternal
and fetal death. This article summarizes obstetric physiology and outlines the
assessment and treatment of traumatic injuries of the pregnant patient. A case is
presented in which a pregnant woman with blunt abdominal trauma was managed
efficiently in a trauma center within a hospital that does not have in-house
obstetric services.
PMID- 27893506
TI - The Hospital Course of a Successfully Treated Patient with Respiratory Failure:
Beginning to End!
AB - The successful treatment of a patient with acute respiratory failure is a complex
undertaking that requires clinical competence, evidence-based interventions,
seamless coordination of care transitions, and transparent open communication
among all members of the health care team. Many of the processes of care in these
critically ill patients are reassuringly consistent across services, across
hospitals, across health systems, and even across the country. Although the
clinical course of such complicated patients can be extremely unpredictable, we
are fortunate that the professional, technical, and psychosocial aspects of care
for these patients can be relatively orderly, evidence-based, and transparent.
PMID- 27893509
TI - Educating Providers in Return-to-Play Suggested Guidelines Postconcussion.
AB - As the awareness of concussions increases, it is imperative to be able to
evaluate, diagnose, and treat concussed individuals properly to prevent further
complications or death. The primary purpose of this study was to compare a
provider's current awareness and comfort level as it relates to the return-to
play guidelines for concussions. A secondary aim was to evaluate current
protocols that are in use and determine whether they coincide with the suggested
guidelines. An educational intervention was implemented to assess the knowledge
and confidence of health care providers. The study design was a quantitative,
convenient sample, pretest/posttest questionnaire. The questionnaire was
administered to participants who were nurse practitioners prior to an educational
PowerPoint presentation. At 8 weeks, the posttest was administered. Approximately
19% of individuals were not aware of a graded return-to-play protocols. The
findings suggest that the educational intervention increased their confidence
levels in making a diagnosis of a concussion, in assessing danger signs, and in
understanding when to refer to a specialist. Additional supporting evidence from
this study indicates that the educational intervention allowed the participants
to achieve a greater comfort level in finding appropriate resources for them and
their patients.
PMID- 27893508
TI - Best Practices for Pressure Ulcer Prevention in the Burn Center.
AB - The State of Pennsylvania Hospital Engagement Network, in collaboration with a
hospital system in Southwestern Pennsylvania, established a goal of reducing
hospital-acquired pressure ulcers by 20%. A 6-month unfavorable trend of nurse
sensitive clinical indicators called for immediate process improvement. A
retrospective chart review resulted in identification of predominant risk factors
placing the burn patient at high risk for pressure ulcer formation.
Implementations of pressure ulcer prevention measures were inconsistent. Nurses
demonstrated varied levels of knowledge about products used for prevention. It
became imperative to examine processes within the unit and provide nursing with
education, access to skin care supplies, and advanced skin/wound care products
for maintaining skin integrity. Creation of evidence-based guidelines was
necessary to improve patient outcomes. A collaborative team approach influenced
nursing and physician awareness of pressure ulcer risk. Evidence-based prevention
guidelines were developed, and consistency in early intervention was achieved,
supporting our culture of safety. A change in interprofessional collaborative
practice and positive trend in pressure ulcer incidence data supports the success
of our program.
PMID- 27893510
TI - Preparing Critical Care and Medical-Surgical Nurses to Open a New Hospital.
AB - Building a new hospital is an exciting time. However significant planning is
required to prepare staff to assume care of patients in an environment with new
workflow changes and new equipment. The challenges of this advanced preparation
are compounded when the opening of the new hospital includes the planned move of
the inpatient census of patients from an existing hospital to the new hospital.
Goals and objectives on the move day include patient and staff safety, with a
seamless transition to the new environment. This article describes the
experiences and strategies used by an adult inpatient department to successfully
open a new hospital.
PMID- 27893512
TI - Flap Designs for Flap Advancement During Implant Therapy: A Systematic Review.
AB - PURPOSE: Guided bone regeneration (GBR) procedures allow ridge augmentation
before or at time of implant placement. GBR outcomes rely on primary passive
tension-free wound closure, which may be achieved by a variety of flap designs
and surgical procedures. A comprehensive literature review of flap design and
management is provided, including material types, incision design, reflection,
releasing, and suturing techniques. MATERIALS AND METHODS: Two reviewers
completed a literature search using the PubMed database and a manual search of
relevant journals. Relevant articles from January 1990 to September 2015
published in the English language were considered. RESULTS: A variety of flap
designs aim to achieve primary passive closure during GBR were introduced. To
facilitate case selection and treatment planning, flap designs have been
categorized based on their ability to achieve minor (<3 mm), moderate (3-6 mm),
and major (>=7 mm) degrees of flap advancement. CONCLUSIONS: Techniques such as
vertical releasing incisions, periosteal releasing incisions, and split-thickness
flaps may be used alone or combined to achieve passivity during GBR. GBR
complications may be prevented by imaging and preoperative planning and careful
surgical technique especially flap advancement.
PMID- 27893511
TI - Continuous Infusion Antiepileptic Medications for Refractory Status Epilepticus:
A Review for Nurses.
AB - Status epilepticus requires treatment with emergent initial therapy with a
benzodiazepine and urgent control therapy with an additional antiepileptic drug
(AED) to terminate clinical and/or electrographic seizure activity. However,
nearly one-third of patients will prove refractory to the aforementioned
therapies and are prone to a higher degree of neuronal injury, resistance to
pharmacotherapy, and death. Current guidelines for refractory status epilepticus
(RSE) recommend initiating a continuous intravenous (CIV) anesthetic over bolus
dosing with a different AED. Continuous intravenous agents most commonly used for
this indication include midazolam, propofol, and pentobarbital, but ketamine is
an alternative option. Comparative studies illustrating the optimal agent are
lacking, and selection is often based on adverse effect profiles and patient
specific factors. In addition, dosing and titration are largely based on small
studies and expert opinion with continuous electroencephalogram monitoring used
to guide intensity and duration of treatment. Nonetheless, the doses required to
halt seizure activity are likely to produce profound adverse effects that
clinicians should anticipate and combat. The purpose of this review was to
summarize the available RSE literature focusing on CIV midazolam, pentobarbital,
propofol, and ketamine, and to serve as a primer for nurses providing care to
these patients.
PMID- 27893513
TI - Schneiderian Membrane Perforation Rate and Increase in Bone Temperature During
Maxillary Sinus Floor Elevation by Means of Er: YAG Laser-An Animal Study in
Pigs.
AB - PURPOSE: To assess the time of preparation, bone temperature increase, and the
Schneiderian membrane perforation rate during maxillary sinus floor elevation.
MATERIALS AND METHODS: The research included 30 maxillary sinuses (n = 30) of a
pig, divided into 2 groups (n = 15). The lateral bony windows were created using
Er:YAG laser (200 mJ, 15 Hz, energy density: 25.48 J/cm) and a diamond bur
(control). The membrane was elevated using laser (50 mJ, 50 Hz) and hand
instruments. The bone temperature was measured by K-type thermocouple. RESULTS:
Significantly lower rates of the Schneiderian membrane perforation were found in
the laser group (6.67%) compared with the bur (33%) (P < 0.05). The significant
higher increase in temperature (mean 7.6 degrees C) was found in the experimental
group as compared with the control group (mean 2 degrees C) (P = 0.0000033). The
average time necessary for the laser bony window osteotomy was 10 minutes and 37
seconds, whereas using the bur required middling 5 minutes and 50 seconds (P =
0.000283). CONCLUSION: The application of Er:YAG laser may significantly reduce
the risk of iatrogenic perforation of the Schneiderian membrane and does not
cause an irreversible thermal damage in a pig model.
PMID- 27893514
TI - Improved Bone Regeneration With Multiporous PLGA Scaffold and BMP-2-Transduced
Human Adipose-Derived Stem Cells by Cell-Permeable Peptide.
AB - OBJECTIVE: Currently, much work has focused on the engineering of bone using
adipose-derived stem cells (ADSCs), which differentiate into osteogenic cells.
This study was conducted to assess the bone-regenerating capacity of ADSCs with
genetic modification. MATERIALS AND METHODS: ADSCs were cultured and transduced
with recombinant adenovirus-expressing bone morphogenetic protein-2 (rAd/BMP-2).
Two 5-mm full-thickness bone defects were created on the parietal bones of 24
rats. The defects were left empty (n = 12), restored with a scaffold alone (n =
12), transplanted with ADSCs in osteogenic media (n = 12), or transplanted with
rAd/BMP-2-transduced ADSCs (n = 12). Six defects from each group were assessed by
histologic observation, histomorphometric analysis, and microcomputed tomography
(micro-CT) imaging at 4 and 8 weeks after transplantation. RESULTS: Increased new
bone formation was observed in the rAd/BMP-2-transduced ADSC groups, compared
with the other groups. On micro-CT, significant differences were noted in bone
volume-to-tissue volume ratios between rAd/BMP-2-transduced ADSCs group and the
other groups at both time points (P < 0.05). CONCLUSION: The result demonstrates
that transferring BMP-2 promotes the osteogenic differentiation of ADSCs and
enhances bone regeneration. Under limitation of this study, genetic modification
of ADSCs with BMP-2 could be adopted in clinical application.
PMID- 27893516
TI - Caregiver Expectations and Satisfaction of Urgent Care in a Pediatric Emergency
Department.
AB - Health care delivery expectations that may affect patient and caregiver
satisfaction are not clearly understood. This study examined caregiver
expectations and satisfaction with urgent care in a pediatric emergency
department. Of 201 caregivers surveyed, we found that caregivers have specific
expectations regarding clinical care of their child in terms of radiographic
imaging, blood testing, antibiotics, pain management, and subspecialty
consultation. Caregivers were generally less dissatisfied with the actual care
provided than the urgent care physicians expected.
PMID- 27893515
TI - Use of Federally Qualified Health Centers and Potentially Preventable Hospital
Utilization Among Older Medicare-Medicaid Enrollees.
AB - Using Medicare claims data from 2007 to 2010, we sought to determine whether dual
eligibles 65 years and older who utilize federally qualified health centers
(FQHCs) have lower rates of ambulatory care-sensitive hospitalizations and
emergency department visits compared with nonusers. We found that FQHC use is
associated with increased ambulatory care-sensitive hospitalization rates for
whites and other races, but a decrease among blacks. Depending on race, FQHC use
is associated with an increase of 24 to 43 ambulatory care-sensitive emergency
department visits per thousand persons annually. More research is needed to
understand why FQHC use is associated with these outcomes among dual eligibles.
PMID- 27893517
TI - Ready for Change? The Role of Physician and Staff Engagement, Burnout, and
Workplace Attributes.
AB - We examined factors associated with change readiness among 343 primary care
physicians and 590 nonphysician staff undergoing "Lean"-based process
improvements. Baseline levels of engagement were associated with greater
readiness for change across all measured domains. Job-related burnout correlated
with greater need for change, but lower self-efficacy and perceived support,
whereas a personal sense of accomplishment was associated with higher efficacy to
implement changes. At a department level, teamwork, participation in decision
making, and change history were associated with higher engagement and lower
burnout among physicians and staff; conversely, a busy or stressful department
correlated with lower engagement and higher burnout.
PMID- 27893518
TI - Patient-Centered Medical Home Implementation and Burnout Among VA Primary Care
Employees.
AB - Burnout is widespread throughout primary care and is associated with negative
consequences for providers and patients. The relationship between the patient
centered medical home model and burnout remains unclear. Using survey data from
8135 and 7510 VA primary care employees in 2012 and 2013, respectively, we
assessed whether clinic-level medical home implementation was independently
associated with burnout prevalence and estimated whether burnout changed among
this workforce from 2012 to 2013. Adjusting for differences in respondent and
clinic characteristics, we found that burnout was common among primary care
employees, increased by 3.9% from 2012 to 2013, and was not associated with the
extent of medical home implementation.
PMID- 27893519
TI - Effects of Hospital Systems on Medical Home Transformation in Primary Care
Residency Training Practices.
AB - Most primary care residency training practices have close financial and
administrative relationships with teaching hospitals and health systems. Many
residency practices have begun integrating the core principles of the patient
centered medical home (PCMH) into clinical workflows and educational experiences.
Little is known about how the relationships with hospitals and health systems
affect these transformation efforts. Data from the Colorado Residency PCMH
Project were analyzed. Results show that teaching hospitals and health systems
have significant opportunities to influence residency practices' transformation,
particularly in the areas of supporting team-based care, value-based payment
reforms, and health information technology.
PMID- 27893520
TI - Impact of a Patient-Centered Medical Home Pilot on Utilization, Quality, and
Costs and Variation in Medical Homeness.
AB - This study evaluated the impact of a patient-centered medical home (PCMH) pilot
on utilization, costs, and quality and assessed variation in PCMH components.
Data included the New Hampshire Comprehensive Healthcare Information System and
Medical Home Index (MHI) scores for 9 pilot sites. A quasi-experimental,
difference-in-difference model with propensity score-matched comparison group was
employed. MHI scores were collected in late 2011. There were no statistically
significant findings for utilization, cost, or quality in the expected direction.
MHI scores suggest variation in type and level of implemented features.
Understanding site-specific PCMH components and targeted change enacted by PCMHs
is critical for future evaluation.
PMID- 27893524
TI - Visual Outcomes After SMILE, LASEK, and LASEK Combined With Corneal Collagen
Cross-Linking for High Myopic Correction.
AB - PURPOSE: To compare the visual and refractive outcomes of small-incision
lenticule extraction (SMILE), laser-assisted subepithelial keratomileusis
(LASEK), and LASEK combined with corneal collagen cross-linking (LASEK-CXL)
surgery for high-degree myopia. METHODS: Medical records of patients with
spherical equivalent (SE) greater than -6.00 diopters (D) treated with SMILE,
LASEK, and LASEK-CXL were reviewed. Uncorrected distance visual acuity (UCVA),
SE, and corneal haze were followed up in the 3 groups for 6 months. RESULTS: The
SMILE group included 69 eyes, the LASEK group included 61 eyes, and the LASEK-CXL
group included 40 eyes. At 6 months postoperatively, there were no statistically
significant differences in UCVA between the SMILE, LASEK, and LASEK-CXL groups
(logMAR 0.00 +/- 0.00, 0.01 +/- 0.08, and 0.01 +/- 0.08, respectively, P = 0.69).
The averages of the absolute value of SE were 0.34 +/- 0.25 D, 0.50 +/- 0.36 D,
and 0.42 +/- 0.34 D in the SMILE, LASEK, and LASEK-CXL groups, respectively (P =
0.04). The percentages of the patients with a postoperative residual refractive
error within +/-0.50 D were 84% in the SMILE group, 65% in the LASEK group, and
76% in the LASEK-CXL group. The percentages of the patients with greater than
20/25 postoperative UCVA were 100%, 91%, and 95%, respectively. SMILE produced no
postoperative corneal haze. However, 18% of patients treated with LASEK and 25%
of those treated with LASEK-CXL had corneal haze at 6 months postoperatively.
CONCLUSIONS: SMILE, LASEK, and LASEK-CXL surgery appear to be safe and effective
for high-degree myopic correction. However, the SMILE group had no haze and fewer
induction of some higher-order aberrations compared with the LASEK and LASEK-CXL
groups.
PMID- 27893526
TI - Effect of oxygen therapy on myocardial salvage in ST elevation myocardial
infarction: the randomized SOCCER trial.
AB - OBJECTIVE: Recent studies suggest that administration of O2 in patients with
acute myocardial infarction may have negative effects. With the use of cardiac
MRI (CMR), we evaluated the effects of supplemental O2 in patients with ST
elevation myocardial infarction (STEMI) accepted for acute percutaneous coronary
intervention (PCI). MATERIALS AND METHODS: This study was a randomized-controlled
trial conducted at two university hospitals in Sweden. Normoxic STEMI patients
were randomized in the ambulance to either supplemental O2 (10 l/min) or room air
until the conclusion of the PCI. CMR was performed 2-6 days after the inclusion.
The primary endpoint was the myocardial salvage index assessed by CMR. The
secondary endpoints included infarct size and myocardium at risk. RESULTS: At
inclusion, the O2 (n=46) and air (n=49) patient groups had similar patient
characteristics. There were no significant differences in myocardial salvage
index [53.9+/-25.1 vs. 49.3+/-24.0%; 95% confidence interval (CI): -5.4 to 14.6],
myocardium at risk (31.9+/-10.0% of the left ventricle in the O2 group vs. 30.0+/
11.8% in the air group; 95% CI: -2.6 to 6.3), or infarct size (15.6+/-10.4% of
the left ventricle vs. 16.0+/-11.0%; 95% CI: -4.7 to 4.1). CONCLUSION: In STEMI
patients undergoing acute PCI, we found no effect of high-flow oxygen compared
with room air on the size of ischemia before PCI, myocardial salvage, or the
resulting infarct size. These results support the safety of withholding
supplemental oxygen in normoxic STEMI patients.
PMID- 27893525
TI - Outcomes of Descemet Membrane Endothelial Keratoplasty in Patients With Previous
Glaucoma Surgery.
AB - PURPOSE: To evaluate outcomes of Descemet membrane endothelial keratoplasty
(DMEK) in eyes with previous trabeculectomy or a drainage device. METHODS: This
is a retrospective study of 108 consecutive DMEK performed between October 2013
and December 2015. All eyes were divided into 3 groups: surgical treatment (ST)
group, medical treatment (MT) group, and control group. Visual improvement,
endothelial cell loss, and postoperative complications, including rejection,
graft failure, and intraocular pressure elevation (>=25 mm Hg) were evaluated.
RESULTS: The length of follow-up was 9.7 +/- 7.3 months. Best-corrected visual
acuity (BCVA) improved postoperatively in 85.3% of the ST group, 100% of the MT
group, and 93% of the control (P = 0.24). Significantly more lines of BCVA were
gained in the ST and MT groups (8.1 +/- 8.1 and 9.2 +/- 6.3 lines, respectively)
than in the control (4.8 +/- 5.6 lines, P < 0.05). The mean time to BCVA was 2.9
+/- 2.8 months for the ST group, 4.7 +/- 5.3 months for the MT group, and 3.0 +/-
3.3 months for the control (P = 0.75). Endothelial cell loss was greater in the
ST group (44.6 +/- 17.8%) than in the MT group (29.9 +/- 12.0%) and the control
group (32.7 +/- 11.3%, P = 0.001). There was one primary failure and no secondary
graft failures. The overall rejection rate was 0.9%. Postoperative intraocular
pressure elevation was less common in the ST group (14.7%) and control (23.3%)
than in the MT group (50.0%, P = 0.04). There was no difference in the air
injection rate among all groups (P = 1.0). CONCLUSIONS: DMEK in eyes with
previous trabeculectomy and drainage device can result in very good short-term
outcomes.
PMID- 27893527
TI - Editorial.
PMID- 27893529
TI - The Role of Theory-Specific Techniques and Therapeutic Alliance in Promoting
Positive Outcomes: Integrative Psychotherapy for World Trade Center Responders.
AB - World Trade Center responders demonstrate high symptom burden, underscoring the
importance of refining treatment approaches for this cohort. One method is
examining the impact of therapy techniques on outcomes, and the interactions
between technique and alliance on outcomes. This study a) examined the
interaction of early treatment techniques on integrative psychotherapy outcomes
and b) explored whether associations differed at varying levels of alliance.
Twenty-nine adult responders diagnosed with partial or full posttraumatic stress
disorder received outpatient psychotherapy and completed weekly measures of
alliance, technique, and symptom distress. Analyses indicated significant
interactions between 1) alliance and psychodynamic interventions on outcomes and
2) alliance and cognitive behavioral (CB) interventions on outcomes. Clients with
high alliance had better outcomes when their therapist used fewer CB techniques.
No meaningful differences were found between technique and outcomes for clients
with lower alliance. These findings reiterate the critical roles technique and
responsiveness to the alliance play in engendering successful outcomes.
PMID- 27893528
TI - Management of Older Inpatients Who Refuse Nonpsychiatric Medication Within
Birmingham and Solihull Mental Health NHS Foundation Trust: Audit.
AB - The effects of poor medication compliance are well documented and include
increased morbidity, early mortality, and financial costs to the society.
According to national guidelines, when a competent patient refuses medication,
the doctor on duty has a responsibility to ensure the patient understands their
proposed course of action. The aims of this audit were to evaluate whether this
consultation was taking place within older in-patient units across Birmingham and
Solihull Mental Health NHS Foundation Trust when patients refuse nonpsychiatric
medicines. Poor compliance was defined as more than five refusals of a
nonpsychiatric medication over a 4-week period. A discussion with the duty doctor
occurred in 75% of cases (27/36), which resulted in a change in prescription or
compliance in 59% (16/27 patients). After patient refusal of medication, a
consultation with the duty doctor is likely to improve compliance and uncover
salient issues particularly in regards to capacity and drug suitability.
PMID- 27893533
TI - Half the Truth is Often a Great Lie: Over a Hundred Years of Controversy on
Pancreatic Fistula Between Pancreaticogastrostomy and Pancreaticojejunostomy
After Pancreaticoduodenectomy.
PMID- 27893532
TI - The Effect of Hospital Volume on Breast Cancer Mortality.
AB - OBJECTIVE: The aim of this study was to determine whether hospital volume was
associated with mortality in breast cancer, and what thresholds of case volume
impacted survival. BACKGROUND: Prior literature has demonstrated improved
survival with treatment at high volume centers among less common cancers
requiring technically complex surgery. METHODS: All adults (18 to 90 years) with
stages 0-III unilateral breast cancer diagnosed from 2004 to 2012 were identified
from the American College of Surgeons National Cancer Data Base (NCDB). A
multivariable Cox proportional hazards model with restricted cubic splines was
used to examine the association of annual hospital volume and overall survival,
after adjusting for measured covariates. Intergroup comparisons of patient and
treatment characteristics were conducted with X and analysis of variance (ANOVA).
The log-rank test was used to test survival differences between groups. A
multivariable Cox proportional hazards model was used to estimate hazard ratios
(HRs) associated with each volume group. RESULTS: One million sixty-four thousand
two hundred and fifty-one patients met inclusion criteria. The median age of the
sample was 60 (interquartile range 50 to 70). Hospitals were categorized into 3
groups using restricted cubic spline analysis: low-volume (<148 cases/year),
moderate-volume (148 to 298 cases/year), and high-volume (>298 cases/year).
Treatment at high volume centers was associated with an 11% reduction in overall
mortality for all patients (HR 0.89); those with stage 0-I, ER+/PR+ or ER+/PR-
breast cancers derived the greatest benefit. CONCLUSIONS: Treatment at high
volume centers is associated with improved survival for breast cancer patients
regardless of stage. High case volume could serve as a proxy for the
institutional infrastructure required to deliver complex multidisciplinary breast
cancer treatment.
PMID- 27893534
TI - Clinical Predictors of Positive Postoperative Blood Cultures.
AB - OBJECTIVE: To define clinical features of surgical patients in whom postoperative
blood cultures are likely to identify pathogens. BACKGROUND: Bacteremia is a
worrisome postoperative complication and blood cultures (BCx) are routinely used
for evaluation of postoperative bacteremia, but are costly and not always
diagnostic. Better methods are needed to select patients in whom BCx identify
pathogens. METHODS: We reviewed records of patients >=18 years old with BCx drawn
<=10 days after surgery in 2013 seeking independent predictors of positive
cultures by simple and multiple logistic regression models with statistical
significance at alpha = 0.05. RESULTS: Of 1804 BCx, excluding contaminants
yielded 1780 cultures among 746 patients for analysis. The yield was low, with
only 4% identifying potential pathogens. Positive BCx were most common after
cardiac, ear/nose/throat, obstetric, and urologic procedures [odds ratio (OR)
=10.3, P < 0.001 vs low-yield procedures: eg, gynecologic, neurosurgical, plastic
surgical, podiatric, transplant]. Cultures more often grew pathogens when drawn
in association with higher peak temperature (Tmax, P = 0.001) and longer interval
from procedure to Tmax (P = 0.001). Antibiotic therapy at time of culture reduced
yield (2.9% with vs 5.5% without antibiotics, P = 0.007). Multivariable logistic
regression analysis found antibiotics at culture, procedure specialty, Tmax, and
postoperative timing of Tmax were associated with blood culture results.
CONCLUSIONS: Ordering blood cultures based on fever or another single predictor
inconsistently identifies pathogens. Our dataset, the largest available, identify
clinical predictors in the first 10 postoperative days to guide identification of
patients with bacteremia.
PMID- 27893536
TI - Repair of a Composite Cheek and Nose Defect.
PMID- 27893535
TI - Cytoplasmic HuR Status Predicts Disease-free Survival in Resected Pancreatic
Cancer: A Post-hoc Analysis From the International Phase III ESPAC-3 Clinical
Trial.
AB - OBJECTIVES: We tested cytoplasmic HuR (cHuR) as a predictive marker for response
to chemotherapy by examining tumor samples from the international European Study
Group of Pancreatic Cancer-3 trial, in which patients with resected pancreatic
ductal adenocarcinoma (PDA) received either gemcitabine (GEM) or 5-fluorouracil
(5-FU) adjuvant monotherapy. BACKGROUND: Previous studies have implicated the
mRNA-binding protein, HuR (ELAVL1), as a predictive marker for PDA treatment
response in the adjuvant setting. These studies were, however, based on small
cohorts of patients outside of a clinical trial, or a clinical trial in which
patients received multimodality therapy with concomitant radiation. METHODS:
Tissue samples from 379 patients with PDA enrolled in the European Study Group of
Pancreatic Cancer-3 trial were immunolabeled with an anti-HuR antibody and scored
for cHuR expression. Patients were dichotomized into groups of high versus low
cHuR expression. RESULTS: There was no association between cHuR expression and
prognosis in the overall cohort [disease-free survival (DFS), P = 0.44; overall
survival, P = 0.41). Median DFS for patients with high cHuR was significantly
greater for patients treated with 5-FU compared to GEM [20.1 months, confidence
interval (CI): 8.3-36.4 vs 10.9 months, CI: 7.5-14.2; P = 0.04]. Median DFS was
similar between the treatment arms in patients with low cHuR (5-FU, 12.8 months,
CI: 10.6-14.6 vs GEM, 12.9 months, CI: 11.2-15.4). CONCLUSIONS: Patients with
high cHuR-expressing tumors may benefit from 5-FU-based adjuvant therapy as
compared to GEM, whereas those patients with low cHuR appear to have no survival
advantage with GEM compared with 5-FU. Further studies are needed to validate HuR
as a biomarker in both future monotherapy and multiagent regimens.
PMID- 27893537
TI - A Novel Method of Processing Single Sections Too Large to Fit on One Glass Slide
in Mohs Micrographic Surgery.
PMID- 27893538
TI - Blood Aspiration Test for Cosmetic Fillers to Prevent Accidental Intravascular
Injection in the Face.
PMID- 27893539
TI - Comparative Efficacy of Radiofrequency and Pulsed Dye Laser in the Treatment of
Rosacea.
AB - BACKGROUND: Laser and light-based therapies have been used successfully in the
treatment of rosacea; however, evidence is lacking regarding the efficacy of
radiofrequency (RF). OBJECTIVE: This study evaluated the efficacy of RF in the
treatment of rosacea compared with pulsed dye laser (PDL). METHODS: Thirty
patients with rosacea (erythematotelangiectatic rosacea [ETR], n = 20;
papulopustular rosacea [PPR], n = 10) were enrolled in a randomized, controlled,
split-face study. The patients were treated with RF on one side and PDL on the
other side. Each treatment consisted of 3 sessions at 4-week intervals and
followed up until 4 weeks after the last treatment. Efficacy was assessed by
rosacea severity score, erythema index, lesion counts, physician's subjective
evaluation, and patient's satisfaction. RESULTS: Radiofrequency and PDL resulted
in significant improvement in severity scores and erythema and 70% of the
patients receiving RF treatment showed a clinical improvement of >50%. No
significant difference was noted between RF and PDL treatment in ETR. However, RF
treatment led to a significantly greater decrease in papulopustular lesion count
and rosacea severity score in PPR compared with PDL treatment. CONCLUSION: RF
therapy was effective in the treatment of rosacea. It should be considered an
alternative therapeutic option, especially in PPR.
PMID- 27893540
TI - Eight Years' Experience of Sentinel Lymph Node Biopsy in Melanoma Using
Lymphoscintigraphy and Gamma Probe Detection After Radiocolloid Mapping.
AB - BACKGROUND: Isosulfan blue dye peripheral injection is used in preoperative
sentinel lymph node (SLN) identification alone or, to increase sensitivity, in
conjunction with radiocolloid mapping. However, isosulfan blue dye has certain
drawbacks and limitations. OBJECTIVE: This study assesses the authors' experience
of SLN biopsy using only radiocolloid tracer. MATERIALS AND METHODS: Between 2000
and 2008, 218 patients underwent SLN biopsy with radiocolloid mapping,
preoperative localization by lymphoscintigraphy and intraoperative confirmation
by gamma probe in primary malignant cutaneous melanoma. RESULTS: Mean Breslow
index was 2.1 mm. The SLN biopsy success rate was above 98% at all sites and 87%
in head and neck locations. The 5-year overall survival rate was 90% and that of
5-year disease-free survival was 80%. False-negative rate, with a mean follow-up
time of 41 months, was 5.5%. CONCLUSION: Sentinel lymph node biopsy can be
successfully performed in patients with melanoma using only radiocolloid tracer
without blue dye staining. In circumstances where blue dye cannot be used such as
head and neck tumors, allergic reactions and pregnancy, radiocolloid tracer
mapping alone is not a loss of chance for patients with melanoma.
PMID- 27893541
TI - Treatment of Palmar Hyperhidrosis With Needle Injection Versus Low-Pressure
Needle-Free Jet Injection of OnabotulinumtoxinA: An Open-Label Prospective Study.
AB - BACKGROUND: OnabotulinumtoxinA (OnabotA) injections are effective to treat palmar
hyperhidrosis (HH) but are quite painful. OBJECTIVE: To evaluate efficacy and
pain of OnabotA injection using a needle-free jet apparatus compared with the
traditional needle injection to treat palmar HH. METHODS: Twenty patients were
recruited for a prospective open-label study. Their right hand was injected with
1% lidocaine with a jet injector, after which OnabotA was injected with a needle.
The left hand was injected with OnabotA directly using the jet injector. Pain
scores were recorded for both techniques. At 0, 1, 3, and 6 months, severity of
palmar HH was evaluated with the Hyperhidrosis Disease Severity Scale (HDSS).
RESULTS: One point reduction in the HDSS score at 1 month showed no statistical
difference between both hands (p = .451). However, the HDSS score at 1 month from
baseline dropped by 1.6 for the hand treated with traditional needle injection of
OnabotA compared with 1.25 for the hand treated with jet injections (p = .031).
There was no statistical difference in the pain on injection with both techniques
(p = .1925). CONCLUSION: This study demonstrates effective and relatively
painless use of a low-pressure jet injector for OnabotA in palmar HH.
PMID- 27893542
TI - Safety and Suitability of Finger Replantations as a Residency Training Procedure:
A Retrospective Cohort Study With Analysis of the Initial Postoperative Outcomes.
AB - Finger replantations demand technical excellence in microsurgery and hand trauma
care. The objective of this study was to determine whether finger replantations
constitute an appropriate and safe procedure for residency training.
Additionally, the prognostic risk factors for the need to take a replanted finger
back to the operation room and replant failure were analyzed.All patients who
underwent finger replantation after complete amputation between 2007 and 2015
were included in a retrospective comparative study. These patients were either
treated by an attending plastic surgeon (cohort 1) or by a postgraduate year 5 or
6 resident under supervision (cohort 2). Logistic regression analysis was used to
identify the prognostic risk factors for emergent take backs and replant
failures.A total of 109 completely amputated fingers were replanted in 89
patients. Fifty-seven digits were replanted in cohort 1, and 52 digits were
replanted in cohort 2. Patient demographic data revealed an equal distribution
between the two cohorts with an overall finger-replantation success rate of
67.0%. The prognostic risk factors related to increased take back and replant
failure rates were fewer than two venous anastomoses (take back odds ratio [AOR],
0.27; confidence interval (CI), 0.12-0.63; and replant failure AOR, 0.21; 95% CI,
0.08-0.55) and intraoperative noticeable problems regarding the vascular
anastomoses (take back AOR, 2.26; 95% CI, 0.96-5.33 and replant failure AOR,
2.45; 95% CI, 1.00-6.00). The type of surgeon did not exhibit an influence on the
risk of take back (OR, 1.14; 95% CI, 0.53-2.41) or replant failure (OR, 1.03; 95%
CI, 0.46-2.30). Similarly, after adjusting for all risk factors, the risks for
take backs (AOR, 1.04; 95% CI, 0.46-2.36) and replant failures (AOR, 0.91; 95%
CI, 0.38-2.19) did not differ between the 2 cohorts.Finger replantations can be
applied as a safe procedure in residency training under standardized conditions
and do not negatively affect quality of care. Technical proficiency in
microsurgery and elective and trauma hand care as well as supervision by an
experienced plastic or hand surgeon are mandatory. Regardless of the surgeons'
experience, fewer than 2 venous anastomoses and the presence of intraoperative
vascular anomalies represent significant prognostic risk factors for
postoperative complications.
PMID- 27893543
TI - Changes in Intestinal Microbiota Following Combination Therapy with Fecal
Microbial Transplantation and Antibiotics for Ulcerative Colitis.
AB - BACKGROUND: Fecal microbiota transplantation (FMT) is a potential therapeutic
approach to restore normal intestinal microbiota in patients with ulcerative
colitis (UC), which is associated with dysbiosis; however, treatment efficacy
remains unclear. Hence, we studied the impact of antibiotic pretreatment with
amoxicillin, fosfomycin, and metronidazole (AFM therapy) and FMT versus AFM
alone. METHODS: AFM therapy was administered to patients for 2 weeks until 2 days
before FMT. Patients' spouses or relatives were selected as donor candidates.
Donor fecal samples were collected on the day of administration and transferred
into the patient's colon by colonoscopy within 6 hours. Microbiome analysis was
performed by 16S rRNA next-generation sequencing. RESULTS: Patients with mild-to
severe active UC (combination-therapy group, n = 21; AFM monotherapy group, n =
20) were included. Thirty-six patients completed this assessment (combination
therapy group, n = 17; AFM monotherapy group, n = 19). A higher clinical response
was observed after combination therapy compared with AFM monotherapy at 4 weeks
after treatment. After the 2-week AFM therapy, the Bacteroidetes composition was
nearly abolished. The Bacteroidetes proportion recovered in clinical responders
at 4 weeks after FMT was not observed in the AFM monotherapy group. Persistent
antimicrobial-associated dysbiosis found in the AFM monotherapy group was
reversed by FMT. The recovery rate of Bacteroidetes at 4 weeks after FMT
correlated with endoscopic severity. CONCLUSIONS: FMT following antimicrobial
bowel cleansing synergistically contributes to the recovery of the Bacteroidetes
composition, which is associated with clinical response and UC severity. Thus,
this therapeutic protocol may be useful for managing UC.
PMID- 27893545
TI - Effects of Preoperative Methotrexate on Complications After Surgery for
Inflammatory Bowel Disease.
PMID- 27893544
TI - Visceral Adiposity, Genetic Susceptibility, and Risk of Complications Among
Individuals with Crohn's Disease.
AB - INTRODUCTION: Adipose tissue in mesenteric fat plays a key role in systemic and
luminal inflammation. However, little is known about the role of visceral adipose
tissue (VAT) and its interaction with genetic predisposition in Crohn's disease
(CD) progression. METHODS: Our study population included patients with CD
enrolled in Prospective Registry in Inflammatory Bowel Disease Study at
Massachusetts General Hospital (PRISM). VAT volume was measured from computed
tomography using Aquarius 3D. We used logistic regression models to estimate the
multivariable-adjusted odds ratio and 95% CI. We tested for effect modification
by genetic predisposition using the log likelihood ratio test. RESULTS: Among 482
patients with CD with available data on VAT, 174 developed penetrating disease,
132 developed stricturing disease, 147 developed perianal disease, and 252
required surgery. Compared with individuals in the lowest quartile of VAT volume,
the multivariable-adjusted odds ratio of surgery among individuals in the highest
quartile was 2.02 (95% CI, 1.09-3.76; Ptrend = 0.006). Similarly, the risk of
penetrating disease seemed to increase with greater VAT volume (Ptrend = 0.022)
but not stricturing or perianal disease (all Ptrend > 0.23). The associations
between VAT volume and CD complications were not modified by genetic
predisposition (all Pinteraction > 0.12). CONCLUSIONS: Visceral adiposity as
measured by VAT volume may be associated with a significant increase in the risk
of penetrating disease and surgery in CD. Our data suggest that visceral
adiposity as measured by VAT may negatively impact long-term progression of CD
regardless of genetic predisposition.
PMID- 27893546
TI - Cutaneous Vasculitis in a Patient with Crohn's Disease Treated with Adalimumab.
PMID- 27893548
TI - Pressureless Orbital Decompression for Myopic Proptosis.
AB - Orbital decompression surgery increases the orbital volume. It has rarely been
used for proptosis of the large highly myopic globe. However, external
decompression surgery carries significant risks because of the large thin-walled
globe. The authors report the first use of endoscopic medial wall orbital
decompression surgery in this setting to obviate the risk of globe
pressure.Endoscopic medial wall decompression brought about a 4 mm reduction of
proptosis, correction of exotropia and elimination of retrobulbar ache providing
good symmetry with the fellow eye.Endoscopic medial wall orbital decompression
can be very effective for correcting the proptosis of high myopia and minimizes
the risk of damage to the very large, thin-walled globe.
PMID- 27893549
TI - How Deleterious Is Facial Nerve Dissection for the Facial Nerve in Parotid
Surgery: An Electrophysiological Evaluation.
AB - OBJECTIVE: This study was conducted to investigate subclinical
electrophysiological deleterious effect due to microtrauma to the nerve in
response to the dissection of a tumor and parotid tissue from the facial nerve
and its branches and surgical traction experienced during the operation. METHODS:
The study included 34 adult patients who underwent parotidectomy operations under
intraoperative facial nerve monitoring. Three measurements were taken from each
patient to evaluate facial nerve functions, with 3 stimuli of different
intensities applied with different timing. An initial stimulus of 1 mA was
applied to confirm the identification of the main trunk of the facial nerve
(Group 1: Initial-Normal). Then, a threshold value was found by stimulating the
main trunk until muscle fasciculations were observed on facial muscles (Group 2:
Basal-Minimal). The same procedure was repeated after the tumor was resected
(Group 3: Final-Minimal). RESULTS: There was no significant difference between
the stimulus thresholds of Group 2 (0.31 mA) and Group 3 (0.30 mA). The highest
amplitude in all 3 groups was observed at the mental branch, and the lowest at
the frontal. The highest latency value was measured at the frontal branch and the
lowest at the mental branch. Five (14.7%) of the patients developed postoperative
pareses that was completely resolved by the seventh postoperative day visit in
these patients. CONCLUSION: This study demonstrated that the surgical trauma of a
meticulously conducted dissection and surgical traction did not cause any
deleterious electrophysiological alteration on the facial nerve.
PMID- 27893550
TI - Application of Dexamethasone in the Masseter Muscle During the Surgical Removal
of Lower Third Molars.
AB - PURPOSE: The aim of the present study was to analyze the effect of the
application of dexamethasone in the masseter muscle during third molar surgery.
METHODS: This randomized, clinical trial used dependent samples and the split
mouth method. A sample of 30 patients, with impacted or semi-impacted third
molars, as well as vertical and mesioangular positions of a similar surgical
difficulty (on both sides), was subjected to 2 operations: an experimental
operation and a control procedure, with a 30-day wash-out. The choice of which
group would be experimental or control was random. The experimental group
received 8 mg of dexamethasone, which was applied directly to the masseter muscle
immediately after surgery. The control group did not receive corticosteroids.
Seven and 15 days after the surgery, the patients were assessed in relation to
their levels of pain, trismus, and edema. RESULTS: Concerning edema and trismus,
there was a significant difference (P <0.05) between the control and experimental
groups. As for the pain scale, no significant differences were found between the
presence or absence of corticoids. CONCLUSION: The present study concluded that
the application of dexamethasone in the masseter muscle effectively reduces edema
and trismus, but does not affect pain.
PMID- 27893551
TI - Mid-Face Degloving: An Alternate Approach to Extended Osteotomies of the Midface.
AB - Extended osteotomies for mid-face advancement require generous exposure of the
anterior maxilla, nasal bones, infraorbital rims, orbital floor, zygoma, and the
anterior third of the zygomatic arches. This cannot be obtained with an exclusive
transoral approach. Hence, the surgeon is usually compelled to utilize
supplemental cutaneous incisions that are a compromise on the purpose behind a
cosmetic surgery. In order to alleviate the need for such compromise, the authors
advocate the mid face degloving approach for extended osteotomies at Lefort II
and Lefort III levels. Mid face degloving involves a combination of
circumvestibular incision, with inter cartilaginous and transfixation components
from a nasal incision. The authors have utilized this technique for 9 patients
and documented favorable results. The purpose of this paper is to focus the
utility of this approach in orthognathic surgery and promote this as a viable
alternative to traditional approaches in surgery of the mid face because of the
absence of external scars.
PMID- 27893552
TI - Surgically Clipping a Posterolaterally Projecting Posterior Communicating Artery
Aneurysm With Anterior Petroclinoid Fold Fenestration.
AB - The anterior petroclinoid fold (APF) is a ligamentous structure consisting of
collagen fiber and extends from the petrous apex to the anterior clinoid process.
During the surgical clipping of some posterolaterally projecting posterior
communicating artery aneurysms, it may pose a technical challenge due to
obscuration of the aneurismal neck by the APF. Herein, the authors describe a
simple and effective technique utilizing fenestration of the APF to facilitate
visualization and surgical clipping of these aneurysms. To the best knowledge of
us, this technique of the APF fenestration has been reported in only a few
patients.
PMID- 27893553
TI - Healing Effects of Platelet-Rich Plasma on Peripheral Nerve Injuries.
AB - Regeneration of peripheral nerve injuries (PNIs) has been a major challenging
issue in regenerative medicine and tissue engineering. Inferior alveolar nerve
and lingual nerve injuries are the major difficulties and complications of oral
surgeries following dental implant placement, etc. The aim of this study was to
systematically review the effects of platelet-rich plasma (PRP) on the
regeneration of PNIs. Medline NCBI databases were searched for related articles
up to and including May 2016. Being published in English papers, use of PRP in
peripheral nerve regeneration, in vivo studies, and having histological
evaluations was the inclusion criteria. Seventeen papers were selected according
to the inclusion and exclusion criteria, and categorized regarding PNIs types
including cut or crushed injuries. The effects of using PRP only or in
combination with cells on the functional recovery and histological assessments
are discussed and compared with the other treatments such as autologous nerve
graft, acellular nerve allograft, and synthetic nerve conduits. The authors also
clarified the perspectives in the current field. Consequently, PRP demonstrated
positive effects on healing of the nerve function as well histological
improvements in cut nerve PNIs model; however, more studies in the field of
crushed nerves are needed to arrive at an evidence-based conclusion.
PMID- 27893554
TI - Stapler Esophageal Closure During Total Laryngectomy.
AB - Mechanical esophageal closure with stapler during total laryngectomy has been
used by various authors to decrease the surgical time and pharyngocutaneous
fistula (PCF) rates. In a few of the studies, surgical site infection (SSI) rates
are mentioned and none of the studies emphasize the effect of decreased surgical
time on postoperative cardiovascular and cerebrovascular complications. In this
study, the authors compared the PCF rates, SSI rates, operation times between 30
mechanical stapler and 40 manual esophageal closure during total laryngectomy for
laryngeal cancer patients. National Nasocomial Infections Surveillance system
(NNISS) scores were recorded and compared between groups. Total laryngectomy and
total operation times were lower in the stapler group patients (P < 0.001 for
total laryngectomy time, P = 0.024 for total operation time). There were lower
rates of pharyngocutaneous fistula (P = 0.032), surgical site infection (P =
0.019), and NNISS scores (P = 0.009) in the stapler group. There was no
statistically significant difference between groups regarding postoperative
systemic complications (P = 0.451). In conclusion, stapler esophageal closure
decreases operation time, PCF, SSI rates, and NNISS scores but not the systemic
complication rates. Comorbid illnesses and prolonged surgical time are risk
factors for postoperative systemic complications in total laryngectomy patients,
but patients with additional illnesses must not encourage the surgeon to use
stapler for decreasing postoperative systemic complications.
PMID- 27893555
TI - Changes at Mean Platelet Volume and Platelet Distribution Width Levels After
Septoplasty and Its Correlation With Epworth Sleepness Scale.
AB - OBJECTIVE: Nasal septum deviation may affect cardiopulmonary system. Those
effects can be determined via blood tests and Epworth sleepness scale (ESS). In
this study, it was aimed to measure mean platelet volume (MPV) and platelet
distribution width (PDW) in patients with nasal septum deviation and to assess
changes at their levels after septoplasty. Furthermore, it was purposed to
document the correlation between ESS score and MPV, PDW levels. METHODS: Eighty
one patients who underwent septoplasty and 50 healthy controls composed the study
group. Epworth sleepness scale was performed to all patients preoperatively and
patients were divided into 2 groups in terms of ESS scores. Mean platelet volume
and PDW levels were measured preoperatively and it was repeated postoperatively.
RESULTS: In Group A (ESS <10), MPV reduced from 8.48 +/- 0.38 fl to 8.47 +/- 0.36
fl (P >0.05), PDW reduced from 14.56 +/- 1.27% to 14.43 +/- 1.03% after surgery
(P >0.05). On the other hand, in Group B (ESS >=10), MPV reduced from 9.54 +/-
0.68 fl to 8.87 +/- 0.44 fl (P <0.001), PDW reduced from 17.15 +/- 1.75% to 15.35
+/- 1.29% postoperatively (P <0.001). CONCLUSIONS: Statistically significant
improvements at MPV and PDW levels after surgery were noticed only at patients
with excessive daytime sleepness whose ESS score was 10 or above. According to
this, it would be preferable to operate these patients earlier to protect them
from systemic effects.
PMID- 27893556
TI - Ferromagnetic Artifacts Seen Incidentally on Magnetic Resonance Imaging After
Temporomandibular Joint Surgery.
PMID- 27893557
TI - Changes in Upper Airway Volume Following Orthognathic Surgery.
AB - Reduced volume of the internal skeletal dimensions of the face is 1 of the main
causes of obstructive sleep apnea, and attention to patients' airways is
necessary when planning orthognathic treatment. This study aims to describe
changes in upper airway volume following virtually planned orthognathic surgery.A
retrospective pilot study was designed with 30 randomly selected patients (10 men
and 20 women, aged 23.1 +/- 6.8 years, molar-relations: 15 neutral, 8 distal, and
7 mesial). Cone-beam computed tomography scans were performed before surgery and
1 week following surgery. The authors did total upper airway volume measurements
and obtained 1-mm slices at vertical levels in the velo-, oro-, and hypopharynx
and at the smallest visible cross-section.Measurements before and after surgery
were compared using Student t test.After orthognathic surgery, the minimum cross
sectional area at the vertical level increased from 83 mm +/- 33 before surgery
to 102 mm +/- 36 after surgery (P = 0.019). In patients with neutral and distal
occlusions, the minimum cross-sectional slice volume increased in 87% but in only
57% with mesial occlusion.The present findings suggest that orthognathic surgery
increases upper airway volume parameters, but a few patients have continued
impairment of the airways following orthognathic surgery. Further studies are
needed to confirm an individual surgical planning approach that potentially could
bring the minimum cross sectional area out of the risk zone.
PMID- 27893558
TI - Medial Femoral Condyle Free Flap for Premaxillary Reconstruction in Median Facial
Dysplasia.
AB - OBJECTIVE: Median facial dysplasia is a distinct development anomaly of the
craniofacial region that is characterized by deficient mid facial structures.
Medial femoral condyle free flap could be used as a bony flap, and the unique
characteristics of this flap provide the surgeons with the periosteal component
as well. In this work, the authors present our experience with a patient of
median facial dysplasia with unilateral cleft lip, cleft palate, and premaxillary
deficiency. METHODS: The patient was diagnosed with median facial dysplasia
accompanied by unilateral cleft lip, cleft palate, and premaxillary. She had
presented with severe midface hypoplasia with missing premaxillary bone.
Premaxillary reconstruction was performed with the use of medial femoral condyle
free flap. RESULTS: Medial femoral condyle free flap was successfully used for
premaxillary reconstruction. The flap fitted well to the alveolar bony gap. Since
the flap is a periosteal bone flap, the gingival mucosa was reconstructed very
well. The lip harmony was considerably restored compared with the preoperative
status. CONCLUSIONS: Although several other options are available, the medial
femoral condyle free flap is particularly suited for the reconstruction of median
facial dysplasia.
PMID- 27893560
TI - Cranial Bone Graft Donor Site Reconstruction.
AB - My most important concern, in my entire experience with cranial bone grafting
procedures, is managing the bone graft donor site such as donor site cavity from
harvesting and weakness of the cranium. The most common patient complaint,
following cranial bone grafting for aesthetic indications, is the presence of a
cavity at the donor site. The authors have managed more than 200 patients since
2001, wherein the cranial bone graft-donor sites were reconstructed with tiny
bone chip lamellae harvested from the area adjacent to the donor site. This
procedure was associated with a low incidence of patient complaints, thereby
suggesting higher patient satisfaction. This approach for cranial bone grafting
appears to have a high patient acceptance.
PMID- 27893559
TI - Personalized Reconstruction of Traumatic Orbital Defects Based on Precise Three
Dimensional Orientation and Measurements of the Globe.
AB - OBJECTIVE: The aim of the study was to precisely assess the severity of traumatic
orbital defects and techniques for personalized orbital reconstruction. METHODS:
A retrospective study was conducted in 97 patients with traumatic orbital defects
who were treated in our hospital between July 2003 and June 2012. Pre- and
postoperative spiral computed tomography scans were performed in all patients. A
spatial orientation technique was used to measure the three-dimensional position
of the globe and calculate the changes in the orbital volume. Subsequently, a
computer-assisted technique and a rapid prototyping technique were used to create
a personalized orbital model to aid in the planning of surgery as well as the
preforming of implants and bone plates. During surgery, the herniated orbital
contents were returned; the preformed titanium mesh, Medpor, or other implants
were placed; the orbital shape in the defect site was precisely restored; and
normal proportions between the orbital walls and orbital contents were regained.
The treatment outcomes were evaluated with respect to postoperative appearance,
patients' satisfaction, ophthalmologic examination, and computed tomography scan.
The complications were analyzed accordingly. RESULTS: Satisfactory results were
achieved in all patients with the following exceptions: 1 patient with an
unsatisfactory facial appearance; 2 patients with old trauma and an unfavorable
correction of enophthalmos who experienced diplopia with no significant
improvement within 6 months after surgery; and 2 patients of mild postoperative
lower eyelid ectropion. All other patients achieved satisfactory treatment
effects, that is, the orbital shape in the defect site was precisely restored,
and normal proportions between the orbital walls and orbital contents were
regained. There were no other severe complications reported. CONCLUSIONS: In
patients with traumatic orbital defects, accurate digital evaluations of the
three-dimensional position of the globe and changes in the orbital volume aid in
surgical planning with a personalized model and promote early surgery with
minimal trauma. When the orbital volume was restored and the position of the
globe was maintained or corrected, the precise reconstruction of the anatomic
shape of the orbit was concurrently completed. Personalized orbital
reconstruction can improve the efficacy of plastic surgery in patients with
orbital deformities.
PMID- 27893561
TI - Finite Element Evaluation of Different Osteosynthesis Variations That Used After
Segmental Mandibular Resection.
AB - PURPOSE: The purpose of this study was to evaluate the effect of different
reconstruction plates and screw combinations on stress distribution of segmental
resected mandibles using finite element analysis. METHODS: Lateral (L) and
lateral-central (LC) defects were simulated by a computer aided design modeling.
The straight (s) and angular (a) titanium locking reconstruction plates of 2.5 mm
(12 holes for L defects and 16 holes for LC defects) were modeled. Each screw was
2.5 mm in diameter and 10 mm in length. A total of 20 different screw placement
combinations were created. The bite force used in the present model was a
unilateral molar clench. The data obtained from finite element analysis were
recorded as von Mises, maximum principle and minimum principle stress values.
RESULTS: It was observed that stress values on neck of screw were higher for
screws close to the resection area. When the first screw was loosened, the stress
on the plate body and the remaining screws increased. Principle stress values
were within the tolerance limits of the bone. CONCLUSION: The highest stress is
observed in the screws nearest to the resection edge. Therefore, it is very
important to place a screw adjacent to the resection edge.
PMID- 27893562
TI - Clinical Evaluation of Zygomatic Implant-Supported Fixed and Removable
Prosthesis.
AB - The aim of our study was to present success and complication rates of 32
zygomatic implants (ZI) and compare satisfaction of patients rehabilitated with
ZI supported hybrid prosthesis in atrophic jaws and removable prosthesis in
maxillary defects. Sixteen patients who have been diagnosed as atrophic maxilla
or maxillary defect were treated with ZI supported hybrid or removable prosthesis
between 2008 and 2016 years, respectively. Thirty-two of 70 implants were placed
in the zygomatic bone. Two prosthetic groups were compared 1 month after
prosthesis delivery in terms of general satisfaction, stability, performance,
esthetics, phonetics, hygiene level based on visual analog scale. Zygomatic
implants success rate was found 93.7% after a mean follow-up of 28 +/- 22 months
(range 6-96 months). Thirty of 32 ZIs have been functioning with their
prosthesis. The rate of biologic complications related to ZIs was found 9.3% and
prosthetic complication's rate related to ZI was 3%. Two early failures of ZIs
were recorded. Chewing performance (P: 0.003), stability (P: 0.0001), and
phonetics (P: 0.003) were found higher in hybrid prosthetic group. On the other
hand, there was a statistically significant difference between groups in favor of
removable prosthetic group regarding ease of cleaning (P: 0.007). Our study shows
high success rate and minimal complications for 32 ZIs. This procedure seems to
be an alternative to the bone grafts or sinus lifting techniques in patient of
severely resorbed maxilla or to the obturator prosthesis for maxillectomy
patients.
PMID- 27893563
TI - Resistance Training Improves Muscle Function and Cardiometabolic Risks But Not
Quality of Life in Older People With Type 2 Diabetes Mellitus: A Randomized
Controlled Trial.
AB - BACKGROUND AND PURPOSE: In older people with type 2 diabetes mellitus (T2DM), the
effects of aging and T2DM may compromise the function of skeletal muscle,
deteriorate metabolic status, and jeopardize physical performance, aerobic
capacity, and quality of life (QoL). The purpose of this study was to investigate
the effects of 12 weeks of resistance training (RT) on muscle function, physical
performance, cardiometabolic risks, and QoL in older people with T2DM. METHODS:
This study was a randomized controlled trial that employed block randomization,
assessor blinding, and the intention-to-treat principle. Thirty people 65 years
or older with a diagnosis of T2DM were randomly assigned to either an exercise
group or a control group and were further stratified by gender. The exercise
group performed 8 RT exercises in 3 sets of 8 to 12 repetitions at 75% 1
repetition maximum (1-RM) 3 times per week for 12 weeks. The control group
received usual care and maintained their daily activities and lifestyle. Muscle
function (1-RM and muscle oxygenation responses), physical performance (5
repetition sit-to-stand test and Timed Up and Go test), cardiometabolic risks
(aerobic capacity, blood pressure, body composition, glycemic control, lipids
levels, and high-sensitivity C-reactive protein levels), and QoL (Audit of
Diabetes-Dependent Quality of Life 19) were assessed at baseline (week 0) and
after the 12-week interventions (week 12). RESULTS: The 1-RM chest-press and leg
press strength and physical performance in 5-repetition sit-to-stand test were
significantly improved in the exercise group compared with the controls after the
interventions. The exercise group had significantly lower resting systolic blood
pressure (by -12.1 mm Hg, P = 0.036) than did the controls after 12 weeks of RT,
without any significant within-group change in either group after intervention.
The waist circumference, fasting glucose levels, and peak diastolic blood
pressure tended to favor RT over usual care after the interventions. CONCLUSION:
Twelve weeks of RT increased the maximal strength in chest-press and leg-press
tests, and improved 5-repetition sit-to-stand performance in older people with
T2DM. Our study demonstrated that supervised, structured RT was able to promote
muscle function and alleviate cardiometabolic risks in people with T2DM 65 years
or older.
PMID- 27893565
TI - First-Person Perspective Action Observation Training in Individuals With
Parkinson's Disease: A Consideration-of-Concept Controlled Pilot Trial.
AB - BACKGROUND AND PURPOSE: Action observation training (AOT) consists of the
observing of actions performed by others, followed by imitation. Physical therapy
techniques based on action observation may influence motor performance in
individuals with idiopathic Parkinson's disease (IPD). OBJECTIVES: The aim of
this pilot study was to provide a preliminary approach to assess AOT in
anatomical first-person perspective (FPP) as a rehabilitation technique to
improve body function and activity in individuals with disability due to IPD.
METHODS: Videos showing in FPP movements of the upper and lower limbs were
produced. A research protocol was designed and tested for feasibility. After
baseline assessment, 16 participants with mild to moderate disability due to IPD,
all receiving conventional physical therapy group treatment, were nonrandomly
assigned to either 1 extra hour of individualized FPP AOT per session or
comparison group. Upper and lower limb functioning, independence in activity of
daily living, and mobility were assessed before and after training. Data were
analyzed by descriptive statistics; statistical comparisons were conducted as
part of the feasibility assessment of the data management plan by means of
nonparametric tests. RESULTS: A set of 22 videos was created and administered.
Groups were comparable at baseline assessment. All participants completed the
study and had complete data. Compared with baseline, both groups improved
significantly. The experimental group had a better response based on change
scores, and the differences were large for 3 of the outcome measures. No
significant between-group differences were observed. DISCUSSION: The equipment
needed for the production of the videos was easily available. The study protocol
was successfully implemented. Adherence rates to study procedures were excellent,
and no adverse events occurred. Differences in methods and participant
populations prevented comparison in our experimental approach. The number and
content of videos, and the length of the sessions proposed, was close to that of
other studies providing an FPP AOT program in individuals with cerebral palsy and
stroke. Both groups improved and the effect was greater for the experimental one.
However, no significant difference between the groups was observed. CONCLUSIONS:
This pilot study suggests that FPP AOT is a feasible intervention and the
research protocol designed would be suitable, with minor modifications, for the
conduction of a subsequent stage 2 trial designed to verify the hypothesis that
the adjunct of FPP AOT might improve motor performance in individuals with IPD.
PMID- 27893564
TI - Instrumented Test of Sensory Integration for Balance: A Validation Study.
AB - BACKGROUND AND PURPOSE: Abnormal postural sway is associated with an increase in
risk of falls but is difficult for clinicians to accurately quantify without
access to laboratory equipment. Instrumenting clinical outcome measures using
body-worn movement monitors is a low-cost alternative. This is the first study to
compare the modified Clinical Test of Sensory Integration for Balance (i-mCTSIB)
to the laboratory test of the Sensory Organization Test (SOT) with dynamic
posturography in a group of participants with Parkinson's disease (PD) and subtle
balance limitations. The purpose of this study was to (1) determine the
concurrent validity of the i-mCTSIB with the SOT (6 and 4 conditions) and (2)
compare the i-mCTSIB and the SOT to differentiate between individuals with and
without recent falls within the previous 6 months. METHODS: This cross-sectional
study examined 26 participants with idiopathic PD who had a Motor Unified
Parkinson's Disease Rating Scale score of 32.7 (13.5) out of 108. RESULTS: The
composite and conditions 1 and 4 of the i-mCTSIB and SOT scores were
significantly correlated: composite scores r = -0.64 (P <= .001), C1 r = -0.43 (P
= .03), C3 r = -0.60 (P <= .01), and C4 r = -0.54 (P <= .001). A significant
difference was observed in mean i-mCTSIB composite scores between fallers and
nonfallers (P = .04). In contrast, the SOT composite was not significantly
different between fallers and nonfallers (P = 0.31). DISCUSSION: The results
suggest that the i-mCTSIB may be a valid and clinically meaningful measure of
sensory organization in persons with PD, even those with mild postural
instability as measured by the median Hoehn and Yahr score (2.0). Future research
should evaluate predictive validity of the i-mCTSIB for prospective falls.
CONCLUSION: The instrumented mCTSIB with portable, body-worn movement allows
clinicians to quantify abnormal postural sway without the ceiling effects of
clinical balance testing or the expense and importability of force plate
technology in the SOT. Instrumenting mCTSIB may also distinguish between fallers
and nonfallers.
PMID- 27893566
TI - Validity and Reliability of a Portable Balance Tracking System, BTrackS, in Older
Adults.
AB - BACKGROUND AND PURPOSE: Falls are the leading cause of disability, injury,
hospital admission, and injury-related death among older adults. Balance
limitations have consistently been identified as predictors of falls and
increased fall risk. Field measures of balance are limited by issues of
subjectivity, ceiling effects, and low sensitivity to change. The gold standard
for measuring balance is the force plate; however, its field use is untenable due
to high cost and lack of portability. Thus, a critical need is observed for valid
objective field measures of balance to accurately assess balance and identify
limitations over time. The purpose of this study was to examine the concurrent
validity and 3-day test-retest reliability of Balance Tracking System (BTrackS)
in community-dwelling older adults. Minimal detectable change values were also
calculated to reflect changes in balance beyond measurement error. METHODS:
Postural sway data were collected from community-dwelling older adults (N = 49,
mean [SD] age = 71.3 [7.3] years) with a force plate and BTrackS in multitrial
eyes open (EO) and eyes closed (EC) static balance conditions. Force sensors
transmitted BTrackS data via a USB to a computer running custom software. Three
approaches to concurrent validity were taken including calculation of Pearson
product moment correlation coefficients, repeated-measures ANOVAs, and Bland
Altman plots. Three-day test-retest reliability of BTrackS was examined in a
second sample of 47 community-dwelling older adults (mean [SD] age = 75.8 [7.7]
years) using intraclass correlation coefficients and MDC values at 95% CI (MDC95)
were calculated. RESULTS: BTrackS demonstrated good validity using Pearson
product moment correlations (r > 0.90). Repeated-measures ANOVA and Bland-Altman
plots indicated some BTrackS bias with center of pressure (COP) values higher
than FP COP values in the EO (mean [SD] bias = 4.0 [6.8]) and EC (mean [SD] bias
= 9.6 [12.3]) conditions. Test-retest reliability using intraclass correlation
coefficients (ICC2.1 was excellent (0.83) and calculated MDC95 for EO (9.6 cm)
and EC (19.4 cm) and suggested that postural sway changes of these amounts are
meaningful. DISCUSSION: BTrackS showed some bias with values exceeding force
plate values in both EO and EC conditions. Excellent test-retest reliability and
resulting MDC95 values indicated that BTrackS has the potential to identify
meaningful changes in balance that may warrant intervention. CONCLUSION: BTrackS
is an objective measure of balance that can be used to monitor balance in
community-dwelling older adults over time. It can reliably identify changes that
may require further attention (eg, fall-prevention strategies, declines in
physical function) and shows promise for assessing intervention efficacy in this
growing segment of the population.
PMID- 27893567
TI - Determining Whether a Dosage-Specific and Individualized Home Exercise Program
With Consults Reduces Fall Risk and Falls in Community-Dwelling Older Adults With
Difficulty Walking: A Randomized Control Trial.
AB - BACKGROUND AND PURPOSE: The development and implementation of effective
interventions to prevent falls in older adults is a public health priority. The
purpose of this study was to compare the efficacy of a new practice model,
incorporating Shubert's evidence-based fall prevention recommendations, with the
usual ambulatory physical therapy (PT) at Rusk Rehabilitation, to decrease fall
risk among older adults living in the community. The hypotheses were (1) the
proposed program would decrease participants' fall risk, (2) it would be more
effective than our usual PT, and (3) the addition of 4 consults after discharge
would improve compliance with a home exercise program. METHODS: This was a
randomized controlled trial. Sixty-nine participants who were independent
community dwellers, were 65 years or older, had difficulty walking or complaints
of instability, and had 1 or more risk of falls were randomly assigned into a
usual care group (UCG, n = 43) or an experimental group (EG, n = 26). Both groups
received PT 2 times per week for 30 minutes for 10 to 32 visits. The UCG received
the usual PT delivered at Rusk. The EG was instructed in a moderate- to high
intensity home exercise program designed after completing the mini-Balance
Evaluation Systems Test to assist with exercise prescription. The EG was educated
on performing a recommended dosage of exercise over 6 months using a diary. The
EG received 4 additional 30-minute consults every 2 to 4 weeks postdischarge to
reinforce compliance. Self-report of number of falls, number of minutes of
exercise per week, and performance on outcome measures (Timed Up and Go, 5-times
sit-to-stand, Berg Balance Scale, and Activity Balance Confidence Scale) were
monitored at evaluation, 2, 4, and 6 months. RESULTS AND DISCUSSION: Thirty-five
participants completed the study (UCG n = 22; EG n = 13). Both groups were
similar at baseline on outcome measures and number of visits. Random effect model
analyses demonstrated that both groups made significant reductions in fall risk
over 6 months as identified by performance on outcome measures. However, the EG
improved significantly more compared with the UCG over time (P < .05). Linear
regression analyses showed that the EG exercised significantly more compared with
the UCG at all times (P < .05). The EG exceeded the target of 115 min/wk of
exercise (154 minutes, standard deviation [SD] 68.5; 170 minutes, SD 96.8; and
143 minutes, SD 68.5) at 2, 4, and 6 months, respectively. This study
demonstrated that the experimental program is effective in decreasing fall risk
in community-dwelling older adults and is more effective than our usual care.
Moreover, it suggests that the overall experimental protocol may offer an
effective strategy to foster adherence to an exercise program without the
increasing number of visits. CONCLUSION: This study supports the efficacy of the
experimental program in decreasing fall risk and being more effective than our
usual care, as well as fostering greater compliance with an exercise regimen. It
provides some preliminary evidence to support Shubert's recommendation on
exercise prescription for fall prevention.
PMID- 27893568
TI - Physical Function in Older Adults With Multiple Sclerosis: An Application of the
Short Physical Performance Battery.
AB - BACKGROUND AND PURPOSE: There is a growing prevalence of older persons living
with multiple sclerosis (MS), and this cohort likely undergoes changes in
physical function associated with MS and its progression as well as those
associated with normal aging. This cross-sectional study examined physical
function in a community-dwelling sample of older adults with MS compared with
matched controls using the Short Physical Performance Battery (SPPB). METHODS:
The sample (N = 40) included 20 older adults with MS and 20 older adults without
MS who were matched on sex and age. All participants completed the SPPB. RESULTS:
Statistically significant differences were observed between groups for the
overall SPPB score (P = .013; d = 0.45) and the balance (P = .002; d = 0.46) and
gait speed (P = .009; d = 0.30) component scores. The difference between groups
in the lower extremity strength component approached significance (P = .056; d =
0.34). Of note, only 2 older adults without MS had SPPB scores below 10 (ie,
10%), whereas 8 older adults with MS had SPPB scores below 10 (ie, 40%); this
represented a statistically significant difference in future risk for disability
(P = .028). DISCUSSION/CONCLUSIONS: We provide preliminary evidence for reduced
physical function based on the SPPB as a valid, objective measure of lower
extremity functional performance among older adults with MS.
PMID- 27893569
TI - Development and Validation of a Novel Motor-Cognitive Assessment Strategy of
Compensatory Sit-to-Stand Maneuvers in People With Dementia.
AB - BACKGROUND AND PURPOSE: People with dementia show disease-specific sit-to-stand
(STS) movement disorders, which relate to deficits of integrating cognitive
aspects of motor processes into motor action organization. During STS training in
rehabilitation therapy, compensatory STS movement maneuvers are taught aiming to
improve patients' STS ability. Previous clinical STS measures do not address
these maneuvers or assess cognitive aspects of their motor action organization.
The purpose of this study was to develop and validate a motor-cognitive STS
assessment instrument for people with dementia (Assessment of Compensatory Sit-to
Stand Maneuvers in People With Dementia, ACSID). METHODS: The ACSID covers the
recall, initiation, and effective performance of compensatory STS movement
maneuvers. The inter- and intrarater reliability, concurrent validity,
sensitivity to change, and feasibility were investigated by secondary analysis of
data of 97 participants from a randomized controlled trial to improve motor
cognitive performances in people with mild to moderate dementia (mean [standard
deviation] age: 82.5 [5.9] years, Mini-Mental Status Examination: 21.9 [2.9]
points). Concurrent validity of the individual ACSID items was assessed against
reference criteria derived from video-motion analysis. RESULTS: Good to excellent
inter- (kappa [kappa] = 0.64-0.99; intraclass correlation coefficient [ICC] =
0.74-0.89) and intrarater (kappa= 0.77-0.91; ICC = 0.77-0.91), concurrent
validity (point-biserial correlation coefficients = |0.56|-|0.84|), and
sensitivity to change (standardized response means = 0.61-1.00) were found.
Feasibility was excellent with a high completion rate (96.9%), no critical events
during assessment, and no floor or ceiling effects. CONCLUSIONS: The ACSID
represents the first observation-based assessment instrument to document motor
and cognitive aspects in the execution of a motor key feature in people with
dementia, and has been shown to be reliable, valid, feasible, and sensitive to
intervention-induced changes.
PMID- 27893570
TI - Nonoperative Treatment of a Tracheocutaneous Fistula in a Burn Patient.
AB - Tracheocutaneous fistula (TCF) is a complication occurring after decannulation of
a long-term tracheostomy and can lead to significant morbidity. We describe a
case of a TCF in a burn patient treated without surgery. No previous cases have
been described. A 65-year-old woman presented with symptomatic hypertrophic burn
scar contractures of the anterior neck 6 months after undergoing excision and
grafting of full-thickness burns to the neck and chest. She had a history of
tracheostomy placement at the time of burn. Two months later, she was
decannulated with no evidence of TCF. She subsequently underwent excision of
hypertrophic burn scar contractures of the neck with placement of bilayer wound
matrix followed by split-thickness skin grafting. Postoperatively she was noted
to have a TCF with subgraft emphysema and difficulty in phonation and
respiration. With local wound care, the TCF closed spontaneously and a new skin
graft was placed uneventfully. At 18 months postoperatively, fistula closure was
maintained with good functional and aesthetic outcome. Conservative management of
an iatrogenic TCF in a burn patient may result in adequate soft-tissue coverage
and allow for subsequent successful skin grafting. This method affords minimal
morbidity to the patient and is a viable alternative to more elaborate flap
reconstruction.
PMID- 27893571
TI - A Systematic Review of Patient-Reported Outcome Measures Used in Adult Burn
Research.
AB - Patient-reported outcome measures (PROMs) are vital for evaluating patient needs
and therapeutic progress. This review aimed to identify the PROMs used in adult
burn care and establish their quality. Computerized bibliographic searches of
Psychinfo, Social Sciences Citation Index, Cinahl, Psycharticles, AMED, Medline,
and HAPI were used to find English-language articles using English-language PROMs
from January 2001 to September 2016. Psychometric quality assessment of the PROMs
was conducted. A total of 117 studies achieved the entry criteria and reported
using 77 different PROMs (71 generic and 6 burn-specific). Overall, the
psychometric quality of the PROMs was low; only 17 (13 generic and 4 burn
specific) had psychometric evidence appropriate to adults with burn injuries
completing an English language version of the PROM. Although this review
identified a number of generic and burn-specific PROMs that have some
psychometric evidence with adult burn patients, research is still needed to
further examine these preexisting measures and validate them in different
languages. This will enable researchers and clinicians to better understand the
potential impact of a burn injury on adults, and evaluate the effectiveness of
therapeutic interventions.
PMID- 27893572
TI - Pain Management in Pediatric Burn Patients: Review of Recent Literature and
Future Directions.
AB - Childhood burns are a profoundly traumatic and painful experience. Despite
recognition of the prevalence of burn injuries in children and the severity of
the associated pain, burn pain remains undertreated. At the same time, more
evidence is emerging to suggest that undertreated pain has serious long-term
medical and psychiatric consequences, many of which can be ameliorated with
improved pain control. Pain in burn patients is, however, notoriously difficult
to treat, perhaps because there is a chronic pain aspect underlying the acute
pain that accompanies wound care and procedures. This difficulty is compounded by
the fact that there are little data to guide decision making in these patients.
This article aims to identify the best strategies to guide clinical practice
through a review of the past 10 years' development in pediatric burn pain
management. However, because clinical investigations remain limited in burned
children, we also aim to draw attention to those areas where the data do not
identify an optimal approach and further work is needed. Overall, in addition to
just the traditional pharmacological approaches to pain, such as acetaminophen,
benzodiazepines, and opioids, there is growing evidence to support more
widespread use of regional anesthesia and novel technologies such as virtual
reality. Starting with an improved understanding of the current state of the
literature, we can identify areas of research and important questions whose
answers will ultimately improve care and reduce suffering for this unfortunate
population of children.
PMID- 27893573
TI - Suicide and Fire: A 20-Year Study of Self-Immolation Death in Sousse, Tunisia.
AB - Self-immolation is a self-destructive conduct described since antiquity. Its
frequency is variable from one country to another and it is a real public health
problem in parts of the world. In Tunisia, after the 2011 revolution the problem
of self-immolation protest has been highly publicized giving the impression of an
increase in this phenomenon. This is a retrospective analysis of all fatal self
immolation cases, collected over a 20-year period (1996-2015) at the Forensic
Medicine Department of the Farhat Hached University Hospital, Sousse, Tunisia. A
total of 41 cases were collected, of which 23 were men (56%). The mean age was
36.1 years. Prior to 2011, 78.9% of the victims of self-immolation were women and
after 2011, 86.4% were men. The rural origin of the victims was found in 56.25%
of the cases. History of psychiatric illness was found in four individuals. The
self-immolation took place in the victims' homes in 19 cases (46.3%). It came
after a conjugal or family conflict in 14 cases (34.1%) and it is of protest
character in 8 cases (19.5%). This study confirmed the increasing frequency of
self-immolation in Tunisia after the 2011 revolution and noted a change in the
victims' profiles.
PMID- 27893574
TI - Randomized Comparison of Packed Red Blood Cell-to-Fresh Frozen Plasma Transfusion
Ratio of 4: 1 vs 1: 1 During Acute Massive Burn Excision.
AB - This prospective randomized controlled trial compared 1:1 vs 4:1 packed red blood
cell with fresh frozen plasma (PRBC/FFP) transfusion strategy on outcomes in
children with >20% TBSA burns. Children with >20% TBSA burns were randomized to a
1:1 or 4:1 PRBC/FFP transfusion ratio during burn excision. Parameters measured
included demographics, TBSA burn, and Pediatric Risk of Mortality scores.
Laboratory values recorded preoperatively, 1 hour, 12 hours, 24 hours, and 1 week
postoperatively included prothrombin time, partial thromboplastin time (PTT),
international normalized ratio, fibrinogen, protein C, and antithrombin C (AIII).
Total number of blood products transfused intraoperatively and during
hospitalization was recorded. Forty-five children were enrolled, 22 in the 1:1
and 23 in the 4:1 group. Groups were similar in age, TBSA, and Pediatric Risk of
Mortality score. Preoperative fibrinogen, AIII, protein C, hemoglobin, PTT,
international normalized ratio, and platelets were similar. In the first two
excisions, the 1:1 group received significantly more FFP per patient. Volume of
PRBC and overall product transfused did not differ between groups. At 1 hour
postoperatively, prothrombin time and PTT were lower and protein C and AIII were
higher in the 1:1 group. The 4:1 group was more significantly acidotic 1 hour
postexcision. A 1:1 PRBC/FFP transfusion strategy, compared with a 4:1 strategy,
decreased postoperative markers of coagulopathy and acidosis immediately after
surgery. The strategy did not change the total volume of blood product
transfused. This interim analysis was not powered to detect differences in wound
healing and length of stay.
PMID- 27893575
TI - Variations in Burn Excision and Grafting: A Survey of the American Burn
Association.
AB - It is unknown whether variations in burn care affect outcomes or affect the
success of emerging therapeutics. The purpose of this study was to assess burn
surgeons' preferences in excision and grafting to determine if surgical technique
affects outcomes. A 71-item survey evaluating skin grafting techniques and
preferences was emailed to members of the American Burn Association in July and
August 2015. The survey was anonymous and voluntary. Relationships between
variables were evaluated using Fisher's exact test. A P-value of <=.05 was deemed
statistically significant. The survey was sent to 607 burn surgeons, and the
response rate was 24%. Clinical judgment is the most widely used method to
determine depth of injury. Surgeons who practice in the United States and
surgeons who are board certified in general surgery are more likely to determine
depth of the burn based on clinical judgment alone (P < .001). Fifty-six percent
of surgeons will perform excision as early as postburn day 1 and 73% will excise
greater than 20% TBSA in one setting. Surgeons at centers with bed number of <=10
(P = .024) or surgeons with board certification in plastic surgery (P = .008) are
more likely to excise deep partial-thickness burns with an attempt to retain
viable dermis. Geographic location, board certification, and burn unit size all
contribute to variations in practice. Strong individual preferences make
standardization of therapies challenging and may affect the success of new
technologies. Burn surgery continues to be an art as much as a science, and
accurate documentation of techniques and outcomes is essential for optimizing
successes and documenting failures of new treatment methods.
PMID- 27893576
TI - The Use of a Burn Surgery Technique to Improve Wounds Healing in Epidermolysis
Bullosa.
PMID- 27893577
TI - A Novel Classification System for Injuries After Electronic Cigarette Explosions.
AB - Electronic cigarettes (e-cigarettes) contain lithium batteries that have been
known to explode and/or cause fires that have resulted in burn injury. The
purpose of this article is to present a case study, review injuries caused by e
cigarettes, and present a novel classification system from the newly emerging
patterns of burns. A case study was presented and online media reports for e
cigarette burns were queried with search terms "e-cigarette burns" and
"electronic cigarette burns." The reports and injury patterns were tabulated.
Analysis was then performed to create a novel classification system based on the
distinct injury patterns seen in the study. Two patients were seen at our
regional burn center after e-cigarette burns. One had an injury to his thigh and
penis that required operative intervention after ignition of this device in his
pocket. The second had a facial burn and corneal abrasions when the device
exploded while he was inhaling vapor. The Internet search and case studies
resulted in 26 cases for evaluation. The burn patterns were divided in direct
injury from the device igniting and indirect injury when the device caused a
house or car fire. A numerical classification was created: direct injury: type 1
(hand injury) 7 cases, type 2 (face injury) 8 cases, type 3 (waist/groin injury)
11 cases, and type 5a (inhalation injury from using device) 2 cases; indirect
injury: type 4 (house fire injury) 7 cases and type 5b (inhalation injury from
fire started by the device) 4 cases. Multiple e-cigarette injuries are occurring
in the United States and distinct patterns of burns are emerging. The
classification system developed in this article will aid in further study and
future regulation of these dangerous devices.
PMID- 27893579
TI - The Psychological Impact of First Burn Camp in Nicaragua.
AB - Asociacion Pro-Ninos Quemados de Nicaragua (APROQUEN) is a comprehensive burn
center that provides a holistic and integrated approach to treating burns.
APROQUEN has set the standards internationally with acute treatment for burns,
intensive care, reconstructive surgeries, nutritional care, rehabilitation,
occupational therapy, and psychological treatment. APROQUEN is excelling within
Central and South America with life-saving techniques and quality of care. It is
imperative that burn centers in Central America recognize that the treatment of a
child with a burn injury surpasses physical care to include psychological
treatment for the complete well-being of the child. It is necessary to provide
the tools necessary to reintegrate the child back into their environment.
APROQUEN developed and implemented the first burn camp in Latin America, "Confio
en Mi" (I trust myself). The camp theme focused on self-esteem. The camp program
included theory (educational) and practice (applied) components where the campers
through "classroom type" activities had the opportunity to reflect and share with
other campers and camp staff on self-esteem, depression, and anxiety.
Participants were children who survived major burns (N = 33; 58% women; ages 12
25; 61% <18) and were shown to have difficulty socializing. Comprehensive
interviews were conducted to ensure fit for camp. Forty-two percent of the
campers had not slept away from home since the burn injury. Mean TBSA = 20% and
mean age at time of burn injury was 13. The majority of campers (46%) endured
flame burn injuries, with 24% having scald injuries. Mean years postburn = 4.8 +
3.2. Most campers (40%) were enrolled in secondary school, 30% in elementary
school, and 21% in college. Standardized measures (CDI-2 Parent Form and Child
Form, Rosenberg Scale, APROQUEN Burn Camp Measure Parent and Child Form, Beck
Anxiety Inventory, and Beck Depression Inventory) were given to all campers prior
to attending camp. The same measures were given 2 weeks after the camp and again
at 6 months. Paired samples' t-tests were conducted and significance was set at P
<.05. The results indicate that Camp Confio en Mi had a significant impact on
campers' level of anxiety, depression, and self-esteem. Future burn camps are an
important part of the continued advancement of postpediatric burn care in
Nicaragua. This study reveals the importance of future researches necessity to
focus on generalizing the results of this study to other children who have
experienced similar burn injuries.
PMID- 27893578
TI - Propylthiouracil-Associated Leukocytoclastic Necrotizing Cutaneous Vasculitis: A
Case Report and Review of the Literature.
AB - The purpose of this case report and review of the literature is to provide an
exploration of the clinical symptoms, diagnosis, prevention, and management of
propylthiouracil (PTU)-associated vasculitis in the intensive care setting. A
PubMed search of the available literature was conducted using the MeSH search
terms "propylthiouracil" and "vasculitis." The literature search returned 121
articles. Twenty-five were excluded because they were not in English. Fifty-nine
case reports or case studies describing PTU-associated vasculitis were included.
Data extracted from each case study included patient age, sex, autoimmune
markers, laboratory tests, length of time on PTU, treatment for vasculitis, and
patient outcomes. The authors reviewed 128 cases of PTU-associated vasculitis.
The majority were women (8.8:1 F:M ratio), and the most common presenting
symptoms were rash (51.6%), fever (46.9%), and arthralgia (43.8%). In addition to
discontinuing PTU, the most common treatment was steroids (71.9%). Eight patients
(6.3%) progressed to end-stage renal disease; two (1.6%) required intubation for
respiratory failure; and five (3.9%) died of various organ systems failure
related to vasculitis development. A high index of suspicion for vasculitis
should be maintained, especially when presented with skin manifestations in the
presence of PTU therapy. Screening with myeloperoxidase-antinuclear cytoplasmic
antibodies is most sensitive. Positive screening should prompt a thorough
clinical investigation. In cases of severe skin manifestations, the focus should
be on aggressive wound care. Our case report is unique, not only in the size and
extent of cutaneous involvement, but also as the first description of mortality
secondary to cutaneous manifestations.
PMID- 27893580
TI - The Effects of Adipose-Derived Stem Cell-Differentiated Adipocytes on Skin Burn
Wound Healing in Rats.
AB - Both adipose-derived stem cells (ADSCs) and fat grafting promote burn wound
healing, but whether adipogen-derived cells using various inducers such as 3
isobutyl-1-methylxanthine (IBMX) and insulin affect wound healing is unknown.
Herein, ADSC-differentiated adipogenic lineages were used in rat burn wounds to
evaluate wound healing potential. ADSCs were cultivated using six different
adipogenic differentiation conditions (IBMX +/- insulin, IBMX for 5 days, high
and low Dulbecco's modified Eagle's medium) and in vitro morphological changes
and cell proliferations during adipogenic differentiation were recorded.
Intermediate burn wounds were inflicted in 15 Wistar male rats. Afterwards, the
rats were divided into five groups for subcutaneous injections under the wounds:
control; ADSCs; differentiated adipocytes (-IBMX+INSULIN and +IBMX[D1-5]+INSULIN)
and fat prepared by Coleman technique. Macroscopic changes and histology were
documented for 3 weeks. Repeated measures analysis of variance was performed to
analyze cell growth and wound healing with a statistical level set of P < .05.
Induction cocktails significantly reduced proliferation and induced lipid droplet
accumulation. Conditioning without insulin induced the least lipid accumulation,
while discontinuing IBMX generated larger adipocytes (P < .001). Adipogenic
differentiated ADSCs had similar wound healing abilities with ADSC and fat
injections, but differentiated adipocytes (+IBMX[D1-5]+INSULIN) and fat grafting
accelerated the early healing process relative to ADSC (P < .001). Reduced
fibrosis and mild inflammatory infiltration limited to superficial dermis were
observed in +IBMX(D1-5)+INSULIN and fat injection groups, while those reactions
were mild to moderate in ADSC group. Differentiated adipocytes achieve similar
wound healing results compared with ADSC and fat injections, but differentiated
adipocytes (+IBMX[D1-5]+INSULIN) and fat grafting accelerate early healing
relative to ADSC.
PMID- 27893581
TI - The Effect of Socioeconomic Status and Parental Demographics on Activation of
Department of Child and Family Services in Pediatric Burn Injury.
AB - Burns resulting from child maltreatment are tragic causes of significant
morbidity and mortality, most commonly affecting children under 3 years of age.
More than one third of nonaccidental burns occur in single-parent homes or have
parents with history of mental illness, substance abuse, incarceration, or
Department of Children and Family Services (DCFS) involvement. The authors sought
to profile pediatric burn injuries associated with DCFS investigations. They
performed a retrospective chart review of pediatric burn patients, admitted
between January 1, 2011 and December 31, 2014. They analyzed patient and
household demographics, family composition, employment, zip code, insurance,
etiology, percent TBSA burned, surgical interventions, length of hospital stay,
disposition, prior DCFS involvement, and DCFS investigation outcomes. There were
126 DCFS investigations involving patients with average age of 2.6 +/- 3.2 years
and 5 +/- 5.6% TBSA burn. Scalds were the most prevalent etiology at 76%. Parents
involved with DCFS were 5 years younger than those without DCFS. Factors
associated with increased odds of DCFS investigation were non-Caucasian race,
single-parent homes, unemployed primary caretaker, Medicaid utilization, and
prior DCFS involvement. A majority of DCFS investigations were initiated at
outside hospitals, and they found one third to be substantiated cases of abuse.
Non-Caucasian children, under 3 years of age, from lower socioeconomic or single
parent homes, are associated with higher rates of DCFS investigations. The
majority of DCFS investigations were unsubstantiated and there were no
significant epidemiological differences between unsubstantiated and substantiated
cases of abuse. Improved understanding of sociodemographic risk factors for
children at higher risk for negligence or intentional abuse warrants focused
public health programs on regional prevention and education.
PMID- 27893582
TI - Basic Burns Management E-Learning: A New Teaching Tool.
AB - Burns teaching is organized only in a few medical schools in the United Kingdom.
An e-learning tutorial was developed with the objective of incorporating burns
teaching within the medical school curriculum. A 33-webpage e-learning was
created, covering topics such as local and general response to burns, assessment
of burns, first aid, primary and secondary survey, and referral guidelines.
Medical student satisfaction was then evaluated using a 12-question feedback
survey rated based on a Likert scale from 1 (very poor) to 5 (very good). The 12
question survey was completed by a total of 18 medical students ranging from
second to fourth years (second = 17%, third = 22%, fourth = 61%). While only a
couple of students had received prior burns teaching, 50% of the cohort had an
interest to pursue surgery as a career. The majority of students (72%) would be
interested to have an e-learning module on basic burns management in their
medical curriculum. The means of all domains specific to the e-learning were
rated as "good" or "very good." Students' rating for ease of use was 87%,
usefulness was 88%, relevance to the medical curriculum was 90%, clarity and
quality of content were 78% and 83%, respectively, design was 79%, and the
overall satisfaction with this e-learning was 87%. The "Basic Burns Management" e
learning tutorial can provide an efficient and effective means of information
delivery to medical students and junior doctors, allowing easy and fast
incorporation of burns teaching within the medical curriculum and in other
medical teaching settings.
PMID- 27893583
TI - Retinal Vessel Oxygen Saturation and Vessel Diameter in Inactive Graves
Ophthalmopathy.
AB - PURPOSE: To investigate whether inactive thyroid-associated ophthalmopathy (TAO)
affects retinal oxygen saturation and/or vessel diameter. METHODS: Via an
observational case-control study, retinal circulation was measured in patients
with inactive TAO (mild, moderate, and severe) and normal subjects by retinal
oximetry. Complete ophthalmologic examination, including noncontact tonometry and
Hertel exophthalmometry, was performed; history of smoking and dysthyroid disease
were recorded. Analysis of variance or the Kruskal-Wallis test was used to
compare oximetry values between TAO and controls. Simple linear regression was
used to analyze the correlation of Hertel, smoking, and intraocular pressure with
oximetry values. RESULTS: Seventy-six eyes were enrolled: 19 controls, and 17
mild, 21 moderate, and 19 severe inactive TAO. Retinal oxygen saturation did not
change significantly in inactive TAO versus controls; arteriole saturation:
severe, 95.7% +/- 7.0%; moderate, 93.2% +/- 3.9%; mild, 90.3% +/- 4.8%; and
controls, 93.1% +/- 6.4%; vein saturation: severe, 57.4% +/- 7.1%; moderate,
59.0% +/- 7.0,; mild, 56.3% +/- 7.9%; and controls, 58.5% +/- 6.5%; arteriovenous
saturation: severe, 38.3% +/- 8.0%; moderate, 34.2% +/- 7.1%; mild, 33.9% +/-
6.8%; and controls, 34.6% +/- 5.9%. However, retinal venous diameter with severe
TAO (137.3 +/- 12.5 MUm) significantly decreased in comparison with controls
(148.8 +/- 10.2 MUm, p = 0.017). Otherwise, no significant change in vessel
diameter was found between TAO and controls. No statistically significant
correlations were found between Hertel values or intraocular pressure and
oximetry values. However, there was a positive significant correlation between
smoking and arteriovenous oxygen saturation (p = 0.017, beta = 4.61).
CONCLUSIONS: In inactive TAO versus controls, retinal oxygen saturation
fluctuated and could be affected by smoking; however, the retinal venous diameter
only decreased significantly for severe TAO. This implies that TAO may affect
retinal circulation; this effect could be accelerated by smoking.
PMID- 27893584
TI - Facial Pyoderma Gangrenosum Presenting With Cranial Nerve VII Palsy and
Cicatricial Ectropion.
PMID- 27893585
TI - Conjunctival Melanoma Responsive to Combined Systemic BRAF/MEK Inhibitors.
AB - This report demonstrates a unique case of conjunctival melanoma harboring a BRAF
V600E mutation responsive to systemic therapy with BRAF and MEK inhibitors. While
systemic therapy would not be appropriate in patients with local disease alone,
it may act therapeutically in cases of higher stage ocular surface and eyelid
melanoma.
PMID- 27893586
TI - Spiritual Immersion: Developing and Evaluating a Simulation Exercise to Teach
Spiritual Care to Undergraduate Nursing Students.
AB - This article describes the development, implementation, and evaluation of a
simulation exercise designed to teach spiritual care to prelicensure nursing
students. Participation in a spiritual care simulation resulted in improved
knowledge and attitudes relating to spirituality and spiritual care. The results
from this study demonstrate the value of using simulation and spiritual
assessment to teach students how to recognize and respond therapeutically to
spiritual needs.
PMID- 27893587
TI - Measuring Childhood Adversity in Life Course Cardiovascular Research: A
Systematic Review.
AB - OBJECTIVE: Identifying the life course health effects of childhood adversity is a
burgeoning area of research, particularly in relation to cardiovascular disease
(CVD). However, adversity measurement varies widely across studies, which may
hamper our ability to make comparisons across studies and identify mechanisms
linking adversity to CVD. The purposes of this review are to summarize adversity
measurement approaches in the context of CVD, identify gaps, and make
recommendations for future research. METHODS: PubMed and PsycINFO searches were
conducted through June 2016. Studies were selected if CVD end point or predisease
risk markers were investigated in association with a measure of childhood
adversity. Forty-three studies were reviewed. A meta-analysis was not conducted
because of the variation in exposures and outcomes assessed. RESULTS: Adversity
measurement was heterogeneous across studies. Metrics included different sets of
adverse events, relational factors, and socioeconomic indicators. Thirty-seven
percent measured childhood adversity prospectively, 23% examined a CVD end point,
and 77% treated adversity as an unweighted summary score. Despite the
heterogeneity in measurement, most studies found a positive association between
childhood adversity and CVD risk, and the association seems to be dose-response.
CONCLUSIONS: The literature on childhood adversity and CVD would benefit from
improving consistency of measurement, using weighted adversity composites,
modeling adversity trajectories over time, and considering socioeconomic status
as an antecedent factor instead of a component part of an adversity score. We
suggest conceptual and analytic strategies to enhance, refine, and replicate the
observed association between childhood adversity and CVD risk.
PMID- 27893588
TI - Suite PET/CT neuroimaging for the diagnosis of Parkinson's disease: statistical
parametric mapping analysis.
AB - OBJECTIVES: The aim of this study was to investigate the topographical
distribution of dopamine transporter (DAT), dopamine D2 receptor, and glucose
metabolism in Parkinson's disease (PD) using PET/computed tomography (CT)
scanning and statistical parametric mapping (SPM) analysis. PARTICIPANTS AND
METHODS: Seventy-four patients (58 PD patients and 16 normal controls) underwent
DAT, D2 receptor, and glucose brain PET/CT scans using C-methyl-N-2-beta
carbomethoxy-3-beta-(4-fluorophenyl) tropane (C-beta-CFT), C-raclopride (C-RAC),
and fluorine-18-fluorodeoxyglucose (F-FDG) radiotracers for the respective scans.
All three PET/CT procedures were performed in each participant. The uptake
patterns were analyzed using SPM software. RESULTS: Striatal DAT binding was
lower in PD patients than in controls, whereas D2 receptor binding did not differ
between PD patients and controls. D2 receptor binding was increased in the
putamen in only the 12 drug-naive patients. Glucose uptake was also slightly
lower in the cingulate gyrus of PD patients than in the controls. CONCLUSION:
Suite PET/CT scans using the ligands C-beta-CFT, C-RAC, and F-FDG PET/CT are
valuable for diagnosing PD. SPM-based analysis of static PET/CT scan data is
potentially of great clinical use.
PMID- 27893589
TI - The role of PSMA PET/CT imaging in restaging of prostate cancer patients with low
prostate-specific antigen levels.
AB - INTRODUCTION: Prostate-specific membrane antigen (PSMA) is increasingly being
recognized as a novel target for the PET imaging of prostate cancer (PCa) and Ga
DKFZ-11 (Ga-PSMA) has been suggested as a novel tracer for detection of PCa
relapses and metastases. The aim of this study was to evaluate the diagnostic
value of PSMA PET/CT in the diagnosis of recurrent PCa with low prostate-specific
antigen (PSA) levels. PATIENTS AND METHODS: We carried out a retrospective
analysis of patients who underwent PSMA PET/CT from November 2013 to December
2014 in our department. Among these patients, 50 out of 178 who had increasing
PSA levels (<5 ng/ml) and did not have known metastasis were included in this
study. RESULTS: Patients had an average PSA of 1.41 ng/ml. A total of 29 patients
(58%) showed at least one positive lesion. PET positivity rates of 31% (n=4), 54%
(n=13), and 88% (n=14) were observed in patients with a PSA level of less than
0.2, 0.2-2, and 2-5 ng/ml, respectively. A positive correlation was observed
between positivity rate and Gleason scores and blood PSA levels. Verification was
performed in 46 patients, with biopsy (n=3) and follow-up, and conventional
imaging studies at the time of the PET/CT or during follow-up with a mean period
of 10.6+/-3.3 months and ranged from 3.8 to 16.4 months. According to patient
based analysis of 46 cases, 57% of patients had true positive, 24% of patients
had true negative, 2% of patients had false positive, an 18% of patients had
false-negative findings. A sensitivity of 76.47% (95% confidence interval: 58.83
89.25%) and a specificity of 91.67% (95% confidence interval: 61.52-99.79%) were
found. CONCLUSION: PET/CT with Ga-PSMA is a valuable tool for assessing
recurrence of PCa with a high sensitivity in patients who have PSA levels between
0.2 and 5 ng/ml. In addition, this study suggests that PSMA PET/CT can be used in
patients with very low (<0.2 ng/ml) but increasing PSA levels, which, in many
cases, may influence further clinical management.
PMID- 27893590
TI - Association study of the GLRX5 rs1007814 polymorphism with schizophrenia in the
Han Chinese population.
PMID- 27893591
TI - ENDOPHTHALMITIS IN A PATIENT TREATED WITH BACILLUS CALMETTE-GUERIN IMMUNOTHERAPY.
AB - BACKGROUND: Intravesical Bacillus Calmette-Guerin (BCG) instillation has become
one of the mainstays of adjunctive therapy in the treatment of superficial
bladder cancer. Ophthalmologic complications are rare, but few cases are reported
in the literature. METHODS: Retrospective observational case report. RESULTS: The
authors report a case of unilateral Mycobacterium bovis BCG endophthalmitis after
intravesical BCG instillations. Despite appropriate systemic antituberculous and
corticosteroid therapy, the patient almost completely lost sight in the affected
eye. This is the fourth case in the literature of proven M. bovis endophthalmitis
suggesting a direct choroidal mycobacterial infection and not only a
hypersensitivity immunologic reaction as previously suggested. CONCLUSION: This
case highlights the direct choroidal mycobacterial infection of the disease after
BCG instillations for bladder cancer and failure of treatment despite culture
proven drug sensitivity, thus suggesting the need to revaluate adequate treatment
to avoid loss of vision.
PMID- 27893592
TI - COUGHING-INDUCED SUPRACHOROIDAL HEMORRHAGE SIMULATING MELANOMA IN TWO CASES.
AB - PURPOSE: To describe two cases of coughing-induced suprachoroidal hemorrhage
referred as choroidal melanoma. METHODS: Observational case reports. RESULTS: Two
female patients (ages 54 and 60 years) were referred with possible choroidal
melanoma. Both gave a history of 1 day of heavy coughing with Valsalva maneuver
within 2 weeks before discovery of the tumor. In one case, the patient used
anticoagulants for aortic valve replacement and the other patient used antiasthma
medications. One patient noted sharp ocular pain one week before presentation to
this service. In both cases, the choroidal lesion was at the globe equator, near
a vortex ampulla, and appeared homogeneously dark brown and with acoustic
hollowness on ultrasonography, concerning for choroidal melanoma. However,
neither lesion demonstrated intrinsic vascularity on fluorescein angiography or
indocyanine angiography. Both lesions showed isoautofluorescence and optical
coherence tomography evidence of shallow choroidal folds with inner choroidal
elevation over a dome-shaped, optically-lucent deep choroidal mass, consistent
with suprachoroidal hemorrhage. Fine needle aspiration biopsy in 1 case confirmed
blood without melanoma. Both cases were observed with complete spontaneous
resolution of the hemorrhage and normal-appearing choroid within 2 months.
CONCLUSION: Coughing-induced suprachoroidal hemorrhage can simulate melanoma.
Clinical and imaging features can assist in diagnosis. The hemorrhage generally
resolves within few months.
PMID- 27893593
TI - Trends in Selected Measures of Racial and Ethnic Disparities in Gonorrhea and
Syphilis in the United States, 1981-2013.
AB - BACKGROUND: The purpose of this study was to examine selected measures of racial
and ethnic disparities in the reported incidence of syphilis and gonorrhea from
1981 to 2013 in the United States. METHODS: For each year, from 1981 to 2013, we
calculated values for 5 disparity measures (Gini coefficient, 2 versions of the
index of disparity, population attributable proportion, and the black-to-white
rate ratio) for 5 racial/ethnic categories (non-Hispanic white, non-Hispanic
black, Hispanic, American Indian/Alaska Native, and Asian/Pacific Islander). We
also examined annual and 5-year changes to see if the disparity measures agreed
on the direction of change in disparity. RESULTS: With a few exceptions, the
disparity measures increased from 1981 to 1993 and decreased from 1993 to 2013,
whereas syphilis and gonorrhea rates decreased for most groups from 1981 to 1993
and increased from 1993 to 2013. Overall, the disparity measures we examined were
highly correlated with one another, particularly when examining 5-year changes
rather than annual changes in disparity. For example, all 5 measures agreed on
the direction of change in the disparity of syphilis in 56% of the annual
comparisons and in 82% of the 5-year comparisons. CONCLUSIONS: Although the
disparity measures we examined were generally consistent with one another, these
measures can sometimes yield divergent assessments of whether racial/ethnic
disparities are increasing or decreasing for a given sexually transmitted disease
from one point in time to another, as well as divergent assessments of the
relative magnitude of the change.
PMID- 27893594
TI - Sexually Transmitted Disease Program Evolution in Response to Changes in the
Public Health Environment: A Massachusetts Example.
AB - BACKGROUND: In 2008, the line item supporting sexually transmitted disease (STD)
services in the Massachusetts state budget was cut as a result of budget
shortfalls. Shortly thereafter, direct provision of STD clinical services
supported by the Massachusetts Department of Public Health (MDPH) was suspended.
Massachusetts Department of Public Health requested an initial assessment of its
internal response and impact in 2010. A follow-up assessment occurred in
September 2013. METHODS: In 2010 and 2013, 39 and 46 staff, respectively, from
MDPH and from clinical partner agencies, were interviewed about changes in the
role of the MDPH, partnerships, STD services, challenges, and recommendations.
Interview notes were summarized, analyzed, and synthesized by coauthors using
qualitative analysis techniques and NVivo software. RESULTS: The withdrawal of
state funding for STD services, and the subsequent reduction in clinical service
hours, erected numerous barriers for Disease Intervention Specialists (DIS)
seeking to ensure timely STD treatment for index cases and their partners. After
initial instability, MDPH operations stabilized due partly to strong management,
new staff, and intensified integration with human immunodeficiency virus
services. Existing contracts with human immunodeficiency virus providers were
leveraged to support alternative STD testing and care sites. Massachusetts
Department of Public Health strengthened its clinical and epidemiologic
expertise. The DIS expanded their scope of work and were outposted to select new
sites. Challenges remained, however, such as a shortage of DIS staff to meet the
needs. CONCLUSIONS: Although unique in many ways, MA offers experiences and
lessons for how a state STD program can adapt to a changing public health
context.
PMID- 27893595
TI - The Impact of Prescriptions on Sex Partner Treatment Using Expedited Partner
Therapy for Chlamydia trachomatis Infection, New York City, 2014-2015.
AB - BACKGROUND: Chlamydia trachomatis reinfections, often resulting from resuming sex
with untreated partners, can increase the risk of pelvic inflammatory disease,
infertility, and ectopic pregnancy. Expedited partner therapy (EPT) has been
shown to prevent reinfection when provided as medication (Medication-EPT) that
patients give to sex partners; however, EPT is often provided as a prescription
(Prescription-EPT). We compared partner treatment outcomes for Medication-EPT
versus Prescription-EPT. METHODS: We conducted telephone interviews from October
2014 to October 2015 with a population-based random sample of women aged 15 to 25
years diagnosed with Chlamydia trachomatis. Interview questions included:
demographics, patient-treatment, EPT type, and patient report of partner
treatment. The main outcomes explored were: proportion of women receiving EPT,
proportion of Prescription-EPT and Medication-EPT, and proportion of partners
reported as treated. We used chi and Fisher exact tests for analysis. RESULTS: A
total of 421 women completed the interview; 357 (84.8%) of 421 women reported
having been treated, and 109/357 (30.5%) received EPT for any partner. Women
given a prescription (vs medication) for their own treatment were more likely to
receive EPT (odds ratio, 1.57; P = 0.05) and to receive Prescription-EPT
specifically (odds ratio, 6.85; P < 0.0001). Forty-eight (52.2%) of 92 patients
who received EPT for their most recent partner received Prescription-EPT. There
was no difference by EPT type in proportion of index patients reporting partner
treatment: 77.1% (37/48) for Prescription-EPT versus 79.5% (35/44) for Medication
EPT (P > 0.05). CONCLUSIONS: Prescription-EPT and Medication-EPT appear to result
in comparable rates of partner treatment. Further research is needed to assess
the effects of Prescription-EPT on partner treatment among adolescents and in
other contexts.
PMID- 27893596
TI - Pharmacists' Knowledge and Practices Surrounding Expedited Partner Therapy for
Chlamydia trachomatis, New York City, 2012 and 2014.
AB - BACKGROUND: Health care providers in New York City can prescribe treatment for
Chlamydia trachomatis (Ct) for a patient's partner without the partner having a
medical evaluation ("prescription-expedited partner therapy" [EPT]), and use of
prescription-EPT is common. However, there is little known about pharmacists'
knowledge and practices surrounding EPT. METHODS: Two cross-sectional surveys, in
2012 and 2014, were conducted with representative samples of supervising
pharmacists in NYC neighborhoods with high rates of Ct infection. RESULTS: In
both survey years, the majority of pharmacists who agreed to participate returned
a survey (2012: 81% [83/103], 2014: 61% [106/173]), and pharmacist and pharmacy
characteristics were similar across the 2 surveys. Pharmacists' EPT-related
knowledge and practice was generally low, with little change between 2012 and
2014. In both years, fewer than half of pharmacists knew EPT was legal (2012,
46%; 2014, 42%). There were even decreases in specific content knowledge; in
2014, significantly fewer of the pharmacists who knew EPT was legal, knew that
the initials "EPT" must be written in the body of the prescription (2012: 58%;
2014: 36%, P < 0.05). Most pharmacists in both survey years reported they had
never received an EPT prescription, and those who had reported only infrequent
receipt. CONCLUSIONS: NYC pharmacists had low levels of knowledge and familiarity
with EPT law and reported infrequent receipt of EPT prescriptions. Pharmacists
and providers should be further educated about EPT laws and regulations so that
prescription-EPT use can be accurately monitored, and to assure the success of
this partner treatment strategy.
PMID- 27893597
TI - Partner Notification Outcomes for Men Who Have Sex With Men Diagnosed With
Syphilis Referred to Partner Notification Officers, Melbourne, Australia.
AB - BACKGROUND: We examined the extent of partner notification for men who have sex
with men (MSM) who were diagnosed with syphilis, and offered referral to a
partner notification officer (PNO), to assist them with informing recent sexual
partners. METHODS: Between October 2013 and March 2015, MSM diagnosed with
syphilis at the Melbourne Sexual Health Centre were routinely offered a referral
to a PNO. The outcomes of this intervention were evaluated including the
proportion of men who accepted PNO services and the number of sexual partners
informed by the PNO. RESULTS: There were 380 MSM diagnosed with syphilis. Those
with primary or secondary syphilis reported a total of 813 partners over 3 months
and those with early latent syphilis reported a total of 1400 partners over 12
months. Sixty nine percent of index men (n = 262) had documented discussion of
partner notification, 34% (n = 128) accepted PNO referral, and 28% (n = 105) were
contacted by the PNO, 58 of whom reported they had already notified partners
themselves. Only 14 index men (4%) provided the PNO with contact details for 28
partners, 25 of whom were notified by the PNO. Among those interviewed, the most
common barrier to partner notification was the presence of anonymous partners and
absence of contact details for partners. CONCLUSIONS: Despite offering an
effective PNO service in our centre, ultimately only 4% of MSM with syphilis had
at least 1 partner notified by the PNO with patients declining the PNO service
and anonymous partners posing the major barriers. Alternative patient initiated
methods for improving partner notification for syphilis among MSM using newer
communication technologies are required.
PMID- 27893598
TI - Acceptability and Effectiveness of Assisted Human Immunodeficiency Virus Partner
Services in Mozambique: Results From a Pilot Program in a Public, Urban Clinic.
AB - BACKGROUND: Assisted partner services (APS) involves offering persons with human
immunodeficiency virus (HIV) assistance notifying and testing their sex partners.
Assisted partner services is rarely available in sub-Saharan Africa. We
instituted a pilot APS program in Maputo, Mozambique. METHODS: Between June and
September 2014, community health workers (CHWs) offered APS to persons with newly
diagnosed HIV (index patients [IPs]). Community health workers interviewed IPs at
baseline, 4 and 8 weeks. At baseline, CHWs counseled IPs to notify partners and
encourage their HIV testing, but did not notify partners directly. At 4 weeks,
CHWs notified partners directly. We compared 4- and 8-week outcomes to estimate
the impact of APS on partner notification, HIV testing and HIV case finding.
RESULTS: Community health workers offered 223 IPs APS, of whom 220 (99%)
accepted; CHWs collected complete follow-up data on 206 persons; 79% were women,
74% were married, and 50% named >1 sex partner. Index patients named 262 HIV
negative partners at baseline. At 4 weeks, before APS, IPs had notified 193
partners (74%), but only 82 (31%) had HIV tested; 43 (13%) tested HIV positive.
Assisted partner services resulted in the notification of 22 additional partners,
testing of 83 partners and 43 new HIV diagnoses. In relative terms, APS increased
partner notification, testing, and HIV case finding by 13%, 101%, and 125%.
Seventy-two (35%) of 206 IPs were in ongoing HIV serodiscordant partnerships.
Only 2.5 IPs needed to receive APS to identify a previously undiagnosed HIV
infected partner or an ongoing HIV serodiscordant partnership. Two (1%) IPs
reported APS-related adverse events. CONCLUSIONS: Assisted partner services is
acceptable to Mozambicans newly diagnosed with HIV, identifies large numbers of
serodiscordant partnerships and persons with undiagnosed HIV, and poses a low
risk of adverse events.
PMID- 27893599
TI - How Underestimates of Need Contribute to Biased Conclusions.
PMID- 27893601
TI - Reducing Missed Opportunities: Pairing Sexually Transmitted Infection Screening
With Syringe Exchange Services.
AB - About 17.5% of sexually active injection drug users recruited from a syringe
exchange in Camden, NJ (n = 120) screened positive for chlamydia/gonorrhea. Among
these cases, 40% were detected via extragenital screening. This pilot
demonstrates that colocating sexually transmitted infection control with syringe
exchange leads to sexually transmitted infection case finding.
PMID- 27893600
TI - Prevalence and Correlates of Genital Infections Among Newly Diagnosed Human
Immunodeficiency Virus-Infected Adults Entering Human Immunodeficiency Virus Care
in Windhoek, Namibia.
AB - BACKGROUND: Identifying and treating genital infections, including sexually
transmitted infections (STI), among newly diagnosed human immunodeficiency virus
(HIV)-infected individuals may benefit both public and individual health. We
assessed prevalence of genital infections and their correlates among newly
diagnosed HIV-infected individuals enrolling in HIV care services in Namibia.
METHODS: Newly diagnosed HIV-infected adults entering HIV care at 2 health
facilities in Windhoek, Namibia, were recruited from December 2012 to March 2014.
Participants provided behavioral and clinical data including CD4+ T lymphocyte
counts. Genital and blood specimens were tested for gonorrhea, Chlamydia,
trichomoniasis, Mycoplasma genitalium, syphilis, bacterial vaginosis, and
vulvovaginal candidiasis. RESULTS: Among 599 adults, 56% were women and 15%
reported consistent use of condoms in the past 6 months. The most common
infections were bacterial vaginosis (37.2%), trichomoniasis (34.6%) and Chlamydia
(14.6%) in women and M. genitalium (11.4%) in men. Correlates for trichomoniasis
included being female (adjusted relative risk, [aRR], 7.18; 95% confidence
interval [CI], 4.07-12.65), higher education (aRR, 0.58; 95% CI, 0.38-0.89), and
lower CD4 cell count (aRR, 1.61; 95% CI, 1.08-2.40). Being female (aRR, 2.39; 95%
CI, 1.27-4.50), nonmarried (aRR, 2.30; (95% CI, 1.28-4.14), and having condomless
sex (aRR, 2.72; 95% CI, 1.06-7.00) were independently associated with chlamydial
infection. Across all infections, female (aRR, 2.31; 95% CI, 1.79-2.98),
nonmarried participants (aRR, 1.29; 95% CI, 1.06-1.59), had higher risk to
present with any STI, whereas pregnant women (aRR, 1.16, 95% CI 1.03-1.31) were
at increased risk of any STI or reproductive tract infection.
PMID- 27893602
TI - Human Immunodeficiency Virus-Infected Man With Acute Visual Impairment and
Panuveitis Due to Syphilis Despite Initially Negative Rapid Plasma Reagin.
PMID- 27893603
TI - Young Low-Risk Heterosexual Clients Prefer a Chlamydia Home Collection Test to a
Sexually Transmitted Infection Clinic Visit in Amsterdam, the Netherlands, A
Cross-Sectional Study.
AB - BACKGROUND: Home-based self-collection of specimens for urogenital and anorectal
chlamydia testing has been proven feasible and acceptable. We studied the
efficiency of chlamydia home collection kits for young low-risk persons to
optimize care at the Amsterdam sexually transmitted infection (STI) clinic.
METHODS: Low-risk heterosexual persons under 25 years submitting an appointment
request online were offered 3 different ways of chlamydia testing: (1) receiving
a home collection kit, (2) coming to the clinic without, or (3) with sexual
health counseling. The collection kit was sent to the client by surface mail and
was used to self-collect a vaginal swab or urine sample (men). This sample was
sent back to the laboratory for testing and the results could be retrieved
online. Testing for gonorrhea, syphilis, and human immunodeficiency virus was
indicated after testing chlamydia-positive. RESULTS: Between September 2012 until
July 2013, from 1804 online requests, 1451 (80%) opted for the home collection
kit, 321 (18%) preferred an appointment at the clinic without, and 32 (2%) with
sexual health counseling. Of the requested home collection kits, 88% were
returned. Chlamydia was diagnosed in 6.0% of the clients receiving a home
collection kit, and none of the chlamydia-positive clients tested positive for
other STI. CONCLUSIONS: Home collection is the preferred method for most young
low-risk heterosexual clients who seek STI care. With a high compliance to
collect and return the samples, home collection can be used as a tool to increase
efficiency and dedicate STI clinic workers efforts to those at highest risk.
PMID- 27893606
TI - Cochlear, brainstem, and psychophysical responses show spectrotemporal tradeoff
in human auditory processing.
AB - Auditory filter theory posits a tradeoff in time-frequency analysis: high
temporal precision is achievable only at the expense of poorer frequency
resolution and vice versa. Here, we examined the hierarchy of brain mechanisms of
these spectrotemporal tradeoffs through a series of physiological and behavioral
measures aimed to tap temporal and spectral acuity at different levels of the
auditory neuroaxis (cochlea->brainstem->percept). Cochlear and behavioral
frequency selectivity was measured by stimulus-frequency otoacoustic emissions
(SFOAE) and psychophysical tuning curves; temporal acuity was measured
physiologically and behaviorally by paired click recovery of auditory brainstem
responses (ABRs) and gap detection thresholds (GDTs), respectively. Comparison of
physiological and behavioral estimates of temporal acuity and frequency tuning
showed high consistency between measurement domains with temporal thresholds of
~3-4 ms and filter tuning Q3~10 across brain and behavioral measures. Cochlear
SFOAE estimates of tuning inversely predicted listeners' temporal acuity
estimated from both brainstem ABRs and behavioral GDTs. The high predictive power
of cochlear responses on temporal thresholds and similarity between time
frequency tradeoffs measured at progressively higher levels of the processing
hierarchy (brainstem, behavior) suggest that the temporal resolution of human
hearing established in the cochlea might be inherited at progressively higher
levels of the hearing pathway.
PMID- 27893605
TI - Synergistic combinations of five single drugs from Centella asiatica for neuronal
differentiation.
AB - To identify alternatives of nerve growth factor, which could promote NF68 protein
expression and contribute toward neuronal differentiation, five compounds namely:
asiatic acid, madecassic, madecassoside, quercetin, and isoquercetin, obtained
from Centella asiatica, were examined for their neuronal differentiation effects
on PC12 cells. C. asiatica has been applied as an effective herbal medicine for
the treatment of various diseases, including depression. According to a
statistical design of experiments, both single compound and compound combinations
were evaluated. A further statistical analysis indicated quantitative
interactions between these five single compounds and led to the identification of
the optimal drug combinations. Asiatic acid and madecassic appeared to show
profound synergistic effects on neurofilaments expression in vitro. The optimized
drug combinations were significantly more potent than single drugs and further
investigation suggested that the optimal drug combination could be an analogue of
nerve growth factor and could represent a potential treatment for
neurodegenerative diseases.
PMID- 27893604
TI - Implementation of Preexposure Prophylaxis for Human Immunodeficiency Virus
Prevention Among Men Who Have Sex With Men at a New England Sexually Transmitted
Diseases Clinic.
AB - BACKGROUND: Preexposure prophylaxis (PrEP) is efficacious in preventing human
immunodeficiency virus (HIV) among men who have sex with men (MSM). We assessed
PrEP uptake among MSM presenting for services at a sexually transmitted diseases
(STD) clinic. METHODS: Men who have sex with men presenting to the Rhode Island
STD Clinic between October 2013 and November 2014 were educated about, and
offered, PrEP. We categorized PrEP engagement using an implementation cascade to
describe gaps in uptake which described MSM who: (1) were educated about PrEP,
(2) indicated interest, (3) successfully received follow-up contact, (4)
scheduled an appointment, (5) attended an appointment, and (6) initiated PrEP
(ie, received a prescription). Bivariate and multivariable logistic regression
models were used to examine predictors of PrEP initiation. RESULTS: A total of
234 MSM were educated about PrEP; of these, 56% expressed interest. Common
reasons for lack of interest were low HIV risk perception (37%), wanting more
time to consider (10%), concern about side effects (7%), and financial barriers
(3%). Among those interested, 53% followed up. Of those, 51% scheduled an
appointment. The most common reason patients did not schedule an appointment was
low HIV risk perception (38%). Seventy-seven percent of those with an appointment
attended the appointment; of those, 93% initiated PrEP. Patients with higher HIV
risk perception (adjusted odds ratios, 2.17; 95% confidence interval, 1.29-3.64)
and a history of sex with an HIV-positive partner (adjusted odds ratios, 7.08;
95% confidence interval, 2.35-21.34) had significantly higher odds of initiating
PrEP. CONCLUSIONS: Low HIV-risk perception was the most significant barrier to
PrEP uptake among MSM attending a public STD clinic.
PMID- 27893607
TI - Acupuncture does not protect against 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine
induced damage of dopaminergic neurons in a preclinical mouse model of
Parkinson's disease.
AB - Acupuncture treatment, a complementary and alternative medicine, is associated
with a suggested neuroprotective effect in previous preclinical studies of
Parkinson's disease (PD); however, results from human clinical trials have been
mixed or unsuccessful. Recent systematic reviews of translational neuroprotective
studies showed that the supposed efficacy is confounded by low methodological
quality, particularly by a lack of randomization and concealed allocation. We
sought to replicate previous experimental findings with a study design that
mitigates the introduction of bias, including randomization, blinded outcome
measures, sham acupuncture application, and allocation concealment by blinded
neurotoxin administration. We performed 12 sessions of manual acupuncture at
acupoint GB34 (yanglingquan) in mice that were administered the 1-methyl-4-phenyl
1,2,3,6-tetrahydropyridine neurotoxin for five consecutive days. In this animal
model of PD, acupuncture treatment did not attenuate tyrosine hydroxylase
immunoreactive neuronal death, depletion of striatal dopamine levels, or reduced
striatal tyrosine hydroxylase expression. Our results indicate that acupuncture
is not neuroprotective against nigrostriatal loss in a subacute 1-methyl-4-phenyl
1,2,3,6-tetrahydropyridine mouse model of PD.
PMID- 27893608
TI - Ape1 protects against MPP+-induced neurotoxicity through ERK1/2 signaling in PC12
cells.
AB - Oxidative stress, induced by reactive oxygen species (ROS), is an apoptosis
activator. Oxidative stress causes dopaminergic neuron loss and plays a pivotal
role in the pathogenesis of Parkinson's disease (PD). A recent study showed that
apurinic/apyrimidinic endonuclease 1 (Ape1) decreases cytotoxicity and promotes
neuron survival under oxidative stress. Furthermore, it has been proven that Ape1
is involved in the pathogenesis of PD. However, little is known about the
contribution of Ape1 toward the development of PD. Thus, the present study was
designed to define a critical pathway by which Ape1 mediates neurotoxicity in a
model of PD. The results show that Ape1 was upregulated in MPP-treated PC12
cells. Ape1 overexpression significantly increased cell viability and inhibited
apoptosis compared with MPP treatment, whereas Ape1 knockdown showed the opposite
effect. Ape1 overexpression markedly suppressed ROS levels, whereas Ape1
knockdown significantly elevated ROS levels. Furthermore, Ape1 overexpression
markedly upregulated the p-ERK1/2 protein expression level and inhibited ERK1/2
signaling. The ERK1/2 inhibitor PD98059 significantly decreased cell viability
and increased apoptosis and the ROS level compared with the Ape1 overexpression
group. Taken together, these results suggest that Ape1 protects against neuron
death by activating the ERK1/2 signaling pathway.
PMID- 27893609
TI - Swimming exercise reduces preference for a high-fat diet by increasing insulin
sensitivity in C57BL/6 mice.
AB - The present study examined the effect of 4-week swimming training on the
preference for a high-fat diet and insulin sensitivity in mice. C57BL/6 J mice
were placed on either a low-fat diet or a choice diet (with both low-fat and high
fat diets available) for 6 weeks. During this period, a group of mice on the free
choice diet were randomly selected to receive a 4-week swimming exercise
intervention. Mice that received the swimming exercise intervention showed a
reduced preference for the high-fat diet as well as a slower rate of weight gain.
Moreover, changes in insulin sensitivity, tyrosine hydroxylase expression in the
ventral tegmental area-nucleus accumbens system, and the expression of IRS2,
IRS2, and high-fat diet-induced Akt phosphorylation in the nucleus accumbens were
delayed in the swimming exercise intervention group. Taken together, these
results suggest that swimming exercise regulates the dopaminergic reward system
to decrease high-fat diet intake, thereby controlling body weight to prevent
obesity, in a manner likely mediated by increased insulin signal transduction in
the nucleus accumbens.
PMID- 27893610
TI - Slit2/Robo1 promotes synaptogenesis and functional recovery of spinal cord
injury.
AB - Neuronal network reconstruction is a pivotal determinant for functional recovery
after spinal cord injury (SCI), the process of which includes synaptogenesis.
Slit2 protein has been identified as a key regulator of axon regeneration and
synapse formation in the vertebrate. Meanwhile, RhoA is the converging cascade of
inhibitory molecules that interrupt synaptic plasticity in SCI. In the present
study, we investigated the interaction among Slit2, Robo1, and RhoA and the
potential roles of Slit2 in the pathological process of SCI. We showed that Slit2
was decreased, whereas Robo1 and RhoA were increased in the same surviving
neurons in the spinal cord following SCI. We also found that inhibition of Slit2
led to upregulation of the expression of Robo1 and RhoA. However, the severe
dysfunctions of the locomotor performance induced by SCI were reversed by
treatments of Slit2-N, the active portion of Slit2, knockdown of Robo1 by the
RNAi lentivirus, or inhibition of RhoA by the C3 exoenzyme, respectively. Further
results suggested that downregulation of Slit2 and therefore upregulation of
Robo1 and RhoA inhibited the activity of growth cone and hindered the formation
of new synapses of surviving neurons near the injury sites of the spinal cord
following SCI. Our study indicated a new mechanism of deficiency of
synaptogenesis during the development of SCI and provided a potential strategy
for the treatment of SCI.
PMID- 27893611
TI - Absence of miR-182 Augments Cardiac Allograft Survival.
AB - BACKGROUND: MicroRNAs (miRNAs) are small noncoding RNA molecules that regulate
the posttranscriptional expression of target genes and are important regulators
in immune responses. Previous studies demonstrated that the miRNA, miR-182 was
significantly increased during allograft rejection. Further, the transcription
factor Forkhead box (FOX) protein 1, (FOXO1) was shown to be a target of miR-182.
The aim of this study is to further examine the role of miR-182 in alloimmune
responses. METHODS: Transplantation of BALB/c cardiac allografts was performed in
C57BL/6, miR-182, B6.129S-H2 (MHC II and CD4 T cell-deficient) and B6.129S2-Tap1
(MHC I and CD8 T cell-deficient) mice, with or without CTLA-4Ig administration. T
cell phenotype, FOXO1 protein levels and graft infiltrating lymphocytes were
determined in C57BL/6 or miR-182 mice by flow cytometric analysis, Western blot,
and immunohistochemistry, respectively. RESULTS: We now show that T cells, mainly
CD4 are the main cellular source of miR-182 during allograft rejection. In the
absence of miR-182, CTLA-4Ig treatment significantly increased allograft survival
(31.5 days C57BL/6 vs 60 days miR-182; P < 0.01). Further, CTLA4-Ig treatment
inhibits miR-182 expression, increases FOXO1 levels, and reduces the percentage
of CD4CD44 T cells after transplantation. Fewer T cells infiltrate the cardiac
allografts, and memory T cells are significantly decreased in allograft
recipients deficient in miR-182 with CTLA4-Ig treatment (P < 0.01). CONCLUSIONS:
Our findings suggest that miR-182 contributes to the T-cell responses to
alloantigen especially under costimulation blockade. Therapeutics that target
specific miRNAs may prove beneficial in transplantation.
PMID- 27893612
TI - The Influence of Timing and Frequency of Adipose-Derived Mesenchymal Stem Cell
Therapy on Immunomodulation Outcomes After Vascularized Composite
Allotransplantation.
AB - BACKGROUND: Cellular therapies for immunomodulation in vascularized composite
allotransplantation (VCA) have gained importance due to their potential for
minimization of immunosuppression. Adipose-derived (AD) mesenchymal stem cells
(MSCs) especially have shown encouraging potential. We investigated the influence
of timing and frequency of AD-MSC treatment on immunologic and graft survival as
well as graft vasculopathy outcomes after VCA. METHODS: Lewis rats received full
mismatched Brown Norway rat hindlimb transplants. Recipient animals were assigned
to groups receiving donor-derived AD-MSCs (10 cells/animal) either on
postoperative day (POD) 1, POD 4, or repeatedly on POD 4, 8, and 15, and compared
to untreated controls. RESULTS: Although AD-MSC administration on POD 1 or POD 4,
8, and 15 resulted in 50% long-term graft acceptance, recipients treated on POD
4, and controls rejected before POD 50. All treated animals revealed peripheral
blood chimerism (4 weeks), most pronounced after repetitive cell administration
(12.92% vs 5.03% [POD 1] vs 6.31% [POD 4]; P < 0.05; all P < 0.01 vs control
1.45%). Chimerism was associated with the generation of regulatory T cells
(CD4CD25FoxP3). In vitro mixed lymphocyte reactions revealed modulation of the
recipient immune response after AD-MSC treatment. Graft arteries at end point
revealed significant differences of arterial intimal thickness between rejecting
and AD-MSC-treated animals (P < 0.01). CONCLUSIONS: Taken together, our results
point to the potential for repetitive AD-MSC administration in improving outcomes
after VCA. Future studies are warranted into optimization of the dosing and
frequency of AD-MSC therapy, either alone or used in, combination with other cell
therapies (such as hematopoietic stem cells or bone marrow-derived MSC or
dendritic cells) for optimization of appropriate conditioning or maintenance
regimens.
PMID- 27893615
TI - Biomarkers for Cardiac Allograft Vasculopathy: Still Searching After All These
Years.
PMID- 27893614
TI - The Presence of Pretransplant HLA Antibodies Does Not Impact the Development of
Chronic Lung Allograft Dysfunction or CLAD-Related Death.
AB - BACKGROUND: Development of donor-specific antibodies (DSA) after lung
transplantation is associated with antibody mediated rejection, acute cellular
rejection, and bronchiolitis obliterans syndrome; however, the significance of
circulating antibodies before transplant remains unclear. METHODS: We performed a
retrospective cohort study including recipients of primary lung transplants
between 2008 and 2012. We assessed the impact of circulating HLA and noncytotoxic
DSA detected before transplant on development of Chronic Lung Allograft
Dysfunction (CLAD) or CLAD-related death. RESULTS: 30% of subjects had
circulating class I antibodies alone, 4% Class II, and 14.4% class I and class II
at mean fluorescent intensity greater than 1000. Nine percent of the subjects had
DSA class I, 9% class II, and 2.4% both DSA classes 1 and 2. Neither the presence
of circulating antibodies (adjusted hazard ratio, 0.87; 95% confidence interval,
0.50-1.54) nor the presence of DSA (adjusted hazard ratio, 1.56; 95% confidence
interval, 0.77-3.18) before transplant at mean fluorescent intensity greater than
1000 was associated with the development of CLAD or CLAD-related death.
CONCLUSIONS: Although in previous studies we have shown an increased incidence of
antibody-mediated rejection in patients with pretransplant DSA, neither the
presence of HLA antibodies nor DSA translated to an increased risk of allograft
dysfunction or death if prospective crossmatch testing was negative. Prospective
studies are needed to define the impact of pretransplant sensitization on lung
transplant recipients.
PMID- 27893616
TI - Immunological Risk Stratification by Assessing Both the HLA and Non-HLA-Specific
Antibodies: Time to Include Testing for Non-HLA Antibodies in the Routine
Clinical Antibody Analysis Profile?
PMID- 27893618
TI - Early resuscitation with lyophilized plasma provides equal neuroprotection
compared with fresh frozen plasma in a large animal survival model of traumatic
brain injury and hemorrhagic shock.
AB - BACKGROUND: Combined traumatic brain injury (TBI) and hemorrhagic shock (HS) is
highly lethal. In previous models of combined TBI + HS, we showed that early
resuscitation with fresh frozen plasma (FFP) improves neurologic outcomes.
Delivering FFP, however, in austere environments is difficult. Lyophilized plasma
(LP) is a logistically superior alternative to FFP, but data are limited
regarding its efficacy for treatment of TBI. We conducted this study to determine
the safety and long-term outcomes of early treatment with LP in a large animal
model of TBI + HS. METHODS: Adult anesthetized swine underwent TBI and volume
controlled hemorrhage (40% blood volume) concurrently. After 2 hours of shock,
animals were randomized (n = 5 per /group) to FFP or LP (1* shed blood)
treatment. Serial blood gases were drawn, and thromboelastography was performed
on citrated, kaolin-activated whole-blood samples. Five hours after treatment,
packed red blood cells were administered, and animals recovered. A 32-point
Neurologic Severity Score was assessed daily for 30 days (0 = normal, 32 = most
severe injury). Cognitive functions were tested by training animals to retrieve
food from color-coded boxes. Brain lesion size was measured on serial magnetic
resonance imaging, and an autopsy was performed at 30 days. RESULTS: The severity
of shock and the degree of resuscitation were similar in both groups.
Administration of FFP and LP was well tolerated with no differences in reversal
of shock or thromboelastography parameters. Animals in both groups displayed the
worst Neurologic Severity Score on postoperative Day 1 with rapid recovery and
return to baseline within 7 days of injury. Lesion size on Day 3 in FFP-treated
animals was 645 +/- 85 versus 219 +/- 20 mm in LP-treated animals (p < 0.05).
There were no differences in cognitive functions or delayed treatment-related
complications. CONCLUSIONS: Early treatment with LP in TBI + HS is safe and
provides neuroprotection that is comparable to FFP.
PMID- 27893617
TI - Differential Role of B Cells and IL-17 Versus IFN-gamma During Early and Late
Rejection of Pig Islet Xenografts in Mice.
AB - BACKGROUND: Xenogeneic islet transplantation is an emerging therapeutic option
for diabetic patients. However, immunological tolerance to xenogeneic islets
remains a challenge. METHODS: The current study used a pig-to-mouse discordant
xenogeneic islet transplant model to examine antidonor xenogeneic immune
responses during early and late rejection and to determine experimental
therapeutic interventions that promote durable pig islet xenograft survival.
RESULTS: We found that during early acute rejection of pig islet xenografts, the
rejecting hosts exhibited a heavy graft infiltration with B220 B cells and a
robust antipig antibody production. In addition, early donor-stimulated IL-17
production, but not IFN-gamma production, dominated during early acute rejection.
Recipient treatment with donor apoptotic 1-ethyl-3-(3'-dimethylaminopropyl)
carbodiimide-treated splenocytes significantly inhibited antidonor IL-17
response, and when combined with B cell depletion and a short course of rapamycin
led to survival of pig islet xenografts beyond 100 days in approximately 65%
recipients. Interestingly, treated recipients in this model experienced late
rejection between 100 and 200 days posttransplant, which coincided with B cell
reconstitution and an ensuing emergence of a robust antidonor IFN-gamma, but not
IL-17, response. CONCLUSIONS: These findings reveal that early and late rejection
of pig islet xenografts may be dominated by different immune responses and that
maintenance of long-term xenogeneic tolerance will require strategies that target
the temporal sequence of antixenogeneic immune responses.
PMID- 27893619
TI - Substituting systolic blood pressure with shock index in the National Trauma
Triage Protocol.
AB - INTRODUCTION: The National Trauma Triage Protocol (NTTP) is an algorithm that
guides emergency medical services providers through four decision steps to
identify the patients that would benefit from trauma center care. The NTTP
defines a systolic blood pressure (SBP) of less than 90 mm Hg as one of the
criteria for trauma center need. The aim of our study was to determine the impact
of substituting SBP of less than 90 mm Hg with shock index (SI) on triage
performance. METHODS: A 2-year (2011-2012) retrospective analysis of all trauma
patients 18 years or older in the National Trauma Databank was performed.
Transferred patients, patients dead on arrival, and those with missing data were
excluded. Our outcome measure was trauma center need defined by Injury Severity
Score greater than 15, need for emergent operation, death in the emergency
department, and intensive care unit stay of more than 1 day. Area under the
characteristic curve and triage characteristics were compared between SBP of less
than 90 mm Hg and SI of more than 1.0. Logistic regression analysis was performed
to compare the mortality between patients triaged under current protocol of SBP
of less than 90 mm Hg and patients triaged using the new defined protocol (SI
>1.0). RESULTS: A total of 505,296 patients were included. Compared with SBP of
less than 90 mm Hg, SI of more than 1.0 had a higher sensitivity (44.4% vs.
41.7%) but lower specificity (80.2% vs. 82.4%). The area under the curve was
significantly higher for SI of more than 1.0 (0.623 [95% confidence interval,
0.622-.625] vs. 0.620 [95% confidence interval, 0.619-0.622]). Substituting SBP
of less than 90 mm Hg with SI of more than 1.0 resulted in a decrease in
undertriage rate of 30,233 patients (5.9%) but an increase in overtriage of only
6,386 patients (1.3%). CONCLUSION: Substituting the current criterion of SBP of
less than 90 mm Hg in the NTTP with an SI of more than 1.0 results in significant
reduction in undertriage rate without causing large increase in overtriage.
Because of simplicity of use, better discrimination power, and minimal effect on
overtriage rates, future studies should consider exploring the possibility of
replacing the current SBP of less than 90 mm Hg criterion with SI of more than
1.0 in the NTTP. LEVEL OF EVIDENCE: Prognostic study, level III; therapeutic
study, level IV.
PMID- 27893620
TI - A Modified Iris Suture Technique for In-the-bag Intraocular Lens Dislocation.
PMID- 27893621
TI - CHOROIDAL CHANGES ASSOCIATED WITH SEROUS MACULAR DETACHMENT IN EYES WITH
STAPHYLOMA, DOME-SHAPED MACULA OR TILTED DISK SYNDROME.
AB - PURPOSE: To study the relationship of choroidal abnormalities with serous retinal
detachment (SRD) in eyes with staphyloma, dome-shaped macula, or tilted disk
syndrome. METHODS: Group 1, 28 eyes of 20 patients with staphyloma/dome-shaped
macula/tilted disk syndrome associated with SRD was compared with Group 2, 30
eyes of 20 patients, with staphyloma/dome-shaped macula/tilted disk syndrome but
without SRD. Radial and en-face optical coherence tomography and choroidal
analysis were performed. RESULTS: Group 1 had a thicker mean subfoveal choroidal
thickness (161 MUm vs. 92 MUm, P < 0.05) and a greater variation in choroidal
thickness (112 MUm vs. 76 MUm, P > 0.05) compared with eyes of Group 2. Focal
abrupt changes in choroidal thickness were more commonly seen in Group 1 versus
eyes in Group 2 (90% vs. 30%, P < 0.05) and this area of abrupt change was
located within or at the edge of the SRD in 64% of eyes. Large choroidal vessels
(pachyvessels) (82% located within the area of SRD) were always associated with
the presence of SRD. CONCLUSION: An abrupt transition in choroidal thickness may
be involved in the pathogenesis of SRD. In some cases, a radial scan pattern may
better demonstrate mild SRD, choroidal contours and the focal choroidal
variations than horizontal or vertical raster scan patterns.
PMID- 27893622
TI - IMAGE QUALITY AND ARTIFACTS ON OPTICAL COHERENCE TOMOGRAPHY ANGIOGRAPHY:
Comparison of Pathologic and Paired Fellow Eyes in 65 Patients With Unilateral
Choroidal Melanoma Treated With Plaque Radiotherapy.
AB - PURPOSE: To study image quality and artifacts seen on optical coherence
tomography angiography (OCTA). METHODS: Sixty-five consecutive patients with
unilateral posterior uveal melanoma treated with plaque radiotherapy had OCTA
during follow-up. Optical coherence tomography angiography was performed on both
the affected and fellow eye. Signal strength and frequency of image artifacts on
en face images were compared between affected and fellow eyes. RESULTS: A total
of 130 eyes in 65 patients were analyzed, the mean age at time of OCTA was 55
years (median: 56, range: 12-81 years), and 39 (39/65, 60%) were female. Majority
of tumors were located in the choroid (62/65, 95%) and extramacular (55/65, 85%).
The mean distance to the foveola was 4 mm (median: 3, range: 0-18 mm) and optic
nerve was 4 mm (median: 4, range: 0-16 mm). Optical coherence tomography
angiography was performed at a mean 46 months after plaque radiotherapy. Most
patients had a history of radiation maculopathy or papillopathy in the treated
eye at the time of OCTA (46/65, 71%). Overall, 95 eyes (95/130, 73%) had at least
one significant artifact on OCTA. The most common major artifacts were loss of
focus (71/130, 55%), broad (>5 pixels width and >4 lines) blink lines (48/130,
37%), motion artifact (34/130, 26%), specular dot (33/130, 25%), and edge
duplication (10/130, 8%). Statistically, eyes treated with plaque radiotherapy
(affected vs. fellow eye) were more likely to have at least one major OCTA
artifact (92 vs. 54%, P < 0.001) and, specifically, loss of focus was more
frequent (78 vs. 31%, P < 0.001). Multivariate analysis found decreased visual
acuity significantly associated with higher incidence of broad blink lines (P =
0.0166) and loss of signal (P < 0.0001), whereas male sex was associated with
increased loss of signal (P = 0.0015), and distance to the foveola was related to
edge duplication (P = 0.0119). CONCLUSION: Image artifacts on OCTA are commonly
encountered and appear to be more frequent in eyes with pathology and poor visual
acuity. Recognition of these artifacts might help improve image interpretation
and decision making.
PMID- 27893623
TI - EFFICACY AND SAFETY OF INTRAVITREAL CONBERCEPT INJECTIONS IN MACULAR EDEMA
SECONDARY TO RETINAL VEIN OCCLUSION.
AB - PURPOSE: To assess the efficacy and safety of intravitreal conbercept injections
in patients with macular edema secondary to retinal vein occlusion (RVO).
METHODS: A prospective, Phase II clinical trial was performed on 60 patients with
macular edema secondary to RVO. Thirty patients had branch RVO (BRVO) and 30 had
central RVO (CRVO). Each patient received intravitreal injections of conbercept
monthly up to 3 months, followed by monthly evaluation and injection pro re nata
to Month 9. RESULTS: The average change of best-corrected visual acuity from
baseline to Month 9 was 17.83 +/- 10.89 letters in BRVO and 14.23 +/- 11.74
letters in CRVO. The change in best-corrected visual acuity was not statistically
different between the groups (P = 0.216). The mean reduction of central retina
thickness from baseline to Month 9 was 289.97 +/- 165.42 MUm and 420.47 +/-
235.89 MUm in BRVO and CRVO, respectively. The mean numbers of injections was
7.14 +/- 1.90 in BRVO and 7.59 +/- 1.39 in CRVO from baseline to Month 9 (P =
0.4705). There were 7 serious adverse events (SAEs) in 5 patients (8.33%, 2 BRVO
and 3 CRVO). All the SAEs were nonocular and were not related to the drug or the
injection procedure. CONCLUSION: Intravitreal injections of conbercept
demonstrated a generally favorable safety and tolerability profile as well as
efficacy in the treatment of macular edema due to RVO.
PMID- 27893624
TI - PROSPECTIVE EVALUATION OF A SUSTAINED-RELEASE DEXAMETHASONE INTRAVITREAL IMPLANT
FOR CYSTOID MACULAR EDEMA IN QUIESCENT UVEITIS.
AB - PURPOSE: To investigate dexamethasone intravitreal implant (DEX implant; OZURDEX,
Allergan, Inc) in the treatment of uveitic cystoid macular edema that had
persisted in the absence of intraocular inflammation. METHODS: In this
prospective interventional case series, 10 patients with uveitic cystoid macular
edema and quiescent uveitis were treated with dexamethasone intravitreal implant
at baseline and evaluated monthly for one year. Patients were retreated whenever
cystoid macular edema recurred. The primary outcome measure was best-corrected
visual acuity (BCVA) at day 90. RESULTS: At day 90, mean improvement from
baseline BCVA was 14.4 letters (P = 0.0003), 70% of patients had a >=10 letter
BCVA improvement, 50% of patients had a >=15 letter BCVA improvement, and the
mean decrease from baseline central subfield retinal thickness was 140 MUm (P =
0.008). Improvements were maintained through day 360 with retreatment as needed.
At day 360, mean improvement in BCVA was 16.5 letters (P = 0.006) and the mean
decrease in central subfield retinal thickness was 158 MUm (P = 0.002). One
patient experienced intraocular pressure >25 mmHg (managed with topical
medication). Two phakic patients (2/8; 25%) had worsening of lens opacity
requiring cataract extraction. CONCLUSION: Dexamethasone intravitreal implant may
be an effective treatment for patients with persistent cystoid macular edema in
quiescent uveitis.
PMID- 27893625
TI - Robotic Vitreoretinal Surgery.
AB - PURPOSE: To review the current literature on robotic assistance for ophthalmic
surgery, especially vitreoretinal procedures. METHODS: MEDLINE, Embase, and Web
of Science databases were searched from inception to August, 2016, for articles
relevant to the review topic. Queries included combinations of the terms: robotic
eye surgery, ophthalmology, and vitreoretinal. RESULTS: In ophthalmology, proof
of-concept papers have shown the feasibility of performing many delicate anterior
segment and vitreoretinal surgical procedures accurately with robotic assistance.
Multiple surgical platforms have been designed and tested in animal eyes and
phantom models. These platforms have the capability to measure forces generated
and velocities of different surgical movements. "Smart" instruments have been
designed to improve certain tasks such as membrane peeling and retinal vessel
cannulations. CONCLUSION: Ophthalmic surgery, particularly vitreoretinal surgery,
might have reached the limits of human physiologic performance. Robotic
assistance can help overcome biologic limitations and improve our surgical
performance. Clinical studies of robotic-assisted surgeries are needed to
determine safety and feasibility of using this technology in patients.
PMID- 27893638
TI - Arteriovenous fistula between the common femoral artery and vein secondary to
transpelvic gunshot wound.
PMID- 27893639
TI - Surgical rescue: The next pillar of acute care surgery.
AB - BACKGROUND: The evolving field of acute care surgery (ACS) traditionally includes
trauma, emergency general surgery, and critical care. However, the critical role
of ACS in the rescue of patients with a surgical complication has not been
explored. We here describe the role of "surgical rescue" in the practice of ACS.
METHODS: A prospective, electronic medical record-based ACS registry spanning
January 2013 to May 2014 at a large urban academic medical center was screened by
ICD-9 codes for acute surgical complications of an operative or interventional
procedure. Long-term outcomes were derived from the Social Security Death Index.
RESULTS: Of 2,410 ACS patients, 320 (13%) required "surgical rescue": most
commonly, from wound complications (32%), uncontrolled sepsis (19%), and acute
obstruction (15%). The majority of complications (85%) were related to an
operation; 15% were related to interventional procedures. The most common rescue
interventions required were bowel resection (23%), wound debridement (18%), and
source control of infection (17%); 63% of patients required operative
intervention, and 22% required surgical critical care. Thirty-six percent of
complications occurred in ACS primary patients ("local"), whereas 38% were
referred from another surgical service ("institutional") and 26% referred from
another institution ("regional"). Hospital length of stay was longer, and in
hospital and 1-year mortalities were higher in rescue patients compared with
those without a complication. Outcomes were equivalent between "local" and
"institutional" patients, but hospital length of stay and discharge to home were
significantly worse in "institutional" referrals. CONCLUSION: We here describe
the distinct role of the acute care surgeon in the surgical management of
complications; this is an additional pillar of ACS. In this vital role, the acute
care surgeon provides crucial support to other providers as well as direct
patient care in the "surgical rescue" of surgical and procedural complications.
LEVEL OF EVIDENCE: Epidemiological study, level III; therapeutic/care management
study, level IV.
PMID- 27893640
TI - A position paper: The convergence of aging and injury and the need for a
Geriatric Trauma Coalition (GeriTraC).
PMID- 27893642
TI - Comparison of nonoperative and surgical management of renal trauma: Can we
predict when nonoperative management fails?
AB - BACKGROUND: Limited data exist on risk factors for the failure of nonoperative
management of renal trauma. Our study objective was to determine the incidence,
salvage procedure, and risk factors for failure of nonoperative management of
renal trauma. METHODS: The National Trauma Data Bank research data sets for
admission years 2010-2014 were queried for renal injury by Abbreviated Injury
Score code. Patients were stratified by interventional therapy (renal procedure
code <24 hours from admission) and nonoperative management (no surgical renal
procedure <24 hours). Abbreviated Injury Score was converted to American
Association for the Surgery of Trauma renal injury grade. Demographics, patient
and injury characteristics were compared between groups using stratified
analysis. Multivariable logistic regression models were used to determine
variables that were associated with failure of nonoperative management. RESULTS:
A review of 3,977,634 cases revealed 19,572 renal injuries that met study
criteria. A total of 16.6% were managed with interventional therapy, and 83.4%
were managed nonoperatively, of which 2.7% failed nonoperative management. Risk
adjusted multivariate regression indicated that penetrating injury (stab: odds
ratio [OR], 1.61; 95% confidence interval [CI], 1.02-2.53 [p = 0.040]; and
gunshot wound: OR, 1.40; 95% CI, 1.04-1.90 [p = 0.029]), highest abdominal injury
grade for nonrenal organs (OR, 2.06; 95% CI, 1.65-2.57), and highest renal injury
grade (OR, 1.85; 95% CI, 1.54-2.21) were associated with failure of nonoperative
management (all p < 0.001). Increasing injury grades were associated with
increasing risk of failing nonoperative management (Grade III: OR, 1.94; 95% CI,
1.35-2.90; Grade IV: OR, 9.79; 95% CI, 7.04-13.63; and Grade V: OR, 9.45; 95% CI,
6.02-14.86 [all p < 0.001]). CONCLUSIONS: Nonoperative management in the first 24
hours after fails in up to 2.7%. Renal injury grade, nonrenal abdominal injuries,
and penetrating injuries predict for nonoperative management failure. Highest
grade renal injuries are at increased risk of failure. LEVEL OF EVIDENCE:
Prognostic/epidemiologic study, level III; therapeutic study, level IV.
PMID- 27893641
TI - Routine surveillance cholangiography after percutaneous cholecystostomy delays
drain removal and cholecystectomy.
AB - INTRODUCTION: Percutaneous cholecystostomy (PC) is often performed for patients
with acute cholecystitis who are at high risk for operative morbidity and
mortality. However, the necessity for routine cholangiography after PC remains
unclear. We hypothesized that routine surveillance cholangiography (RSC) after PC
would provide no benefit compared to on-demand cholangiography (ODC) triggered by
signs or symptoms of biliary pathology. METHODS: We performed a 3-year
retrospective cohort analysis of patients managed with PC for acute cholecystitis
at two tertiary care hospitals. Patients who had routine surveillance
cholangiography (RSC, n = 43) were compared to patients who had on-demand
cholangiography (ODC, n = 41) triggered by recurrent biliary disease. RESULTS:
RSC and ODC groups were similar by severity of acute cholecystitis, presence of
gallstones, systemic inflammatory response syndrome (SIRS) criteria at the time
of PC, SIRS criteria 72 hours after PC, and hospital length of stay. Two patients
in the ODC group developed clinical indications for cholangiography. All 44 RSC
patients had cholangiography, and 67 total cholangiograms were performed in this
group. Surveillance cholangiography identified six patients (14%) with cystic
duct filling defect and seven patients (16%) with a common bile duct filling
defect, all of whom were asymptomatic. Fifteen patients (35%) in the RSC group
had 32 ERCP procedures; five patients (12%) in the ODC group had 7 ERCPs (p =
0.021). The ODC group had fewer days to drain removal (35 vs. 61, p < 0.001) and
days to cholecystectomy (39 vs. 81, p = 0.005). Rates of recurrent cholecystitis,
cholangitis, gallstone pancreatitis, drain removal, and cholecystectomy were
similar between groups. CONCLUSION: RSC after PC for acute cholecystitis
identified biliary pathology in asymptomatic patients and propagated further
testing, but did not provide clinical benefit. ODC was associated with earlier
drain removal, earlier cholecystectomy, and decreased resource utilization. LEVEL
OF EVIDENCE: Prognostic study, level III; therapeutic study, level IV.
PMID- 27893643
TI - Early percutaneous dilational tracheostomy does not lead to an increased risk of
surgical site infection following anterior spinal surgery.
AB - BACKGROUND: Most patients with cervical spinal cord injuries require
tracheostomy. The optimal timing is still a matter of debate. Previous studies
showed that patients receiving early tracheostomy had fewer ventilator days and
decreased rates of pneumonia and were mobilized earlier. Because of the proximity
of the anterior approach to the tracheostoma, there is concern about an increased
risk of surgical site infection (SSI) related to tracheostomy. METHODS: This was
a retrospective analysis at a Level I trauma center of patient records from 2008
to 2014, identifying all patients with spinal cord injury who received anterior
cervical spinal surgery and had early percutaneous dilational tracheostomy (PDT).
Follow-up for SSI was performed throughout hospital stay (mean, 110 days; median,
96 days, with lower quartile 89 days and upper quartile 119 days) and at 6 weeks
and 3 months (clinical examination and computed tomography scans). RESULTS: Fifty
one patients underwent anterior spinal surgery with PDT performed within a median
of 5 days (range, 1-18 days). Seventy-eight percent (n = 40) of patients had
anterior spinal surgery, whereas 22% (n = 11) had a combined anterior-posterior
repair. All percutaneous dilational tracheostomies were performed using the
Ciaglia single-step dilation technique. Despite an SSI of one patient's
cannulation site, no SSI of the anterior approach was observed. CONCLUSION:
Performing a PDT in a timely fashion after anterior spinal surgery does not
increase the risk of SSI. LEVEL OF EVIDENCE: Therapeutic study, level V.
PMID- 27893644
TI - Western Trauma Association Critical Decisions in Trauma: Management of adult
blunt splenic trauma-2016 updates.
PMID- 27893645
TI - Preperitoneal pelvic packing reduces mortality in patients with life-threatening
hemorrhage due to unstable pelvic fractures.
AB - BACKGROUND: A 2015 American Association for the Surgery of Trauma trial reported
a 32% mortality for pelvic fracture patients in shock. Angioembolization (AE) is
the most common intervention; the Maryland group revealed time to AE averaged 5
hours. The goal of this study was to evaluate the time to intervention and
outcomes of an alternative approach for pelvic hemorrhage. We hypothesized that
preperitoneal pelvic packing (PPP) results in a shorter time to intervention and
lower mortality. METHODS: In 2004, we initiated a PPP protocol for pelvic
fracture hemorrhage. RESULTS: During the 11-year study, 2,293 patients were
admitted with pelvic fractures; 128 (6%) patients underwent PPP (mean age, 44 +/-
2 years; Injury Severity Score (ISS), 48 +/- 1.2). The lowest emergency
department systolic blood pressure was 74 mm Hg and highest heart rate was 120.
Median time to operation was 44 minutes and 3 additional operations were
performed in 109 (85%) patients. Median RBC transfusions before SICU admission
compared with the 24 postoperative hours were 8 versus 3 units (p < 0.05). After
PPP, 16 (13%) patients underwent AE with a documented arterial blush.Mortality in
this high-risk group was 21%. Death was due to brain injury (9), multiple organ
failure (4), pulmonary or cardiac failure (6), withdrawal of support (4), adverse
physiology (3), and Mucor infection (1). Of those patients with physiologic
exhaustion, 2 died in the operating room at 89 and 100 minutes after arrival,
whereas 1 died 9 hours after arrival. CONCLUSIONS: PPP results in a shorter time
to intervention and lower mortality compared with modern series using AE.
Examining mortality, only 3 (2%) deaths were attributed to the immediate sequelae
of bleeding with physiologic failure. With time to death under 100 minutes in 2
patients, AE is unlikely to have been feasible. PPP should be used for pelvic
fracture-related bleeding in the patient who remains unstable despite initial
transfusion. LEVEL OF EVIDENCE: Therapeutic study, level IV.
PMID- 27893647
TI - Cervical spine MRI in patients with negative CT: A prospective, multicenter study
of the Research Consortium of New England Centers for Trauma (ReCONECT).
AB - BACKGROUND: Although cervical spine CT (CSCT) accurately detects bony injuries,
it may not identify all soft tissue injuries. Although some clinicians rely
exclusively on a negative CT to remove spine precautions in unevaluable patients
or patients with cervicalgia, others use MRI for that purpose. The objective of
this study was to determine the rates of abnormal MRI after a negative CSCT.
METHODS: Blunt trauma patients who either were unevaluable or had persistent
midline cervicalgia and underwent an MRI of the C-spine after a negative CSCT
were enrolled prospectively in eight Level I and II New England trauma centers.
Demographics, injury patterns, CT and MRI results, and any changes in cervical
spine management as a result of MRI imaging were recorded. RESULTS: A total of
767 patients had MRI because of cervicalgia (43.0%), inability to evaluate
(44.1%), or both (9.4%). MRI was abnormal in 23.6% of all patients, including
ligamentous injury (16.6%), soft tissue swelling (4.3%), vertebral disc injury
(1.4%), and dural hematomas (1.3%). Rates of abnormal neurological signs or
symptoms were not different among patients with normal versus abnormal MRI. (15.2
vs. 18.8%, p = 0.25). The c-collar was removed in 88.1% of patients with normal
MRI and 13.3% of patients with an abnormal MRI. No patient required halo
placement, but 11 patients underwent cervical spine surgery after the MRI
results. Six of the eleven had neurological signs or symptoms. CONCLUSIONS: In a
select population of patients, MRI identified additional injuries in 23.6% of
patients despite a normal CSCT. It is uncertain if this is a true limitation of
CT technology or represents subtle injuries missed in the interpretation of the
scan. The clinical significance of these abnormal MRI findings cannot be
determined from this study group. LEVEL OF EVIDENCE: Therapeutic study, level IV.
PMID- 27893650
TI - To the Editor.
PMID- 27893651
TI - Response to Letter to the Editor.
PMID- 27893648
TI - Quantity and Quality of Economic Evaluations in U.S. Nursing Research, 1997-2015:
A Systematic Review.
AB - BACKGROUND: The United States has a complex healthcare system that is undergoing
substantial reformations. There is a need for high-quality, economic evaluations
of nursing practice. An updated review of completed economic evaluations relevant
to the field of nursing within the U.S. healthcare system is timely and needed.
OBJECTIVES: The purpose of this study was to evaluate and describe the quantity
and quality of economic evaluations in nursing-relevant research performed in the
United States between 1997 and 2015. METHODS: Four databases were searched.
Titles, abstracts, and full-text content were reviewed to identify studies that
analyzed both costs and outcomes, relevant to nursing, performed in the United
States, and used the quality-adjusted life year to measure effectiveness. For
included studies, data were extracted from full-text articles using criteria from
U.S. Public Health Service's Panel on Cost-Effectiveness in Health and Medicine.
RESULTS: Twenty-eight studies met the inclusion criteria. Most (n = 25, 89%) were
published in the last decade of the analysis, from 2006 to 2015. Assessment of
quality, based on selected items from the panel guidelines, found that the
evaluations did not consistently use the recommended societal perspective, use
multiple resource utilization categories, use constant dollars, discount future
costs and outcomes, use a lifetime horizon, or include an indication of
uncertainty in results. The only resource utilization category consistently
included across studies was healthcare resources. DISCUSSION: Only 28 nursing
related studies meeting the inclusion criteria were identified as meeting robust
health economic evaluation methodological criteria, and most did not include all
important guideline items. Despite increases in absolute numbers of published
studies over the past decade, economic evaluation has been underutilized in U.S.
nursing-relevant research in the past two decades.
PMID- 27893653
TI - Adjuvant radiotherapy for the treatment of stage IV rectal cancer after curative
resection: A propensity score-matched analysis and meta-analysis.
AB - The role of pelvic radiotherapy (RT) in stage IV rectal cancer with total
mesorectal excision (TME) has not been defined. We evaluated the impact of RT on
oncologic outcomes among patients with stage IV rectal cancer who underwent TME
and performed a meta-analysis of published studies.The records of stage IV rectal
cancer patients who underwent TME between August 2001 and December 2011 were
reviewed. Patients who received pelvic RT (RT group) and those who did not (non
RT group) were matched using a propensity score. Oncologic outcomes were compared
between the groups. A systematic literature search and meta-analysis was
conducted.One hundred seventy-six patients were matched with propensity score
matching, resulting in 39 patients in each group. The local recurrence-free
survival (LRFS) of the RT group was significantly higher than that of the non-RT
group (2-year LRFS: 100% vs 83.6%, respectively, P = 0.038). The overall
survival, disease-free survival, and systemic recurrence were not significantly
different between the groups. In the meta-analysis, the RT group had a reduced
risk for loco-regional recurrence than the non-RT group (RR: 0.48, 95% confidence
interval: 0.29-0.79).Pelvic RT might have benefits for loco-regional control in
patients with stage IV rectal cancer who undergo TME.
PMID- 27893652
TI - Correlation between T2* (T2 star) relaxation time and cervical intervertebral
disc degeneration: An observational study.
AB - PURPOSE: To demonstrate the potential benefits of T2 relaxation time of
intervertebral discs (IVDs) regarding the detection and grading of degenerative
disc disease using 3.0-T magnetic resonance imaging (MRI) in a clinical setting.
MATERIALS AND METHODS: Cervical sagittal T2-weighted, T2 relaxation MRI was
performed at 3.0-T in 61 subjects, covering discs C2-3 to C6-7. All discs were
morphologically assessed based on the Pfirrmann grade, and regions of interests
(ROIs) were drawn over the T2 mapping. Receiver operating characteristic (ROC)
analysis was performed among grades to determine the cut-off values. RESULTS:
Cervical intervertebral discs (IVDs) of patients were commonly determined to be
at Pfirrmann grades III to V. The nucleus pulposus (NP) values did not differ
significantly between sexes at the same anatomic level (P > 0.05). In the NP, the
T2 values tended to decrease with increasing grade (P < 0.000), and a significant
difference was found in the T2 values between grades I to V (P < 0.05). T2 values
based on disc degeneration level classification were as follows: grade I (>30
milliseconds), grade II (24.55-29.99 milliseconds), grade III (21.65-24.54
milliseconds), grade IV (18.35-21.64 milliseconds), and grade V (<18.34
milliseconds). CONCLUSION: Our standardized method of region-specific
quantitative T2 relaxation time evaluation seems capable of characterizing
different degrees of disc degeneration quantitatively. The T2 values obtained in
these cervical IVDs may serve as baseline values for future T2 measurements in
both healthy and degenerated cervical discs.
PMID- 27893654
TI - Safety comparison of four types of rabies vaccines in patients with WHO category
II animal exposure: An observation based on different age groups.
AB - To evaluate the safeties of 4 types of rabies vaccines for patients with WHO
category II animal exposure, especially in different age groups.A total of 4000
patients with WHO category II animal exposure were randomly divided into 4
vaccine groups, and were respectively given with Vaccines A, B, C, and D. And
subjects in each vaccine group were divided into 4 age groups (<=5, 5-18, 19-60,
and >=60-year-old groups). Then adverse events (including local and systemic
ones) were recorded and compared. Consequently, except for Vaccine B, patients
under the age of 5 in Groups A, C, and D suffered from more adverse reactions
than those in other age groups. Furthermore, for the children aged less than 5
years, incidence of adverse events following administration of Vaccine B, with
the dose of 0.5 mL and production of bioreactor systems, was significantly lower
than Vaccines A and D.Our data showed that rabies vaccines with smaller doses and
more advanced processing techniques are of relatively high safety for the
patients, especially for the young children.
PMID- 27893655
TI - A retrospective observational study of length of stay in hospital after
colorectal cancer surgery in England (1998-2010).
AB - The National Health Service (NHS) is facing financial constraints and thus there
is considerable interest in ensuring the shortest but optimal hospital stays
possible. The aim of this study was to investigate patterns of postoperative
length of stay (LOS) stay across the English NHS and to identify factors that
significantly influence both optimal and prolonged LOS.Data were obtained from
the National Cancer Data Repository (NCDR). National patterns of LOS were
examined and multilevel mixed effects logistic regression was used to study
factors associated with an "ideal" (<=5 days) or a prolonged (>=21 days) LOS in
hospital after major resection. Funnel plots were used to examine variation
across hospitals in both risk-adjusted and unadjusted LOS.All 240,873 individuals
who underwent major resection for colorectal cancer were diagnosed between 1998
and 2010 in the English NHS. The overall median LOS was 10 (interquartile range
[IQR] 7-14 days) days, but it fell over time from 11 (IQR 9-15) days in 1998 to 7
(IQR 5-12) days in 2010. The proportion of people experiencing "ideal" LOS
increased dramatically from 4.9% in 1998 to 34.2% in 2010, but the decrease in
the proportion of patients who experienced a prolonged LOS was less marked
falling from 11.2% to 8.4%, respectively. Control charts showed that there was
significant variation in short and prolonged LOS across NHS trusts even after
adjustment for case-mix.Significant variation in LOS existed between NHS
hospitals in England throughout period 1998 to 2010. Understanding the underlying
causes of this variation between surgical providers will make it possible to
identify and spread best practice, improve services, and ultimately reduce LOS
following colorectal cancer surgery.
PMID- 27893656
TI - Is it feasible to detect epidermal growth factor receptor mutations in
circulating tumor cells in nonsmall cell lung cancer?: A meta-analysis.
AB - BACKGROUND: The value of circulating tumor cells (CTCs) in detecting epidermal
growth factor receptor (EGFR) mutations in patients with nonsmall cell lung
cancer (NSCLC) is controversial. We performed a meta-analysis to investigate the
diagnostic significance of CTCs with tumor tissues as the standard control.
METHODS: A systematic literature search, including papers published until
November 26, 2015, was performed using PubMed, Medline, Embase, Web of Science,
and the China National Knowledge Infrastructure, and the references of retrieved
articles were screened. The pooled sensitivity, specificity, and diagnostic odds
ratio (DOR) were calculated according to the data selection from the included
studies. The evaluation indexes of the diagnostic performance were the summary
receiver operating characteristic curve (SROC) and area under the SROC (AUSROC).
RESULTS: Eight eligible articles with a total of 170 participants were identified
in our meta-analysis. The pooled sensitivity and specificity were 0.91 [95% CI:
0.55-0.99] and 0.99 [95% CI: 0.59-1.00]. The positive likelihood ratio and
negative likelihood ratio were 68 [95% CI: 1.4-3364] and 0.09 [95% CI: 0.01
0.64], respectively. The DOR was 788 [95% CI: 9-71884]. The high diagnostic
performance of CTCs in detecting EGFR mutations was indicated by the AUSROC of
0.99 [95% CI: 0.98-1.00]. CONCLUSIONS: CTCs are a feasible and highly specific
biomarker for detecting the EGFR mutation status in NSCLC patients.
PMID- 27893658
TI - Freeze-all embryo transfer awareness and acceptance of IVF-ET patients in China.
AB - The aim of the study was to determine the level of awareness and acceptance of in
vitro fertilization pre-embryo transfer (IVF-ET) patients for freeze-all embryo
transfer, improve relevant technical specifications, and optimize treatment
results based on medical experience.Questionnaires were completed by women who
received in vitro fertilization embryo transfer. A total of 377 valid samples
were included into this study. Through focus group discussions and tablet
assisted intercept interviews, we analyzed the basic situation, the awareness for
frozen-all embryo transfer, and the need for frozen-all embryo transfer
information for infertile patients.In this study, 60% of patients received IVF-ET
for the first time, whereas the remaining patients received IVF-ET more than
once. We investigated the current awareness and acceptance of IVF-ET patients for
freeze-all embryo transfer quantificationally. Patients were grouped based on
quantitative measurements, and the mainstream group of patients (72.7%) was
precisely the patients who were worried and concerned of frozen-all embryo
transfers. Although few of them could "rationally accept the comparative
advantage of the technology," this group was vulnerable to doctors' guidance and
education. Eventually, this group of patients accepted the frozen-all embryo
transfer.Since there are no certain criteria for the kind of embryo transfer
patients and reproductive centers should take, the choice should be taken
individually according to the social economic situation and acceptance of
patients for the frozen embryo transfer, as well as the technology of the
reproductive center.
PMID- 27893657
TI - Status of serum magnesium in Egyptian children with type 1 diabetes and its
correlation to glycemic control and lipid profile.
AB - Diabetes mellitus has been suggested to be the most common metabolic disorder
associated with magnesium deficiency, having 25% to 39% prevalence. This deficit
could be associated with the development of late diabetic complications,
especially macroangiopathy.We aimed to evaluate the status of serum Mg in
children with type 1 diabetes and assess its relation to glycemic control and
lipid profile.We included 71 Egyptian children with type 1diabetes having their
follow-up at Pediatric Endocrinology outpatient clinic, Zagazig University
Hospital and 71 age- and sex-matched control. We measured Serum magnesium, HbA1c,
and lipid profile in all study subjects.Diabetic children had significantly lower
serum magnesium level compared to control children (1.83 +/- .27 mg/dL in
diabetic children versus 2.00 +/- .16 mg/dL in control children). Taking cut-off
level of serum magnesium <1.7 mg/dL for definition of hypomagnesemia,
hypomagnesemia was detected in 28.2% of diabetic children compared to 9.9% of
control children. In diabetic patients, there was statistically significant
difference in HbA1c between hypomagnesemic and normomagnesemic group being higher
in the low magnesium group, as it is mean +/- SD was 11.93 +/- 3.17 mg/dL in
group I versus 8.92 +/- 0.93 mg/dL in the normomagnesemic group. Serum magnesium
was found to be positively correlated with HDL (P < 0.001), and negatively
correlated with age, HbA1c, triglycerides, total cholesterol, LDL, and duration
of diabetes (P < 0.001).We concluded that total serum magnesium was frequently
low in Egyptian children with type 1 diabetes and it is correlated with HbA1c and
with lipid profile. Hypomagnesemia was more evident in patients with poor
diabetic control and those with higher atherogenic lipid parameters. We suggest
that low serum magnesium may be included in pathogenesis of poor glycemic control
and abnormal lipid profile in children with type 1 diabetes. We need to perform
further studies on giving magnesium supplements in diabetic children with
hypomagnesemia to observe the effect of correction of serum magnesium on glycemic
control, lipid profile, and the risk of diabetic complications.
PMID- 27893659
TI - Serum CA125 concentration as a predictor of peritoneal dissemination of
colorectal cancer in men and women.
AB - Peritoneal dissemination (PD) of cancer is difficult to diagnose. Previous
reports have shown that carbohydrate antigen 125 (CA125) is a sensitive marker of
PD of gastric cancer. However, CA125 has not been evaluated as a marker of
colorectal cancer (CRC), and its accuracy in men is controversial. The aim of
this study was to compare the ability of CA125 and carcinoembryonic antigen (CEA)
to predict PD of CRC in men and women.Preoperative CA125 and CEA concentrations
were measured in 853 people (510 men, 343 women) over 10 years. PD was confirmed
intraoperatively in 57 patients. The predictive ability was compared between
CA125 and CEA.Compared with CEA, CA125 concentration had a lower sensitivity,
higher specificity, and diagnostic accuracy, and significantly greater area under
the curve. Further analysis of CA125's sensitivity and specificity among CEA
negative group (n = 514) showed acceptable sensitivity (57.1%) and good
specificity (92.0%). In men and women, CA125 concentration did not increase with
stage from I to IV unless PD was present (P < 0.001). CEA concentration was
increased in women with metastasis with PD (P < 0.001) or without PD (P < 0.001),
but was increased only in men with metastasis without PD (P < 0.01). CA125
concentration correlated with PD grade for men and women, but CEA concentration
correlated with grade only in women.When analyzed according to the primary tumor
site, CA125 concentration in men did not differ between patients with the primary
site in the right or left colon, or the rectum, regardless of PD status. By
contrast, CA125 concentration differed between PD-positive and PD-negative
patients with cancer in the right (P < 0.001) or left (P < 0.001) colon but not
in the rectum. CEA concentration in men did not differ according to the primary
site or PD status. In women, CA125 and CEA concentrations differed significantly
between the PD-positive and PD-negative groups in patients with the primary tumor
in the right (P < 0.001) or left (P < 0.001) colon; tumor sites did not differ
between the PD-positive and PD-negative groups.These findings suggest that CA125
is a better tumor marker than CEA for predicting PD of CRC in both men and women.
PMID- 27893660
TI - Salivary lactate dehydrogenase and aminotransferases in diabetic patients.
AB - Diabetes mellitus (DM) is a group of metabolic diseases resulting from impaired
insulin secretion and/or action. DM is characterized by hyperglycemia that can
lead to the dysfunction or damage of organs, including the salivary glands.The
aim of this study was to compare the levels of salivary lactate dehydrogenase
(LDH), aspartate aminotransferase (AST), and alanine aminotransferase (ALT) in
diabetic patients.The study was approved by the Bioethics Committee of Wroclaw
Medical University (Poland). The study comprised 90 adults of both sexes, aged 21
to 57 years. The patients were divided into 3 groups: type 1 diabetics (D1), type
2 diabetics (D2), and a healthy control group (C). Each group consisted of 30 age
and sex-matched subjects. Total protein (P, by Lowry method), LDH, AST, ALT
(with Alpha Diagnostics kits), and salivary flow rate were measured in
unstimulated mixed saliva. The level of glycosylated hemoglobin (HbA1c) was
measured with DCA 2000 Reagent Kit. The obtained data were analyzed using the
Mann-Whitney U test and the Spearman rank at a significance level of P < 0.05
with the use of STATISTICA 9.0 software.In comparison with C, D1 presented a
significantly higher activity of LDH (P < 0.001), AST (P < 0.001), and ALT (P <
0.01), whereas D2 indicated higher levels of LDH (P < 0.001) and ALT (P < 0.05)
compared with C. Comparing D1 to D2, approximately 3-fold higher activity of AST
(P < 0.01) and approximately 4.5-fold higher activity of ALT (P < 0.01) was
observed.Higher levels of salivary LDH, AST, and ALT in D1 compared with D2 and C
confirm that salivary glands of D1 might be attributed to autoimmunological
damage associated with the pathomechanism of DM.
PMID- 27893661
TI - Acute coronary vasospasm in a patient with eosinophilic granulomatosis with
polyangiitis following NSAID administration: A case report.
AB - Eosinophilic with polyangiitis (EGPA, formerly known as Churg-Strauss syndrome)
is a rare systemic disease characterized by a small-vessel necrotizing
vasculitis. Cardiac manifestations are broad-ranging and are associated with a
poor prognosis. Coronary vasospasm is uncommon.Here, we report a case of an acute
coronary vasospasm in a patient with EGPA after corticosteroids withdrawal and
nonsteroidal antiinflammatory drug (NSAID) introduction. This patient was
initially misdiagnosed as bradykinin-mediated angioedema. A 30-year-old man
presented with recurrence of abdominal pain and acute dyspnea. NSAID
administration for pain during a flare was followed by coronary vasospasms
leading to cardiac arrest. Corticosteroid treatment was recently interrupted by
the patient.This case reports a rare cardiac complication of EGPA. NSAID might
contribute to coronary vasospasm by eosinophilic degranulation in EGPA. Moreover,
corticosteroid compliance must be emphasized among patients who display EGPA with
high cardiac risk to prevent fatal issues.
PMID- 27893662
TI - The transcriptomic G1-G6 signature of hepatocellular carcinoma in an Asian
population: Association of G3 with microvascular invasion.
AB - In this study, a transcriptomic group classification based on a European
population is tested on a Singapore cohort. The results highlight the
genotype/phenotype correlation in a Southeast Asian population. The G1-G6
transcriptomic classification derived from hepatocellular carcinoma (HCC)
resected from European patients, robustly reflected group-specific
clinical/pathological features. We investigated the application of this molecular
classification in Southeast Asian HCC patients.Gene expression analysis was
carried out on HCC surgically resected in Singapore patients who were grouped
into G1-G6 transcriptomic categories according to expression of 16 predictor
genes (illustrated in Supplementary Table 1, http://links.lww.com/MD/B413 and
Supplementary Fig. 1, http://links.lww.com/MD/B413) using quantitative reverse
transcription polymerase chain reaction (RT-PCR). Univariate and multivariate
polytomous logistic regression was used to investigate association between
clinical variables and pooled transcriptomic classes G12, G3, and G456.HCC from
Singapore (n = 82) were distributed (%) into G1 (13.4), G2 (24.4), G3 (15.9), G4
(24.4), G5 (14.6), and G6 (7.3) subgroups. Compared to the European data, the
Singapore samples were relatively enriched in G1-G3 versus G4-G6 tumors (53.7% vs
46.3%) reflecting the higher proportion of hepatitis B virus (HBV) patients in
Singapore versus Europe samples (43% vs 30%). Pooled classes were defined as G12,
G3, and G456. G12 was associated with higher alpha-fetoprotein (AFP)
concentrations (OR = 1.69, 95% CI: 1.30-2.20; P < 0.0001) and G3 with
microvascular invasion (OR = 4.91, 95% CI: 1.06-24.8; P = 0.047).The European and
Singapore cohorts were generally similar relative to associations between
transcriptomic groups and clinical features. This lends credence to the G1-G6
transcriptomic classifications being applicable regardless of the ethnic origin
of HCC patients. The G3 group was associated with microvascular invasion and
holds potential for investigation into the underlying mechanisms and selection
for therapeutic clinical trials.
PMID- 27893663
TI - Revision surgery for curve progression after implant removal following posterior
fusion only at a young age in the treatment of congenital scoliosis: A case
report.
AB - RATIONALE: Congenital scoliosis due to a hemivertebra creates a wedge-shaped
deformity, which progresses and causes severe spinal deformities as an individual
grows. The treatment of congenital scoliosis focuses on early diagnosis and
appropriate surgical management before the development of severe deformity.
PATIENT CONCERNS: We report the case of a 4-year-old male child with a left
thoracolumbar scoliosis of 27 degrees (T10-T12) due to a T11 hemivertebra who
was treated by posterior fusion and pedicle screw fixation at the age of 4 years.
The implant was removed due to pain secondary to implant prominence after 4 years
without definitive revision surgery, which led to significant progression of the
scoliosis, to 50 degrees . The indication for posterior vertebral column
resection (PVCR) is a congenital spinal deformity with a curve magnitude greater
than 30 degrees with fast progression. This includes documented progression of
the curve by more than 5 degrees in a 6- month period, failure of conservative
treatment, or both. OUTCOMES: The patient underwent PVCR of the T11 hemivertebra.
Nine years after the revision surgery with PVCR, the patient showed satisfactory
results and his spine was well balanced. LESSONS: This case shows that removal of
an implant that was not the only cause of curve progression at a young age may
lead to progression of scoliosis and, therefore, should be avoided unless it is
absolutely necessary. CONCLUSION: Congenital scoliosis due to a hemivertebra at a
young age could be treated by hemivertebra resection or anterior and posterior
epiphysiodesis as definitive surgical treatment. The patient was eventually
treated with PVCR, which achieved satisfactory correction without curve
progression in a long-term follow-up.
PMID- 27893664
TI - Genetic aspects of pituitary carcinoma: A systematic review.
AB - BACKGROUND: Pituitary carcinoma (PC) is a rare type of malignant intracranial
neoplasm defined as distant metastasis of pituitary adenoma (PA). Although PC
incidence is low because only 0.1% to 0.2% of PAs ultimately develop into PCs,
the prognosis is poor and 66% of patients die within the first year. Existing
therapeutic measures, including surgical removal, chemotherapy, and radiotherapy,
have limited effectiveness. The lack of efficacy of current treatments is largely
caused by the limited understanding of the molecular pathogenesis of PA and the
malignant transformation to PC. Therefore, the aim of this systematic review was
to summarize published research regarding gene and protein expression in PC to
clarify the molecular mechanisms underlying PC genesis and development and
identify new candidate diagnostic biomarkers and therapeutic targets for
potential use in personalized treatment of PC. METHODS: We followed the PRISMA
guidelines to plan and conduct this systematic review. PubMed, Embase, and Web of
Science databases were searched for relevant studies conducted before December
16, 2015 describing the association of PC with gene expression at the mRNA and
protein levels. MeSH terms combined with free terms were used to retrieve the
references. RESULTS: In total, 207 records were obtained by primary search, and
32 were included in the systematic review. Compared with normal pituitary gland
and/or PA, 30 and 18 genes were found to have higher or lower expression,
respectively, in PCs using different analytical methods. Among them, we selected
9 upregulated and 7 downregulated genes for further analysis based on their
identification as candidate treatment targets in other cancers, potential
clinical application, or further research value. CONCLUSION: Previous studies
demonstrated that many genes promote PC malignant transformation, angiogenesis,
invasion, metastasis, and recurrence. Although most of these genes and proteins
have not been fully analyzed with regard to their downstream mechanisms or
potential diagnostic and therapeutic application, they have the potential to
become candidate PC biomarkers and/or molecular targets for guiding personalized
treatment. Modern advanced technologies should be utilized in future research to
identify more candidate genes for PC pathogenesis, as precisely targeted gene
therapies against PC are urgently required.
PMID- 27893666
TI - Four weeks of paritaprevir/ritonavir/ombitasvir plus dasabuvir encountering
dengue fever resulted in sustained virological response in an HCV patient: A case
report.
AB - BACKGROUND: Direct antiviral agent (DAA) has been the standard of care for
patients with hepatitis C virus (HCV) infection. Twelve weeks of
paritaprevir/ritonavir/ombitasvir plus dasabuvir (PROD) with or without ribavirin
has shown to have a sustained virological response at post-treatment 12 weeks
(SVR12) rate of >90% in HCV genotype 1 (HCV-1) patients. METHODS: We report a HCV
1b patient who received only 25 days of PROD treatment. RESULTS: The patient
early terminated treatment due to dengue fever but eventually achieved SVR12. It
may attribute to low baseline viral loads and extraordinarily rapid suppression
of HCV after treatment day1. CONCLUSIONS: The finding may shed light for possible
response-guided-therapy for so-called ultra-super-responders in the DAA era.
Whether the dengue virus, the Flaviviridae family as with HCV, enhanced the HCV
clearance remains unclear and needs further exploration.
PMID- 27893665
TI - Is it relevant to screen young women hospitalized in psychiatric department for
neuropsychiatric systemic lupus erythematosus (NPSLE)?: A prospective study of
100 psychiatric inpatients.
AB - On the basis that diagnosis of neuropsychiatric systemic lupus erythematosus
(NPSLE) is sometimes difficult and systemic lupus erythematosus (SLE) can present
with isolated psychiatric symptoms, we initiated a survey in a psychiatric
department to screen for NPSLE in young female inpatients.We prospectively
studied consecutive young female patients referred to the department of
psychiatry. Antinuclear antibodies (ANA), anti-deoxyribonucleic acid (DNA), and
antiextractable soluble nuclear antigens (ENA) in the serum of patients were
screened. In case of positive anti-DNA or anti-ENA, the patient was referred to
the department of internal medicine.One hundred patients were enrolled, mean age
33.1 +/- 8.4 years. Most patients presented underlying psychiatric disorders:
depression (46%), schizophrenia (13%), anxiety disorder (6%), and personality
disorder (10%). A quarter of the cohort did not display underlying psychiatric
disorders before hospitalization. Positive ANA >=1:160 were found in 32 of the
100 patients tested (32%). No patients presented anti-DNA antibodies. One patient
had positive anti-sjogren's syndrome related antigen A (SSA), but did not present
any features of SLE or Sjogren syndrome.Thus, systematic screening of SLE is not
relevant in young women hospitalized in psychiatric department. However,
clinicians should keep in mind that SLE can present with pure psychiatric
symptoms.
PMID- 27893667
TI - Abdominal compartment syndrome after endovascular repair for ruptured abdominal
aortic aneurysm leads to acute intestinal necrosis: Case report.
AB - INTRODUCTION: Abdominal compartment syndrome (ACS) after endovascular repair
(EVAR) of rupture abdominal aortic aneurysm (rAAA) is a rare emergency situation,
which has a high mortality. However, the progression of ACS is rapid and the
diagnosis is usually been delayed, which increase the difficulties in treatment
and affect the prognosis. We describe a case of a sever complication (acute
intestinal necrosis) resulting from ACS after endovascular repair of rAAA.
CLINICAL FINDING: An elderly man, 81 years old, complained a sudden lower
abdominal and back pain without any predisposing cause. He had a history of
hypertension for 20 years without any regular anti-hypertensive therapy. Physical
Examination revealed that the blood pressure was 89/54 mmHg, pulse was 120/min,
oxygen saturation was 91%. The abdominal ultrasound and the CTA (computed
tomography angiography) scan revealed a rAAA. Emergency EVAR under general
anesthesia was performed for this patient. DIAGNOSIS: Fourteen hours after
endovascular repair, sudden decreased of blood pressure (70/50 mmHg) and oxygen
saturation (70%) was observed. ACS or bleeding of retroperitoneal space was
diagnosed. INTERVENTIONS: Abdominal laparotomy was immediately performed. ACS was
verified and a severe complication (acute intestinal necrosis) was observed,
intestinal resection was performed for this patient. OUTCOMES: Unfortunately,
this patient died after operation because of multi-organ failure in a very short
period, which is very rare regarding to this condition. Surgical pathology,
diagnosis and management were discussed. CONCLUSION: ACS was occurred with a
severe complication (acute intestinal necrosis) in a very short period, which is
very rare regarding to this condition after EVAR, it reminds us the severe result
of ACS and more methods to prevent it happened after surgical management.
PMID- 27893668
TI - Outcome of endoscopy-negative iron deficiency anemia in patients above 65: A
longitudinal multicenter cohort.
AB - After the age of 65 years, iron deficiency anemia (IDA) requires the elimination
of digestive neoplasia and is explored with upper and lower gastrointestinal (GI)
endoscopy. However, such explorations are negative in 14% to 37% of patients. To
further evaluate this issue, we evaluated the outcomes of patients aged over 65
years with endoscopy-negative IDA.We retrospectively analyzed the outcomes of in
patients over the age of 65 years with IDA (hemoglobin <12 g/dL and ferritin <70
MUg/L) who had negative complete upper and lower GI endoscopies in 7 tertiary
medical hospitals. Death, the persistence of anemia, further investigations, and
the final diagnosis for IDA were analyzed after at least 12 months by calling the
patients' general practitioners and using hospital records.Between 2004 and 2011,
69 patients (74% women) with a median age of 78 (interquartile range (IQR) 75-82)
years and hemoglobin and ferritin levels of 8.4 (IQR 6.8-9.9) g/dL and 14 (IQR 8
27) MUg/L, respectively, had endoscopy-negative IDA, and 73% of these patients
received daily antithrombotics. After a follow-up of 41 +/- 22 months, 23 (33%)
of the patients were dead; 5 deaths were linked with the IDA, and 45 (65%)
patients had persistent anemia, which was significantly associated with death (P
= 0.007). Further investigations were performed in 45 patients; 64% of the second
look GI endoscopies led to significant changes in treatment compared with 25% for
the capsule endoscopies. Conventional diagnoses of IDA were ultimately
established for 19 (27%) patients and included 3 cancer patients. Among the 50
other patients, 40 (58%) had antithrombotics.In endoscopy-negative IDA over the
age of 65 years, further investigations should be reserved for patients with
persistent anemia, and second-look GI endoscopy should be favored. If the results
of these investigations are negative, the role of antithrombotics should be
considered.
PMID- 27893669
TI - Cost of glaucoma treatment in a developing country over a 5-year period.
AB - The aim of the study was to disclose a realistic estimate of primary open-angle
glaucoma treatment, follow-up costs, and patients' monthly glaucoma-economic
burden in an ophthalmology hospital in Mexico City.Prospective survey of 462
primary open-angle glaucoma patients from 2007 to 2012 was carried out. Costs
from visits, glaucoma follow-up studies, laser, and glaucoma surgical procedures
were obtained from hospital pricings. Education, employment, and monthly income
were interrogated. Total cost was divided into hypotensive treatment cost,
nonpharmacologic treatment cost (laser and surgeries), and follow-up studies and
consults. Average wholesale price for drugs analyzed was obtained from IMS Health
data; monthly cost was calculated using: Monthly cost = ([average wholesale
price/number of drops per eye dropper] * number of daily applications) * 30
days.Patients were classified according to their glaucoma severity, and data were
analyzed based on monthly income (average annual exchange rate: 12.85 Mexican
pesos = 1 USD).The mean age was 70 +/- 10 years, women = 81%, elementary school =
39%, and unemployed = 53%. Low-income group = 266 patients (57%), 146 with mild
glaucoma; moderate-income group = 176 patients (38%), 81 with mild glaucoma; high
income group = 20 patients (4.3%), 10 with mild glaucoma. Patients' monthly
average economic burden in glaucoma treatment: low-income patients = 61.5%,
moderate-income patients = 19.5%, and high-income patients = 7.9%.Glaucoma
economic burden is substantial not only for health systems, but for the family
and the patient. Therefore, screening plans for earlier diagnosis, and health
policies that lessen the cost of disease management and increase adherence to
treatment, and reduce the prevalence of blindness attributed to glaucoma are
essential. These would improve quality of life, reduce personal and national
expenditure, and help increase national economy.
PMID- 27893671
TI - Scoliosis secondary to lumbar osteoid osteoma: A case report of delayed diagnosis
and literature review.
AB - RATIONALE: Lumbar osteoid osteoma has a low incidence, which could easily lead to
scoliosis. PATIENT CONCERNS: Scoliosis secondary to lumbar osteoid osteoma could
be easily misdiagnosed when patients do not complain of obvious symptoms.
DIAGNOSES: We reported a case of a 9-year-old boy with back deformity that was
firstly diagnosed with scoliosis at the local hospital. After prescribed with
orthosis, the patient experienced aggravating pain that could not be relieved
with painkillers. After he admitted to our hospital for further medical advice,
he was prescribed to complete radiological examinations. Considering his
radiological examination results and his medical history, correct diagnosis of
lumbar osteoid osteoma was made. INTERVENTIONS: Surgical intervention of
posterior lesion resection was conducted after diagnosis. Intra-operative frozen
pathology indicated features of osteoid osteoma. As the lesion involved inferior
articular process of L5, which could cause lumbar instability after lesion
resection, internal fixation was conducted at L4-S1 segment, and posterolateral
bone fusion was also conducted at L5-S1 segment. OUTCOMES: Three months after
operation, the patient showed marked improvement of scoliosis deformity and great
relief of lumbar pain. LESSONS SUBSECTIONS: Although spine osteoid osteoma is
clinically rare, it shall not be overlooked when young patients present with
scoliosis first. Radiological results including computed tomography and magnetic
resonance imaging shall be taken carefully as reference when making diagnosis.
Surgical intervention of lesion resection could well improve scoliosis and
relieve lumbar pain.
PMID- 27893670
TI - Epidemiology and clinical characteristics of traumatic brain injury in Lebanon: A
systematic review.
AB - BACKGROUND: Traumatic brain injury (TBI) is a debilitating medical and emerging
public health problem that is affecting people worldwide due to a multitude of
factors including both domestic and war-related acts. The objective of this paper
is to systematically review the status of TBI in Lebanon - a Middle Eastern
country with a weak health system that was chartered by several wars and
intermittent outbursts of violence - in order to identify the present gaps in
knowledge, direct future research initiatives and to assist policy makers in
planning progressive and rehabilitative policies. METHODS: OVID/Medline, PubMed,
Scopus databases and Google Scholar were lastly searched on April 15, 2016 to
identify all published research studies on TBI in Lebanon. Studies published in
English, Arabic or French that assessed Lebanese patients afflicted by TBI in
Lebanon were warranting inclusion in this review. Case reports, reviews,
biographies and abstracts were excluded. Throughout the whole review process,
reviewers worked independently and in duplicate during study selection, data
abstraction and methodological assessment using the Downs and Black Checklist.
RESULTS: In total, 11 studies were recognized eligible as they assessed Lebanese
patients afflicted by TBI on Lebanese soils. Considerable methodological
variation was found among the identified studies. All studies, except for two
that evaluated domestic causes such as falls, reported TBI due to war-related
injuries. Age distribution of TBI victims revealed two peaks, young adults
between 18 and 40 years, and older adults aged 60 years and above, where males
constituted the majority. Only three studies reported rates of mild TBI.
Mortality, rehabilitation and systemic injury rates were rarely reported and so
were the complications involved; infections were an exception. CONCLUSION:
Apparently, status of TBI in Lebanon suffers from several gaps which need to be
bridged through implementing more basic, epidemiological, clinical and
translational research in this field in the future.
PMID- 27893672
TI - Risk factors of severe hypoglycemia requiring medical assistance and neurological
sequelae in patients with diabetes: A case-control study.
AB - Hypoglycemia commonly occurs in patients who are being treated for diabetes. In
some cases, these patients suffer from severe hypoglycemia that requires medical
assistance and which can unfortunately result in long-term disabilities.
Therefore, we investigated risk factors associated with severe hypoglycemia
requiring medical assistance (HMA) and the resulting neurological sequelae in
patients with diabetes. This investigation was a case-control study that assessed
129 patients with diabetes and documented hypoglycemia from a single tertiary
hospital between February 2013 and May 2015. They were treated with oral
hypoglycemic agents alone (54%) or with insulin with/without oral hypoglycemic
agents (46%). If a patient with diabetes visited the emergency department due to
hypoglycemia, this was defined as HMA. The control group was composed of patients
with documented, nonsevere hypoglycemia who visited the outpatient clinic during
the same period. The degree of neurological disability in the HMA patients was
measured using the modified Rankin Scale. A multivariate analysis revealed that
independent risk factors of HMA were associated with a lack of the self
monitoring of blood glucose (SMBG) and previous episodes of severe hypoglycemia.
In the HMA group, 15 patients (22%) had neurological sequelae at the time of
discharge. Patients with neurological sequelae were older than those without
sequelae (74.3 years vs 65.8 years, P = 0.006) and had increased psychological
evidence of disorders such as insomnia, dementia, and depression (40% vs 11%, P =
0.017). Patients with sequelae were also more likely to live in rural areas (47%
vs 19%, P = 0.04) and to have a longer time from last seen normal till glucose
administration (5.2 hours vs 1.6 hours, P = 0.027). In the present study, absence
of SMBG and previous severe hypoglycemic episodes were independent risk factors
of HMA and patients with an older age, a psychological disorder, a rural
residence, and a prolonged duration of hypoglycemia had higher risks of
neurological sequelae. Therefore, the present findings suggest that physicians
should aim to prevent hypoglycemia in patients with a history of hypoglycemia and
provide education for these patients regarding regular SMBG.
PMID- 27893673
TI - Risk factor and outcome for intra-abdominal bleeding in patients with
enterocutaneous fistula.
AB - Intra-abdominal bleeding is a major and lethal complication in patients with
enterocutaneous fistula (ECF) while few studies are involved in this aspect. In
the present study, we aimed to investigate the risk factors and assessed the
outcome for intra-abdominal bleeding in patients with ECF.A retrospective study
was performed from October 2013 to October 2015. Medical records of 67 ECF
patients with intra-abdominal bleeding and 134 ECF patients without intra
abdominal bleeding matched as controls were reviewed and analyzed. Logistic
regression was performed to evaluate the risk factor of intra-abdominal bleeding
in patients with ECF. Outcomes such as mortality, hospital durations, and cost
were compared between ECF patients with or without intra-abdominal bleeding.A
total of 67 ECF patients suffered intra-abdominal bleeding during
hospitalization. In the logistic regression, duodenum fistula (odds ratio [OR]:
3.899, 95% confidence interval [CI]: 1.204-12.634, P = 0.023), hemorrhage history
(OR: 15.846 95% CI: 5.779-43.448, P < 0.001), and acute kidney injury (OR: 6.350
95% CI: 2.033-19.836, P = 0.001) were independent risk factors for intra
abdominal bleeding in patients with ECF. In addition, the bleeding patients
showed a significantly higher mortality rate, prolonged total parenteral
nutrition days, hospital and intensive care unit durations, more complications,
and increased cost.We revealed the 3 risk factors for intra-abdominal bleeding
among ECF patients. Besides, intra-abdominal hemorrhage was associated with a
poor prognosis in patients with ECF.
PMID- 27893674
TI - Relapsing-remitting lesions in a woman with progressive hemifacial atrophy and
chronic hepatitis B virus infection: A case report.
AB - INTRODUCTION: Progressive hemifacial atrophy (PHA) is a rare disorder
characterized by unilateral facial atrophy affecting the skin, subcutaneous
tissue, and fat, muscle, and osteocartilagenous structures creating a sunken
hemiface appearance.Etiopathogenesis of PHA is poorly understood; no definitive
treatment is currently available. CLINICAL FINDINGS: We report a 41-year-old
woman with PHA who showed an uncharacteristic "relapsing-remitting" evolution of
brain lesions and was seropositive for hepatitis B virus (HBV). She presented
with a history of recurrent tonic-clonic seizures. Magnetic resonance imaging
(MRI) showed progressive atrophy and multiple white matter lesions in the left
side of the brain. Interestingly, the serial MRI examination (4 MRI scans over a
period of 9 years) showed a "relapsing-remitting" pattern of brain lesions akin
to that observed in a subtype of multiple sclerosis. Autoimmune-related
investigations revealed increased serum levels of immunoglobulin (Ig) G, anti
nuclear antibody (ANA), and gamma-IgG. Infection is considered as one of the
possible causes of PHA. However, the association of peripheral infection such as
HBV infection with PHA has not been reported. CONCLUSION: Our experience with
this case suggests that PHA may have a relapsing-remitting disease course.
Autoimmune inflammatory response to chronic HBV infection may have triggered the
relapse in this case. This case underlines a novel etiopathogenetic mechanism of
PHA.
PMID- 27893675
TI - A multicenter, randomized, double-blind trial comparing the efficacy and safety
of TUDCA and UDCA in Chinese patients with primary biliary cholangitis.
AB - AIM: Tauroursodeoxycholic acid (TUDCA) is a taurine conjugated form of
ursodeoxycholic acid (UDCA) with higher hydrophility. To further evaluate the
efficacy and safety of TUDCA for primary biliary cholangitis (PBC), we performed
this study on Chinese patients. METHODS: 199 PBC patients were randomly assigned
to either 250 mg TUDCA plus UDCA placebo or 250 mg UDCA plus TUDCA placebo, 3
times per day for 24 weeks. The primary endpoint was defined as percentage of
patients achieving serum alkaline phosphatase (ALP) reduction of more than 25%
from baseline. RESULTS: At week 24, 75.97% of patients in the TUDCA group and
80.88% of patients in the UDCA group achieved a serum ALP reduction of more than
25% from baseline (P = 0.453). The percentage of patients with serum ALP levels
declined more than 40% following 24 weeks of treatment was 55.81% in the TUDCA
group and 52.94% in the UDCA group (P = 0.699). Both groups showed similar
improvement in serum levels of ALP, aspartate aminotransferase, and total
bilirubin (P > 0.05). The proportion of patients with pruritus/scratch increased
from 1.43% to 10.00% in UDCA group, while there's no change in TUDCA group (P =
0.023). Both drugs were well tolerated, with comparable adverse event rates
between the 2 groups. CONCLUSIONS: TUDCA is safe and as efficacious as UDCA for
the treatment of PBC, and may be better to relieve symptoms than UDCA.
PMID- 27893676
TI - Combination use of paclitaxel and avastin enhances treatment effect for the NSCLC
patients with malignant pleural effusion.
AB - The current study is conducted to investigate efficacy of the chemotherapy drug
paclitaxel in combination with Avastin (Roche Diagnostics GmbH., Mannheim,
Germany) (antiangiogenic agent) in treatment of malignant pleural effusions
(MPEs).Twenty-four patients with non-small cell lung cancer were randomly
assigned for 2 treatment approaches. Ten patients received paclitaxel (175 mg/m)
alone, and 14 patients took a combination therapy of paclitaxel and Avastin (5
mg/kg). Efficacy of the treatment approaches in the patients was validated with
the change in the MPE volume. Pharmacokinetic (PK) profile and urinary excretion
rate of paclitaxel were analyzed with serum vascular endothelial growth factor
(VEGF) level, and adverse events were examined as well.The combination therapy
reduced the MPE level with a successful rate of 29% and a survival rate of 25%
over the single paclitaxel treatment in the study cohort (both P < 0.05). PKs for
the combined treatment displayed a rapid distribution of the anticancer drug
paclitaxel with an obvious increase in its elimination half-life in the pleural
fluid (both P < 0.01). Mean residence time of paclitaxel increased in the
presence of Avastin (P < 0.01). Serum VEGF levels significantly reduced in the
Avastin-treated patients as compared to the paclitaxel-treated ones (P < 0.01).
The urinary excretion rate was similar in the study cohort. Incidence of adverse
events for the 2 treatment approaches was similar in the patients.Intervention of
Avastin enhances potency of paclitaxel in treatment of MPEs with the increased
survival rate of the patients through inhibiting VEGF production and prolonging
time of ongoing interaction between the chemotherapy drug and the tumor tissues.
PMID- 27893677
TI - Impact of iron deficiency anemia on the function of the immune system in
children.
AB - The importance of iron deficiency as a public health problem is based ultimately
on the seriousness of its consequences on health. The most extensively
investigated consequences of iron deficiency involve work performance and immune
function. The significance of the effects on work performance is generally
accepted. In contrast, data on the influence of iron deficiency on immune
function are often perceived as being confusing and contradictory.We aimed to
evaluate the effect of iron deficiency anemia on humoral, cellular, nonspecific
immunity, and also the effect on the cytokines that are the key factors of many
immunologic steps.Forty children with iron deficiency anemia and 20 age and sex
matched healthy children were included. All children were subjected to full
medical history, thorough clinical examination, complete blood count, iron
indices (serum iron, serum total iron-binding capacity, serum ferritin, and
transferrin saturation), immunoglobulin assay (IgA, IgG, and IgM), interleukin
(IL)-6 serum level, study of T-lymphocyte subsets, and evaluation of phagocytic
function of macrophages and oxidative burst activity of neutrophils.Patients had
significantly lower IgG levels, IL-6, phagocytic activity, and oxidative burst of
neutrophils than controls, although there was no significant difference between
patients and controls with regard to other immunoglobulins and CD4/CD8 ratio.
There was significantly positive correlation between serum iron and IL-6 serum
level.We concluded that humoral, nonspecific immunity (phagocytic activity and
oxidative burst), and the IL-6 are influenced in patients with iron deficiency
anemia. Study of these abnormalities after correction of iron deficiency is
strongly needed.
PMID- 27893678
TI - Value of cyclin A immunohistochemistry for cancer risk stratification in Barrett
esophagus surveillance: A multicenter case-control study.
AB - The value of endoscopic Barrett esophagus (BE) surveillance based on histological
diagnosis of low-grade dysplasia (LGD) remains debated given the lack of adequate
risk stratification. The aim of this study was to evaluate the predictive value
of cyclin A expression and to combine these results with our previously reported
immunohistochemical p53, AMACR, and SOX2 data, to identify a panel of biomarkers
predicting neoplastic progression in BE.We conducted a case-control study within
a prospective cohort of 720 BE patients. BE patients who progressed to high-grade
dysplasia (HGD, n = 37) or esophageal adenocarcinoma (EAC, n = 13), defined as
neoplastic progression, were classified as cases and patients without neoplastic
progression were classified as controls (n = 575). Cyclin A expression was
determined by immunohistochemistry in all 625 patients; these results were
combined with the histological diagnosis and our previous p53, AMACR, and SOX2
data in loglinear regression models. Differences in discriminatory ability were
quantified as changes in area under the ROC curve (AUC) for predicting neoplastic
progression.Cyclin A surface positivity significantly increased throughout the
metaplasia-dysplasia-carcinoma sequences and was seen in 10% (107/1050) of biopsy
series without dysplasia, 33% (109/335) in LGD, and 69% (34/50) in HGD/EAC.
Positive cyclin A expression was associated with an increased risk of neoplastic
progression (adjusted relative risk (RR) 2.4; 95% CI: 1.7-3.4). Increases in AUC
were substantial for P53 (+0.05), smaller for SOX2 (+0.014), minor for cyclin A
(+0.003), and none for AMARC (0.00).Cyclin A immunopositivity was associated with
an increased progression risk in BE patients. However, compared to p53 and SOX2,
the incremental value of cyclin A was limited. The use of biomarkers has the
potential to significantly improve risk stratification in BE.
PMID- 27893680
TI - The value of eZIS analysis of Tc-99m ECD SPECT on identifying cerebellar
hypoperfusion in a patient with superficial siderosis: A case report.
AB - INTRODUCTION: Brain perfusion single photon computed tomography (SPECT) is a
functional imaging modality and has been widely utilized in evaluation of various
kinds of neurological disorders. Easy z-score imaging system (eZIS) is a computer
assisted statistical analysis, based on the comparison with age-classified ethyl
cysteinate dimer (ECD) normal database, which provides objectively interpretation
of Tc-99m ECD brain perfusion SPECT.Here we presented a 64-year-old male with
dizziness, spin sensation, nausea, and vomiting in the emergency room, and brain
computed tomography scan showed only small hypodensity lesion in cerebellum. Tc
99m ECD SPECT was performed for evaluating occult cerebral ischemia, infarction,
and/or degeneration, but no remarkable abnormality could be identified by
experienced readers on conventional display. The result of eZIS showed remarkable
hypoperfusion in cerebellum and mild hypoperfusion in bilateral frontal and
parietal lobes. Magnetic resonance imaging (MRI) confirmed severe atrophy of
anterior cerebellar lobe. In addition, MRI showed diffuse hypointensity signals
along with cerebrospinal fluid spaces, especially those areas with hypoperfusion
on SPECT, compatible with typical appearances of superficial siderosis.
CONCLUSION: This presented case demonstrates the value of software analysis with
eZIS on enhancing the diagnostic value of brain perfusion SPECT for detecting
brain lesions at an uncommon location due to a rare disease.
PMID- 27893679
TI - Pelvic inflammatory disease increases the risk of a second primary malignancy in
patients with cervical cancer treated by surgery alone.
AB - As the number of long-term cervical cancer survivors continues to increase
because of improvements in treatment, concerns about second primary malignancy
have grown. The high-risk area of second primary cancers in cervical cancer
survivors is the pelvis. Pelvic inflammatory disease (PID) could be a useful
marker for gynecological cancers. Thus, we designed a large-scale, nationwide,
controlled cohort study to investigate whether PID or other risk factors
increased the risk of second primary cancers in patients with cervical cancer
treated by surgery alone.Between 2000 and 2010, a total of 24,444 cervical cancer
patients were identified using the Registry Data for Catastrophic Illness and the
National Health Insurance Research Database (NHIRD) of Taiwan. Patients who
received definite surgery were selected. To exclude the effect on second primary
malignancy by treatment modalities, all cervical patients who ever having
received adjuvant or definite radiotherapy or chemotherapy for primary cervical
cancer were excluded. Finally, 3860 cervical cancer patients treated by surgery
alone without adjuvant treatments were analyzed.Cox proportional hazards model
was used for multivariate analysis and the Kaplan-Meier method was used to assess
the cumulative risks. Regarding the incidence of second primary cancers, the
standardized incidence ratio (SIR) was used.The median follow-up time was 56.6
months. The 6-year cumulative risk of second primary cancers is 0.16% and 0.12%
for PID and without PID, respectively. After adjustment for confounders, age of
less than 50 years, the presence of diabetes mellitus, and PID were significantly
positivity associated with the risk of second primary cancers. The hazard ratios
(HRs) of age less than 50 years, diabetes mellitus, and PID were 1.38 (95% CI =
1.11-2.04), 1.40 (95% CI = 1.06-1.85), and 1.35 (95% CI = 1.00-1.81),
respectively. A higher incidence of second primary cancers was observed in the
genitals, bladder, and colon.In conclusion, the incidence of second primary
cancers was higher in the genitals, bladder, and colon in patients with cervical
cancer treated with surgery alone. The patients with PID had a higher risk of
second primary cancers.
PMID- 27893681
TI - Combination of transcatheter arterial chemoembolization and CT-guided
percutaneous segment ablation for hepatocellular carcinoma therapy: A
retrospective study.
AB - Treatment option for liver cancer patients with large tumor >5 cm and/or portal
vein tumor thrombosis is very limited. New treatment strategy is badly needed.
Our study is to determine the safety and treatment efficacy of a new minimally
invasive treatment strategy-liver segment thermal ablation.Late-stage
hepatocellular carcinoma patients were included and treated with percutaneous
ablation to destroy the entire tumor-containing liver segment to reduce
reoccurrence and prolong survival. Transcatheter arterial chemoembolization was
used before ablation to label tumor margin. The patients were followed up
routinely.The patients were followed up for 8 to 95 months. Mean overall survival
(OS) (n = 6) was 21.5 months (range 8-95). For patients in BCLC stage B (n = 2),
average OS was 16 months; for those in stage C (n = 4), mean OS was 25 months
(range 15-95). Out of all 6 patients, 2 reoccurred within 1 year, and 1
reoccurred after 13 months postoperatively. The average alpha-fetoprotein was
dropped from 1153.69 to 41.22 MUg/L postoperatively. No severe intra or
postoperative complications were observed.Our preliminary data indicated that
transcatheter arterial chemoembolization + segment ablation is safe and benefits
survival significantly for late-stage hepatocellular carcinoma patients. A
prospective multicenter, randomized trial comparing focal and segment ablation is
now ongoing in China (Trial Registry Number, ChiCTR-TRC-12002786).
PMID- 27893682
TI - Peritoneal dialysis-related peritonitis due to Halomonas hamiltonii: A first case
report.
AB - INTRODUCTION: Halomonas hamiltonii is a Gram-negative, halophilic, motile, and
nonspore-forming rod bacterium. Although most Halomonas sp. are commonly found in
saline environments, it has rarely been implicated as a cause of human infection.
Herein, the authors present a case report of continuous ambulatory peritoneal
dialysis (CAPD)-related peritonitis attributed to H hamiltonii. CASE
PRESENTATION: An 82-year-old male patient who had been receiving CAPD therapy
presented to an emergency department with complaints of abdominal pain and cloudy
dialysate that had persisted for 2 days. The peritoneal dialysate was compatible
with CAPD peritonitis, with white blood cell count of peritoneal effluent of
810/mm and neutrophils predominated (60%). Two days after culture on blood agar
medium, nonhemolytic pink mucoid colonies showed, with cells showing Gram
negative, nonspore-forming rods with a few longer and larger bacilli than usual
were found. We also performed biochemical tests and found negative responses in
K/K on the triple sugar iron test and H2S and equivocal (very weak) response in
the motility test, but positive responses to catalase, oxidase, and urease tests.
The partial sequence of the 16S rRNA gene of a bacterium detected by peritoneal
fluid culture was utilized for a Basic Local Alignment Search Tool search, which
revealed that the organism was H hamiltonii. Intraperitoneal antibiotics were
administered for 21 days, and the patient was discharged without clinical
problems. CONCLUSION: We present here the first case report of CAPD-related
peritonitis caused by H hamiltonii, which was identified using molecular
biological techniques. Although guidelines do not exist for the treatment of
infections caused by this organism, conventional treatment for Gram-negative
organisms could be effective.
PMID- 27893683
TI - Clinical characteristics of early- and late-onset gout: A cross-sectional
observational study from a Chinese gout clinic.
AB - A retrospective cross-sectional study using data from an outpatient clinic in
China was conducted to investigate the clinical features of early-onset gout
patients.All patients diagnosed with gout were asked about clinical
characteristics of their gout and comorbid diseases. Patients presenting with
acute flares were asked about common triggers before the flare. "Early-onset"
gout was defined as onset of gout before 40 years and "late-onset" as onset >=40
years. Major joint involvement, flare frequency before presentation, the
cumulative number of involved joints, proportions of tophi complications at
presentation, flare triggers, as well as any metabolic, cardiovascular,
cerebrovascular, and renal comorbidities, were compared between the 2 groups.A
total of 778 gout patients were enrolled in this study, including 449 (57.7%) in
the early-onset group and 329 (42.3%) in the late-onset group. Compared with the
late-onset gout patients, the early-onset gout patients had a higher proportion
of ankle/mid-foot involvement (62.8% vs 48.2%, P < 0.001), more frequent flares
before presentation (11.2 +/- 1.17 vs 6.97 +/- 1.03 times per year, P = 0.01),
higher cumulative number of involved joints (5.2 +/- 0.26 vs 3.8 +/- 0.26, P <
0.001), and more likely to have alcohol consumption as a flare trigger (65.2% vs
53.9%, P = 0.03); whereas early-onset gout patients had fewer metabolic,
cardiovascular, cerebrovascular, or renal complications.Early- and late-onset
gout patients had different clinical features. Early-onset seems to be influenced
more by lifestyle, while late-onset patients have more complications because of
comorbidities.
PMID- 27893684
TI - CT findings of small cell lung carcinoma: Can recognizable features be found?
AB - The purpose of this study was to clarify the recognizable computed tomography
(CT) features of small cell lung carcinoma (SCLC).Contrast enhanced CT scans were
reviewed retrospectively for mass location, mediastinal extension, and other
concomitant findings in 142 patients with pathologically proven SCLC. SCLC was
classified into hilar mass only (type I), hilar mass with ipsilateral mediastinal
extension (type II), hilar mass with bilateral mediastinal extension (type III),
and peripheral mass (type IV). When mediastinal lymphadenopathy (m-LAP) was
indistinguishable from a hilar mass, we defined it as a mediastinal conglomerate
mass (m-CM). Type IIa or IIIa had ipsilateral or bilateral m-LAP and type IIb,
IIIb or IIIc had ipsilateral or bilateral m-CM.Type I (n = 8, 5.6%), type II (n =
58, 40.8%), type III (n = 55, 38.8%), and type IV (n = 21, 14.8%) were
manifested. The combination of a hilar mass and m-CM was found in 68 patients
(47.9%). Type IV masses showed lobulation in 11, microlobulation in 4, both
lobulated and irregular margins in 4, and spiculation in 2. A total of 120
patients (84.5%) had a bronchial stenosis/obstruction; single (n = 52) and 2 or
more (n = 68). Ninety-five patients (67.0%) had vascular invasion including
main/lobar pulmonary artery and superior vena cava, and 55 (38.7%) had pleural
effusion and/or pleural nodules. Concomitant parenchymal findings (n = 92, 64.8%)
were noted: contiguous consolidation/nodule (n = 45), hematogeneous spread (n =
32), lymphangitic spread (n = 21), obstructive pneumonia (n = 22), and
obstructive atelectasis (n = 14).In conclusion, the recognizable CT features of
SCLC were a hilar mass with m-CM. Most of the hilar masses showed 2 or more
bronchial stenoses/obstructions. Most cases of peripheral SCLC manifested as a
lobulated mass rather than a spiculated mass. Vascular invasion and concomitant
parenchymal findings were observed commonly.
PMID- 27893685
TI - Acute liver failure caused by hemophagocytic lymphohistiocytosis in adults: A
case report and review of the literature.
AB - BACKGROUND: Hemophagocytic lymphohistiocytosis (HLH) is a rare condition that can
be caused by a primary or acquired disorder of uncontrolled immune response.
Liver injury is a common complication of HLH; however, HLH presenting as acute
liver failure (ALF) has rarely been reported in adults. CASE SUMMARY: A 34-year
old man was admitted to our hospital with nausea and fatigue persisting for 2
weeks and jaundice for 1 week. He had hyperthermia at the onset of disease. At
admission, he had severe liver injury with unknown etiology. The laboratory data
showed that he had hyperferritinemia, thrombocytopenia, anemia,
hypertriglyceridemia, and hypofibrinogenemia. Finally, a bone marrow biopsy
revealed hemophagocytic cells, and he was diagnosed with HLH. The patient was
treated with prednisone and plasma exchange. However, the liver function of the
patient deteriorated, and he finally died of multiorgan failure. CONCLUSIONS:
Reports of adult patients with ALF caused by HLH have increased, and HLH should
be suspected in patients with ALF of indeterminate cause. Although the efficacy
of the treatment strategy recommended by the HLH 2004 remains to be confirmed in
adult patients with ALF caused by HLH, early diagnosis and prompt combined
treatment with steroids and cyclosporin A or etoposide should be emphasized.
PMID- 27893686
TI - Association between cigarette smoking and interleukin-17A expression in nasal
tissues of patients with chronic rhinosinusitis and asthma.
AB - Cigarette smoke plays a substantial role in the development of airway
inflammatory diseases, including asthma and chronic rhinosinusitis (CRS).
Interleukin (IL)-17A might contribute to cigarette smoke-related inflammation of
the airway. This study aimed to investigate the association between cigarette
smoking and IL-17A expression in the nasal tissues of patients with CRS and
asthma.We prospectively recruited 24 patients (13 smokers, 11 nonsmokers) with
CRS and asthma and 6 patients with asthma but without CRS (control group) in a
tertiary medical center. Nasal mucosa was obtained as part of the nasal surgery.
Protein and mRNA levels of IL-17A in the nasal tissues were determined by
immunostaining and real-time polymerase chain reaction.The number of unexpected
emergency clinic visits for acute asthma attacks were higher among smokers than
among nonsmokers. Interleukin-17A protein and mRNA levels in the nasal tissues of
smokers were greater compared to those in the nasal tissues of nonsmokers (P =
0.02 both) and control patients (P = 0.05 and 0.04, respectively).Cigarette
smoking was associated with an increase in the number of unexpected emergency
clinic visits due to acute asthma attack and in the expression of IL-17A in the
nasal tissues of patients with airway inflammatory diseases.
PMID- 27893687
TI - Spontaneous and transient predinner hyperglycemia in some patients with diabetes:
Dusk phenomenon.
AB - Blood glucose fluctuations have higher risk than absolute blood glucose level in
diabetic chronic complications. At present, "dawn phenomenon" is well known by
clinicians, but "dusk phenomenon" has not been recognized. This study explored
the objective existence of "dusk phenomenon" (spontaneous and transient predinner
hyperglycemia) and its clinical significance.The data of 54 patients with
diabetes, who received routine insulin pump therapy between December 2010 and
October 2012 in our hospital, were retrospectively analyzed. These patients
included 4 patients with type 1 diabetes mellitus (DM) (T1DM) and 50 patients
with type 2 DM (T2DM). According to the difference between predinner and
postlunch blood glucose levels, the 50 patients with T2DM were divided into dusk
phenomenon group (4 patients, all the differences >=0 mmol/L during insulin pump
therapy), nondusk phenomenon group (12 patients, all the differences <0 mmol/L
during insulin pump therapy), and suspicious group (34 patients, the differences
were uncertain during insulin pump therapy). In the 4 patients with T1DM of this
study, the differences all were more than 0 mmol/L during insulin pump therapy.
The changes in blood glucose levels were observed, and the correlations of blood
glucose level with other factors were analyzed in T1DM and T2DM patients,
respectively.In T1DM patients, blood glucose level was significantly higher in
predinner than in prebreakfast and prelunch (all P < 0.01), and in postdinner 2
hour than in postlunch 2 hour (P = 0.021). The predinner blood level had no
significant correlations with the blood glucose level at other time points and
insulin dosages (all P > 0.05). In T2DM patients, the predinner blood glucose
level was significantly higher in dusk phenomenon group than in suspicious group
and nondusk phenomenon group (all P < 0.05). In dusk phenomenon group, the blood
glucose level remained rising from predinner to prebed, and the predinner blood
glucose level was only significantly correlated with postdinner 2-hour blood
glucose level (P < 0.05).The "dusk phenomenon" (spontaneous and transient
predinner hyperglycemia) is an objective existence in some patients with
diabetes. The predinner hyperglycemia can affect blood glucose control between
postdinner and prebed. Awareness of the "dusk phenomenon" has important clinical
significance.
PMID- 27893688
TI - Identification of genes and signaling pathways associated with diabetic
neuropathy using a weighted correlation network analysis: A consort study.
AB - BACKGROUND: The molecular mechanisms behind diabetic neuropathy remains to be
investigated. METHODS: This is a secondary study on microarray dataset (GSE24290)
downloaded from Gene Expression Omnibus (GEO) at the National Center for
Biotechnology Information (NCBI), which included 18 nerve tissue samples of
progressing diabetic neuropathy (fibers loss >=500 fibers/mm) and 17 nerve tissue
samples of nonprogressing diabetic neuropathy (fibers loss <=100 fibers/mm).
Differentially expressed genes (DEGs) were screened between progressing and
nonprogressing diabetic neuropathy. With the DEGs obtained, a weighted gene
coexpression network analysis was conducted to identify gene clusters associated
with diabetic neuropathy. Diabetes-related microRNAs (miRNAs) and their target
genes were predicted and mapped to the genes in the gene clusters identified.
Consequently, a miRNA-gene network was constructed, for which gene ontology (GO)
and Kyoto Encyclopedia of Genes and Genomes (KEGG) enrichment analysis was
performed. Potential drugs for treatment of diabetic neuropathy were also
predicted. RESULTS: Total 370 upregulated and 379 downregulated DEGs were
screened between nonprogressing and progressing diabetic neuropathy. Has-miR-377,
has-miR-216a, and has-miR-217 were associated with diabetes. Inflammation was the
most significant GO term. The peroxisome proliferator-activated receptor (PPAR)
pathway and the adenosine monophosphate (AMP)-activated protein kinase (AMPK)
signaling pathway were significantly KEGG pathways significantly enriched with
PPAR gamma (PPARG), stearoyl-CoA desaturase (SCD), cluster of differentiation 36
(CD36), and phosphoenolpyruvate carboxykinase 1 (PCK1). CONCLUSION: The study
suggests that PPARG, SCD, CD36, PCK1, AMPK pathway, and PPAR pathway may be
involved in progression of diabetic neuropathy.
PMID- 27893689
TI - A new method for insertion of long intestinal tube for small bowel obstruction:
Nonendoscopic over-the-wire method via short nasogastric tube.
AB - It is often difficult to insert a long intestinal tube (LT) in patients with
small bowel obstruction (SBO). We developed a novel technique for inserting an LT
without endoscopy called nonendoscopic over-the-wire method via short nasogastric
tube (NEWSt). We evaluated the efficacy and safety of NEWSt.We performed a
retrospective study of patients who underwent LT insertion for SBO without any
indications of strangulation with either NEWSt (n = 16) or endoscopy (n = 17)
between November 2011 and February 2015 at our hospital. Univariate analysis was
used to assess the success rate of LT placement beyond the duodenojejunal
flexure, time required for the procedure, clinical outcomes, and adverse
events.The success rate was 100% in both groups. Procedure time was numerically,
but not statistically, shorter in the NEWSt group compared with the endoscopy
group (24 +/- 13 vs 30 +/- 13 min; P = 0.174). There were no statistically
significant differences between the 2 groups in terms of surgery rate (31% vs
12%; P = 0.225), fasting period (11.3 +/- 6.3 vs 9.9 +/- 4.5 days; P = 0.482),
hospital stay (26.4 +/- 22.1 vs 18.7 +/- 7.0 days; P = 0.194), and recurrence
rate (19% vs 24%; P = 1.0). No serious adverse event was observed in the NEWSt
group, whereas serious aspiration pneumonia was observed in 2 patients after LT
insertion in the endoscopy group.Without endoscopy, NEWSt enabled the high
success rate and the short procedure time for the LT insertion. Prospective,
randomized controlled trials are needed.
PMID- 27893690
TI - Prognosis of papillary thyroid carcinoma in elderly patients after thyroid
resection: A retrospective cohort analysis.
AB - The size of the elderly population and the incidence of papillary thyroid
carcinoma (PTC) in this group appear to be rapidly increasing, although published
information based on more detailed older age groupings are lacking.This study
aimed to determine the clinical features and outcomes of elderly patients in
PTC.All consecutive patients who received surgery for PTC in our Department from
1978 to 2014 were included. We compared 3 patient groups: young (<65 years),
older (65-75 years), and very old patients (>75 years). Total thyroidectomy was
performed with lymph node (LN) dissection in most cases, and radioiodine therapy
was administered as needed.A total of 3835 patients (3257 young patients, 450
older patients, and 128 very old patients) were identified. Very old patients
were more likely to have advanced (III/IV) tumor, nodes, metastases (TNM) stage,
greater tumor size, number of tumors, and extracapsular invasion compared with
young and older patients. For the 2289 patients with LN dissection (60%),
metastatic LNs were more frequent in the very old group (44%) than in the other
groups (34% young and 33% older patients) (P = 0.01). Very old patients had more
frequent distant metastases (5%) than the older (2%) and young groups (1%) (P <
0.001). The overall postoperative morbidity was not significantly different
between the 3 age groups. Recurrence was documented in 202 (6.2%) young, 29
(6.4%) older, and 15 (11.7%) very old PTC patients (P = 0.04). The 5-year disease
free survival was 81.3% for very old, 92.9% for older, and 94.7% for young group
(P < 0.001).Very old patients should be considered high-risk PTC patients and
their therapeutic strategy may benefit from aggressive treatment.
PMID- 27893691
TI - Valuable hematological indicators for the diagnosis and severity assessment of
Chinese children with community-acquired pneumonia: Prealbumin.
AB - Chest X-ray is a "golden standard" for the diagnosis and severity assessment of
community-acquired pneumonia (CAP). However, it cannot be used as routine
examination of CAP in children. The present study aims to investigate the roles
of prealbumin (PA) in CAP in children and further determine the usefulness of PA
in diagnosis and severity assessment of CAP in children.This was a retrospective
analysis of 174 cases of hospitalized children with CAP. The following indicators
were recorded: vital sign, inflammatory indexes, PA, and respiratory pathogens
immunoglobulin M antibody test results. A total of 33 healthy children were
selected as the control group. The results of laboratory tests between CAP and
control groups were compared. CAP group was further divided into mild CAP and
severe CAP groups, and vital signs and laboratory examination results of 2 groups
were compared.The total positive rate of Mycoplasma pneumoniae in this study was
27.4%, and there was no significant difference in different seasons (P = 0.356).
Compared with controls, there was no significant difference between procalcitonin
and C-reactive protein in CAP group (P = 0.355, 0.061). The white blood cell
count, percentage of neutrophils, neutrophil count, and erythrocyte sedimentation
rate in the CAP group were significantly higher than those in control group, and
PA was significantly lower than that in the control group (all P < 0.05). In the
traditional cutoff value (<170 mg/L), the sensitivity of PA for the diagnosis of
CAP was 0.847, which was significant higher than traditional inflammatory
indicators. Moreover, it was found that PA was an independent protective factor
for CAP in children based on multivariate analysis (odds ratio: 0.974; 95%
confidence interval: 0.956-0.993; P = 0.008). PA level in severe CAP group was
significantly lower than in mild CAP group (P = 0.001). With a cutoff value of
125 mg/L, the sensitivity and specificity of PA for the severity assessment of
CAP were 0.703 and 0.714, respectively.Combined with traditional inflammatory
markers, PA may improve the diagnostic efficacy of CAP in children. PA can be
used as a reference marker to complement the chest X-rays for severity assessment
of children CAP.
PMID- 27893692
TI - Relationship between serum uric acid and metastatic and nonmetastatic rectal
cancer patients with undergoing no chemotherapy.
AB - The aim of this study was to investigate the role of uric acid (UA) in assessing
rectal cancer metastasis.There were 475 newly diagnosed patients with complete
data in our study, a total of 475 cases were reviewed, and divided into patients
with metastasis and without metastasis.There were several statistical differences
in age, tumor diameter, carcino-embryonic antigen (CEA), and C-reactive protein
(CRP) between the 2 groups. Importantly, serum concentrations of UA in patients
with lymphatic metastasis were found to be increased compared with patients
without lymphatic metastasis (270.9 +/- 52.99 vs 215.8 +/- 43.55; P < 0.001).
There were positive correlations of serum UA with creatinine (Cr), CRP, and CEA
(r = 0.281, P = 0.023; r = 0.312, P = 0.001; r = 0.294, P = 0.017) in rectal
cancer patients with metastasis. Multivariate analysis model revealed that
elevated serum levels of UA were significant prognostic marker for lymphatic
metastasis in patients with rectal cancer, independently of CRP, CEA, and tumor
diameter (odds ratio 1.035, 95% CI 1.013-1.057, P = 0.002). In receiver-operating
characteristic curve analysis, the area under the curve of serum UA in assessing
metastatic rectal cancer patients was 0.803, with sensitivity of 0.864 and
specificity of 0.739.Our results suggest that serum UA may be a novel marker in
assessing tumor metastasis in patients with rectal cancer.
PMID- 27893693
TI - Two case reports of severe myocarditis associated with the initiation of
dolutegravir treatment in HIV patients.
AB - RATIONALE: The integrase inhibitor dolutegravir is now recommended as first-line
treatment for HIV. A single case of myocarditis after treatment with dolutegravir
was reported in the FLAMINGO trial. We present here 2 cases of severe myocarditis
that occurred shortly after the initiation of dolutegravir treatment. PATIENTS
CONCERNS: The first case is a 45-year-old female who developed severe congestive
heart failure and died, weeks after the initiation of dolutegravir treatment (for
simplification of her antiretroviral regimen). The second case was a 51-year-old
male who presented with effort dyspnea 3 weeks after the initiation of
dolutegravir treatment and was later diagnosed as severe congestive heart
failure. The treatment was changed and the patient survived, but he still suffers
from severe heart failure with functional impairment. DIAGNOSIS AND OUTCOME:
Patient 1 died, patient 2 suffers from severe heart failure. LESSONS: We discuss
here the possible relationship between the initiation of dolutegravir treatment
and the development of lymphocytic myocarditis in our patients, and we suggest a
possible mechanism.
PMID- 27893694
TI - The safety and efficacy of vitamin K antagonist in atrial fibrillation patients
with previous ulcer bleeding: Long-term results from a multicenter study.
AB - This study aimed to evaluate the safety and efficacy of vitamin K antagonist
(VKA) in atrial fibrillation (AF) patients with previous ulcer bleeding.In this
multicenter, retrospective analysis, clinical outcomes of 754 AF patients with a
history of ulcer bleeding were evaluated. After ulcer treatment, 458 patients
(61%) were treated with VKA, and the outcomes were compared to 296 patients (39%)
without VKA.VKA treatment significantly increased major bleeding (7.3%/year vs
3.2%/year, P < 0.001), and reduced major adverse cardiac events (MACE) (5.4%/year
vs 10.0%/year, P < 0.001). Specifically, risk of gastrointestinal bleeding was
significantly higher in the VKA group than no-VKA group (5.7%/year vs 2.6%/year,
P < 0.001). Consequently, there was no difference in the incidence of composite
of a MACE and major bleeding, between the 2 groups. In patients with time in the
therapeutic range (TTR) >=65%, VKA significantly decreased MACE (2.8%/year vs
10.0%/year, P < 0.001) without increasing major bleeding. Net clinical benefit
model showed beneficial effects of VKA in patients with TTR >=65%, and harmful
effects in those with TTR < 55%.In AF patients with previous ulcer bleeding, VKA
treatment did not improve clinical outcomes unless the international normalized
ratio level was constantly maintained (TTR >=65%), as the gastrointestinal
bleeding (GIB) risk significantly increased.
PMID- 27893696
TI - Molecular epidemiological study of enteroviruses associated with encephalitis in
children from Hangzhou, China.
AB - Enterovirus (EV) has over 100 serotypes of species A-D, which can cause various
symptoms in infants. Enterovirus encephalitis (EVE) is serve disease with high
morbidity and mortality in children. To well define the epidemiology of EVE, we
wanted to know more about EV and EV molecular typing by conducting this study in
Hangzhou.Cerebrospinal fluid samples were collected from children with diagnosis
of encephalitis. Meanwhile, one-step real-time RT-PCR was used for the detection
of EV, and we also identified the serotypes of EV by using gene sequencing of VP1
or 5'UTR region.A total of 126 CSF specimens were tested and EV was detected in
26 specimens (20.6%). The molecular typing results showed different types of EV
strains including Coxsackievirus B2, Coxsackievirus B3, Echovirus 5, Echovirus
16, Echovirus 18, Echovirus 30, and all EV isolates belonging to the human EV
species B.According to the sequence of VP1 and 5'UTR region, E30 may be major
cause of children's EVE in Hangzhou, China.
PMID- 27893695
TI - Clinical assessment tests in evaluating patients with chronic obstructive
pulmonary disease: A cross-sectional study.
AB - Exertional dyspnea scales (EDS) and health-related quality-of-life questionnaires
(HRQoLQs) are used to assess chronic obstructive pulmonary disease (COPD). The
GOLD guidelines categorize patients according to either 1 of these 2 domains, the
lung function and the frequency of acute exacerbations in the preceding year,
however with inconsistent results. Combining EDS and HRQoLQs may yield better
results; however, the best combination is unclear. Whether the EDS quantifies the
exercise capacity or the dyspnea perception is also unclear. The study was
designed to correlate the EDS with exercise capacity and dyspnea perception and
to evaluate the best combination of the EDS and HRQoLQ.Three EDS were compared by
exercise capacity and Borg scores at rest and during exercise in 57 patients with
COPD. Three HRQoLQs were compared by 4 domains of clinical assessments, and 2
types of exercise. The strength of correlation |r| was categorized by quartiles
from <0.3 to >=0.6.The EDS was better correlated with exercise capacities (|r| =
0.29-0.65, P < 0.05-<0.0001) than with the resting and exertional Borg scores
(|r| = 0.08-0.55, P = NS- <0.0001). The EDS were moderately to strongly
interrelated, but this correlation was weaker when including Oxygen-cost Diagram
(OCD) (with the modified Medical Research Council, mMRC r = -0.56, with the
baseline dyspnea index, BDI r = 0.49 vs. mMRC with BDI r = -0.73); however, the
OCD had the strongest correlation with walking distance (r = 0.65, vs mMRC r =
0.59, BDI r = 0.5) and peak oxygen uptake (r = 0.39 vs mMRC r = -0.29, BDI r =
0.36). Among the HRQoLQs, the COPD assessment test (CAT) was most strongly
correlated with the St. George Respiratory Questionnaire (SGRQ) (r = 0.77) and
similar to the SGRQ regarding significant correlations with the other instruments
(|r| = 0.29-0.67 vs. 0.36-0.77) but poorly with walking distance (r = -0.02). The
OCD was mildly correlated with the CAT (r = -0.4).The EDS was more related to the
exercise capacity than to the dyspnea perception and the CAT was most closely
related to the other instruments but poorly with walking distance. The OCD can be
used to compensate for this weak correlation. The study suggests using the CAT
and the OCD simultaneously when undertaking clinical evaluation of patients with
COPD.
PMID- 27893697
TI - Morbidity and mortality predictivity of nutritional assessment tools in the
postoperative care unit.
AB - The aim was to evaluate the nutritional situation of patients admitted to the
Postoperative Acute Care Unit using classic methods of objective anthropometry,
systemic evaluation methods, and Nutrition Risk in Critically Ill (NUTRIC) score,
and to compare them as a predictor of morbidity and mortality.At admission to the
postoperative care unit, patients undergoing various surgeries were assessed for
the following items: Subjective Global Assessment (SGA), Nutritional Risk Index
(NRI), Nutritional Risk Screening (NRS)-2002, Mini Nutritional Assessment (MNA),
Charlson comorbidity index (CCI), and NUTRIC score, anthropometric measurements,
serum total protein, serum albumin, and lymphocyte count. Patients were monitored
for postoperative complications until death or discharge. Correlation of
complications with these parameters was also analyzed.A total of 152 patients
were included in the study. In this study a positive correlation was determined
between mortality and NRS-2002, SGA, CCI, Acute Physiology and Chronic Health
Evaluation , Sepsis-related Organ Failure Assessment, and NUTRIC score, whereas a
negative correlation was determined between mortality and NRI. There was a
correlation between NUTRIC score and pneumonia, development of atrial
fibrillation, delirium, renal failure, inotrope use, and duration of mechanical
ventilation. In our study group of postoperative patients, MNA had no predictive
properties for any complication, whereas SGA had no predictive properties for any
complications other than duration of hospital stay and mortality.The NUTRIC score
is an important indicator of mortality and morbidity in postoperative surgical
patients. NRI correlated with many postoperative complications, and though SGA
and NRS were correlated with mortality, they were not correlated with the
majority of complications. MNA was determined not to have any correlation with
any complication, mortality, and duration of hospital stay in our patient group.
PMID- 27893698
TI - Influence of Acoustic Overstimulation on the Central Auditory System: An
Functional Magnetic Resonance Imaging (fMRI) Study.
AB - BACKGROUND The goal of the fMRI experiment was to explore the involvement of
central auditory structures in pathomechanisms of a behaviorally manifested
auditory temporary threshold shift in humans. MATERIAL AND METHODS The material
included 18 healthy volunteers with normal hearing. Subjects in the exposure
group were presented with 15 min of binaural acoustic overstimulation of
narrowband noise (3 kHz central frequency) at 95 dB(A). The control group was not
exposed to noise but instead relaxed in silence. Auditory fMRI was performed in 1
session before and 3 sessions after acoustic overstimulation and involved 3.5-4.5
kHz sweeps. RESULTS The outcomes of the study indicate a possible effect of
acoustic overstimulation on central processing, with decreased brain responses to
auditory stimulation up to 20 min after exposure to noise. The effect can be seen
already in the primary auditory cortex. Decreased BOLD signal change can be due
to increased excitation thresholds and/or increased spontaneous activity of
auditory neurons throughout the auditory system. CONCLUSIONS The trial shows that
fMRI can be a valuable tool in acoustic overstimulation studies but has to be
used with caution and considered complimentary to audiological measures. Further
methodological improvements are needed to distinguish the effects of TTS and
neuronal habituation to repetitive stimulation.
PMID- 27893699
TI - Nivolumab-Induced Severe Akathisia in an Advanced Lung Cancer Patient.
AB - BACKGROUND Nivolumab is an anti-PD-1 immune checkpoint inhibitor that was
recently developed for cancer immunotherapy. In the clinical trials of nivolumab,
its adverse effects were reported to be less likely than those of conventional
anti-cancer agents; however, after practical clinical distribution, it has come
to be known that nivolumab induces various immune-related adverse events. CASE
REPORT A 58-year-old male with a recurrence of lung adenocarcinoma was treated
with nivolumab. Only four days after the initial administration of nivolumab, the
patient presented with unbearable restlessness and distress that was resistant to
all therapeutic agents used, and it gradually became worse. He finally came to
need deep sedation despite his cancer status being stable during the course.
Clinical tests including magnetic resonance imaging, cerebrospinal fluid
cytology, and antibodies of paraneoplastic syndrome exhibited no signs of
encephalitis or another possible cause of the neuropathy. The diagnosis of
akathisia could be made only by his somatoform presentation. It was uncertain
whether or not this complication was correlated with the activation of his immune
system. CONCLUSIONS Anti-immune check point inhibitors may induce many unknown
adverse events. Severe akathisia induced by nivolumab, as in our case, has not
been reported yet. Collecting every adverse event of nivolumab may be important
to make a better algorithm to manage its huge variety of complications.
PMID- 27893702
TI - Failure to detect DNA-guided genome editing using Natronobacterium gregoryi
Argonaute.
PMID- 27893701
TI - The signaling adaptor TRAF1 negatively regulates Toll-like receptor signaling and
this underlies its role in rheumatic disease.
AB - TRAF1 is a signaling adaptor known for its role in tumor necrosis factor receptor
induced cell survival. Here we show that monocytes from healthy human subjects
with a rheumatoid arthritis-associated single-nucleotide polymorphism (SNP) in
the TRAF1 gene express less TRAF1 protein but greater amounts of inflammatory
cytokines in response to lipopolysaccharide (LPS). The TRAF1 MATH domain binds
directly to three components of the linear ubiquitination (LUBAC) complex,
SHARPIN, HOIP and HOIL-1, to interfere with the recruitment and linear
ubiquitination of NEMO. This results in decreased NF-kappaB activation and
cytokine production, independently of tumor necrosis factor. Consistent with
this, Traf1-/- mice show increased susceptibility to LPS-induced septic shock.
These findings reveal an unexpected role for TRAF1 in negatively regulating Toll
like receptor signaling, providing a mechanistic explanation for the increased
inflammation seen with a disease-associated TRAF1 SNP.
PMID- 27893700
TI - Trans-presentation of IL-6 by dendritic cells is required for the priming of
pathogenic TH17 cells.
AB - The cellular sources of interleukin 6 (IL-6) that are relevant for
differentiation of the TH17 subset of helper T cells remain unclear. Here we used
a novel strategy for the conditional deletion of distinct IL-6-producing cell
types to show that dendritic cells (DCs) positive for the signaling regulator
Sirpalpha were essential for the generation of pathogenic TH17 cells. Using their
IL-6 receptor alpha-chain (IL-6Ralpha), Sirpalpha+ DCs trans-presented IL-6 to T
cells during the process of cognate interaction. While ambient IL-6 was
sufficient to suppress the induction of expression of the transcription factor
Foxp3 in T cells, trans-presentation of IL-6 by DC-bound IL-6Ralpha (called 'IL-6
cluster signaling' here) was needed to prevent premature induction of interferon
gamma (IFN-gamma) expression in T cells and to generate pathogenic TH17 cells in
vivo. Our findings should guide therapeutic approaches for the treatment of TH17
cell-mediated autoimmune diseases.
PMID- 27893704
TI - Activation and reduction of carbon dioxide by nitrogenase iron proteins.
AB - The iron (Fe) proteins of molybdenum (Mo) and vanadium (V) nitrogenases mimic
carbon monoxide (CO) dehydrogenase in catalyzing the interconversion between CO2
and CO under ambient conditions. Catalytic reduction of CO2 to CO is achieved in
vitro and in vivo upon redox changes of the Fe-protein-associated [Fe4S4]
clusters. These observations establish the Fe protein as a model for
investigation of CO2 activation while suggesting its biotechnological
adaptability for recycling the greenhouse gas into useful products.
PMID- 27893703
TI - Generation of genome-scale metabolic reconstructions for 773 members of the human
gut microbiota.
AB - Genome-scale metabolic models derived from human gut metagenomic data can be used
as a framework to elucidate how microbial communities modulate human metabolism
and health. We present AGORA (assembly of gut organisms through reconstruction
and analysis), a resource of genome-scale metabolic reconstructions semi
automatically generated for 773 human gut bacteria. Using this resource, we
identified a defined growth medium for Bacteroides caccae ATCC 34185. We also
showed that interactions among modeled species depend on both the metabolic
potential of each species and the nutrients available. AGORA reconstructions can
integrate either metagenomic or 16S rRNA sequencing data sets to infer the
metabolic diversity of microbial communities. AGORA reconstructions could provide
a starting point for the generation of high-quality, manually curated metabolic
reconstructions. AGORA is fully compatible with Recon 2, a comprehensive
metabolic reconstruction of human metabolism, which will facilitate studies of
host-microbiome interactions.
PMID- 27893705
TI - Gephyrin-binding peptides visualize postsynaptic sites and modulate
neurotransmission.
AB - gamma-Aminobutyric acid type A and glycine receptors are the major mediators of
fast synaptic inhibition in the human central nervous system and are established
drug targets. However, all drugs targeting these receptors bind to the
extracellular ligand-binding domain of the receptors, which inherently is
associated with perturbation of the basic physiological action. Here we pursue a
fundamentally different approach, by instead targeting the intracellular receptor
gephyrin interaction. First, we defined the gephyrin peptide-binding consensus
sequence, which facilitated the development of gephyrin super-binding peptides
and later effective affinity probes for the isolation of native gephyrin. Next,
we demonstrated that fluorescent super-binding peptides could be used to directly
visualize inhibitory postsynaptic sites for the first time in conventional and
super-resolution microscopy. Finally, we demonstrate that the gephyrin super
binding peptides act as acute intracellular modulators of fast synaptic
inhibition by modulating receptor clustering, thus being conceptually novel
modulators of inhibitory neurotransmission.
PMID- 27893707
TI - Blockade of the IL-6 trans-signalling/STAT3 axis suppresses cachexia in Kras
induced lung adenocarcinoma.
AB - Lung cancer is the leading cause of cancer death worldwide, and is frequently
associated with the devastating paraneoplastic syndrome of cachexia. The potent
immunomodulatory cytokine interleukin (IL)-6 has been linked with the development
of lung cancer as well as cachexia; however, the mechanisms by which IL-6
promotes muscle wasting in lung cancer cachexia are ill-defined. In this study,
we report that the gp130F/F knock-in mouse model displaying hyperactivation of
the latent transcription factor STAT3 via the common IL-6 cytokine family
signalling receptor, gp130, develops cachexia during Kras-driven lung
carcinogenesis. Specifically, exacerbated weight loss, early mortality and
reduced muscle and adipose tissue mass were features of the gp130F/F:KrasG12D
model, but not parental KrasG12D mice in which STAT3 was not hyperactivated. Gene
expression profiling of muscle tissue in cachectic gp130F/F:KrasG12D mice
revealed the upregulation of IL-6 and STAT3-target genes compared with KrasG12D
muscle tissue. These cachectic features of gp130F/F:KrasG12D mice were abrogated
upon the genetic normalization of STAT3 activation or ablation of IL-6 in
gp130F/F:KrasG12D:Stat3-/+ or gp130F/F:KrasG12D:Il6-/- mice, respectively.
Furthermore, protein levels of the soluble IL-6 receptor (sIL-6R), which is the
central facilitator of IL-6 trans-signalling, were elevated in cachectic muscle
from gp130F/F:KrasG12D mice, and the specific blockade of IL-6 trans-signalling,
but not classical signalling, with an anti-IL-6R antibody ameliorated cachexia
related characteristics in gp130F/F:KrasG12D mice. Collectively, these
preclinical findings identify trans-signalling via STAT3 as the signalling
modality by which IL-6 promotes muscle wasting in lung cancer cachexia, and
therefore support the clinical evaluation of the IL-6 trans-signalling/STAT3 axis
as a therapeutic target in advanced lung cancer patients presenting with
cachexia.
PMID- 27893706
TI - MicroRNA-383 located in frequently deleted chromosomal locus 8p22 regulates CD44
in prostate cancer.
AB - A major genomic alteration in prostate cancer (PCa) is frequent loss of
chromosome (chr) 8p with a common region of loss of heterozygosity (LOH) at
chr8p22 locus. Genomic studies implicate this locus in the initiation of
clinically significant PCa and with progression to metastatic disease. However,
the genes within this region have not been fully characterized to date. Here we
demonstrate for the first time that a microRNA component of this region-miR-383
is frequently downregulated in prostate cancer, has a critical role in
determining tumor-initiating potential and is involved in prostate cancer
metastasis via direct regulation of CD44, a ubiquitous marker of PCa tumor
initiating cells (TICs)/stem cells. Expression analyses of miR-383 in PCa
clinical tissues established that low miR-383 expression is associated with poor
prognosis. Functional data suggest that miR-383 regulates PCa tumor
initiating/stem-like cells via CD44 regulation. Ectopic expression of miR-383
inhibited tumor-initiating capacity of CD44+ PCa cells. Also, 'anti-metastatic'
effects of ectopic miR-383 expression were observed in a PCa experimental
metastasis model. In view of our results, we propose that frequent loss of miR
383 at chr8p22 region leads to tumor initiation and prostate cancer metastasis.
Thus, we have identified a novel finding that associates a long observed genomic
alteration to PCa stemness and metastasis. Our data suggest that restoration of
miR-383 expression may be an effective therapeutic modality against PCa.
Importantly, we identified miR-383 as a novel PCa tissue diagnostic biomarker
with a potential that outperforms that of serum PSA.
PMID- 27893708
TI - TGF-beta upregulates the translation of USP15 via the PI3K/AKT pathway to promote
p53 stability.
AB - Crosstalk between transforming growth factor beta (TGF-beta) signaling and p53
has a critical role in cancer progression. TGF-beta signals via Smad and non-Smad
pathways. Under normal conditions, wild-type p53 forms a complex with Smad2/3 and
co-activates transcription of a variety of tumor suppressor genes, resulting in
tumor suppressive effects. Thus, p53 stability is essential in progression of
tumor suppressive responses mediated by TGF-beta signaling. However, it remains
unknown whether p53 stability is regulated by TGF-beta. In the current study, we
identify that USP15 binds to and stabilizes p53 through deubiquitination in U2OS
and HEK293 cells. TGF-beta promotes the translation of USP15 through activation
of mammalian target of rapamycin by the phosphoinositide 3-kinase/AKT pathway.
Upregulation of USP15 translation links the crosstalk between TGF-beta signaling
and p53 stability, allowing this cytokine to have a critical role in cancer
progression.
PMID- 27893709
TI - Identification of MYST3 as a novel epigenetic activator of ERalpha frequently
amplified in breast cancer.
AB - Estrogen receptor alpha (ERalpha) is a master driver of a vast majority of breast
cancers. Breast cancer cells often develop resistance to endocrine therapy via
restoration of the ERalpha activity through survival pathways. Thus identifying
the epigenetic activator of ERalpha that can be targeted to block ERalpha gene
expression is a critical topic of endocrine therapy. Here, integrative genomic
analysis identified MYST3 as a potential oncogene target that is frequently
amplified in breast cancer. MYST3 is involved in histone acetylation via its
histone acetyltransferase domain (HAT) and, as a result, activates gene
expression by altering chromatin structure. We found that MYST3 was amplified in
11% and/or overexpressed in 15% of breast tumors, and overexpression of MYST3
correlated with worse clinical outcome in estrogen receptor+ (ER+) breast
cancers. Interestingly, MYST3 depletion drastically inhibited proliferation in
MYST3-high, ER+ breast cancer cells, but not in benign breast epithelial cells or
in MYST3-low breast cancer cells. Importantly, we discovered that knocking down
MYST3 resulted in profound reduction of ERalpha expression, while ectopic
expression of MYST3 had the reversed effect. Chromatin immunoprecipitation
revealed that MYST3 binds to the proximal promoter region of ERalpha gene, and
inactivating mutations in its HAT domain abolished its ability to regulate
ERalpha, suggesting MYST3 functioning as a histone acetyltransferase that
activates ERalpha promoter. Furthermore, MYST3 inhibition with inducible MYST3
shRNAs potently attenuated breast tumor growth in mice. Together, this study
identifies the first histone acetyltransferase that activates ERalpha expression
which may be potentially targeted to block ERalpha at transcriptional level.
PMID- 27893710
TI - MUC1-C activates BMI1 in human cancer cells.
AB - B-cell-specific Moloney murine leukemia virus integration site 1 (BMI1) is a
component of the polycomb repressive complex 1 (PRC1) complex that is
overexpressed in breast and other cancers, and promotes self-renewal of cancer
stem-like cells. The oncogenic mucin 1 (MUC1) C-terminal (MUC1-C) subunit is
similarly overexpressed in human carcinoma cells and has been linked to their
self-renewal. There is no known relationship between MUC1-C and BMI1 in cancer.
The present studies demonstrate that MUC1-C drives BMI1 transcription by a MYC
dependent mechanism in breast and other cancer cells. In addition, we show that
MUC1-C blocks miR-200c-mediated downregulation of BMI1 expression. The functional
significance of this MUC1-C->?BMI1 pathway is supported by the demonstration that
targeting MUC1-C suppresses BMI1-induced ubiquitylation of H2A and thereby
derepresses homeobox HOXC5 and HOXC13 gene expression. Notably, our results
further show that MUC1-C binds directly to BMI1 and promotes occupancy of BMI1 on
the CDKN2A promoter. In concert with BMI1-induced repression of the p16INK4a
tumor suppressor, we found that targeting MUC1-C is associated with induction of
p16INK4a expression. In support of these results, analysis of three gene
expresssion data sets demonstrated highly significant correlations between MUC1-C
and BMI1 in breast cancers. These findings uncover a previously unrecognized role
for MUC1-C in driving BMI1 expression and in directly interacting with this stem
cell factor, linking MUC1-C with function of the PRC1 in epigenetic gene
silencing.
PMID- 27893711
TI - The induction of MIG6 under hypoxic conditions is critical for dormancy in
primary cultured lung cancer cells with activating EGFR mutations.
AB - The biologic activity of individual cancer cells is highly heterogeneous.
Hypoxia, one of the prominent features of a tumor microenvironment, is thought to
be causal in generating this cellular heterogeneity. In this study, we revealed
that primary lung cancer cells harboring activating epidermal growth factor
receptor (EGFR) mutations generally entered a dormant state when hypoxic. We
found that heterodimer formation of the ERBB family receptor tyrosine kinases
(RTKs), and their subsequent downstream signaling, was diminished under hypoxic
conditions, although phosphorylation of the EGFR was retained. Dormant lung
cancer cells were found to be resistant to EGFR tyrosine kinase inhibitor (TKI)
treatment. In terms of mechanism, we found that a negative regulator of ERBB
signaling, MIG6/ERRFI1/RALT/Gene33, was induced by hypoxia both in vitro and in
vivo. MIG6 expression prevented heterodimer formation of ERBB family RTKs, and
suppressed their downstream signaling. Knockdown of MIG6 enhanced tumor cell
growth under hypoxic conditions, and promoted the phosphorylation of ERK and AKT
via increased EGFR-HER3 binding. Critically, sensitivity to an EGFR-TKI, as well
as to irradiation under hypoxic conditions, was increased in MIG6 knockdown
cells. The expression of MIG6 was partly correlated with a pS6 negative zone in
patient tumors. Analyses of tumor sections from 68 patients with activating EGFR
mutations showed that patients with high MIG6 expression showed significantly
shorter survival after EGFR-TKI treatment than other groups. Collectively, our
data suggest that dormant cancer cells with a high MIG6 expression level might be
one of the causes of EGFR-TKI resistance in EGFR mutant lung cancer cells.
PMID- 27893712
TI - Blood vessel endothelium-directed tumor cell streaming in breast tumors requires
the HGF/C-Met signaling pathway.
AB - During metastasis to distant sites, tumor cells migrate to blood vessels. In
vivo, breast tumor cells utilize a specialized mode of migration known as
streaming, where a linear assembly of tumor cells migrate directionally towards
blood vessels on fibronectin-collagen I-containing extracellular matrix (ECM)
fibers in response to chemotactic signals. We have successfully reconstructed
tumor cell streaming in vitro by co-plating tumors cells, macrophages and
endothelial cells on 2.5 MUm thick ECM-coated micro-patterned substrates. We
found that tumor cells and macrophages, when plated together on the micro
patterned substrates, do not demonstrate sustained directional migration in only
one direction (sustained directionality) but show random bi-directional walking.
Sustained directionality of tumor cells as seen in vivo was established in vitro
when beads coated with human umbilical vein endothelial cells were placed at one
end of the micro-patterned 'ECM fibers' within the assay. We demonstrated that
these endothelial cells supply the hepatocyte growth factor (HGF) required for
the chemotactic gradient responsible for sustained directionality. Using this in
vitro reconstituted streaming system, we found that directional streaming is
dependent on, and most effectively blocked, by inhibiting the HGF/C-Met signaling
pathway between endothelial cells and tumor cells. Key observations made with the
in vitro reconstituted system implicating C-Met signaling were confirmed in vivo
in mammary tumors using the in vivo invasion assay and intravital multiphoton
imaging of tumor cell streaming. These results establish HGF/C-Met as a central
organizing signal in blood vessel-directed tumor cell migration in vivo and
highlight a promising role for C-Met inhibitors in blocking tumor cell streaming
and metastasis in vivo, and for use in human trials.
PMID- 27893713
TI - Phosphorylation of NFAT3 by CDK3 induces cell transformation and promotes tumor
growth in skin cancer.
AB - The nuclear factor of activated T cells (NFAT) family proteins are transcription
factors that regulate the expression of pro-inflammatory cytokines and other
genes during the immune response. Although the NFAT proteins have been
extensively investigated in the immune system, their role in cancer progression
remains controversial. Here, we report that NFAT3 is highly expressed in various
skin cancer cell lines and tumor tissues. Knockdown of endogenous NFAT3
expression by short hairpin RNA (shRNA) significantly inhibited tumor cell
proliferation, colony formation and anchorage-independent cell growth.
Furthermore, results of the mammalian two-hybrid assay showed that cyclin
dependent kinase 3 (CDK3) directly interacted with NFAT3 and phosphorylated NFAT3
at serine 259 (Ser259), which enhanced the transactivation and transcriptional
activity of NFAT3. The phosphorylation site of NFAT3 was critical for epidermal
growth factor (EGF)-stimulated cell transformation of the HaCaT immortalized skin
cell line and mutation of NFAT3 at Ser259 led to a reduction of colony formation
in soft agar. We also found that overexpressing wildtype NFAT3, but not mutant
NFAT3-S259A, promoted A431 xenograft tumor growth. Importantly, we showed that
CDK3, NFAT3 and phosphorylated NFAT3-Ser259 were highly expressed in skin cancer
compared with normal skin tissues. These results provided evidence supporting the
oncogenic potential of NFAT3 and suggested that CDK3-mediated phosphorylation of
NFAT3 has an important role in skin tumorigenesis.
PMID- 27893714
TI - The LIM protein AJUBA promotes colorectal cancer cell survival through
suppression of JAK1/STAT1/IFIT2 network.
AB - The LIM protein AJUBA is a scaffold protein participating in the regulation of
cell adhesion, mitosis, DNA damage, cell differentiation, proliferation,
migration and gene transcription. However, its roles in tumorigenesis and
progression are poorly defined. Here, we report that AJUBA is highly expressed in
colorectal cancer (CRC) and promotes CRC cell growth in culture and in
xenografted mice via an inhibition of apoptosis. AJUBA represses the expression
of IFIT2 gene, an interferon-stimulated gene and a known apoptosis inducer and
tumour suppressor to mediate its resistance to apoptosis. Mechanistic
investigations reveal that AJUBA specifically binds the FERM domain of JAK1 to
dissociate JAK1 from the IFNgamma recepter, resulting in an inhibition of STAT1
phosporylation and concomitantly its nuclear translocation. Clinically, the level
of AJUBA in CRC specimens is negatively correlated with the levels of IFIT2 and
pSTAT1. Collectively, these studies demonstrate that AJUBA can promote CRC growth
via inhibiting apoptosis and serve as a target for the therapeutics and a marker
for diagnosis of CRC.
PMID- 27893715
TI - TGFbeta promotes mesenchymal phenotype of pancreatic cancer cells, in part,
through epigenetic activation of VAV1.
AB - The highly homeostasis-resistant nature of cancer cells leads to their escape
from treatment and to liver metastasis, which in turn makes pancreatic ductal
adenocarcinoma (PDAC) difficult to treat, especially the squamous/epithelial-to
mesenchymal transition (EMT)-like subtype. As the molecular mechanisms underlying
tumour heterogeneity remain elusive, we investigated whether epigenetic
regulation might explain inter-individual differences in the progression of
specific subtypes. DNA methylation profiling performed on cancer tissues prior to
chemo/radiotherapy identified one hypermethylated CpG site (CpG6882469) in the
VAV1 gene body that was correlated with demethylation of two promoter CpGs
(CpG6772370/CpG6772811) in both PDAC and peripheral blood. Transforming growth
factor beta treatment induced gene-body hypermethylation, dissociation of DNMT1
from the promoter, and VAV1 expression via SMAD4 and mutant KrasG12D.
Pharmacological inhibition of TGFbeta-VAV1 signalling decreased the squamous/EMT
like cancer cells, promoted nuclear VAV1 localization, and enhanced the efficacy
of gemcitabine in prolonging the survival of KPfl/flC mice. Together, the three
VAV1 CpGs serve as biomarkers for prognosis and early detection, and the TGFbeta
VAV1 axis represents a therapeutic target.
PMID- 27893716
TI - Hic-5 remodeling of the stromal matrix promotes breast tumor progression.
AB - The remodeling of the stromal extracellular matrix (ECM) has a crucial, but
incompletely understood role during tumor progression and metastasis. Hic-5, a
focal adhesion scaffold protein, has previously been implicated in tumor cell
invasion, proliferation and metastasis. To investigate the role of Hic-5 in
breast tumor progression in vivo, Hic-5-/- mice were generated and crossed with
the Mouse Mammary Tumor Virus-Polyoma Middle T-Antigen mouse. Tumors from the Hic
5-/-;PyMT mice exhibited increased latency and reduced growth, with fewer lung
metastases, as compared with Hic-5+/-;PyMT mice. Immunohistochemical analysis
showed that Hic-5 is primarily expressed in the cancer-associated fibroblasts
(CAFs). Further analysis revealed that the Hic-5-/-;PyMT tumor stroma contains
fewer CAFs and exhibits reduced ECM deposition. The remodeling of the stromal
matrix by CAFs has been shown to increase tumor rigidity to indirectly regulate
FAK Y397 phosphorylation in tumor cells to promote their growth and invasion.
Accordingly, the Hic-5-/-;PyMT tumor cells exhibited a reduction in FAK Y397
phosphorylation. Isolated Hic-5-/-;PyMT CAFs were defective in stress fiber
organization and exhibited reduced contractility. These cells also failed to
efficiently deposit and organize the ECM in two and three dimensions. This, in
turn, impacted three-dimensional MDA-MB-231 tumor cell migration behavior. Thus,
using a new knockout mouse model, we have identified Hic-5 expression in CAFs as
a key requirement for deposition and remodeling of the stromal ECM to promote non
cell autonomous breast tumor progression.
PMID- 27893718
TI - FOXO3 is essential for CD44 expression in pancreatic cancer cells.
AB - Pancreatic ductal adenocarcinoma (PDAC) is one of the most fatal types of cancer
and the 5-year survival rate is only 5%. Several studies have suggested that
cancer stem cells (CSCs) are thought to be involved in recurrence and metastasis
and so it is essential to establish an approach targeting CSCs. Here we have
demonstrated that cyclic guanosine monophosphate (cGMP) suppressed CD44
expression and the properties of CSCs in PDAC. Microarray analysis suggested that
cGMP inhibited Forkhead box O3 (FOXO3), which is known as a tumor suppressor.
Surprisingly, our data demonstrated that FOXO3 is essential for CD44 expression
and the properties of CSCs. Our data also indicated that patients with high FOXO3
activation signatures had poor prognoses. This evidence suggested that cGMP
induction and FOXO3 inhibition could be ideal candidates for pancreatic CSC.
PMID- 27893717
TI - Androgen and AR contribute to breast cancer development and metastasis: an
insight of mechanisms.
AB - The role of androgen and androgen receptor (AR) in breast carcinogenesis has long
been a disputed issue. This report provides a mechanistic insight into how
androgen and AR contributes to invasion and metastasis of breast cancer. We find
that dihydrotestosterone (DHT) is able to induce the epithelial-to-mesenchymal
transition in breast cancer cells in an AR-dependent/estrogen receptor
independent manner. This process is dependent on the demethylation activity of
lysine-specific demethylase 1A (LSD1) by epigenetically regulating the target
genes E-cadherin and vimentin. In vivo, DHT promotes metastasis in a nude mouse
model, and AR and LSD1 are indispensable in this process. We establish that
higher expression of nucleus AR to cytoplasm AR associated with worse prognostic
outcomes in breast cancer patient samples. This study maps an 'androgen-AR/LSD1
target genes' pathway in breast carcinogenesis, implicating the importance of
hormonal balance in women, and the potential clinical significance of serum
androgen and AR in prediction of breast cancer and selection of breast cancer
therapy.
PMID- 27893719
TI - Novel KDM1A inhibitors induce differentiation and apoptosis of glioma stem cells
via unfolded protein response pathway.
AB - Glioma stem cells (GSCs) have a central role in glioblastoma (GBM) development
and chemo/radiation resistance, and their elimination is critical for the
development of efficient therapeutic strategies. Recently, we showed that lysine
demethylase KDM1A is overexpressed in GBM. In the present study, we determined
whether KDM1A modulates GSCs stemness and differentiation and tested the utility
of two novel KDM1A-specific inhibitors (NCL-1 and NCD-38) to promote
differentiation and apoptosis of GSCs. The efficacy of KDM1A targeting drugs was
tested on purified GSCs isolated from established and patient-derived GBMs using
both in vitro assays and in vivo orthotopic preclinical models. Our results
suggested that KDM1A is highly expressed in GSCs and knockdown of KDM1A using
shRNA-reduced GSCs stemness and induced the differentiation. Pharmacological
inhibition of KDM1A using NCL-1 and NCD-38 significantly reduced the cell
viability, neurosphere formation and induced apoptosis of GSCs with little effect
on differentiated cells. In preclinical studies using orthotopic models, NCL-1
and NCD-38 significantly reduced GSCs-driven tumor progression and improved mice
survival. RNA-sequencing analysis showed that KDM1A inhibitors modulate several
pathways related to stemness, differentiation and apoptosis. Mechanistic studies
showed that KDM1A inhibitors induce activation of the unfolded protein response
(UPR) pathway. These results strongly suggest that selective targeting of KDM1A
using NCL-1 and NCD-38 is a promising therapeutic strategy for elimination of
GSCs.
PMID- 27893723
TI - Slip-activated surface creep with room-temperature super-elongation in metallic
nanocrystals.
AB - Nanoscale metallic crystals have been shown to follow a 'smaller is stronger'
trend. However, they usually suffer from low ductility due to premature plastic
instability by source-limited crystal slip. Here, by performing in situ atomic
scale transmission electron microscopy, we report unusual room-temperature super
elongation without softening in face-centred-cubic silver nanocrystals, where
crystal slip serves as a stimulus to surface diffusional creep. This interplay
mechanism is shown experimentally and theoretically to govern the plastic
deformation of nanocrystals over a material-dependent sample diameter range
between the lower and upper limits for nanocrystal stability by surface
diffusional creep and dislocation plasticity, respectively, which extends far
beyond the maximum size for pure diffusion-mediated deformation (for example,
Coble-type creep). This work provides insight into the atomic-scale coupled
diffusive-displacive deformation mechanisms, maximizing ductility and strength
simultaneously in nanoscale materials.
PMID- 27893721
TI - Biomarkers associated with bronchopulmonary dysplasia/mortality in premature
infants.
AB - BACKGROUND: Bronchopulmonary dysplasia (BPD) portends lifelong organ impairment
and death. Our ability to predict BPD in first days of life is limited, but could
be enhanced using novel biomarkers. METHODS: Using an available clinical and
urine biomarker database obtained from a prospective 113 infant cohort (birth
weight <=1,200 g and/or gestational age <=31 wk), we evaluated the independent
association of 14 urine biomarkers with BPD/mortality. RESULTS: Two of the 14
urine biomarkers were independently associated with BPD/mortality after
controlling for gestational age (GA), small for gestational age (SGA), and
intubation status. The best performing protein was clusterin, a ubiquitously
expressed protein and potential sensor of oxidative stress associated with lung
function in asthma patients. When modeling for BPD/mortality, the independent
odds ratio for maximum adjusted urine clusterin was 9.2 (95% CI: 3.3-32.8, P <
0.0001). In this model, clinical variables (GA, intubation status, and SGA)
explained 38.3% of variance; clusterin explained an additional 9.2%, while
albumin explained an additional 3.4%. The area under the curve incorporating
clinical factors and biomarkers was 0.941. CONCLUSION: Urine clusterin and
albumin may improve our ability to predict BPD/mortality. Future studies are
needed to validate these findings and determine their clinical usefulness.
PMID- 27893722
TI - Valley photonic crystals for control of spin and topology.
AB - Photonic crystals offer unprecedented opportunity for light manipulation and
applications in optical communication and sensing. Exploration of topology in
photonic crystals and metamaterials with non-zero gauge field has inspired a
number of intriguing optical phenomena such as one-way transport and Weyl points.
Recently, a new degree of freedom, valley, has been demonstrated in two
dimensional materials. Here, we propose a concept of valley photonic crystals
with electromagnetic duality symmetry but broken inversion symmetry. We observe
photonic valley Hall effect originating from valley-dependent spin-split bulk
bands, even in topologically trivial photonic crystals. Valley-spin locking
behaviour results in selective net spin flow inside bulk valley photonic
crystals. We also show the independent control of valley and topology in a single
system that has been long pursued in electronic systems, resulting in
topologically-protected flat edge states. Valley photonic crystals not only offer
a route towards the observation of non-trivial states, but also open the way for
device applications in integrated photonics and information processing using spin
dependent transportation.
PMID- 27893720
TI - A functional ATG16L1 (T300A) variant is associated with necrotizing enterocolitis
in premature infants.
AB - BACKGROUND: The genetic basis of dysfunctional immune responses in necrotizing
enterocolitis (NEC) remains unknown. We hypothesized that variants in nucleotide
binding and oligomerization domain (NOD)-like receptors (NLRs) and autophagy
(ATG) genes modulate vulnerability to NEC. METHODS: We genotyped a multi-center
cohort of premature infants with and without NEC for NOD1, NOD2, ATG16L1, CARD8,
and NLRP3 variants. Chi-square tests and logistic regression were used for
statistical analysis. RESULTS: In our primary cohort (n = 1,015), 86 (8.5%)
infants developed NEC. The A allele of the ATG16L1 (Thr300Ala) variant was
associated with increased NEC (AA vs. AG vs. GG; 11.3 vs. 8.4 vs. 4.8%, P =
0.009). In regression models for NEC that adjusted for epidemiological
confounders, GA (P = 0.033) and the AA genotype (P = 0.038) of ATG16L1 variant
were associated with NEC. The association between the A allele of the ATG16L1
variant and NEC remained significant among Caucasian infants (P = 0.02). In a
replication cohort (n = 259), NEC rates were highest among infants with the AA
genotype but did not reach statistical significance. CONCLUSION: We report a
novel association between a hypomorphic variant in an autophagy gene (ATG16L1)
and NEC in premature infants. Our data suggest that decreased autophagy arising
from genetic variants may confer protection against NEC.
PMID- 27893725
TI - Biredox ionic liquids with solid-like redox density in the liquid state for high
energy supercapacitors.
AB - Kinetics of electrochemical reactions are several orders of magnitude slower in
solids than in liquids as a result of the much lower ion diffusivity. Yet, the
solid state maximizes the density of redox species, which is at least two orders
of magnitude lower in liquids because of solubility limitations. With regard to
electrochemical energy storage devices, this leads to high-energy batteries with
limited power and high-power supercapacitors with a well-known energy deficiency.
For such devices the ideal system should endow the liquid state with a density of
redox species close to the solid state. Here we report an approach based on
biredox ionic liquids to achieve bulk-like redox density at liquid-like fast
kinetics. The cation and anion of these biredox ionic liquids bear moieties that
undergo very fast reversible redox reactions. As a first demonstration of their
potential for high-capacity/high-rate charge storage, we used them in redox
supercapacitors. These ionic liquids are able to decouple charge storage from an
ion-accessible electrode surface, by storing significant charge in the pores of
the electrodes, to minimize self-discharge and leakage current as a result of
retaining the redox species in the pores, and to raise working voltage due to
their wide electrochemical window.
PMID- 27893724
TI - Polaritons in layered two-dimensional materials.
AB - In recent years, enhanced light-matter interactions through a plethora of dipole
type polaritonic excitations have been observed in two-dimensional (2D) layered
materials. In graphene, electrically tunable and highly confined plasmon
polaritons were predicted and observed, opening up opportunities for
optoelectronics, bio-sensing and other mid-infrared applications. In hexagonal
boron nitride, low-loss infrared-active phonon-polaritons exhibit hyperbolic
behaviour for some frequencies, allowing for ray-like propagation exhibiting high
quality factors and hyperlensing effects. In transition metal dichalcogenides,
reduced screening in the 2D limit leads to optically prominent excitons with
large binding energy, with these polaritonic modes having been recently observed
with scanning near-field optical microscopy. Here, we review recent progress in
state-of-the-art experiments, and survey the vast library of polaritonic modes in
2D materials, their optical spectral properties, figures of merit and application
space. Taken together, the emerging field of 2D material polaritonics and their
hybrids provide enticing avenues for manipulating light-matter interactions
across the visible, infrared to terahertz spectral ranges, with new optical
control beyond what can be achieved using traditional bulk materials.
PMID- 27893728
TI - DNA origami tiles: Nanoscale mazes.
PMID- 27893726
TI - Glutamatergic synaptic integration of locomotion speed via septoentorhinal
projections.
AB - The medial septum and diagonal band of Broca (MSDB) send glutamatergic axons to
medial entorhinal cortex (MEC). We found that this pathway provides speed
correlated input to several MEC cell-types in layer 2/3. The speed signal is
integrated most effectively by pyramidal cells but also excites stellate cells
and interneurons. Thus, the MSDB conveys speed information that can be used by
MEC neurons for spatial representation of self-location.
PMID- 27893727
TI - Mechanosensory hair cells express two molecularly distinct mechanotransduction
channels.
AB - Auditory hair cells contain mechanotransduction channels that rapidly open in
response to sound-induced vibrations. We report here that auditory hair cells
contain two molecularly distinct mechanotransduction channels. One ion channel is
activated by sound and is responsible for sensory transduction. This sensory
transduction channel is expressed in hair cell stereocilia, and previous studies
show that its activity is affected by mutations in the genes encoding the
transmembrane proteins TMHS, TMIE, TMC1 and TMC2. We show here that the second
ion channel is expressed at the apical surface of hair cells and that it contains
the Piezo2 protein. The activity of the Piezo2-dependent channel is controlled by
the intracellular Ca2+ concentration and can be recorded following disruption of
the sensory transduction machinery or more generally by disruption of the sensory
epithelium. We thus conclude that hair cells express two molecularly and
functionally distinct mechanotransduction channels with different subcellular
distributions.
PMID- 27893729
TI - Programmable disorder in random DNA tilings.
AB - Scaling up the complexity and diversity of synthetic molecular structures will
require strategies that exploit the inherent stochasticity of molecular systems
in a controlled fashion. Here we demonstrate a framework for programming random
DNA tilings and show how to control the properties of global patterns through
simple, local rules. We constructed three general forms of planar network-random
loops, mazes and trees-on the surface of self-assembled DNA origami arrays on the
micrometre scale with nanometre resolution. Using simple molecular building
blocks and robust experimental conditions, we demonstrate control of a wide range
of properties of the random networks, including the branching rules, the growth
directions, the proximity between adjacent networks and the size distribution.
Much as combinatorial approaches for generating random one-dimensional chains of
polymers have been used to revolutionize chemical synthesis and the selection of
functional nucleic acids, our strategy extends these principles to random two
dimensional networks of molecules and creates new opportunities for fabricating
more complex molecular devices that are organized by DNA nanostructures.
PMID- 27893731
TI - Observation of extreme phase transition temperatures of water confined inside
isolated carbon nanotubes.
AB - Fluid phase transitions inside single, isolated carbon nanotubes are predicted to
deviate substantially from classical thermodynamics. This behaviour enables the
study of ice nanotubes and the exploration of their potential applications. Here
we report measurements of the phase boundaries of water confined within six
isolated carbon nanotubes of different diameters (1.05, 1.06, 1.15, 1.24, 1.44
and 1.52 nm) using Raman spectroscopy. The results reveal an exquisite
sensitivity to diameter and substantially larger temperature elevations of the
freezing transition (by as much as 100 degrees C) than have been theoretically
predicted. Dynamic water filling and reversible freezing transitions were marked
by 2-5 cm-1 shifts in the radial breathing mode frequency, revealing reversible
melting bracketed to 105-151 degrees C and 87-117 degrees C for 1.05 and 1.06
nm single-walled carbon nanotubes, respectively. Near-ambient phase changes were
observed for 1.44 and 1.52 nm nanotubes, bracketed between 15-49 degrees C and 3
30 degrees C, respectively, whereas the depression of the freezing point was
observed for the 1.15 nm nanotube between -35 and 10 degrees C. We also find
that the interior aqueous phase reversibly decreases the axial thermal
conductivity of the nanotube by as much as 500%, allowing digital control of the
heat flux.
PMID- 27893732
TI - Multiple nanostructures based on anodized aluminium oxide templates.
AB - Several physico-chemical effects and properties in the solid state involve
nanoscale interactions between adjacent materials and morphologies. Arrays of
binary nanostructures can generate intimate interactions between different sub
components, but fabricating binary nanostructures is challenging. Here, we
propose a concept to achieve diverse binary nanostructure arrays with high
degrees of controllability for each of the sub-components, including material,
dimension and morphology. This binary nanostructuring concept originates with a
distinctive binary-pore anodized aluminium oxide template that includes two
dissimilar sets of pores in one matrix, where the openings of the two sets of
pores are towards opposite sides of the template. Using the same growth
mechanism, the binary-pore template can be extended to multi-pore templates with
more geometrical options. We also present photoelectrodes, transistors and
plasmonic devices made with our binary nanostructure arrays using different
combination of materials and morphologies, and demonstrate superior performances
compared to their single-component counterparts.
PMID- 27893730
TI - Fluorescent nanodiamond tracking reveals intraneuronal transport abnormalities
induced by brain-disease-related genetic risk factors.
AB - Brain diseases such as autism and Alzheimer's disease (each inflicting >1% of the
world population) involve a large network of genes displaying subtle changes in
their expression. Abnormalities in intraneuronal transport have been linked to
genetic risk factors found in patients, suggesting the relevance of measuring
this key biological process. However, current techniques are not sensitive enough
to detect minor abnormalities. Here we report a sensitive method to measure the
changes in intraneuronal transport induced by brain-disease-related genetic risk
factors using fluorescent nanodiamonds (FNDs). We show that the high brightness,
photostability and absence of cytotoxicity allow FNDs to be tracked inside the
branches of dissociated neurons with a spatial resolution of 12 nm and a temporal
resolution of 50 ms. As proof of principle, we applied the FND tracking assay on
two transgenic mouse lines that mimic the slight changes in protein concentration
(~30%) found in the brains of patients. In both cases, we show that the FND assay
is sufficiently sensitive to detect these changes.
PMID- 27893733
TI - ADARB1 catalyzes circadian A-to-I editing and regulates RNA rhythm.
AB - It has been proposed that the CLOCK-ARNTL (BMAL1) complex drives circadian
transcription of thousands of genes, including Per and Cry family genes that
encode suppressors of CLOCK-ARNTL-dependent transcription. However, recent
studies demonstrated that 70-80% of circadian-oscillating mRNAs have no obvious
rhythms in their de novo transcription, indicating the potential importance of
post-transcriptional regulation. Our CLOCK-ChIP-seq analysis identified rhythmic
expression of adenosine deaminase, RNA-specific, B1 (Adarb1, also known as
Adar2), an adenosine-to-inosine (A-to-I) RNA-editing enzyme. RNA-seq showed
circadian rhythms of ADARB1-mediated A-to-I editing in a variety of transcripts.
In Adarb1-knockout mice, rhythms of large populations of mRNA were attenuated,
indicating a profound impact of ADARB1-mediated A-to-I editing on RNA rhythms.
Furthermore, Adarb1-knockout mice exhibited short-period rhythms in locomotor
activity and gene expression. These phenotypes were associated with abnormal
accumulation of CRY2. The present study identifies A-to-I RNA editing as a key
mechanism of post-transcriptional regulation in the circadian clockwork.
PMID- 27893734
TI - An iPSC-derived vascular model of Marfan syndrome identifies key mediators of
smooth muscle cell death.
AB - Marfan syndrome (MFS) is a heritable connective tissue disorder caused by
mutations in FBN1, which encodes the extracellular matrix protein fibrillin-1. To
investigate the pathogenesis of aortic aneurysms in MFS, we generated a vascular
model derived from human induced pluripotent stem cells (MFS-hiPSCs). Our MFS
hiPSC-derived smooth muscle cells (SMCs) recapitulated the pathology seen in
Marfan aortas, including defects in fibrillin-1 accumulation, extracellular
matrix degradation, transforming growth factor-beta (TGF-beta) signaling,
contraction and apoptosis; abnormalities were corrected by CRISPR-based editing
of the FBN1 mutation. TGF-beta inhibition rescued abnormalities in fibrillin-1
accumulation and matrix metalloproteinase expression. However, only the
noncanonical p38 pathway regulated SMC apoptosis, a pathological mechanism also
governed by Kruppel-like factor 4 (KLF4). This model has enabled us to dissect
the molecular mechanisms of MFS, identify novel targets for treatment (such as
p38 and KLF4) and provided an innovative human platform for the testing of new
drugs.
PMID- 27893735
TI - Computational Discovery of Putative Leads for Drug Repositioning through Drug
Target Interaction Prediction.
AB - De novo experimental drug discovery is an expensive and time-consuming task. It
requires the identification of drug-target interactions (DTIs) towards targets of
biological interest, either to inhibit or enhance a specific molecular function.
Dedicated computational models for protein simulation and DTI prediction are
crucial for speed and to reduce the costs associated with DTI identification. In
this paper we present a computational pipeline that enables the discovery of
putative leads for drug repositioning that can be applied to any microbial
proteome, as long as the interactome of interest is at least partially known.
Network metrics calculated for the interactome of the bacterial organism of
interest were used to identify putative drug-targets. Then, a random forest
classification model for DTI prediction was constructed using known DTI data from
publicly available databases, resulting in an area under the ROC curve of 0.91
for classification of out-of-sampling data. A drug-target network was created by
combining 3,081 unique ligands and the expected ten best drug targets. This
network was used to predict new DTIs and to calculate the probability of the
positive class, allowing the scoring of the predicted instances. Molecular
docking experiments were performed on the best scoring DTI pairs and the results
were compared with those of the same ligands with their original targets. The
results obtained suggest that the proposed pipeline can be used in the
identification of new leads for drug repositioning. The proposed classification
model is available at http://bioinformatics.ua.pt/software/dtipred/.
PMID- 27893737
TI - Long-Range Memory in Literary Texts: On the Universal Clustering of the Rare
Words.
AB - A fundamental problem in linguistics is how literary texts can be quantified
mathematically. It is well known that the frequency of a (rare) word in a text is
roughly inverse proportional to its rank (Zipf's law). Here we address the
complementary question, if also the rhythm of the text, characterized by the
arrangement of the rare words in the text, can be quantified mathematically in a
similar basic way. To this end, we consider representative classic single
authored texts from England/Ireland, France, Germany, China, and Japan. In each
text, we classify each word by its rank. We focus on the rare words with ranks
above some threshold Q and study the lengths of the (return) intervals between
them. We find that for all texts considered, the probability SQ(r) that the
length of an interval exceeds r, follows a perfect Weibull-function, SQ(r) = exp(
b(beta)rbeta), with beta around 0.7. The return intervals themselves are arranged
in a long-range correlated self-similar fashion, where the autocorrelation
function CQ(s) of the intervals follows a power law, CQ(s) ~ s-gamma, with an
exponent gamma between 0.14 and 0.48. We show that these features lead to a
pronounced clustering of the rare words in the text.
PMID- 27893739
TI - Evaluating Carbonate System Algorithms in a Nearshore System: Does Total
Alkalinity Matter?
AB - Ocean acidification is a threat to many marine organisms, especially those that
use calcium carbonate to form their shells and skeletons. The ability to
accurately measure the carbonate system is the first step in characterizing the
drivers behind this threat. Due to logistical realities, regular carbonate system
sampling is not possible in many nearshore ocean habitats, particularly in
remote, difficult-to-access locations. The ability to autonomously measure the
carbonate system in situ relieves many of the logistical challenges; however, it
is not always possible to measure the two required carbonate parameters
autonomously. Observed relationships between sea surface salinity and total
alkalinity can frequently provide a second carbonate parameter thus allowing for
the calculation of the entire carbonate system. Here, we assessed the rigor of
estimating total alkalinity from salinity at a depth <15 m by routinely sampling
water from a pier in southern California for several carbonate system parameters.
Carbonate system parameters based on measured values were compared with those
based on estimated TA values. Total alkalinity was not predictable from salinity
or from a combination of salinity and temperature at this site. However,
dissolved inorganic carbon and the calcium carbonate saturation state of these
nearshore surface waters could both be estimated within on average 5% of measured
values using measured pH and salinity-derived or regionally averaged total
alkalinity. Thus we find that the autonomous measurement of pH and salinity can
be used to monitor trends in coastal changes in DIC and saturation state and be a
useful method for high-frequency, long-term monitoring of ocean acidification.
PMID- 27893738
TI - Fenugreek Seed Powder Nullified Aluminium Chloride Induced Memory Loss,
Biochemical Changes, Abeta Burden and Apoptosis via Regulating Akt/GSK3beta
Signaling Pathway.
AB - Alzheimer's disease (AD) is the most common form of dementia that mainly affects
the cognitive functions of the aged populations. Trigonella foenum-graecum (L.)
(fenugreek), a traditionally well utilized medicinal plant ubiquitously used as
one of the main food additive worldwide, is known to have numerous beneficial
health effects. Fenugreek seed extract could be able to inhibit the activity of
acetylcholinesterase (AChE), a key enzyme involved in the pathogenesis of AD, and
further shown to have anti-parkinsonic effect. The present study was aimed to
explore the neuroprotective effect of fenugreek seed powder (FSP) against
aluminium chloride (AlCl3) induced experimental AD model. Administration of
germinated FSP (2.5, 5 and 10% mixed with ground standard rat feed) protected
AlCl3 induced memory and learning impairments, Al overload, AChE hyperactivity,
amyloid beta (Abeta) burden and apoptosis via activating Akt/GSK3beta pathway.
Our present data could confirm the neuroprotective effect of fenugreek seeds.
Further these results could lead a possible therapeutics for the management of
neurodegenerative diseases including AD in future.
PMID- 27893740
TI - Low CO2 Sensitivity of Microzooplankton Communities in the Gullmar Fjord,
Skagerrak: Evidence from a Long-Term Mesocosm Study.
AB - Ocean acidification is considered as a crucial stressor for marine communities.
In this study, we tested the effects of the IPCC RPC6.0 end-of-century
acidification scenario on a natural plankton community in the Gullmar Fjord,
Sweden, during a long-term mesocosm experiment from a spring bloom to a mid
summer situation. The focus of this study was on microzooplankton and its
interactions with phytoplankton and mesozooplankton. The microzooplankton
community was dominated by ciliates, especially small Strombidium sp., with the
exception of the last days when heterotrophic dinoflagellates increased in
abundance. We did not observe any effects of high CO2 on the community
composition and diversity of microzooplankton. While ciliate abundance, biomass
and growth rate were not affected by elevated CO2, we observed a positive effect
of elevated CO2 on dinoflagellate abundances. Additionally, growth rates of
dinoflagellates were significantly higher in the high CO2 treatments. Given the
higher Chlorophyll a content measured under high CO2, our results point at mainly
indirect effects of CO2 on microzooplankton caused by changes in phytoplankton
standing stocks, in this case most likely an increase in small-sized
phytoplankton of <8 MUm. Overall, the results from the present study covering the
most important part of the growing season indicate that coastal microzooplankton
communities are rather robust towards realistic acidification scenarios.
PMID- 27893736
TI - Diet-Induced Nutritional Stress and Pathogen Interference in Wolbachia-Infected
Aedes aegypti.
AB - The pathogen interference phenotype greatly restricts infection with dengue virus
(DENV) and other pathogens in Wolbachia-infected Aedes aegypti, and is a vital
component of Wolbachia-based mosquito control. Critically, the phenotype's causal
mechanism is complex and poorly understood, with recent evidence suggesting that
the cause may be species specific. To better understand this important phenotype,
we investigated the role of diet-induced nutritional stress on interference
against DENV and the avian malarial parasite Plasmodium gallinaceum in Wolbachia
infected Ae. aegypti, and on physiological processes linked to the phenotype.
Wolbachia-infected mosquitoes were fed one of four different concentrations of
sucrose, and then challenged with either P. gallinaceum or DENV. Interference
against P. gallinaceum was significantly weakened by the change in diet however
there was no effect on DENV interference. Immune gene expression and H2O2 levels
have previously been linked to pathogen interference. These traits were assayed
for mosquitoes on each diet using RT-qPCR and the Amplex Red Hydrogen
Peroxide/Peroxidase Assay Kit, and it was observed that the change in diet did
not significantly affect immune expression, but low carbohydrate levels led to a
loss of ROS induction in Wolbachia-infected mosquitoes. Our data suggest that
host nutrition may not influence DENV interference for Wolbachia-infected
mosquitoes, but Plasmodium interference may be linked to both nutrition and
oxidative stress. This pathogen-specific response to nutritional change
highlights the complex nature of interactions between Wolbachia and pathogens in
mosquitoes.
PMID- 27893741
TI - Downregulation of Blood Monocyte HLA-DR in ICU Patients Is Also Present in Bone
Marrow Cells.
AB - BACKGROUND: The downregulation of blood monocyte HLA-DR expression also occurs in
tissue infiltrative cells in a context of acute clinical inflammation, especially
sepsis. This context favors the development of secondary infections and results
from various mechanisms. Little is known about HLA-DR expression on bone marrow
(BM) cells of the monocyte lineage, the source of circulating monocytes. This
study analyzed the BM HLA-DR expression in ICU patients compared to BM monocytes
from non-ICU patients and to blood monocytes of control healthy donors. A
potential dysfunction of myeloid differentiation was investigated in a sub
population of these ICU patients to characterize the phenotype of the immature
forms of monocytes and granulocytes in BM. METHODS AND FINDINGS: BM and blood
were drawn from 33 ICU and 9 non-ICU patients having a BM analysis to precise the
etiology of abnormal low count in blood cells. The data were compared with blood
cells of 28 control donors. Flow cytometry was used for both HLA-DR expression
and phenotyping of immature forms of monocytes and granulocytes. HLA-DR
expression was downregulated in both blood and BM monocyte in ICU patients
compared to BM of non-ICU patients and blood of control donors. Amplitude of HLA
DR downregulation was comparable in septic and non-septic ICU patients. The
phenotype of immature forms of monocytes and granulocytes in BM (n = 11) did not
show abnormal myeloid (monocyte + granulocyte) differentiation. CONCLUSION: The
downregulation of HLA-DR in BM monocyte lineage is present in ICU patients
without major changes in myeloid cells. It may result from a regulation mediated
by soluble and/or neuro-endocrine factors present in BM cell microenvironment.
PMID- 27893742
TI - Maintenance of Taste Organs Is Strictly Dependent on Epithelial Hedgehog/GLI
Signaling.
AB - For homeostasis, lingual taste papilla organs require regulation of epithelial
cell survival and renewal, with sustained innervation and stromal interactions.
To investigate a role for Hedgehog/GLI signaling in adult taste organs we used a
panel of conditional mouse models to manipulate GLI activity within epithelial
cells of the fungiform and circumvallate papillae. Hedgehog signaling suppression
rapidly led to taste bud loss, papilla disruption, and decreased proliferation in
domains of papilla epithelium that contribute to taste cells. Hedgehog responding
cells were eliminated from the epithelium but retained in the papilla stromal
core. Despite papilla disruption and loss of taste buds that are a major source
of Hedgehog ligand, innervation to taste papillae was maintained, and not
misdirected, even after prolonged GLI blockade. Further, vimentin-positive
fibroblasts remained in the papilla core. However, retained innervation and
stromal cells were not sufficient to maintain taste bud cells in the context of
compromised epithelial Hedgehog signaling. Importantly taste organ disruption
after GLI blockade was reversible in papillae that retained some taste bud cell
remnants where reactivation of Hedgehog signaling led to regeneration of papilla
epithelium and taste buds. Therefore, taste bud progenitors were either retained
during epithelial GLI blockade or readily repopulated during recovery, and were
poised to regenerate taste buds once Hedgehog signaling was restored, with
innervation and papilla connective tissue elements in place. Our data argue that
Hedgehog signaling is essential for adult tongue tissue maintenance and that
taste papilla epithelial cells represent the key targets for physiologic Hedgehog
dependent regulation of taste organ homeostasis. Because disruption of GLI
transcriptional activity in taste papilla epithelium is sufficient to drive taste
organ loss, similar to pharmacologic Hedgehog pathway inhibition, the findings
suggest that taste alterations in cancer patients using systemic Hedgehog pathway
inhibitors result principally from interruption of signaling activity in taste
papillae.
PMID- 27893743
TI - Trends of Bacterial Keratitis Culture Isolates in Jerusalem; a 13- Years
Analysis.
AB - PURPOSE: To describe the trends in pathogens and antibacterial resistance of
corneal culture isolates in infectious keratitis during a period of 13 years at
Hadassah-Hebrew University Medical Center. METHODS: A Retrospective analysis of
bacterial corneal isolates was performed during the months of January 2002 to
December 2014 at Hadassah Hebrew University Medical Center. Demographics,
microbiological data and antibiotic resistance and sensitivity were collected.
RESULTS: A total of 943 corneal isolates were analyzed during a 13 year period. A
total of 415 positive bacterial cultures and 37 positive fungal cultures were
recovered, representing 48% of the total cultures. The Annual incidence was 34.78
+/- 6.54 cases. The most common isolate was coagulase-negative staphylococcus
(32%), which had a significant decrease in trend throughout the study period (APC
= -8.1, p = 0.002). Methicillin-resistant Staphylococcus aureus (MRSA) appears to
have a decrease trend (APC = -31.2, P = 0.5). There was an increase in the
resistance trend of coagulase-negative staphylococci to penicillin (APC = 5.0, P
= <0.001). None of the pathogens had developed any resistance to Vancomycin. (P =
0.88). CONCLUSIONS: Coagulase negative staphylococci were the predominant
bacteria isolated from patients with keratitis. There was no significant change
in the annual incidence of cases of bacterial keratitis seen over the past 13
years. Keratitis caused by MRSA appeared to decrease in contrast to the reported
literature.
PMID- 27893744
TI - Optimization of Irreversible Electroporation Protocols for In-vivo Myocardial
Decellularization.
AB - BACKGROUND: Irreversible electroporation (IRE) is a non-thermal cell ablation
approach that induces selective damage to cell membranes only. The purpose of the
current study was to evaluate and optimize its use for in-vivo myocardial
decellularization. METHODS: Forty-two Sprague-Dawley rats were used to compare
myocardial damage of seven different IRE protocols with anterior myocardial
infarction damage. An in-vivo open thoracotomy model was used, with two-needle
electrodes in the anterior ventricular wall. IRE protocols included different
combinations of pulse lengths (70 vs. 100 MUseconds), frequency (1, 2, 4 Hz), and
number (10 vs. 20 pulses), as well as voltage intensity (50, 250 and 500 Volts).
All animals underwent baseline echocardiographic evaluation. Degree of myocardial
ablation was determined using repeated echocardiography measurements (days 7 and
28) as well as histologic and morphometric analysis at 28 days. RESULTS: All
animals survived 28 days of follow-up. Compared with 50V and 250V,
electroporation with 500V was associated with significantly increased myocardial
scar and reduction in ejection fraction (67.4%+/-4% at baseline vs. 34.6%+/-20%
at 28 days; p <0.01). Also, compared with pulse duration of 70 MUsec, pulses of
100 MUsec were associated with markedly reduced left ventricular function and
markedly increased relative scar area ratio (28%+/-9% vs. 16%+/-3%, p = 0.02).
Decreasing electroporation pulse frequency (1Hz vs. 2Hz, 2Hz vs. 4Hz) was
associated with a significant increase in myocardial damage. Electroporation
protocols with a greater number of pulses (20 vs. 10) correlated with more
profound tissue damage (p<0.05). When compared with myocardial infarction damage,
electroporation demonstrated a considerable likeness regarding the extent of the
inflammatory process, but with relatively higher levels of extra-cellular
preservation. CONCLUSIONS: IRE has a graded effect on the myocardium. The extent
of ablation can be controlled by changing pulse length, frequency and number, as
well as by changing electric field intensity.
PMID- 27893745
TI - Public Stigma against People with Mental Illness in Jimma Town, Southwest
Ethiopia.
AB - BACKGROUND: Stigma towards people with mental illness (PWMI) can result in low
self-esteem and isolation and threaten employment. Therefore, this study aimed to
assess the magnitude of public stigma against PWMI and factors associated with it
among Jimma town residents. METHODS: A community-based, cross-sectional,
descriptive study was conducted in adult residents of Jimma town. Data were
collected among 820 randomly selected residents with the interviewer-administered
Community Attitudes toward the Mentally Ill (CAMI) scale. Linear regression
analyses were performed to identify predictors of stigma against PWMI. RESULT: A
total of 444 (54%) of the 820 respondents were females, and the mean (SD) age was
35 (8.5) years. The minimum and maximum possible values on each CAMI subscale
were 10 and 50, respectively. The respondents had high scores for a stigmatizing
attitude towards PWMI across all the subscales, as indicated by the mean (SD)
scores: authoritarianism, 27.17 (4.96); social restrictiveness, 32.41 (4.20);
benevolence, 35.34 (4.42); and community-based mental health ideology, 33.95
(5.82). Compared to housewives, private organization employees showed more
autocratic and socially restrictive views (std. beta = 1.12, P<0.01). Single
people had a lower social restrictiveness stigma score than married people (std.
beta = -0.20, P<0.001), and participants' academic levels correlated inversely
with the stigma score (std. beta = -0.12, P<0.001). A higher benevolence stigma
score was observed among participants with no relationship with PWMI than among
those with PWMI in their neighborhood (std. beta = 0.08, P< 0.046). CONCLUSION:
The study revealed that a negative attitude towards PWMI is widespread.
Therefore, there is a need to develop strategies to fight the stigma attached to
PWMI at the community level.
PMID- 27893746
TI - Mast Cells Are Abundant in Primary Cutaneous T-Cell Lymphomas: Results from a
Computer-Aided Quantitative Immunohistological Study.
AB - BACKGROUND: Mast cells (MC) are bone marrow derived haematopoetic cells playing a
crucial role not only in immune response but also in the tumor microenvironment
with protumorigenic and antitumorigenic functions. The role of MC in primary
cutaneous T-cell lymphomas (CTCL), a heterogeneous group of non-Hodgkin lymphomas
with initial presentation in the skin, is largely unknown. OBJECTIVE: To gain
more accurate information about presence, number, distribution and state of
activation (degranulated vs. non-degranulated) of MC in CTCL variants and
clinical stages. MATERIALS AND METHODS: We established a novel computer-aided
tissue analysis method on digitized skin sections. Immunohistochemistry with an
anti-MC tryptase antibody was performed on 34 biopsies of different CTCL subtypes
and on control skin samples. An algorithm for the automatic detection of the
epidermis and of cell density based CTCL areas was developed. Cells were
stratified as being within the CTCL infiltrate, in P1 (a surrounding area 0-30
MUm away from CTCL), or in P2 (30-60 MUm away from CTCL) area. RESULTS: We found
high MC counts within CTCL infiltrates and P1 and a decreased MC number in the
surrounding dermis P2. Higher MC numbers were found in MF compared to all other
CTCL subgroups. Regarding different stages of MF, we found significantly higher
mast cell counts in stages IA and IB than in stages IIA and IIB. Regarding MC
densities, we found a higher density of MC in MF compared to all other CTCL
subgroups. More MC were non-degranulated than degranulated. CONCLUSION: Here for
the first time an automated method for MC analysis on tissue sections and its use
in CTCL is described. Eliminating error from investigator bias, the method allows
for precise cell identification and counting. Our results provide new insights on
MC distribution in CTCL reappraising their role in the pathophysiology of CTCL.
PMID- 27893748
TI - Communication with Family and Friends across the Life Course.
AB - Each stage of the human life course is characterised by a distinctive pattern of
social relations. We study how the intensity and importance of the closest social
contacts vary across the life course, using a large database of mobile
communication from a European country. We first determine the most likely social
relationship type from these mobile phone records by relating the age and gender
of the caller and recipient to the frequency, length, and direction of calls. We
then show how communication patterns between parents and children, romantic
partner, and friends vary across the six main stages of the adult family life
course. Young adulthood is dominated by a gradual shift of call activity from
parents to close friends, and then to a romantic partner, culminating in the
period of early family formation during which the focus is on the romantic
partner. During middle adulthood call patterns suggest a high dependence on the
parents of the ego, who, presumably often provide alloparental care, while at
this stage female same-gender friendship also peaks. During post-reproductive
adulthood, individuals and especially women balance close social contacts among
three generations. The age of grandparenthood brings the children entering
adulthood and family formation into the focus, and is associated with a
realignment of close social contacts especially among women, while the old age is
dominated by dependence on their children.
PMID- 27893747
TI - Analysis of the Function of Apoptosis during Imaginal Wing Disc Regeneration in
Drosophila melanogaster.
AB - Regeneration is the ability that allows organisms to replace missing organs or
lost tissue after injuries. This ability requires the coordinated activity of
different cellular processes, including programmed cell death. Apoptosis plays a
key role as a source of signals necessary for regeneration in different
organisms. The imaginal discs of Drosophila melanogaster provide a particularly
well-characterised model system for studying the cellular and molecular
mechanisms underlying regeneration. Although it has been shown that signals
produced by apoptotic cells are needed for homeostasis and regeneration of some
tissues of this organism, such as the adult midgut, the contribution of apoptosis
to disc regeneration remains unclear. Using a new method for studying disc
regeneration in physiological conditions, we have defined the pattern of cell
death in regenerating discs. Our data indicate that during disc regeneration,
cell death increases first at the wound edge, but as regeneration progresses dead
cells can be observed in regions far away from the site of damage. This result
indicates that apoptotic signals initiated in the wound spread throughout the
disc. We also present results which suggest that the partial inhibition of
apoptosis does not have a major effect on disc regeneration. Finally, our results
suggest that during disc regeneration distinct apoptotic signals might be acting
simultaneously.
PMID- 27893750
TI - Molecular Epidemiology of Agents of Human Chromoblastomycosis in Brazil with the
Description of Two Novel Species.
AB - The human mutilating disease chromoblastomycosis is caused by melanized members
of the order Chaetothyriales. To assess population diversity among 123 clinical
strains of agents of the disease in Brazil we applied sequencing of the rDNA
internal transcribed spacer region, and partial cell division cycle and beta
tubulin genes. Strains studied were limited to three clusters divided over the
single family Herpotrichiellaceae known to comprise agents of the disease. A
Fonsecaea cluster contained the most important agents, among which F. pedrosoi
was prevalent with 80% of the total set of strains, followed by 13% for F.
monophora, 3% for F. nubica, and a single isolate of F. pugnacius. Additional
agents, among which two novel species, were located among members of the genus
Rhinocladiella and Cyphellophora, with frequencies of 3% and 1%, respectively.
PMID- 27893749
TI - Differential Protein Expression in the Hemolymph of Bithynia siamensis
goniomphalos Infected with Opisthorchis viverrini.
AB - Bithynia siamensis goniomphalos is a freshwater snail that serves as the first
intermediate host of the human liver fluke Opisthorchis viverrini. This parasite
is a major public health problem in different countries throughout the Greater
Mekong sub-region (Thailand, southern Vietnam, Lao PDR and Cambodia). Chronic O.
viverrini infection also results in a gradual increase of fibrotic tissues in the
biliary tract that are associated with hepatobiliary diseases and contribute to
cholangiocarcinoma (a fatal type of bile duct cancer). Infectivity of the
parasite in the snail host is strongly correlated with destruction of helminths
by the snail's innate immune system, composed of cellular (hemocyte) and humoral
(plasma) defense factors. To better understand this important host-parasite
interface we applied sequential window acquisition of all theoretical spectra
mass spectrometry (SWATH-MS) to identify and quantify the proteins from the
hemolymph of B. siamensis goniomphalos experimentally infected with O. viverrini
and compare them to non-infected snails (control group). A total of 362 and 242
proteins were identified in the hemocytes and plasma, respectively. Of these, 145
and 117 proteins exhibited significant differences in expression upon fluke
infection in hemocytes and plasma, respectively. Among the proteins with
significantly different expression patterns, we found proteins related to immune
response (up-regulated in both hemocyte and plasma of infected snails) and
proteins belonging to the structural and motor group (mostly down-regulated in
hemocytes but up-regulated in plasma of infected snails). The proteins identified
and quantified in this work will provide important information for the
understanding of the factors involved in snail defense against O. viverrini and
might facilitate the development of new strategies to control O. viverrini
infection in endemic areas.
PMID- 27893751
TI - 25-Hydroxy- and 1alpha,25-Dihydroxycholecalciferol Have Greater Potencies than 25
Hydroxy- and 1alpha,25-Dihydroxyergocalciferol in Modulating Cultured Human and
Mouse Osteoblast Activities.
AB - Despite differences in the phamacokinetics of 25-hydroxycholecalciferol
(25(OH)D3) and 25-hydroxyergocalciferol (25(OH)D2) in man, the effects of these
and their 1alpha-hydroxylated forms (1,25(OH)2D3 and 1,25(OH)2D2) on cellular
activity of vitamin D-responsive cells have hardly been compared. We studied
differences in the effects of these metabolites on cell number, gene
transcription, protein expression and mineralisation of cultured human bone
marrow-derived stromal cells (hBMSC) and rapidly mineralising mouse 2T3
osteoblasts. 50-1000 nM 25(OH) and 0.05-10 nM 1,25(OH)2 metabolites were used. At
high concentrations, 25(OH)D2/D3 and 1,25(OH)2D2/D3 suppressed cell number in
both human and mouse cells. The suppression was greater with cholecalciferol (D3)
metabolites than with those of ergocalciferol (D2). In both cell types, 25(OH)D2
and 25(OH)D3 increased the expression of osteopontin, osteocalcin, collagen-1,
receptor activator of nuclear factor kappa-B ligand, vitamin D receptor, CYP24A1
and CYP27B1 genes. Whereas there was little or no difference between the effects
of 25(OH)D2 and 25(OH)D3 in hBMSCs, differences were observed in the magnitude of
the effects of these metabolites on the expression of most studied genes in 2T3
cells. Alkaline phosphatase (ALP) activity was increased by 25(OH)D2/D3 and
1,25(OH)2D2/D3 in hBMSC and 2T3 cells, and the increase was greater with the D3
metabolites at high concentrations. In hBMSCs, mineralisation was also increased
by 25(OH)D2/D3 and 1,25(OH)2D2/D3 at high concentrations, with D3 metabolites
exerting a greater influence. In 2T3 cells, the effects of these compounds on
mineralisation were stimulatory at low concentrations and inhibitory when high
concentrations were used. The suppression at high concentrations was greater with
the D3 metabolites. These findings suggest that there are differences in the
effects of 25-hydroxy and 1alpha,25(OH)2 metabolites of D3 and D2 on human
preosteoblasts and mouse osteoblasts, with the D3 metabolites being more potent
in suppressing cell number, increasing ALP activity and influencing
mineralisation.
PMID- 27893753
TI - Correction: Rare Functional Variant in TM2D3 is Associated with Late-Onset
Alzheimer's Disease.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1006327.].
PMID- 27893752
TI - Effect of Butyrate on Collagen Expression, Cell Viability, Cell Cycle Progression
and Related Proteins Expression of MG-63 Osteoblastic Cells.
AB - AIMS: Butyric acid is one major metabolic product generated by anaerobic Gram
negative bacteria of periodontal and root canal infection. Butyric acid affects
the activity of periodontal cells such as osteoblasts. The purposes of this study
were to investigate the effects of butyrate on MG-63 osteoblasts. METHODS: MG-63
cells were exposed to butyrate and cell viability was estimated by 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay. The mRNA and
protein expression of type I collagen and cell cycle-related proteins were
measured by reverse-transcriptase polymerase chain reaction (RT-PCR), western
blotting or immunofluorescent staining. Cellular production of reactive oxygen
species (ROS) was analyzed by 2',7'-dichlorofluorescein (DCF) fluorescence flow
cytometry. RESULTS: Exposure to butyrate suppressed cell proliferation, and
induced G2/M (8 and 16 mM) cell cycle arrest of MG-63 cells. Some cell apoptosis
was noted. The mRNA expression of cdc2 and cyclin-B1 decreased after exposure to
butyrate. The protein expression of type I collagen, cdc2 and cyclin B1 were
decreased, whereas the expression of p21, p27 and p57 was stimulated. Under the
treatment of butyrate, ROS production in MG-63 cells markedly increased.
CONCLUSIONS: The secretion of butyric acid by periodontal and root canal
microorganisms may inhibit bone cell growth and matrix turnover. This is possibly
due to induction of cell cycle arrest and ROS generation and inhibition of
collagen expression. These results suggest the involvement of butyric acid in the
pathogenesis of periodontal and periapical tissue destruction by impairing bone
healing responses.
PMID- 27893754
TI - Cyp26 Enzymes Facilitate Second Heart Field Progenitor Addition and Maintenance
of Ventricular Integrity.
AB - Although retinoic acid (RA) teratogenicity has been investigated for decades, the
mechanisms underlying RA-induced outflow tract (OFT) malformations are not
understood. Here, we show zebrafish embryos deficient for Cyp26a1 and Cyp26c1
enzymes, which promote RA degradation, have OFT defects resulting from two
mechanisms: first, a failure of second heart field (SHF) progenitors to join the
OFT, instead contributing to the pharyngeal arch arteries (PAAs), and second, a
loss of first heart field (FHF) ventricular cardiomyocytes due to disrupted cell
polarity and extrusion from the heart tube. Molecularly, excess RA signaling
negatively regulates fibroblast growth factor 8a (fgf8a) expression and
positively regulates matrix metalloproteinase 9 (mmp9) expression. Although
restoring Fibroblast growth factor (FGF) signaling can partially rescue SHF
addition in Cyp26 deficient embryos, attenuating matrix metalloproteinase (MMP)
function can rescue both ventricular SHF addition and FHF integrity. These novel
findings indicate a primary effect of RA-induced OFT defects is disruption of the
extracellular environment, which compromises both SHF recruitment and FHF
ventricular integrity.
PMID- 27893755
TI - Quantification of Arachidonic Acid and Its Metabolites in Rat Tissues by UHPLC
MS/MS: Application for the Identification of Potential Biomarkers of Benign
Prostatic Hyperplasia.
AB - To evaluate the potential relationship between benign prostatic hyperplasia (BPH)
and the arachidonic acid (AA) metabolome, a UHPLC-MS/MS method has been developed
and validated for simultaneous determination of AA and its cyclooxygenase(COX)
and lipoxygenase(LOX) pathway metabolites (15-HETE, 12-HETE, TXA2, 5-HETE, AA,
PGI2, PGF2alpha, 8-HETE, PGD2, PGE2 and LTB4) in rat tissues. The analytes were
extracted from tissue samples with a protein precipitation procedure and then
separated on a Shim-pack XR-ODSC18 column with 0.05% formic acid in water (pH
adjusted with dilute ammonia) and methanol:acetonitrile (20:80, v/v). Detection
was performed on a UHPLC-MS/MS system with electrospray negative ionization (ESI)
and a multiple reaction-monitoring mode. The lower limits of quantification
(LLOQ) were 0.25-50 ng/mL for all of the analytes in the prostate, seminal,
bladder, liver and kidney tissues. The absolute recoveries of the analytes from
all of the tissues were more than 50%. By means of the method developed, the AA
metabolites in tissue samples from Sham and BPH group rats were determined. The
eleven biomarkers in the BPH group prostate, seminal, bladder, liver and kidney
tissues were significantly higher than those of the sham group, indicating that
BPH fortified the inducible expression of COX and LOX, as well as increased the
production of AA and eicosanoids. The method described here offers a useful tool
for the evaluation of complex regulatory eicosanoids responses in vivo.
PMID- 27893756
TI - Posttraumatic Stress among Not-Exposed Traumatically Bereaved Relatives after the
MS Estonia Disaster.
AB - BACKGROUND: Little is known about posttraumatic stress (PTS) reactions in
bereaved individuals following loss in disaster who were not directly exposed to
disaster. The aim of the present study was to examine the course of PTS up to
three years after losing relatives in the MS Estonia ferry disaster, one of the
worst maritime disasters in modern times. METHODS: Seven postal surveys were sent
out over three years post-disaster. The respondents were invited and added
consecutively during the three years and 938 relatives participated in one or
more of the surveys, representing 89% of the MS Estonia's Swedish victims. The
survey included the Impact of Event Scale (IES) to measure PTS. Latent growth
curve modeling was used to analyze PTS over time. RESULTS: The majority of
bereaved individuals had high levels of PTS. At three years post-loss, 62% of the
respondents scored above the recommended cut-off value on the IES. Over time, PTS
symptoms declined, but initially high symptoms of PTS were associated with a
slower recovery rate. CONCLUSION: The present finding suggests that being an
indirectly-exposed disaster-bereaved close-relative can lead to very high levels
of PTS which are sustained for several years.
PMID- 27893757
TI - Assessing the Acetabular Cup Implant Primary Stability by Impact Analyses: A
Cadaveric Study.
AB - BACKGROUND: The primary stability of the acetabular cup (AC) implant is an
important determinant for the long term success of cementless hip surgery.
However, it remains difficult to assess the AC implant stability due to the
complex nature of the bone-implant interface. A compromise should be found when
inserting the AC implant in order to obtain a sufficient implant stability
without risking bone fracture. The aim of this study is to evaluate the potential
of impact signals analyses to assess the primary stability of AC implants
inserted in cadaveric specimens. METHODS: AC implants with various sizes were
inserted in 12 cadaveric hips following the same protocol as the one employed in
the clinic, leading to 86 different configurations. A hammer instrumented with a
piezoelectric force sensor was then used to measure the variation of the force as
a function of time produced during the impact between the hammer and the
ancillary. Then, an indicator I was determined for each impact based on the
impact momentum. For each configuration, twelve impacts were realized with the
hammer, the value of the maximum amplitude being comprised between 2500 and 4500
N, which allows to determine an averaged value IM of the indicator for each
configuration. The pull-out force F was measured using a tangential pull-out
biomechanical test. RESULTS: A significant correlation (R2 = 0.69) was found
between IM and F when pooling all data, which indicates that information related
to the AC implant biomechanical stability can be retrieved from the analysis of
impact signals obtained in cadavers. CONCLUSION: These results open new paths in
the development of a medical device that could be used in the future in the
operative room to help orthopedic surgeons adapt the surgical protocol in a
patient specific manner.
PMID- 27893758
TI - Multiple Browsers Structure Tree Recruitment in Logged Temperate Forests.
AB - Historical extirpations have resulted in depauperate large herbivore assemblages
in many northern forests. In eastern North America, most forests are inhabited by
a single wild ungulate species, white-tailed deer (Odocoileus virginianus), and
relationships between deer densities and impacts on forest regeneration are
correspondingly well documented. Recent recolonizations by moose (Alces
americanus) in northeastern regions complicate established deer density
thresholds and predictions of browsing impacts on forest dynamics because size
and foraging differences between the two animals suggest a lack of functional
redundancy. We asked to what extent low densities of deer + moose would structure
forest communities differently from that of low densities of deer in recently
logged patch cuts of Massachusetts, USA. In each site, a randomized block with
three treatment levels of large herbivores-no-ungulates (full exclosure), deer
(partial exclosure), and deer + moose (control) was established. After 6-7 years,
deer + moose reduced stem densities and basal area by 2-3-fold, Prunus
pensylvanica and Quercus spp. recruitment by 3-6 fold, and species richness by
1.7 species (19%). In contrast, in the partial exclosures, deer had non
significant effects on stem density, basal area, and species composition, but
significantly reduced species richness by 2.5 species on average (28%). Deer
browsing in the partial exclosure was more selective than deer + moose browsing
together, perhaps contributing to the decline in species richness in the former
treatment and the lack of additional decline in the latter. Moose used the
control plots at roughly the same frequency as deer (as determined by remote
camera traps), suggesting that the much larger moose was the dominant browser
species in terms of animal biomass in these cuts. A lack of functional redundancy
with respect to foraging behavior between sympatric large herbivores may explain
combined browsing effects that were both large and complex.
PMID- 27893759
TI - The Condition for Generous Trust.
AB - Trust has been considered the "cement" of a society and is much studied in
sociology and other social sciences. Most studies, however, have neglected one
important aspect of trust: it involves an act of forgiving and showing tolerance
toward another's failure. In this study, we refer to this concept as "generous
trust" and examine the conditions under which generous trust becomes a more
viable option when compared to other types of trust. We investigate two settings.
First, we introduce two types of uncertainties: uncertainty as to whether
trustees have the intention to cooperate, and uncertainty as to whether trustees
have enough competence to accomplish the entrusted tasks. Second, we examine the
manner in which trust functions in a broader social context, one that involves
matching and commitment processes. Since we expect generosity or forgiveness to
work differently in the matching and commitment processes, we must differentiate
trust strategies into generous trust in the matching process and that in the
commitment process. Our analytical strategy is two-fold. First, we analyze the
"modified" trust game that incorporates the two types of uncertainties without
the matching process. This simplified setting enables us to derive mathematical
results using game theory, thereby giving basic insight into the trust mechanism.
Second, we investigate socially embedded trust relationships in contexts
involving the matching and commitment processes, using agent-based simulation.
Results show that uncertainty about partner's intention and competence makes
generous trust a viable option. In contrast, too much uncertainty undermines the
possibility of generous trust. Furthermore, a strategy that is too generous
cannot stand alone. Generosity should be accompanied with moderate punishment. As
for socially embedded trust relationships, generosity functions differently in
the matching process versus the commitment process. Indeed, these two types of
generous trust coexist, and their coexistence enables a society to function well.
PMID- 27893761
TI - High Accuracy Human Activity Recognition Based on Sparse Locality Preserving
Projections.
AB - Human activity recognition(HAR) from the temporal streams of sensory data has
been applied to many fields, such as healthcare services, intelligent
environments and cyber security. However, the classification accuracy of most
existed methods is not enough in some applications, especially for healthcare
services. In order to improving accuracy, it is necessary to develop a novel
method which will take full account of the intrinsic sequential characteristics
for time-series sensory data. Moreover, each human activity may has correlated
feature relationship at different levels. Therefore, in this paper, we propose a
three-stage continuous hidden Markov model (TSCHMM) approach to recognize human
activities. The proposed method contains coarse, fine and accurate
classification. The feature reduction is an important step in classification
processing. In this paper, sparse locality preserving projections (SpLPP) is
exploited to determine the optimal feature subsets for accurate classification of
the stationary-activity data. It can extract more discriminative activities
features from the sensor data compared with locality preserving projections.
Furthermore, all of the gyro-based features are used for accurate classification
of the moving data. Compared with other methods, our method uses significantly
less number of features, and the over-all accuracy has been obviously improved.
PMID- 27893760
TI - Leaf Extract from Lithocarpus polystachyus Rehd. Promote Glycogen Synthesis in
T2DM Mice.
AB - The purpose of this study was to investigate the effects of leaf extract from
Lithocarpus polystachyus Rehd. on type II diabetes mellitus (T2DM) and the active
ingredients of this effect. In addition, this study determined, for the first
time, the underlying molecular and pharmacological mechanisms of the extracts on
hyperglycemia using long-term double high diet-fed and streptozotocin (STZ)
induced type II diabetic mice. In the present study, leaf extract, phloridzin and
trilobatin were assessed in vivo (gavage) and in vitro (non-invasive micro-test
technique, NMT) in experimental T2DM mice. The biochemical parameters were
measured including blood glucose and blood lipid level, liver biochemical
indexes, and hepatic glycogen. The relative expression of glycometabolism-related
genes was detected. The effect of leaf extracts on physiological glucose flux in
liver tissue from control and T2DM mice was also investigated. Body weight of
experimental T2DM mice increased significantly after the first week, but
stabilized over the subsequent three weeks; body weight of all other groups did
not change during the four weeks' study. After four weeks, all treatment groups
decreased blood glucose, and treatment with leaf extract had numerous positive
effects: a) promoted in glucose uptake in liver, b) increased synthesis of liver
glycogen, c) reduced oxidative stress, d) up-regulation of glucokinase (GK),
glucose transporter 2 (GLUT2), insulin receptor (IR) and insulin receptor
substrate (IRS) expression in liver, e) down-regulation of glucose-6-phosphatase
(G-6-P) expression, and f) ameliorated blood lipid levels. Both treatment with
trilobatin or phloridzin accelerated liver glycogen synthesis, decreased
oxidative stress and increased expression of GK. IRS and phosphoenolpyruvate
carboxykinase (PEPCK) were both up-regulated after treatment with trilobatin.
Expression of GLUT2, PEPCK and G-6-P were also increased in liver tissue after
treatment with phloridzin. Our data indicate that leaf extract from L.
polystachyus Rehd. has a preferable hypoglycemic effects than trilobatin or
phloridzin alone. Leaf extract significantly increased glucose uptake and hepatic
glycogen synthesis while also inducing a decline of hepatic gluconeogenesis and
oxidative stress in T2DM mice. From this study, we draw conclusions that L.
polystachyus promoted glycogen synthesis in T2DM mice, and that the active
compounds were not only the trilobatin or phloridzin.
PMID- 27893762
TI - Circulating Angiopoietin-2 and Its Soluble Receptor Tie-2 Concentrations Are
Related to Renal Function in Two Population-Based Cohorts.
AB - BACKGROUND: An intact angiopoietin/Tie-2 ligand receptor system is indispensable
for life. High circulating angiopoietin-2 (Ang-2) concentrations are strongly
associated with kidney disease involving the progressive loss of glomerular
filtration. The aim of our study was to investigate the associations between
renal function and serum Ang-2 or serum Tie-2 concentrations in the general
population. METHODS: Data of 3081 and 4088 subjects from two population-based
studies, the Study of Health in Pomerania (SHIP-1) and SHIP-Trend, were used.
Renal function was assessed by serum creatinine, cystatin C concentration,
creatinine-based estimated glomerular filtration rate [eGFR(crea)], cystatin C
based eGFR [eGFR(cys)] and urinary albumin-to-creatinine ratio (uACR). Analyses
of variance and linear regression models were calculated. RESULTS: In both
cohorts, strong positive associations between serum cystatin C concentrations and
serum Ang-2 or Tie-2 concentrations as well as inverse associations between
eGFR(cys) and serum Ang-2 or Tie-2 concentrations were found. These relations
were also present in a subpopulation without hypertension or diabetes mellitus
type 2. Furthermore, we detected weak U-shaped associations between serum
creatinine concentrations or eGFR(crea) and serum Ang-2 concentrations. With
respect to uACR a strong positive association with serum Ang-2 concentrations was
revealed. CONCLUSION: Serum Ang-2 concentrations are strongly associated with
sensitive parameters of renal impairment like serum cystatin C, uACR and
eGFR(cys). These findings persisted even after exclusion of subjects with
hypertension or diabetes mellitus type 2, conditions that predispose to chronic
renal disease and are associated with increased Ang-2 concentrations.
Interestingly, we did not detect the same strong relations between serum
creatinine and eGFR(crea) with serum Ang-2 concentration. Additionally,
significant association of serum Tie-2 concentrations with cystatin C and
eGFR(cys) were detected.
PMID- 27893763
TI - The Role of Morbid Obesity in the Promotion of Metabolic Disruptions and Non
Alcoholic Steatohepatitis by Helicobacter Pylori.
AB - BACKGROUND: Helicobacter pylory (HP) infection has been associated to an
increased rate of type 2 diabetes (T2D) and liver disease through its effect on
insulin resistance and systemic inflammation. However, results are inconstant and
no studies exist in morbidly obese patients, in which both insulin resistance and
inflammation coexist. MATERIAL AND METHODS: Cross-sectional study to evaluate the
relationship between HP infection and alterations in carbohydrate metabolism,
lipid profile, inflammation markers, and liver disease in patients awaiting for
bariatric surgery. HP infection was histologically assessed in gastric antrum
biopsy from 416 subjects. Liver biopsy was also available in 93 subjects.
RESULTS: Both impaired fasting glucose and T2D were similar when comparing
subjects with and without HP infection (24.2% vs. 22%, p = 0.290 and 29.4% vs.
29.1%, p = 0.916, respectively), with no differences between groups in the HOMA
IR, lipid profile neither inflammatory parameters. However, HP infection was
higher among subjects with a BMI >= 40.0 kg/m2 in comparison with lower degrees
of obesity (71.7% vs. 60.0%, p = 0.041). In addition, subjects without HP
infection showed higher degrees of steatosis (44.1+/-26.4% vs. 32.0+/-20.7%, p =
0.038), as well as a lower prevalence of non-alcoholic steatohepatitis (9.3% vs.
30.7%, p = 0.023). CONCLUSIONS: In patients with morbid obesity, HP infection
does not seem to be associated with abnormal carbohydrate metabolism. In
addition, less advanced degrees of non-alcoholic fatty disease were observed. We
suggest that low-grade inflammation that accompanies obesity mitigates the
diabetogenic effect of HP, so the presence of obesity should be considered in
studies that evaluate the HP metabolic effects.
PMID- 27893764
TI - Virus-Mediated Alterations in miRNA Factors and Degradation of Viral miRNAs by
MCPIP1.
AB - Kaposi's sarcoma-associated herpesvirus (KSHV), the causative agent of Kaposi's
sarcoma, encodes 25 mature viral miRNAs. MCP-1-induced protein-1 (MCPIP1), a
critical regulator of immune homeostasis, has been shown to suppress miRNA
biosynthesis via cleavage of precursor miRNAs through its RNase domain. We
demonstrate that MCPIP1 can directly cleave KSHV and EBV precursor miRNAs and
that MCPIP1 expression is repressed following de novo KSHV infection. In
addition, repression with siRNAs to MCPIP1 in KSHV-infected cells increased IL-6
and KSHV miRNA expression, supporting a role for MCPIP1 in IL-6 and KSHV miRNA
regulation. We also provide evidence that KSHV miRNAs repress MCPIP1 expression
by targeting the 3'UTR of MCPIP1. Conversely, expression of essential miRNA
biogenesis components Dicer and TRBP is increased following latent KSHV
infection. We propose that KSHV infection inhibits a negative regulator of miRNA
biogenesis (MCPIP1) and up-regulates critical miRNA processing components to
evade host mechanisms that inhibit expression of viral miRNAs. KSHV-mediated
alterations in miRNA biogenesis represent a novel mechanism by which KSHV
interacts with its host and a new mechanism for the regulation of viral miRNA
expression.
PMID- 27893765
TI - Improving Standards of Care in Obstructed Labour: A Criteria-Based Audit at a
Referral Hospital in a Low-Resource Setting in Tanzania.
AB - OBJECTIVE: In low-resource settings, obstructed labour is strongly associated
with severe maternal morbidity and intrapartum asphyxia, and consequently
maternal and perinatal deaths. This study evaluated the impact of a criteria
based audit of the diagnosis and management of obstructed labour in a low
resource setting. METHODS: A baseline criteria-based audit was conducted from
October 2013 to March 2014, followed by a workshop in which stakeholders gave
feedback on interventions agreed upon to improve obstetric care. The implemented
interventions included but were not limited to introducing standard guidelines
for diagnosis and management of obstructed labour, agreeing on mandatory review
by specialist for cases that are assigned caesarean section, re-training and
supervision on use and interpretation of partograph and, strengthening team work
between doctors, mid-wives and theatre staff. After implementing these
interventions in March, a re-audit was performed from July 2015 to November,
2015, and the results were compared to those of the baseline audit. RESULTS: Two
hundred and sixty deliveries in the baseline survey and 250 deliveries in the
follow-up survey were audited. Implementing the new criteria improved the
diagnosis from 74% to 81% (p = 0.049) and also the management of obstructed
labour from 4.2% at baseline audit to 9.2% at re-audit (p = 0.025). Improved
detection of prolonged labour through heightened observation of regular
contractions, protracted cervical dilatation, protracted descent of presenting
part, arrested cervical dilation, and severe moulding contributed to improved
standards of diagnosis (all p < 0.04). Patient reviews by senior obstetricians
increased from 34% to 43% (p = 0.045) and reduced time for caesarean section
intervention from the median time of 120 to 90 minutes (p = 0.001) improved
management (all p < 0.05). Perinatal outcomes, neonatal distress and fresh
stillbirths, were reduced from 16% to. 8.8% (p = 0.01). CONCLUSION: A criteria
based audit proved to be a feasible and useful tool in improving diagnosis and
management of obstructed labour using available resources. Some of the observed
changes in practice were of modest magnitude implying demand for further
improvements, while sustaining those already put in place.
PMID- 27893766
TI - The Effect of Binaural Beats on Visuospatial Working Memory and Cortical
Connectivity.
AB - Binaural beats utilize a phenomenon that occurs within the cortex when two
different frequencies are presented separately to each ear. This procedure
produces a third phantom binaural beat, whose frequency is equal to the
difference of the two presented tones and which can be manipulated for non
invasive brain stimulation. The effects of binaural beats on working memory, the
system in control of temporary retention and online organization of thoughts for
successful goal directed behavior, have not been well studied. Furthermore, no
studies have evaluated the effects of binaural beats on brain connectivity during
working memory tasks. In this study, we determined the effects of different
acoustic stimulation conditions on participant response accuracy and cortical
network topology, as measured by EEG recordings, during a visuospatial working
memory task. Three acoustic stimulation control conditions and three binaural
beat stimulation conditions were used: None, Pure Tone, Classical Music, 5Hz
binaural beats, 10Hz binaural beats, and 15Hz binaural beats. We found that
listening to 15Hz binaural beats during a visuospatial working memory task not
only increased the response accuracy, but also modified the strengths of the
cortical networks during the task. The three auditory control conditions and the
5Hz and 10Hz binaural beats all decreased accuracy. Based on graphical network
analyses, the cortical activity during 15Hz binaural beats produced networks
characteristic of high information transfer with consistent connection strengths
throughout the visuospatial working memory task.
PMID- 27893767
TI - T Cells of Infants Are Mature, but Hyporeactive Due to Limited Ca2+ Influx.
AB - CD4 T cells in human infants and adults differ in the initiation and strength of
their responses. The molecular basis for these differences is not yet understood.
To address this the principle key molecular events of TCR- and CD28-induced
signaling in naive CD4 T cells, such as Ca2+ influx, NFAT expression,
phosphorylation and translocation into the nucleus, ERK activation and IL-2
response, were analyzed over at least the first 3 years of life. We report
dramatically reduced IL-2 and TNFalpha responses in naive CD31+ T cells during
infancy. Looking at the obligatory Ca2+ influx required to induce T cell
activation and proliferation, we demonstrate characteristic patterns of
impairment for each stage of infancy that are partly due to the differential
usage of Ca2+ stores. Consistent with those findings, translocation of NFATc2 is
limited, but still dependent on Ca2+ influx as demonstrated by sensitivity to
cyclosporin A (CsA) treatment. Thus weak Ca2+ influx functions as a catalyst for
the implementation of restricted IL-2 response in T cells during infancy. Our
studies also define limited mobilization of Ca2+ ions as a characteristic
property of T cells during infancy. This work adds to our understanding of
infants' poor T cell responsiveness against pathogens.
PMID- 27893769
TI - Assessing Visual Fields in Patients with Retinitis Pigmentosa Using a Novel
Microperimeter with Eye Tracking: The MP-3.
AB - PURPOSE: The purpose of the current study is to investigate the test-retest
reproducibility of visual fields (VFs) measured with the MP-3 microperimeter, in
patients with retinitis pigmentosa (RP). METHOD: VFs were twice measured with the
MP-3 and also the Humphrey Field Analyzer, using the 10-2 test grid pattern in
both perimeters, in 30 eyes (15 right and 15 left eyes) of 18 RP patients (11
males and 7 females). Test-retest reproducibility was assessed using the mean
absolute deviation (MAD) measure at all 68 points in the test grid.
Reproducibility was also evaluated using the intraclass correlation coefficient
(ICC) of VF sensitivities. RESULT: The mean sensitivity measured in the HFA 10-2
was significantly higher than that measured in the MP-3 in both the first and
second VF tests (p <0.0001, linear mixed model). The MAD was 2.4+/-0.6 [1.1 to
3.6] dB for MP-3 and 2.4+/-0.9 [1.1 to 5.1] dB for HFA 10-2, which was not
significantly different (p = 0.76, linear mixed model). The ICC value associated
with the MP-3 VFs was 0.81+/-0.13 [0.49 to 0.98], which was significantly larger
than that observed for the HFA 10-2 VFs: 0.77+/-0.19 [0.20 to 0.94] (p = 0.043,
linear mixed model). CONCLUSION: The MP-3 microperimeter appears to be useful to
evaluate central visual function in RP eyes, exhibiting test-retest
reproducibility that is equal to, or better than, that observed in HFA 10-2 VFs.
PMID- 27893768
TI - Macromolecular Crowding Regulates the Gene Expression Profile by Limiting
Diffusion.
AB - We seek to elucidate the role of macromolecular crowding in transcription and
translation. It is well known that stochasticity in gene expression can lead to
differential gene expression and heterogeneity in a cell population. Recent
experimental observations by Tan et al. have improved our understanding of the
functional role of macromolecular crowding. It can be inferred from their
observations that macromolecular crowding can lead to robustness in gene
expression, resulting in a more homogeneous cell population. We introduce a
spatial stochastic model to provide insight into this process. Our results show
that macromolecular crowding reduces noise (as measured by the kurtosis of the
mRNA distribution) in a cell population by limiting the diffusion of
transcription factors (i.e. removing the unstable intermediate states), and that
crowding by large molecules reduces noise more efficiently than crowding by small
molecules. Finally, our simulation results provide evidence that the local
variation in chromatin density as well as the total volume exclusion of the
chromatin in the nucleus can induce a homogenous cell population.
PMID- 27893770
TI - Network Analysis Shows Asymmetrical Flows within a Bird Metapopulation.
AB - How the spatial expansion of a species changes at a human time scale is a process
difficult to determine. We studied the dispersal pattern of the French white
stork population, using a 21-year ringing/resighting dataset. We used the graph
theory to investigate the strength of links between 5 populations (North-East,
North-West, Centre, West, and South) and to determine factors important for the
birds' movements. Two clusters of populations were identified within the
metapopulation, with most frequent movements of individuals between North-Eastern
and Centre populations, and between North-Western and Western populations.
Exchanges of individuals between populations were asymmetrical, where North
Eastern and North-Western populations provided more emigrants than they received
immigrants. Neither the geographical distance between populations, nor the
difference in densities influenced the number of individuals exchanging between
populations. The graph-theory approach provides a dynamic view of individual
movements within a metapopulation and might be useful for future population
studies in the context of conservation.
PMID- 27893771
TI - A Nationwide Survey on Patient's versus Physician's Evaluation of Biological
Therapy in Rheumatoid Arthritis in Relation to Disease Activity and Route of
Administration: The Be-Raise Study.
AB - OBJECTIVES: Biological treatment of rheumatoid arthritis (RA) is one of the
cornerstones of current treatment strategies for the disease. Surprisingly little
information exists on whether the route of administration affects patients'
treatment satisfaction. It is equally unclear whether rheumatologists are able to
accurately perceive their patients' appreciation. Thus, the Belgian Be-raise
survey aimed to examine whether RA patient's experience of their current
biological treatment coincided with the treating physician's perception. METHODS:
A nationwide cross-sectional survey was conducted by 67 Belgian rheumatologists
providing data obtained from 550 RA patients. Patients under stable dose of
biologics for at least 6 months, were enrolled consecutively and all completed
questionnaires. Separate questionnaires were completed by the treating
rheumatologist which evaluated their patient's perception of the route of
treatment administration. This study therefore evaluates whether a treating
physician perceives the satisfaction with the route of administration to the same
degree as the patient. RESULTS: Completed questionnaires were obtained from 293
and 257 patients who obtained treatment via the intravenous (IV) or subcutaneous
(SC) route of administration, respectively. 58.4% of patients were in DAS28
CRP(3) remission. Patient satisfaction with disease control was higher (44%
scored >= 9) than that of the treating physician (35%), regardless of the route
of administration (p< 0.01). No differences were seen for the patients treated
with an IV as opposed to a SC route of administration. The physician's perception
of patient's satisfaction with disease control was markedly lower for IV treated
patients as opposed to SC treated patients (p< 0.001). CONCLUSIONS: Patients'
satisfaction with biological treatment is high, but there is a considerable
mismatch between patients' and rheumatologists' appreciation on the route of
administration of biological therapy in RA. Physicians consistently consider IV
biological therapy to be less satisfactory. Patient's appreciation is largely
dependent on disease control, irrespective of the route of administration.
Therefore, and encouraging shared decision making, we suggest that physicians and
patients discuss the route of administration of biologicals in an open way.
PMID- 27893772
TI - A Quantitative PCR Protocol for Detection of Oxyspirura petrowi in Northern
Bobwhites (Colinus virginianus).
AB - Oxyspirura petrowi is a parasitic nematode that infects wild birds. This parasite
has a broad host range, but has recently been reported in high prevalences from
native Galliformes species in the United States. In order to better understand
the impact O. petrowi has on wild bird populations, we developed a quantitative
PCR protocol to detect infections in wild northern bobwhites (Colinus
virginianus). We used paired fecal and cloacal swab samples from wild caught and
experimentally infected northern bobwhites and matching fecal float data from
experimentally infected birds to validate our assay. Overall we detected more
positive birds from fecal samples than the paired cloacal swabs and there was
strong agreement between the qPCR results from fecal samples and from fecal
flotation (84%; kappa = 0.69 [0.53-0.84 95% CI]). We also detected O. petrowi DNA
in ten replicates of samples spiked with one O. petrowi egg. This qPCR assay is
an effective assay to detect O. petrowi infections in wild birds. Our results
suggest that fecal samples are the most appropriate sample for detecting
infections; although, cloacal swabs can be useful for determining if O. petrowi
is circulating in a population.
PMID- 27893773
TI - Morphology and Species Composition of Southern Adriatic Sea Leptocephali
Evaluated Using DNA Barcoding.
AB - Leptocephali are the characteristic larvae of the superorder Elopomorpha that are
difficult to identify at the species level. In this study, we used DNA barcoding
(i.e. short genetic sequences of DNA used as unique species tags) coupled with
classical taxonomic methods to identify leptocephali in the southern Adriatic
Sea. This information will provide an assessment of the biodiversity of the eel
larvae in this region. A total of 2,785 leptocephali were collected, and using
external morphology were assigned to seven morphotypes: Ariosoma balearicum,
Conger conger, Gnathophis mystax, Facciolella sp., Nettastoma melanurum, Dalophis
imberbis and Chlopsis bicolor. Collectively, these seven morphotypes are
considered to be a good proxy for the Anguilliformes community (the main order of
the Elopomorpha) in the southern Adriatic Sea (to date, seven families and
sixteen species have been recorded in this region). Interestingly, the higher
number of G. mystax larvae collected suggests an increased abundance of this
genus. To validate the morphological identifications, we sequenced 61
leptocephali (at a 655 bp fragment from the cytochrome oxidase subunit 1
mitochondrial region) and developed barcode vouchers for the seven morphotypes.
Using genetic information from reference databases, we validated three of these
morphotypes. Where reference sequences were unavailable, we generated barcodes
for both adult and juvenile forms to provide additional genetic information.
Using this integrated approach allowed us to characterize a new species of
Facciolella in the Adriatic Sea for the first time. Moreover, we also revealed a
lack of differentiation, at the species level, between G. mistax and G.
bathytopos, a western Atlantic Ocean species. Our morphological and barcode data
have been published in the Barcoding of the Adriatic Leptocephali database. This
work represents the first contribution to a wider project that aims to create a
barcode database to support the assessment of leptocephali diversity in the
Mediterranean Sea.
PMID- 27893775
TI - Extinction Debt and Colonizer Credit on a Habitat Perturbed Fishing Bank.
AB - Temporal changes in occupancy of the Georges Bank (NE USA) fish and invertebrate
community were examined and interpreted in the context of systems ecological
theory of extinction debt (EDT). EDT posits that in a closed system with a mix of
competitor and colonizer species and experiencing habitat fragmentation and loss,
the competitor species will show a gradual decline in fitness (occupancy)
eventually leading to their extinction (extirpation) over multiple generations. A
corollary of this is a colonizer credit, where colonizer species occupancy may
increase with fragmentation because the disturbance gives that life history a
transient relative competitive advantage. We found that competitor species
occupancy decreased in time concomitant with an increase in occupancy of
colonizer species and this may be related to habitat fragmentation or loss owing
to industrialized bottom trawl fishing. Mean species richness increased over time
which suggests less specialization (decreased dominance) of the assemblage that
may result from habitat homogenization. These analyses also showed that when
abundance of species was decreased by fishing but eventually returned to previous
levels, on average it had a lower occupancy than earlier in the series which
could increase their vulnerability to depletion by fishing. Changing occupancy
and diversity patterns of the community over time is consistent with EDT which
can be exacerbated by direct impacts of fishery removals as well as climate
change impacts on the fish community assemblage.
PMID- 27893774
TI - Multivalent Interactions of Human Primary Amine Oxidase with the V and C22
Domains of Sialic Acid-Binding Immunoglobulin-Like Lectin-9 Regulate Its Binding
and Amine Oxidase Activity.
AB - Sialic acid-binding immunoglobulin-like lectin-9 (Siglec-9) on leukocyte surface
is a counter-receptor for endothelial cell surface adhesin, human primary amine
oxidase (hAOC3), a target protein for anti-inflammatory agents. This interaction
can be used to detect inflammation and cancer in vivo, since the labeled peptides
derived from the second C2 domain (C22) of Siglec-9 specifically bind to the
inflammation-inducible hAOC3. As limited knowledge on the interaction between
Siglec-9 and hAOC3 has hampered both hAOC3-targeted drug design and in vivo
imaging applications, we have now produced and purified the extracellular region
of Siglec-9 (Siglec-9-EC) consisting of the V, C21 and C22 domains, modeled its
3D structure and characterized the hAOC3-Siglec-9 interactions using biophysical
methods and activity/inhibition assays. Our results assign individual, previously
unknown roles for the V and C22 domains. The V domain is responsible for the
unusually tight Siglec-9-hAOC3 interactions whereas the intact C22 domain of
Siglec-9 is required for modulating the enzymatic activity of hAOC3, crucial for
the hAOC3-mediated leukocyte trafficking. By characterizing the Siglec-9-EC
mutants, we could conclude that R120 in the V domain likely interacts with the
terminal sialic acids of hAOC3 attached glycans whereas residues R284 and R290 in
C22 are involved in the interactions with the active site channel of hAOC3.
Furthermore, the C22 domain binding enhances the enzymatic activity of hAOC3
although the sialic acid-binding capacity of the V domain of Siglec-9 is
abolished by the R120S mutation. To conclude, our results prove that the V and
C22 domains of Siglec-9-EC interact with hAOC3 in a multifaceted and unique way,
forming both glycan-mediated and direct protein-protein interactions,
respectively. The reported results on the mechanism of the Siglec-9-hAOC3
interaction are valuable for the development of hAOC3-targeted therapeutics and
diagnostic tools.
PMID- 27893776
TI - Diagnostic Value and Interreader Agreement of the Pancreaticolienal Gap in
Pancreatic Cancer on MDCT.
AB - OBJECTIVE: The aim of this retrospective study was to evaluate the diagnostic
value and measure interreader agreement of the pancreaticolienal gap (PLG) in the
assessment of imaging features of pancreatic carcinoma (PC) on contrast-enhanced
multi-detector computed tomography (CE-MDCT). MATERIALS AND METHODS: CE-MDCT
studies in the portal venous phase were retrospectively reviewed for 66 patients
with PC. The age- and gender-matched control group comprised 103 healthy
individuals. Three radiologists with different levels of experience independently
measured the PLG (the minimum distance of the pancreatic tail to the nearest
border of the spleen) in the axial plane. The interreader agreement of the PLG
and the receiver operating characteristic (ROC) curve was used to calculate the
accuracy of the technique. RESULTS: While the control group (n = 103) showed a
median PLG of 3 mm (Range: 0 - 39mm) the PC patients had a significantly larger
PLG of 15mm (Range: 0 - 53mm)(p < 0.0001). A ROC curve demonstrated a cutoff
value of >12 mm for PC, with a sensitivity of 58.2% (95% CI = 45.5-70.1),
specificity of 84.0% (95% CI = 75.6-90.4) and an area under the ROC curve of
0.714 (95% CI = 0.641 to 0.780). The mean interreader agreement showed
correlation coefficient r of 0.9159. The extent of the PLG did not correlate with
tumor stage but did correlate with pancreatic density (fatty involution) and age,
the density decreased by 4.1 HU and the PLG increased by 0.8 mm within every 10
y. CONCLUSION: The significant interreader agreement supports the use of the PLG
as a characterizing feature of pancreatic cancer independent of the tumor stage
on an axial plane. The increase in the PLG with age may represent physiological
atrophy of the pancreatic tail.
PMID- 27893777
TI - Simulating Next-Generation Sequencing Datasets from Empirical Mutation and
Sequencing Models.
AB - An obstacle to validating and benchmarking methods for genome analysis is that
there are few reference datasets available for which the "ground truth" about the
mutational landscape of the sample genome is known and fully validated.
Additionally, the free and public availability of real human genome datasets is
incompatible with the preservation of donor privacy. In order to better analyze
and understand genomic data, we need test datasets that model all variants,
reflecting known biology as well as sequencing artifacts. Read simulators can
fulfill this requirement, but are often criticized for limited resemblance to
true data and overall inflexibility. We present NEAT (NExt-generation sequencing
Analysis Toolkit), a set of tools that not only includes an easy-to-use read
simulator, but also scripts to facilitate variant comparison and tool evaluation.
NEAT has a wide variety of tunable parameters which can be set manually on the
default model or parameterized using real datasets. The software is freely
available at github.com/zstephens/neat-genreads.
PMID- 27893778
TI - Mycobacterium ulcerans Mouse Model Refinement for Pre-Clinical Profiling of
Vaccine Candidates.
AB - Buruli Ulcer is a neglected tropical disease leading to extensive disabilities
and morbidity in West Africa. In this paper we sought to characterize various
strains of Mycobacterium ulcerans (M.ulcerans) with different origins and
laboratory passage records while refining a mouse model for Buruli ulcer. We
described, compared and followed the kinetics of the histo-pathological outcome
of infection of a collection of strains at various anatomical sites of infection
in order to find a suitable model for further immunization studies. Moreover we
compared the outcome of infection in C57Bl/6 and Balbc/J mice. Specifically we
described thoroughly one M. ulcerans strain characterized by slow growth rate and
limited tissue necrosis, which presents close ressemblance with the infection
kinetics in humans. This strain caused macrophages as well as T and B cells
infiltration, correlating with mycobacterial proliferation at the site of
infection as well as in the draining lymph nodes, making it a suitable strain to
screen vaccine candidates efficacy.
PMID- 27893780
TI - Identifying Key Drivers of Return Reversal with Dynamical Bayesian Factor Graph.
AB - In the stock market, return reversal occurs when investors sell overbought stocks
and buy oversold stocks, reversing the stocks' price trends. In this paper, we
develop a new method to identify key drivers of return reversal by incorporating
a comprehensive set of factors derived from different economic theories into one
unified dynamical Bayesian factor graph. We then use the model to depict factor
relationships and their dynamics, from which we make some interesting discoveries
about the mechanism behind return reversals. Through extensive experiments on the
US stock market, we conclude that among the various factors, the liquidity
factors consistently emerge as key drivers of return reversal, which is in
support of the theory of liquidity effect. Specifically, we find that stocks with
high turnover rates or high Amihud illiquidity measures have a greater
probability of experiencing return reversals. Apart from the consistent drivers,
we find other drivers of return reversal that generally change from year to year,
and they serve as important characteristics for evaluating the trends of stock
returns. Besides, we also identify some seldom discussed yet enlightening inter
factor relationships, one of which shows that stocks in Finance and Insurance
industry are more likely to have high Amihud illiquidity measures in comparison
with those in other industries. These conclusions are robust for return reversals
under different thresholds.
PMID- 27893779
TI - Rapid Recovery of Visual Function Associated with Blue Cone Ablation in
Zebrafish.
AB - Hurdles in the treatment of retinal degeneration include managing the functional
rewiring of surviving photoreceptors and integration of any newly added cells
into the remaining second-order retinal neurons. Zebrafish are the premier
genetic model for such questions, and we present two new transgenic lines
allowing us to contrast vision loss and recovery following conditional ablation
of specific cone types: UV or blue cones. The ablation of each cone type proved
to be thorough (killing 80% of cells in each intended cone class), specific, and
cell-autonomous. We assessed the loss and recovery of vision in larvae via the
optomotor behavioural response (OMR). This visually mediated behaviour decreased
to about 5% or 20% of control levels following ablation of UV or blue cones,
respectively (P<0.05). We further assessed ocular photoreception by measuring the
effects of UV light on body pigmentation, and observed that photoreceptor
deficits and recovery occurred (p<0.01) with a timeline coincident to the OMR
results. This corroborated and extended previous conclusions that UV cones are
required photoreceptors for modulating body pigmentation, addressing assumptions
that were unavoidable in previous experiments. Functional vision recovery
following UV cone ablation was robust, as measured by both assays, returning to
control levels within four days. In contrast, robust functional recovery
following blue cone ablation was unexpectedly rapid, returning to normal levels
within 24 hours after ablation. Ablation of cones led to increased proliferation
in the retina, though the rapid recovery of vision following blue cone ablation
was demonstrated to not be mediated by blue cone regeneration. Thus rapid visual
recovery occurs following ablation of some, but not all, cone subtypes,
suggesting an opportunity to contrast and dissect the sources and mechanisms of
outer retinal recovery during cone photoreceptor death and regeneration.
PMID- 27893781
TI - Salicylic Acid Is Involved in the Basal Resistance of Tomato Plants to Citrus
Exocortis Viroid and Tomato Spotted Wilt Virus.
AB - Tomato plants expressing the NahG transgene, which prevents accumulation of
endogenous salicylic acid (SA), were used to study the importance of the SA
signalling pathway in basal defence against Citrus Exocortis Viroid (CEVd) or
Tomato Spotted Wilt Virus (TSWV). The lack of SA accumulation in the CEVd- or
TSWV-infected NahG tomato plants led to an early and dramatic disease phenotype,
as compared to that observed in the corresponding parental Money Maker. Addition
of acibenzolar-S-methyl, a benzothiadiazole (BTH), which activates the systemic
acquired resistance pathway downstream of SA signalling, improves resistance of
NahG tomato plants to CEVd and TSWV. CEVd and TSWV inoculation induced the
accumulation of the hydroxycinnamic amides p-coumaroyltyramine, feruloyltyramine,
caffeoylputrescine, and feruloylputrescine, and the defence related proteins PR1
and P23 in NahG plants earlier and with more intensity than in Money Maker
plants, indicating that SA is not essential for the induction of these plant
defence metabolites and proteins. In addition, NahG plants produced very high
levels of ethylene upon CEVd or TSWV infection when compared with infected Money
Maker plants, indicating that the absence of SA produced additional effects on
other metabolic pathways. This is the first report to show that SA is an
important component of basal resistance of tomato plants to both CEVd and TSWV,
indicating that SA-dependent defence mechanisms play a key role in limiting the
severity of symptoms in CEVd- and TSWV-infected NahG tomato plants.
PMID- 27893782
TI - Beneficial Effects of Metformin and/or Salicylate on Palmitate- or TNFalpha
Induced Neuroinflammatory Marker and Neuropeptide Gene Regulation in Immortalized
NPY/AgRP Neurons.
AB - Neuropeptide Y (NPY)/Agouti-related peptide (AgRP)-expressing neurons in the
hypothalamus induce feeding and decrease energy expenditure. With consumption of
a diet high in fat, there is an increase in circulating saturated free fatty
acids, including palmitate, leading to the development of neuroinflammation and
secretion of cytokines, such as TNFalpha, and in turn activation of the canonical
IKKbeta/NFkappaB cascade. We describe a model of palmitate- and TNFalpha-induced
neuroinflammation in a functionally characterized, immortalized NPY/AgRP
expressing cell model, mHypoE-46, to study whether the anti-diabetic metformin
alone or in combination with the anti-inflammatory agent salicylate can
ameliorate these detrimental effects. Treatment with palmitate increased mRNA
expression of feeding peptides Npy and Agrp, and inflammatory cytokines Tnfa and
Il-6, whereas treatment with TNFalpha increased mRNA expression of Npy, Nfkb,
Ikba, Tnfa, and Il-6. The effects of metformin and/or sodium salicylate on these
genes were assessed. Metformin increased phosphorylation of AMPK and S6K, while
sodium salicylate increased phospho-AMPK and decreased phospho-S6K, but neither
had any effect on phospho-ERK, -JNK or -p38 in the mHypoE-46 NPY/AgRP neurons.
Furthermore, we utilized a pre-treatment and/or co-treatment paradigm to model
potential clinical regimens. We determined co-treatment with metformin or sodium
salicylate alone was successful in alleviating changes observed in feeding
peptide mRNA regulation, whereas a preventative pre-treatment with metformin and
sodium salicylate together was able to alleviate palmitate- and TNFalpha-induced
induction of NPY and/or AgRP mRNA levels. These results highlight important
differences in reactive versus preventative treatments on palmitate- and TNFalpha
induced neuroinflammation in NPY/AgRP neurons.
PMID- 27893783
TI - Role of PTEN in Oxidative Stress and DNA Damage in the Liver of Whole-Body Pten
Haplodeficient Mice.
AB - Type 2 diabetes (T2DM) and obesity are frequently associated with non-alcoholic
fatty liver disease (NAFLD) and with an elevated cancer incidence. The molecular
mechanisms of carcinogenesis in this context are only partially understood. High
blood insulin levels are typical in early T2DM and excessive insulin can cause
elevated reactive oxygen species (ROS) production and genomic instability. ROS
are important for various cellular functions in signaling and host defense.
However, elevated ROS formation is thought to be involved in cancer induction. In
the molecular events from insulin receptor binding to genomic damage, some
signaling steps have been identified, pointing at the PI3K/AKT pathway. For
further elucidation Phosphatase and Tensin homolog (Pten), a tumour suppressor
phosphatase that plays a role in insulin signaling by negative regulation of
PI3K/AKT and its downstream targets, was investigated here. Dihydroethidium (DHE)
staining was used to detect ROS formation in immortalized human hepatocytes.
Comet assay and micronucleus test were performed to investigate genomic damage in
vitro. In liver samples, DHE staining and western blot detection of HSP70 and HO
1 were performed to evaluate oxidative stress response. DNA double strand breaks
(DSBs) were detected by immunohistostaining. Inhibition of PTEN with the
pharmacologic inhibitor VO-OHpic resulted in increased ROS production and genomic
damage in a liver cell line. Knockdown of Pten in a mouse model yielded increased
oxidative stress levels, detected by ROS levels and expression of the two stress
proteins HSP70 and HO-1 and elevated genomic damage in the liver, which was
significant in mice fed with a high fat diet. We conclude that PTEN is involved
in oxidative stress and genomic damage induction in vitro and that this may also
explain the in vivo observations. This further supports the hypothesis that the
PI3K/AKT pathway is responsible for damaging effects of high levels of insulin.
PMID- 27893784
TI - Circadian Variation of Plasminogen-Activator-Inhibitor-1 Levels in Children with
Meningococcal Sepsis.
AB - OBJECTIVE: To study whether the circadian variation of plasminogen-activator
inhibitor-1 (PAI-1) levels, with high morning levels, is associated with poor
outcome of children with meningococcal sepsis presenting in the morning hours.
DESIGN: Retrospective analysis of prospectively collected clinical and laboratory
data. SETTING: Single center study at Erasmus MC-Sophia Children's Hospital,
Rotterdam, the Netherlands. SUBJECTS: 184 patients aged 3 weeks to 18 years with
meningococcal sepsis. In 36 of these children, PAI-1 levels at admission to the
PICU were measured in plasma by ELISA. INTERVENTIONS: None. MEASUREMENTS AND MAIN
RESULTS: Circadian variation was studied by dividing one day in blocks of 6
hours. Patients admitted between 6:00 am and 12:00 am had increased illness
severity scores and higher PAI-1 levels (n = 9, median 6912 ng/mL, IQR 5808
15600) compared to patients admitted at night (P = 0.019, n = 9, median 3546
ng/mL, IQR 1668-6118) or in the afternoon (P = 0.007, n = 7, median 4224 ng/mL,
IQR 1804-5790). In 184 patients, analysis of circadian variation in relation to
outcome showed more deaths, amputations and need for skin grafts in patients
admitted to the PICU between 6:00 am and 12:00 am than patients admitted during
the rest of the day (P = 0.009). CONCLUSIONS: Circadian variation of PAI-1 levels
is present in children with meningococcal sepsis and is associated with illness
severity, with a peak level in the morning. Whether circadian variation is an
independent risk factor for morbidity and mortality in meningococcal sepsis needs
to be explored in future studies.
PMID- 27893785
TI - Are Older Adults Physically Active Enough - A Matter of Assessment Method? The
Generation 100 Study.
AB - INTRODUCTION: Physical activity (PA) is beneficial for general health. As a
result, adults around the world are recommended to undertake regular PA of either
absolute or relative intensity. Traditionally, adherence to PA recommendation is
assessed by accelerometers that record absolute intensity thresholds. Since
ageing often results in a decrease in cardiorespiratory fitness (CRF), older
adults (aged > 65 years) might be more susceptible to not meeting the PA
recommendation when measured in absolute terms. The aim of the present study was
to compare the adherence to the PA recommendation using both absolute and
relative thresholds. Additionally, we aimed to report the reference values for
overall PA in a large sample of Norwegian older adults. METHODS: PA was assessed
for 7 days using the Actigraph GT3X+ accelerometer in 1219 older adults (624
females) aged 70-77 years. Overall PA was measured as counts per minute (CPM) and
steps. Absolute and relative moderate-to-vigorous PA (MVPA) thresholds were
applied to quantify adherence to PA recommendation. The relative MVPA thresholds
were developed specifically for the Generation 100 population sample. CRF was
directly measured as peak oxygen uptake (VO2peak). RESULTS: Proportions meeting
PA recommendation were 29% and 71% when utilizing absolute and relative MVPA,
respectively. More females met the relative PA recommendation compared to males.
Overall PA was higher among the youngest age group. Older adults with medium- and
high levels of CRF were more physically active, compared to those with the lowest
levels of CRF. CONCLUSION: This is the first study to compare adherence to PA
recommendation, using absolute and relative intensity thresholds among older
adults. The present study clearly illustrates the consequences of using different
methodological approaches to surveillance of PA across age, gender and CRF in a
population of older adults.
PMID- 27893786
TI - Extending Integrate-and-Fire Model Neurons to Account for the Effects of Weak
Electric Fields and Input Filtering Mediated by the Dendrite.
AB - Transcranial brain stimulation and evidence of ephaptic coupling have recently
sparked strong interests in understanding the effects of weak electric fields on
the dynamics of brain networks and of coupled populations of neurons. The
collective dynamics of large neuronal populations can be efficiently studied
using single-compartment (point) model neurons of the integrate-and-fire (IF)
type as their elements. These models, however, lack the dendritic morphology
required to biophysically describe the effect of an extracellular electric field
on the neuronal membrane voltage. Here, we extend the IF point neuron models to
accurately reflect morphology dependent electric field effects extracted from a
canonical spatial "ball-and-stick" (BS) neuron model. Even in the absence of an
extracellular field, neuronal morphology by itself strongly affects the cellular
response properties. We, therefore, derive additional components for leaky and
nonlinear IF neuron models to reproduce the subthreshold voltage and spiking
dynamics of the BS model exposed to both fluctuating somatic and dendritic inputs
and an extracellular electric field. We show that an oscillatory electric field
causes spike rate resonance, or equivalently, pronounced spike to field
coherence. Its resonance frequency depends on the location of the synaptic
background inputs. For somatic inputs the resonance appears in the beta and gamma
frequency range, whereas for distal dendritic inputs it is shifted to even higher
frequencies. Irrespective of an external electric field, the presence of a
dendritic cable attenuates the subthreshold response at the soma to slowly
varying somatic inputs while implementing a low-pass filter for distal dendritic
inputs. Our point neuron model extension is straightforward to implement and is
computationally much more efficient compared to the original BS model. It is well
suited for studying the dynamics of large populations of neurons with
heterogeneous dendritic morphology with (and without) the influence of weak
external electric fields.
PMID- 27893787
TI - Selection Signatures in Four Lignin Genes from Switchgrass Populations
Divergently Selected for In Vitro Dry Matter Digestibility.
AB - Switchgrass is undergoing development as a dedicated cellulosic bioenergy crop.
Fermentation of lignocellulosic biomass to ethanol in a bioenergy system or to
volatile fatty acids in a livestock production system is strongly and negatively
influenced by lignification of cell walls. This study detects specific loci that
exhibit selection signatures across switchgrass breeding populations that differ
in in vitro dry matter digestibility (IVDMD), ethanol yield, and lignin
concentration. Allele frequency changes in candidate genes were used to detect
loci under selection. Out of the 183 polymorphisms identified in the four
candidate genes, twenty-five loci in the intron regions and four loci in coding
regions were found to display a selection signature. All loci in the coding
regions are synonymous substitutions. Selection in both directions were observed
on polymorphisms that appeared to be under selection. Genetic diversity and
linkage disequilibrium within the candidate genes were low. The recurrent
divergent selection caused excessive moderate allele frequencies in the cycle 3
reduced lignin population as compared to the base population. This study provides
valuable insight on genetic changes occurring in short-term selection in the
polyploid populations, and discovered potential markers for breeding switchgrass
with improved biomass quality.
PMID- 27893789
TI - Characterization of the Canine MHC Class I DLA-88*50101 Peptide Binding Motif as
a Prerequisite for Canine T Cell Immunotherapy.
AB - There are limitations in pre-clinical settings using mice as a basis for clinical
development in humans. In cancer, similarities exist between humans and dogs;
thus, the dog patient can be a link in the transition from laboratory research on
mouse models to clinical trials in humans. Knowledge of the peptides presented on
MHC molecules is fundamental for the development of highly specific T cell-based
immunotherapies. This information is available for human MHC molecules but is
absent for the canine MHC. In the present study, we characterized the binding
motif of dog leukocyte antigen (DLA) class I allele DLA-88*50101, using human C1R
and K562 transfected cells expressing the DLA-88*50101 heavy chain. MHC class I
immunoaffinity-purification revealed 3720 DLA-88*50101 derived peptides, which
enabled the determination of major anchor positions. The characterized binding
motif of DLA-88*50101 was similar to HLA-A*02:01. Peptide binding analyses on HLA
A*02:01 and DLA-88*50101 via flow cytometry showed weak binding of DLA-88*50101
derived peptides to HLA-A*02:01, and vice versa. Our results present for the
first time a detailed peptide binding motif of the canine MHC class I allelic
product DLA-88*50101. These data support the goal of establishing dogs as a
suitable animal model for the evaluation and development of T cell-based cancer
immunotherapies, benefiting both dog and human patients.
PMID- 27893788
TI - Effects of High-Fat Diet on Stress Response in Male and Female Wildtype and
Prolactin Knockout Mice.
AB - Prolactin (PRL) is well characterized for its roles in initiation and maintenance
of lactation, and it also suppresses stress-induced responses. Feeding a high-fat
diet (HFD) disrupts activity of the hypothalamic-pituitary-adrenal (HPA) axis.
Whether PRL regulates HPA axis activation under HFD feeding is not clear. Male
and female wildtype (WT) and PRL knockout (KO) mice were fed either a standard
low-fat diet (LFD) or HFD for 12 weeks. Circulating corticosterone (CORT) levels
were measured before, during, and after mice were subjected to an acute restraint
stress or remained in their home cages as no stress controls. HFD feeding
increased leptin levels, but the increase was lower in KO than in WT mice. All
stressed female groups and only LFD-fed stressed males had elevated CORT levels
compared to their no stress same-sex counterparts regardless of genotype. These
results indicated that HFD consumption blunted the HPA axis response to acute
stress in males but not females. Additionally, basal hypothalamic CRH content was
lower in HFD than LFD males, but was similar among female groups. Furthermore,
although basal CORT levels were similar among KO and WT groups, CORT levels were
higher in KO mice than their WT counterparts during stress, suggesting that loss
of PRL led to greater HPA axis activation. Basal PRL receptor mRNA levels in the
choroid plexus were higher in HFD than LFD same-sex counterparts, suggesting
activation of central PRL's action by HFD feeding in both males and females.
Current results confirmed PRL's roles in suppression of the stress-induced HPA
axis activation. Although HFD feeding activated central PRL's action in both
sexes, only the male HPA axis was dampened by HFD feeding.
PMID- 27893790
TI - Detection of a cfr(B) Variant in German Enterococcus faecium Clinical Isolates
and the Impact on Linezolid Resistance in Enterococcus spp.
AB - The National Reference Centre for Staphylococci and Enterococci in Germany has
received an increasing number of clinical linezolid-resistant E. faecium isolates
in recent years. Five isolates harbored a cfr(B) variant gene locus the product
of which is capable of conferring linezolid resistance. The cfr(B)-like
methyltransferase gene was also detected in Clostridium difficile. Antimicrobial
susceptibility was determined for cfr(B)-positive and linezolid-resistant E.
faecium isolates and two isogenic C. difficile strains. All strains were
subjected to whole genome sequencing and analyzed with respect to mutations in
the 23S rDNA, rplC, rplD and rplV genes and integration sites of the cfr(B)
variant locus. To evaluate methyltransferase function, the cfr(B) variant of
Enterococcus and Clostridium was expressed in both E. coli and Enterococcus spp.
Ribosomal target site mutations were detected in E. faecium strains but absent in
clostridia. Sequencing revealed 99.9% identity between cfr(B) of Enterococcus and
cfr of Clostridium. The methyltransferase gene is encoded by transposon Tn6218
which was present in C. difficile Ox3196, truncated in some E. faecium and absent
in C. difficile Ox3206. The latter finding explains the lack of linezolid and
chloramphenicol resistance in C. difficile Ox3206 and demonstrates for the first
time a direct correlation of elevated linezolid MICs in C. difficile upon cfr
acquisition. Tn6218 insertion sites revealed novel target loci for integration,
both within the bacterial chromosome and as an integral part of plasmids.
Importantly, the very first plasmid-association of a cfr(B) variant was observed.
Although we failed to measure cfr(B)-mediated resistance in transformed
laboratory strains the occurrence of the multidrug resistance gene cfr on
putatively highly mobile and/or extrachromosomal DNA in clinical isolates is
worrisome with respect to dissemination of antibiotic resistances.
PMID- 27893791
TI - Toward a Nonspeech Test of Auditory Cognition: Semantic Context Effects in
Environmental Sound Identification in Adults of Varying Age and Hearing
Abilities.
AB - OBJECTIVE: Sounds in everyday environments tend to follow one another as events
unfold over time. The tacit knowledge of contextual relationships among
environmental sounds can influence their perception. We examined the effect of
semantic context on the identification of sequences of environmental sounds by
adults of varying age and hearing abilities, with an aim to develop a nonspeech
test of auditory cognition. METHOD: The familiar environmental sound test (FEST)
consisted of 25 individual sounds arranged into ten five-sound sequences: five
contextually coherent and five incoherent. After hearing each sequence, listeners
identified each sound and arranged them in the presentation order. FEST was
administered to young normal-hearing, middle-to-older normal-hearing, and middle
to-older hearing-impaired adults (Experiment 1), and to postlingual cochlear
implant users and young normal-hearing adults tested through vocoder-simulated
implants (Experiment 2). RESULTS: FEST scores revealed a strong positive effect
of semantic context in all listener groups, with young normal-hearing listeners
outperforming other groups. FEST scores also correlated with other measures of
cognitive ability, and for CI users, with the intelligibility of speech-in-noise.
CONCLUSIONS: Being sensitive to semantic context effects, FEST can serve as a
nonspeech test of auditory cognition for diverse listener populations to assess
and potentially improve everyday listening skills.
PMID- 27893792
TI - Renal Cell Carcinoma Associated with Xp11.2 Translocation/TFE3 Gene Fusions:
Clinical Features, Treatments and Prognosis.
AB - To investigate the clinical characteristics, treatments and prognosis of renal
cell carcinoma associated with Xp11.2 translocation/TFE3 gene fusions (Xp11.2
tRCC), the epidemiological features and treatment results of 34 cases of Xp11.2
tRCC, which were diagnosed by immunohistochemistry staining of TFE3 and
fluorescence in situ hybridization at our center, were retrospectively reviewed.
The 34 patients included 21 females and 13 males aged 3 to 64 years (median age:
27 years). Four patients were children or adolescents (<18 years of age), and 26
patients were young or middle-aged adults (18-45 years). Radical nephrectomy was
performed on 25 patients. Laparoscopic nephron-sparing surgery was performed on 9
patients who presented with an isolated mass with a small diameter (<7 cm) and
well-defined boundary on computed tomography imaging. Postoperative staging
showed that 25 cases (73.53%) were at stage I/II, while 9 cases (26.47%) were at
stage III/IV. All stage I/II patients received a favorable prognosis with a three
year overall survival rate of 100%, including the patients who underwent
laparoscopic nephron-sparing surgery. With the exception of 2 children, the other
7 stage III/IV patients died or developed recurrence with a median follow-up of
29 months. On univariate analysis, maximum diameter, adjuvant treatment, TNM
stage, lymph node metastasis, inferior vena cava tumor thrombosis and tumor
boundary were identified as statistically significant factors impacting survival
(P<0.05). Multivariate analysis indicated that TNM stage and inferior vena cava
tumor thrombosis were independent prognostic factors (P<0.05). In conclusion,
Xp11.2 tRCC is a rare subtype of renal cell carcinoma that mainly occurs in young
females. Nephron-sparing surgery was confirmed effective preliminarily in the
treatment of small Xp11.2 tRCCs with clear rims. Advanced TNM stage and inferior
vena cava tumor thrombosis were associated with poor prognosis.
PMID- 27893794
TI - Single Amino Acid Repeats in the Proteome World: Structural, Functional, and
Evolutionary Insights.
AB - Microsatellites or simple sequence repeats (SSR) are abundant, highly diverse
stretches of short DNA repeats present in all genomes. Tandem
mono/tri/hexanucleotide repeats in the coding regions contribute to single amino
acids repeats (SAARs) in the proteome. While SSRs in the coding region always
result in amino acid repeats, a majority of SAARs arise due to a combination of
various codons representing the same amino acid and not as a consequence of SSR
events. Certain amino acids are abundant in repeat regions indicating a positive
selection pressure behind the accumulation of SAARs. By analysing 22 proteomes
including the human proteome, we explored the functional and structural
relationship of amino acid repeats in an evolutionary context. Only ~15% of
repeats are present in any known functional domain, while ~74% of repeats are
present in the disordered regions, suggesting that SAARs add to the functionality
of proteins by providing flexibility, stability and act as linker elements
between domains. Comparison of SAAR containing proteins across species reveals
that while shorter repeats are conserved among orthologs, proteins with longer
repeats, >15 amino acids, are unique to the respective organism. Lysine repeats
are well conserved among orthologs with respect to their length and number of
occurrences in a protein. Other amino acids such as glutamic acid, proline,
serine and alanine repeats are generally conserved among the orthologs with
varying repeat lengths. These findings suggest that SAARs have accumulated in the
proteome under positive selection pressure and that they provide flexibility for
optimal folding of functional/structural domains of proteins. The insights gained
from our observations can help in effective designing and engineering of proteins
with novel features.
PMID- 27893793
TI - Field Trial of an Aerially-Distributed Tuberculosis Vaccine in a Low-Density
Wildlife Population of Brushtail Possums (Trichosurus vulpecula).
AB - Oral-delivery Mycobacterium bovis bacillus Calmette-Guerin (BCG) vaccine in a
lipid matrix has been shown to confer protection against M. bovis infection and
reduce the severity of tuberculosis (TB) when fed to brushtail possums
(Trichosurus vulpecula), the major wildlife vector of bovine TB in New Zealand.
Here we demonstrate the feasibility of aerial delivery of this live vaccine in
bait form to an M. bovis-infected wild possum population, and subsequently assess
vaccine uptake and field efficacy. Pre-trial studies indicated a resident possum
population at very low density (<0.6 possums/ha) at the field site, with a 5.1%
prevalence of macroscopic TB lesions. Pilot studies indicated that flavoured
lipid matrix baits in weather-proof sachets could be successfully sown aerially
via helicopter and were palatable to, and likely to be consumed by, a majority of
wild possums under free-choice conditions. Subsequently, sachet-held lipid baits
containing live BCG vaccine were sown at 3 baits/ha over a 1360 ha area, equating
to >5 baits available per possum. Blood sampling conducted two months later
provided some evidence of vaccine uptake. A necropsy survey conducted one year
later identified a lower prevalence of culture-confirmed M. bovis infection
and/or gross TB lesions among adult possums in vaccinated areas (1.1% prevalence;
95% CI, 0-3.3%, n = 92) than in unvaccinated areas (5.6%; 0.7-10.5%, n = 89); P =
0.098. Although not statistically different, the 81% efficacy in protecting
possums against natural infection calculated from these data is within the range
of previous estimates of vaccine efficacy in trials where BCG vaccine was
delivered manually. We conclude that, with further straightforward refinement to
improve free-choice uptake, aerial delivery of oral BCG vaccine is likely to be
effective in controlling TB in wild possums. We briefly discuss contexts in which
this could potentially become an important complementary tool in achieving
national eradication of TB from New Zealand wildlife.
PMID- 27893796
TI - Attitudes to Mental Illness and Its Demographic Correlates among General
Population in Singapore.
AB - BACKGROUND: Public attitudes to mental illness could influence how the public
interact with, provide opportunities for, and help people with mental illness.
AIMS: This study aims to explore the underlying factors of the Attitudes to
Mental Illness questionnaire among the general population in Singapore and the
socio-demographic correlates of each factor. METHODS: From March 2014 to April
2015, a nation-wide cross-sectional survey on mental health literacy with 3,006
participants was conducted in Singapore. RESULTS: Factor analysis revealed a 4
factor structure for the Attitudes to Mental Illness questionnaire among the
Singapore general population, namely social distancing, tolerance/support for
community care, social restrictiveness, and prejudice and misconception. Older
age, male gender, lower education and socio-economic status were associated with
more negative attitudes towards the mentally ill. Chinese showed more negative
attitudes than Indians and Malays (except for prejudice and misconception).
CONCLUSIONS: There is a need for culture-specific interventions, and the
associated factors identified in this study should be considered for future
attitude campaigns.
PMID- 27893795
TI - Virulence of Mycobacterium tuberculosis after Acquisition of Isoniazid
Resistance: Individual Nature of katG Mutants and the Possible Role of AhpC.
AB - In the last decade, there were 10 million new tuberculosis cases per year
globally. Around 9.5% of these cases were caused by isoniazid resistant (INHr)
Mycobacterium tuberculosis (Mtb) strains. Although isoniazid resistance in Mtb is
multigenic, mutations in the catalase-peroxidase (katG) gene predominate among
the INHr strains. The effect of these drug-resistance-conferring mutations on Mtb
fitness and virulence is variable. Here, we assessed differences in bacterial
growth, immune response and pathology induced by Mtb strains harboring mutations
at the N-terminus of the katG gene. We studied one laboratory and one clinically
isolated Mtb clonal pair from different genetic lineages. The INHr strain in each
pair had one and two katG mutations with significantly reduced levels of the
enzyme and peroxidase activity. Both strains share the V1A mutation, while the
double mutant clinical INHr had also the novel E3V katG mutation. Four groups of
C57BL/6 mice were infected with one of the Mtb strains previously described. We
observed a strong reduction in virulence (reduced bacterial growth), lower
induction of proinflammatory cytokines and significantly reduced pathology scores
in mice infected with the clinical INHr strain compared to the infection caused
by its INHs progenitor strain. On the other hand, there was a subtle reduction of
bacteria growth without differences in the pathology scores in mice infected with
the laboratory INHr strain. Our results also showed distinct alkyl
hydroperoxidase C (AhpC) levels in the katG mutant strains, which could explain
the difference in the virulence profile observed. The difference in the AhpC
levels between clonal strains was not related to a genetic defect in the gene or
its promoter. Cumulatively, our results indicate that the virulence, pathology
and fitness of INHr strains could be negatively affected by multiple mutations in
katG, lack of the peroxidase activity and reduced AhpC levels.
PMID- 27893798
TI - Diversity and Impacts of Mining on the Non-Volant Small Mammal Communities of Two
Vegetation Types in the Brazilian Amazon.
AB - The Carajas National Forest contains some of the largest iron ore deposits in the
world. The majority of the minerals are found below a plant community known as
Savana Metalofila, or "Canga", which represents only 3% of the landscape within
the Carajas National Forest (CNF). The aim of our study was to understand the
diversity of community of non-volant small mammals in the two predominant
vegetation types: Ombrophilous Forest and Canga, and to examine how mining
impacts these communities. Sampling was conducted from January 2010 to August
2011 in 11 sampling sites divided by the total area of Canga and 12 sampling
sites in the forest, totalizing 23 sites. Of these, 12 sites (Canga and Forest)
were considered impacted areas located close to the mine (<< 900 meters) and 11
sites (Canga and Forest), serving as controls, which were at least 7,000 meters
from the mine. We recorded 28 species, 11 from the Order Didelphimorphia and 17
from the Order Rodentia. The two forest types shared 68.42% of the species found
in the CNF. A gradient analysis (Non-metric multidimensional scaling) revealed
that the first axis clearly separated the non-flying small mammal communities by
vegetation type. Occupancy models showed that the detectability of species was
affected by the distance from the mining activities. Of all the small mammals
analyzed, 10 species were positively affected by the distance from mining in
areas impacted (e.g. more likely to be detected farther from mining areas) and
detectability was lower in impacted areas. However, three species were negatively
affected by the distance from mining, with higher detectability in the impacted
areas, and seven species showed no effect of their proximity to mining
operations. To date, there are no studies in Brazil about the impact of mining on
mammals or other vertebrates. This study reveals that the effect of mining may go
beyond the forest destruction caused by the opening of the mining pits, but also
may negatively affect sensitive wildlife species.
PMID- 27893797
TI - Risk Factors for Multiple Organ Dysfunction Syndrome in Severe Stroke Patients.
AB - BACKGROUND: Severe stroke patients have poor clinical outcome which may be
associated with development of multiple organ dysfunction syndrome (MODS).
Therefore, the aim of our study was to investigate independent risk factors for
development of MODS in severe stroke patients. METHODS: Ninety seven severe
stroke patients were prospective recruited from Jan 2011 to Jun 2015. The
development of MODS was identified by Sequential Organ Failure Assessment (SOFA)
score (score >= 3, at least two organs), which was assessed on day 1, 4, 7, 10
and 14 after admission. Baseline characteristics, Acute Physiology and Chronic
Health Evaluation (APACHE) II score, Glasgow coma score (GCS) and cerebral
imaging parameters were collected at admission. Cox regression was performed to
determine predictors for the development of MODS. Medical complications after
admission and in-hospital mortality were also investigated. RESULTS: 33 (34%)
patients were in MODS group and 64 (66%) were in non-MODS group within 14 days
after admission. Patients in MODS group had more smoker (51.5% vs 28.1%, p =
0.023), higher NIHSS score (23.48 +/- 6.12 vs 19.81 +/- 4.83, p = 0.004), higher
APACHE II score (18.70 +/- 5.18 vs 15.64 +/- 4.36, p = 0.003) and lower GCS score
(6.33 +/- 2.48 vs 8.14 +/- 2.73, p = 0.002). They also had higher rate of
infarction in multi vascular territories (36.4% vs 10.9%, p = 0.003). The most
common complication in all patients was pulmonary infection, while complication
scores were comparable between two groups. Patients with MODS had higher in
hospital mortality (69.7% vs 9.4%, p = 0.000). In Cox regression, NIHSS score (RR
= 1.084, 95% CI 1.019-1.153) and infarction in multi vascular territories (RR =
2.345 95% CI 1.105-4.978) were independent risk factors for development of MODS.
CONCLUSIONS: In acute phase of stroke, NIHSS score and infarction in multi
vascular territories predicted MODS in severe stroke patients. Moreover, patients
with MODS had higher in-hospital mortality, suggesting that early identification
of MODS is critical important.
PMID- 27893799
TI - Tobacco Smoking and Tuberculosis among Men Living with HIV in Johannesburg, South
Africa: A Case-Control Study.
AB - SETTING: Although there is ample evidence that smoking increases the risk of
tuberculosis (TB), the magnitude of impact on TB risk among HIV-infected persons
is poorly described. Given that a high proportion of patients with TB are co
infected with HIV in South Africa, the risks arising from the intersection of
smoking, TB, and HIV/AIDS have key relevance for tobacco control policies.
OBJECTIVE: To evaluate the association of pulmonary tuberculosis (PTB) with
current tobacco smoking among men with HIV in South Africa. DESIGN: Case-control
study of antiretroviral therapy naive men with confirmed HIV-infection in
Johannesburg. Cases had laboratory-confirmed PTB and controls had no evidence of
active TB. Participants were interviewed to collect detailed smoking histories.
RESULTS: We enrolled 146 men diagnosed with PTB and 133 controls. Overall, 33% of
participants were currently smoking, defined as smoking a cigarette within 2
months (34% cases vs. 32% controls, p = 0.27). Median CD4 count was lower (60 vs.
81 cells/mm3, P = 0.03) and median viral load was higher (173 vs. 67 copies/ul
per thousand, P<0.001) among cases versus controls. In adjusted analyses, current
smoking tripled the odds of PTB (aOR 3.2; 95%CI: 1.3-7.9, P = 0.01) and former
smoking nearly doubled the odds of PTB (aOR 1.8; 95%CI 0.8-4.4, P = 0.18)
compared to never smoking. CONCLUSIONS: Males with HIV that smoke are at greater
odds for developing PTB than non-smokers. Extensive smoking cessation programs
are needed to reduce odds of TB and promote health among adults living with HIV.
PMID- 27893800
TI - NGO Partnerships in Using Ecotourism for Conservation: Systematic Review and Meta
Analysis.
AB - We analyse 214 cases worldwide where non-governmental organisations (NGOs) use
ecotourism for conservation. Other stakeholders in these initiatives include
local communities, the private sector, and government agencies. Stakeholder
relationships determine NGO roles and project management structures and
governance. We classified cases into 10 structural categories based on the
initiating stakeholder and the NGO role, and used these categories to analyze
geographic patterns and success factors. Most of the 214 cases are community
based (~170; 79%); most are in developing countries (190; 89%); and most are in
protected areas (196; 91%). Frequencies of structural categories differ between
continents. More cases in Latin America and Asia are initiated by NGOs and local
communities, and more in Africa by the private sector. Case-study authors used a
range of economic, socio-cultural and environmental criteria to judge whether
projects were successful. At global scale, we found no significant association
between project success and the involvement of private tourism entrepreneurs.
Projects involving either local or international NGOs had higher success rates
than those that involved both simultaneously. Future research could adopt
political ecology approaches to examine: the factors that lead NGOs to adopt
ecotourism enterprises; their internal decision-making processes and strategies;
their interactions with the stakeholders involved; and their conservation goals
and outcomes.
PMID- 27893801
TI - Pulsatility Index as a Diagnostic Parameter of Reciprocating Wall Shear Stress
Parameters in Physiological Pulsating Waveforms.
AB - Arterial wall shear stress (WSS) parameters are widely used for prediction of the
initiation and development of atherosclerosis and arterial pathologies.
Traditional clinical evaluation of arterial condition relies on correlations of
WSS parameters with average flow rate (Q) and heart rate (HR) measurements. We
show that for pulsating flow waveforms in a straight tube with flow reversals
that lead to significant reciprocating WSS, the measurements of HR and Q are not
sufficient for prediction of WSS parameters. Therefore, we suggest adding a third
quantity-known as the pulsatility index (PI)-which is defined as the peak-to-peak
flow rate amplitude normalized by Q. We examine several pulsating flow waveforms
with and without flow reversals using a simulation of a Womersley model in a
straight rigid tube and validate the simulations through experimental study using
particle image velocimetry (PIV). The results indicate that clinically relevant
WSS parameters such as the percentage of negative WSS (P[%]), oscillating shear
index (OSI) and the ratio of minimum to maximum shear stress rates (min/max), are
better predicted when the PI is used in conjunction with HR and Q. Therefore, we
propose to use PI as an additional and essential diagnostic quantity for improved
predictability of the reciprocating WSS.
PMID- 27893803
TI - Performance Evaluation Model for Application Layer Firewalls.
AB - Application layer firewalls protect the trusted area network against information
security risks. However, firewall performance may affect user experience.
Therefore, performance analysis plays a significant role in the evaluation of
application layer firewalls. This paper presents an analytic model of the
application layer firewall, based on a system analysis to evaluate the capability
of the firewall. In order to enable users to improve the performance of the
application layer firewall with limited resources, resource allocation was
evaluated to obtain the optimal resource allocation scheme in terms of
throughput, delay, and packet loss rate. The proposed model employs the Erlangian
queuing model to analyze the performance parameters of the system with regard to
the three layers (network, transport, and application layers). Then, the analysis
results of all the layers are combined to obtain the overall system performance
indicators. A discrete event simulation method was used to evaluate the proposed
model. Finally, limited service desk resources were allocated to obtain the
values of the performance indicators under different resource allocation
scenarios in order to determine the optimal allocation scheme. Under limited
resource allocation, this scheme enables users to maximize the performance of the
application layer firewall.
PMID- 27893802
TI - The Interaction between Zein and Lecithin in Ethanol-Water Solution and
Characterization of Zein-Lecithin Composite Colloidal Nanoparticles.
AB - Lecithin, a naturally small molecular surfactant, which is widely used in the
food industry, can delay aging, enhance memory, prevent and treat diabetes. The
interaction between zein and soy lecithin with different mass ratios (20:1, 10:1,
5:1, 3:1, 2:1, 1:1 and 1:2) in ethanol-water solution and characterisation of
zein and lecithin composite colloidal nanoparticles prepared by antisolvent co
precipitation method were investigated. The mean size of zein-lecithin composite
colloidal nanoparticles was firstly increased with the rise of lecithin
concentration and then siginificantly decreased. The nanoparticles at the zein to
lecithin mass ratio of 5:1 had the largest particle size (263 nm), indicating
that zein and lecithin formed composite colloidal nanoparticles, which might
aggregate due to the enhanced interaction at a higher proportion of lecithin.
Continuing to increase lecithin concentration, the zein-lecithin nanoparticles
possibly formed a reverse micelle-like or a vesicle-like structure with zein in
the core, which prevented the formation of nanoparticle aggregates and decreased
the size of composite nanoparticles. The presence of lecithin significantly
reduced the zeta-potential of zein-lecithin composite colloidal nanoparticles.
The interaction between zein and lecithin enhanced the intensity of the
fluorescence emission of zein in ethanol-water solution. The secondary structure
of zein was also changed by the addition of lecithin. Differential scanning
calorimetry thermograms revealed that the thermal stability of zein-lecithin
nanoparticles was enhanced with the rise of lecithin level. The composite
nanoparticles were relatively stable to elevated ionic strengths. Possible
interaction mechanism between zein and lecithin was proposed. These findings
would help further understand the theory of the interaction between the alcohol
soluble protein and the natural small molecular surfactant. The composite
colloidal nanoparticles formed in this study can broaden the application of zein
and be suitable for incorporating water-insoluble bioactive components in
functional food and beverage products.
PMID- 27893804
TI - TGFbeta Induces Binucleation/Polyploidization in Hepatocytes through a Src
Dependent Cytokinesis Failure.
AB - In all mammals, the adult liver shows binucleated as well as mononucleated
polyploid hepatocytes. The hepatic polyploidization starts after birth with an
extensive hepatocyte binucleation and generates hepatocytes of several ploidy
classes. While the functional significance of hepatocyte polyploidy is becoming
clearer, how it is triggered and maintained needs to be clarified. Aim of this
study was to identify a major inducer of hepatocyte binucleation/polyploidization
and the cellular and molecular mechanisms involved. We found that, among several
cytokines analyzed, known to be involved in early liver development and/or mass
control, TGFbeta1 was capable to induce, together with the expected morphological
changes, binucleation in hepatocytes in culture. Most importantly, the
pharmacological inhibition of TGFbeta signaling in healthy mice during weaning,
when the physiological binucleation occurs, induced a significant decrease of
hepatocyte binucleation rate, without affecting cell proliferation and hepatic
index. The TGFbeta-induced hepatocyte binucleation resulted from a cytokinesis
failure, as assessed by video microscopy, and is associated with a delocalization
of the cytokinesis regulator RhoA-GTPase from the mid-body of dividing cells. The
use of specific chemical inhibitors demonstrated that the observed events are Src
dependent. Finally, the restoration of a fully epithelial phenotype by TGFbeta
withdrawal gave rise to a cell progeny capable to maintain the polyploid state.
In conclusion, we identified TGFbeta as a major inducer of hepatocyte
binucleation both in vitro and in vivo, thus ascribing a novel role to this
pleiotropic cytokine. The production of binucleated/tetraploid hepatocytes is due
to a cytokinesis failure controlled by the molecular axis TGFbeta/Src/RhoA.
PMID- 27893805
TI - Association between Alcohol Intake and Hemoglobin A1c in the Korean Adults: The
2011-2013 Korea National Health and Nutrition Examination Survey.
AB - BACKGROUND: Although alcohol consumption is commonly encountered in clinical
practice, few studies have investigated the clinical significance of alcohol
intake on the use of the hemoglobin A1c (HbA1c) level. OBJECTIVES: This study was
performed to investigate the association between alcohol intake and HbA1c level
in the general population. METHODS: Among the 24,594 participants who
participated in the 2011-2013 Korea National Health and Nutrition Examination
Survey (KNHANES), 12,923 participants were analyzed in this study. We excluded
diabetic patients currently taking antidiabetes medication. We compared the HbA1c
level and proportions of patients with an HbA1c level of >=5.7%, >=6.1%, and
>=6.5% according to the fasting plasma glucose (FPG) concentration range and the
amount of alcohol intake. The average amounts of daily alcohol intake were
categorized into three groups: 0 g/day, <30 g/day, >=30 g/day. RESULTS: The mean
HbA1c level was 5.65%, and the mean FPG concentration was 95.3 mg/dl. The
percentages of patients with an HbA1c level of >=5.7%, >=6.1%, and >=6.5% were
42.6%, 13.4%, and 4.5%, respectively. The average amount of alcohol intake was
12.3 g/day. The percentages of subjects with alcohol intake 0, <30, and >= 30
g/day were 16.5%, 69.7%, and 13.8%, respectively. There was a significant
positive relationship between alcohol intake and FPG concentration (P < 0.001),
the prevalence of impaired fasting glucose (P < 0.001), and the prevalence of
diabetes (P < 0.001). However, there was no significant relationship between the
alcohol intake and HbA1c level. Overall, the adjusted HbA1c levels decreased
across alcohol intake (5.70% +/- 0.01%, 5.66% +/- 0.01%, and 5.55% +/- 0.01%)
after adjustment for confounding factors such as age, sex, FPG concentration,
college graduation, smoking history, presence of hypertension, waist
circumference, serum total cholesterol concentration, serum high-density
lipoprotein cholesterol concentration, serum triglyceride concentration, presence
of anemia, serum white blood cell count, and serum alanine aminotransferase
concentration (P < 0.001). The adjusted proportions (%) of patients with an HbA1c
level of >=5.7% (P < 0.001), >=6.1% (P < 0.001), and >=6.5% (P < 0.001) showed
significant negative trends across alcohol intake after adjustment for
confounders. Logistic regression analyses showed that, when using the group that
abstained as the control, the group that consumed >= 30g/day was negatively
associated with the risk of an HbA1c level of >=5.7% (P < 0.001), >=6.1% (P <
0.001), and >=6.5% (P < 0.001), using the above-mentioned variables as
covariates. CONCLUSIONS: Higher alcohol intake was associated with lower HbA1c
levels, even after adjusting for confounding factors, including the FPG
concentration, in this nationally representative sample of Korean adults. These
results suggest that excessive drinking shifts the HbA1c level downward, which
might complicate use of the HbA1c level for the diagnosis of diabetes or
prediabetes.
PMID- 27893806
TI - HPLC-Based Mass Spectrometry Characterizes the Phospholipid Alterations in Ether
Linked Lipid Deficiency Models Following Oxidative Stress.
AB - Despite the fact that the discovery of ether-linked phospholipids occurred nearly
a century ago, many unanswered questions remain concerning these unique lipids.
Here, we characterize the ether-linked lipids of the nematode with HPLC-MS/MS and
find that more than half of the phosphoethanolamine-containing lipids are ether
linked, a distribution similar to that found in mammalian membranes. To explore
the biological role of ether lipids in vivo, we target fatty acyl-CoA reductase
(fard-1), an essential enzyme in ether lipid synthesis, with two distinct RNAi
strategies. First, when fard-1 RNAi is initiated at the start of development, the
treated animals have severely reduced ether lipid abundance, resulting in a shift
in the phosphatidylethanolamine lipid population to include more saturated fatty
acid chains. Thus, the absence of ether lipids during development drives a
significant remodeling of the membrane landscape. A later initiation of fard-1
RNAi in adulthood results in a dramatic reduction of new ether lipid synthesis as
quantified with 15N-tracers; however, there is only a slight decrease in total
ether lipid abundance with this adult-only fard-1 RNAi. The two RNAi strategies
permit the examination of synthesis and ether lipid abundance to reveal a
relationship between the amount of ether lipids and stress survival. We tested
whether these species function as sacrificial antioxidants by directly examining
the phospholipid population with HPLC-MS/MS after oxidative stress treatment.
While there are significant changes in other phospholipids, including
polyunsaturated fatty acid-containing species, we did not find any change in
ether-linked lipids, suggesting that the role of ether lipids in stress
resistance is not through their general consumption as free radical sinks. Our
work shows that the nematode will be a useful model for future interrogation of
ether lipid biosynthesis and the characterization of phospholipid changes in
various stress conditions.
PMID- 27893807
TI - ERP Response Unveils Effect of Second Language Manipulation on First Language
Processing.
AB - Lexical access in bilinguals has been considered either selective or non
selective and evidence exists in favor of both hypotheses. We conducted a
linguistic experiment to assess whether a bilingual's language mode influences
the processing of first language information. We recorded event related
potentials during a semantic priming paradigm with a covert manipulation of the
second language (L2) using two types of stimulus presentations (short and long).
We observed a significant facilitation of word pairs related in L2 in the short
version reflected by a decrease in N400 amplitude in response to target words
related to the English meaning of an inter-lingual homograph (homograph-unrelated
group). This was absent in the long version, as the N400 amplitude for this group
was similar to the one for the control-unrelated group. We also interviewed the
participants whether they were aware of the importance of L2 in the experiment.
We conclude that subjects participating in the long and short versions were in
different language modes: closer to monolingual mode for the long and closer to
bilingual mode for the short version; and that awareness about covert
manipulation of L2 can influence the language mode, which in its turn influences
the processing of the first language.
PMID- 27893809
TI - Model of Selective and Non-Selective Management of Badgers (Meles meles) to
Control Bovine Tuberculosis in Badgers and Cattle.
AB - Bovine tuberculosis (bTB) causes substantial economic losses to cattle farmers
and taxpayers in the British Isles. Disease management in cattle is complicated
by the role of the European badger (Meles meles) as a host of the infection.
Proactive, non-selective culling of badgers can reduce the incidence of disease
in cattle but may also have negative effects in the area surrounding culls that
have been associated with social perturbation of badger populations. The
selective removal of infected badgers would, in principle, reduce the number
culled, but the effects of selective culling on social perturbation and disease
outcomes are unclear. We used an established model to simulate non-selective
badger culling, non-selective badger vaccination and a selective trap and
vaccinate or remove (TVR) approach to badger management in two distinct areas:
South West England and Northern Ireland. TVR was simulated with and without
social perturbation in effect. The lower badger density in Northern Ireland
caused no qualitative change in the effect of management strategies on badgers,
although the absolute number of infected badgers was lower in all cases. However,
probably due to differing herd density in Northern Ireland, the simulated badger
management strategies caused greater variation in subsequent cattle bTB
incidence. Selective culling in the model reduced the number of badgers killed by
about 83% but this only led to an overall benefit for cattle TB incidence if
there was no social perturbation of badgers. We conclude that the likely benefit
of selective culling will be dependent on the social responses of badgers to
intervention but that other population factors including badger and cattle
density had little effect on the relative benefits of selective culling compared
to other methods, and that this may also be the case for disease management in
other wild host populations.
PMID- 27893808
TI - Common Polymorphisms in the 5-Lipoxygenase Pathway and Risk of Incident
Myocardial Infarction: A Danish Case-Cohort Study.
AB - BACKGROUND: The 5-lipoxygenase pathway (5-LOX) has been implicated in the
development of cardiovascular disease and studies have suggested that genetic
polymorphisms related to key enzymes in this pathway may confer risk of
myocardial infarction (MI). This study investigated the association of pre
selected genetic polymorphisms in four candidate genes of 5-LOX (arachidonate 5
lipoxygenase and its activating protein (ALOX-5 and FLAP), leukotriene A4
hydroxylase (LTA4-H) and leukotriene C4 synthase (LTC4-S)) with incident MI.
METHODS: In a Danish cohort including 57,053 participants, aged 50-64 at
enrolment and recruited from 1993-97, we conducted a case-cohort study including
cases with incident MI and a randomly selected sub cohort of 3,000 participants.
Cases were identified from national registries through July 2013. A total of 22
SNPs were selected and genotyped using the commercially available KASPTM assay. A
tandem-repeat polymorphism, located in the ALOX-5 gene, was genotyped by multi
titre plate sequencing. Haplotypes were inferred using PHASE 2.1. RESULTS: During
a median follow-up of 17.0 years we identified 3,089 cases of incident MI. In
FLAP, two SNPs were negatively associated with incident MI (rs9551963 &
rs17222842) while one SNP (rs2247570) located in LTA4-H, was associated with
higher risk of MI when comparing subjects with two copies of the variant allele
to homozygotes for the wild type. However, only rs17222842 remained significantly
associated with MI after correcting for multiple testing. Furthermore, the
promoter polymorphism rs59439148 was associated with risk of MI in men. For male
carriers of two variant alleles we found a hazard ratio of 1.63 (95% CI:
1.06;2.52) compared to homozygotes for the wild type. Previously described
haplotypes (Hap-A -B, -E and -K) were not associated with MI in our population.
CONCLUSION: In conclusion, some common polymorphisms in the 5-lipoxygenase
pathway were modestly associated with incident MI, suggesting a potential role
for this pathway in the development of cardiovascular disease.
PMID- 27893810
TI - Antiviral Efficacy of Verdinexor In Vivo in Two Animal Models of Influenza A
Virus Infection.
AB - Influenza A virus (IAV) causes seasonal epidemics of respiratory illness that can
cause mild to severe illness and potentially death. Antiviral drugs are an
important countermeasure against IAV; however, drug resistance has developed,
thus new therapeutic approaches are being sought. Previously, we demonstrated the
antiviral activity of a novel nuclear export inhibitor drug, verdinexor, to
reduce influenza replication in vitro and pulmonary virus burden in mice. In this
study, in vivo efficacy of verdinexor was further evaluated in two animal models
or influenza virus infection, mice and ferrets. In mice, verdinexor was
efficacious to limit virus shedding, reduce pulmonary pro-inflammatory cytokine
expression, and moderate leukocyte infiltration into the bronchoalveolar space.
Similarly, verdinexor-treated ferrets had reduced lung pathology, virus burden,
and inflammatory cytokine expression in the nasal wash exudate. These findings
support the anti-viral efficacy of verdinexor, and warrant its development as a
novel antiviral therapeutic for influenza infection.
PMID- 27893812
TI - Solid-Phase Synthesis of ?-Lactone and 1,2-Oxazine Derivatives and Their
Efficient Chiral Analysis.
AB - Derivatives of 3-methyl-3,6-dihydro-2H-1,2-oxazine-6-carboxylic acid prepared by
regioselective hetero Diels-Alder reaction of arylnitroso compounds with sorbic
acid were used for solid-phase synthesis of a library of derivatives that
included modification of carboxylic group, dihydroxylation of double bond and
cleavage of N-O bond. Derivatives of 2,3,4-trihydroxyhexanoic acid obtained from
3,6-dihydro-2H-1,2-oxazines after double bond dihydroxylation and N-O cleavage
were used for simple and stereoselective formation of chiral lactones derived
from 3,4-dihydroxydihydrofuran-2(3H)-one. The final compounds obtained as a
mixture of stereoisomers were analyzed with use of chiral HPLC and SFC. HPLC
analyses were not successful for all derivatives or required lengthy
chromatography. On the other hand SFC afforded much shorter analyses and was
effective for all studied derivatives. The method of synthesis and analysis is
thus suitable for future study of stereoselective synthesis of lactones and other
derivatives from single oxazine derivatives and application of high-throughput
synthesis on solid-support and combinatorial chemistry.
PMID- 27893811
TI - The Inhibition of microRNA-128 on IGF-1-Activating mTOR Signaling Involves in
Temozolomide-Induced Glioma Cell Apoptotic Death.
AB - Temozolomide (TMZ), an alkylating agent of the imidazotetrazine series, is a
first-line chemotherapeutic drug used in the clinical therapy of glioblastoma
multiforme, the most common and high-grade primary glioma in adults. Micro
(mi)RNAs, which are small noncoding RNAs, post-transcriptionally regulate gene
expressions and are involved in gliomagenesis. However, no studies have reported
relationships between TMZ and miRNA gene regulation. We investigated TMZ-mediated
miRNA profiles and its molecular mechanisms underlying the induction of glioma
cell death. By performing miRNA microarray and bioinformatics analyses, we
observed that expression of 248 miRNAs was altered, including five significantly
upregulated and 17 significantly downregulated miRNAs, in TMZ-treated U87MG
cells. miR-128 expression levels were lower in different glioma cells and
strongly associated with poor survival. TMZ treatment significantly upregulated
miR-128 expression. TMZ significantly enhanced miR-128-1 promoter activity and
transcriptionally regulated miR-128 levels through c-Jun N-terminal kinase 2/c
Jun pathways. The overexpression and knockdown of miR-128 expression
significantly affected TMZ-mediated cell viability and apoptosis-related protein
expression. Furthermore, the overexpression of miR-128 alone enhanced apoptotic
death of glioma cells through caspase-3/9 activation, poly(ADP ribose) polymerase
degradation, reactive oxygen species generation, mitochondrial membrane potential
loss, and non-protective autophagy formation. Finally, we identified that key
members in mammalian target of rapamycin (mTOR) signaling including mTOR,
rapamycin-insensitive companion of mTOR, insulin-like growth factor 1, and
PIK3R1, but not PDK1, were direct target genes of miR-128. TMZ inhibited mTOR
signaling through miR-128 regulation. These results indicate that miR-128
inhibited mTOR signaling is involved in TMZ-mediated cytotoxicity. Our findings
may provide a better understanding of cytotoxic mechanisms of TMZ involved in
glioblastoma development.
PMID- 27893814
TI - Rapid Top-Down Control of Behavior Due to Propositional Knowledge in Human
Associative Learning.
AB - Propositional and associative processes have been proposed to explain human
associative learning. Our main objective in this study was to evaluate whether
propositional knowledge may gain control over behavior even under high time
pressure conditions, as suggested by propositional single-process models. In the
experiment reported, different groups of participants had to learn a series of
cue-outcome relationships on a trial-by-trial basis under different time pressure
conditions. Later, a simple verbal instruction indicated that one of the cues had
reversed its contingency (informed condition). The other cue had also changed its
contingency, though in an unanticipated way (uninformed condition) whilst other
contingencies did not change (no-change condition). The results showed that, in
the absence of instructions, interference (i.e., uninformed vs. no-change effect)
was greater in the high time than in the low time-pressure group. This result
indicates that those responses which were previously relevant are more difficult
to inhibit when there is little time to respond. However, time pressure had no
detectable effect on the use of the verbal instruction, since an equivalent
instruction advantage (i.e., uninformed vs. informed effect) was obtained in both
time pressure groups. These results reveal that propositional knowledge can
override those cue-outcome relationships that were learnt trial-by-trial even
under conditions of high cognitive demand. This pattern of results is consistent
with a propositional single-process model of associative learning.
PMID- 27893813
TI - Azidothymidine Sensitizes Primary Effusion Lymphoma Cells to Kaposi Sarcoma
Associated Herpesvirus-Specific CD4+ T Cell Control and Inhibits vIRF3 Function.
AB - Kaposi sarcoma-associated herpesvirus (KSHV) is linked with the development of
Kaposi sarcoma and the B lymphocyte disorders primary effusion lymphoma (PEL) and
multi-centric Castleman disease. T cell immunity limits KSHV infection and
disease, however the virus employs multiple mechanisms to inhibit efficient
control by these effectors. Thus KSHV-specific CD4+ T cells poorly recognize most
PEL cells and even where they can, they are unable to kill them. To make KSHV
infected cells more sensitive to T cell control we treated PEL cells with the
thymidine analogue azidothymidine (AZT), which sensitizes PEL lines to Fas-ligand
and TRAIL challenge; effector mechanisms which T cells use. PELs co-cultured with
KSHV-specific CD4+ T cells in the absence of AZT showed no control of PEL
outgrowth. However in the presence of AZT PEL outgrowth was controlled in an MHC
restricted manner. To investigate how AZT sensitizes PELs to immune control we
first examined BJAB cells transduced with individual KSHV-latent genes for their
ability to resist apoptosis mediated by stimuli delivered through Fas and TRAIL
receptors. This showed that in addition to the previously described vFLIP
protein, expression of vIRF3 also inhibited apoptosis delivered by these stimuli.
Importantly vIRF3 mediated protection from these apoptotic stimuli was inhibited
in the presence of AZT as was a second vIRF3 associated phenotype, the
downregulation of surface MHC class II. Although both vFLIP and vIRF3 are
expressed in PELs, we propose that inhibiting vIRF3 function with AZT may be
sufficient to restore T cell control of these tumor cells.
PMID- 27893817
TI - The Impact of Group Size on Welfare Indicators of Ewes during Pregnancy.
AB - Group size (GS) and space allowance have major implications for the welfare of
production species, however their effects are often confounded. In a previous
study we investigated the impact of varying space allowance at constant GS. In
the present work we report the consequences of varying GS on pregnant ewes while
controlling space allowance. We housed ewes at 6 (GS6) or 12 ewes/enclosure
(GS12), while controlling space allowance to 1.5 m2/ewe (3 enclosures/treatment),
and necessarily varying enclosure size. Therefore, when indicating GS effects we
implicitly reflect a confounding effect with that of enclosure size. Movement,
use of space, behaviour, serum cortisol concentration and body condition score
(BCS) were collected during the last 12 gestation weeks. Movement, use of space,
and behaviour were collected every other week, during 2 days/week, using 10
minute continuous scan samplings. Blood was collected during weeks 10, 13, 17,
and 21 of gestation, and BCS during weeks 15 and 21. Data were analysed using
repeated measures, generalized linear mixed models, with GS, week, and their
interaction as fixed effects, and enclosure as random effect. GS mainly affected
movement and use of space. GS12 ewes walked longer distances using longer steps
(P<0.001). An interaction GS by week was observed for angular dispersion
(P<0.0001), which was smaller for GS12 from week 10 onwards. Initial restlessness
levels were lower for GS12, as shown by the reduced frequency of location changes
(P<0.0001). Furthest and mean neighbour distances increased with GS (P<0.0001).
The effect of GS on behaviour was only evident for eating behaviour as an
interaction with gestation week (P<0.05). Changes in behaviour, movement and use
of space along the study indicated an activity peak during weeks 3 to 5. Cortisol
changes during gestation (P<0.01) also reflected this activity peak, while BCS
(P<0.001) reflected normal physical condition changes during pregnancy. Although
the separate effects of GS and enclosure size cannot be disentangled, we conclude
that if enough space/ewe is given during gestation, larger GS will result in
larger effective space, and no major implications for the welfare of ewes should
be expected as GS increases. Ewes will adapt their movement patterns and use of
space to enclosure size, and no further behavioural, physiological and physical
consequences should be expected.
PMID- 27893815
TI - An Accessory Protease Inhibitor to Increase the Yield and Quality of a Tumour
Targeting mAb in Nicotiana benthamiana Leaves.
AB - The overall quality of recombinant IgG antibodies in plants is dramatically
compromised by host endogenous proteases. Different approaches have been
developed to reduce the impact of endogenous proteolysis on IgGs, notably
involving site-directed mutagenesis to eliminate protease-susceptible sites or
the in situ mitigation of host protease activities to minimize antibody
processing in the cell secretory pathway. We here characterized the degradation
profile of H10, a human tumour-targeting monoclonal IgG, in leaves of Nicotiana
benthamiana also expressing the human serine protease inhibitor alpha1
antichymotrypsin or the cysteine protease inhibitor tomato cystatin SlCYS8. Leaf
extracts revealed consistent fragmentation patterns for the recombinant antibody
regardless of leaf age and a strong protective effect of SlCYS8 in specific
regions of the heavy chain domains. As shown using an antigen-binding ELISA and
LC-MS/MS analysis of antibody fragments, SlCYS8 had positive effects on both the
amount of fully-assembled antibody purified from leaf tissue and the stability of
biologically active antibody fragments containing the heavy chain Fc domain. Our
data confirm the potential of Cys protease inhibitors as convenient antibody
stabilizing expression partners to increase the quality of therapeutic antibodies
in plant protein biofactories.
PMID- 27893818
TI - Trends in Irritable Bowel Syndrome Incidence among Taiwanese Adults during 2003
2013: A Population-Based Study of Sex and Age Differences.
AB - BACKGROUND: No population-based irritable bowel syndrome (IBS) incidence data
among Taiwanese adults are available. Whether IBS is associated with risk of
organic colonic diseases remains unanswered. We investigated 1) the sex- and age
stratified trends in the annual incidence of IBS, and 2) the risk of selected
organic diseases in patients with IBS compared with those without IBS among
Taiwanese adults during 2003-2013. METHODS: Medical claims data for 1 million
randomly selected beneficiaries were obtained and analyzed. Patients with IBS
were considered eligible for enrollment if they aged between 20 and 100 and had
at least two medical encounters with IBS codes within 1 year. To test whether
there was a linear secular trend in IBS incidence over time, multivariate Poisson
regression with generalized estimating equation model was conducted. The risk of
selected organic diseases associated with IBS was examined using multivariate Cox
proportional hazard regression. RESULTS: From 2003 to 2013, the incidence of IBS
significantly decreased over time [adjusted incidence rate ratio (IRR) = 0.97, p<
0.001]; the incidence of IBS significantly increased with age (adjusted IRR =
1.03, p < 0.001) and was significantly higher in women than in men (adjusted IRR
= 1.14, p< 0.001). IBS significantly associated with increased risk of
microscopic colitis, inflammatory bowel disease, and colorectal cancer during a
10-year follow-up period. CONCLUSIONS: The incidence of IBS increased with age
and was slightly higher in women than in men among Taiwanese adults. During 2003
2013, IBS incidence gradually decreased over time. IBS may increase risk of
several colonic organic diseases.
PMID- 27893816
TI - miR-34 Modulates Innate Immunity and Ecdysone Signaling in Drosophila.
AB - microRNAs are endogenous small regulatory RNAs that modulate myriad biological
processes by repressing target gene expression in a sequence-specific manner.
Here we show that the conserved miRNA miR-34 regulates innate immunity and
ecdysone signaling in Drosophila. miR-34 over-expression activates antibacterial
innate immunity signaling both in cultured cells and in vivo, and flies over
expressing miR-34 display improved survival and pathogen clearance upon Gram
negative bacterial infection; whereas miR-34 knockout animals are defective in
antibacterial defense. In particular, miR-34 achieves its immune-stimulatory
function, at least in part, by repressing the two novel target genes Dlg1 and
Eip75B. In addition, our study reveals a mutual repression between miR-34
expression and ecdysone signaling, and identifies miR-34 as a node in the
intricate interplay between ecdysone signaling and innate immunity. Lastly, we
identify cis-regulatory genomic elements and trans-acting transcription factors
required for optimal ecdysone-mediated repression of miR-34. Taken together, our
study enriches the repertoire of immune-modulating miRNAs in animals, and
provides new insights into the interplay between steroid hormone signaling and
innate immunity.
PMID- 27893819
TI - Salvianolic Acid B Alleviates Heart Failure by Inactivating ERK1/2/GATA4
Signaling Pathway after Pressure Overload in Mice.
AB - BACKGROUND: Heart failure(HF) is a dangerous disease that affects millions of
patients. Radix Salvia is widely used in Chinese clinics to treat heart diseases.
Salvianolic acid B(SalB) is the major active component of Radix Salvia. This
study investigated the mechanisms of action and effects of SalB on HF in an
experimental mouse model of HF. METHODS: We created a mouse model of HF by
inducing pressure overload with transverse aortic constriction(TAC) surgery for 2
weeks and compared among 4 study groups: SHAM group (n = 10), TAC group (n = 9),
TAC+MET group (metprolol, positive drug treatment, n = 9) and TAC+SalB group
(SalB, 240 mg*kg-1*day-1, n = 9). Echocardiography was used to evaluate the
dynamic changes in cardiac structure and function in vivo. Plasma brain
natriuretic peptide (BNP) concentration was detected by Elisa method. In
addition, H9C2 rat cardiomyocytes were cultured and Western blot were implemented
to evaluate the phosphorylation of ERK1/2, AKT, and protein expression of GATA4.
RESULTS: SalB significantly inhibited the phosphorylation of Thr202/Tyr204 sites
of ERK1/2, but not Ser473 site of AKT, subsequently inhibited protein expression
of GATA4 and plasma BNP(P < 0.001), and then inhibited HF at 2 weeks after TAC
surgery. CONCLUSIONS: Our data provide a mechanism of inactivating the
ERK1/2/GATA4 signaling pathway for SalB inhibition of the TAC-induced HF.
PMID- 27893821
TI - Feature Selection Using Information Gain for Improved Structural-Based Alert
Correlation.
AB - Grouping and clustering alerts for intrusion detection based on the similarity of
features is referred to as structurally base alert correlation and can discover a
list of attack steps. Previous researchers selected different features and data
sources manually based on their knowledge and experience, which lead to the less
accurate identification of attack steps and inconsistent performance of
clustering accuracy. Furthermore, the existing alert correlation systems deal
with a huge amount of data that contains null values, incomplete information, and
irrelevant features causing the analysis of the alerts to be tedious, time
consuming and error-prone. Therefore, this paper focuses on selecting accurate
and significant features of alerts that are appropriate to represent the attack
steps, thus, enhancing the structural-based alert correlation model. A two-tier
feature selection method is proposed to obtain the significant features. The
first tier aims at ranking the subset of features based on high information gain
entropy in decreasing order. The? second tier extends additional features with a
better discriminative ability than the initially ranked features. Performance
analysis results show the significance of the selected features in terms of the
clustering accuracy using 2000 DARPA intrusion detection scenario-specific
dataset.
PMID- 27893820
TI - Azithromycin vs. Placebo for the Clinical Outcome in Campylobacter concisus
Diarrhoea in Adults: A Randomized, Double-Blinded, Placebo-Controlled Clinical
Trial.
AB - : Campylobacter concisus has been associated with prolonged mild diarrhoea, but
investigations regarding the efficacy of antimicrobial treatment have not been
reported previously. We initiated a phase 3, single-centre, randomized, double
blinded, placebo-controlled study comparing the efficacy of 500 mg once-daily
dose of azithromycin with a 500 mg once-daily dose of placebo for three days, for
the treatment of C. concisus diarrhoea in adult patients with a follow-up period
of ten days. If symptoms persisted at day ten, the patient was offered cross-over
study treatment of three days and another ten-day follow-up period. The primary
efficacy endpoint was the clinical response, defined as time to cessation of
diarrhoea (<3 stools/day or reversal of accompanying symptoms). Our estimated
sample size was 100 patients. We investigated a total of 10,036 diarrheic stool
samples from 7,089 adult patients. Five-hundred and eighty-eight C. concisus
positive patients were assessed for eligibility, of which 559 were excluded prior
to randomization. The three main reasons for exclusion were duration of diarrhoea
longer than 21 days (n = 124), previous antibiotic treatment (n = 113), and co
pathogens in stools (n = 87). Therefore, 24 patients completed the trial with
either azithromycin (n = 12) or placebo (n = 12). Both groups presented symptoms
of mild, prolonged diarrhoea with a mean duration of 18 days (95% CI: 16-19). One
person in the azithromycin group and four from the placebo group chose to
continue with crossover medication after the initial ten-day period. In the
azithromycin group, there was a mean of seven days (95% CI: 5-9) to clinical cure
and for the placebo group it was ten days (95% CI: 6-14) (OR-3 (95% CI: -7-1). We
observed no differences in all examined outcomes between azithromycin treatment
and placebo. However, due to unforeseen recruitment difficulties we did not reach
our estimated sample size of 100 patients and statistical power to conclude on an
effect of azithromycin treatment was not obtained. TRIAL REGISTRATION:
Clinicaltrials.gov identifier: NCT01531218.
PMID- 27893822
TI - Deciphering Multiplicity of HIV-1C Infection: Transmission of Closely Related
Multiple Viral Lineages.
AB - BACKGROUND: A single viral variant is transmitted in the majority of HIV
infections. However, about 20% of heterosexually transmitted HIV infections are
caused by multiple viral variants. Detection of transmitted HIV variants is not
trivial, as it involves analysis of multiple viral sequences representing intra
host HIV-1 quasispecies. METHODOLOGY: We distinguish two types of multiple virus
transmission in HIV infection: (1) HIV transmission from the same source, and (2)
transmission from different sources. Viral sequences representing intra-host
quasispecies in a longitudinally sampled cohort of 42 individuals with primary
HIV-1C infection in Botswana were generated by single-genome amplification and
sequencing and spanned the V1C5 region of HIV-1C env gp120. The Maximum
Likelihood phylogeny and distribution of pairwise raw distances were assessed at
each sampling time point (n = 217; 42 patients; median 5 (IQR: 4-6) time points
per patient, range 2-12 time points per patient). RESULTS: Transmission of
multiple viral variants from the same source (likely from the partner with
established HIV infection) was found in 9 out of 42 individuals (21%; 95 CI 10
37%). HIV super-infection was identified in 2 patients (5%; 95% CI 1-17%) with an
estimated rate of 3.9 per 100 person-years. Transmission of multiple viruses
combined with HIV super-infection at a later time point was observed in one
individual. CONCLUSIONS: Multiple HIV lineages transmitted from the same source
produce a monophyletic clade in the inferred phylogenetic tree. Such a clade has
transiently distinct sub-clusters in the early stage of HIV infection, and
follows a predictable evolutionary pathway. Over time, the gap between initially
distinct viral lineages fills in and initially distinct sub-clusters converge.
Identification of cases with transmission of multiple viral lineages from the
same source needs to be taken into account in cross-sectional estimation of HIV
recency in epidemiological and population studies.
PMID- 27893823
TI - Shared Decision Making at the Limit of Viability: A Blueprint for Physician
Action.
AB - OBJECTIVE: To document interactions during the antenatal consultation between
parents and neonatologist that parents linked to their satisfaction with their
participation in shared decision making for their infant at risk of being born at
the limit of viability. METHODS: This multiple-case ethnomethodological
qualitative research study, included mothers admitted for a threatened premature
delivery between 200/7 and 266/7 weeks gestation, the father, and the staff
neonatologist conducting the clinical antenatal consultation. Content analysis of
an audiotaped post-antenatal consultation interview with parents obtained their
satisfaction scores as well as their comments on physician actions that
facilitated their desired participation. RESULTS: Five cases, each called a
"system-infant at risk", included 10 parents and 6 neonatologists. From the
interviews emerged a blueprint for action by physicians, including communication
strategies that parents say facilitated their participation in decision making;
such as building trustworthy physician-parent relationships, providing "balanced"
information, offering choices, and allowing time to think. CONCLUSION: Parent
descriptions indicate that the opportunity to participate to their satisfaction
in the clinical antenatal consultation depends on how the physician interacts
with them. PRACTICE IMPLICATIONS: The parent-identified communication strategies
facilitate shared decision making regarding treatment in the best interest of the
infant at risk to be born at the limit of viability.
PMID- 27893824
TI - Analysis of Synonymous Codon Usage Bias of Zika Virus and Its Adaption to the
Hosts.
AB - Zika virus (ZIKV) is a mosquito-borne virus (arbovirus) in the family
Flaviviridae, and the symptoms caused by ZIKV infection in humans include rash,
fever, arthralgia, myalgia, asthenia and conjunctivitis. Codon usage bias
analysis can reveal much about the molecular evolution and host adaption of ZIKV.
To gain insight into the evolutionary characteristics of ZIKV, we performed a
comprehensive analysis on the codon usage pattern in 46 ZIKV strains by
calculating the effective number of codons (ENc), codon adaptation index (CAI),
relative synonymous codon usage (RSCU), and other indicators. The results
indicate that the codon usage bias of ZIKV is relatively low. Several lines of
evidence support the hypothesis that translational selection plays a role in
shaping the codon usage pattern of ZIKV. The results from a correspondence
analysis (CA) indicate that other factors, such as base composition, aromaticity,
and hydrophobicity may also be involved in shaping the codon usage pattern of
ZIKV. Additionally, the results from a comparative analysis of RSCU between ZIKV
and its hosts suggest that ZIKV tends to evolve codon usage patterns that are
comparable to those of its hosts. Moreover, selection pressure from Homo sapiens
on the ZIKV RSCU patterns was found to be dominant compared with that from Aedes
aegypti and Aedes albopictus. Taken together, both natural translational
selection and mutation pressure are important for shaping the codon usage pattern
of ZIKV. Our findings contribute to understanding the evolution of ZIKV and its
adaption to its hosts.
PMID- 27893826
TI - Macro-Scale Patterns in Upwelling/Downwelling Activity at North American West
Coast.
AB - The seasonal and interannual variability of vertical transport
(upwelling/downwelling) has been relatively well studied, mainly for the
California Current System, including low-frequency changes and latitudinal
heterogeneity. The aim of this work was to identify potentially predictable
patterns in upwelling/downwelling activity along the North American west coast
and discuss their plausible mechanisms. To this purpose we applied the min/max
Autocorrelation Factor technique and time series analysis. We found that spatial
co-variation of seawater vertical movements present three dominant low-frequency
signals in the range of 33, 19 and 11 years, resembling periodicities of:
atmospheric circulation, nodal moon tides and solar activity. Those periodicities
might be related to the variability of vertical transport through their influence
on dominant wind patterns, the position/intensity of pressure centers and the
strength of atmospheric circulation cells (wind stress). The low-frequency
signals identified in upwelling/downwelling are coherent with temporal patterns
previously reported at the study region: sea surface temperature along the
Pacific coast of North America, catch fluctuations of anchovy Engraulis mordax
and sardine Sardinops sagax, the Pacific Decadal Oscillation, changes in
abundance and distribution of salmon populations, and variations in the position
and intensity of the Aleutian low. Since the vertical transport is an
oceanographic process with strong biological relevance, the recognition of their
spatio-temporal patterns might allow for some reasonable forecasting capacity,
potentially useful for marine resources management of the region.
PMID- 27893825
TI - Low Birth Weight Is Associated with a Decreased Overall Adult Health Status and
Reproductive Capability - Results of a Cross-Sectional Study in Primary Infertile
Patients.
AB - Individuals born with low birth weight (LBW) risk cardiometabolic complications
later in life. However the impact of LBW on general health status and male
reproductive function has been scantly analysed. We investigated the clinical and
seminal impact of different birth weights (BW) in white-European men presenting
for primary couple's infertility. Demographic, clinical, and laboratory data from
827 primary infertile men were compared with those of 373 consecutive fertile
men. Patients with BW <=2500, 2500-4200, and >=4200gr were classified as having
LBW, normal (NBW), and high BW (HBW), respectively. Health-significant
comorbidities were scored with the Charlson Comorbidity Index (CCI). Testicular
volume was assessed with a Prader orchidometer. Semen analysis values were
assessed based on 2010 WHO reference criteria. Descriptive statistics and
regression models tested associations between semen parameters, clinical
characteristics and BW categories. LBW, NBW and HBW were found in 71 (8.6%), 651
(78.7%) and 105 (12.7%) infertile men, respectively. LBW was more frequent in
infertile patients than fertile men (p = 0.002). Infertile patients with LBW had
a higher rate of comorbidities (p = 0.003), lower mean testicular volume (p =
0.007), higher FSH (p = 0.02) and lower tT levels (p = 0.04) compared to other BW
groups. Higher rates of asthenozoospermia (p = 0.02) and teratozoospermia (p =
0.03) were also found in LBW men. At logistic regression models, LBW was
univariably associated with pathologic progressive motility (p<=0.02) and
pathologic sperm morphology (p<0.005). At multivariable logistic regression
analysis, LBW achieved independent predictor status for both lower sperm motility
and pathologic sperm morphology (all p<=0.04). Only LBW independently predicted
higher CCI values (p<0.001). In conclusion, we found that LBW was more frequent
in infertile than in fertile men. Infertile individuals with LBW showed a higher
rate of comorbidities and significantly worse clinical, endocrine and semen
parameters compared to other BW groups.
PMID- 27893828
TI - A Novel Large-Scale Temperature Dominated Model for Predicting the End of the
Growing Season.
AB - Vegetation phenology regulates many ecosystem processes and is an indicator of
the biological responses to climate change. It is important to model the timing
of leaf senescence accurately, since the canopy duration and carbon assimilation
are strongly determined by the timings of leaf senescence. However, the existing
phenology models are unlikely to accurately predict the end of the growing season
(EGS) on large scales, resulting in the misrepresentation of the seasonality and
interannual variability of biosphere-atmosphere feedbacks and interactions in
coupled global climate models. In this paper, we presented a novel large-scale
temperature dominated model integrated with the physiological adaptation of
plants to the local temperature to assess the spatial pattern and interannual
variability of the EGS. Our model was validated in all temperate vegetation types
over the Northern Hemisphere. The results indicated that our model showed better
performance in representing the spatial and interannual variability of leaf
senescence, compared with the original phenology model in the Integrated
Biosphere Simulator (IBIS). Our model explained approximately 63% of the EGS
variations, whereas the original model explained much lower variations
(coefficient of determination R2 = 0.01-0.18). In addition, the differences
between the EGS reproduced by our model and the MODIS EGS at 71.3% of the pixels
were within 10 days. For the original model, it is only 26.1%. We also found that
the temperature threshold (TcritTm) of grassland was lower than that of woody
species in the same latitudinal zone.
PMID- 27893827
TI - Astrocyte Structural and Molecular Response to Elevated Intraocular Pressure
Occurs Rapidly and Precedes Axonal Tubulin Rearrangement within the Optic Nerve
Head in a Rat Model.
AB - Glaucomatous axon injury occurs at the level of the optic nerve head (ONH) in
response to uncontrolled intraocular pressure (IOP). The temporal response of ONH
astrocytes (glial cells responsible for axonal support) to elevated IOP remains
unknown. Here, we evaluate the response of actin-based astrocyte extensions and
integrin-based signaling within the ONH to 8 hours of IOP elevation in a rat
model. IOP elevation of 60 mm Hg was achieved under isoflurane anesthesia using
anterior chamber cannulation connected to a saline reservoir. ONH astrocytic
extension orientation was significantly and regionally rearranged immediately
after IOP elevation (inferior ONH, 43.2 degrees +/- 13.3 degrees with respect
to the anterior-posterior axis versus 84.1 degrees +/- 1.3 degrees in controls,
p<0.05), and re-orientated back to baseline orientation 1 day post IOP
normalization. ONH axonal microtubule filament label intensity was significantly
reduced 1 and 3 days post IOP normalization, and returned to control levels on
day 5. Phosphorylated focal adhesion kinase (FAK) levels steadily decreased after
IOP normalization, while levels of phosphorylated paxillin (a downstream target
of FAK involved in focal adhesion dynamics) were significantly elevated 5 days
post IOP normalization. The levels of phosphorylated cortactin (a downstream
target of Src kinase involved in actin polymerization) were significantly
elevated 1 and 3 days post IOP normalization and returned to control levels by
day 5. No significant axon degeneration was noted by morphologic assessment up to
5 days post IOP normalization. Actin-based astrocyte structure and signaling
within the ONH are significantly altered within hours after IOP elevation and
prior to axonal cytoskeletal rearrangement, producing some responses that recover
rapidly and others that persist for days despite IOP normalization.
PMID- 27893829
TI - Sansevieria roxburghiana Schult. & Schult. F. (Family: Asparagaceae) Attenuates
Type 2 Diabetes and Its Associated Cardiomyopathy.
AB - BACKGROUND: Sansevieria roxburghiana Schult. & Schult. F. (Family: Asparagaceae)
rhizome has been claimed to possess antidiabetic activity in the ethno-medicinal
literature in India. Therefore, present experiments were carried out to explore
the protective role of edible (aqueous) extract of S. roxburghiana rhizome (SR)
against experimentally induced type 2 diabetes mellitus (T2DM) and its associated
cardiomyopathy in Wistar rats. METHODS: SR was chemically characterized by GC-MS
analysis. Antidiabetic activity of SR (50 and 100 mg/kg, orally) was measured in
high fat diets (ad libitum) + low-single dose of streptozotocin (35 mg/kg,
intraperitoneal) induced type 2 diabetic (T2D) rat. Fasting blood glucose level
was measured at specific intermissions. Serum biochemical and inflammatory
markers were estimated after sacrificing the animals. Besides, myocardial redox
status, expressions of signal proteins (NF-kappaB and PKCs), histological and
ultrastructural studies of heart were performed in the controls and SR treated
T2D rats. RESULTS: Phytochemical screening of the crude extract revealed the
presence of phenolic compounds, sugar alcohols, sterols, amino acids, saturated
fatty acids within SR. T2D rats exhibited significantly (p < 0.01) higher fasting
blood glucose level with respect to control. Alteration in serum lipid profile (p
< 0.01) and increased levels of lactate dehydrogenase (p < 0.01) and creatine
kinase (p < 0.01) in the sera revealed the occurrence of hyperlipidemia and cell
destruction in T2D rats. T2DM caused significant (p < 0.05-0.01) alteration in
the biochemical markers in the sera. T2DM altered the redox status (p < 0.05
0.01), decreased (p < 0.01) the intracellular NAD and ATP concentrations in the
myocardial tissues of experimental rats. While investigating the molecular
mechanism, activation PKC isoforms was observed in the selected tissues. T2D rats
also exhibited an up-regulation in nuclear NF-kappaB (p65) in the cardiac
tissues. So, oral administration of SR (50 and 500 mg/kg) could reduce
hyperglycemia, hyperlipidemia, membrane disintegration, oxidative stress,
vascular inflammation and prevented the activation of oxidative stress induced
signaling cascades leading to cell death. Histological and ultra-structural
studies of cardiac tissues supported the protective characteristics of SR.
CONCLUSIONS: From the present findings it can be concluded that, SR could offer
protection against T2DM and its associated cardio-toxicity via multiple
mechanisms viz. hypoglycemic, antioxidant and anti-inflammatory actions.
PMID- 27893832
TI - Evolutionary Algorithm for RNA Secondary Structure Prediction Based on Simulated
SHAPE Data.
AB - BACKGROUND: Non-coding RNAs perform a wide range of functions inside the living
cells that are related to their structures. Several algorithms have been proposed
to predict RNA secondary structure based on minimum free energy. Low prediction
accuracy of these algorithms indicates that free energy alone is not sufficient
to predict the functional secondary structure. Recently, the obtained information
from the SHAPE experiment greatly improves the accuracy of RNA secondary
structure prediction by adding this information to the thermodynamic free energy
as pseudo-free energy. METHOD: In this paper, a new method is proposed to predict
RNA secondary structure based on both free energy and SHAPE pseudo-free energy.
For each RNA sequence, a population of secondary structures is constructed and
their SHAPE data are simulated. Then, an evolutionary algorithm is used to
improve each structure based on both free and pseudo-free energies. Finally, a
structure with minimum summation of free and pseudo-free energies is considered
as the predicted RNA secondary structure. RESULTS AND CONCLUSIONS:
Computationally simulating the SHAPE data for a given RNA sequence requires its
secondary structure. Here, we overcome this limitation by employing a population
of secondary structures. This helps us to simulate the SHAPE data for any RNA
sequence and consequently improves the accuracy of RNA secondary structure
prediction as it is confirmed by our experiments. The source code and web server
of our proposed method are freely available at http://mostafa.ut.ac.ir/ESD-Fold/.
PMID- 27893830
TI - Mechanistic Studies and Modeling Reveal the Origin of Differential Inhibition of
Gag Polymorphic Viruses by HIV-1 Maturation Inhibitors.
AB - HIV-1 maturation inhibitors (MIs) disrupt the final step in the HIV-1 protease
mediated cleavage of the Gag polyprotein between capsid p24 capsid (CA) and
spacer peptide 1 (SP1), leading to the production of infectious virus. BMS-955176
is a second generation MI with improved antiviral activity toward polymorphic Gag
variants compared to a first generation MI bevirimat (BVM). The underlying
mechanistic reasons for the differences in polymorphic coverage were studied
using antiviral assays, an LC/MS assay that quantitatively characterizes CA/SP1
cleavage kinetics of virus like particles (VLPs) and a radiolabel binding assay
to determine VLP/MI affinities and dissociation kinetics. Antiviral assay data
indicates that BVM does not achieve 100% inhibition of certain polymorphs, even
at saturating concentrations. This results in the breakthrough of infectious
virus (partial antagonism) regardless of BVM concentration. Reduced maximal
percent inhibition (MPI) values for BVM correlated with elevated EC50 values,
while rates of HIV-1 protease cleavage at CA/SP1 correlated inversely with the
ability of BVM to inhibit HIV-1 Gag polymorphic viruses: genotypes with more
rapid CA/SP1 cleavage kinetics were less sensitive to BVM. In vitro inhibition of
wild type VLP CA/SP1 cleavage by BVM was not maintained at longer cleavage times.
BMS-955176 exhibited greatly improved MPI against polymorphic Gag viruses, binds
to Gag polymorphs with higher affinity/longer dissociation half-lives and
exhibits greater time-independent inhibition of CA/SP1 cleavage compared to BVM.
Virological (MPI) and biochemical (CA/SP1 cleavage rates, MI-specific Gag
affinities) data were used to create an integrated semi-quantitative model that
quantifies CA/SP1 cleavage rates as a function of both MI and Gag polymorph. The
model outputs are in accord with in vitro antiviral observations and correlate
with observed in vivo MI efficacies. Overall, these findings may be useful to
further understand antiviral profiles and clinical responses of MIs at a basic
level, potentially facilitating further improvements to MI potency and coverage.
PMID- 27893831
TI - Retinal Pre-Conditioning by CD59a Knockout Protects against Light-Induced
Photoreceptor Degeneration.
AB - Complement dysregulation plays a key role in the pathogenesis of age-related
macular degeneration (AMD), but the specific mechanisms are incompletely
understood. Complement also potentiates retinal degeneration in the murine light
damage model. To test the retinal function of CD59a, a complement inhibitor,
CD59a knockout (KO) mice were used for light damage (LD) experiments. Retinal
degeneration and function were compared in WT versus KO mice following light
damage. Gene expression changes, endoplasmic reticulum (ER) stress, and glial
cell activation were also compared. At baseline, the ERG responses and rhodopsin
levels were lower in CD59aKO compared to wild-type (WT) mice. Following LD, the
ERG responses were better preserved in CD59aKO compared to WT mice.
Correspondingly, the number of photoreceptors was higher in CD59aKO retinas than
WT controls after LD. Under normal light conditions, CD59aKO mice had higher
levels than WT for GFAP immunostaining in Muller cells, mRNA and protein levels
of two ER-stress markers, and neurotrophic factors. The reduction in photon
capture, together with the neurotrophic factor upregulation, may explain the
structural and functional protection against LD in the CD59aKO.
PMID- 27893833
TI - Increasing the Size of the Microbial Biomass Altered Bacterial Community
Structure which Enhances Plant Phosphorus Uptake.
AB - Agricultural production can be limited by low phosphorus (P) availability, with
soil P being constrained by sorption and precipitation reactions making it less
available for plant uptake. There are strong links between carbon (C) and
nitrogen (N) availability and P cycling within soil P pools, with microorganisms
being an integral component of soil P cycling mediating the availability of P to
plants. Here we tested a conceptual model that proposes (i) the addition of
readily-available organic substrates would increase the size of the microbial
biomass thus exhausting the pool of easily-available P and (ii) this would cause
the microbial biomass to access P from more recalcitrant pools. In this model it
is hypothesised that the size of the microbial population is regulating access to
less available P rather than the diversity of organisms contained within this
biomass. To test this hypothesis we added mixtures of simple organic compounds
that reflect typical root exudates at different C:N ratios to a soil microcosm
experiment and assessed changes in soil P pools, microbial biomass and bacterial
diversity measures. We report that low C:N ratio (C:N = 12.5:1) artificial root
exudates increased the size of the microbial biomass while high C:N ratio (C:N =
50:1) artificial root exudates did not result in a similar increase in microbial
biomass. Interestingly, addition of the root exudates did not alter bacterial
diversity (measured via univariate diversity indices) but did alter bacterial
community structure. Where C, N and P supply was sufficient to support plant
growth the increase observed in microbial biomass occurred with a concurrent
increase in plant yield.
PMID- 27893834
TI - Selective Pressure Promotes Tetracycline Resistance of Chlamydia Suis in
Fattening Pigs.
AB - In pigs, Chlamydia suis has been associated with respiratory disease, diarrhea
and conjunctivitis, but there is a high rate of inapparent C. suis infection
found in the gastrointestinal tract of pigs. Tetracycline resistance in C. suis
has been described in the USA, Italy, Switzerland, Belgium, Cyprus and Israel.
Tetracyclines are commonly used in pig production due to their broad-spectrum
activity and relatively low cost. The aim of this study was to isolate clinical
C. suis samples in cell culture and to evaluate their antibiotic susceptibility
in vitro under consideration of antibiotic treatment on herd level. Swab samples
(n = 158) identified as C. suis originating from 24 farms were further processed
for isolation, which was successful in 71% of attempts with a significantly
higher success rate from fecal swabs compared to conjunctival swabs. The farms
were divided into three treatment groups: A) farms without antibiotic treatment,
B) farms with prophylactic oral antibiotic treatment of the whole herd consisting
of trimethoprime, sulfadimidin and sulfathiazole (TSS), or C) farms giving herd
treatment with chlortetracycline with or without tylosin and sulfadimidin (CTS).
59 isolates and their corresponding clinical samples were selected and tested for
the presence or absence of the tetracycline resistance class C gene [tet(C)] by
conventional PCR and isolates were further investigated for their antibiotic
susceptibility in vitro. The phenotype of the investigated isolates was either
classified as tetracycline sensitive (Minimum inhibitory concentration [MIC] < 2
MUg/ml), intermediate (2 MUg/ml <= MIC < 4 MUg/ml) or resistant (MIC >= 4
MUg/ml). Results of groups and individual pigs were correlated with antibiotic
treatment and time of sampling (beginning/end of the fattening period). We found
clear evidence for selective pressure as absence of antibiotics led to isolation
of only tetracycline sensitive or intermediate strains whereas tetracycline
treatment resulted in a greater number of tetracycline resistant isolates.
PMID- 27893835
TI - Onset of Oviposition Triggers Abrupt Reduction in Migratory Flight Behavior and
Flight Muscle in the Female Beet Webworm, Loxostege sticticalis.
AB - Flight and reproduction are usually considered as two life history traits that
compete for resources in a migratory insect. The beet webworm, Loxostege
sticticalis L., manages the costs of migratory flight and reproduction through a
trade-off in timing of these two life history traits, where migratory behavior
occurs during the preoviposition period. To gain insight into how migratory
flight and reproduction are coordinated in the female beet webworm, we conducted
experiments beginning at the end of the preoviposition period. We used flight
mills to test whether flight performance and supportive flight musculature and
fuel are affected by the number of eggs oviposited, or by the age of mated and
unmated females after onset of oviposition by the former. The results showed that
flight distance, flight velocity, flight duration, and flight muscle mass
decreased abruptly at the onset of oviposition, compared to that of virgin
females of the same age which did not change over the next 7 d. These results
indicate that onset of oviposition triggers a decrease in flight performance and
capacity in female beet webworms, as a way of actively managing reallocation of
resources away from migratory flight and into egg production. In addition to the
abrupt switch, there was a gradual, linear decline in flight performance, flight
muscle mass, and flight fuel relative to the number of eggs oviposited. The
histolysis of flight muscle and decrease of triglyceride content indicate a
progressive degradation in the ability of adults to perform additional migratory
flights after onset of oviposition. Although the results show that substantial,
albeit reduced, long-duration flights remain possible after oviposition begins,
additional long-distance migratory flights probably are not launched after the
initiation of oviposition.
PMID- 27893836
TI - Prognostic Value of Serum Free Light Chains Measurements in Multiple Myeloma
Patients.
AB - BACKGROUND: The outcome for patients with Multiple Myeloma (MM) is highly
variable, therefore, the existence of robust and easy to determine prognostic
markers is extremely important for an efficient management of these patients.
Presently, there is a debate about the role of the serum free light chains (sFLC)
in the prognosis of MM patients both at diagnosis and after treatment. The aim of
this study is to evaluate in a cohort of newly diagnosed MM patients from the
Southern area of Spain, the prognostic value of sFLC both at baseline and after
treatment. MATERIALS AND METHODS: 180 patients with a median age of 69 years were
followed-up for a median time of 35 (18-61) months. The sFLC ratio (sFLCR) was
calculated using the monoclonal sFLC as numerator. Patients were divided in two
groups according to a sFLCR cut-off based on ROC analysis. The primary endpoints
were the Overall Survival (OS) and the Progression-free Survival (PFS).
Additionally, thirty-six MM patients treated with novel agents
(Bortezomib/Dexamethasone) that achieved Complete Response (CR) or stringent CR
(sCR) before autologous stem cell transplantation were studied to assess the
impact of sCR in Disease Free Survival (DFS) and OS. RESULTS: During follow-up
there were 72 disease-related deaths. The 5-years OS for the whole group was 51%.
However, separate analysis of patients with sFLCR above (group "high") or below
(groups "low") the cut-off value of 47 shows an OS of 23% and 73%, respectively
(HR = 5.03, 95%CI 2.99-8.50, p<0.001). In addition, analysis by ISS stage, showed
that the presence of high sFLCR was always significantly associated with a worse
OS. Multivariate analysis identified sFLCR (HR = 4.42, 95%CI 2.57-7.60, p<0.001)
and beta-2-microglobulin (B2M) (HR = 3.04, 95%IC 1.75-5.31, p<0.001) as
independent risk factors for adverse outcome. A new risk stratification model
based on sFLCR>=47 and B2M>3.5 mg/L provided a statistically more significant
result for this cohort when compared with the conventional ISS system. The HR for
the new model were 2.84 (95% CI, 1.39-5.79, p = 0.004) for patients in stage 2
and 15.39 (95% CI, 6.35-37.33, p<0.001) for those in stage 3. Finally, in the
group of patients reaching CR (19/36) or sCR (17/36) after induction, the median
DFS for CR patients was 29 months, and NR for sCR patients (HR = 3.73; 95% CI
1.15-12.13, p = 0.03). Importantly, achieving sCR also translated into a
significantly longer OS (5y-OS: sCR-89% versus CR-49%; p = 0.003; OS: sCR-NR
versus CR-52 months). CONCLUSIONS: Our findings confirm the observations that the
sFLCR has a major role in the survival of MM patients. A cut-off of sFLCR>=47 was
shown to have an independent prognostic value at diagnosis, and a proposed "New
Staging System" allows an accurate and simple method to risk stratify MM
patients. Furthermore, because achievement of sCR was shown to represent a
response state deeper than conventional CR resulting in greater OS and DFS, our
study supports the continuity of sFLC ratio as part of the response criteria for
MM patients.
PMID- 27893838
TI - Performance Evaluation of Malaria Microscopists at Defense Health Facilities in
Addis Ababa and Its Surrounding Areas, Ethiopia.
AB - BACKGROUND: Blood film microscopy is the gold standard approach for malaria
diagnosis, and preferred method for routine patient diagnosis in health
facilities. However, the inability of laboratory professionals to correctly
detect and identify malaria parasites microscopically leads to an inappropriate
administration of anti-malarial drugs to the patients and incorrect findings in
research areas. This study was carried out to evaluate the performance of
laboratory professionals in malaria diagnosis in health facilities under the
Defense Health Main Department in Addis Ababa and its surroundings, Ethiopia.
METHOD: A cross sectional study was conducted from June to July 2015. Totally, 60
laboratory professionals out of the selected 16 health facilities were included
in the study. Data were collected by distributing standardized pre-validated
malaria slide-panels and self-administered questionnaires among professionals,
onsite in each study facility. Sensitivity, specificity, and strength of
agreement (with kappa score) in performance among the study participants against
WHO-certified expert malaria microscopists were calculated. RESULT: Of the 60
study participants, 8.3% (5/60) correctly read all the distributed slides in
terms of parasite detection, species identification and parasite counting;
whereas, each of the remaining 55(91.7%) interpreted at least two slides
incorrectly. The overall sensitivity and specificity of participants' performance
in detection of malaria parasites were 65.7% and 100%, respectively. Overall,
fair agreement (71.4%; Kappa: 0.4) in detection of malaria parasite was observed
between the study subjects and expert readers. The overall sensitivity and
specificity of participants in species identification of malaria parasites were
respectively 41.3% and 100%. Overall, slight agreement (51.1%; kappa: 0.04) in
identification of malaria species was observed. Generally, agreement was lower in
parasite detection and species identification at low parasite density and mixed
infection cases. CONCLUSION: The general agreement between the study participants
and expert microscopists in malaria parasite detection and species identification
was very low, particularly in the cases of low-parasite density and mixed
infections. Therefore, regular external quality assessments and further
refreshment trainings are crucial to enhance the skill of professionals in
malaria microscopy; particularly for those in non-malarious areas where exposure
to malaria diagnosis is low.
PMID- 27893837
TI - Cell Type-Specific Modulation of Cobalamin Uptake by Bovine Serum.
AB - Tracking cellular 57Co-labelled cobalamin (57Co-Cbl) uptake is a well-established
method for studying Cbl homeostasis. Previous studies established that bovine
serum is not generally permissive for cellular Cbl uptake when used as a
supplement in cell culture medium, whereas supplementation with human serum
promotes cellular Cbl uptake. The underlying reasons for these differences are
not fully defined. In the current study we address this question. We extend
earlier observations by showing that fetal calf serum inhibits cellular 57Co-Cbl
uptake by HT1080 cells (a fibrosarcoma-derived fibroblast cell line).
Furthermore, we discovered that a simple heat-treatment protocol (95 degrees C
for 10 min) ameliorates this inhibitory activity for HT1080 cell 57Co-Cbl uptake.
We provide evidence that the very high level of haptocorrin in bovine serum (as
compared to human serum) is responsible for this inhibitory activity. We suggest
that bovine haptocorrin competes with cell-derived transcobalamin for Cbl
binding, and that cellular Cbl uptake may be minimised in the presence of large
amounts of bovine haptocorrin that are present under routine in vitro cell
culture conditions. In experiments conducted with AG01518 cells (a neonatal
foreskin-derived fibroblast cell line), overall cellular 57Co-Cbl uptake was 86%
lower than for HT1080 cells, cellular TC production was below levels detectable
by western blotting, and heat treatment of fetal calf serum resulted in only a
modest increase in cellular 57Co-Cbl uptake. We recommend a careful assessment of
cell culture protocols should be conducted in order to determine the potential
benefits that heat-treated bovine serum may provide for in vitro studies of
mammalian cell lines.
PMID- 27893839
TI - No Association between TNF-alpha -308G/A Polymorphism and Idiopathic Recurrent
Miscarriage: A Systematic Review with Meta-Analysis and Trial Sequential
Analysis.
AB - BACKGROUND: Conflicting results were reported on the association between the TNF
alpha -308G/A polymorphism and idiopathic recurrent miscarriage (IRM). Though
three meta-analyses have been conducted on this topic, the conclusions were
contradictory, and the results may be unreliable as certain crucial conditions
were neglected. METHOD: A complete search was conducted in PubMed, Cochrane
Library, and Embase, other sources like Google Scholar, ClinicalTrial.gov and
reference lists of relevant articles were also retrieved. All candidate articles
were accessed and screened using specific inclusion and exclusion criteria.
Statistical analyses were performed on data extracted from eligible studies using
the STATA 12.0 software and the TSA 0.9 beta software. RESULTS: Eventually, 12
case-control studies from 11 publications (with 1,807 cases and 2,012 controls)
were included in this meta-analysis, and no evidence of any significant
association was found in the overall analyses between the TNF-alpha -308G/A
polymorphism and IRM risk. However, significant association was shown in Asian
population (four studies from three publications) in the dominant model (AA + GA
vs. GG), the allelic model (A vs. G), and the heterozygote model (GA vs. GG).
CONCLUSIONS: TNF-alpha -308G/A polymorphism is not associated with IRM risk.
Though significant association was found in Asian population, the result needs
further confirmation from more studies.
PMID- 27893840
TI - Impact of Prolonged Temporal Discrimination Threshold on Finger Movements of
Parkinson's Disease.
AB - INTRODUCTION: Sensory information is essential for the precise control of
movement. Patients with Parkinson's disease (PD) have higher-order sensory
dysfunctions including prolonged temporal discrimination threshold (TDT).
However, the impact of prolonged TDT on parkinsonian motor deficits is uncertain.
METHODS: This study includes 33 PD patients and 24 healthy controls. TDT values
were measured in the index finger. Using coin rotation task (CRT), dexterous
finger movement was assessed. Using an inertial sensor, the speed, amplitude, and
frequency of finger tapping were measured. The impact of prolonged index finger
TDT on two different finger movements was analyzed using the general estimating
equation. RESULTS: Compared to healthy controls, TDT was prolonged in the PD
patients. There was no impact of TDT on mean values or decrement for amplitude
and speed, as well as mean values, decrement and variability of tapping
frequency. However, prolonged TDT had a significant impact on the variability in
amplitude (B = 436.905 * 10-4, Wald chi2 = 9.140, p = 0.014) and speed (B =
425.655 * 10-4, Wald chi2 = 9.876, p = 0.014) of finger tapping. There was a
marginal correlation between TDT and CRT. In addition, CRT correlated with
variability in amplitude and speed of finger tapping. CONCLUSION: In PD,
cutaneous temporal discriminative sensory dysfunction appears to be related to
increased variabilities in the speed and amplitude of fast repetitive finger
movements and disturbed finger dexterity.
PMID- 27893841
TI - Seroprevalence of Cutaneous Human Papillomaviruses and the Risk of External
Genital Lesions in Men: A Nested Case-Control Study.
AB - BACKGROUND: A variety of cutaneous human papillomaviruses (HPV) are detectable in
genital epithelial lesions in men and non-melanoma skin cancer patients. It
remains unclear whether these viruses are associated causally with skin lesions.
To date, no study has prospectively examined the association between cutaneous
HPV seropositivity and development of external genital lesions (EGLs) in men.
OBJECTIVES: To examine the association between seropositivity to cutaneous HPV
types and the risk of subsequent development of EGLs. METHODS: A nested case
control study including 163 incident EGL cases and 352 EGL-free controls in the
HPV Infection in Men (HIM) Study cohort was conducted. Cases were ascertained at
each of up to 10 biannual clinical visits and verified through biopsy and
pathological diagnoses. EGLs were categorized as condyloma, suggestive of
condyloma, penile intraepithelial neoplasia (PeIN), and other EGLs. Archived
serum specimens collected at baseline were tested for antibodies against 14
cutaneous HPV types (beta types (5, 8, 12, 14, 17, 22, 23, 24, 38, and 47), alpha
type 27, gamma type 4, MU type 1, and nu type 41) using a GST L1-based multiplex
serology assay. Socio-demographic and sexual behavior data were collected through
a questionnaire. Using logistic regression, adjusted odds ratios (AOR) and 95%
confidence intervals (CI) were estimated. RESULTS: Overall, seropositivity to >=1
cutaneous HPV type (any-HPV) and >=1 beta types (any-beta) was 58.3% and 37.5%
among other EGL cases, 71.6% and 46.8% among condyloma, 66.8% and 50.0% among
PeIN, and 71.9% and 38.4% among controls, respectively. Type-specific
seropositivity was most common for ?-HPV 4, MU-HPV 1, and beta-HPV 8. No
statistically significant association was observed between any-HPV, any-beta, and
type-specific HPV seropositivity and subsequent development of EGLs across all
pathological diagnoses. CONCLUSIONS: Overall, seropositivity to cutaneous HPV was
common among men; however, it appears that cutaneous HPV is not associated with
the development of genital lesions in men.
PMID- 27893842
TI - T Cell Receptor Vbeta Staining Identifies the Malignant Clone in Adult T cell
Leukemia and Reveals Killing of Leukemia Cells by Autologous CD8+ T cells.
AB - There is growing evidence that CD8+ cytotoxic T lymphocyte (CTL) responses can
contribute to long-term remission of many malignancies. The etiological agent of
adult T-cell leukemia/lymphoma (ATL), human T lymphotropic virus type-1 (HTLV-1),
contains highly immunogenic CTL epitopes, but ATL patients typically have low
frequencies of cytokine-producing HTLV-1-specific CD8+ cells in the circulation.
It remains unclear whether patients with ATL possess CTLs that can kill the
malignant HTLV-1 infected clone. Here we used flow cytometric staining of
TCRVbeta and cell adhesion molecule-1 (CADM1) to identify monoclonal populations
of HTLV-1-infected T cells in the peripheral blood of patients with ATL. Thus, we
quantified the rate of CD8+-mediated killing of the putative malignant clone in
ex vivo blood samples. We observed that CD8+ cells from ATL patients were unable
to lyse autologous ATL clones when tested directly ex vivo. However, short in
vitro culture restored the ability of CD8+ cells to kill ex vivo ATL clones in
some donors. The capacity of CD8+ cells to lyse HTLV-1 infected cells which
expressed the viral sense strand gene products was significantly enhanced after
in vitro culture, and donors with an ATL clone that expressed the HTLV-1 Tax gene
were most likely to make a detectable lytic CD8+ response to the ATL cells. We
conclude that some patients with ATL possess functional tumour-specific CTLs
which could be exploited to contribute to control of the disease.
PMID- 27893843
TI - Comparative Effectiveness of Smoking Cessation Medications: A National
Prospective Cohort From Taiwan.
AB - BACKGROUND AND OBJECTIVE: Relative effectiveness of smoking cessation medications
varenicline, bupropion and nicotine replacement therapy (NRT)-remains unclear
among smokers in real-world settings. Evidence in females and smokers with
light/moderate nicotine dependence is particularly insufficient. This study
compared the effectiveness of varenicline, bupropion or NRT gum relative to NRT
patch, in achieving abstinence among recent quitters. METHODS: In a national
smoking cessation program in Taiwan (2012-2015), a cohort of 11,968 participants
received varenicline (n = 5,052), bupropion (n = 823), NRT gum (n = 1944) or NRT
patch (n = 4,149). The 7-day, 1-month or 6-month point-prevalence was calculated
based on self-reported last smoking event via telephone interview after 6 months.
Logistic regression modellings estimated odds ratios (OR) and 95% confidence
intervals (CI) for achieving abstinence using different modalities (NRT patch as
referent). Models included age, sex, education, marital status, geographic
region, smoke-years, nicotine-dependence level, medical institution, number of
clinic visits and medication use duration. Analyses were further stratified by
sex and dependence severity. RESULTS: Participants were predominantly male (83%)
with a mean age of 43.7+/-12.6 years. Varenicline users were more likely than NRT
patch users to achieve abstinence, based on 7-day point-prevalence (OR = 1.30,
CI: 1.19-1.44), 1-month point-prevalence (OR = 1.36, CI: 1.24-1.50) or 6-month
point-prevalence (OR = 1.30, CI: 1.14-1.47). Compared with NRT patch, varenicline
was associated with greater odds of being abstinent in women (OR = 1.29, CI: 1.01
1.65), men (OR = 1.31, CI: 1.18-1.46), those with light/moderate dependence (OR =
1.42, CI: 1.24-1.63) or smokers with severe dependence (OR = 1.19, CI: 1.04
1.37), based on 7-day point-prevalence. Differences in effectiveness were not
observed between users of bupropion, NRT gum and NRT patch. CONCLUSIONS: In
smoking cessation clinics in Taiwan, varenicline users reported higher abstinence
rates than NRT patch users after 6 months. Women and smokers with light/moderate
nicotine dependence may also benefit from varenicline in actual clinical
practice.
PMID- 27893844
TI - The Florida Harvester Ant, Pogonomyrmex badius, Relies on Germination to Consume
Large Seeds.
AB - The Florida harvester ant, Pogonomyrmex badius, is one of many ant species and
genera that stores large numbers of seeds in damp, underground chambers for later
consumption. A comparison of the sizes of seeds recovered from storage chambers
with those of seed husks discarded following consumption revealed that the used
seeds are far smaller than stored seeds. This difference in use-rate was
confirmed in field and laboratory colonies by offering marked seeds of various
sizes and monitoring the appearance of size-specific chaff. Because foragers
collect a range of seed sizes but only open small seeds, large seeds accumulate,
forming 70% or more of the weight of seed stores. Major workers increase the
rates at which small and medium seeds are opened, but do not increase the size
range of opened seeds. Experiments limiting ant access to portions of natural
seed chambers showed that seeds germinate during storage, but that the ants
rapidly remove them. When offered alongside non germinating seeds, germinating
seeds were preferentially fed to larvae. The rate of germination during the
annual cycle was determined by both burial in artificial chambers at various
depths and under four laboratory temperatures. The germination rate depends upon
the species of seed, the soil/laboratory temperature and/or the elapsed time. The
seasonal soil temperature cycle generated germination patterns that vary with the
mix of locally-available seeds. Taken together, exploitation of germination
greatly increases the resources available to the ants in space and time. While
the largest seeds may have the nutritional value of 15 small seeds, the inability
of workers to open large seeds at will precludes them from rapid use during
catastrophic events. The harvester ant's approach to seed harvesting is therefore
two-pronged, with both immediate and delayed payoffs arising from the tendency to
forage for a wide variety of seeds sizes.
PMID- 27893845
TI - Meta-Heuristics in Short Scale Construction: Ant Colony Optimization and Genetic
Algorithm.
AB - The advent of large-scale assessment, but also the more frequent use of
longitudinal and multivariate approaches to measurement in psychological,
educational, and sociological research, caused an increased demand for
psychometrically sound short scales. Shortening scales economizes on valuable
administration time, but might result in inadequate measures because reducing an
item set could: a) change the internal structure of the measure, b) result in
poorer reliability and measurement precision, c) deliver measures that cannot
effectively discriminate between persons on the intended ability spectrum, and d)
reduce test-criterion relations. Different approaches to abbreviate measures fare
differently with respect to the above-mentioned problems. Therefore, we compare
the quality and efficiency of three item selection strategies to derive short
scales from an existing long version: a Stepwise COnfirmatory Factor Analytical
approach (SCOFA) that maximizes factor loadings and two metaheuristics,
specifically an Ant Colony Optimization (ACO) with a tailored user-defined
optimization function and a Genetic Algorithm (GA) with an unspecific cost
reduction function. SCOFA compiled short versions were highly reliable, but had
poor validity. In contrast, both metaheuristics outperformed SCOFA and produced
efficient and psychometrically sound short versions (unidimensional, reliable,
sensitive, and valid). We discuss under which circumstances ACO and GA produce
equivalent results and provide recommendations for conditions in which it is
advisable to use a metaheuristic with an unspecific out-of-the-box optimization
function.
PMID- 27893847
TI - A2A Adenosine Receptor Antagonism Reverts the Blood-Brain Barrier Dysfunction
Induced by Sleep Restriction.
AB - Chronic sleep restriction induces blood-brain barrier disruption and increases
pro-inflammatory mediators in rodents. Those inflammatory mediators may modulate
the blood-brain barrier and constitute a link between sleep loss and blood-brain
barrier physiology. We propose that adenosine action on its A2A receptor may be
modulating the blood-brain barrier dynamics in sleep-restricted rats. We
administrated a selective A2A adenosine receptor antagonist (SCH58261) in sleep
restricted rats at the 10th day of sleep restriction and evaluated the blood
brain barrier permeability to dextrans coupled to fluorescein (FITC-dextrans) and
Evans blue. In addition, we evaluated by western blot the expression of tight
junction proteins (claudin-5, occludin, ZO-1), adherens junction protein (E
cadherin), A2A adenosine receptor, adenosine-synthesizing enzyme (CD73), and
neuroinflammatory markers (Iba-1 and GFAP) in the cerebral cortex, hippocampus,
basal nuclei and cerebellar vermis. Sleep restriction increased blood-brain
barrier permeability to FITC-dextrans and Evans blue, and the effect was reverted
by the administration of SCH58261 in almost all brain regions, excluding the
cerebellum. Sleep restriction increased the expression of A2A adenosine receptor
only in the hippocampus and basal nuclei without changing the expression of CD73
in all brain regions. Sleep restriction reduced the expression of tight junction
proteins in all brain regions, except in the cerebellum; and SCH58261 restored
the levels of tight junction proteins in the cortex, hippocampus and basal
nuclei. Finally, sleep restriction induced GFAP and Iba-1 overexpression that was
attenuated with the administration of SCH58261. These data suggest that the
action of adenosine on its A2A receptor may have a crucial role in blood-brain
barrier dysfunction during sleep loss probably by direct modulation of brain
endothelial cell permeability or through a mechanism that involves gliosis with
subsequent inflammation and increased blood-brain barrier permeability.
PMID- 27893846
TI - Cerebellum Transcriptome of Mice Bred for High Voluntary Activity Offers Insights
into Locomotor Control and Reward-Dependent Behaviors.
AB - The role of the cerebellum in motivation and addictive behaviors is less
understood than that in control and coordination of movements. High running can
be a self-rewarding behavior exhibiting addictive properties. Changes in the
cerebellum transcriptional networks of mice from a line selectively bred for High
voluntary running (H) were profiled relative to an unselected Control (C) line.
The environmental modulation of these changes was assessed both in activity
environments corresponding to 7 days of Free (F) access to running wheel and to
Blocked (B) access on day 7. Overall, 457 genes exhibited a significant (FDR
adjusted P-value < 0.05) genotype-by-environment interaction effect, indicating
that activity genotype differences in gene expression depend on environmental
access to running. Among these genes, network analysis highlighted 6 genes (Nrgn,
Drd2, Rxrg, Gda, Adora2a, and Rab40b) connected by their products that displayed
opposite expression patterns in the activity genotype contrast within the B and F
environments. The comparison of network expression topologies suggests that
selection for high voluntary running is linked to a predominant dysregulation of
hub genes in the F environment that enables running whereas a dysregulation of
ancillary genes is favored in the B environment that blocks running. Genes
associated with locomotor regulation, signaling pathways, reward-processing, goal
focused, and reward-dependent behaviors exhibited significant genotype-by
environment interaction (e.g. Pak6, Adora2a, Drd2, and Arhgap8). Neuropeptide
genes including Adcyap1, Cck, Sst, Vgf, Npy, Nts, Penk, and Tac2 and related
receptor genes also exhibited significant genotype-by-environment interaction.
The majority of the 183 differentially expressed genes between activity genotypes
(e.g. Drd1) were under-expressed in C relative to H genotypes and were also under
expressed in B relative to F environments. Our findings indicate that the high
voluntary running mouse line studied is a helpful model for understanding the
molecular mechanisms in the cerebellum that influence locomotor control and
reward-dependent behaviors.
PMID- 27893848
TI - The Open Form Inducer Approach for Structure-Based Drug Design.
AB - Many open form (OF) structures of drug targets were obtained a posteriori by
analysis of co-crystals with inhibitors. Therefore, obtaining the OF structure of
a drug target a priori will accelerate development of potent inhibitors. In
addition to its small active site, Trypanosoma cruzi dihydroorotate dehydrogenase
(TcDHODH) is fully functional in its monomeric form, making drug design
approaches targeting the active site and protein-protein interactions
unrealistic. Therefore, a novel a priori approach was developed to determination
the TcDHODH active site in OF. This approach consists of generating an "OF
inducer" (predicted in silico) to bind the target and cause steric repulsion with
flexible regions proximal to the active site that force it open. We provide the
first proof-of-concept of this approach by predicting and crystallizing TcDHODH
in complex with an OF inducer, thereby obtaining the OF a priori with its
subsequent use in designing potent and selective inhibitors. Fourteen co-crystal
structures of TcDHODH with the designed inhibitors are presented herein. This
approach has potential to encourage drug design against diseases where the
molecular targets are such difficult proteins possessing small AS volume. This
approach can be extended to study open/close conformation of proteins in general,
the identification of allosteric pockets and inhibitors for other drug targets
where conventional drug design approaches are not applicable, as well as the
effective exploitation of the increasing number of protein structures deposited
in Protein Data Bank.
PMID- 27893849
TI - Features of Age-Related Macular Degeneration in the General Adults and Their
Dependency on Age, Sex, and Smoking: Results from the German KORA Study.
AB - Age-related macular degeneration (AMD) is a vision impairing disease of the
central retina characterized by early and late forms in individuals older than 50
years of age. However, there is little knowledge to what extent also younger
adults are affected. We have thus set out to estimate the prevalence of early AMD
features and late AMD in a general adult population by acquiring color fundus
images in 2,840 individuals aged 25 to 74 years of the Cooperative Health
Research in the Region of Augsburg project (KORA) in South Germany. Among the
2,546 participants with gradable images for each eye, 10.9% (n = 277) had early
AMD features (applying the 9-step Age-Related Eye Disease Study Severity Scale),
0.2% (n = 6) had late AMD. Prevalence increased with age, reaching 26.3% for
early AMD features and 1.9% for late AMD at the age 70+. However, signs of early
AMD were found in subjects as young as 25 years, with the risk for early AMD
features increasing linearly by years of age in men, and, less consistent with a
linear increase, in women. Risk for early AMD features increased linearly by pack
years of smoking in men, not in women, nor was there any association with other
lifestyle or metabolic factors. By providing much sought-after prevalence
estimates for AMD from Central Europe, our data underscores a substantial
proportion of the adult population with signs of early AMD, including individuals
younger than 50 years. This supports the notion that early AMD features in the
young might be under-acknowledged.
PMID- 27893850
TI - A Convenient In Vivo Model Using Small Interfering RNA Silencing to Rapidly
Assess Skeletal Gene Function.
AB - It is difficult to study bone in vitro because it contains various cell types
that engage in cross-talk. Bone biologically links various organs, and it has
thus become increasingly evident that skeletal physiology must be studied in an
integrative manner in an intact animal. We developed a model using local
intraosseous small interfering RNA (siRNA) injection to rapidly assess the
effects of a target gene on the local skeletal environment. In this model, 160-g
male Sprague-Dawley rats were treated for 1-2 weeks. The left tibia received
intraosseous injection of a parathyroid hormone 1 receptor (Pth1r) or insulin
like growth factor 1 receptor (Igf-1r) siRNA transfection complex loaded in
poloxamer 407 hydrogel, and the right tibia received the same volume of control
siRNA. All the tibias received an intraosseous injection of recombinant human
parathyroid hormone (1-34) (rhPTH (1-34)) or insulin-like growth factor-1 (IGF
1). Calcein green and alizarin red were injected 6 and 2 days before euthanasia,
respectively. IGF-1R and PTH1R expression levels were detected via RT-PCR assays
and immunohistochemistry. Bone mineral density (BMD), microstructure, mineral
apposition rates (MARs), and strength were determined by dual-energy X-ray
absorptiometry, micro-CT, histology and biomechanical tests. The RT-PCR and
immunohistochemistry results revealed that IGF-1R and PTH1R expression levels
were dramatically diminished in the siRNA-treated left tibias compared to the
right tibias (both p<0.05). Using poloxamer 407 hydrogel as a controlled-release
system prolonged the silencing effect of a single dose of siRNA; the mRNA
expression levels of IGF-1R were lower at two weeks than at one week (p<0.01).
The BMD, bone microstructure parameters, MAR and bone strength were significantly
decreased in the left tibias compared to the right tibias (all p<0.05). This
simple and convenient local intraosseous siRNA injection model achieved gene
silencing with very small quantities of siRNA over a short treatment period (<=7
days).
PMID- 27893851
TI - Expression and Regulation of PIWIL-Proteins and PIWI-Interacting RNAs in
Rheumatoid Arthritis.
AB - OBJECTIVE: The PIWIL (P-element induced wimpy testis like protein) subfamily of
argonaute proteins is essential for Piwi-interacting RNA (piRNA) biogenesis and
their function to silence transposons during germ-line development. Here we
explored their presence and regulation in rheumatoid arthritis (RA). METHODS: The
expression of PIWIL genes in RA and osteoarthritis (OA) synovial tissues and
synovial fibroblasts (SF) was analysed by Real-time PCR, immunofluorescence and
Western blot. The expression of piRNAs was quantified by next generation small
RNA sequencing (NGS). The regulation of PIWI/piRNAs, proliferation and
methylation of LINE-1 after silencing of PIWIL genes were studied. RESULTS:
PIWIL2 and 4 mRNA were similarly expressed in synovial tissues and SF from RA and
OA patients. However, on the protein level only PIWIL4 was strongly expressed in
SF. Using NGS up to 300 piRNAs were identified in all SF without significant
differences in expression levels between RA and OASF. Of interest, the analysis
of the co-expression of the detected piRNAs revealed a less tightly regulated
pattern of piRNA-823, -4153 and -16659 expression in RASF. In RASF and OASF,
stimulation with TNFalpha+IL1beta/TLR-ligands further significantly increased the
expression levels of PIWIL2 and 4 mRNA and piRNA-16659 was significantly (4-fold)
induced upon Poly(I:C) stimulation. Silencing of PIWIL2/4 neither affect LINE-1
methylation/expression nor proliferation of RASF. CONCLUSION: We detected a new
class of small regulatory RNAs (piRNAs) and their specific binding partners
(PIWIL2/4) in synovial fibroblasts. The differential regulation of co-expression
of piRNAs in RASF and the induction of piRNA/Piwi-proteins by innate immune
stimulators suggest a role in inflammatory processes.
PMID- 27893853
TI - Four Decades of Obesity Trends among Non-Hispanic Whites and Blacks in the United
States: Analyzing the Influences of Educational Inequalities in Obesity and
Population Improvements in Education.
AB - Both obesity (body mass index >= 30) and educational attainment have increased
dramatically in the United States since the 1970s. This study analyzed the
influences of educational inequalities in obesity and population improvements in
education on national obesity trends between 1970 and 2010. For non-Hispanic
white and black males and females aged 25-74 years, educational differences in
the probability of being obese were estimated from the 1971-2012 National Health
and Nutrition Examination Surveys, and population distributions of age and
educational groups, from the 1970 Census and 2010 American Community Survey. In
the total population, obesity increased from 15.7% to 38.8%, and there were
increases in the greater obese probabilities of non-college graduates relative to
four-year college graduates. The increase in obesity would have been lower by 10%
(2.2 percentage points) if educational inequalities in obesity had stayed at
their 1970 values and lower by one third (7.9 points) if obesity inequalities had
been eliminated. Obesity inequalities were larger for females than males and for
whites than blacks, and obesity did not differ by education among black males. As
a result, the impact of obesity inequalities on the obesity trend was largest
among white females (a 47% reduction in the obesity increase if obesity
inequalities had been eliminated), and virtually zero among black males. On the
other hand, without educational improvements, the obesity increase would have
been 9% more in the total population, 23% more among white females and not
different in the other three subpopulations. Results indicate that obesity
inequalities made sizable contributions to the obesity trends, and the obesity
reductions associated with educational improvements were more limited.
PMID- 27893852
TI - A Comparative Study of SMN Protein and mRNA in Blood and Fibroblasts in Patients
with Spinal Muscular Atrophy and Healthy Controls.
AB - BACKGROUND: Clinical trials to test safety and efficacy of drugs for patients
with spinal muscular atrophy (SMA) are currently underway. Biomarkers that
document treatment-induced effects are needed because disease progression in
childhood forms of SMA is slow and clinical outcome measures may lack sensitivity
to detect meaningful changes in motor function in the period of 1-2 years of
follow-up during randomized clinical trials. OBJECTIVE: To determine and compare
SMN protein and mRNA levels in two cell types (i.e. PBMCs and skin-derived
fibroblasts) from patients with SMA types 1-4 and healthy controls in relation to
clinical characteristics and SMN2 copy numbers. MATERIALS AND METHODS: We
determined SMN1, SMN2-full length (SMN2-FL), SMN2-delta7 (SMN2-Delta7), GAPDH and
18S mRNA levels and SMN protein levels in blood and fibroblasts from a total of
150 patients with SMA and 293 healthy controls using qPCR and ELISA. We analyzed
the association with clinical characteristics including disease severity and
duration, and SMN2 copy number. RESULTS: SMN protein levels in PBMCs and
fibroblasts were higher in controls than in patients with SMA (p<0.01).
Stratification for SMA type did not show differences in SMN protein (p>0.1) or
mRNA levels (p>0.05) in either cell type. SMN2 copy number was associated with
SMN protein levels in fibroblasts (p = 0.01), but not in PBMCs (p = 0.06).
Protein levels in PBMCs declined with age in patients (p<0.01) and controls
(p<0.01)(power 1-beta = 0.7). Ratios of SMN2-Delta7/SMN2-FL showed a broad range,
primarily explained by the variation in SMN2-Delta7 levels, even in patients with
a comparable SMN2 copy number. Levels of SMN2 mRNA did not correlate with SMN2
copy number, SMA type or age in blood (p = 0.7) or fibroblasts (p = 0.09). Paired
analysis between blood and fibroblasts did not show a correlation between the two
different tissues with respect to the SMN protein or mRNA levels. CONCLUSIONS:
SMN protein levels differ considerably between tissues and activity is age
dependent in patients and controls. SMN protein levels in fibroblasts correlate
with SMN2 copy number and have potential as a biomarker for disease severity.
PMID- 27893854
TI - Dissemination and Mechanism for the MCR-1 Colistin Resistance.
AB - Polymyxins are the last line of defense against lethal infections caused by
multidrug resistant Gram-negative pathogens. Very recently, the use of polymyxins
has been greatly challenged by the emergence of the plasmid-borne mobile colistin
resistance gene (mcr-1). However, the mechanistic aspects of the MCR-1 colistin
resistance are still poorly understood. Here we report the comparative genomics
of two new mcr-1-harbouring plasmids isolated from the human gut microbiota,
highlighting the diversity in plasmid transfer of the mcr-1 gene. Further genetic
dissection delineated that both the trans-membrane region and a substrate-binding
motif are required for the MCR-1-mediated colistin resistance. The soluble form
of the membrane protein MCR-1 was successfully prepared and verified.
Phylogenetic analyses revealed that MCR-1 is highly homologous to its counterpart
PEA lipid A transferase in Paenibacili, a known producer of polymyxins. The fact
that the plasmid-borne MCR-1 is placed in a subclade neighboring the chromosome
encoded colistin-resistant Neisseria LptA (EptA) potentially implies parallel
evolutionary paths for the two genes. In conclusion, our finding provids a first
glimpse of mechanism for the MCR-1-mediated colistin resistance.
PMID- 27893855
TI - Inhibition of Matrix Metalloproteinase 9 Enhances Rod Survival in the S334ter
line3 Retinitis Pigmentosa Model.
AB - Retinitis Pigmentosa (RP) is one of the most common forms of inherited visual
loss with the initial degeneration of rod photoreceptors, followed by a
progressive cone photoreceptor deterioration. Coinciding with this visual loss,
the extracellular matrix (ECM) is reorganized, which alters matrix
metalloproteinase (MMP) activity levels. A potential pathological role of MMPs,
MMP-9 in particular, involves an excitotoxicity-mediated physiological response.
In the current study, we examine the MMP-9 and MMP-2 expression levels in the
rhodopsin S334ter-line3 RP rat model and investigate the impact of treatment with
SB-3CT, a specific MMP-9 and MMP-2 inhibitor, on rod cell survival was tested.
Retinal MMP-9 and MMP-2 expression levels were quantified by immunoblot analysis
from S334ter-line3 rats compared to controls. Gelatinolytic activities of MMP-9
and MMP-2 by zymography were examined. The geometry of rod death was further
evaluated using Voronoi analysis. Our results revealed that MMP-9 was elevated
while MMP-2 was relatively unchanged when S334ter-line 3 retinas were compared to
controls. With SB-3CT treatment, we observed gelatinolytic activity of both MMPs
was decreased and diminished clustering associated with rod death, in addition to
a robust preservation of rod photoreceptors. These results demonstrate that up
regulation of MMP-9 in retinas of S334ter-line3 are associated with rod death.
The application of SB-3CT dramatically interferes with mechanisms leading to
apoptosis in an MMP-9-dependent manner. Future studies will determine the
feasibility of using SB-3CT as a potential therapeutic strategy to slow
progression of vision loss in genetic inherited forms of human RP.
PMID- 27893856
TI - Spatial Distribution of Selected Chemical Cell Wall Components in the Embryogenic
Callus of Brachypodium distachyon.
AB - Brachypodium distachyon L. Beauv. (Brachypodium) is a species that has become an
excellent model system for gaining a better understanding of various areas of
grass biology and improving plant breeding. Although there are some studies of an
in vitro Brachypodium culture including somatic embryogenesis, detailed knowledge
of the composition of the main cell wall components in the embryogenic callus in
this species is missing. Therefore, using the immunocytochemical approach, we
targeted 17 different antigens of which five were against the arabinogalactan
proteins (AGP), three were against extensins, six recognised pectic epitopes and
two recognised hemicelluloses. These studies were complemented by histological
and scanning electron microscopy (SEM) analyses. We revealed that the
characteristic cell wall components of Brachypodium embryogenic calli are AGP
epitopes that are recognised by the JIM16 and LM2 antibodies, an extensin epitope
that is recognised by the JIM11 antibody and a pectic epitopes that is recognised
by the LM6 antibody. Furthermore, we demonstrated that AGPs and pectins are the
components of the extracellular matrix network in Brachypodium embryogenic
culture. Additionally, SEM analysis demonstrated the presence of an extracellular
matrix on the surface of the calli cells. In conclusion, the chemical
compositions of the cell walls and ECMSN of Brachypodium callus show spatial
differences that correlate with the embryogenic character of the cells. Thus, the
distribution of pectins, AGPs and hemicelluloses can be used as molecular markers
of embryogenic cells. The presented data extends the knowledge about the chemical
composition of the embryogenic callus cells of Brachypodium.
PMID- 27893858
TI - Reversing Age Related Changes of the Laryngeal Muscles by Chronic
Electrostimulation of the Recurrent Laryngeal Nerve.
AB - Age related atrophy of the laryngeal muscles -mainly the thyroarytenoid muscle
(TAM)- leads to a glottal gap and consequently to a hoarse and dysphonic voice
that significantly affects quality of life. The aim of our study was to reverse
this atrophy by inducing muscular hypertrophy by unilateral functional electrical
stimulation (FES) of the recurrent laryngeal nerve (RLN) in a large animal model
using aged sheep (n = 5). Suitable stimulation parameters were determined by
fatiguing experiments of the thyroarytenoid muscle in an acute trial. For the
chronic trial an electrode was placed around the right RLN and stimulation was
delivered once daily for 29 days. We chose a very conservative stimulation
pattern, total stimulation time was two minutes per day, or 0.14% of total time.
Overall, the mean muscle fiber diameter of the stimulated right TAM was
significantly larger than the non-stimulated left TAM (30MUm+/-1.1MUm vs. 28MUm+/
1.1 MUm, p<0.001). There was no significant shift in fiber type distribution as
judged by immunohistochemistry. The changes of fiber diameter could not be
observed in the posterior cricoarytenoid muscle (PCAM). FES is a possible new
treatment option for reversing the effects of age related laryngeal muscle
atrophy.
PMID- 27893857
TI - Shear-Wave Elastography for the Differential Diagnosis of Breast Papillary
Lesions.
AB - OBJECTIVE: To evaluate the diagnostic performance of shear-wave elastography
(SWE) for the differential diagnosis of breast papillary lesions. METHODS: This
study was an institutional review board-approved retrospective study, with a
waiver of informed consent. A total of 79 breast papillary lesions in 71
consecutive women underwent ultrasound and SWE prior to biopsy. Ultrasound
features and quantitative SWE parameters were recorded for each lesion. All
lesions were surgically excised or excised using an ultrasound-guided vacuum
assisted method. The diagnostic performances of the quantitative SWE parameters
were compared using the area under the receiver operating characteristic curve
(AUC). RESULTS: Of the 79 lesions, six (7.6%) were malignant and 12 (15.2%) were
atypical. Orientation, margin, and the final BI-RADS ultrasound assessments were
significantly different for the papillary lesions (p < 0.05). All qualitative SWE
parameters were significantly different (p < 0.05). The AUC values for SWE
parameters of benign and atypical or malignant papillary lesions ranged from
0.707 to 0.757 (sensitivity, 44.4-94.4%; specificity, 42.6-88.5%). The maximum
elasticity and the mean elasticity showed the highest AUC (0.757) to
differentiate papillary lesions. CONCLUSION: SWE provides additional information
for the differential diagnosis of breast papillary lesions. Quantitative SWE
features were helpful to differentiate breast papillary lesions.
PMID- 27893859
TI - Hippocampal Calcification on Computed Tomography in Relation to Cognitive Decline
in Memory Clinic Patients: A Case-Control Study.
AB - BACKGROUND: It was recently shown that calcification of the hippocampus can be
detected on computed tomography (CT) images and these calcifications occur in up
to 20% of people over 50 years of age. However, little is known about hippocampal
calcification and its relation to cognition and cognitive decline. Therefore, the
aim of this study was to (1) determine the prevalence of hippocampal
calcification on CT in memory clinic patients controls, and (2) to assess its
relation with cognitive decline. METHODS: 67 patients from a memory clinic
(cases) were matched by age and gender to a control group. In both groups,
hippocampal calcification was assessed by two raters on thin slice, non-contrast
enhanced brain CT images. Calcifications were scored bilaterally on presence and
severity (absent, mild, moderate, severe). Mini Mental State Exam (MMSE) score
was determined in cases. RESULTS: Hippocampal calcification presence was
significantly higher in cases (N = 26, 38.8%) compared to controls (N = 9, 13.4%)
(P < .01) with an odds ratio of 4.40 (95%CI: 1.63-14.87). In cases, MMSE score
was significantly lower in those with hippocampal calcification compared to those
without (21.6 vs 24.5, p = .02). CONCLUSION: In this case-control study we found
significantly more hippocampal calcification in patients with cognitive decline
as compared to controls. Furthermore, within the cases, MMSE score was
significantly lower in those with hippocampal calcification.
PMID- 27893861
TI - Attitudes towards Intimate Partner Violence against Women among Women and Men in
39 Low- and Middle-Income Countries.
AB - BACKGROUND: Violence against women perpetrated by an intimate partner (IPV) is
prevalent in low- and middle-income countries (LAMIC). The aim was to describe
the attitudes of women and men towards perpetration of physical violence to women
by an intimate partner, in a large group of low- and middle-income countries.
METHODS AND FINDINGS: We used data from Round Four of the UNICEF Multiple
Indicator Cluster Surveys. Attitudes towards IPV against women were assessed by a
study-specific scale asking if 'wife beating' is justified in any of five
circumstances. Overall, data from 39 countries (all had data from women and 13
countries also had data from men) were included in the analyses. The proportions
of women who held attitudes that 'wife-beating' was justified in any of the five
circumstances varied widely among countries from 2.0% (95% CI 1.7;2.3) in
Argentina to 90.2% (95% CI 88.9;91.5) in Afghanistan. Similarly, among men it
varied from 5.0% (95% CI 4.0;6.0) in Belarus to 74.5% (95% CI 72.5;76.4) in the
Central African Republic. The belief that 'wife-beating' is acceptable was most
common in Africa and South Asia, and least common in Central and Eastern Europe
and Latin America and the Caribbean. In general this belief was more common among
people in disadvantaged circumstances, including being a member of a family in
the lowest household wealth quintile, living in a rural area and having limited
formal education. Young adults were more likely to accept physical abuse by a man
of his intimate partner than those who were older, but people who had never
partnered were less likely to have these attitudes. CONCLUSIONS: Violence against
women is an international priority and requires a multicomponent response. These
data provide evidence that strategies should include major public education
programs to change attitudes about the acceptability of IPV against women, and
that these should be addressed to women and girls as well as to boys and men.
PMID- 27893860
TI - Blocking Synthesis of the Variant Surface Glycoprotein Coat in Trypanosoma brucei
Leads to an Increase in Macrophage Phagocytosis Due to Reduced Clearance of
Surface Coat Antibodies.
AB - The extracellular bloodstream form parasite Trypanosoma brucei is supremely
adapted to escape the host innate and adaptive immune system. Evasion is mediated
through an antigenically variable Variant Surface Glycoprotein (VSG) coat, which
is recycled at extraordinarily high rates. Blocking VSG synthesis triggers a
precytokinesis arrest where stalled cells persist for days in vitro with
superficially intact VSG coats, but are rapidly cleared within hours in mice. We
therefore investigated the role of VSG synthesis in trypanosome phagocytosis by
activated mouse macrophages. T. brucei normally effectively evades macrophages,
and induction of VSG RNAi resulted in little change in phagocytosis of the
arrested cells. Halting VSG synthesis resulted in stalled cells which swam
directionally rather than tumbling, with a significant increase in swim velocity.
This is possibly a consequence of increased rigidity of the cells due to a
restricted surface coat in the absence of VSG synthesis. However if VSG RNAi was
induced in the presence of anti-VSG221 antibodies, phagocytosis increased
significantly. Blocking VSG synthesis resulted in reduced clearance of anti-VSG
antibodies from the trypanosome surface, possibly as a consequence of the changed
motility. This was particularly marked in cells in the G2/ M cell cycle stage,
where the half-life of anti-VSG antibody increased from 39.3 +/- 4.2 seconds to
99.2 +/- 15.9 seconds after induction of VSG RNAi. The rates of internalisation
of bulk surface VSG, or endocytic markers like transferrin, tomato lectin or
dextran were not significantly affected by the VSG synthesis block. Efficient
elimination of anti-VSG-antibody complexes from the trypanosome cell surface is
therefore essential for trypanosome evasion of macrophages. These experiments
highlight the essentiality of high rates of VSG recycling for the rapid removal
of host opsonins from the parasite surface, and identify this process as a key
parasite virulence factor during a chronic infection.
PMID- 27893863
TI - High Vitamin D Consumption Is Inversely Associated with Cardiovascular Disease
Risk in an Urban Mexican Population.
AB - BACKGROUND: Vitamin D deficiency is a major global public health problem. Recent
epidemiological studies have assessed the relationship between vitamin D and
multiple outcomes, including cardiovascular disease. However, this evidence is
limited and inconclusive. Our purpose in this study was to evaluate the
association between dietary vitamin D intake and cardiovascular disease risk in
adult Mexican population. METHODS: We conducted a cross-sectional analysis with
the baseline data from 6294 men and women aged 20-80 years participating in the
Health Workers Cohort Study. Data on sociodemographic, lifestyle, and medical
history factors were collected with a self-administered questionnaire. Dietary
intake was evaluated by using a semi-quantitative food-frequency questionnaire.
Cardiovascular disease risk was calculated using a recalibration of the
Framingham heart disease prediction score. To evaluate the association between
vitamin D intake and 10-year cardiovascular disease risk, odds ratios (OR) and
95% confidence intervals (95% CI) were calculated using multiple logistic
regression analysis. RESULTS: A total of 6294 subjects (1820 men and 4474 women)
with a mean age of 42 years, were included. Of these, subjects in the highest
quintile of vitamin D intake presented lower levels of triglycerides 14.6 mg/dL
(P for trend = 0.001); 2.0 cm less in waist circumference (P for trend = 0.001)
and 0.8 points less in the Framingham cardiovascular disease risk score (P for
trend = 0.002) compared with the subjects in the lower quintile of vitamin D
intake. Additionally, participants in the highest quintile of vitamin D
consumption were less likely to develop elevated 10-year cardiovascular disease
risk, compared with those in the lowest quintile (OR = 0.51; 95%CI: 0.33, 0.77; P
for trend = 0.007). CONCLUSION: Our data suggest that higher consumption of
vitamin D is associated with a reduced risk of cardiovascular disease in Mexican
population.
PMID- 27893862
TI - Diversity and Evolutionary Analysis of Iron-Containing (Type-III) Alcohol
Dehydrogenases in Eukaryotes.
AB - BACKGROUND: Alcohol dehydrogenase (ADH) activity is widely distributed in the
three domains of life. Currently, there are three non-homologous NAD(P)+
dependent ADH families reported: Type I ADH comprises Zn-dependent ADHs; type II
ADH comprises short-chain ADHs described first in Drosophila; and, type III ADH
comprises iron-containing ADHs (FeADHs). These three families arose independently
throughout evolution and possess different structures and mechanisms of reaction.
While types I and II ADHs have been extensively studied, analyses about the
evolution and diversity of (type III) FeADHs have not been published yet.
Therefore in this work, a phylogenetic analysis of FeADHs was performed to get
insights into the evolution of this protein family, as well as explore the
diversity of FeADHs in eukaryotes. PRINCIPAL FINDINGS: Results showed that FeADHs
from eukaryotes are distributed in thirteen protein subfamilies, eight of them
possessing protein sequences distributed in the three domains of life.
Interestingly, none of these protein subfamilies possess protein sequences found
simultaneously in animals, plants and fungi. Many FeADHs are activated by or
contain Fe2+, but many others bind to a variety of metals, or even lack of metal
cofactor. Animal FeADHs are found in just one protein subfamily, the hydroxyacid
oxoacid transhydrogenase (HOT) subfamily, which includes protein sequences widely
distributed in fungi, but not in plants), and in several taxa from lower
eukaryotes, bacteria and archaea. Fungi FeADHs are found mainly in two
subfamilies: HOT and maleylacetate reductase (MAR), but some can be found also in
other three different protein subfamilies. Plant FeADHs are found only in
chlorophyta but not in higher plants, and are distributed in three different
protein subfamilies. CONCLUSIONS/SIGNIFICANCE: FeADHs are a diverse and ancient
protein family that shares a common 3D scaffold with a patchy distribution in
eukaryotes. The majority of sequenced FeADHs from eukaryotes are distributed in
just two subfamilies, HOT and MAR (found mainly in animals and fungi). These two
subfamilies comprise almost 85% of all sequenced FeADHs in eukaryotes.
PMID- 27893864
TI - Cost-Effectiveness of the 'One4All' HIV Linkage Intervention in Guangxi Zhuang
Autonomous Region, China.
AB - BACKGROUND: In Guangxi Zhuang Autonomous Region, China, an estimated 80% of newly
identified antiretroviral therapy (ART)-eligible patients are not engaged in ART.
Delayed ART uptake ultimately translates into high rates of HIV morbidity,
mortality, and transmission. To enhance HIV testing receipt and subsequent
treatment uptake in Guangxi, the Chinese Center for Disease Control and
Prevention (CDC) executed a cluster-randomized trial to assess the effectiveness
and cost-effectiveness of a streamlined HIV testing algorithm (the One4All
intervention) in 12 county-level hospitals. OBJECTIVE: To determine the
incremental cost-effectiveness of the One4All intervention delivered at county
hospitals in Guangxi, China, compared to the current standard of care (SOC).
PERSPECTIVE: Health System. TIME HORIZON: 1-, 5-and 25-years. METHODS: We adapted
a dynamic, compartmental HIV transmission model to simulate HIV transmission and
progression in Guangxi, China and identify the economic impact and health
benefits of implementing the One4All intervention in all Guangxi hospitals. The
One4All intervention algorithm entails rapid point-of-care HIV screening, CD4 and
viral load testing of individuals presenting for HIV screening, with same-day
results and linkage to counselling. We populated the model with data from the
One4All trial (CTN-0056), China CDC HIV registry and published reports. Model
outcomes were HIV incidence, mortality, costs, quality-adjusted life years
(QALYs), and the incremental cost-effectiveness ratio (ICER) of the One4All
intervention compared to SOC. RESULTS: The One4All testing intervention was more
costly than SOC (CNY 2,182 vs. CNY 846), but facilitated earlier ART access,
resulting in delayed disease progression and mortality. Over a 25-year time
horizon, we estimated that introducing One4All in Guangxi would result in 802
averted HIV cases and 1629 averted deaths at an ICER of CNY 11,678 per QALY
gained. Sensitivity analysis revealed that One4All remained cost-effective at
even minimal levels of effectiveness. Results were robust to changes to a range
of parameters characterizing the HIV epidemic over time. CONCLUSIONS: The One4All
HIV testing strategy was highly cost-effective by WHO standards, and should be
prioritized for widespread implementation in Guangxi, China. Integrating the
intervention within a broader combination prevention strategy would enhance the
public health response to HIV/AIDS in Guangxi.
PMID- 27893865
TI - The Decrease in Traumatic Brain Injury Epidemics Deriving from Road Traffic
Collision Following Strengthened Legislative Measures in France.
AB - BACKGROUND: Since 2002, France has been strengthening legislation on road
traffic. This study is intended to evaluate the changes in Traumatic Brain Injury
(TBI) incidence and mortality resulting from Road Traffic Collision (RTC) in the
two 6-year periods before and after 2002. METHODS: We used a Registry of all RTC
casualties in the Rhone Department of France. Each casualty was coded according
to the Abbreviated Injury Scale (AIS). The study describes changes in demographic
variables, TBI (AIS >= 2) incidence and mortality, other body lesions (AIS >= 3)
associated with TBI, road user types, seatbelt and helmet wearing. FINDINGS: RTC
casualty occurrences decreased by 21% (from 64,312 to 50,746) during the period
after 2002. TBI occurrence accounted for 8.6% and 6.7% of all RTC in both
periods. This corresponds to a reduction of TBI casualty incidence (-42%), which
was much more pronounced than RTC casualty incidence (-25%) (p < 0.0001). Severe
and critical TBI (AIS-4 and -5) incidences were reduced by half as much (-21%),
compared to TBI incidence. TBI mortality rate (among population) and lethality
(among TBI related to RTC casualties) decreased 56% and 23%, respectively. This
reduction particularly affected car occupants and victims who deceased. TBI
incidence decreased 43% in all 10-year age classes until 60 on average, this
decrease declining with age in the period after 2002. After adjustment for age,
sex, road user types, and severity of lesions at the head and other body regions,
logistic regression analysis displayed a protective effect of the period
following 2002, on the risk of death after RTC-related TBI. INTERPRETATION: The
greater reductions in the incidence, severity and mortality of TBI when compared
with the reduction of casualty incidence have mainly affected car users. These
results should be attributable to the improvements in standards of care, primary
safety of the car fleet and general road architecture safety. However, the
increased reduction in the TBI epidemics in France, when compared to those
observed in other developed countries for the same periods, suggests that the
effects should be strongly attributable to changes in road user behaviour induced
by law enforcement. The at-risk groups for TBI after RTC are now two-wheel users
(motorized or not) and individuals over 60 years of age.
PMID- 27893866
TI - Ecology and Demography of Free-Roaming Domestic Dogs in Rural Villages near
Serengeti National Park in Tanzania.
AB - Free-roaming dogs (Canis lupus familiaris) are of public health and conservation
concern because of their potential to transmit diseases, such as rabies, to both
people and wildlife. Understanding domestic dog population dynamics and how they
could potentially be impacted by interventions, such as rabies vaccination, is
vital for such disease control efforts. For four years, we measured demographic
data on 2,649 free-roaming domestic dogs in four rural villages in Tanzania: two
villages with and two without a rabies vaccination campaign. We examined the
effects of body condition, sex, age and village on survivorship and reproduction.
Furthermore, we compared sources of mortality among villages. We found that adult
dogs (>12mos) had higher survival than puppies in all villages. We observed a
male-biased sex ratio across all age classes. Overall survival in one non
vaccination village was lower than in the other three villages, all of which had
similar survival probabilities. In all villages, dogs in poor body condition had
lower survival than dogs in ideal body condition. Sickness and spotted hyena
(Crocuta crocuta) predation were the two main causes of dog death. Within
vaccination villages, vaccinated dogs had higher survivorship than unvaccinated
dogs. Dog population growth, however, was similar in all the villages suggesting
village characteristics and ownership practices likely have a greater impact on
overall dog population dynamics than vaccination. Free-roaming domestic dogs in
rural communities exist in the context of their human owners as well as the
surrounding wildlife. Our results did not reveal a clear effect of vaccination
programs on domestic dog population dynamics. An investigation of the role of
dogs and their care within these communities could provide additional insight for
planning and implementing rabies control measures such as mass dog vaccination.
PMID- 27893867
TI - The Development of Diabetes after Subtotal Gastrectomy with Billroth II
Anastomosis for Peptic Ulcer Disease.
AB - PURPOSE: A duodenal bypass after a Roux-en-Y gastric bypass operation for obesity
can ameliorate the development of diabetes mellitus (DM). We attempted to
determine the subsequent risk of developing DM after subtotal gastrectomy with
Billroth II anastomosis (SGBIIA) for peptic ulcer disease (PUD). METHODS: We
identified 662 patients undergoing SGBIIA for PUD between 2000 and 2011 from the
Longitudinal Health Insurance Database as the study cohort, and we randomly
selected 2647 controls from the peptic ulcer population not undergoing SGBIIA and
were frequency-matched by age, sex, and index year for the control cohort. All
patient cases in both cohorts were followed until the end of 2011 to measure the
incidence of DM. We analyzed DM risk by using a Cox proportional hazards
regression model. RESULTS: The patients who underwent SGBIIA demonstrated a lower
cumulative incidence of DM compared with the control cohort (log-rank test, P <
.001 and 6.73 vs 12.6 per 1000 person-y). The difference in the DM risk between
patients with and without SGBIIA increased gradually with the follow-up duration.
Age and sex did not affect the subsequent risk of developing DM, according to the
multivariable Cox regression model. Nevertheless, the SGBIIA cohort exhibited a
lower DM risk after we adjusted for the comorbidities of hypertension,
hyperlipidemia, and coronary artery disease (adjusted hazard ratio (aHR): 0.56,
95% confidence interval (CI): 0.40-0.78). The incidence rate ratio (IRR) of DM in
the SGBIIA cohort was lower than that in the control cohort for all age groups
(age <= 49 y, IRR: 0.40, 95% CI: 0.16-0.99; age 50-64 y, IRR: 0.54, 95% CI: 0.31
0.96; age ? 65 y, IRR: 0.57, 95% CI: 0.36-0.91). Moreover, the IRR of DM was
significantly lower in the SGBIIA cohort with comorbidities (IRR: 0.50, 95% CI:
0.31-0.78) compared with those without a comorbidity (IRR: 0.65, 95% CI: 0.40
1.04). CONCLUSION: The findings of this population-based cohort study revealed
that SGBIIA was associated with a reduced risk of DM development, and the inverse
association was greater in the presence of a comorbidity.
PMID- 27893869
TI - Why Are Prospective Longitudinal Studies Needed in Preterm Behavioral Epigenetic
Research?
PMID- 27893868
TI - Three Boundary Conditions for Computing the Fixed-Point Property in Binary
Mixture Data.
AB - The notion of "mixtures" has become pervasive in behavioral and cognitive
sciences, due to the success of dual-process theories of cognition. However,
providing support for such dual-process theories is not trivial, as it crucially
requires properties in the data that are specific to mixture of cognitive
processes. In theory, one such property could be the fixed-point property of
binary mixture data, applied-for instance- to response times. In that case, the
fixed-point property entails that response time distributions obtained in an
experiment in which the mixture proportion is manipulated would have a common
density point. In the current article, we discuss the application of the fixed
point property and identify three boundary conditions under which the fixed-point
property will not be interpretable. In Boundary condition 1, a finding in support
of the fixed-point will be mute because of a lack of difference between
conditions. Boundary condition 2 refers to the case in which the extreme
conditions are so different that a mixture may display bimodality. In this case,
a mixture hypothesis is clearly supported, yet the fixed-point may not be found.
In Boundary condition 3 the fixed-point may also not be present, yet a mixture
might still exist but is occluded due to additional changes in behavior. Finding
the fixed-property provides strong support for a dual-process account, yet the
boundary conditions that we identify should be considered before making
inferences about underlying psychological processes.
PMID- 27893871
TI - Why Are Prospective Longitudinal Studies Needed in Preterm Behavioral Epigenetic
Research?-Reply.
PMID- 27893870
TI - Associations Between Hydration Status, Intravenous Fluid Administration, and
Outcomes of Patients Infected With Shiga Toxin-Producing Escherichia coli: A
Systematic Review and Meta-analysis.
AB - Importance: The associations between hydration status, intravenous fluid
administration, and outcomes of patients infected with Shiga toxin-producing
Escherichia coli (STEC) remain unclear. Objective: To determine the relationship
between hydration status, the development and severity of hemolytic uremic
syndrome (HUS), and adverse outcomes in STEC-infected individuals. Data Sources:
MEDLINE, EMBASE, Cochrane Central Register of Controlled Trials via the OvidSP
platform, PubMed via the National Library of Medicine, CINAHL Plus with full
text, Scopus, Web of Science, ClinicalTrials.gov, reference lists, and gray
literature were systematically searched. Study Selection: Two reviewers
independently identified studies that included patients with hydration status
documentation, proven or presumed STEC infection, and some form of HUS that
developed. No language restrictions were applied. Data Extraction and Synthesis:
Two reviewers independently extracted individual study data, including study
characteristics, population, and outcomes. Risk of bias was assessed using the
Newcastle-Ottawa Scale; strength of evidence was adjudicated using the Grading of
Recommendations Assessment, Development, and Evaluation method. Meta-analyses
were conducted using random-effects models. Main Outcomes and Measures:
Development of HUS, complications (ie, oligoanuric renal failure, involvement of
the central nervous system, or death), and interventions (ie, renal replacement
therapy). Results: Eight studies comprising 1511 patients (all children) met
eligibility criteria. Unpublished data were provided by the authors of 7
published reports. The median risk-of-bias score was 7.5 (range, 6-9). No studies
evaluated the effect of hydration during STEC infections on the risk for HUS. A
hematocrit value greater than 23% as a measure of hydration status at
presentation with HUS was associated with the development of oligoanuric HUS (OR,
2.38 [95% CI, 1.30-4.35]; I2 = 2%), renal replacement therapy (OR, 1.90 [95% CI,
1.25-2.90]; I2 = 17%), and death (OR, 5.13 [95% CI, 1.50-17.57]; I2 = 55%).
Compared with putatively hydrated patients, clinically dehydrated patients had an
OR of death of 3.71 (95% CI, 1.25-11.03; I2 = 0%). Intravenous fluid
administration up to the day of HUS diagnosis was associated with a decreased
risk of renal replacement therapy (OR, 0.26 [95% CI, 0.11-0.60]). Conclusions and
Relevance: Two predictors of poor outcomes for STEC-infected children were
identified: (1) the lack of intravenous fluid administration prior to
establishment of HUS and (2) a higher hematocrit value at presentation. These
findings point to an association between dehydration and adverse outcomes for
children with HUS.
PMID- 27893872
TI - Intra-arterial Treatment for Basilar Artery Occlusion.
PMID- 27893873
TI - Association of Cerebrospinal Fluid Ferritin Level With Preclinical Cognitive
Decline in APOE-epsilon4 Carriers.
PMID- 27893875
TI - Can Sustained Glia-Mediated Brain Inflammation After Repeated Concussive Brain
Injury Be Detected In Vivo?
PMID- 27893874
TI - Association of Perivascular Localization of Aquaporin-4 With Cognition and
Alzheimer Disease in Aging Brains.
AB - Importance: Cognitive impairment and dementia, including Alzheimer disease (AD),
are common within the aging population, yet the factors that render the aging
brain vulnerable to these processes are unknown. Perivascular localization of
aquaporin-4 (AQP4) facilitates the clearance of interstitial solutes, including
amyloid-beta, through the brainwide network of perivascular pathways termed the
glymphatic system, which may be compromised in the aging brain. Objectives: To
determine whether alterations in AQP4 expression or loss of perivascular AQP4
localization are features of the aging human brain and to define their
association with AD pathology. Design, Setting, and Participants: Expression of
AQP4 was analyzed in postmortem frontal cortex of cognitively healthy and
histopathologically confirmed individuals with AD by Western blot or
immunofluorescence for AQP4, amyloid-beta 1-42, and glial fibrillary acidic
protein. Postmortem tissue and clinical data were provided by the Oregon Health
and Science University Layton Aging and Alzheimer Disease Center and Oregon Brain
Bank. Postmortem tissue from 79 individuals was evaluated, including cognitively
intact "young" individuals aged younger than 60 years (range, 33-57 years),
cognitively intact "aged" individuals aged older than 60 years (range, 61-96
years) with no known neurological disease, and individuals older than 60 years
(range, 61-105 years) of age with a clinical history of AD confirmed by
histopathological evaluation. Forty-eight patient samples (10 young, 20 aged, and
18 with AD) underwent histological analysis. Sixty patient samples underwent
Western blot analysis (15 young, 24 aged, and 21 with AD). Main Outcomes and
Measures: Expression of AQP4 protein, AQP4 immunoreactivity, and perivascular
AQP4 localization in the frontal cortex were evaluated. Results: Expression of
AQP4 was associated with advancing age among all individuals (R2 = 0.17; P =
.003). Perivascular AQP4 localization was significantly associated with AD status
independent of age (OR, 11.7 per 10% increase in localization; z = -2.89; P =
.004) and was preserved among eldest individuals older than 85 years of age who
remained cognitively intact. When controlling for age, loss of perivascular AQP4
localization was associated with increased amyloid-beta burden (R2 = 0.15; P =
.003) and increasing Braak stage (R2 = 0.14; P = .006). Conclusions and
Relevance: In this study, altered AQP4 expression was associated with aging
brains. Loss of perivascular AQP4 localization may be a factor that renders the
aging brain vulnerable to the misaggregation of proteins, such as amyloid-beta,
in neurodegenerative conditions such as AD.
PMID- 27893876
TI - Imaging the Acute Formation of a Cortical Microbleed in Cerebral Amyloid
Angiopathy.
PMID- 27893877
TI - Intra-arterial Treatment for Basilar Artery Occlusion-Reply.
PMID- 27893878
TI - The Affordable Care Act: Moving Forward in the Coming Years.
PMID- 27893879
TI - The Complexities of Conducting Research on Child Trafficking.
PMID- 27893881
TI - Ultra-High-Dose Opioids With Low Efficacy and Significant Harm-Time to Make a
Change: A Teachable Moment.
PMID- 27893882
TI - The Future of the ACA and Health Care Policy in the United States.
PMID- 27893880
TI - Protective Prevention Effects on the Association of Poverty With Brain
Development.
AB - Importance: This study was designed to determine whether a preventive
intervention focused on enhancing supportive parenting could ameliorate the
association between exposure to poverty and brain development in low
socioeconomic status African American individuals from the rural South.
Objective: To determine whether participation in an efficacious prevention
program designed to enhance supportive parenting for rural African American
children will ameliorate the association between living in poverty and reduced
hippocampal and amygdalar volumes in adulthood. Design, Setting, and
Participants: In the rural southeastern United States, African American parents
and their 11-year-old children were assigned randomly to the Strong African
American Families randomized prevention trial or to a control condition. Parents
provided data used to calculate income-to-needs ratios when children were aged 11
to 13 years and 16 to 18 years. When the participants were aged 25 years,
hippocampal and amygdalar volumes were measured using magnetic resonance imaging.
Exposures: Household poverty was measured by income-to-needs ratios. Main
Outcomes and Measures: Young adults' whole hippocampal, dentate gyrus, and CA3
hippocampal subfields as well as amygdalar volumes were assessed using magnetic
resonance imaging. Results: Of the 667 participants in the Strong African
American Families randomized prevention trial, 119 right-handed African American
individuals aged 25 years living in rural areas were recruited. Years lived in
poverty across ages 11 to 18 years forecasted diminished left dentate gyrus
(simple slope, -14.20; standard error, 5.22; P = .008) and CA3 (simple slope,
6.42; standard error, 2.42; P = .009) hippocampal subfields and left amygdalar
(simple slope, -34.62; standard error, 12.74; P = .008) volumes among young
adults in the control condition (mean [SD] time, 2.04 [1.88] years) but not among
those who participated in the Strong African American Families program (mean [SD]
time, 2.61 [1.77] years). Conclusions and Relevance: In this study, we described
how participation in a randomized clinical trial designed to enhance supportive
parenting ameliorated the association of years lived in poverty with left dentate
gyrus and CA3 hippocampal subfields and left amygdalar volumes. These findings
are consistent with a possible role for supportive parenting and suggest a
strategy for narrowing social disparities.
PMID- 27893883
TI - Use of Magnetic Resonance Imaging to Visualize Leptomeningeal Inflammation in
Patients With Multiple Sclerosis: A Review.
AB - Importance: Multiple sclerosis (MS) is a chronic demyelinating disease of the
central nervous system traditionally characterized by an initial relapsing
remitting clinical course and focal inflammatory lesions that have a predilection
for the periventricular white matter. Recently, however, histopathologic and
imaging studies have illustrated a more complex pathologic substrate involving
cortical demyelination, gray matter atrophy, and meningeal inflammation.
Neuroimaging advances have facilitated improved detection of cortical pathology,
but our understanding of the pathogenesis of cortical disease remains incomplete.
The purpose of this review is to evaluate the current status and future prospects
regarding the emerging role of magnetic resonance imaging to visualize
leptomeningeal enhancement in patients with MS and place these findings in the
proper pathobiologic and clinical context. Observations: Cortical atrophy and
demyelination along the subpial surface appear early in the disease course in
patients with MS but accelerate in progressive stages. Histopathologic studies of
patients have shown the presence of inflammatory infiltrates, in some cases with
features of B cell-rich tertiary lymph follicles, along the cortical meningeal
surface. Recent magnetic resonance imaging data demonstrate the ability to detect
such inflammation using high-resolution gadolinium-enhanced contrast scans by the
presence of leptomeningeal enhancement. Clinical and magnetic resonance imaging
correlation studies indicate that leptomeningeal enhancement is most common in
patients with progressive forms of MS and shows a relationship to subpial
cortical lesions and cortical atrophy. Conclusions and Relevance: A growing body
of evidence suggests that gray matter demyelination, cortical atrophy, and
leptomeningeal inflammation may be important components of progressive MS
pathology and provide a new therapeutic target. Leptomeningeal enhancement may
prove a useful surrogate marker for such pathology, perhaps improving our
understanding of the natural history of progressive MS, although its ultimate
effect on therapeutic development and clinical care requires further study.
PMID- 27893885
TI - Supporting Family Decision Makers for Nursing Home Residents: A Promising
Approach.
PMID- 27893884
TI - Effect of the Goals of Care Intervention for Advanced Dementia: A Randomized
Clinical Trial.
AB - Importance: In advanced dementia, goals of care decisions are challenging and
medical care is often more intensive than desired. Objective: To test a goals of
care (GOC) decision aid intervention to improve quality of communication and
palliative care for nursing home residents with advanced dementia. Design,
Setting, and Participants: A single-blind cluster randomized clinical trial,
including 302 residents with advanced dementia and their family decision makers
in 22 nursing homes. Interventions: A GOC video decision aid plus a structured
discussion with nursing home health care providers; attention control with an
informational video and usual care planning. Main Outcomes and Measures: Primary
outcomes at 3 months were quality of communication (QOC, questionnaire scored 0
10 with higher ratings indicating better quality), family report of concordance
with clinicians on the primary goal of care (endorsing same goal as the "best
goal to guide care and medical treatment," and clinicians' "top priority for care
and medical treatment"), and treatment consistent with preferences (Advance Care
Planning Problem score). Secondary outcomes at 9 months were family ratings of
symptom management and care, palliative care domains in care plans, Medical
Orders for Scope of Treatment (MOST) completion, and hospital transfers. Resident
family dyads were the primary unit of analysis, and all analyses used intention
to-treat assignment. Results: Residents' mean age was 86.5 years, 39 (12.9%) were
African American, and 246 (81.5%) were women. With the GOC intervention, family
decision makers reported better quality of communication (QOC, 6.0 vs 5.6; P =
.05) and better end-of-life communication (QOC end-of-life subscale, 3.7 vs 3.0;
P = .02). Goal concordance did not differ at 3 months, but family decision makers
with the intervention reported greater concordance by 9 months or death (133
[88.4%] vs 108 [71.2%], P = .001). Family ratings of treatment consistent with
preferences, symptom management, and quality of care did not differ. Residents in
the intervention group had more palliative care content in treatment plans (5.6
vs 4.7, P = .02), MOST order sets (35% vs 16%, P = .05), and half as many
hospital transfers (0.078 vs 0.163 per 90 person-days; RR, 0.47; 95% CI, 0.26
0.88). Survival at 9 months was unaffected (adjusted hazard ratio [aHR], 0.76;
95% CI, 0.54-1.08; P = .13). Conclusions and Relevance: The GOC decision aid
intervention is effective to improve end-of-life communication for nursing home
residents with advanced dementia and enhance palliative care plans while reducing
hospital transfers. Trial Registration: clinicaltrials.gov Identifier:
NCT01565642.
PMID- 27893886
TI - Integrin alpha5beta1 Inhibition by CLT-28643 Reduces Postoperative Wound Healing
in a Mouse Model of Glaucoma Filtration Surgery.
AB - Purpose: To evaluate the therapeutic potential of the small molecule integrin
alpha5beta1 inhibitor, CLT-28643, to improve the filtering surgery outcome in a
mouse model. Different dose regimens and administration routes of the inhibitor
were compared with mitomycin C (MMC), the gold standard in clin ical practice.
Methods: The efficacy of CLT-28643 on surgical outcome was studied in a mouse
model for filtering surgery (n = 40 eyes from 20 mice per group). Single and
repeated subconjunctival (SCJ) injections (1 or 2 MUg) and topical eye drops (10
MUg) of the integrin inhibitor were compared with 2-minute administration of MMC
0.02%. Bleb size, survival, and signs of toxicity were examined until 28 days
after surgery. Immunohistochemical analysis of angiogenesis, inflammation,
collagen deposition, and integrin alpha5beta1 expression were performed on
postoperative days 3, 8, 14, and 28. A masked observer performed all the
assessments. Results: Immunostaining showed that integrin alpha5beta1 was highly
expressed in the bleb at early time-points after surgery and that CLT-28643
inhibited this upregulation. Efficacy was shown to be dose-dependent for the
integrin inhibitor CLT-28643 for bleb area and survival, and the wound healing
process. While 2-MUg single injection of CLT-28643 improved bleb characteristics
in a similar way as 10-MUg administered by eye drops and MMC, repeated injections
of 2 MUg showed superior efficacy compared to MMC, with no corneal toxicity.
Conclusions: Administration of the integrin alpha5beta1 inhibitor CLT-28643 has
therapeutic potential as an adjunct to glaucoma surgery, possibly with a superior
efficacy and tolerability compared with MMC when used at the optimal dose.
PMID- 27893887
TI - Retinal Neurodegeneration in Diabetic Patients Without Diabetic Retinopathy.
AB - Purpose: To compare the thickness of all retinal layers between a nondiabetic
group and diabetic patients without diabetic retinopathy (DR). Methods: Cross
sectional study, in which all subjects underwent an ophthalmic examination
including optical coherence tomography. After automatic retinal segmentation,
each retinal layer thickness (eight separate layers and overall thickness) was
calculated in all nine Early Treatment Diabetic Retinopathy Study (ETDRS) areas.
The choroidal thickness (CT) also was measured at five locations. Generalized
additive regression models were used to analyze the data. Results: A total of 175
patients were recruited, 50 nondiabetic subjects and 125 diabetic patients
without DR, stratified into three groups according to diabetes duration: group I
(<5 years, n = 55), group II (5-10 years, n = 39), and group III (>10 years, n =
31). Overall, groups I and III of diabetic patients had a decrease in the
photoreceptor layer (PR) thickness, when compared with the nondiabetic subjects
in six ETDRS areas (P < 0.0007). Patients with more recent diagnosis (group I)
had thinner PR than those with moderate duration (group II). Interestingly,
patients with longer known disease (group III) had the thinnest PR values. There
were no overall differences in the remaining retinal parameters. Conclusions:
Retinal thickness profile is not linear throughout disease duration. Even in the
absence of funduscopic disease, PR layer in diabetic patients seems to differ
from nondiabetic subjects, thus suggesting that some form of neurodegeneration
may take place before clinical signs of vascular problems arise.
PMID- 27893888
TI - Potential Neuroprotective Effects of an LSD1 Inhibitor in Retinal Ganglion Cells
via p38 MAPK Activity.
AB - Purpose: The epigenetic mechanisms associated with ocular neurodegenerative
diseases remain unclear. The present study aimed to determine the role of lysine
specific demethylase 1 (LSD1), which represses transcription by removing the
methyl group from methylated lysine 4 of histone H3, in retinal ganglion cell
(RGC) survival, and to investigate the details of the neuroprotective mechanism
of tranylcypromine, a major LSD1 inhibitor. Methods: The authors evaluated
whether tranylcypromine contributes to neuronal survival following stress-induced
damage using primary cultured rat RGCs and in vivo N-methyl-D-aspartate (NMDA)
induced excitotoxicity. Additionally, the molecules associated with
tranylcypromine treatment were assessed by microarray and immunoblot analysis.
Results: Tranylcypromine significantly suppressed neuronal cell death following
glutamate neurotoxicity and oxidative stress. Microarray and immunoblot analyses
revealed that p38 mitogen-activated protein kinase (MAPK)gamma was a key molecule
involved in the neuroprotective mechanisms induced by tranylcypromine because the
significant suppression of p38 MAPKgamma by glutamate was reversed by
tranylcypromine. Moreover, although pharmacologic inhibition of the
phosphorylation of the total p38 MAPKs interfered with neuroprotective effects of
tranylcypromine, the specific inhibition of p38 MAPKalpha and p38 MAPKbeta did
not influence RGC survival. This suggests that the non-p38 MAPKalpha/beta
isoforms have important roles in neuronal survival by tranylcypromine.
Additionally, the intravitreal administration of tranylcypromine significantly
saved RGC numbers in an in vivo glaucoma model employing NMDA-induced
excitotoxicity. Conclusions: These findings indicate that tranylcypromine-induced
transcriptional and epigenetic regulation modulated RGC survival via the
promotion of p38 MAPKgamma activity. Therefore, pharmacologic treatments that
suppress LSD1 activity may be a novel therapeutic strategy that can be used to
treat neurodegenerative diseases.
PMID- 27893889
TI - A Monte Carlo Analysis of Error Associated With Two-Wavelength Algorithms for
Retinal Oximetry.
AB - Purpose: Two-wavelength algorithms aimed at the extrapolation of retinal
vasculature optical properties are being used in the clinical setting. Although
robust, this approach has some clear mathematical limitations. We have conducted
an in-depth study of this methodology and report on the limits and benefit of
this approach. Methods: We used a well-tested, voxel-based Monte Carlo model of
light transfer into biological tissue combined with a seven-layer model of the
human fundus to create reflectance maps of retina vessels at different
oxygenation levels. Results: This study shows that the two-wavelength approach
works remarkably well in the optimal scenario of known calibration arteries and
veins. Errors as a result of choroidal pigmentation and discrepancies in vessel
size can be minimized with numerical approaches. When the calibration process
deviates largely from physiological values, the technique fails with large
errors. Conclusions: The two-wavelength approach is convenient, easy to
implement, and suitable in studies where relative rather than absolute knowledge
of retinal oximetry is necessary. A robust calibration step is paramount when
using this approach.
PMID- 27893890
TI - Alternating QRS Complex Morphologic Characteristics in a Man Presenting With
Scrotal Edema.
PMID- 27893892
TI - Gun Violence and Firearm Safety in Medical School Curricula: Missed Opportunities
to Improve Patient Health.
PMID- 27893891
TI - Avoiding Hospitalizations From Nursing Homes for Potentially Burdensome Care:
Results of a Qualitative Study.
PMID- 27893893
TI - Relationship Between Stress Rankings and the Overall Hospital Star Ratings: An
Analysis of 150 Cities in the United States.
PMID- 27893894
TI - Face exploration dynamics differentiate men and women.
AB - The human face is central to our everyday social interactions. Recent studies
have shown that while gazing at faces, each one of us has a particular eye
scanning pattern, highly stable across time. Although variables such as culture
or personality have been shown to modulate gaze behavior, we still don't know
what shapes these idiosyncrasies. Moreover, most previous observations rely on
static analyses of small-sized eye-position data sets averaged across time. Here,
we probe the temporal dynamics of gaze to explore what information can be
extracted about the observers and what is being observed. Controlling for any
stimuli effect, we demonstrate that among many individual characteristics, the
gender of both the participant (gazer) and the person being observed (actor) are
the factors that most influence gaze patterns during face exploration. We record
and exploit the largest set of eye-tracking data (405 participants, 58
nationalities) from participants watching videos of another person. Using novel
data-mining techniques, we show that female gazers follow a much more exploratory
scanning strategy than males. Moreover, female gazers watching female actresses
look more at the eye on the left side. These results have strong implications in
every field using gaze-based models from computer vision to clinical psychology.
PMID- 27893895
TI - Corrections.
PMID- 27893896
TI - Association Between Influenza Infection and Vaccination During Pregnancy and Risk
of Autism Spectrum Disorder.
AB - Importance: Maternal infections and fever during pregnancy are associated with
increased risk for autism spectrum disorders (ASDs). To our knowledge, no study
has investigated the association between influenza vaccination during pregnancy
and ASD. Objective: To investigate the association between influenza infection
and vaccination during pregnancy and ASD risk. Design, Setting, and Participants:
This cohort study included 196 929 children born at Kaiser Permanente Northern
California from January 1, 2000 to December 31, 2010, at a gestational age of at
least 24 weeks. Exposures: Data on maternal influenza infection and vaccination
from conception date to delivery date, obtained from Kaiser Permanente Northern
California inpatient and outpatient databases. Influenza infection was defined by
the International Classification of Diseases, Ninth Revision, Clinical
Modification codes or positive influenza laboratory test results. Main Outcomes
and Measures: Clinical diagnoses of ASDs identified by International
Classification of Diseases, Ninth Revision, Clinical Modification codes 299.0,
299.8, or 299.9 recorded in Kaiser Permanente Northern California electronic
medical records on at least 2 occasions any time from birth through June 2015.
Results: Within this cohort of 196 929 children, influenza was diagnosed in 1400
(0.7%) mothers and 45 231 (23%) received an influenza vaccination during
pregnancy. The mean (SD) ages of vaccinated and unvaccinated women were 31.6
(5.2) and 30.4 (5.6) years, respectively. A total number of 3101 (1.6%) children
were diagnosed with ASD. After adjusting for covariates, we found that maternal
influenza infection (adjusted hazard ratio, 1.04; 95% CI, 0.68-1.58) or influenza
vaccination (adjusted hazard ratio, 1.10; 95% CI, 1.00-1.21) anytime during
pregnancy was not associated with increased ASD risk. In trimester-specific
analyses, first-trimester influenza vaccination was the only period associated
with increased ASD risk (adjusted hazard ratio, 1.20; 95% CI, 1.04-1.39).
However, this association could be due to chance (P = 0.1) if Bonferroni
corrected for the multiplicity of hypotheses tested (n = 8). Maternal influenza
vaccination in the second or third trimester was not associated with increased
ASD risk. Conclusions and Relevance: There was no association between maternal
influenza infection anytime during pregnancy and increased ASD risk. There was a
suggestion of increased ASD risk among children whose mothers received an
influenza vaccination in their first trimester, but the association was not
statistically significant after adjusting for multiple comparisons, indicating
that the finding could be due to chance. These findings do not call for changes
in vaccine policy or practice, but do suggest the need for additional studies on
maternal influenza vaccination and autism.
PMID- 27893898
TI - Association Between Pandemic Influenza A(H1N1) Vaccination in Pregnancy and Early
Childhood Morbidity in Offspring.
AB - Importance: Several studies investigating potential adverse effects of the
pandemic A(H1N1) vaccine have supported that influenza A(H1N1) vaccination does
not increase the risk for major pregnancy and birth adverse outcomes, but little
is known about possible adverse effects in offspring of A(H1N1)-vaccinated
mothers beyond the perinatal period and into early childhood. Objective: To
evaluate whether pandemic influenza A(H1N1) vaccination in pregnancy increases
the risk for early childhood morbidity in offspring. Design, Setting, and
Participants: Register-based cohort study comprising all live-born singleton
children in Denmark from pregnancies overlapping the A(H1N1) influenza
vaccination campaign in Denmark, from November 2, 2009, to March 31, 2010. From a
cohort of 61 359 pregnancies, offspring exposed and unexposed to the influenza
A(H1N1) vaccine during pregnancy were matched 1:4 on propensity scores. Exposure:
Vaccination in pregnancy with a monovalent inactivated AS03-adjuvanted split
virion influenza A(H1N1)pdm09 vaccine (Pandemrix; GlaxoSmithKline Biologicals).
Main Outcomes and Measures: Rate ratios of hospitalization in early childhood
until 5 years of age. Hospitalization was defined as (1) first inpatient hospital
admission, (2) all inpatient hospital admissions, and (3) first hospital contact
for selected diseases, which included individual infectious diseases and
individual neurologic, autoimmune, and behavioral conditions. Results: The mean
(SD) age at end of follow-up was 4.6 (0.40) years for the 61 359 children
included in the study. In the cohort, the mothers of 55 048 children were
unvaccinated, 349 mothers were vaccinated in the first trimester, and 5962
mothers were vaccinated in the second or third trimesters. Children exposed in
the first trimester were not more likely to be hospitalized in early childhood
than unexposed children (hospitalization rates per 1000 person-years, 300.6 for
exposed vs 257.5 for unexposed; rate ratio, 1.17; 95% CI, 0.94-1.45). Similarly,
children exposed in the second or third trimester were not more likely to be
hospitalized in early childhood than unexposed children (hospitalization rates
per 1000 person-years, 203.6 for exposed vs 219.3 for unexposed; rate ratio,
0.93; 95% CI, 0.87-0.99). This 7% decreased risk was primarily a result of
reduced risks for infectious disease-related hospitalizations. Conclusions and
Relevance: To our knowledge, this is the most comprehensive study to date of
potential adverse effects manifesting after the perinatal period. We detected no
increased risk for early childhood morbidity. These results support the safety
profile of the influenza A(H1N1) vaccine used in pregnancy.
PMID- 27893899
TI - Incorrect Reference.
PMID- 27893900
TI - Nursing Interventions: Need for Clarity.
PMID- 27893897
TI - Imaging of Glial Cell Activation and White Matter Integrity in Brains of Active
and Recently Retired National Football League Players.
AB - Importance: Microglia, the resident immune cells of the central nervous system,
play an important role in the brain's response to injury and neurodegenerative
processes. It has been proposed that prolonged microglial activation occurs after
single and repeated traumatic brain injury, possibly through sports-related
concussive and subconcussive injuries. Limited in vivo brain imaging studies
months to years after individuals experience a single moderate to severe
traumatic brain injury suggest widespread persistent microglial activation, but
there has been little study of persistent glial cell activity in brains of
athletes with sports-related traumatic brain injury. Objective: To measure
translocator protein 18 kDa (TSPO), a marker of activated glial cell response, in
a cohort of National Football League (NFL) players and control participants, and
to report measures of white matter integrity. Design, Setting, and Participants:
This cross-sectional, case-control study included young active (n = 4) or former
(n = 10) NFL players recruited from across the United States, and 16 age-, sex-,
highest educational level-, and body mass index-matched control participants.
This study was conducted at an academic research institution in Baltimore,
Maryland, from January 29, 2015, to February 18, 2016. Main Outcomes and
Measures: Positron emission tomography-based regional measures of TSPO using
[11C]DPA-713, diffusion tensor imaging measures of regional white matter
integrity, regional volumes on structural magnetic resonance imaging, and
neuropsychological performance. Results: The mean (SD) ages of the 14 NFL
participants and 16 control participants were 31.3 (6.1) years and 27.6 (4.9)
years, respectively. Players reported a mean (SD) of 7.0 (6.4) years (range, 1-21
years) since the last self-reported concussion. Using [11C]DPA-713 positron
emission tomographic data from 12 active or former NFL players and 11 matched
control participants, the NFL players showed higher total distribution volume in
8 of the 12 brain regions examined (P < .004). We also observed limited change in
white matter fractional anisotropy and mean diffusivity in 13 players compared
with 15 control participants. In contrast, these young players did not differ
from control participants in regional brain volumes or in neuropsychological
performance. Conclusions and Relevance: The results suggest that localized brain
injury and repair, indicated by higher TSPO signal and white matter changes, may
be associated with NFL play. Further study is needed to confirm these findings
and to determine whether TSPO signal and white matter changes in young NFL
athletes are related to later onset of neuropsychiatric symptoms.
PMID- 27893901
TI - The Lived Experience of Nursing Faculty in a Dedicated Education Unit.
AB - BACKGROUND: The dedicated education unit (DEU) was developed as an innovation to
increase enrollment capacity and secure stable clinical placement sites in units
that provide an optimal learning environment. The purpose of this multisite study
was to describe, interpret, and offer insight into the meanings of the lived
experiences of nursing faculty who had transitioned to teaching in a DEU. METHOD:
Semistructured private interviews were conducted using an interpretive
phenomenological approach. RESULTS: The three themes that emerged were Preparing
for, Adapting to, and Sustaining a New Synergy of Learning. Each theme had two to
four subthemes. CONCLUSION: New DEU faculty may face decisions about physical
presence, the nature of their interactions with staff nurse clinical instructors
and students, and tactful approaches to managing practice issues. This study
informs faculty how they can best prepare for success and promote sustainability.
[J Nurs Educ. 2016;55(12):669-674.].
PMID- 27893902
TI - A Project Team Analysis Using Tuckman's Model of Small-Group Development.
AB - BACKGROUND: Concerns about equitable workloads for nursing faculty have been well
documented, yet a standardized system for workload management does not exist. A
project team was challenged to establish an academic workload management system
when two dissimilar universities were consolidated. METHOD: Tuckman's model of
small-group development was used as the framework for the analysis of processes
and effectiveness of a workload project team. Agendas, notes, and meeting minutes
were used as the primary sources of information. RESULTS: Analysis revealed the
challenges the team encountered. Utilization of a team charter was an effective
tool in guiding the team to become a highly productive group. Lessons learned
from the analysis are discussed. CONCLUSION: Guiding a diverse group into a
highly productive team is complex. The use of Tuckman's model of small-group
development provided a systematic mechanism to review and understand group
processes and tasks. [J Nurs Educ. 2016;55(12):675-681.].
PMID- 27893903
TI - To Blog or Not to Blog: What Do Nursing Faculty Think?
AB - BACKGROUND: Nurse educators find themselves tasked with developing content that
both is aesthetically appealing and engages today's technological learners while
empowering them to apply their knowledge in clinical and classroom settings.
Students engaging with social networking systems reported increased satisfaction
with collaborative peer-to-peer learning experiences, socialization, self
reflection, peer critique, problem-solving skills, collation of evidence-based
resources, and instructor performance. METHOD: This project included identifying
the needs of nursing faculty regarding the use of blogging in their courses and
barriers faced by faculty with implementing blogging in nursing curricula. A
convenience sampling method was used, with surveys e-mailed to 49 schools of
nursing in Illinois and 38 in Ontario. RESULTS: One hundred twenty-two surveys
were completed: 78 in Illinois and 44 in Ontario. Results suggest there are many
pedagogical, philosophical, and ethical issues associated with using blogging and
technology in nursing education. CONCLUSION: Although significant challenges
exist, blogging and technology can be useful collaborative learning tools. [J
Nurs Educ. 2016;55(12):683-689.].
PMID- 27893904
TI - Clinical Judgment Scripts as a Strategy to Foster Clinical Judgments.
AB - BACKGROUND: Clinical judgment encompasses how nurses use their knowledge of the
patient to generate, assess, and prioritize patient care alternatives. Previous
research has identified debriefing as critical to developing clinical judgment.
Best practice for debriefing has yet to be identified. The purpose of this
research was to investigate whether a standard debriefing script, based on
Tanner's clinical judgment model, could foster clinical judgment. METHOD:
Participants were senior nursing students in their final semester of study. Data
were gathered and analyzed from three sources: independent raters observing
students in simulation, participating students, and the students' clinical
instructors. RESULTS: Students identified the script as an effective debriefing
tool, and significant improvements were observed in clinical judgment scores from
all data sources. CONCLUSION: The standardized debriefing script helped students
focus on the learning process, resulting in student improvement in all areas of
clinical judgment: noticing, interpreting, responding, and reflecting. [J Nurs
Educ. 2016;55(12):691-695.].
PMID- 27893905
TI - Critical Care Interprofessional Education: Exploring Conflict and Power-Lessons
Learned.
AB - BACKGROUND: The purpose of this mixed-methods study was to evaluate the effects
of an innovative critical care interprofessional education intervention on
prelicensure nursing and medical students at a large public university. The class
provided opportunities for students to (a) explore stereotypes about each
respective profession, (b) examine the occurrence and effects of
interprofessional conflict in high-acuity areas, and (c) explore conflict
resolution techniques while learning how to perform critical care procedures as a
team. METHOD: A nonequivalent control group design with pretest, posttest, and
focus group interviews were used. RESULTS: Data analyses indicated no subscales
and total scores were significantly different across time or between groups.
However, focus group analyses indicated that changes did occur. CONCLUSION: The
findings suggest that students experience interprofessional conflict in clinical
settings, yet lack the confidence and skills to effectively mitigate these
behaviors. Ongoing studies to measure student empowerment and their intentions to
practice collaboratively before and after graduation are recommended. [J Nurs
Educ. 2016;55(12):696-700.].
PMID- 27893906
TI - Effects of a Simulation Exercise on Nursing Students' End-of-Life Care Attitudes.
AB - BACKGROUND: Students consider end-of-life care content in their nursing curricula
to be inadequate and deficient in promoting the development of the necessary
attitudes to care for dying patients. Research identifies simulation as an
effective teaching strategy to examine nursing students' attitudes toward end-of
life care. METHOD: An end-of-life care simulation was developed, implemented, and
evaluated. Attitudes toward caring for dying patients were measured pre- and
postsimulation on a convenience sample of 57 sophomore nursing students using the
Frommelt Attitudes Toward Care of the Dying Scale-Form B. Repeated measures of
ANOVA on outcome variables evaluated student attitudes toward end-of-life care.
RESULTS: Participation in an end-of-life care simulation resulted in more
positive student attitudes toward caring for dying patients (p < .001).
CONCLUSION: Simulation is an active learning strategy to incorporate end-of-life
care in nursing curricula and improve student attitudes toward caring for dying
patients. [J Nurs Educ. 2016;55(12):701-705.].
PMID- 27893908
TI - Narrative Knowing: A Learning Strategy for Understanding the Role of Stories in
Nursing Practice.
AB - BACKGROUND: Stories are vital to understanding and creating meaning related to
illness experiences. METHOD: An innovative learning strategy was designed and
implemented to highlight the role of narrative and empirical ways of knowing when
developing collaborative relationships with patients and their families. Students
engaged in developing nursing assessments, as well as in the creative process of
writing patient stories, to improve their understanding of human experiences of
illness. RESULTS: Through comparing empirical and narrative data, students
increased their awareness of different ways of knowing and the importance of
stories to the construction of the meaning of illness. CONCLUSION: Students'
reflective feedback indicated a shift in perception toward the inclusion of
storied knowing within relational nursing practice. [J Nurs Educ. 2016;55(12):711
714.].
PMID- 27893907
TI - Patterns and Perceptions of Asynchronous Video Discussion in a Graduate Health
Sciences Course.
AB - BACKGROUND: Studies of graduate online education indicate a need for increased
interaction among students and faculty. However, it can be challenging to foster
a high level of scholarly interaction and engagement in fully online courses. The
objective of this study was to evaluate student perceptions and participation
patterns related to online, asynchronous video discussion in a graduate health
sciences course. METHOD: An asynchronous video discussion was piloted in a 2014
interprofessional informatics course and students were subsequently surveyed to
determine their perceptions of this approach. Participation patterns were
analyzed using descriptive statistics and social network analysis. RESULTS: The
results indicate broad and inclusive interaction among students and faculty, with
discussion characteristics perceived as equivalent to, or better than,
traditional classroom discussion. The quality of student participation was high,
and students spent additional time researching and preparing their contributions.
CONCLUSION: This format for a seminar-style discussion holds good potential for
effective teaching and learning in online graduate-level health courses and
supports the development of students' critical thinking and scholarly
communication skills. [J Nurs Educ. 2016;55(12):706-710.].
PMID- 27893909
TI - Curricular Path to Value: Integrating an Academic Electronic Health Record.
AB - BACKGROUND: Regulatory mandates consistently focus on quality, safety, and
improving patient care as better evidence surfaces. One of those mandates is the
adoption of electronic health records (EHRs) across all patient care settings.
New graduate nurses must know how to access patient data and document and
synthesize patient information accurately to plan safe, quality care and mitigate
potential errors. METHOD: In an undergraduate nursing program, the objectives
were to provide faculty with simple teaching strategies that promoted ease of
integrating an academic EHR (AEHR) across a curriculum, as well as to steadily
increase students' use of an AEHR. RESULTS: Faculty stressed an appreciation for
having a supportive environment with an innovative way to educate nursing
students. Students' feedback and course evaluations were positive, with students
noting that they enjoyed learning in a different way. CONCLUSION: Faculty should
continue to share their innovative teaching strategies for AEHR integration.
Further research should include measurable outcomes of integrating an AEHR
throughout a curriculum. [J Nurs Educ. 2016;55(12):716-719.].
PMID- 27893910
TI - Use of Jurisprudence to Teach Professional Negligence.
PMID- 27893912
TI - Contact Hours Versus CEUs: What's the Difference?
AB - The terms contact hour and continuing education unit (CEU) are often used
interchangeably. However, they are significantly different. The importance of
correct terminology is highlighted in this article. J Contin Educ Nurs.
2016;47(12):529-530.
PMID- 27893911
TI - Retraining the Elephants in the Room.
PMID- 27893913
TI - Helping Leaders Learn.
AB - Leaders have a need to learn for individual and professional advancement. When
leaders possess learning habits that advance to long-term recall, knowledge can
be activated when most needed. This article presents five strategies ready for
application to embed learning from short- to long-term memory. J Contin Educ
Nurs. 2016;47(12):531-533.
PMID- 27893915
TI - Barriers to Participation in an Online Nursing Journal Club at a Community
Teaching Hospital.
AB - : HOW TO OBTAIN CONTACT HOURS BY READING THIS ISSUE Instructions: 1.2 contact
hours will be awarded by Villanova University College of Nursing upon successful
completion of this activity. A contact hour is a unit of measurement that denotes
60 minutes of an organized learning activity. This is a learner-based activity.
Villanova University College of Nursing does not require submission of your
answers to the quiz. A contact hour certificate will be awarded after you
register, pay the registration fee, and complete the evaluation form online at
http://goo.gl/gMfXaf. In order to obtain contact hours you must: 1. Read the
article, "Barriers to Participation in an Online Nursing Journal Club at a
Community Teaching Hospital," found on pages 536-542, carefully noting any tables
and other illustrative materials that are included to enhance your knowledge and
understanding of the content. Be sure to keep track of the amount of time (number
of minutes) you spend reading the article and completing the quiz. 2. Read and
answer each question on the quiz. After completing all of the questions, compare
your answers to those provided within this issue. If you have incorrect answers,
return to the article for further study. 3. Go to the Villanova website to
register for contact hour credit. You will be asked to provide your name, contact
information, and a VISA, MasterCard, or Discover card number for payment of the
$20.00 fee. Once you complete the online evaluation, a certificate will be
automatically generated. This activity is valid for continuing education credit
until November 30, 2019. CONTACT HOURS This activity is co-provided by Villanova
University College of Nursing and SLACK Incorporated. Villanova University
College of Nursing is accredited as a provider of continuing nursing education by
the American Nurses Credentialing Center's Commission on Accreditation.
OBJECTIVES Describe the benefits and barriers to participating in an online
nursing journal club (ONJC) over a standard journal club. Identify three
strategies to address the barriers to participation in an ONJC. DISCLOSURE
STATEMENT Neither the planners nor the author have any conflicts of interest to
disclose. BACKGROUND: Journal clubs can be an important tool enabling health care
professionals in promoting evidence-based practice within their organizations.
METHOD: The researchers examined 183 nurses' perceptions of an online nursing
journal club (ONJC) and perceived barriers to participation through a survey at a
teaching hospital. RESULTS: Time was identified as the greatest participation
barrier. The respondents preferred journal articles regarding the topics policy
and procedures, medications, and nursing practice changes. CONCLUSION: To
increase the use of the ONJC, it is imperative to focus on perceived barriers and
strategies to eliminate them to help with increasing overall participation and
achieving success when using an ONJC. J Contin Educ Nurs. 2016;47(12):536-542.
PMID- 27893914
TI - TeamSTEPPS in Long-Term Care-An Academic Partnership: Part II.
AB - In 2015, the Academy for Leadership in Long-Term Care received a grant to provide
interprofessional training on the strategies and techniques of TeamSTEPPS(r). The
results indicated significant changes in the participants' teamwork and
communication strategies to improve resident safety. In part two of this article,
the discussion includes the implementation, evaluation results, and implications
from this worthy initiative. J Contin Educ Nurs. 2016;47(12):534-535.
PMID- 27893917
TI - Collaborative Falls Prevention: Interprofessional Team Formation, Implementation,
and Evaluation.
AB - As health care rapidly evolves to promote person-centered care, evidence-based
practice, and team-structured environments, nurses must lead interprofessional
(IP) teams to collaborate for optimal health of the populations and more cost
effective health care. Four professions-nursing, medicine, social work, and
pharmacy-formed a teaching team to address fall prevention among older adults in
Oregon using an IP approach. The teaching team developed training sessions that
included interactive, evidence-based sessions, followed by individualized team
coaching. This article describes how the IP teaching team came together to use a
unique cross-training approach to teach each other. They then taught and coached
IP teams from a variety of community practice settings to foster their
integration of team-based falls-prevention strategies into practice. After
coaching 25 teams for a year each, the authors present the lessons learned from
the teaching team's formation and experiences, as well as feedback from practice
team participants that can provide direction for other IP teams. J Contin Educ
Nurs. 2016;47(12):545-550.
PMID- 27893919
TI - Health Literacy Competencies for Registered Nurses: An e-Delphi Study.
AB - BACKGROUND: The Institute of Medicine's landmark report, Health Literacy: A
Prescription to End Confusion, recommends that health professionals be trained to
effectively communicate with patients with limited health literacy. Nurse
specific competencies that explicitly address the needs of patients with low
health literacy are lacking. METHOD: A national group of nurse health literacy
experts (N = 41) participated in a three-round e-Delphi study to identify
essential health literacy competencies for RNs in any work setting. RESULTS:
Based on partial replication of prior work by Coleman, Hudson, and Maine, a final
list of 50 nursing health literacy core competencies were identified in round two
and prioritized in round three. CONCLUSION: The final list of prioritized
competencies generated in this study have been provided as a starting point for
health literacy education efforts in practice. J Contin Educ Nurs.
2016;47(12):558-565.
PMID- 27893918
TI - Breast Milk Collection and Storage in the Neonatal Intensive Care Unit: Nurses'
Knowledge, Practice, and Perceived Barriers.
AB - BACKGROUND: Temporary storage of human milk under appropriate conditions
encourages prolonged breast-feeding. PURPOSE: This study aimed to assess neonatal
nurses' knowledge and practice, as well as barriers, related to breast milk
collection and storage and to investigate the association between nurses'
knowledge and practice and other variables. METHOD: A cross-sectional design was
used. Consecutive samplings of 75 nurses were recruited. The questions were based
on a literature review of guidelines for collecting and storing breast milk from
various sources, such as the Centers for Disease Control and Prevention and the
Academy of Breastfeeding Medicine. RESULTS: Nurses' knowledge and practice of
breast milk collection and storage were adequate in general, but inadequate in
relation to issues such as discarding breast milk, the thawing process, and
storage temperatures. Poor practices related to absence of required equipment and
unit policy. Barriers were increased workload, inappropriate milk room (i.e., a
small room with uncomfortable chairs and no privacy), inactive policy, and
inadequate time. CONCLUSION: Nurses should be orientated to the guidelines and a
unit policy to enhance evidence-based practice. J Contin Educ Nurs.
2016;47(12):551-557.
PMID- 27893920
TI - Perceptions of Continuing Nursing Education in Korea.
AB - BACKGROUND: Few empirical studies examine nurses' perceptions of continuing
nursing education and its outcomes regarding the quality of delivered service.
This study investigated nurses' perceptions and persisting challenges with
continuing nursing education. METHOD: Data were collected from 17 nurses with at
least 2 years of work experience who had completed at least one continuing
nursing education program at three university hospitals in South Korea.
Demographic questionnaire data were collected and a prepared interview was used
to lead focus group interaction. Phenomenological content analysis was performed
immediately following each interview. RESULTS: Three main themes of continuing
nursing education perceptions emerged: Feelings Toward Completing Continuing
Nursing Education (Burden And Ambivalence); Perceptions of Completing Continuing
Nursing Education Programs (Perceived Benefits and Problems); and Continuing
Nursing Education-Related Educational Needs (Relevance to Practice and Reflection
of Participants' Needs). CONCLUSION: A regionally and institutionally expanded
study was proposed to qualitatively assess nurses' experiences on completion of
continuing nursing education. J Contin Educ Nurs. 2016;47(12):566-572.
PMID- 27893921
TI - Femoral Head Avascular Necrosis Is Not Caused by Arthroscopic Posterolateral
Femoroplasty.
PMID- 27893922
TI - Congratulations to the 2016 Blue Ribbon Article Award Winners.
PMID- 27893923
TI - How Can We Better Educate Our Residents?
PMID- 27893924
TI - Deciding How Best to Treat Cartilage Defects.
AB - The decision-making regarding and treatment of cartilage abnormalities requires a
systematic approach. This article reviews the concepts and principles that guide
the management of this complex problem. The precise incidence, demographics, and
progression of cartilage lesions have not been clearly delineated. Pursuing a
patient-centered approach that considers the available nonsurgical and surgical
options remains the cornerstone of patient care. The importance of determining
concomitant knee pathologies, the proper interpretation and implications of
imaging findings, and an accurate determination of the intra-articular and
subchondral findings represent the primary elements of the decision analysis.
Treatment options vary depending on patient goals, the disposition of the lesion,
and a variety of other factors. The authors recommend proper patient education
and consideration of how nonsurgical treatment or less invasive options such as
arthroscopic debridement might be effective. If these strategies should fail,
appropriate matching of a surgical procedure to the patient's pathology, goals,
and expectations is warranted. Surgical options include debridement, marrow
stimulation techniques, surface allograft treatments, autologous chondrocyte
implantation, and osteochondral grafting (autograft and allograft) in addition to
some emerging technologies. This article focuses on the decision-making process.
[Orthopedics. 2016; 39(6):343-350.].
PMID- 27893926
TI - Estimation of the optimal regime in treatment of prostate cancer recurrence from
observational data using flexible weighting models.
AB - Prostate cancer patients are closely followed after the initial therapy and
salvage treatment may be prescribed to prevent or delay cancer recurrence. The
salvage treatment decision is usually made dynamically based on the patient's
evolving history of disease status and other time-dependent clinical covariates.
A multi-center prostate cancer observational study has provided us data on
longitudinal prostate specific antigen (PSA) measurements, time-varying salvage
treatment, and cancer recurrence time. These data enable us to estimate the best
dynamic regime of salvage treatment, while accounting for the complicated
confounding of time-varying covariates present in the data. A Random Forest based
method is used to model the probability of regime adherence and inverse
probability weights are used to account for the complexity of selection bias in
regime adherence. The optimal regime is then identified by the largest restricted
mean survival time. We conduct simulation studies with different PSA trends to
mimic both simple and complex regime adherence mechanisms. The proposed method
can efficiently accommodate complex and possibly unknown adherence mechanisms,
and it is robust to cases where the proportional hazards assumption is violated.
We apply the method to data collected from the observational study and estimate
the best salvage treatment regime in managing the risk of prostate cancer
recurrence.
PMID- 27893925
TI - A risk-based measure of time-varying prognostic discrimination for survival
models.
AB - Prognostic survival models are commonly evaluated in terms of both their
calibration and their discrimination. Comparing observed and predicted survival
curves can assess calibration, while discrimination is typically summarized
through comparison of the properties of cases or subjects who experience an
event, and the properties of controls represented by event-free individuals. For
binary data, discrimination is characterized either by using the relative ranks
of cases and controls and a receiver operating characteristic (ROC) curve, or by
summarizing the magnitude of risk placed on cases and controls through
calculation of the discrimination slope (DS). In this article, we propose a risk
based measure of time-varying discrimination that generalizes the discrimination
slope to allow use with incident events and hazard models. We refer to the new
measure as the hazard discrimination summary (HDS) since it compares the relative
risk among incident cases to their associated dynamic risk set controls. We
introduce both a model-based estimation procedure that adopts the Cox model, and
an alternative approach that locally relaxes the proportional hazards assumption.
We illustrate the proposed methods using both a benchmark survival data set, and
an oncology study where primary interest is in the time-varying performance of
candidate biomarkers.
PMID- 27893927
TI - Model averaged double robust estimation.
AB - Researchers estimating causal effects are increasingly challenged with decisions
on how to best control for a potentially high-dimensional set of confounders.
Typically, a single propensity score model is chosen and used to adjust for
confounding, while the uncertainty surrounding which covariates to include into
the propensity score model is often ignored, and failure to include even one
important confounder will results in bias. We propose a practical and
generalizable approach that overcomes the limitations described above through the
use of model averaging. We develop and evaluate this approach in the context of
double robust estimation. More specifically, we introduce the model averaged
double robust (MA-DR) estimators, which account for model uncertainty in both the
propensity score and outcome model through the use of model averaging. The MA-DR
estimators are defined as weighted averages of double robust estimators, where
each double robust estimator corresponds to a specific choice of the outcome
model and the propensity score model. The MA-DR estimators extend the desirable
double robustness property by achieving consistency under the much weaker
assumption that either the true propensity score model or the true outcome model
be within a specified, possibly large, class of models. Using simulation studies,
we also assessed small sample properties, and found that MA-DR estimators can
reduce mean squared error substantially, particularly when the set of potential
confounders is large relative to the sample size. We apply the methodology to
estimate the average causal effect of temozolomide plus radiotherapy versus
radiotherapy alone on one-year survival in a cohort of 1887 Medicare enrollees
who were diagnosed with glioblastoma between June 2005 and December 2009.
PMID- 27893928
TI - Multi-parameter regression survival modeling: An alternative to proportional
hazards.
AB - It is standard practice for covariates to enter a parametric model through a
single distributional parameter of interest, for example, the scale parameter in
many standard survival models. Indeed, the well-known proportional hazards model
is of this kind. In this article, we discuss a more general approach whereby
covariates enter the model through more than one distributional parameter
simultaneously (e.g., scale and shape parameters). We refer to this practice as
"multi-parameter regression" (MPR) modeling and explore its use in a survival
analysis context. We find that multi-parameter regression leads to more flexible
models which can offer greater insight into the underlying data generating
process. To illustrate the concept, we consider the two-parameter Weibull model
which leads to time-dependent hazard ratios, thus relaxing the typical
proportional hazards assumption and motivating a new test of proportionality. A
novel variable selection strategy is introduced for such multi-parameter
regression models. It accounts for the correlation arising between the estimated
regression coefficients in two or more linear predictors-a feature which has not
been considered by other authors in similar settings. The methods discussed have
been implemented in the mpr package in R.
PMID- 27893929
TI - A review of cost communication in oncology: Patient attitude, provider
acceptance, and outcome assessment.
AB - The American Society of Clinical Oncology released its first guidance statement
on the cost of cancer care in August 2009, affirming that patient-physician cost
communication is a critical component of high-quality care. This forward-thinking
recommendation has grown increasingly important in oncology practice today as the
high costs of cancer care impose tremendous financial burden to patients, their
families, and the health care system. For the current review, a literature search
was conducted using the PubMed and Web of Science databases to identify articles
that covered 3 topics related to patient-physician cost communication: patient
attitude, physician acceptance, and the associated outcomes; and 15 articles from
12 distinct studies were identified. Although most articles that addressed
patient attitude suggested that cost communication is desired by >50% of patients
in the respective study cohorts, only <33% of patients in those studies had
actually discussed costs with their physicians. The literature on physician
acceptance indicated that, although 75% of physicians considered discussions of
out-of-pocket costs with patients their responsibility, <30% felt comfortable
with such communication. When asked about whether cost communication actually
took place in their practice, percentages reported by physicians varied widely
from <10% to >60%. The data suggested that cost communication was associated with
improved patient satisfaction, lower out-of-pocket expenses, and a higher
likelihood of medication nonadherence; none of the studies established causality.
Both patients and physicians expressed a strong need for accurate, accessible,
and transparent information about the cost of cancer care. Cancer 2017;123:928
39. (c) 2016 American Cancer Society.
PMID- 27893930
TI - Reply to Sexual quality of life in the cancer continuum.
PMID- 27893931
TI - Infliximab-induced tuberculosis in patients with UC: Experience from India-a
country with high prevalence of tuberculosis.
AB - BACKGROUND AND AIM: Tuberculosis (TB) is a well-recognized iatrogenic adverse
event following administration of biologic therapy given for a variety of
clinical indications. There is paucity of data on the development of TB following
the use of biologics from countries with a high prevalence of TB. The aim of this
study was to determine the risk of development of TB following biological therapy
in a country, which is highly endemic for TB. METHODS: The article
retrospectively analyse data from three referral inflammatory bowel disease
centers to evaluate the risk of development of TB following biological therapy
for patients with ulcerative colitis. RESULTS: Of the 79 patients with ulcerative
colitis treated with infliximab, seven (8.8%) developed TB at a median interval
of 8 weeks after the first exposure despite screening for latent TB. Three of the
seven (42%) patients developed disseminated disease, whereas pulmonary disease
was documented in four patients (57%). All patients were successfully treated
with anti-tuberculous drugs for a period of 6-13 months. In contrast to data from
the West, none of the patients in our study had a fatal outcome. None of the
patients required a colectomy after a median follow up of 2 years following
cessation of the infliximab therapy. CONCLUSIONS: These data suggest that despite
the significantly higher prevalence, the outcome of TB after infliximab therapy
is quite sanguine in the Indian subcontinent.
PMID- 27893932
TI - Density-Driven Free-Convection Model for Isotopically Fractionated Geogenic
Nitrate in Sabkha Brine.
AB - Subsurface brines with high nitrate (NO3- ) concentration are common in desert
environments as atmospheric nitrogen is concentrated by the evaporation of
precipitation and little nitrogen uptake. However, in addition to having an
elevated mean concentration of ~525 mg/L (as N), NO3- in the coastal sabkhas of
Abu Dhabi is enriched in 15 N (mean delta15 N ~170/00), which is an enigma. A NO3
solute mass balance analysis of the sabkha aquifer system suggests that more
than 90% of the nitrogen is from local atmospheric deposition and the remainder
from ascending brine. In contrast, isotopic mass balances based on Delta17 O,
delta15 N, and delta18 O data suggest approximately 80 to 90% of the NO3- could
be from ascending brine. As the sabkha has essentially no soil, no vegetation,
and no anthropogenic land or water use, we propose to resolve this apparent
contradiction with a density-driven free-convection transport model. In this
conceptual model, the density of rain is increased by solution of surface salts,
transporting near-surface oxygenated NO3- bearing water downward where it
encounters reducing conditions and mixes with oxygen-free ascending geologic
brines. In this environment, NO3- is partially reduced to nitrogen gas (N2 ),
thus enriching the remaining NO3- in heavy isotopes. The isotopically
fractionated NO3- and nitrogen gas return to the near-surface oxidizing
environment on the upward displacement leg of the free-convection cycle, where
the nitrogen gas is released to the atmosphere and new NO3- is added to the
system from atmospheric deposition. This recharge/recycling process has operated
over many cycles in the 8000-year history of the shallow aquifer, progressively
concentrating and isotopically fractionating the NO3- .
PMID- 27893935
TI - Sexual quality of life in the cancer continuum.
PMID- 27893934
TI - Surveillance versus adjuvant radiotherapy for patients with high-risk stage I
seminoma.
AB - BACKGROUND: The optimal treatment strategy for patients with clinical stage I (CS
1) seminoma is controversial. The objective of the current study was to evaluate
the outcomes for patients considered to be at high risk of disease recurrence
with a tumor size >=6 cm. Patients were treated with either adjuvant radiotherapy
(RT) or followed with surveillance. METHODS: From the Danish Testicular Cancer
database, the authors identified 473 patients with CS-1 seminoma with a tumor
size >=6 cm. Of these, 254 patients underwent adjuvant RT and 219 were followed
with surveillance. Cumulative incidence function was applied to estimate the risk
of disease recurrence, risk of second malignant neoplasm, and risk of receiving
>1 line of treatment. Survival of the 2 groups was compared with the log-rank
test and Cox model including age at diagnosis. RESULTS: No significant
differences were found with regard to overall survival or risk of a second
malignant neoplasm. Patients undergoing adjuvant RT received more treatments per
patient than patients followed with surveillance, but there was no significant
difference noted with regard to the risk of receiving >1 line of treatment. The
10-year cumulative incidence of disease recurrence was 32% versus 2.8%,
respectively, for patients followed with surveillance and adjuvant RT. In
patients followed with surveillance who developed disease recurrence, there was a
high incidence of second recurrences after RT. CONCLUSIONS: The 10-year overall
survival was found to be similar irrespective of primary treatment. Adjuvant RT
was found to effectively reduce the rate of disease recurrence but resulted in
the overtreatment of approximately two-thirds of the patients. The high incidence
of second disease recurrences after RT in the patients followed with surveillance
needs be addressed in future studies. Cancer 2017;123:1212-1218. (c) 2016
American Cancer Society.
PMID- 27893933
TI - Cancer and treatment distress psychometric evaluation over time: A BMT CTN 0902
secondary analysis.
AB - BACKGROUND: Routine monitoring of cancer-related distress is recognized as
essential to quality care and mandated by a major accrediting organization.
However, to the authors' knowledge, few cancer-specific measures have been
developed to date to assess the multiple cancer-related factors contributing to
this distress. In the current study, the authors examined the psychometric
properties of the Cancer and Treatment Distress (CTXD) measure over time in
hematopoietic cell transplantation (HCT) recipients. METHODS: As a secondary
analysis of a multicenter randomized controlled clinical trial, adult patients
undergoing autologous or allogeneic HCT completed patient-reported outcomes
including the CTXD and the Medical Outcomes Study Short Form-36 (SF-36) before
transplantation and 100 days and 180 days after HCT. RESULTS: Across 21
transplantation centers, a total of 701 patients consented, underwent
transplantation, and were included in the current analyses, 645 of whom were
alive at 100 days and 618 of whom were alive at 180 days. Internal consistency
reliability was found to be strong for the overall CTXD at the 3 time points:
Cronbach alphas (alpha) were .94, .95, and .95, respectively. Subscale
reliability met hypothesized levels of an alpha>.70 across time, with the lowest
reliability noted for the Identity subscale at 180 days (alpha = .77).
Correlations with the SF-36 Mental Health subscale were higher than with the
Physical Functioning subscale at each time point, thereby supporting convergent
and discriminant validity. Strong correlations of the pretransplantation CTXD
with the posttransplantation CTXD and SF-36 Mental Health subscale supported
predictive validity. CONCLUSIONS: The CTXD is reliable and valid as a measure of
cancer distress both before and after HCT. It may be a useful tool for measuring
dimensions of distress and for defining those patients requiring treatment for
distress during and after transplantation. Cancer 2017;123:1416-1423. (c) 2016
American Cancer Society.
PMID- 27893936
TI - Quantifying nonadditive selection caused by indirect ecological effects: Comment.
PMID- 27893937
TI - Prognostic significance of equivocal human epidermal growth factor receptor 2
results and clinical utility of alternative chromosome 17 genes in patients with
invasive breast cancer: A cohort study.
AB - BACKGROUND: The 2013 testing guidelines for determining the human epidermal
growth factor receptor 2 (HER2) status include new cutoff points for the
HER2/chromosome enumeration probe 17 (CEP17) ratio and the average HER2 copy
number per cell, and they recommend using a reflex test with alternative
chromosome 17 probes (Ch17Ps) to resolve equivocal HER2 results. This study
sought to determine the clinical utility of alternative Ch17Ps in equivocal cases
and the effects of equivocal results and/or a change in the HER2 status on
patients' outcomes. METHODS: The University of Texas MD Anderson Cancer Center
database of HER2 dual-probe fluorescence in situ hybridization results from 2000
to 2010 was searched for cases of invasive breast cancer with HER2/CEP17 ratios <
2 and average HER2 copy numbers < 6 per cell. Cases with HER2 copy numbers of 4
to < 6 (the definition of equivocal HER2 results) were analyzed with alternative
Ch17Ps for Smith-Magenis syndrome and retinoic acid receptor alpha genes. Disease
free survival (DFS) and overall survival (OS) were evaluated with respect to the
HER2 copy number with multivariate Cox proportional hazards regression. RESULTS:
Among the 3630 patients meeting the inclusion criteria, 137 (4%) had equivocal
HER2 results. With alternative Ch17Ps, 35 of 57 equivocal HER2 cases (61%) were
upgraded to a positive HER2 status, and 22 cases (39%) remained unchanged. The 5
year DFS and OS adjusted hazard ratios (HRs) for copy numbers of 4 to < 6 versus
< 4 were 0.6 (95% confidence interval [CI], 0.3-1.2) and 0.5 (95% CI, 0.2-1.0)
with P values of .16 and .66, respectively. In comparison with HER2-negative
cases, these CIs indicated that equivocal HER2 results were associated with
either a protective effect (HR, < 0.5) or no effect (HR, 1.0). CONCLUSIONS: These
findings rule out a significant deleterious effect of equivocal HER2 results.
Alternative Ch17Ps may erroneously upgrade the HER2 status; therefore, they
cannot be considered reliable in clinical practice. Cancer 2017;123:1115-1123.
(c) 2016 American Cancer Society.
PMID- 27893939
TI - Allergy UK, a national patient organisation, response to the BSACI guideline:
prescribing an adrenaline auto-injector.
PMID- 27893938
TI - Effect of the LIVESTRONG at the YMCA exercise program on physical activity,
fitness, quality of life, and fatigue in cancer survivors.
AB - BACKGROUND: Physical activity (PA) has been linked to a lower risk of developing
and dying of cancer, yet many cancer survivors do not exercise. In the current
study, the authors evaluated the impact of the LIVESTRONG at the YMCA exercise
program, available at Young Men's Christian Associations (YMCAs) across the
United States, on PA, fitness, quality of life, fatigue, body composition, serum
biomarkers, and program safety in cancer survivors. METHODS: Cancer survivors
were recruited through the Yale Cancer Center and the Dana-Farber Cancer
Institute and randomized to a 12-week, twice-weekly LIVESTRONG at the YMCA
exercise program at YMCAs in Connecticut or Massachusetts or to a control group.
Questionnaires, dual-energy x-ray absorptiometry scans, 6-minute walk tests
(6MWTs), and a fasting blood draw were completed at baseline and at 12 weeks.
Intervention effects were evaluated using mixed model repeated measures analysis,
with changes at 12 weeks in PA and 6MWT as the primary endpoints. RESULTS: A
total of 186 participants were randomized (95 to the exercise group and 91 to the
control group). The majority of patients were diagnosed with AJCC stage I to II
cancer and 53% had breast cancer. Participants randomized to the LIVESTRONG at
the YMCA program experienced increases in PA (71% exercising at >= 150
minutes/week vs 26% of controls; P<.05) and improvements in the 6MWT (group
difference: 28.9 meters [95% confidence interval, 0.3-49.0; P = .004]) and
quality of life (group difference: 2.6 [95% confidence interval, 0.1-5.0; P =
.04]). No adverse events were reported. CONCLUSIONS: The LIVESTRONG at the YMCA
exercise program has the potential to impact thousands of survivors across the
YMCA network and could lead to improvements in disease and psychosocial outcomes
in the growing population of cancer survivors. Cancer 2017;123:1249-1258. (c)
2016 American Cancer Society.
PMID- 27893940
TI - Community healthcare professionals overestimate the risk of fatal anaphylaxis for
food allergic children.
AB - BACKGROUND: Fatal food anaphylaxis is rare, but a major concern for people with
food allergy and their carers. We evaluated whether community healthcare
professionals accurately estimate risk of fatal anaphylaxis for food allergic
children, and whether accurate risk estimation is related to competence in
recognizing and managing anaphylaxis. METHODS: We enrolled 90 community
healthcare professionals in a cross-sectional survey - 30 primary care nurses, 30
school first aiders, 30 community pharmacists. Participant risk estimates for
fatal and non-fatal anaphylaxis, and all-cause fatalities, were measured using a
risk ladder. Participant anaphylaxis knowledge was assessed by questionnaire, and
practical skills using a simulated anaphylaxis scenario. RESULTS: In all three
groups, participants significantly overestimated the risk of fatal anaphylaxis
for food allergic children, by a mean factor of 13.5-fold (95% CI 5.0, 31.6), but
did not overestimate non-fatal anaphylaxis risk or all-cause fatality risk. We
found no evidence of a relationship between successful adrenaline administration
and risk estimation. CONCLUSIONS AND CLINICAL RELEVANCE: In conclusion, we have
found evidence that community pharmacists, school first aiders and primary care
nurses in the UK systematically overestimate the risk of fatal anaphylaxis for a
food allergic child. This overestimation may result in increased patient and
carer anxiety. Community practitioners who manage childhood food allergy and
anaphylaxis need to be educated about the level of risk for fatal anaphylaxis in
such children.
PMID- 27893942
TI - Response to the BSACI auto-injector guideline in Clinical & Experimental Allergy.
PMID- 27893943
TI - Peanut allergy, anaphylaxis, adrenaline and exacerbations of asthma.
PMID- 27893945
TI - Prescribing an adrenaline auto-injector - personalized care recommended.
PMID- 27893946
TI - Predicting animal home-range structure and transitions using a multistate
Ornstein-Uhlenbeck biased random walk.
AB - The home-range concept is central in animal ecology and behavior, and numerous
mechanistic models have been developed to understand home range formation and
maintenance. These mechanistic models usually assume a single, contiguous home
range. Here we describe and implement a simple home-range model that can
accommodate multiple home-range centers, form complex shapes, allow
discontinuities in use patterns, and infer how external and internal variables
affect movement and use patterns. The model assumes individuals associate with
two or more home-range centers and move among them with some estimable
probability. Movement in and around home-range centers is governed by a two
dimensional Ornstein-Uhlenbeck process, while transitions between centers are
modeled as a stochastic state-switching process. We augmented this base model by
introducing environmental and demographic covariates that modify transition
probabilities between home-range centers and can be estimated to provide insight
into the movement process. We demonstrate the model using telemetry data from sea
otters (Enhydra lutris) in California. The model was fit using a Bayesian Markov
Chain Monte Carlo method, which estimated transition probabilities, as well as
unique Ornstein-Uhlenbeck diffusion and centralizing tendency parameters.
Estimated parameters could then be used to simulate movement and space use that
was virtually indistinguishable from real data. We used Deviance Information
Criterion (DIC) scores to assess model fit and determined that both wind and
reproductive status were predictive of transitions between home-range centers.
Females were less likely to move between home-range centers on windy days, less
likely to move between centers when tending pups, and much more likely to move
between centers just after weaning a pup. These tendencies are predicted by
theoretical movement rules but were not previously known and show that our model
can extract meaningful behavioral insight from complex movement data.
PMID- 27893947
TI - Challenges in the uptake of telemedicine in dentistry.
AB - With the availability of oral care services very unevenly distributed in rural or
remote areas, underserved people seek oral care from non-dental care providers.
Against this backdrop, and coupled with the decreasing cost of and innovations in
technology, there is a growing interest in the adoption of telemedicine services.
Regardless of the lack of good-quality evidence supporting the cost-effectiveness
of telemedicine, evidence already indicates that telemedicine, even with extra
costs, helps in reducing the inequalities in the provision of primary health
care. Telemedicine has the potential to overcome geographical barriers and
contribute to closing the rural-urban healthcare gap in Australia and many other
regions. Although research examining different teledentistry applications has
found that this technology can be successfully integrated into different
settings, there is little active teledentistry practice in Australia. The
integration of telemedicine into the mainstream oral health system is a complex
and collaborative process in which numerous factors at individual, infrastructure
and organisational levels are involved. Addressing the barriers that delay the
implementation of a teledentistry service can provide valuable insights into its
lack of acceptance and establish an evidence base that can help to inform future
decisions about the benefits of teledentistry.
PMID- 27893948
TI - Seroprevalence and Risk Factors for Toxocara canis Infection in Serbia During
2015.
AB - We report data on the Toxocara seroprevalence evidenced in 2015 from samples of
40 children and 298 adults of the population living in different areas of Serbia,
and on possible association of certain variables with infection. Detection of
specific antibodies was performed using an enzyme-linked immunosorbent assay; all
ambiguous results and part of the positive and negative sera were further
analyzed by confirmatory Western blot test. An overall 23.5% seroprevalence was
noticed, which was confirmed in 13.0% of the examined population with no
significant difference regarding the age (children = 10.0%; adults = 13.4%) or by
country area (East = 18.2%; North = 15.5%, Southeastern = 9.5%; p = 0.005). In
contrast, the group of adult women proved more reactive than men (p = 0.001), and
subjects both who spend spare time in square/parks (p = 0.041) and with positive
onychophagy (p = 0.001) habit turned out more exposed to the infection. Possible
reasons of these differences were analyzed, and the medical, veterinary, and
economic impact of this soil-transmitted zoonosis were discussed.
PMID- 27893949
TI - Phenotypic and Genotypic Resistance of Salmonella Isolates from Healthy and
Diseased Pigs in China During 2008-2015.
AB - The antimicrobial resistance of Salmonella strains is rapidly increasing
worldwide, which poses significant threats to animal and public health. In this
study, a total of 249 porcine Salmonella isolates collected in China during 2008
2015 were examined, including 155 clinical isolates from diseased pigs and 94
nonclinical isolates from healthy pigs. Based on the minimum inhibitory
concentration of seven antimicrobial agents, 96.4% of the isolates were resistant
to at least one of the tested antibiotics and 81.0% of them showed multidrug
resistance. The highest antimicrobial resistance was observed for tetracycline
(85.9%), and the lowest was found for cefotaxime (13.3%). The isolates from
diseased pigs exhibited significantly higher levels of antimicrobial resistance
than those from healthy pigs. Twenty-two isolates from healthy pigs were
resistant to ciprofloxacin, which may inhibit the curative effectiveness of
fluoroquinolones on bacterial food-borne poisoning and infections in humans
caused by contaminated food. Moreover, cefotaxime resistance of the strains
isolated from diseased pigs during 2013-2015 was significantly higher compared
with the strains isolated during 2008-2010. Further study showed that the
correlation between phenotypic and genotypic resistance varied among the isolates
from different sources, and in many cases, the presence of resistance genes was
not consistent with the resistance to the corresponding antimicrobials. These
results are very significant for veterinary practice and public health.
PMID- 27893950
TI - The Relationship Between the Supply of Primary Care Physicians and Measures of
Breast Health Service Use.
AB - BACKGROUND: To investigate whether women are more likely to report receipt of a
mammography recommendation from a doctor or mammography use if they reside in
primary care service areas (PCSAs) having a greater number of clinically active
primary care physicians. MATERIALS AND METHODS: The analysis used a nationally
representative sample of women, aged 40 years and above (n = 10,706 unweighted
respondents), extracted from the 2005 National Health Interview Survey. The
restricted geocoded addresses of the respondents were linked to PCSA data on
physician density at a secure research data center. Multivariable logistic
regression was used to determine whether, after adjustment, specific measures of
primary care providers (e.g., the number of obstetricians and gynecologists [Ob
GyNs] per 10,000 population) were associated with either recommendation receipt
or mammography use. RESULTS: After adjusting for other factors, a one-unit
increase in the PCSA number of Ob-GyNs per 10,000 population increased the odds
of mammography recommendation receipt by 9% and the odds of mammography use by
9%. The ratio of international medical graduate Ob-GyNs to US-trained Ob-GyNs in
a PCSA was negatively associated with mammography use. CONCLUSION: The results
from this nationwide study underscore the importance of using physician density
measures estimated from within bounded medical markets, where women reside and
actually seek preventive breast health services. Results support the hypothesis
that PCSA physician supply is independently associated with both mammography
recommendation receipt and mammography utilization.
PMID- 27893951
TI - Events Leading to Hospital-Related Disenrollment of Home Hospice Patients: A
Study of Primary Caregivers' Perspectives.
AB - BACKGROUND: Approximately 25% of hospice disenrollments in the United States
occur as the result of hospitalization, which can lead to burdensome transitions
and undesired care. Informal caregivers (e.g., spouses, children) play a critical
role in caring for patients on home hospice. Research examining hospital-related
disenrollment among these patients is limited. OBJECTIVE: To understand the
events surrounding the hospitalization of patients discharged from home hospice
through the perspective of their informal caregivers. DESIGN: Thirty-eight
semistructured phone interviews with caregivers were conducted, and data
regarding the events leading to hospitalization and hospice disenrollment were
collected. Study data were analyzed by using qualitative methods.
SETTING/SUBJECTS: Subjects included caregivers of 38 patients who received
services from one not-for-profit home hospice organization in New York City.
Participants were English speaking only. MEASUREMENTS: Caregiver recordings were
transcribed and analyzed by using content analysis. RESULTS: Content analysis
revealed four major themes contributing to hospitalization: (1)
distressing/difficult-to-witness signs and symptoms, (2) needing palliative
interventions not deliverable in the home setting, (3) preference to be cared for
by nonhospice physicians or at a local hospital, and (4) caregivers not
comfortable with the death of their care recipient at home. Over half of all
caregivers called 911 before calling hospice. CONCLUSIONS: Our study provides
insight into the events leading to hospitalization of home hospice patients from
the caregivers' perspective. Further research is needed to quantify the drivers
of hospitalization and to develop interventions that reduce utilization, while
improving care for home hospice patients and their caregivers.
PMID- 27893953
TI - Leveraging Telehealth to Bring Volunteer Physicians Into Underserved Communities.
AB - Many disadvantaged communities lack sufficient numbers of local primary care and
specialty physicians. Yet tens of thousands of physicians, in particular those
who are retired or semiretired, desire meaningful volunteer opportunities.
Multiple programs have begun to use telehealth to bridge the gap between
volunteer physicians and underserved patients. In this brief, we describe
programs that are using this model and discuss the promise and pitfalls.
Physician volunteers in these programs report that the work can be fulfilling and
exciting, a cutting-edge yet convenient way to remain engaged and contribute.
Given the projected shortfall of physicians in the United States, recruiting
retired and semiretired physicians to provide care through telehealth increases
the total supply of active physicians and the capacity of the existing workforce.
However, programs typically use volunteers in a limited capacity because of
uncertainty about the level and duration of commitment. Acknowledging this
reality, most programs only use volunteer physicians for curbside consults rather
than fully integrating them into longitudinal patient care. The part-time
availability of volunteers may also be difficult to incorporate into the workflow
of busy safety net clinics. As more physicians volunteer in a growing number of
telehealth programs, the dual benefits of enriching the professional lives of
volunteers and improving care for underserved communities will make further
development of these programs worthwhile.
PMID- 27893954
TI - Does Primary Care Model Effect Healthcare at the End of Life? A Population-Based
Retrospective Cohort Study.
AB - BACKGROUND: Comprehensive primary care may enhance patient experience at end of
life. OBJECTIVE: To examine whether belonging to different models of primary care
is associated with end-of-life healthcare use and outcomes. DESIGN: Retrospective
population cohort study, using health administrative databases to describe health
services and costs in the last six months of life across three primary care
models: enrolled to a physician remunerated mainly by capitation, with incentives
for comprehensive care and access in some to allied health practitioners
(Capitation); remunerated mainly from fee-for-service (FFS) with smaller
incentives for comprehensive care (Enhanced FFS); and not enrolled, seeing
physicians remunerated solely through FFS (Traditional FFS). SETTING: People who
died from April 1, 2010 to March 31, 2013 in Ontario, Canada. MEASURES: Health
service utilization, costs, and place of death. RESULTS: Approximately two-thirds
(62.7%) of decedents had more contact with a specialist than family physician.
Those in Capitation models were more likely to have the majority of physician
services provided by a family physician (44.9% vs. 38.6% in Enhanced FFS and
34.3% in Traditional FFS) and received more home care service days (mean 27.2 vs.
24.2 in Enhanced FFS and 21.7 in Traditional FFS). And 22.5% had a home visit by
a family physician. Controlling for potential confounders, decedents spent
significantly more days in an institution in Enhanced FFS (1.1, 95% confidence
interval [CI]: 0.9-1.5) and Traditional FFS (2.2, 95% CI: 1.8-2.6) than in
Capitation. CONCLUSION: Decedents in comprehensive primary care models received
more care in the community and spent less time in institutions.
PMID- 27893952
TI - Acceptability and Impact of a Required Palliative Care Rotation with Prerotation
and Postrotation Observed Simulated Clinical Experience during Internal Medicine
Residency Training on Primary Palliative Communication Skills.
AB - BACKGROUND: Improving communication training for primary palliative care using a
required palliative care rotation for internal medicine (IM) residents has not
been assessed. OBJECTIVE: To assess skills acquisition and acceptability for IM
residents not selecting an elective. DESIGN: A consecutive, single-arm cohort
underwent preobjective structured clinical examination (OSCE) with learner
centric feedback, two weeks of clinical experience, and finally a post-OSCE to
crystallize learner-centric take home points. SETTING/SUBJECTS: IM second year
residents from Dartmouth-Hitchcock were exposed to a required experiential
palliative care rotation. MEASUREMENTS: Pre- and post-OSCE using a standardized
score card for behavioral skills, including patient-centered interviewing,
discussing goals of care/code status, and responding to emotion, as well as a
confidential mixed qualitative and quantitative evaluation of the experience.
RESULTS: Twelve residents were included in the educational program (two were
excluded because of shortened experiences) and showed statistically significant
improvements in overall communication and more specifically in discussing code
status and responding to emotions. General patient-centered interviewing skills
were not significantly improved, but prerotation scores reflected pre-existing
competency in this domain. Residents viewed the observed simulated clinical
experience (OSCE) and required rotation as positive experiences, but wished for
more opportunities to practice communication skills in real clinical encounters.
CONCLUSIONS: A required palliative care experiential rotation flanked by OSCEs at
our institution improved the acquisition of primary palliative care communication
skills similarly to other nonclinical educational platforms, but may better meet
the needs of the resident and faculty as well as address all required ACGME
milestones.
PMID- 27893957
TI - Letter from the editor.
PMID- 27893956
TI - Human Vaccines & Immunotherapeutics: News.
PMID- 27893958
TI - Corrigendum.
PMID- 27893959
TI - Monoallelic Gene Expression in Mammals.
AB - Monoallelic expression not due to cis-regulatory sequence polymorphism poses an
intriguing problem in epigenetics because it requires the unequal treatment of
two segments of DNA that are present in the same nucleus and that can indeed have
absolutely identical sequences. Here, I focus on a few recent developments in the
field of monoallelic expression that are of particular interest and raise
interesting questions for future work. One development is regarding analyses of
imprinted genes, in which recent work suggests the possibility that intriguing
networks of imprinted genes exist and are important for genetic and physiological
studies. Another issue that has been raised in recent years by a number of
publications is the question of how skewed allelic expression should be for it to
be designated as monoallelic expression and, further, what methods are
appropriate or inappropriate for analyzing genomic data to examine allele
specific expression. Perhaps the most exciting recent development in mammalian
monoallelic expression is a clever and carefully executed analysis of genetic
diversity of autosomal genes subject to random monoallelic expression (RMAE),
which provides compelling evidence for distinct evolutionary forces acting on
random monoallelically expressed genes.
PMID- 27893955
TI - Using a Patient-Centered Outcome Measure to Test Methylphenidate Versus Placebo
in Children with Autism Spectrum Disorder.
AB - OBJECTIVES: Parent rating scales are commonly used to evaluate change in clinical
trials. Despite advantages, these measures may not capture parental impression of
the child's most salient problems. We examine the use of parent target problems
(PTPs) in a randomized trial of methylphenidate (MPH) in children with autism
spectrum disorder and symptoms of attention-deficit/hyperactivity disorder.
METHODS: This multisite, 4-week, randomized crossover trial compared three dose
levels (low, medium, and high) of MPH with placebo. At baseline, the independent
evaluator (IE) asked parents to nominate the child's two biggest problems. For
each problem, the IE and parent coconstructed a brief narrative of the behavior
and the impact on family life. The IE and parents reviewed and revised the
narratives at subsequent visits. A panel of four judges, blind to treatment
condition, independently reviewed the narratives to rate change from baseline on
a 9-point scale: 1, normal; 2, markedly improved; 3, definitely improved; 4,
equivocally improved; 5, no change; 6, possibly worse; 7, definitely worse; 8,
markedly worse; 9, disastrously worse. The mean of the four raters was compared
with primary and key secondary ratings from the original study. RESULTS: Two PTPs
were recorded at baseline for 60 participants. The inter-rater reliability of the
four judges across all PTPs and time points was excellent (intraclass correlation
= 0.95). On the primary outcome measure (Aberrant Behavior Checklist
Hyperactivity subscale), the medium and high-dose levels were superior to
placebo. On the mean PTP rating, only the high dose was superior to placebo. We
also compared PTP cutoff scores 3.0 (definitely improved), 3.25, and 3.5 with the
rate of positive response on the Improvement item of the Clinical Global
Impressions scale in the original study. Sensitivities ranged from 68% to 88%.
CONCLUSIONS: The parent target problem method offers a systematic way to identify
and track patient-centered outcomes.
PMID- 27893961
TI - The Genetics of Enteropathogenic Escherichia coli Virulence.
AB - In many parts of the world, enteropathogenic Escherichia coli (EPEC) are a
leading cause of death in children with diarrhea. Much of what we know about the
pathogenesis of EPEC infections is based on the study of one or two prototypic
strains that have provided deep insight into the precise mechanisms by which EPEC
colonizes the intestine, evades host immunity, and spreads from person to person.
In some cases, defining the biochemical activity of the host-interacting effector
proteins from these prototypic strains has led to the discovery of novel post
translational protein modifications and new understandings of biology and host
pathogen interactions. However, genomic analysis of recent EPEC isolates has
revealed that the EPEC pathotype is more diverse than previously appreciated.
Although by definition all strains carry the locus of enterocyte effacement, the
effector repertoires of different clonal groups are quite divergent, suggesting
that there is still a great deal to learn about the genetic basis of EPEC
virulence.
PMID- 27893962
TI - Proteopathic Strains and the Heterogeneity of Neurodegenerative Diseases.
AB - Most age-related neurodegenerative diseases are associated with the misfolding
and aberrant accumulation of specific proteins in the nervous system. The
proteins self-assemble and spread by a prion-like process of corruptive molecular
templating, whereby abnormally folded proteins induce the misfolding and
aggregation of like proteins into characteristic lesions. Despite the apparent
simplicity of this process at the molecular level, diseases such as Alzheimer's,
Parkinson's, Creutzfeldt-Jakob, and others display remarkable phenotypic
heterogeneity, both clinically and pathologically. Evidence is growing that this
variability is mediated, at least in part, by the acquisition of diverse
molecular architectures by the misfolded proteins, variants referred to as
proteopathic strains. The structural and functional diversity of the assemblies
is influenced by genetic, epigenetic, and local contextual factors. Insights into
proteopathic strains gleaned from the classical prion diseases can be profitably
incorporated into research on other neurodegenerative diseases. Their potentially
wide-ranging influence on disease phenotype also suggests that proteopathic
strains should be considered in the design and interpretation of diagnostic and
therapeutic approaches to these disorders.
PMID- 27893960
TI - Eukaryotic DNA Polymerases in Homologous Recombination.
AB - Homologous recombination (HR) is a central process to ensure genomic stability in
somatic cells and during meiosis. HR-associated DNA synthesis determines in large
part the fidelity of the process. A number of recent studies have demonstrated
that DNA synthesis during HR is conservative, less processive, and more mutagenic
than replicative DNA synthesis. In this review, we describe mechanistic features
of DNA synthesis during different types of HR-mediated DNA repair, including
synthesis-dependent strand annealing, break-induced replication, and meiotic
recombination. We highlight recent findings from diverse eukaryotic organisms,
including humans, that suggest both replicative and translesion DNA polymerases
are involved in HR-associated DNA synthesis. Our focus is to integrate the
emerging literature about DNA polymerase involvement during HR with the unique
aspects of these repair mechanisms, including mutagenesis and template switching.
PMID- 27893963
TI - Regulated Proteolysis in Bacteria: Caulobacter.
AB - Protein degradation is essential for all living things. Bacteria use energy
dependent proteases to control protein destruction in a highly specific manner.
Recognition of substrates is determined by the inherent specificity of the
proteases and through adaptor proteins that alter the spectrum of substrates. In
the alpha-proteobacterium Caulobacter crescentus, regulated protein degradation
is required for stress responses, developmental transitions, and cell cycle
progression. In this review, we describe recent progress in our understanding of
the regulated and stress-responsive protein degradation pathways in Caulobacter.
We discuss how organization of highly specific adaptors into functional
hierarchies drives destruction of proteins during the bacterial cell cycle.
Because all cells must balance the need for degradation of many true substrates
with the toxic consequences of nonspecific protein destruction, principles found
in one system likely generalize to others.
PMID- 27893967
TI - Does Orthodontic Extraction Treatment Improve the Angular Position of Third
Molars? A Systematic Review.
AB - PURPOSE: To systematically assess the available evidence on the effect of
orthodontic extractions on third molar (M3) angulation. MATERIALS AND METHODS:
Three databases were searched up to April 25, 2016 to identify orthodontic
studies comparing M3 angular changes in patients with and without extraction.
Information on methodology, treatment procedures, and outcome was retrieved from
each study. Assessment of overall and individual quality of the included studies
was performed using validated criteria. RESULTS: Fourteen retrospective studies
were considered eligible for this systematic review. Two studies achieved a
moderate evidence score, whereas the lowest grade was assigned to 12 studies. The
overall evidence level was classified as limited. Meta-analysis was not feasible
because of the high heterogeneity across studies. Based on the best available
evidence, premolar extraction followed by fixed orthodontic appliances can
substantially improve the angular position of M3s by 10 degrees to 18 degrees .
CONCLUSIONS: There is limited evidence that orthodontic extractions can
substantially enhance the uprighting of M3s. Clinicians should be aware of the
potentially beneficial effect of orthodontic extraction treatment on M3
development, although well-designed prospective studies are necessary to
strengthen this statement.
PMID- 27893968
TI - Interprofessional approach for teaching functional knee joint anatomy.
AB - Profound knowledge in functional and clinical anatomy is a prerequisite for
efficient diagnosis in medical practice. However, anatomy teaching does not
always consider functional and clinical aspects. Here we introduce a new
interprofessional approach to effectively teach the anatomy of the knee joint.
The presented teaching approach involves anatomists, orthopaedists and physical
therapists to teach anatomy of the knee joint in small groups under functional
and clinical aspects. The knee joint courses were implemented during early stages
of the medical curriculum and medical students were grouped with students of
physical therapy to sensitize students to the importance of interprofessional
work. Evaluation results clearly demonstrate that medical students and physical
therapy students appreciated this teaching approach. First evaluations of
following curricular anatomy exams suggest a benefit of course participants in
knee-related multiple choice questions. Together, the interprofessional approach
presented here proves to be a suitable approach to teach functional and clinical
anatomy of the knee joint and further trains interprofessional work between
prospective physicians and physical therapists as a basis for successful
healthcare management.
PMID- 27893972
TI - Audio Summary.
PMID- 27893966
TI - Vaccination via Chloroplast Genetics: Affordable Protein Drugs for the Prevention
and Treatment of Inherited or Infectious Human Diseases.
AB - Plastid-made biopharmaceuticals treat major metabolic or genetic disorders,
including Alzheimer's, diabetes, hypertension, hemophilia, and retinopathy.
Booster vaccines made in chloroplasts prevent global infectious diseases, such as
tuberculosis, malaria, cholera, and polio, and biological threats, such as
anthrax and plague. Recent advances in this field include commercial-scale
production of human therapeutic proteins in FDA-approved cGMP facilities,
development of tags to deliver protein drugs to targeted human cells or tissues,
methods to deliver precise doses, and long-term stability of protein drugs at
ambient temperature, maintaining their efficacy. Codon optimization utilizing
valuable information from sequenced chloroplast genomes enhanced expression of
eukaryotic human or viral genes in chloroplasts and offered unique insights into
translation in chloroplasts. Support from major biopharmaceutical companies,
development of hydroponic production systems, and evaluation by regulatory
agencies, including the CDC, FDA, and USDA, augur well for advancing this novel
concept to the clinic and revolutionizing affordable healthcare.
PMID- 27893964
TI - Aneuploidy in Cancer and Aging.
AB - Chromosomal instability (CIN), the persistent inability of a cell to faithfully
segregate its genome, is a feature of many cancer cells. It stands to reason that
CIN enables the acquisition of multiple cancer hallmarks; however, there is a
growing body of evidence suggesting that CIN impairs cellular fitness and
prevents neoplastic transformation. Here, we suggest a new perspective to
reconcile this apparent paradox and share an unexpected link between aneuploidy
and aging that was discovered through attempts to investigate the CIN-cancer
relationship. Additionally, we provide a comprehensive overview of the function
and regulation of the anaphase-promoting complex, an E3 ubiquitin ligase that
mediates high-fidelity chromosome segregation, and describe the mechanisms that
lead to whole-chromosome gain or loss. With this review, we aim to expand our
understanding of the role of CIN in cancer and aging with the long-term objective
of harnessing this information for the advancement of patient care.
PMID- 27893969
TI - Hospital characteristics and favourable neurological outcome among patients with
out-of-hospital cardiac arrest in Osaka, Japan.
AB - OBJECTIVE: To assess the association between favourable neurological outcome and
hospital characteristics such as hospital volume and number of critical care
centres (CCMCs) after out-of-hospital cardiac arrest (OHCA). METHODS: This
retrospective, population-based observational study conducted in Osaka
Prefecture, Japan included adult patients with OHCA, aged >=18 years who were
transported to acute care hospitals between January 2005 and December 2012. We
divided acute care hospitals into CCMCs or non-CCMCs, the latter of which were
divided into the following three groups according to the annual average number of
transported OHCA cases: low-volume (<=10 cases), middle-volume (11-39 cases), and
high-volume (>=40 cases) groups. Random effects logistic regression models, with
hospital treated as a random effect, were used to assess factors potentially
associated with a favourable neurological outcome. RESULTS: A total of 44,474
patients were eligible. The proportions of favourable neurological outcome from
OHCA were 0.9% (31/3559) in the low-volume group, 1.2% (106/9171) in the middle
volume group, 1.6% (222/14,007) in the high-volume group, and 4.3% (766/17,737)
in the CCMC group (P<0.001). In the multivariable analysis, transport to CCMCs
was significantly associated with favourable neurological outcome, compared with
transport to non-CCMCs (adjusted odds ratio 1.63; 95% confidence interval, 1.60
1.66). Among the non-CCMC group, there was no significant relationship between
hospital volume and favourable neurological outcome. CONCLUSIONS: In this
population, transport of OHCA patients to CCMCs led to significantly higher one
month survival rates with favourable neurological outcome from OHCA, whereas no
significant association was noted among the hospitals with different volumes.
PMID- 27893965
TI - Single-Cell and Single-Molecule Analysis of Gene Expression Regulation.
AB - Recent advancements in single-cell and single-molecule imaging technologies have
resolved biological processes in time and space that are fundamental to
understanding the regulation of gene expression. Observations of single-molecule
events in their cellular context have revealed highly dynamic aspects of
transcriptional and post-transcriptional control in eukaryotic cells. This
approach can relate transcription with mRNA abundance and lifetimes. Another key
aspect of single-cell analysis is the cell-to-cell variability among populations
of cells. Definition of heterogeneity has revealed stochastic processes,
determined characteristics of under-represented cell types or transitional
states, and integrated cellular behaviors in the context of multicellular
organisms. In this review, we discuss novel aspects of gene expression of
eukaryotic cells and multicellular organisms revealed by the latest advances in
single-cell and single-molecule imaging technology.
PMID- 27893973
TI - Progressive Dysphagia in a Pregnant Woman.
PMID- 27893974
TI - A Surprising Case of Acute Diarrhea in the South of France.
PMID- 27893975
TI - Gingival Carcinoma in a Patient With Ulcerative Colitis?
PMID- 27893976
TI - Reply.
PMID- 27893977
TI - Reply.
PMID- 27893979
TI - No Excess Cancer Recurrence After Immunosuppressive Drugs in a Meta-analysis of
Cohorts of Patients With Immune-mediated Diseases: A Mirage Related to Propensity
Bias?
PMID- 27893980
TI - Managing Crohn's Disease After Surgery: A Patient Guide.
PMID- 27893978
TI - Unusual Thickened Gastric Folds in a Patient With Breast Cancer.
PMID- 27893982
TI - Reply.
PMID- 27893981
TI - An Unusual Rash During Evaluation for Chronic Pancreatitis.
PMID- 27893983
TI - A Rare Submucosal Tumor of the Esophagus.
PMID- 27893984
TI - Indomethacin to Post-Endoscopic Retrograde Cholangiopancreatography Pancreatitis:
When and How?
PMID- 27893985
TI - Unusual Colonic Obstruction.
PMID- 27893986
TI - A formative evaluation of the recovery public works programme in Blantyre City,
Malawi.
AB - Public works programmes (PWPs) are popular social protection instruments in the
context of chronic poverty but very little has been published in the way of
implementation and outcomes of these programmes. This paper presents a formative
process and outcome evaluation of the recovery PWP in Blantyre City, Malawi. The
evaluation used longitudinal household survey data of PWP beneficiaries,
programme records and interview responses from programme staff and community
leaders. Largely, the process evaluation findings showed an agreement between
actual and planned activities. The outcome evaluation found indications that the
PWP community assets offered some potential benefits to the communities, and that
PWP wages allowed the beneficiaries to purchase some food. This however, did not
translate into more meals per day, nor did the earnings prevent the decline in
household assets as expected. Given a plausible PWP theory and high
implementation fidelity, the PWP wage rate or number of days was either just
enough to smooth participant income, or insufficient altogether, to enable
achievement of more distal outcomes.
PMID- 27893988
TI - WITHDRAWN: Endothelin signaling in bone.
PMID- 27893989
TI - Drug discovery targeting heme-based sensors and their coupled activities.
AB - Heme-based sensors have emerged during the last 20years as being a large family
of proteins that occur in all kingdoms of life. A myriad of biological
adaptations are associated with these sensors, which include vasodilation,
bacterial virulence, dormancy, chemotaxis, biofilm formation, among others. Due
to the key activities regulated by these proteins along with many other systems
that use similar output domains, there is a growing interest in developing small
molecules as their regulators. Here, we review the development of potential
activators and inhibitors for many of these systems, including human soluble
guanylate cyclase, c-di-GMP-related enzymes, Mycobacterium tuberculosis
DevR/DevS/DosT (differentially expressed in virulent strain response
regulator/sensor/dormancysurvival sensorT), the Rev-erb-alpha and beta nuclear
receptor, among others. The possible roles of these molecules as biochemical
tools, therapeutic agents, and novel antibiotics are critically examined.
PMID- 27893987
TI - Transdisciplinary approaches enhance the production of translational knowledge.
AB - The primary goal of translational research is to generate and apply knowledge
that can improve human health. Although research conducted within the confines of
a single discipline has helped us to achieve this goal in many settings, this
unidisciplinary approach may not be optimal when disease causation is complex and
health decisions are pressing. To address these issues, we suggest that
transdisciplinary approaches can facilitate the progress of translational
research, and we review publications that demonstrate what these approaches can
look like. These examples serve to (1) demonstrate why transdisciplinary research
is useful, and (2) stimulate a conversation about how it can be further promoted.
While we note that open-minded communication is a prerequisite for germinating
any transdisciplinary work and that epidemiologists can play a key role in
promoting it, we do not propose a rigid protocol for conducting transdisciplinary
research, as one really does not exist. These achievements were developed in
settings where typical disciplinary and institutional barriers were surmountable,
but they were not accomplished with a single predetermined plan. The benefits of
cross-disciplinary communication are hard to predict a priori and a detailed
research protocol or process may impede the realization of novel and important
insights. Overall, these examples demonstrate that enhanced cross-disciplinary
information exchange can serve as a starting point that helps researchers frame
better questions, integrate more relevant evidence, and advance translational
knowledge more effectively. Specifically, we discuss examples where
transdisciplinary approaches are helping us to better explore, assess, and
intervene to improve human health.
PMID- 27893990
TI - Ferrocenyl naphthalene diimides as tetraplex DNA binders.
AB - Seven ferrocenyl naphthalene diimide (FND) ligands were synthesized. Each had a
higher affinity for tetraplex DNA than for either single- or double-stranded DNA.
The FND binding affinities were >105M-1 in 0.10M AcOH-AcONa or AcOH-AcOK (pH5.5)
containing 0.10M NaCl or KCl. The FNDs with the highest binding affinities for
tetraplex DNA showed 23- or 8-times higher preference for tetraplex DNA than for
single- or double-stranded DNA, respectively. The current signals generated from
the seven FNDs bound to the tetraplex DNA immobilized on the electrode were found
to correlate with the binding affinities of these ligands for the tetraplex DNA.
Furthermore, using the telomerase repeat amplification protocol assay, the FND
ligands could be categorized into three groups: (a) inhibiting both telomerase
and Taq polymerase, (b) inhibiting telomerase alone, and (c) inhibiting neither
telomerase nor Taq polymerase.
PMID- 27893991
TI - SacLab: A toolbox for saccade analysis to increase usability of eye tracking
systems in clinical ophthalmology practice.
AB - PURPOSE: Many open source software packages have been recently developed to
expand the usability of eye tracking systems to study oculomotor behavior, but
none of these is specifically designed to encompass all the main functions
required for creating eye tracking tests and for providing the automatic analysis
of saccadic eye movements. The aim of this study is to introduce SacLab, an
intuitive, freely-available MATLAB toolbox based on Graphical User Interfaces
(GUIs) that we have developed to increase the usability of the ViewPoint
EyeTracker (Arrington Research, Scottsdale, AZ, USA) in clinical ophthalmology
practice. METHODS: SacLab consists of four processing modules that enable the
user to easily create visual stimuli tests (Test Designer), record saccadic eye
movements (Data Recorder), analyze the recorded data to automatically extract
saccadic parameters of clinical interest (Data Analyzer) and provide an aggregate
analysis from multiple eye movements recordings (Saccade Analyzer), without
requiring any programming effort by the user. RESULTS: A demo application of
SacLab to carry out eye tracking tests for the analysis of horizontal saccades
was reported. We tested the usability of SacLab toolbox with three
ophthalmologists who had no programming experience; the ophthalmologists were
briefly trained in the use of SacLab GUIs and were asked to perform the demo
application. The toolbox gained an enthusiastic feedback from all the clinicians
in terms of intuitiveness, ease of use and flexibility. Test creation and data
processing were accomplished in 52+/-21s and 46+/-19s, respectively, using the
SacLab GUIs. CONCLUSIONS: SacLab may represent a useful tool to ease the
application of the ViewPoint EyeTracker system in clinical routine in
ophthalmology.
PMID- 27893992
TI - Prediction of myocardial infarction by assessing regional cardiac wall in CMR
images through active mesh modeling.
AB - Myocardial infarction is a leading cause of morbidity and mortality. In this
study, using Cine MRI images, the infarct region was precisely determined by
examining the local migration path length of critical points on myocardium
borders and the fractional thickening effects. First, MRI Cine images of
Epi/Endocardium were processed in 3D for all slices, and then incorporated in all
frames to build a dynamic model. Epi/Endocardium images were segmented using
Heiberg algorithm, and then by a robust restricted block matching algorithm, the
sparse points were tracked. Finally, by fitting a 3D active mesh model to the
sparse point displacements, a dense motion field was obtained, and some useful
local parameters of left ventricle in patients with myocardial infarction were
estimated. The local parameters are path length, fractional thickening, and
strain. Using this process, the cardiac wall motion was quantized to determine
the region and extent of infarct lesion. The process was implemented, and the
results were examined and modified against the cardiac perfusion scan. Data were
acquired from 10 healthy individuals and 20 patients with the myocardial
infarction. The findings also reveal that the infarct region can be determined by
locating less than 20% in the wall thickening. In all the patients, the process
was able to precisely determine the affected region. The cardiac wall kinesis in
damaged regions was properly evaluated by normalized path length and presented in
standard bull's-eye format. The above approach is promising and can be extended
in prognosis of acute heart infraction by prediction of prone to the wall kinesis
regions in the patients close to MI by examining the local indexes of the
myocardium in the cardiac MRI images.
PMID- 27893993
TI - Mediated effects of perceived discrimination on adolescent academic achievement:
A test of four models.
AB - Many adolescents feel they are subjected to acts of discrimination. Research
shows that discrimination is associated with adverse outcomes including poor
psychological adjustment, school adjustment, and academic achievement. This study
investigated alternative pathways through which discrimination affects
adolescents' academic achievement. A sample of 244 Year 7-10 Australian secondary
school students (65% male; Mage = 13.6 years; SD = 1.24) completed questionnaires
measuring discrimination, psychological adjustment, and sense of school
membership. Both at the time of questionnaire completion and one semester later,
absenteeism data, teacher ratings of classroom behavior, and academic grades were
retrieved from school records. The fit of four competing structural models were
compared. In the best fitting model, the effects of prior discrimination on
academic achievement one semester later were serially mediated, first through
psychological adjustment, and then through school adjustment. By elucidating
these mechanisms, the study informs theory and practice regarding the effects of
discrimination on adolescents.
PMID- 27893994
TI - Shortening Scarf osteotomy for correction of severe hallux valgus. Does
shortening affect the outcome?
AB - BACKGROUND: Translation and shortening of Scarf osteotomy allows correction of
severe hallux valgus deformity. Shortening may result in transfer metatarsalgia.
AIM: To evaluate outcome of patients undergoing shortening Scarf osteotomy for
severe hallux valgus deformities. MATERIALS AND METHODS: Fifteen patients
(20feet, mean age 58 years) underwent shortening Scarf osteotomy for severe
hallux valgus deformities. Outcomes were pre and postoperative AOFAS scores, IM
and HV angles, patient satisfaction. RESULTS: Mean follow-up was 25 months (range
22-30). The IM angle improved from a median of 18.60 (range 13.4-26.20)
preoperatively to 9.70 (range 8.0-13.70) postoperatively (8.9; 95% CI=7.6-10.3;
p<0.001). The HV angle improved from a mean of 43.2 (range 27.4-68.2)
preoperatively to 13.6 (range 3.0-37.4) postoperatively (29.6; 95% CI=26.1-33.2;
p<0.001). The median AOFAS score improved from 29.2 (range 14-60) preoperatively
to 82.2 (range 55-100) postoperatively (53.0; 95% CI=48.0-58.5; p<0.001). All
patients rated their satisfaction as either satisfied or very satisfied. None had
symptoms of transfer metatarsalgia at final follow-up. All osteotomies united.
CONCLUSIONS: Shortening Scarf osteotomy is a viable option for treating severe
hallux valgus deformities with no transfer metatarsalgia.
PMID- 27893996
TI - Frequency and pattern of Internet use in patients with schizophrenia or bipolar
disorders seeking medical information.
AB - The aim was to explore how patients with bipolar (N=59) or psychotic disorder
(N=41) seek medical information on Internet (e-patient). Two-thirds (64%) of
inpatients interviewed using a standardized semi-structured questionnaire were e
patients. Compared to non e-patients, they were younger and had a higher
educational level. The proportion of e-patients was comparable among persons with
schizophrenia (61%) and with bipolar disorder (66%). Very few patients were
familiar with quality codes for Internet medical information. Patients should be
informed about how to recognize reliable information on the Internet and web
sites should cater for their cognitive deficits.
PMID- 27893995
TI - Combined effects of cadmium, temperature and hypoxia-reoxygenation on
mitochondrial function in rainbow trout (Oncorhynchus mykiss).
AB - Although aquatic organisms face multiple environmental stressors that may
interact to alter adverse outcomes, our knowledge of stressor-stressor
interaction on cellular function is limited. We investigated the combined effects
of cadmium (Cd), hypoxia-reoxygenation (H-R) and temperature on mitochondrial
function. Liver mitochondria from juvenile rainbow trout were exposed to Cd (0
20MUM) and H-R (0 and 5min) at 5, 13 and 25 degrees C followed by measurements of
mitochondrial Cd load, volume, complex I active (A)<->deactive (D) transition,
membrane potential, ROS release and ultrastructural changes. At high temperature
Cd exacerbated H-R-imposed reduction of maximal complex I (CI) respiration
whereas at low temperature 5 and 10MUM stimulated maximal CI respiration post H
R. The basal respiration showed a biphasic response at high temperatures with low
Cd concentrations reducing the stimulatory effect of H-R and high concentrations
enhancing this effect. At low temperature Cd monotonically enhanced H-R-induced
stimulation of basal respiration. Cd and H-R reduced both the P/O ratio and the
RCR at all 3 temperatures. Temperature rise alone increased mitochondrial Cd load
and toxicity, but combined H-R and temperature exposure reduced mitochondrial Cd
load but surprisingly exacerbated the mitochondrial dysfunction. Mitochondrial
dysfunction induced by H-R was associated with swelling of the organelle and
blocking of conversion of CI D to A form. However, low amounts of Cd protected
against H-R induced swelling and prevented the inhibition of H-R-induced CI D to
A transition. Both H-R and Cd dissipated mitochondrial membrane potential
Deltapsim and damaged mitochondrial structure. We observed increased reactive
oxygen species (H2O2) release that together with the protection afforded by EGTA,
vitamin E and N-acetylcysteine against the Deltapsim dissipation suggested direct
involvement of Cd and oxidative stress. Overall, our findings indicate that
mitochondrial sensitivity to Cd toxicity was enhanced by the effects of H-R and
temperature, and changes in mitochondrial Cd load did not always explain this
effect.
PMID- 27893997
TI - Psychometric properties of dual-task balance and walking assessments for
individuals with neurological conditions: A systematic review.
AB - BACKGROUND: The ability of performing a balance or walking task in conjunction
with a secondary cognitive or motor task, referred to as dual-task (DT) ability,
is essential in daily living. While there is some evidence that DT performance is
impaired in individuals with neurological conditions, using reliable and valid
tools to measure DT performance is essential. This systematic review aimed to
evaluate the psychometric properties of DT balance and walking assessments in
individuals with different neurological conditions. METHODS: A systematic
literature search was conducted using PubMed, CINAHL, MEDLINE, PsycINFO, SCOPUS,
Web of Science, and Cochrane Library (last search done in April 2016). The
methodological quality was rated using the Consensus-based Standards for the
selection of health Measurement Instruments (COSMIN) checklist. RESULTS: Twenty
three articles involving individuals with stroke, Parkinson's disease, mild
cognitive impairment, dementia, Alzheimer's disease, and multiple sclerosis were
included. Outcomes derived from the walking tasks under DT condition generally
demonstrated good reliability (correlation coefficient >=0.75) across different
neurological disorders, but their usefulness in distinguishing fallers from non
fallers was inconclusive. The reliability of outcomes derived from the
cognitive/motor tasks and from the dual-task effect (DTE) (i.e., DT performance
minus single-task performance) seemed to be lower but was understudied. The
reliability of static or dynamic sitting/standing balance outcomes in DT
condition was not assessed in any of the selected studies. CONCLUSIONS: The
reliability of the outcomes derived from walking tasks was good. The psychometric
properties of other DT outcomes need to be further investigated.
PMID- 27893998
TI - Influenza and rhinovirus viral load and disease severity in upper respiratory
tract infections.
AB - BACKGROUND: The role of viral load in respiratory viral infection is unclear. It
is proposed that the viral load of some, but not all respiratory viruses
correlate with disease severity. OBJECTIVES: We aimed to determine if an
association exists between viral loads among patients in ambulatory settings,
compared to those requiring hospitalization/intensive care unit (ICU) admission
with influenza A/H3N2, influenza B, or human rhinovirus (HRV); we also explored
the impact of age, gender and co-detection of Streptococcus pneumoniae on patient
setting. We hypothesized that hospitalized/ICU patients have higher respiratory
virus viral loads compared to ambulatory (e.g. walk-in clinics, family
practices)/ER patients. STUDY DESIGN: We quantified viral load by in-house real
time RT-PCR in 774 nasopharyngeal swabs with influenza A/H3N2, or B or HRV
viruses from various patient settings in Ontario, Canada. RESULTS: Mean viral
load (log10 copies/ml) of influenza A/H3N2 (6.94) was higher than influenza B
(4.96) and HRV (5.58) (p<0.0001). Influenza A/H3N2 viral loads were highest in
infants and the elderly; however, increased A/H3N2 viral loads were not
associated with hospitalization/ICU admission compared to swabs collected in
ambulatory/ER settings. Influenza B viral loads were higher in patients in
hospital/ICU settings compared to those in ambulatory settings (OR 1.28, 95% CI
1.11-1.47). HRV viral loads did not differ by age (p=0.67) or setting (p=0.54);
there was no association between S. pneumoniae colonization and setting for any
virus. CONCLUSION: When compared to ambulatory/ER patients, viral load was higher
in hospitalized/ICU patients with influenza B, but not influenza A or HRV.
PMID- 27893999
TI - Ebola virus disease: An update on current prevention and management strategies.
AB - Ebola virus disease (EVD) is characterised by systemic viral replication, immuno
suppression, abnormal inflammatory responses, large volume fluid and electrolyte
losses, and high mortality in under-resourced settings. There are various
therapeutic strategies targeting EVD including vaccines utilizing different
antigen delivery methods, antibody-based therapies and antiviral drugs. These
therapies remain experimental, but received attention following their use
particularly in cases treated outside West Africa during the 2014-15 outbreak, in
which 20 (80%) out of 25 patients survived. Emerging data from current trials
look promising and are undergoing further study, however optimised supportive
care remains the key to reducing mortality from EVD.
PMID- 27894000
TI - Synthesis and fluorescence studies of nine 1,5-benzodiazepine-2,4-dione
derivatives: Dual emission and excimer fluorescence.
AB - The photophysical properties of nine 1,5-benzodiazepine-2,4-dione (BZD)
derivatives were investigated using absorption and fluorescence spectral
techniques in dimethyl sulfoxide. The trend of red shifts caused by the
substitutions had full compliance with the trend of decreasing the calculated
band gap (DeltaELUMO-HOMO) by semi-empirical AM1 and DFT/B3LYP/6-311+G*
computational methods. The positive solvatochromism of BZD a demonstrated the pi
pi* nature of the singlet excited state. Dual fluorescence was observed in the
emission spectra of BZD f and g, while their spectrum in different concentration
showed only one peak short wavelength (SW) in dilute solutions. The main peak in
SW around 370nm was attributed to the monomer of BZD (f* or g*) and the broader
emission shifted to the visible region around 400nm in middle wavelength (MW) to
the intermolecular excimer emission of BZD ([f/f]*or [g/g]*). The observed
phenomena, such as solvatochromism, dual fluorescence, some red shifts caused by
substitution, and larger Stokes shift indicated the existence of intramolecular
charge transfer (ICT) in the BZDs series. The phosphorescence emission of the
BZDs demonstrated their intersystem crossing (ISC) process.
PMID- 27894001
TI - Multiresolution eXtended Free-Form Deformations (XFFD) for non-rigid registration
with discontinuous transforms.
AB - Image registration is an essential technique to obtain point correspondences
between anatomical structures from different images. Conventional non-rigid
registration methods assume a continuous and smooth deformation field throughout
the image. However, the deformation field at the interface of different organs is
not necessarily continuous, since the organs may slide over or separate from each
other. Therefore, imposing continuity and smoothness ubiquitously would lead to
artifacts and increased errors near the discontinuity interface. In computational
mechanics, the eXtended Finite Element Method (XFEM) was introduced to handle
discontinuities without using computational meshes that conform to the
discontinuity geometry. Instead, the interpolation bases themselves were enriched
with discontinuous functional terms. Borrowing this concept, we propose a
multiresolution eXtented Free-Form Deformation (XFFD) framework that seamlessly
integrates within and extends the standard Free-Form Deformation (FFD) approach.
Discontinuities are incorporated by enriching the B-spline basis functions
coupled with extra degrees of freedom, which are only introduced near the
discontinuity interface. In contrast with most previous methods, restricted to
sliding motion, no ad hoc penalties or constraints are introduced to reduce gaps
and overlaps. This allows XFFD to describe more general discontinuous motions. In
addition, we integrate XFFD into a rigorously formulated multiresolution
framework by introducing an exact parameter upsampling method. The proposed
method has been evaluated in two publicly available datasets: 4D pulmonary CT
images from the DIR-Lab dataset and 4D CT liver datasets. The XFFD achieved a
Target Registration Error (TRE) of 1.17 +/- 0.85 mm in the DIR-lab dataset and
1.94 +/- 1.01 mm in the liver dataset, which significantly improves on the
performance of the state-of-the-art methods handling discontinuities.
PMID- 27894003
TI - Seizure and Zika virus infection.
PMID- 27894002
TI - Polymorphism in CRHR1 gene affects the IL-1beta levels in suicidal attempters.
AB - Approximately one million people commit suicide every year, being suicide
attempts and ideation even more common. Changes in stress response and activation
of the immune system have been associated with suicide risk. Here we investigated
the interaction between immune system and HPA axis alterations in the suicide
risk, looking for the influence of rs110402 CRHR1 SNP in the IL-1beta levels
according to suicide ideation and attempt. This study evaluated 171 subjects of
which 15 had suicidal ideation, 20 had suicide attempt and 136 were controls.
Genotyping was performed by real-time PCR and IL-1beta levels were measured by
ELISA. Our data showed that for each point increase in IL-1beta levels the risk
of suicide attempt increased 5% [relative risk = 1.05 (95% CI: 1.0-1.10)]. After
sample stratification by rs110402 SNP genotypes, we observed that in subjects
carrying the A allele the risk raised to 15% [relative risk = 1.15 (95% CI: 1.03
1.28)], suggesting an apparent effect modification. Thus, this study showed that
alterations in CRHR1 gene were associated with higher levels of IL-1beta, and
increased risk for suicide, reinforcing the importance of multifactorial
interactions of biological markers for psychiatric disorders.
PMID- 27894004
TI - Online and offline inferential and textual processing of poor comprehenders:
Evidence from a probing method.
AB - Numerous studies have demonstrated that poor inferential processing underlies the
specific deficit of poor comprehenders. However, it is still not clear why poor
comprehenders have difficulties in generating inferences while reading and
whether this impairment is general or specific to one or more types of
inferences. The current study employed an online probing method to examine the
spontaneous immediate activation of two inference types-forward-predictive
inferences and backward-explanatory inferences-during reading. In addition, we
examined the ability of poor comprehenders to retain, suppress, and reactivate
text information (relevant for inferencing) in working memory. The participants,
10- to 12-year-old good and poor comprehenders, read short narratives and name
inference or text word probes following a predictive, intervening, or bridging
sentence. Comparing the size of probe-naming facilitations revealed that poor
comprehenders generate predictive inferences, albeit more slowly than good
comprehenders, and generate explanatory inferences to a lesser extent than good
comprehenders. Moreover, we found that this inferior inferential processing is
presumably a result of poor retention and reactivation of inference-evoking text
information during reading. Finally, poorer reading comprehension was associated
with higher activation of information when it was less relevant following the
intervening sentences. Taken together, the current findings demonstrate the
manner in which poor regulation of relevant and less relevant information during
reading underlies the specific comprehension difficulties experienced by poor
comprehenders.
PMID- 27894005
TI - UPLC-QTOF analysis reveals metabolomic changes in the flag leaf of wheat
(Triticum aestivum L.) under low-nitrogen stress.
AB - Wheat is one of the most important grain crop plants worldwide. Nitrogen (N) is
an essential macronutrient for the growth and development of wheat and exerts a
marked influence on its metabolites. To investigate the influence of low nitrogen
stress on various metabolites of the flag leaf of wheat (Triticum aestivum L.), a
metabolomic analysis of two wheat cultivars under different induced nitrogen
levels was conducted during two important growth periods based on large-scale
untargeted metabolomic analysis using ultra-performance liquid chromatography
quadrupole time-of-flight mass spectrometry (UPLC-QTOF). Multivariate analyses
such as principle components analysis (PCA) and orthogonal partial least square
discriminant analysis (OPLS-DA)-were used for data analysis. PCA yielded
distinctive clustering information among the samples, classifying the wheat flag
samples into two categories: those under normal N treatment and low N treatment.
By processing OPLS-DA, eleven secondary metabolites were shown to be responsible
for classifying the two groups. The secondary metabolites may be considered
potential biomarkers of low nitrogen stress. Chemical analyses showed that most
of the identified secondary metabolites were flavonoids and their related
derivatives, such as iso-vitexin, iso-orientin and methylisoorientin-2"-O
rhamnoside, etc. This study confirmed the effect of low nitrogen stress on the
metabolism of wheat, and revealed that the accumulation of secondary metabolites
is a response to abiotic stresses. Meanwhile, we aimed to identify markers which
could be used to monitor the nitrogen status of wheat crops, presumably to guide
appropriate fertilization regimens. Furthermore, the UPLC-QTOF metabolic platform
technology can be used to study metabolomic variations of wheat under abiotic
stresses.
PMID- 27894006
TI - Distinct patterns of imprecise consonant articulation among Parkinson's disease,
progressive supranuclear palsy and multiple system atrophy.
AB - Distinct speech characteristics that may aid in differentiation between
Parkinson's disease (PD), progressive supranuclear palsy (PSP) and multiple
system atrophy (MSA) remain tremendously under-explored. Here, the patterns and
degree of consonant articulation deficits across voiced and voiceless stop
plosives in 16 PD, 16 PSP, 16 MSA and 16 healthy control speakers were evaluated
using acoustic and perceptual methods. Imprecise consonant articulation was
observed across all Parkinsonian groups. Voice onset time of voiceless plosives
was more prolonged in both PSP and MSA compared to PD, presumably due to greater
severity of dysarthria and slower articulation rate. Voice onset time of voiced
plosives was significantly shorter only in MSA, likely as a consequence of damage
to cerebellar structures. In agreement with the reduction of pre-voicing, MSA
manifested increased number of voiced plosives misclassified as voiceless at
perceptual evaluation. Timing of articulatory movements may provide important
clues about the pathophysiology of underlying disease.
PMID- 27894007
TI - Infant attention to same- and other-race faces.
AB - We recorded visual attention to same- and other-race faces in Hispanic and White
11-month-old infants, an age at which face processing is presumably biased by an
own-race recognition advantage. Infants viewed pairs of faces differing in race
or ethnicity as their eye movements were recorded. We discovered consistently
greater attention to Black over Hispanic faces, to Black faces over White faces,
and to Hispanic over White faces. Inversion of face stimuli, and infant
ethnicity, had little effect on performance. Infants' social environments,
however, differed sharply according to ethnicity: Hispanic infants are almost
exclusively exposed to Hispanic family members, and White infants to White family
members. Moreover, Hispanic infants inhabit communities that are more racially
and ethnically diverse. These results imply that race-based visual attention in
infancy is closely aligned with the larger society's racial and ethnic
composition, as opposed to race-based recognition, which is more closely aligned
with infants' immediate social environments.
PMID- 27894009
TI - Study of Streptococcus thermophilus population on a world-wide and historical
collection by a new MLST scheme.
AB - We analyzed 178 Streptococcus thermophilus strains isolated from diverse
products, from around the world, over a 60-year period with a new multilocus
sequence typing (MLST) scheme. This collection included isolates from two
traditional cheese-making sites with different starter-use practices, in sampling
campaigns carried out over a three years period. The nucleotide diversity of the
S. thermophilus population was limited, but 116 sequence types (ST) were
identified. Phylogenetic analysis of the concatenated sequences of the six
housekeeping genes revealed the existence of groups confirmed by eBURST analysis.
Deeper analyses performed on 25 strains by CRISPR and whole-genome analysis
showed that phylogenies obtained by MLST and whole-genome analysis were in
agreement but differed from that inferred by CRISPR analysis. Strains isolated
from traditional products could cluster in specific groups indicating their
origin, but also be mixed in groups containing industrial starter strains. In the
traditional cheese-making sites, we found that S. thermophilus persisted on dairy
equipment, but that occasionally added starter strains may become dominant. It
underlined the impact of starter use that may reshape S. thermophilus populations
including in traditional products. This new MLST scheme thus provides a framework
for analyses of S. thermophilus populations and the management of its
biodiversity.
PMID- 27894010
TI - A magnetic resonance imaging study of abnormalities of the patella and patellar
tendon that predispose children to acute patellofemoral dislocation.
AB - This study compared 20 children hospitalised with acute patellofemoral
dislocation with an age-matched healthy control group with no history of knee
problems or patellar dislocation. The following morphological parameters were
significantly different between the groups: the mean patellar width and length,
mean sulcus depth, mean patellar tendon width and total patellar volume. The
magnetic resonance imaging findings of this study suggested that structurally
smaller than normal patella and patellar tendon volumes are predisposing factors
for acute patellofemoral dislocation.
PMID- 27894008
TI - Common or multiple futures for end of life care around the world? Ideas from the
'waiting room of history'.
AB - Around the world there is growing interest in the manner in which care is
delivered to people at the end of life. However, there is little unanimity on
what constitutes a 'good death' and the appropriate societal responses to the
issue of delivering culturally relevant and sustainable forms of end of life care
in different settings are not subjects of broad agreement. In this critical
conceptual paper we focus on the emerging narratives of global palliative care
and offer an assessment of their implications. We relate this to calls to improve
end of life care across jurisdictions and settings, attempts to map and grade the
development of palliative care provision, and to the emergence of a widely
recognised global 'quality of death index'. We consider an alternative approach
to framing this debate, drawn from a subaltern and post-colonial studies
perspective and suggest that adopting a truly global perspective will require
acceptance of the plurality of past and present local problems and issues
relating to end of life care, as well as the plural possibilities of how they
might be overcome. In that context, we would not aim to universalise or privilege
one particular global future for end of life care. Instead of homogenising end of
life interventions, we seek to be open to multiple futures for the care of the
dying.
PMID- 27894011
TI - Comparison of ILAE 2010 and semiological seizure classification in children with
epilepsy.
AB - OBJECTIVE: The aim of this study was to compare both ILAE 2010 and semiological
seizure classification (SSC) in terms of their applicability and utility and to
predict epileptogenic zone in children with epilepsy. METHODS: Both ILAE 2010
classification and SSC which is a part of five dimensional classification were
applied for a total 138 children by dividing into younger (<=6y/o) and older
(>6y/o) age groups over the last two years as retrospectively. After the
assessment of the seizures types, epilepsy syndromes, and etiologies; the data
were also compared to evaluate if having correlation between epileptogenic zone
and seizure subtypes in both ILAE 2010 and SSC. RESULTS: ILAE 2010 indicated that
66.7% of the patients had focal seizures, 15.9% had generalized seizures, and
14.4% had seizures of unknown origin. The SSC revealed that the most frequent
seizure type was simple seizures (56.5%), second frequent one was complex motor
seizures (46.4%) and dialeptic seizures (39.9%). To predict epileptogenic zone,
SSC was found to be more specific than ILAE in terms of the more subgroups of SSC
were related to the more subgroups of epileptogenic zone (p<0.05). Furthermore,
there was a clear correlation between focal foci and specific seizure types in
older ages, while many foci caused to same seizure types and tend to no clear
focal foci with generalized onset in younger ages. On the other hand, the
relationship between epileptogenic zone and etiology was more remarkable in the
five dimensional classification. SIGNIFICANCE: Preference of seizure
classification system is unique for each patient and depends on requirements.
Therefore, one dimension cannot be sufficient for evaluation the nature of the
seizures in some patients. Furthermore, age related evolution of the seizure
types should not be ignored due to ongoing maturation state of the brain. ILAE
2010 and SSC have weak and strong points compared to each other. Semiological
seizure classification is more informative in terms of identifying the
epileptogenic zone which may be important in specific occasions like pre-surgical
work up, while ILAE is simple and easier method which can be applied for seizure
description and their characteristics in daily practice.
PMID- 27894012
TI - Depression in cancer: The many biobehavioral pathways driving tumor progression.
AB - Major Depressive Disorder (MDD) is common among cancer patients, with prevalence
rates up to four-times higher than the general population. Depression confers
worse outcomes, including non-adherence to treatment and increased mortality in
the oncology setting. Advances in the understanding of neurobiological
underpinnings of depression have revealed shared biobehavioral mechanisms may
contribute to cancer progression. Moreover, psychosocial stressors in cancer
promote: (1) inflammation and oxidative/nitrosative stress; (2) a decreased
immunosurveillance; and (3) a dysfunctional activation of the autonomic nervous
system and of the hypothalamic-pituitaryadrenal axis. Consequently, the prompt
recognition of depression among patients with cancer who may benefit of treatment
strategies targeting depressive symptoms, cognitive dysfunction, fatigue and
sleep disturbances, is a public health priority. Moreover, behavioral strategies
aiming at reducing psychological distress and depressive symptoms, including
addressing unhealthy diet and life-style choices, as well as physical inactivity
and sleep dysfunction, may represent important strategies not only to treat
depression, but also to improve wider cancer-related outcomes. Herein, we provide
a comprehensive review of the intertwined biobehavioral pathways linking
depression to cancer progression. In addition, the clinical implications of these
findings are critically reviewed.
PMID- 27894014
TI - A fast approach to 3D HSQC-based spectroscopy based on a Fourier phase encoding
of pre-targeted resonances.
AB - Multidimensional Nuclear Magnetic Resonance (NMR) provides a unique window into
structure and dynamics at an atomic level. Traditionally, given the scan-by-scan
time modulation involved in these experiments, the duration of nD NMR increases
exponentially with spectral dimensionality. In addition, acquisition times
increase as the number of spectral elements being sought in each indirect domain
given by the ratio between the spectral bandwidth being targeted and the
resolution desired. These long sampling times can be substantially reduced by
exploiting information that is often available from lower-dimensionality
acquisitions. This work presents a novel approach that exploits previous 2D
information to speed up the acquisition of 3D spectra, based on what we denote as
a Time-Optimized FouriEr Encoding (TOFEE) of pre-targeted peaks. Such 3D TOFEE
experiments, which present points in common with Hadamard-encoded 3D
acquisitions, do not necessarily require more scans than their 2D counterparts.
This is here demonstrated based on extensions of 2D Heteronuclear Single-quantum
Coherence (HSQC) experiments, to 3D HSQC-TOCSY or 3D HSQC-NOESY acquisitions. The
theoretical basis of this new approach is given, and experimental demonstrations
are presented on small molecule and protein-based model systems.
PMID- 27894015
TI - Examining associations between area-level spatial measures of housing with
selected health and wellbeing behaviours and outcomes in an urban context.
AB - Adequate and affordable housing is a major social determinant of health; yet no
work has attempted to conceptually map and spatially test area-level measures of
housing with selected health and wellbeing outcomes. Sourcing data from 7,753
adults from Melbourne, Australia, we tested associations between area-level
measures of housing density, tenure, and affordability with individual-level
measures of neighbourhood safety, community satisfaction, and self-rated health.
Compared with the reference groups, the odds of: feeling unsafe was higher for
residents living in areas with less affordable housing; community dissatisfaction
was ~30% higher in those living in areas with >36% residential properties
assigned as rentals, and was significantly higher in the least affordable areas
(OR =1.57). Compared with the reference groups, as dwelling density, proportion
of rental properties, and housing unaffordability increased, the odds of
reporting poorer self-rated health increased; however these associations did not
always reach statistical significance. This work highlights the benefits of
evidenced-based planning spatial measures to support health and wellbeing.
PMID- 27894013
TI - Outer membrane protein design.
AB - Membrane proteins are the gateway to the cell. These proteins are also a control
center of the cell, as information from the outside is passed through membrane
proteins as signals to the cellular machinery. The design of membrane proteins
seeks to harness the power of these gateways and signal carriers. This review
will focus on the design of the membrane proteins that are in the outer membrane,
a membrane which only exists for gram negative bacteria, mitochondria, and
chloroplasts. Unlike other membrane proteins, outer membrane proteins are
uniquely shaped as beta-barrels. Herein, I describe most known examples of
membrane beta-barrel design to date, focusing particularly on categorizing
designs as: Firstly, structural deconstruction; secondly, structural changes;
thirdly, chemical function design; and finally, the creation of new folds.
PMID- 27894016
TI - Adolescents who engage in active school transport are also more active in other
contexts: A space-time investigation.
AB - BACKGROUND: Although active school travel (AST) is important for increasing
moderate-to-vigorous physical activity (MVPA), it is unclear how AST is related
to context-specific physical activity and non-school travel. This study
investigated how school travel is related to physical activity and travel
behaviours across time- and space-classified domains. METHODS: A total of 196
adolescents wore a Global Positioning System receiver and an accelerometer for 7
days. All data were classified into one of four domains: home, school, transport,
or leisure. Generalized linear mixed models were used to compare domain-specific
PA and non-school trips between active and passive school travellers. RESULTS:
Active travellers accumulated 13 and 14 more min of MVPA on weekdays and weekend
days, respectively. They also spent 15min less time in vehicular travel during
non-school trips, and accrued an additional 9min of MVPA while walking on weekend
days. However, those with no AST still achieved most of their MVPA in the
transport domain. CONCLUSIONS: AST is related to out-of-school physical activity
and transportation, but transport is also important for those who do not use AST.
As such, future studies should consider overall mobility and destinations other
than school when assessing travel and physical activity behaviours.
PMID- 27894017
TI - The regional geography of alcohol consumption in England: Comparing drinking
frequency and binge drinking.
AB - Alcohol consumption frequency and volume are known to be related to health
problems among drinkers. Most of the existing literature that analyses regional
variation in drinking behaviour uses measures of consumption that relate only to
volume, such as 'binge drinking'. This study compares the regional association of
alcohol consumption using measures of drinking frequency (daily drinking) and
volume (binge drinking) using a nationally representative sample of residents
using the Health Survey for England, 2011-2013. Results suggest the presence of
two differentiated drinking patterns with relevant policy implications. We find
that people in northern regions are more likely to binge drink, whereas people in
southern regions are more likely to drink on most days. Regression analysis shows
that regional variation in binge drinking remains strong when taking into account
individual and neighbourhood level controls. The findings provide support for
regional targeting of interventions that aim to reduce the frequency as well as
volume of drinking.
PMID- 27894018
TI - Managing mosquito spaces: Citizen self-governance of disease vectors in a desert
landscape.
AB - Public health agencies' strategies to control disease vectors have increasingly
included "soft" mosquito management programs that depend on citizen education and
changing homeowner behaviors. In an effort to understand public responses to such
campaigns, this research assesses the case of Tucson, Arizona, where West Nile
virus presents a serious health risk and where management efforts have focused on
public responsibility for mosquito control. Using surveys, interviews, and focus
groups, we conclude that citizens have internalized responsibilities for mosquito
management but also expect public management of parks and waterways while tending
to reject the state's interference with privately owned parcels. Resident
preferences for individualized mosquito management hinge on the belief that
mosquito-borne diseases are not a large threat, a pervasive distrust of state
management, and a fear of the assumed use of aerial pesticides by state managers.
Opinions on who is responsible for mosquitoes hinge on both perceptions of
mosquito ecology and territorial boundaries, with implications for future disease
outbreaks.
PMID- 27894020
TI - The anatomical mummies of Mombello: detection of cocaine, nicotine, and caffeine
in the hair of psychiatric patients of the early 20th century.
AB - The Mombello Psychiatric Hospital in Limbiate, near Milan, replaced the old
Senavra Hospital as the Psychiatric Hospital for the Province of Milan in the
19th century. During the early 20th century, bodies of several Mombello patients
were dissected and preserved by Giuseppe Paravicini, an anatomist who operated
within the asylum. The aim of the present study was to examine and memorialize
this important assemblage. To this end, we were allowed to sample the head hair
of six such preparations for toxicological analysis. By means of high performance
liquid chromatography, cocaine and its main metabolite, benzoylecgonine, were
detected in two out of six hair samples. The concentrations for cocaine were
0.151 and 0.09ng/mg and for benzoylecgonine 0.103 and 0.147ng/mg, respectively.
Given that cocaine was a commonly used medicine, beginning in the mid-19th
century and persisting into the 20th century, it is not surprising that some
patients may have ingested this drug. In addition to the detection of cocaine,
these analyses also provided evidence of nicotine and caffeine intake.
PMID- 27894019
TI - Elucidating a chemical defense mechanism of Antarctic sponges: A computational
study.
AB - In 2000, a novel secondary metabolite (erebusinone, Ereb) was isolated from the
Antarctic sea sponge, Isodictya erinacea. The bioactivity of Ereb was
investigated, and it was found to inhibit molting when fed to the arthropod
species Orchomene plebs. Xanthurenic acid (XA) is a known endogenous molt
regulator present in arthropods. Experimental studies have confirmed that XA
inhibits molting by binding to either (or both) of two P450 enzymes (CYP315a1 or
CYP314a1) that are responsible for the final two hydroxylations in the production
of the molt-inducing hormone, 20-hydroxyecdysone (20E). The lack of crystal
structures and biochemical assays for CYP315a1 or CYP314a1, has prevented further
experimental exploration of XA and Ereb's molt inhibition mechanisms. Herein, a
wide array of computational techniques - homology modeling, molecular dynamics
simulations, binding site bioinformatics, flexible receptor-flexible ligand
docking, and molecular mechanics-generalized Born surface area calculations -
have been employed to elucidate the structure-function relationships between the
aforementioned P450s and the two described small molecule inhibitors (Ereb and
XA). Results indicate that Ereb likely targets CYP315a1 by interacting with a
network of aromatic residues in the binding site, while XA may inhibit both
CYP315a1 and CYP314a1 because of its aromatic, as well as charged nature.
PMID- 27894021
TI - Toxicity of silver ions and differently coated silver nanoparticles in Allium
cepa roots.
AB - Silver nanoparticles (AgNPs) are the dominating nanomaterial in consumer products
due to their well-known antibacterial and antifungal properties. To enhance their
properties, different surface coatings may be used, which affect physico-chemical
properties of AgNPs. Due to their wide application, there has been concern about
possible environmental and health consequences. Since plants play a significant
role in accumulation and biodistribution of many environmentally released
substances, they are also very likely to be influenced by AgNPs. In this study we
investigated the toxicity of AgNO3 and three types of laboratory-synthesized
AgNPs with different surface coatings [citrate, polyvinylpyrrolidone (PVP) and
cetyltrimethylammonium bromide (CTAB)] on Allium cepa roots. Ionic form of Ag was
confirmed to be more toxic than any of the AgNPs applied. All tested AgNPs caused
oxidative stress and exhibited toxicity only when applied in higher
concentrations. The highest toxicity was recorded for AgNPs-CTAB, which resulted
with increased Ag uptake in the roots, consequently leading to strong reduction
of the root growth and oxidative damage. The weakest impact was found for AgNPs
citrate, much bigger, negatively charged NPs, which also aggregated to larger
particles. Therefore, we can conclude that the toxicity of AgNPs is directly
correlated with their size, overall surface charge and/or surface coating.
PMID- 27894022
TI - Changes in nitric oxide/hydrogen peroxide content and cell cycle progression:
Study with synchronized cultures of green alga Chlamydomonas reinhardtii.
AB - The present study aimed to evaluate the possible relationship between the changes
in hydrogen peroxide (H2O2) and nitric oxide (NO) content and the course of
growth and reproductive processes of the cell cycle of Chlamydomonas reinhardtii.
The peak of H2O2 observed at the beginning of the cell cycle was found to
originate from Fe-SOD and Mn-SODchl. activity and result from the alternation in
the photosynthetic processes caused by the dark-to-light transition of daughter
cells. A rapid increase in NO concentration, observed before the light-to-dark
cell transition, originated from NR and NIR activity and was followed by a
photosynthesis-independent, Mn-SODchl.-mediated increases in H2O2 production.
This H2O2 peak overlapped the beginning of Chlamydomonas cell division, which was
indicated by a profile of CYCs and CDKs characteristic of cells' passage through
the G1/S and S/M checkpoints. Taken together, our results show that there is a
clear relationship between the course of the Chlamydomonas cell cycle and typical
changes in the H2O2/NO ratio, as well as changes in expression and activity of
enzymes involved in generation and scavenging of these signaling molecules.
PMID- 27894023
TI - Persistent generalized periodic discharges: A specific marker of fatal outcome in
cerebral hypoxia.
AB - OBJECTIVES: Electroencephalography (EEG) is one of the methods used in predicting
the outcome after cerebral hypoxia. In this study we aim to evaluate the
significance of generalized periodic discharges (GPD) as a prognostic marker.
METHODS: We retrospectively analyzed the medical histories of patients, who
underwent an EEG after cardiac arrest during the time period from 2005 to 2013 at
the University Hospital Zurich. All EEGs were re-interpreted using the 2012
American Clinical Neurophysiology Society (ACNS) classification for intensive
care unit (ICU) EEGs. RESULTS: Out of 131 patients, in which an EEG was recorded
after cardiopulmonary resuscitation, 119 were included in our study. The average
interval between cardiac arrest and EEG-recording was 3.8+/-3.0days (range: 0
14days). Persistent GPDs (i.e. GPDs more than 24h after the event) were found in
thirty-two (26.9%) of the patients initial EEGs. The appearance of persistent
GPDs preceded fatal outcome in 100% of all cases (vs. 69.0% in the non-GPD-group,
p<0.0001). CONCLUSION: Among other encephalopathic markers in EEG persistent GPDs
are a highly specific prognostic marker of fatal outcome in patients with hypoxic
encephalopathy. SIGNIFICANCE: Using standardized EEG interpretation, this study
identified persistent GPDs as a specific prognostic marker in post cardiac arrest
syndrome.
PMID- 27894024
TI - Foot placement during error and pedal applications in naturalistic driving.
AB - Data from a naturalistic driving study was used to examine foot placement during
routine foot pedal movements and possible pedal misapplications. The study
included four weeks of observations from 30 drivers, where pedal responses were
recorded and categorized. The foot movements associated with pedal
misapplications and errors were the focus of the analyses. A random forest
algorithm was used to predict the pedal application types based the video
observations, foot placements, drivers' characteristics, drivers' cognitive
function levels and anthropometric measurements. A repeated multinomial logit
model was then used to estimate the likelihood of the foot placement given
various driver characteristics and driving scenarios. The findings showed that
prior foot location, the drivers' seat position, and the drive sequence were all
associated with incorrect foot placement during an event. The study showed that
there is a potential to develop a driver assistance system that can reduce the
likelihood of a pedal error.
PMID- 27894025
TI - Fixating on the size-speed illusion of approaching railway trains: What we can
learn from our eye movements.
AB - Railway level crossing collisions have recently been linked to a size-speed
illusion where larger objects such as trains appear to move slower than smaller
objects such as cars. An explanation for this illusion has centred on observer
eye movements - particularly in relation to the larger, longer train. A previous
study (Clark et al., 2016) found participants tend to make initial fixations to
locations around the visual centroid of a moving vehicle; however individual eye
movement patterns tended to be either fixation-saccade-fixation type, or smooth
pursuit. It is therefore unknown as to which type of eye movement contributes to
the size-speed illusion. This study isolated fixation eye movements by requiring
participants to view computer animated sequences in a laboratory setting, where a
static fixation square was placed in the foreground at one of two locations on a
train (front and centroid). Results showed that even with the square placed
around the front location of a vehicle, participants still underestimated the
speed of the train relative to the car and underestimation was greater when the
square was placed around the visual centroid of the train. Our results verify
that manipulation of eye movement behaviour can be effective in reducing the
magnitude of the size-speed illusion and propose that interventions based on this
manipulation should be designed and tested for effectiveness.
PMID- 27894026
TI - The effect of road network patterns on pedestrian safety: A zone-based Bayesian
spatial modeling approach.
AB - Pedestrian safety is increasingly recognized as a major public health concern.
Extensive safety studies have been conducted to examine the influence of multiple
variables on the occurrence of pedestrian-vehicle crashes. However, the explicit
relationship between pedestrian safety and road network characteristics remains
unknown. This study particularly focused on the role of different road network
patterns on the occurrence of crashes involving pedestrians. A global integration
index via space syntax was introduced to quantify the topological structures of
road networks. The Bayesian Poisson-lognormal (PLN) models with conditional
autoregressive (CAR) prior were then developed via three different proximity
structures: contiguity, geometry-centroid distance, and road network
connectivity. The models were also compared with the PLN counterpart without
spatial correlation effects. The analysis was based on a comprehensive crash
dataset from 131 selected traffic analysis zones in Hong Kong. The results
indicated that higher global integration was associated with more pedestrian
vehicle crashes; the irregular pattern network was proved to be safest in terms
of pedestrian crash occurrences, whereas the grid pattern was the least safe; the
CAR model with a neighborhood structure based on road network connectivity was
found to outperform in model goodness-of-fit, implying the importance of
accurately accounting for spatial correlation when modeling spatially aggregated
crash data.
PMID- 27894027
TI - Assessing the safety effects of cooperative intelligent transport systems: A
bowtie analysis approach.
AB - The safety effects of cooperative intelligent transport systems (C-ITS) are
mostly unknown and associated with uncertainties, because these systems represent
emerging technology. This study proposes a bowtie analysis as a conceptual
framework for evaluating the safety effect of cooperative intelligent transport
systems. These seek to prevent road traffic accidents or mitigate their
consequences. Under the assumption of the potential occurrence of a particular
single vehicle accident, three case studies demonstrate the application of the
bowtie analysis approach in road traffic safety. The approach utilizes exemplary
expert estimates and knowledge from literature on the probability of the
occurrence of accident risk factors and of the success of safety measures. Fuzzy
set theory is applied to handle uncertainty in expert knowledge. Based on this
approach, a useful tool is developed to estimate the effects of safety-related
cooperative intelligent transport systems in terms of the expected change in
accident occurrence and consequence probability.
PMID- 27894028
TI - Exploring the clinical decision-making used by experienced cardiorespiratory
physiotherapists: A mixed method qualitative design of simulation, video
recording and think aloud techniques.
AB - BACKGROUND: The ability of physiotherapists to make clinical decisions is a vital
component of being an autonomous practitioner, yet this complex phenomenon has
been under-researched in cardiorespiratory physiotherapy. The purpose of this
study was to explore clinical decision-making (CDM) by experienced
physiotherapists in a scenario of a simulated patient experiencing acute
deterioration of their respiratory function. OBJECTIVES: The main objective of
this observational study was to identify the actions, thoughts, and behaviours
used by experienced cardiorespiratory physiotherapists in their clinical decision
making processes. DESIGN: A mixed-methods (qualitative) design employing
observation and think-aloud, was adopted using a computerised manikin in a
simulated environment. SETTING: The participants clinically assessed the manikin
programmed with the same clinical signs, under standardised conditions in the
clinical skills practice suite, which was set up as a ward environment.
PARTICIPANTS: Experienced cardiorespiratory physiotherapists, recruited from
clinical practice within a 50-mile radius of the University(*). METHODS:
Participants were video-recorded throughout the assessment and treatment and
asked to verbalise their thought processes using the 'think-aloud' method. The
recordings were transcribed verbatim and managed using a Framework approach.
RESULTS: Eight cardiorespiratory physiotherapists participated (mean 7years
clinical experience, range 3.5-16years. CDM was similar to the collaborative
hypothetico-deductive model, five-rights nursing model, reasoning strategies,
inductive reasoning and pattern recognition. However, the CDM demonstrated by the
physiotherapists was complex, interactive and iterative. Information processing
occurred continuously throughout the whole interaction with the patient, and the
specific cognitive skills of recognition, matching, discriminating, relating,
inferring, synthesising and prediction were identified as being used
sequentially. CONCLUSIONS: The findings from this study were used to develop a
new conceptual model of clinical decision-making for cardiorespiratory
physiotherapy. This conceptual model can be used to inform future educational
strategies to prepare physiotherapists and nurses for working in acute
respiratory care.
PMID- 27894029
TI - College student marijuana involvement: Perceptions, use, and consequences across
11 college campuses.
AB - BACKGROUND: Marijuana is currently the most commonly used illicit drug in the
United States, and with the movement toward legalization of recreational
marijuana, the country faces numerous issues regarding policy, prevention, and
treatment of marijuana use. The present study examines the prevalence of
marijuana use and consequences and compares users and non-users on a wide range
of other marijuana-related constructs among college students across 11
universities. METHOD: Participants included 8141 college students recruited from
the psychology department participant pools of 11 universities throughout the US,
including four major regions of the US (West, South, Midwest, Northeast) and
states with varying policies regarding the legality of marijuana use. RESULTS: We
observed marijuana use rates similar to representative samples of young adults
and college students (i.e., 53.3% lifetime marijuana users, 26.2% past month
marijuana users). About 1 in 10 past month marijuana users experienced no
consequences from their use, whereas nearly 1 in 10 experienced 19 or more
consequences. Lifetime marijuana users had more positive perceptions of marijuana
compared to non-users on a wide-range of marijuana-related constructs.
CONCLUSIONS: We report descriptive statistics on a wide range of marijuana
related variables. We hope that these data provide a useful baseline prior to
increased legalization of recreational marijuana use. Multi-site studies like
this one are needed to study the risky and protective factors for problematic
marijuana use. These findings can inform interventions and public policy.
PMID- 27894030
TI - Fatigue in hospital nurses - 'Supernurse' culture is a barrier to addressing
problems: A qualitative interview study.
AB - BACKGROUND: Fatigue in hospital nurses is associated with decreased nurse
satisfaction, increased turnover and negative patient outcomes. Addressing
fatigue in nurses has been identified as a priority by many organizations
worldwide in an effort to promote both a culture of patient safety and a healthy
nursing workforce. OBJECTIVES: The overall aim of this study was to explore
barriers and facilitators within the hospital nurse work system to nurse coping
and fatigue. The purpose of this paper is to describe emergent themes that offer
new insight describing the relationships among nurse perceptions of fatigue,
nursing professional culture, and implications for the nursing workforce. DESIGN:
A qualitative exploratory study was used to explore nurse identified sources,
barriers to addressing, and consequences of fatigue. PARTICIPANTS AND SETTING:
Twenty-two nurses working in intensive care and medical-surgical units within a
large academic medical center in the United States participated in the
interviews. METHOD: Interviews with the participants followed a semi-structured
interview guide that included questions eliciting participants' views on nurse
fatigue levels, consequences of fatigue, and barriers to addressing fatigue. The
interview transcripts were analyzed using directed content analysis guided by the
Systems Engineering Initiative for Patient Safety (SEIPS) model. Additional
themes that did not directly align with the SEIPS model were also identified.
RESULTS: All nurses in the current study experienced fatigue; yet they had
varying perspectives on the importance of addressing fatigue in relation to other
health systems challenges. A new construct related to nursing professional
culture was identified and defined as "Supernurse". Identified subthemes of
Supernurse include: extraordinary powers used for good; cloak of invulnerability;
no sidekick; Kryptonite, and an alterego. These values, beliefs, and behaviors
define the specific aspects of nursing professional culture that can act as
barriers to fatigue risk management programs and achieving safety culture in
hospital organizations. Nurse fatigue and attributes of nurse professional
culture also have implications for nurse satisfaction and retention. CONCLUSIONS:
Findings from this study further support the role of nursing professional culture
as an important barrier to effectively addressing fatigue in nursing work
systems. Future work is needed to identify and evaluate innovative culture change
models and strategies to target these barriers.
PMID- 27894031
TI - Artificial placenta: Analysis of recent progress.
AB - The artificial placenta (AP) has for many decades captured the imagination of
scientists and authors with popular fiction including The Matrix and Aldous
Huxley's "Brave New World", depicting a human surviving ex-utero in an artificial
uterine environment (AUE). For scientists this has fascinated as a way forward
for extremely preterm infants (EPIs) born less than 28 weeks of gestation. Early
successes with mechanical ventilation (MV) for infants born above 28 weeks of
gestation meant that AP research lost momentum. More recently, the gestational
age limit for survival now borders on 23 weeks and corresponds to the biological
milestone of lung development marked by the early canalicular stage of lung
morphogenesis. The so called greyzone of 23-25 weeks represents a steep increase
in mortality with decreasing gestational age and current options in neonatal care
are on the fringes of efficacy for this population. A shift in thinking
recognizes the vitality of EPIs as a fetus rather than a 37-40 week neonate and
this has reinvigorated the concept of the AP. This review will discuss the scale
of extreme preterm birth with special reference to previable infants born in the
greyzone. Recent AP studies using sheep models are compared, technical obstacles
discussed and future research themes identified.
PMID- 27894032
TI - Clinical outcomes in women undergoing laparoscopic hysteropexy: A systematic
review.
AB - OBJECTIVES: To systematically review the current evidence on the anatomic and
functional outcomes in women undergoing laparoscopic hysteropexy. STUDY DESIGN:
An electronic database search was undertaken (2000-2016). Keywords were:
"laparoscopy", "hysteropexy", "cervicopexy", "uterine suspension", "uterosacral
plication". References of identified studies as well as abstracts from
conferences were considered. We restricted the search to humans, female patients
and currently used surgical procedures. Studies with >=5 cases in English
language and published in 2000 or later, were included. After the initial yield,
studies were selected following title screening, abstract and full text scrutiny.
RESULTS: A total of 17 studies were deemed suitable for inclusion in the review.
A total of 770 patients in 17 studies received the intervention being studied
(laparoscopic hysteropexy) and were assessed post-operatively with pooled success
rates of 85.32% (95%CI: +/-2.5). Laparoscopic suture hysteropexy has pooled
success of 70.5% (95%CI:+/-5.33) whereas the pooled success of the suspension to
the sacral promontory using mesh or tape is 92% (95%CI: +/-2.53). One small study
on suspension to the anterior abdominal wall (28 cases) and one to the pectineal
ligament (18 cases) have shown 96.4% (95%CI:+/-6.9) and 94.5% (95%CI:+/-10.53)
objective success rates respectively. CONCLUSION: Laparoscopic hysteropexy was
associated with good anatomic cure rates of greater than 90% in majority of the
studies. There was an improvement in symptoms, and good subjective cure rates in
73%-100% of the patients. Reoperation rates were low in most studies ranging from
around 0%-28%. Complications rates were generally low. Laparoscopic hysteropexy
is a feasible alternative for women needing surgical correction of uterovaginal
prolapse and who desire conservation of the uterus.
PMID- 27894033
TI - The age-related recurrence of endometrioma after conservative surgery.
AB - OBJECTIVE: As endometrioma frequently recurs after conservative surgery, long
term postoperative medical treatment for the prevention of recurrence is
necessary. However, it has not been elucidated whether long-term postoperative
medical treatment is crucial to all patients until menopause. Thereupon, this
study was conducted to evaluate the age-related recurrence patterns after
conservative surgery for endometrioma. STUDY DESIGN: A retrospective cohort study
was performed on a total of 420 reproductive-aged women who underwent
conservative surgery for endometrioma between January 2000 and December 2010.
Ultrasonography was used during the follow-up period to detect endometrioma
recurrence. Patients were classified into two groups according to the use of
postoperative medications. The first group was observation only, while the second
received gonadotropin releasing hormone agonists followed by cyclic oral
contraceptives. The cumulative recurrence rate of endometrioma was compared
according to the age at surgery (20-29 years, 30-39 years, 40-45 years) within
each group. Subgroup analysis was performed according to the age between the two
groups. RESULTS: The median follow-up duration after surgery was 29.0 months
(range 6-159 months) for all patients. After adjusting for parity, size and
bilaterality of cyst, and stage with American Society for Reproductive Medicine
classification of endometriosis which was statistically different, within the
group of no treatment, the cumulative recurrence rate in 40-45 years (10.2%) was
significantly lower compared with those in 20-29 years (43.3%; hazard ratio
(HR)=0.04; 95% confidence interval (CI)=0.01-0.52) and 30-39 years (22.5%;
HR=0.19; 95% CI=0.04-0.92). However, there were no differences within the group
of postoperative medical treatment. When we compared between the two groups, the
cumulative recurrence rate was significantly different in 20-29 years (8.1 vs
43.3%; p<0.001) and 30-39 years (5.4 vs 22.5%; p=0.007), but there was no
difference in 40-45 years (4.5 vs 10.2%; p=0.901). CONCLUSIONS: Our preliminary
results demonstrate that the risk of endometrioma recurrence decreases with age.
After the age of forty, the recurrence rate does not differ according to the use
of postoperative medication. Based on our results, postoperative medical
treatment may be individualized according to the patient's age at the time of
surgery. Further studies are needed to identify patients who may benefit from
postoperative medication.
PMID- 27894034
TI - Quality of life after UpholdTM Vaginal Support System surgery for apical pelvic
organ prolapse-A prospective multicenter study.
AB - OBJECTIVE: To study the effects on quality of life in women operated for apical
pelvic organ prolapse using the Vaginal UpholdTM System. STUDY DESIGN: In this
prospective cohort study, women (n=207) with symptomatic apical prolapse, with or
without cystocele, were operated using the UpholdTM Vaginal Support System.
Follow-up for quality of life was performed at 12 months after surgery, and
assessed by the PFDI-20, and PFIQ-7, and sexual function by the PISQ-12. We used
odds ratios (ORs) with 95% confidence intervals (CIs) for outcome association
analyses using logistic regression. RESULTS: At one-year follow-up majority of
women experienced an overall postoperative improvement in quality of life
(p<0.001). One year after surgery UpholdTM operation alone increased the risk for
prolapse related bother as compared to UpholdTM combined with anterior
colporraphy (POP-IQ-7; OR 2.1; 95% CI 1.01-4.3). The frequency of dyspareunia
decreased postoperatively (p=0.004), however, after one-year, overall sexual
function deteriorated significantly (p<0.001). The worsening in sexual function
scores was mainly attributed to the partner related domain, whereas the
behavioral-emotive and physical domains showed no significant changes.
CONCLUSION: Apical prolapse repair using UpholdTM improved quality of life among
our patients but worsened overall sexual function postoperatively.
PMID- 27894035
TI - An isothermal, label-free, and rapid one-step RNA amplification/detection assay
for diagnosis of respiratory viral infections.
AB - Recently, RNA viral infections caused by respiratory viruses, such as influenza,
parainfluenza, respiratory syncytial virus, coronavirus, and Middle East
respiratory syndrome-coronavirus (MERS-CoV), and Zika virus, are a major public
health threats in the world. Although myriads of diagnostic methods based on RNA
amplification have been developed in the last decades, they continue to lack
speed, sensitivity, and specificity for clinical use. A rapid and accurate
diagnostic method is needed for appropriate control, including isolation and
treatment of the patients. Here, we report an isothermal, label-free, one-step
RNA amplification and detection system, termed as iROAD, for the diagnosis of
respiratory diseases. It couples a one-step isothermal RNA amplification method
and a bio-optical sensor for simultaneous viral RNA amplification/detection in a
label-free and real-time manner. The iROAD assay offers a one-step viral RNA
amplification/detection example to rapid analysis (<20min). The detection limit
of iROAD assay was found to be 10-times more sensitive than that of real-time
reverse transcription-PCR method. We confirmed the clinical utility of the iROAD
assay by detecting viral RNAs obtained from 63 human respiratory samples. We
envision that the iROAD assay will be useful and potentially adaptable for better
diagnosis of emerging infectious diseases including respiratory diseases.
PMID- 27894036
TI - Efficacy of an adjunctive brief psychodynamic psychotherapy to usual inpatient
treatment of depression: Results of a randomized controlled trial.
AB - BACKGROUND: For severe and chronic depression, inpatient treatment may be
necessary. Current guidelines recommend combined psychological and
pharmacological treatments for moderate to severe depression. Results for
positive effects of combined treatment for depressed inpatients are still
ambiguous. METHODS: This randomised controlled trial examined the efficacy of
adding an intensive and brief psychodynamic psychotherapy (IBPP) to treatment-as
usual (TAU) for inpatients with DSM-IV major depressive episode. The primary
outcomes were reduction in depression severity, and response and remission rates
at post-treatment, 3-month and 12-month follow-up points. RESULTS: A linear mixed
model analysis (N=149) showed a higher reduction in the observer-rated severity
of depressive symptoms at each follow-up point for the IBPP condition compared
with the TAU condition (post-treatment ES=0.39, 95%CI 0.06-0.71; 3-month ES=0.46,
95%CI 0.14-0.78; 12-month ES=0.32, 95%CI 0.01-0.64). Response rate was superior
in the IBPP group compared with the TAU group at all follow-up points (post
treatment OR =2.69, 95%CI 1.18-6.11; 3-month OR=3.47, 95%CI 1.47-8.25; 12-month
OR=2.26, 95%CI 1.02-4.97). IBPP patients were more likely to be remitted 3 months
(OR=2.82, 95%CI 1.12-7.10) and 12 months (OR=2.93, 95%CI 1.12-7.68) after
discharge than TAU patients. LIMITATIONS: Heterogeneous sample with different
subtypes of depression and comorbidity. CONCLUSIONS: IBPP decreased observer
rated depression severity up to 12 months after the end of treatment. IBPP
demonstrated immediate and distant treatment responses as well as substantial
remissions at follow-up. IBPP appears to be a valuable adjunct in the treatment
of depressed inpatients.
PMID- 27894037
TI - Psychopathology profiles of acutely suicidal adolescents: Associations with post
discharge suicide attempts and rehospitalization.
AB - BACKGROUND: Suicidal adolescents are heterogeneous, which can pose difficulties
in predicting suicidal behavior. The Youth Self-Report (YSR) psychopathology
profiles predict the future onset of psychopathology and suicide-related
outcomes. The present study examined the prevalence and correlates of YSR
psychopathology profiles among suicidal adolescents and prospective associations
with post-discharge rates of suicide attempts and psychiatric rehospitalization.
METHODS: Participants were acutely suicidal, psychiatrically hospitalized
adolescents (N=433 at baseline; n=355 at follow-up) who were enrolled in a
psychosocial intervention trial during hospitalization. Psychopathology profiles
were assessed at baseline. Suicide attempts and rehospitalization were assessed
for up to 12 months following discharge. RESULTS: Latent profile analysis
identified four psychopathology profiles: subclinical, primarily internalizing,
and moderately and severely dysregulated. At baseline, profiles differed by
history of non-suicidal self-injury (NSSI) and multiple suicide attempts (MA) as
well as severity of suicide ideation, hopelessness, depressive symptoms, anxiety
symptoms, substance abuse, and functional impairment. The dysregulation profiles
predicted suicide attempts within 3 months post-discharge. The internalizing
profile predicted suicide attempts and rehospitalization at 3 and 12 months.
LIMITATIONS: This study's participants were enrolled in a randomized trial and
were predominantly female, which limit generalizability. Additionally, only a
history of NSSI was assessed. CONCLUSIONS: The dysregulation profile was
overrepresented among suicidal youth and associated with impairment in several
domains as well as suicide attempts shortly after discharge. Adolescents with a
severe internalizing profile also reported adverse outcomes throughout the study
period. Psychopathology profiles warrant further examination in terms of their
potential predictive validity in relation to suicide-related outcomes.
PMID- 27894038
TI - Fast microwave-assisted catalytic co-pyrolysis of lignin and low-density
polyethylene with HZSM-5 and MgO for improved bio-oil yield and quality.
AB - Fast microwave-assisted catalytic co-pyrolysis of lignin and low-density
polyethylene (LDPE) with HZSM-5 and MgO was investigated. Effects of pyrolysis
temperature, lignin to LDPE ratio, MgO to HZSM-5 ratio, and feedstock to catalyst
ratio on the products yields and chemical profiles were examined. 500 degrees C
was the optimal co-pyrolysis temperature in terms of the maximum bio-oil yield.
The proportion of aromatics increased with increasing LDPE content. In addition,
with the addition of LDPE (lignin/LDPE=1/2), methoxyl group in the phenols was
completely removed. A synergistic effect was found between lignin and LDPE. The
proportion of aromatics increased and alkylated phenols decreased with increasing
HZSM-5 to MgO ratio. The bio-oil yield increased with the addition of appropriate
amount of catalyst and the proportion of alkylated phenols increased with
increasing catalyst to feedstock ratio.
PMID- 27894039
TI - Biochar amendment for integrated composting and vermicomposting of sewage sludge
The effect of biochar on the activity of Eisenia fetida and the obtained
vermicompost.
AB - Sewage sludge derived biochar (SSDB) was used as a supplementary material for
municipal sewage sludge (SS) and wood chips mixtures (WC) treated by combined
composting and vermicomposting. SSDB added to the mixture before composting
resulted in significantly higher reproduction rate: on week 4 the number of
cocoons increased by 213% when compared to the mixture with no biochar. On week 6
the average number of juveniles increased 11-fold in the mixture with biochar
added before composting and 5-fold in the mixtures with biochar added after
composting when compared to the mixture with no biochar. Biochar added before
composting reduced bioavailability of Cd and Zn to E. fetida. The biochar-added
vermicomposts showed good fertilizing properties except for elevated
concentrations of Cr. The pH of all vermicomposts was in the range of 5.27-5.61.
The obtained vermicomposts can be used as a growing medium for horticultural
purposes or as an amendment in calcareous soils.
PMID- 27894040
TI - Plausible exploitation of Jatropha de-oiled seed cake for lipase and phytase
production and simultaneous detoxification by Candida parapsilosis isolated from
poultry garbage.
AB - Jatropha de-oiled seed cake was explored to utilize as a basic nutrient source
for Candida parapsilosis, isolated from poultry garbage and selected based on the
production of lipase and phytase enzymes under submerged fermentation. At
optimized parameters under solid-state fermentation, lipase and phytase
activities were recorded as 1056.66+/-2.92 and 833+/-2.5U/g of substrate (U/g),
respectively. Besides enzyme production, complete elimination of phorbol esters
and significant phytate reduction from 6.51+/-0.01 to 0.43+/-0.01g/100g of seed
cake were noted after 3days incubation. Curcin and trypsin inhibition activity
were reduced significantly from 26.33+/-0.43 to 0.56+/-0.02mg/100g and 229.33+/
2.02 to 11.66+/-0.28U/g, respectively after 5days incubation. Saponins were
reduced from 5.56+/-0.19 to 1.95+/-0.01g/100g of seed cake after 7days
incubation.
PMID- 27894041
TI - Valorization of exhausted sugar beet cossettes by successive hydrolysis and two
fermentations for the production of bio-products.
AB - Exhausted sugar beet cossettes (ESBC) show an enormous potential as a source of
sugars for the production of bio-products. Enzyme hydrolysis with the combined
effect of mainly cellulases, xylanases and pectinases, turned out to be very
efficient, obtaining almost double the concentration of sugars measured with the
sole action of Celluclast(r) and beta-glucosidase, and increasing 5 times the
hydrolysis rate. As the sole pretreatment, ESBC soaked in the hydrolysis buffer
were autoclaved, avoiding the application of severe conventional biomass
pretreatments. Moreover, a promising alternative for the complete utilization of
glucose, xylose, arabinose, mannose and maltose contained in ESBC is proposed in
this paper. It consists of sequential fermentation of sugars released in the
hydrolysis step to produce bioethanol and lactic acid as main bio-products.
Compared to separate fermentations, with this strategy glucose and hemicellulose
derived sugars were completely consumed and the 44% of pectin derived sugars.
PMID- 27894043
TI - Treatment seeking in cannabis dependence: The role of social cognition.
AB - BACKGROUND AND AIMS: Relatively few cannabis dependent individuals seek treatment
and little is known about the determinants of treatment seeking. Social Cognitive
Theory (SCT) provides a useful framework for examining human behaviour and
motivation which may be helpful in explaining treatment seeking. This study
examined the differences in cannabis outcome expectancies and cannabis refusal
self-efficacy between treatment seekers and non-treatment seekers with cannabis
dependence. DESIGN: Non-treatment seekers were referred to an illicit drug
diversion program. Treatment seekers commenced an outpatient cannabis treatment
program and completed a comprehensive assessment that included measures of
cannabis outcome expectancies and refusal self-efficacy. SETTING: A public
hospital alcohol and drug outpatient clinic. PARTICIPANTS: 269 non-treatment
seekers and 195 individuals commencing cannabis dependence treatment.
MEASUREMENTS: The Cannabis Expectancy Questionnaire (CEQ), Cannabis Refusal Self
Efficacy Questionnaire (CRSEQ), Severity of Dependence Scale - Cannabis (SDS-C),
General Health Questionnaire (GHQ-28) and Readiness to Change Questionnaire (RTC)
were completed. FINDINGS: Treatment seekers had significantly higher levels of
negative cannabis outcome expectancies and significantly lower levels of
emotional relief refusal self-efficacy (belief in ability to resist using
cannabis when experiencing negative affect) (ps<0.001). Treatment seekers had
significantly higher levels of psychological distress and self-perceived cannabis
dependence compared to non-treatment seekers (ps<0.001). CONCLUSIONS: High
negative cannabis outcome expectancies and low emotional relief refusal self
efficacy may play a key role in motivation to seek treatment.
PMID- 27894042
TI - Investigation of co-combustion characteristics of sewage sludge and coffee
grounds mixtures using thermogravimetric analysis coupled to artificial neural
networks modeling.
AB - Artificial neural network (ANN) modeling was applied to thermal data obtained by
non-isothermal thermogravimetric analysis (TGA) from room temperature to 1000
degrees C at three different heating rates in air to predict the TG curves of
sewage sludge (SS) and coffee grounds (CG) mixtures. A good agreement between
experimental and predicted data verified the accuracy of the ANN approach. The
results of co-combustion showed that there were interactions between SS and CG,
and the impacts were mostly positive. With the addition of CG, the mass loss rate
and the reactivity of SS were increased while charring was reduced. Measured
activation energies (Ea) determined by the Kissinger-Akahira-Sunose (KAS) and
Ozawa-Flynn-Wall (OFW) methods deviated by <5%. The average value of Ea
(166.8kJ/mol by KAS and 168.8kJ/mol by OFW, respectively) was the lowest when the
fraction of CG in the mixture was 40%.
PMID- 27894044
TI - An insight on synthetic and medicinal aspects of pyrazolo[1,5-a]pyrimidine
scaffold.
AB - Pyrazolo[1,5-a]pyrimidine scaffold is one of the privileged hetrocycles in drug
discovery. Its application as a buliding block for developing drug-like
candidates has displayed broad range of medicinal properties such as anticancer,
CNS agents, anti-infectious, anti-inflammatory, CRF1 antagonists and radio
diagnostics. The structure-activity relationship (SAR) studies have acquired
greater attention amid medicinal chemists, and many of the lead compounds were
derived for various disease targets. However, there is plenty of room for the
medicinal chemists to further exploit this privileged scaffold in developing
potential drug candidates. The present review briefly outlines relevant synthetic
strategies employed for pyrazolo[1,5-a]pyrimidine derivatives. It also
extensively reveals significant biological properties along with SAR studies. To
the best of our understanding current review is the first attempt made towards
the compilation of significant advances made on pyrazolo[1,5-a]pyrimidines
reported since 1980s.
PMID- 27894045
TI - Scintillation imaging of tritium radioactivity distribution during tritiated
thymidine uptake by PC12 cells using a melt-on scintillator.
AB - A scintillation image of tritium fixed in a melt-on scintillator was obtained
using a charged-coupled device (CCD) imager, and a linear relationship was
observed between the intensity of the scintillation image and the radioactivity
of tritium. In a [3H]thymidine uptake experiment, a linear correlation between
the intensity of the CCD image and the dilution ratio of cells was confirmed.
Scintillation imaging has the potential for use in direct observation of tritium
radioactivity distribution.
PMID- 27894046
TI - Characteristic of thoron (220Rn) in environment.
AB - This paper describes importance of 220Rn (hereafter thoron) progeny measurement
for the dose estimation. Although the spatial distribution of thoron activity
concentration strongly depends on the distance from wall surface as an indoor
thoron source), a homogeneous distribution was expected to be observed for 212Pb
activity concentration which was one of thoron progeny. Furthermore, the mean
equilibrium factor for thoron obtained by the recent measurements in several
countries widely ranged from 0.008 to 0.07. Therefore the bronchial dose
evaluated using the equilibrium factor and activity concentration of thoron
instead of thoron progeny activity concentration may have a large uncertainty.
Thus, the thoron progeny measurement should be investigated at each measurement
point for the dose estimation for thoron.
PMID- 27894047
TI - Predicting the occurrence of wildfires with binary structured additive regression
models.
AB - Wildfires are one of the main environmental problems facing societies today, and
in the case of Galicia (north-west Spain), they are the main cause of forest
destruction. This paper used binary structured additive regression (STAR) for
modelling the occurrence of wildfires in Galicia. Binary STAR models are a recent
contribution to the classical logistic regression and binary generalized additive
models. Their main advantage lies in their flexibility for modelling non-linear
effects, while simultaneously incorporating spatial and temporal variables
directly, thereby making it possible to reveal possible relationships among the
variables considered. The results showed that the occurrence of wildfires depends
on many covariates which display variable behaviour across space and time, and
which largely determine the likelihood of ignition of a fire. The joint
possibility of working on spatial scales with a resolution of 1 * 1 km cells and
mapping predictions in a colour range makes STAR models a useful tool for
plotting and predicting wildfire occurrence. Lastly, it will facilitate the
development of fire behaviour models, which can be invaluable when it comes to
drawing up fire-prevention and firefighting plans.
PMID- 27894048
TI - Real world patterns of care in HER2-overexpressing breast cancer: Results of a
survey of TEACH clinical trial investigators in 2011.
AB - BACKGROUND: HER2-overexpressing breast cancer (BC) is common among young patients
and poses a public health burden. Adjuvant anti-HER2/neu therapy with trastuzumab
reduces the risk of recurrence and improves survival. METHODS: A web-based survey
was sent to 386 physicians of the "TEACH" trial in 2011 to determine access to
HER2/neu testing and treatment patterns for HER2-overexpressing BC. RESULTS:
There were 151 responders (39%) from 28 countries. Ninety-seven percent reported
HER2/neu expression is routinely measured in their institutions by
immunohistochemistry (85%), FISH (80%) and other methods (16%). Twenty percent of
responders from Asia reported that the test was not routinely available. Forty
eight percent of participants reported instances when adjuvant HER2-directed
therapy was recommended to a patient who eventually did not receive it. Reasons
for not receiving trastuzumab was cost (73%, p < 0.0001) in low- and middle
income countries and co-morbidities in high-income countries (43%, p = 0.003).
CONCLUSIONS: This survey reflects the availability of HER2/neu testing and anti
HER2/neu therapy among physicians who participated in TEACH. A high proportion of
women with HER2-overexpressing BC may not receive standard adjuvant therapy due
to unavailability of the test and cost of therapy. Despite having some
limitations, such as a possible selection bias of participating physicians,
variable definitions of access to healthcare among respondents, and changes in
trastuzumab availability since 2011, our results demonstrate that access to care
and region of practice impact the implementation of cancer treatments.
PMID- 27894049
TI - Effect of co-doping of sodium on the thermoluminescence dosimetry properties of
copper-doped zinc lithium borate glass system.
AB - The effect of sodium as a co-dopant on the thermoluminescence (TL) properties of
copper-doped zinc lithium borate (ZLB: Cu) subjected to Co-60 gamma radiation is
reported in this study. TL intensity is enhanced with the introduction of sodium
in ZLB: Cu. The obtained glow curve is simple with a single peak. The annealing
procedure and the best heating rate for the proposed thermoluminescent dosimeter
(TLD) are established, and the phosphor is reusable. The TL response within the
dose range of 0.5-1000Gy is investigated. The results show that the thermal
fading behaviour is improved significantly.
PMID- 27894050
TI - Successful application of a neutral organic base, 1,8
bis(tetramethylguanidino)naphthalene (TMGN), for the radiosynthesis of
[11C]raclopride.
AB - [11C]Raclopride is one of the most popular PET tracers used in Parkinson's
disease studies. We previously prepared [11C]raclopride with reasonable yields
using NaOH as an inorganic base, but the reaction patterns fluctuated. In this
study, we describe the successful application of a commercially available 'super
basic' proton sponge, TMGN, as a base for the [11C]methylation step using
[11C]CH3OTf. This novel TMGN method produced better radiochemical yields, as well
as enhanced reproducibility and a reduction in side products. Under optimal
conditions (1.2 equiv. of TMGN), the radiochemical yield was 14.4+/-0.2% (ndc,
EOS, n=5) and the specific activity was 66.6+/-13.3 GBq/mmol (n=5).
PMID- 27894051
TI - The influence of Sr on the microstructure, degradation and stress corrosion
cracking of the Mg alloys - ZK40xSr.
AB - In the present work, new magnesium (Mg) alloys (Mg-4Zn-0.6Zr-xSr, x=0, 0.4, 0.8,
1.2, 1.6wt%; ZK40xSr) were prepared and studied as potential biodegradable
materials. The influence of strontium (Sr) addition on the properties of the new
Mg alloys was investigated, which included microstructure, corrosion degradation,
and the stress corrosion cracking (SCC) susceptibility. The average grain size of
the ZK40Sr was approximately 100um, which was significantly smaller than that of
ZK40 alloy without Sr (402.3+/-40.2um). The size of grain boundaries precipitates
in the ZK40xSr alloys gradually increased with the increase of Sr content. The
grain boundaries finally showed a continuously distribution and net-like shape.
The degradation test showed that the average degradation rate of the ZK40xSr
alloys increased with the increase of Sr addition. In the case of Mg-4Zn-0.6Zr,
the degradation rate was 2.2mgcm-2day-1, which was lower than that of Mg-4Zn
0.6Zr-1.6Sr (4.93mgcm-2day-1). When the ZK40xSr alloys were immersed in m-SBF,
the rod-like Sr-contained hydroxyapatite (HA) substance was detected, which was
known to enhance cell growth around bone implants. The fracture surfaces of the
as-cast Mg-4Zn-0.6Zr-1.6Sr were shown intergranular stress corrosion cracking
(IGSCC) patterns. The increase of SCC susceptibility of the higher Sr ZK40xSr
alloys was attributed to the increase of micro-galvanic corrosion between the
alpha-Mg and the grain boundaries precipitates. The SCC susceptibility values
were ~0.13 and ~0.41 for the Mg-4Zn-0.6Zr-0.4Sr and the Mg-4Zn-0.6Zr-1.6Sr,
respectively.
PMID- 27894053
TI - Ear-like poly (acrylic acid)-activated carbon nanocomposite: A highly efficient
adsorbent for removal of Cd(II) from aqueous solutions.
AB - Poly (acrylic acid) modified activated carbon nanocomposite (PAA-AC) was
synthesized. The structure and morphology of this nanocomposite were
characterized by FTIR, SEM, TEM, XRD and Zeta potential. The adsorption of some
heavy metal ions on PAA-AC was studied. The characterization results indicated
that PAA-AC was a novel and ear-like nanosheet material with the thickness of
about 40 nm and the diameter of about 300 nm. The adsorption results exhibited
that the introduction of carboxyl groups into activated carbon evidently
increased the uptake for heavy metal ions and the nanocomposite had maximum
uptake for Cd(II). Various variables affecting adsorption of PAA-AC for Cd(II)
were systematically explored. The maximum capacity and equilibrium time for
adsorption of Cd(II) by PAA-AC were 473.2 mg g-1 and 15 min. Moreover, the
removal of Cd(II) for real electroplating wastewater by PAA-AC could reach 98.5%.
These meant that the removal of Cd(II) by PAA-AC was highly efficient and fast.
The sorption kinetics and isotherm fitted well with the pseudo-second-order model
and Langmuir model, respectively. The adsorption mainly was a chemical process by
chelation. Thermodynamic studies revealed that the adsorption was a spontaneous
and endothermic process. The results revealed that PAA-AC could be considered as
a potential candidate for Cd(II) removal.
PMID- 27894052
TI - Characterization and reactivity of iron based nanoparticles synthesized by tea
extracts under various atmospheres.
AB - Bio-synthesis of Fe NPs in inert (nitrogen N-Fe NPs) and oxygen (O-Fe NPs)
atmospheres employing green tea extracts was investigated through SEM, EDS, XPS
and FT-IR techniques. The particle sizes of N-Fe NPs and O-Fe NPs were confirmed
by SEM showing 84.7 +/- 11.5 nm and 117.8 +/- 26.2 nm respectively with
subsequent evaluation of the percentage composition of Fe and O by EDS. The XPS
results showed that the zero-valent iron and iron oxide nanoparticles were found
to be dominant synthesized respectively in nitrogen and oxygen atmospheres. The
FT-IR spectra further demonstrated that the surface functional groups of Fe NPs
were different by varying the synthesis atmosphere. Fe NPs were used to remove
methylene blue (MB) to test the reactivity, and the removal efficiency of MB
using N-Fe NPs was 98.7%, while that of O-Fe NPs was only 65.3%. Thus it is
clearly demonstrated that the synthesis atmosphere has prominent effects on the
formation and catalytic properties of Fe NPs.
PMID- 27894054
TI - Immunolocalization of metallothionein in hepatocytes of guppy fish (Poecilia
reticulata) exposed to tannery effluent: A biomarker study.
AB - Composite tannery effluent (TE) contaminated with a load of metals, especially
chromium, is used in East Calcutta Wetland Ecosystem (ECW; a Ramsar site of West
Bengal; No 1208) after natural stabilization for pisciculture and agriculture.
Quantity and synergistic and antagonistic activities of both essential and
nonessential metals in the effluents can induce a variety of complex changes in
animal physiology. High fish yield and no apparent adverse influence on the fish
biosystems thriving under pollutant stress at ECW allowed the practice to thrive
sustainably over the last nearly 100 years. Thus, the present study was conducted
to investigate the role of metallothionein (MT) as a potential biomarker in a
fish biosystem following acute and chronic exposure to sublethal concentrations
of tannery wastewater. MT localization in the liver tissue of guppy fish
(Poecilia reticulata) was studied by the immuno gold-labeled electron microscopic
technique. The data demonstrated increased metal content in fish body upon
exposure to TE. Electron micrographs showed significant induction of hepatic MT
in exposed P. reticulata over control specimens. Liver, being the detoxification
site of an organism, plays a crucial role in the synthesis of MT and arrest of
excess metal. The method employed in the present study for demonstrating the
induction and localization of MT is innovative and could be used as a biomarker
in fish exposed to metal stress. Our study also indicated that the metalloprotein
complexes in fish tissue sequestered excess load of metals and thereby arrested
unwanted interferences of excess metal loads in metabolic processes.
PMID- 27894055
TI - Indirect effects of climate changes on cadmium bioavailability and biological
effects in the Mediterranean mussel Mytilus galloprovincialis.
AB - Despite the great interest in the consequences of climate change on the
physiological functioning of marine organisms, indirect and interactive effects
of rising temperature and pCO2 on bioaccumulation and responsiveness to
environmental pollutants are still poorly explored, particularly in terms of
cellular mechanisms. According to future projections of temperature and pH/pCO2,
this study investigated the main cellular pathways involved in metal
detoxification and oxidative homeostasis in Mediterranean mussels, Mytilus
galloprovincialis, exposed for 4 weeks to various combinations of two levels of
pH/pCO2 (8.2/~400 MUatm and 7.4/~3000 MUatm), temperature (20 and 25 degrees C),
and cadmium addition (0 and 20 MUg/L). Bioaccumulation was increased in metal
exposed organisms but it was not further modulated by different temperature and
pH/pCO2 combinations. However, interactions between temperature, pH and cadmium
had significant effects on induction of metallothioneins, responses of the
antioxidant system and the onset of oxidative damages, which was tissue
dependent. Multiple stressors increased metallothioneins concentrations in the
digestive gland revealing different oxidative effects: while temperature and
cadmium enhanced glutathione-dependent antioxidant protection and capability to
neutralize peroxyl radicals, the metal increased the accumulation of lipid
peroxidation products under acidified conditions. Gills did not reveal specific
effects for different combinations of factors, but a general stress condition was
observed in this tissue after various treatments. Significant variations of
immune system were mainly caused by increased temperature and low pH, while co
exposure to acidification and cadmium enhanced metal genotoxicity and the onset
of permanent DNA damage in haemocytes. Elaboration of the whole biomarker data in
a cellular hazard index, corroborated the synergistic effects of temperature and
acidification which increased the toxicological effects of cadmium. The overall
results confirmed that climate change could influence ecotoxicological effects of
environmental contaminants, highlighting the importance of a better knowledge of
cellular mechanisms to understand and predict responsiveness of marine organisms
to such multiple stressors.
PMID- 27894056
TI - Traditional and new POPs in environments along the Bohai and Yellow Seas: An
overview of China and South Korea.
AB - Rapid economic growth during the past two decades in the region surrounding the
Bohai and Yellow Seas has resulted in severe pollution. Large amounts of
monitoring data on persistent organic pollutants (POPs) in various environmental
media have been accumulated, which allows us to conduct a fairly comprehensive
assessment of the region around the Bohai and Yellow Seas to elucidate spatial
patterns of pollution on a regional scale. This review summarized distributions
of traditional and new POPs, including organochlorine pesticides (OCPs),
polychlorinated biphenyls (PCBs), polybrominated diphenyl ethers (PBDEs),
hexabromocyclododecanes (HBCDs), and perfluoroalkyl substances (PFASs), in
various environmental media. In general, due to their physico-chemical properties
(poor solubility in water), OCPs and PCBs were mainly detected in sediments,
PBDEs and HBCDs were mainly detected in sediments and soils. PFASs, which have
greater solubility, were mainly detected in the hydrosphere. For conventional
POPs, such as OCPs and PCBs, Bohai Bay and Haihe River in China, Gyeonggi Bay and
Lake Sihwa in South Korea were found to be most polluted areas. While for new
POPs, such as PBDEs, HBCDs and PFASs, some areas were heavily polluted due to
local production and applications. Estuarine and coastal areas of the Bohai Sea
were more severely contaminated by POPs than coastal regions of the Yellow Sea.
Overall, the present review will guide identification of key areas for
strengthening risk assessment of POPs and management practices.
PMID- 27894057
TI - Factors influencing the occurrence and distribution of neonicotinoid insecticides
in surface waters of southern Ontario, Canada.
AB - The widespread use of neonicotinoid insecticides and recent increased regulatory
scrutiny requires the generation of monitoring data with sufficient scope and
resolution to provide decision makers with a better understanding of occurrence
and distribution in the environment. This study presents a wide-scale
investigation of neonicotinoid insecticides used across the range of agricultural
activities from fifteen surface water sites in southern Ontario. Using
statistical analysis, the correlation of individual compounds with land use was
investigated, and the relationship between neonicotinoid occurrence and
hydrologic parameters in calibrated water courses was also assessed. Of the five
neonicotinoids studied, imidacloprid, clothianidin and thiamethoxam exhibited
detection rates above 90% at over half the sites sampled over a three year period
(2012-2014). At two sites in southwestern Ontario, the Canadian Federal
freshwater guideline value for imidacloprid (230 ng/L) was exceeded in roughly
75% of the samples collected. For some watersheds, there were correlations
between the occurrence of neonicotinoids and precipitation and/or stream
discharge. Some watersheds exhibited seasonal maxima in concentrations of
neonicotinoids in spring and fall, particularly for those areas where row crop
agriculture is predominant; these seasonal patterns were absent in some areas
characterized by a broad range of agricultural activities.
PMID- 27894059
TI - Left ventricular diastolic dysfunction in patients with ST-elevation myocardial
infarction following early and late reperfusion by coronary intervention.
AB - BACKGROUND: This study prospectively assessed the left ventricular (LV) diastolic
function changes in patients with ST-elevation myocardial infarction (STEMI) and
determined if the early revascularization of the infarct-related coronary artery
in acute phase achieve a better recovery of diastolic function than late
recanalization. METHODS: Forty-five consecutive patients (61.20+/-11.37years, 8
females) presenting with STEMI and treated with PCI were prospectively enrolled
in this study. The important inclusion criteria were first acute coronary
syndrome episode and LV ejection fraction exceeded 45%. The patients were divided
to two different groups by total ischemia time (TIT): early reperfusion (TIT<6h)
and late reperfusion group (TIT>=6h). Transthoracic echocardiography were
performed within the first week after PCI, and data were compared between groups.
Evaluation of diastolic function was based on integrated assessment of trans
mitral Doppler flow pattern, tissue Doppler, and color M-mode ECT. RESULTS: A
normal diastolic filling pattern was seen in only 9 patients, and the other 80%
patients had abnormal filling patterns: 16 impaired relaxation, 14 pseudonormal,
and 6 restrictive filling patterns. The e'septal velocity was lower in early
reperfusion group compared to late reperfusion group (5.52+/-1.67cm/s vs 7.11+/
2.14cm/s, P<0.05), but no statistical difference was found in E/e' average
(11.99+/-4.30 vs 9.85+/-3.47, P>0.05). There was also no statistical difference
for left atrial volume index and mitral annulus propagation velocity between
groups. CONCLUSIONS: LV diastolic dysfunction was present in most of acute MI
patients even after successful PCI. It seemed STEMI patients receiving early
myocardial reperfusion had no better diastolic functions compared with late
reperfused patients within the acute phase.
PMID- 27894058
TI - A non-inferiority trial of Prolonged Exposure for posttraumatic stress disorder:
In person versus home-based telehealth.
AB - This is the first randomized controlled trial to evaluate non-inferiority of
Prolonged Exposure (PE) delivered via home-based telehealth (HBT) compared to
standard in-person (IP) PE. One-hundred thirty two Veterans recruited from a
Southeastern Veterans Affairs Medical Center and affiliated University who met
criteria for posttraumatic stress disorder (PTSD) were randomized to receive PE
via HBT or PE via IP. Results indicated that PE-HBT was non-inferior to PE-IP in
terms of reducing PTSD scores at post-treatment, 3 and 6 month follow-up.
However, non-inferiority hypotheses for depression were only supported at 6 month
follow-up. HBT has great potential to reduce patient burden associated with
receiving treatment in terms of travel time, travel cost, lost work, and stigma
without sacrificing efficacy. These findings indicate that telehealth treatment
delivered directly into patients' homes may dramatically increase the reach of
this evidence-based therapy for PTSD without diminishing effectiveness.
PMID- 27894060
TI - Combined use of tissue Doppler imaging and natriuretic peptides as prognostic
marker in asymptomatic aortic stenosis.
AB - INTRODUCTION: Tissue Doppler imaging (TDI) and N-terminal-pro-Brain Natriuretic
Peptide (NT-proBNP) provides useful non-invasive information about left ventricle
filling pressures and both have demonstrated to be a prognostic marker in some
valve disease as aortic stenosis (AS). OBJECTIVES: To assess the clinical value
of combined TDI and NT-proBNP information in asymptomatic AS patients. MATERIAL
AND METHODS: Prospective study of 350 initially asymptomatic moderate to severe
AS patients with: Mean aortic valve area 0.8 (0.3) cm2, mean gradient 45(13)
mmHg, ejection fraction 61 (9) %. Mean age: 74.6 (4.3) years. In all patients
were determined NT-proBNP in the serum and TDI parameters the lateral mitral
annulus. We considered clinical event the admission in the hospital due to
symptoms related to AS (angina, heart failure or syncope) as well as surgical
treatment or mortality. RESULTS: After a mean follow-up of 29 (10) months, 165
(47%) patients suffered some clinical event. In order to predict clinical events,
Bootstrap analysis determined the best cut-point value: E/E' ratio higher than 13
and NT-proBNP higher than 515pg/mL. E/E' ratio provides more specificity (78.9%
vs 55.9%) and NT-proBNP provides more sensitivity (76.6% vs 43.7%). Combined use
of both parameters provides the best prognostic information (sensitivity 75.6%,
specificity 67.2%, negative predictive value 82.1%, positive predictive value
57.5%, accuracy 71.4%). CONCLUSION: Combined use of TDI and natriuretic peptides
information provides incremental prognostic value and is a useful tool to predict
the prognosis in asymptomatic AS patients.
PMID- 27894061
TI - Direct bilirubin: A new risk factor of adverse outcome in idiopathic pulmonary
arterial hypertension.
AB - BACKGROUND: Previous studies have shown that elevated total bilirubin was
associated with advanced heart failure, yet no study has ascertained the
predictive value of direct serum bilirubin (DBIL) in idiopathic pulmonary
arterial hypertension (IPAH). This study aimed to investigate the predictive
value of both baseline and follow-up DBIL in patients with IPAH. METHODS: Serum
DBIL was measured in 404 IPAH patients at enrollment. Almost 92% patients
received specific drugs after diagnosis confirmed. Serum DBIL was repeated in 237
patients after a mean of 8.3months treatment. Survival rate among normal DBIL
group and abnormal DBIL group was compared using the Kaplan-Meier method. The
prognostic value of baseline variables was tested by Cox regression models.
RESULTS: During median follow-up period of 40months, 153 patients died. Baseline
DBIL levels were significantly higher in non-survivors compared with survivors
(p<0.001). DBIL levels in survivors decreased significantly during PAH therapy,
whereas there was almost no decrease in non-survivors. Patients with abnormal
DBIL at baseline or during therapy had a significantly lower survival rates than
those with normal DBIL group, according to Kaplan-Meier survival analysis
(p=0.002 and p<0.0001, respectively). According to multivariate analyses,
baseline DBIL was an independent risk factor of mortality in IPAH. CONCLUSIONS:
Serum DBIL could predict severity and outcomes of IPAH: in particular, no obvious
decrease in DBIL during PAH-specific drug therapy is strongly associated with
worse prognosis in IPAH.
PMID- 27894062
TI - Echocardiographic comparison between left ventricular non-compaction and
hypertrophic cardiomyopathy.
AB - BACKGROUND: Modern imaging technology has improved detection of left ventricular
non-compaction cardiomyopathy (LVNC). Hypertrophic cardiomyopathy (HCM) shares
morphological features with LVNC, but prognosis and treatment strategies differ
between LVNC and HCM. METHODS AND RESULTS: We aimed to compare global and
regional LV myocardial function in LVNC and HCM. We hypothesized that apical
function is reduced in LVNC due to the embryonic reduced compaction of the apex.
We studied 25 patients with LVNC (47+/-14years) according to current criteria, 50
with HCM (47+/-14years) and 50 healthy individuals (49+/-19years). By
echocardiography, we assessed maximal wall thickness (MWT) and LV ejection
fraction (EF). Numbers of trabeculations were counted from 3 apical views. Global
longitudinal strain by speckle tracking echocardiography was calculated from a 16
LV segments model. LV basal (6 segments) and apical (4 segments) longitudinal
strains were averaged. MWT was thinner, EF lower and trabeculations were more
pronounced in LVNC compared to HCM (all p<0.001) but with no significantly
differences in LV global longitudinal strain (-15.1+/-6.1 vs. -16.8+/-3.7,
p=0.14). Function by longitudinal strain increased significantly from base to
apex in HCM (-14.9+/-4.3% vs. -19.5+/-4.7%, p<0.001) and in healthy controls (
20.0+/-1.9% vs. -21.8+/-2.9%, p<0.001), but not in LVNC (-14.7+/-6.4% vs. -15.7+/
7.2%, p=0.35). CONCLUSIONS: Increased number of trabeculations, thinner MWT and
lower EF were characteristics of LVNC. Myocardial function was homogeneously
reduced in LVNC, while an apical to basal gradient with relatively preserved
apical function was present in HCM. These characteristics may help to
discriminate between LVNC and HCM.
PMID- 27894064
TI - Dietary gossypol suppressed postprandial TOR signaling and elevated ER stress
pathways in turbot (Scophthalmus maximus L.).
AB - Gossypol is known to be a polyphenolic compound toxic to animals. However, its
molecular targets are far from fully characterized. To evaluate the physiological
and molecular effects of gossypol, we chose turbot (Scophthalmus maximus L.), a
carnivorous fish, as our model species. Juvenile turbots (7.83 +/- 0.02 g) were
fed diets containing gradient levels of gossypol at 0 (G0), 600 (G1), and 1,200
(G2) mg/kg diets for 11 wk. After the feeding trial, fish growth, body protein,
and fat contents were significantly reduced in the G2 group compared with those
of the G0 group (P < 0.05). Gossypol had little impact on digestive enzyme
activities and intestine morphology. However, gossypol caused liver fibrosis and
stimulated chemokine and proinflammatory cytokine secretions. More importantly,
gossypol suppressed target of rapamycin (TOR) signaling and induced endoplasmic
reticulum (ER) stress pathway in both the feeding experiment and cell cultures.
Our results demonstrated that gossypol inhibited TOR signaling and elevated ER
stress pathways both in vivo and in vitro, thus providing new mechanism of action
of gossypol in nutritional physiology.
PMID- 27894063
TI - Loss of glutaredoxin 3 impedes mammary lobuloalveolar development during
pregnancy and lactation.
AB - Mammalian glutaredoxin 3 (Grx3) has been shown to be important for regulating
cellular redox homeostasis in the cell. Our previous studies indicate that Grx3
is significantly overexpressed in various human cancers including breast cancer
and demonstrate that Grx3 controls cancer cell growth and invasion by regulating
reactive oxygen species (ROS) and NF-kappaB signaling pathways. However, it
remains to be determined whether Grx3 is required for normal mammary gland
development and how it contributes to epithelial cell proliferation and
differentiation in vivo. In the present study, we examined Grx3 expression in
different cell types within the developing mouse mammary gland (MG) and found
enhanced expression of Grx3 at pregnancy and lactation stages. To assess the
physiological role of Grx3 in MG, we generated the mutant mice in which Grx3 was
deleted specifically in mammary epithelial cells (MECs). Although the reduction
of Grx3 expression had only minimal effects on mammary ductal development in
virgin mice, it did reduce alveolar density during pregnancy and lactation. The
impairment of lobuloalveolar development was associated with high levels of ROS
accumulation and reduced expression of milk protein genes. In addition,
proliferative gene expression was significantly suppressed with proliferation
defects occurring in knockout MECs during alveolar development compared with wild
type controls. Therefore, our findings suggest that Grx3 is a key regulator of
ROS in vivo and is involved in pregnancy-dependent mammary gland development and
secretory activation through modulating cellular ROS.
PMID- 27894067
TI - Quenching the thirst for hunger.
PMID- 27894065
TI - Evaluation of CSF and plasma biomarkers of brain melanocortin activity in
response to caloric restriction in humans.
AB - The melanocortin neuronal system, which consists of hypothalamic
proopiomelanocortin (POMC) and agouti-related protein (AgRP) neurons, is a leptin
target that regulates energy balance and metabolism, but studies in humans are
limited by a lack of reliable biomarkers to assess brain melanocortin activity.
The objective of this study was to measure the POMC prohormone and its processed
peptide, beta-endorphin (beta-EP), in cerebrospinal fluid (CSF) and AgRP in CSF
and plasma after calorie restriction to validate their utility as biomarkers of
brain melanocortin activity. CSF and plasma were obtained from 10 lean and obese
subjects after fasting (40 h) and refeeding (24 h), and from 8 obese subjects
before and after 6 wk of dieting (800 kcal/day) to assess changes in neuropeptide
and hormone levels. After fasting, plasma leptin decreased to 35%, and AgRP
increased to 153% of baseline. During refeeding, AgRP declined as leptin
increased; CSF beta-EP increased, but POMC did not change. Relative changes in
plasma and CSF leptin were blunted in obese subjects. After dieting, plasma and
CSF leptin decreased to 46% and 70% of baseline, CSF POMC and beta-EP decreased,
and plasma AgRP increased. At baseline, AgRP correlated negatively with insulin
and homeostasis model assessment (HOMA-IR), and positively with the Matsuda
index. Thus, following chronic calorie restriction, POMC and beta-EP declined in
CSF, whereas acutely, only beta-EP changed. Plasma AgRP, however, increased after
both acute and chronic calorie restriction. These results support the use of CSF
POMC and plasma AgRP as biomarkers of hypothalamic melanocortin activity and
provide evidence linking AgRP to insulin sensitivity.
PMID- 27894066
TI - Obesity-associated extracellular mtDNA activates central TGFbeta pathway to cause
blood pressure increase.
AB - Hypothalamic inflammation was recently found to mediate obesity-related
hypertension, but the responsible upstream mediators remain unexplored. In this
study, we show that dietary obesity is associated with extracellular release of
mitochondrial DNA (mtDNA) into the cerebrospinal fluid and that central delivery
of mtDNA mimics transforming growth factor-beta (TGFbeta) excess to activate
downstream signaling pathways. Physiological study reveals that central
administration of mtDNA or TGFbeta is sufficient to cause hypertension in mice.
Knockout of the TGFbeta receptor in proopiomelanocortin neurons counteracts the
hypertensive effect of not only TGFbeta but also mtDNA excess, while the
hypertensive action of central mtDNA can be blocked pharmacologically by a
TGFbeta receptor antagonist or genetically by TGFbeta receptor knockout. Finally,
we confirm that obesity-induced hypertension can be reversed through central
treatment with TGFbeta receptor antagonist. In conclusion, circulating mtDNA in
the brain employs neural TGFbeta pathway to mediate a central inflammatory
mechanism of obesity-related hypertension.
PMID- 27894069
TI - Association Between Left Atrial Dilatation and Invasive Hemodynamics at Rest and
During Exercise in Asymptomatic Aortic Stenosis.
AB - BACKGROUND: Transition from an asymptomatic to symptomatic state in severe aortic
stenosis is often difficult to assess. Identification of a morphological sign of
increased hemodynamic load may be important in asymptomatic aortic stenosis to
identify patients at risk. METHODS AND RESULTS: Thirty-nine patients with
asymptomatic severe aortic stenosis (aortic valve area <1 cm2, peak jet velocity
>3.5 m/s) underwent exercise testing with simultaneous invasive hemodynamic
monitoring and Doppler echocardiography. Cardiac index, pulmonary artery
pressure, and pulmonary capillary wedge pressure (PCWP) were recorded. Patients
were followed up for the composite end point of death, unplanned hospitalization,
or aortic valve replacement. Patients were stratified into 2 groups according to
left atrial (LA) volume index >=35 mL/m2. In 25 patients (64%) LA volume index
was >=35 mL/m2. Aortic valve area was similar between groups (0.81+/-0.15 versus
0.84+/-0.18 cm2; P=0.58). PCWP was higher at rest and during exercise in patients
with LA volume index >=35 mL/m2 (P<0.01), despite similar cardiac index. At rest,
PCWP was <12 mm Hg in 11 patients (44%) with LA dilatation, whereas PCWP was <25
mm Hg in 1 patient (4%) with exercise. LA volume index and E/e' predicted
exercise PCWP>30 mm Hg with areas under the receiver operating curve of 0.75 and
0.84, respectively. During follow-up, 14 cardiac events were recorded. LA volume
was associated with a hazard ratio of 1.90 (95% confidence interval, 0.92-4.15).
CONCLUSIONS: LA size reflects hemodynamic burden in patients with asymptomatic
severe aortic stenosis. Quantitative measurements of LA and diastolic function
are associated with left ventricular filling pressures with exercise and could be
used to identify asymptomatic patients with increased hemodynamic burden.
CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique
identifier: NCT02395107.
PMID- 27894068
TI - Residual Myocardial Iron Following Intramyocardial Hemorrhage During the
Convalescent Phase of Reperfused ST-Segment-Elevation Myocardial Infarction and
Adverse Left Ventricular Remodeling.
AB - BACKGROUND: The presence of intramyocardial hemorrhage (IMH) in ST-segment
elevation myocardial infarction patients reperfused by primary percutaneous
coronary intervention has been associated with residual myocardial iron at follow
up, and its impact on adverse left ventricular (LV) remodeling is incompletely
understood and is investigated here. METHODS AND RESULTS: Forty-eight ST-segment
elevation myocardial infarction patients underwent cardiovascular magnetic
resonance at 4+/-2 days post primary percutaneous coronary intervention, of whom
40 had a follow-up scan at 5+/-2 months. Native T1, T2, and T2* maps were
acquired. Eight out of 40 (20%) patients developed adverse LV remodeling. A
subset of 28 patients had matching T2* maps, of which 15/28 patients (54%) had
IMH. Eighteen of 28 (64%) patients had microvascular obstruction on the acute
scan, of whom 15/18 (83%) patients had microvascular obstruction with IMH. On the
follow-up scan, 13/15 patients (87%) had evidence of residual iron within the
infarct zone. Patients with residual iron had higher T2 in the infarct zone
surrounding the residual iron when compared with those without. In patients with
adverse LV remodeling, T2 in the infarct zone surrounding the residual iron was
also higher than in those without (60 [54-64] ms versus 53 [51-56] ms; P=0.025).
Acute myocardial infarct size, extent of microvascular obstruction, and IMH
correlated with the change in LV end-diastolic volume (Pearson's rho of 0.64,
0.59, and 0.66, respectively; P=0.18 and 0.62, respectively, for correlation
coefficient comparison) and performed equally well on receiver operating
characteristic curve for predicting adverse LV remodeling (area under the curve:
0.99, 0.94, and 0.95, respectively; P=0.19 for receiver operating characteristic
curve comparison). CONCLUSIONS: The majority of ST-segment-elevation myocardial
infarction patients with IMH had residual myocardial iron at follow-up. This was
associated with persistently elevated T2 values in the surrounding infarct tissue
and adverse LV remodeling. IMH and residual myocardial iron may be potential
therapeutic targets for preventing adverse LV remodeling in reperfused ST-segment
elevation myocardial infarction patients.
PMID- 27894070
TI - The STRATEGY Study (Stress Cardiac Magnetic Resonance Versus Computed Tomography
Coronary Angiography for the Management of Symptomatic Revascularized Patients):
Resources and Outcomes Impact.
AB - BACKGROUND: Computed tomography coronary angiography (cTCA) and stress cardiac
magnetic resonance (stress-CMR) are suitable tools for diagnosing obstructive
coronary artery disease in symptomatic patients with previous history of
revascularization. However, performance appraisal of noninvasive tests must take
in account the consequent diagnostic testing, invasive procedures, clinical
outcomes, radiation exposure, and cumulative costs rather than their diagnostic
accuracy only. We aimed to compare an anatomic (cTCA) versus a functional (stress
CMR) strategy in symptomatic patients with previous myocardial revascularization
procedures. METHODS AND RESULTS: Six hundred patients with chest pain and
previous revascularization included in a prospective observational registry and
evaluated by clinically indicated cTCA (n=300, mean age 68.2+/-9.7 years, male
255) or stress-CMR (n=300, mean age 67.6+/-9.7 years, male 263) were enrolled and
followed-up in terms of subsequent noninvasive tests, invasive coronary
angiography, revascularization procedures, cumulative effective radiation dose,
major adverse cardiac events, defined as a composite end point of nonfatal
myocardial infarction and cardiac death, and medical costs. The mean follow-up
for cTCA and stress-CMR groups was similar (773.6+/-345 versus 752.8+/-291 days;
P=0.21). Compared with stress-CMR, cTCA was associated with a higher rate of
subsequent noninvasive tests (28% versus 17%; P=0.0009), invasive coronary
angiography (31% versus 20%; P=0.0009), and revascularization procedures (24%
versus 16%; P=0.007). Stress-CMR strategy was associated with a significant
reduction of radiation exposure and cumulative costs (59% and 24%, respectively;
P<0.001). Finally, patients undergoing stress-CMR showed a lower rate of major
adverse cardiac events (5% versus 10%; P<0.010) and cost-effectiveness ratio
(119.98+/-250.92 versus 218.12+/-298.45 Euro/y; P<0.001). CONCLUSIONS: Compared
with cTCA, stress-CMR is more cost-effective in symptomatic revascularized
patients.
PMID- 27894071
TI - The Essence of STRATEGY Is Choosing What Not to Do.
PMID- 27894072
TI - "Rusty Hearts": Is It Time to Rethink Iron Chelation Therapies in Post-Myocardial
Infarction Setting?
PMID- 27894073
TI - C'est LAVi: What Left Atrial Dilatation Tells Us About Diastolic Function in
Aortic Stenosis.
PMID- 27894074
TI - LSD1 mediates MYCN control of epithelial-mesenchymal transition through silencing
of metastatic suppressor NDRG1 gene.
AB - Neuroblastoma (NB) with MYCN amplification is a highly aggressive and metastatic
tumor in children. The high recurrence rate and resistance of NB cells to drugs
urgently demands a better therapy for this disease. We have recently found that
MYCN interacts with the lysine-specific demethylase 1 (LSD1), a histone modifier
that participates in key aspects of gene transcription. In cancer cells, LSD1
contributes to the genetic reprogramming that underlies to Epithelial-Mesenchymal
Transition (EMT) and tumor metastasis. Here, we show that LSD1 affects motility
and invasiveness of NB cells by modulating the transcription of the metastasis
suppressor NDRG1 (N-Myc Downstream-Regulated Gene 1). At mechanistic level, we
found that LSD1 co-localizes with MYCN at the promoter region of the NDRG1 gene
and inhibits its expression. Pharmacological inhibition of LSD1 relieves
repression of NDRG1 by MYCN and affects motility and invasiveness of NB cells.
These effects were reversed by overexpressing NDRG1. In NB tissues, high levels
of LSD1 correlate with low levels of NDRG1 and reduced patients survival.
Collectively, our findings elucidate a mechanism of how MYCN/LSD1 control
motility and invasiveness of NB cells through transcription regulation of NDRG1
expression and suggest that pharmacological targeting of LSD1 represents a
valuable approach for NB therapy.
PMID- 27894076
TI - Co-expression of Piwil2/Piwil4 in nucleus indicates poor prognosis of
hepatocellular carcinoma.
AB - PURPOSE: This study aimed to explore the localization and expression of P-element
induced wimpy testis-like 2 (piwil2)/Piwil4 in hepatocellular carcinoma (HCC)
tissues, and analyze the correlation between co-expression pattern and prognosis
of HCC. RESULTS: Piwil2 showed 100% positive expression in the cell nucleus, with
the intensity higher than in the cytoplasm. Piwil4 showed a lower intensity of
expression in the cell nucleus than in the cytoplasm. The molecular chaperone
Piwil2/Piwil4 had four co-expression patterns: nuclear co-expression, nuclear and
cytoplasmic co-expression, cytoplasmic co-expression, and non-coexpression. The
survival rate and the overall survival sequentially increased. The prognostic
phenotype of the nuclear co-expression of Piwil2/Piwil4 was worse than that of
non-coexpression, and the intracellular localization and expression of Piwil2 and
Piwil4 were not significantly different. METHODS: HCC pathological tissue samples
with follow-up information (90 cases) and 2 normal control liver tissues were
collected and made into a 92-site microarray. The expression of Piwil2 and Piwil4
was detected using the immunofluorescence double staining method. The differences
in the expression and location of Piwil2 and Piwil4 in tumor cells were explored,
and the influence of such differences on the long-term survival rate of HCC was
studied using Kaplan-Meier survival curve and log-rank test. The clinical staging
was analyzed according to the HCC international TNM staging criteria.
CONCLUSIONS: The nuclear co-expression of Piwil2/Piwil4 indicated that patients
with HCC had a worse prognostic phenotype. The molecular chaperone Piwil2/Piwil4
seems promising as a molecular marker for prognosis judgment; a single marker
(Piwil2/Piwil4) cannot be used for prognosis judgment.
PMID- 27894075
TI - Mammographic density: a potential monitoring biomarker for adjuvant and
preventative breast cancer endocrine therapies.
AB - Increased mammographic density (MD) has been shown beyond doubt to be a marker
for increased breast cancer risk, though the underpinning pathobiology is yet to
be fully elucidated. Estrogenic activity exerts a strong influence over MD, which
consequently has been observed to change predictably in response to tamoxifen
anti-estrogen therapy, although results for other selective estrogen receptor
modulators and aromatase inhibitors are less consistent. In both primary and
secondary prevention settings, tamoxifen-associated MD changes correlate with
successful modulation of risk or outcome, particularly among pre-menopausal
women; an observation that supports the potential use of MD change as a surrogate
marker where short-term MD changes reflect longer-term anti-estrogen efficacy.
Here we summarize endocrine therapy-induced MD changes and attendant outcomes and
discuss both the need for outcome surrogates in such therapy, as well as make a
case for MD as such a monitoring marker. We then discuss the process and steps
required to validate and introduce MD into practice as a predictor or surrogate
for endocrine therapy efficacy in preventive and adjuvant breast cancer treatment
settings.
PMID- 27894077
TI - Tyrosine kinase fusion genes in pediatric BCR-ABL1-like acute lymphoblastic
leukemia.
AB - Approximately 15% of pediatric B cell precursor acute lymphoblastic leukemia (BCP
ALL) is characterized by gene expression similar to that of BCR-ABL1-positive
disease and unfavorable prognosis. This BCR-ABL1-like subtype shows a high
frequency of B-cell development gene aberrations and tyrosine kinase-activating
lesions. To evaluate the clinical significance of tyrosine kinase gene fusions in
children with BCP-ALL, we studied the frequency of recently identified tyrosine
kinase fusions, associated genetic features, and prognosis in a representative
Dutch/German cohort. We identified 14 tyrosine kinase fusions among 77 BCR-ABL1
like cases (18%) and none among 76 non-BCR-ABL1-like B-other cases. Novel exon
fusions were identified for RCSD1-ABL2 and TERF2-JAK2. JAK2 mutation was mutually
exclusive with tyrosine kinase fusions and only occurred in cases with high CRLF2
expression. The non/late response rate and levels of minimal residual disease in
the fusion-positive BCR-ABL1-like group were higher than in the non-BCR-ABL1-like
B-others (p<0.01), and also higher, albeit not statistically significant,
compared with the fusion-negative BCR-ABL1-like group. The 8-year cumulative
incidence of relapse in the fusion-positive BCR-ABL1-like group (35%) was
comparable with that in the fusion-negative BCR-ABL1-like group (35%), and worse
than in the non-BCR-ABL1-like B-other group (17%, p=0.07). IKZF1 deletions,
predominantly other than the dominant-negative isoform and full deletion, co
occurred with tyrosine kinase fusions. This study shows that tyrosine kinase
fusion-positive cases are a high-risk subtype of BCP-ALL, which warrants further
studies with specific kinase inhibitors to improve outcome.
PMID- 27894078
TI - Overexpression of ANXA3 is an independent prognostic indicator in gastric cancer
and its depletion suppresses cell proliferation and tumor growth.
AB - BACKGROUND: Gastric cancer (GC) is one of the most common malignancies worldwide.
Tumour metastasis is one of the leading causes of death in GC patients. This
study aims to investigate the significance of ANXA3 expression and the mechanism
by which ANXA3 is involved in the epithelial-mensenchymal transition (EMT) of
gastric cancer cells. RESULTS: Our results confirmed that ANXA3 was high
expression at the mRNA and protein level in GC cancer tissues and the majority of
GC cell lines. In clinicopathological analysis, we found that increased
expression of ANXA3 in tumors was closely associated with a poor prognosis.
Xogenous ANXA3 transduction promoted proliferation, clone formation, migration,
and invasion. Small interfering RNA silencing of ANXA3 inhibited these processes.
Silence of ANXA3 inhibited tumorigenicity in vivo. Additionally, ANXA3 expression
is associated with the epithelial-mesenchymal transition. METHODS: Firstly, we
investigated the ANXA3 expression on mRNA and protein level with RT-PCR and
Western blot. Secondly, 183 GC patients tissues were used the to evaluate the
clinicopathological characteristics and prognosis through immunohistochemistry.
Furthermore, The functions of ANXA3 were analyzed in the cell proliferation,
Colony Formation, migration, invasion and apoptosis of GC cell lines.
CONCLUSIONS: Our research suggests that ANXA3 plays important roles in gastric
cancer carcinogenesis and metastasis, and provides a valuable prognostic marker
and potential target for treatment of gastric cancer patients.
PMID- 27894081
TI - MBD3 inhibits formation of liver cancer stem cells.
AB - Liver cancer cells can be reprogrammed into induced cancer stem cells (iCSCs) by
exogenous expression of the reprogramming transcription factors Oct4, Sox2, Klf4
and c-Myc (OSKM). The nucleosome remodeling and deacetylase (NuRD) complex is
essential for reprogramming somatic cells. In this study, we investigated the
function of NuRD in the induction of liver CSCs. We showed that suppression of
methyl-CpG binding domain protein 3 (MBD3), a core subunit of the NuRD repressor
complex, together with OSKM transduction, induces conversion of liver cancer
cells into stem-like cells. Expression of the transcription factor c-JUN is
increased in MBD3-depleted iCSCs, and c-JUN activates endogenous pluripotent
genes and regulates iCSC-related genes. These results indicate that MBD3/NuRD
inhibits the induction of iCSCs, while c-JUN facilitates the generation of CSC
like properties. The iCSC reprogramming approach devised here provides a novel
platform for dissection of the disordered signaling in liver CSCs. In addition,
our results indicate that c-JUN may serve as a potential target for liver cancer
therapy.
PMID- 27894079
TI - MicroRNA-214 protects against hypoxia/reoxygenation induced cell damage and
myocardial ischemia/reperfusion injury via suppression of PTEN and Bim1
expression.
AB - BACKGROUND: Myocardial apoptosis plays an important role in myocardial
ischemia/reperfusion (I/R) injury. Activation of PI3K/Akt signaling protects the
myocardium from I/R injury. This study investigated the role of miR-214 in
hypoxia/reoxygenation (H/R)-induced cell damage in vitro and myocardial I/R
injury in vivo. METHODS AND RESULTS: H9C2 cardiomyoblasts were transfected with
lentivirus expressing miR-214 (LmiR-214) or lentivirus expressing scrambled miR
control (LmiR-control) respectively, to establish cell lines of LmiR-214 and LmiR
control. The cells were subjected to hypoxia for 4 h followed by reoxygenation
for 24 h. Transfection of LmiR-214 suppresses PTEN expression, significantly
increases the levels of Akt phosphorylation, markedly attenuates LDH release, and
enhances the viability of the cells subjected to H/R. In vivo transfection of
mouse hearts with LmiR-214 significantly attenuates I/R induced cardiac
dysfunction and reduces I/R-induced myocardial infarct size. LmiR-214
transfection significantly attenuates I/R-induced myocardial apoptosis and
caspase-3/7 and caspase-8 activity. Increased expression of miR-214 by
transfection of LmiR-214 suppresses PTEN expression, increases the levels of
phosphorylated Akt, represses Bim1 expression and induces Bad phosphorylation in
the myocardium. In addition, in vitro data shows transfection of miR-214 mimics
to H9C2 cells suppresses the expression and translocation of Bim1 from cytosol to
mitochondria and induces Bad phosphorylation. CONCLUSIONS: Our in vitro and in
vivo data suggests that miR-214 protects cells from H/R induced damage and
attenuates I/R induced myocardial injury. The mechanisms involve activation of
PI3K/Akt signaling by targeting PTEN expression, induction of Bad
phosphorylation, and suppression of Bim1 expression, resulting in decreases in
I/R-induced myocardial apoptosis.
PMID- 27894080
TI - Genetic variants in the acylphosphatase 2 gene and the risk of breast cancer in a
Han Chinese population.
AB - We performed a case-control study to investigate the associations between seven
single nucleotide polymorphisms (SNPs) in the acylphosphatase 2 (ACYP2) gene and
breast cancer (BC) risk in a Han Chinese population. There were 183 BC cases and
195 healthy controls included in the study. The SNPs were genotyped using the
Sequenom MassARRAY platform. Logistic regression (adjusted for age group, body
mass index [BMI], and menopause status), was used to evaluate the associations
between the various genotypes and BC risk. Statistical analysis revealed that
rs12621038 was associated with a decreased risk of BC in the allele (T vs. C:
odds ratio [OR] = 0.71, 95% confidence interval [95% CI] = 0.52-0.94; p = 0.016),
homozygous (TT vs. CC: OR = 0.47, 95% CI = 0.24-0.85; p = 0.014), dominant (OR =
0.62; 95% CI = 0.40-0.96; p = 0.032), and additive (OR = 0.68; 95% CI = 0.50
0.92; p = 0.012) models. In addition, we found that rs1682111 and rs17045754 were
associated with the risk of BC and correlated with recurrence, and that rs6713088
correlated with tumor size. In sum, our findings reveal significant associations
between SNPs in the ACYP2 gene and BC risk in a Han Chinese population.
PMID- 27894082
TI - The disintegrin echistatin in combination with doxorubicin targets high
metastatic human osteosarcoma overexpressing alphanubeta3 integrin in chick
embryo and nude mouse models.
AB - Echistatin, a cyclic RGD peptide, which is an antagonist of alphavbeta3 integrin
(disintegrin), inhibited human osteosarcoma in the chick chorioallontoic membrane
(CAM) model and tumor growth and pulmonary metastases in a nude mouse orthotopic
model. A high-metastatic variant of human osteosarcoma, 143B-LM4, overexpressing
alphavbeta3 integrin was used. Tumor angiogenesis by high-metastatic variant 143B
LM4 cells in the CAM was significantly inhibited by echistatin (P<0.05) as was
overall growth. A doxorubicin (DOX)-echistatin combination inhibited orthotopic
tumor growth compared to untreated control (P<0.01) or DOX alone (P<0.05) in nude
mice. Tumor-bearing mice treated with the DOX-echistatin combination survived
longer than those treated with DOX alone or control PBS (P<0.01 and P<0.01,
respectively). Echistatin also inhibited experimental lung metastasis of 143B-LM4
cells in nude mice. These results suggest that DOX in combination with a
disintegrin has potential to treat osteosarcoma and that alphavbeta3 integrin may
be a target for osteosarcoma.
PMID- 27894083
TI - Suppression of Nestin reveals a critical role for p38-EGFR pathway in neural
progenitor cell proliferation.
AB - The expression of intermediate filament Nestin is necessary for the neural
progenitor cells (NPCs) to maintain stemness, but the underlying cellular and
molecular mechanism remains unclear. In this study, we demonstrated that Nestin
is required for the self-renew of NPCs through activating MAPK and EGFR pathways.
Knockdown of Nestin by shRNA inhibited cell cycle progression and proliferation
in mouse NPCs. Moreover, suppression of Nestin reduced expression of the
epidermal growth factor receptor (EGFR) in NPCs and inhibited the mitogenic
effects of EGF on these cells. Treatment of NPCs with p38-MAPK inhibitor PD169316
reversed cell cycle arrest caused by the knockdown of Nestin. Our findings
indicate that Nestin promotes NPC proliferation via p38-MAPK and EGFR pathways,
and reveals the necessity of these pathways in NPCs self-renewal.
PMID- 27894084
TI - Curcumin increases exosomal TCF21 thus suppressing exosome-induced lung cancer.
AB - Curcumin is a novel drug for lung cancer treatment. However, the mechanism
underlying the anti-tumor effect of curcumin remains elusive. Previous evidences
indicated that, the methylating transferase DNMT1 is downregulated by curcumin,
and the transcription factor 21 (TCF21) is suppressed by DNMT1. We hereby attempt
to elucidate the correlation between curcumin treatment and TCF21 expression.
Exosomes derived from curcumin-pretreated H1299 cells were used to treat BEAS-2B
cells, which induced proliferation, colony formation and migration of BEAS-2B
cells. An increase in TCF21 expression in response to curcumin was also seen, as
revealed by real-time PCR (RT-PCR) and western blot. Analysis using the GEO
database (access #GSE21210) indicated that a positive correlation existed between
TCF21 levels and lung cancer patient survival. TCF21 overexpression and knockdown
was introduced to H1299 cells through lentiviral system, which led to suppression
and promotion of tumor growth, respectively. We also demonstrated that DNMT1
expression was downregulated by curcumin. Therefore, curcumin exerts its anti
cancer function by downregulating DNMT1, thereby upregulating TCF21.
PMID- 27894085
TI - Tracing anti-cancer and cancer-promoting actions of all-trans retinoic acid in
breast cancer to a RARalpha epigenetic mechanism of mammary epithelial cell fate.
AB - A hallmark of cancer cells is the ability to evade the growth inhibitory/pro
apoptotic action of physiological all-trans retinoic acid (RA) signal, the
bioactive derivative of Vitamin A. However, as we and others reported, RA can
also promote cancer cell growth and invasion. Here we show that anticancer and
cancer-promoting RA actions in breast cancer have roots in a mechanism of mammary
epithelial cell morphogenesis that involves both transcriptional (epigenetic) and
non-transcriptional RARalpha (RARA) functions. We found that the mammary
epithelial cell-context specific degree of functionality of the RARA
transcriptional (epigenetic) component of this mechanism, by tuning the effects
of the non-transcriptional RARA component, determines different cell fate
decisions during mammary morphogenesis. Indeed, factors that hamper the RARA
epigenetic function make physiological RA drive aberrant morphogenesis via non
transcriptional RARA, thus leading to cell transformation. Remarkably, also the
cell context-specific degree of functionality of the RARA epigenetic component
retained by breast cancer cells is critical to determine cell fate decisions in
response to physiological as well as supraphysiological RA variation. Overall
this study supports the proof of principle that the epigenetic functional
plasticity of the mammary epithelial cell RARA mechanism, which is essential for
normal morphogenetic processes, is necessary to deter breast cancer
onset/progression consequent to the insidious action of physiological RA.
PMID- 27894087
TI - Negative feedback between TAp63 and Mir-133b mediates colorectal cancer
suppression.
AB - BACKGROUND: TAp63 is known as the most potent transcription activator and tumor
suppressor. microRNAs (miRNAs) are increasingly recognized as essential
components of the p63 pathway, mediating downstream post-transcriptional gene
repression. The aim of present study was to investigate a negative feedback loop
between TAp63 and miR-133b. RESULTS: Overexpression of TAp63 inhibited HCT-116
cell proliferation, apoptosis and invasion via miR-133b. Accordingly, miR-133b
inhibited TAp63 expression through RhoA and its downstream pathways. Moreover, we
demonstrated that TAp63/miR-133b could inhibit colorectal cancer proliferation
and metastasis in vivo and vitro. MATERIALS AND METHODS: We evaluated the
correlation between TAp63 and miR-133b in HCT-116 cells and investigated the
roles of the TAp63/miR-133b feedback loop in cell proliferation, apoptosis and
metastasis via MTT, flow cytometry, Transwell, and nude mouse xenograft
experiments. The expression of TAp63, miR-133b, RhoA, alpha-tubulin and Akt was
assessed via qRT-PCR, western blot and immunofluorescence analyses. miR-133b
target genes were identified through luciferase reporter assays. CONCLUSIONS: miR
133b plays an important role in the anti-tumor effects of TAp63 in colorectal
cancer. miR-133b may represent a tiemolecule between TAp63 and RhoA, forming a
TAp63/miR-133b/RhoA negative feedback loop, which could significantly inhibit
proliferation, apoptosis and metastasis.
PMID- 27894086
TI - The anti-tumor drug 2-hydroxyoleic acid (Minerval) stimulates signaling and
retrograde transport.
AB - 2-hydroxyoleic acid (OHOA, Minerval(r)) is an example of a substance used for
membrane lipid therapy, where the cellular membranes rather than specific
proteins constitute the therapeutical target. OHOA is thought to mediate its anti
tumor effect by affecting the biophysical properties of membranes, which leads to
altered recruitment and activation of amphitropic proteins, altered cellular
signaling, and eventual cell death. Little is known about the initial signaling
events upon treatment with OHOA, and whether the altered membrane properties
would have any impact on the dynamic intracellular transport system. In the
present study we demonstrate that treatment with OHOA led to a rapid release of
intracellular calcium and activation of multiple signaling pathways in HeLa
cells, including the PI3K-AKT1-MTOR pathway and several MAP kinases, in a process
independent of the EGFR. By lipidomics we confirmed that OHOA was incorporated
into several lipid classes. Concomitantly, OHOA potently increased retrograde
transport of the plant toxin ricin from endosomes to the Golgi and further to the
endoplasmic reticulum. The OHOA-stimulated ricin transport seemed to require
several amphitropic proteins, including Src, phospholipase C, protein kinase C,
and also Ca2+/calmodulin. Interestingly, OHOA induced a slight increase in
endosomal localization of the retromer component VPS35. Thus, our data show that
addition of a lipid known to alter membrane properties not only affects
signaling, but also intracellular transport.
PMID- 27894088
TI - LSD1 binds to HPV16 E7 and promotes the epithelial-mesenchymal transition in
cervical cancer by demethylating histones at the Vimentin promoter.
AB - Lysine-specific demethylase 1 (LSD1), which specifically demethylates histone H3
lysine 4 (H3K4) and lysine 9 (H3K9), is dysregulated in several cancers. We found
that ectopic expression of LSD1 in cervical cancer cells promoted invasion and
metastasis in vitro and in vivo, reduced the expression of the epithelial marker
E-cadherin, and induced the expression of the mesenchymal marker, Vimentin. By
contrast, LSD1 knockdown had the opposite effect and attenuated the HPV16 E7
induced epithelial-mesenchymal transition (EMT). We proposed a novel mechanism,
whereby LSD1 is recruited to the Vimentin promoter and demethylates H3K4me1 and
H3K4me2. Notably, HPV16 E7 enhanced the expression of LSD1, formed a complex with
LSD1, and suppressed LSD1 demethylase activity by hindering the recruitment of
LSD1 to the Vimentin promoter. Thus, LSD1 is a primary and positive regulator of
the HPV16 E7-induced EMT and an attractive therapeutic target for alleviating
HPV16 E7-induced EMT and tumor metastasis.
PMID- 27894090
TI - Gremlin promotes retinal pigmentation epithelial (RPE) cell proliferation,
migration and VEGF production via activating VEGFR2-Akt-mTORC2 signaling.
AB - Retinopathy of prematurity (ROP) is characterized by late-phase pathologic
retinal vasoproliferation. Gremlin is a novel vascular endothelial growth factors
(VEGF) receptor 2 (VEGFR2) agonist and promotes angiogenic response. We
demonstrated that gremlin expression was significantly increased in retinas of
ROP model mice, which was correlated with VEGF upregulation. In retinal
pigmentation epithelial (RPE) cells, gremlin activated VEGFR2-Akt-mTORC2
(mammalian target of rapamycin complex 2) signaling, and promoted cell
proliferation, migration and VEGF production. VEGFR inhibition (by SU5416) or
shRNA knockdown almost abolished gremlin-mediated pleiotropic functions in RPE
cells. Further, pharmacological inhibition of Akt-mTOR, or shRNA knockdown of key
mTORC2 component (Rictor or Sin1) also attenuated gremlin-exerted activities in
RPE cells. We conclude that gremlin promotes RPE cell proliferation, migration
and VEGF production possibly via activating VEGFR2-Akt-mTORC2 signaling. Gremlin
could be a novel therapeutic target of ROP or other retinal vasoproliferation
diseases.
PMID- 27894089
TI - Associations between single-nucleotide polymorphisms of human exonuclease 1 and
the risk of hepatocellular carcinoma.
AB - Human exonuclease 1 (hEXO1) is an important nuclease involved in mismatch repair
system that contributes to maintain genomic stability and modulate DNA
recombination. This study is aimed to explore the associations between single
nucleotide polymorphisms (SNPs) of hEXO1 and the hereditary susceptibility of
hepatocellular carcinoma (HCC). SNPs rs1047840, rs1776148, rs3754093, rs4149867,
rs4149963, and rs1776181 of hEXO1 were examined from a hospital-based case
control study including 1,196 cases (HCC patients) and 1,199 controls (non-HCC
patients) in Guangxi, China. We found the rs3754093 AG genotype decreased the
risk of HCC (OR=0.714, 95% CI: 0.539~0.946). According to the results of
stratification analysis, rs3754093 mutant genotype AG/GG decreased the risk of
HCC with some HCC protective factors such as non-smoking, non-alcohol consumption
and non-HCC family history, but also decreased the risk of HCC with HBV
infection. Moreover, it was correlated to non-tumor metastasis and increased the
survival of HCC patients. The results from gene-environment interaction assay
indicated all hEXO1 SNPs interacted with smoking, alcohol consumption, HBV
infection in pathogenesis of HCC. However, gene-gene interaction assay suggested
the interaction between rs3754093 and other 5 SNPs were associated with reducing
the HCC risk. These results suggest rs3754093 exhibits a protective activity to
decrease the incidence risk of HCC in Guangxi, China. In addition, all SNPs in
this study interacted with environment risk factors in pathogenesis of HCC.
PMID- 27894091
TI - Preclinical study of cinobufagin as a promising anti-colorectal cancer agent.
AB - Here, we assessed the anti-colorectal cancer (CRC) cell activity of cinobufagin
(CBG). We found that CBG exerted potent cytotoxic and anti-proliferative activity
against CRC lines (HCT-116 and HT-29) and primary human CRC cells. Meanwhile, it
activated apoptosis, and disrupted cell-cycle progression in the cells. At the
signaling level, CBG treatment in CRC cells provoked endoplasmic reticulum stress
(ER stress), the latter was evidenced by caspase-12 activation, CHOP expression,
as well as PERK and IRE1 phosphorylations. Contrarily, the ER stress inhibitor
salubrinal, the caspase-12 inhibitor and CHOP shRNA remarkably attenuated CBG
induced CRC cell death and apoptosis. Further, CBG in-activated mammalian target
or rapamycin complex 1 (mTORC1), which appeared responsible for proliferation
inhibition in CRC cells. Introduction of a constitutively-active S6K1 ("ca-S6K1")
restored proliferation of CBG-treated CRC cells. Finally, CBG intraperitoneal
injection suppressed HCT-116 xenograft tumor growth in the nude mice. CHOP
upregulation and mTORC1 in-activation were also noticed in CBG-treated HCT-116
tumors. The results of this preclinical study suggest that CBG could be tested as
promising anti-CRC agent.
PMID- 27894093
TI - New use of an old drug: inhibition of breast cancer stem cells by benztropine
mesylate.
AB - Cancer stem cells (CSCs) play major roles in cancer initiation, metastasis,
recurrence and therapeutic resistance. Targeting CSCs represents a promising
strategy for cancer treatment. The purpose of this study was to identify
selective inhibitors of breast CSCs (BCSCs). We carried out a cell-based
phenotypic screening with cell viability as a primary endpoint, using a
collection of 2,546 FDA-approved drugs and drug-like molecules in spheres formed
by malignant human breast gland-derived cells (HMLER-shEcad cells, representing
BCSCs) and control immortalized non-tumorigenic human mammary cells (HMLE cells,
representing normal stem cells). 19 compounds were identified from screening. The
chemically related molecules benztropine mesylate and deptropine citrate were
selected for further validation and both potently inhibited sphere formation and
self-renewal of BCSCs in vitro. Benztropine mesylate treatment decreased cell
subpopulations with high ALDH activity and with a CD44+/CD24- phenotype. In vivo,
benztropine mesylate inhibited tumor-initiating potential in a 4T1 mouse model.
Functional studies indicated that benztropine mesylate inhibits functions of CSCs
via the acetylcholine receptors, dopamine transporters/receptors, and/or
histamine receptors. In summary, our findings identify benztropine mesylate as an
inhibitor of BCSCs in vitro and in vivo. This study also provides a screening
platform for identification of additional anti-CSC agents.
PMID- 27894092
TI - A novel fully human anti-NCL immunoRNase for triple-negative breast cancer
therapy.
AB - Breast cancer is the most common cancer in women worldwide. A new promising anti
cancer therapy involves the use of monoclonal antibodies specific for target
tumor-associated antigens (TAAs). A TAA of interest for immunotherapy of Triple
Negative Breast Cancer (TNBC) is nucleolin (NCL), a multifunctional protein,
selectively expressed on the surface of cancer cells, which regulates the
biogenesis of specific microRNAs (miRNAs) involved in tumor development and drug
resistance. We previously isolated a novel human anti-NCL scFv, called 4LB5, that
is endowed with selective anti-tumor effects. Here we report the construction and
characterization of a novel immunoRNase constituted by 4LB5 and a human
pancreatic RNase (HP-RNase) called "4LB5-HP-RNase". This immunoRNase retains both
the enzymatic activity of human pancreatic RNase and the specific binding of the
parental scFv to a panel of surface NCL-positive breast cancer cells. Notably,
4LB5-HP-RNase dramatically and selectively reduced the viability and
proliferation of NCL-positive tumor cells in vitro and in vivo. Specifically, it
induced apoptosis and reduced the levels of the tumorigenic miRNAs miR-21, -221
and -222. Thus, this novel immunoagent could be a valuable tool for the treatment
of TNBC patients ineligible for currently available targeted treatments.
PMID- 27894094
TI - MET expression and copy number status in clear-cell renal cell carcinoma:
prognostic value and potential predictive marker.
AB - Multiple targeted therapy for advanced clear-cell renal cell carcinoma (RCC) has
substantially improved patient outcome, but complete remission is uncommon and
many tumors eventually develop resistance. Mechanistic, preclinical, and early
clinical data highlight c-Met / hepatocyte growth factor receptor as a promising
target for RCC therapeutic agents.We have examined MET expression, frequency of
MET gene copy gains and MET gene mutation in a large, hospital-based series of
renal cell carcinomas with long-term follow-up information.Out of a total of 572
clear-cell RCC, only 17% were negative for MET expression whereas 32% showed high
protein levels. High MET expression and MET copy number gains were associated
with an aggressive phenotype and an unfavorable patient outcome. Elevated protein
levels in absence of gene amplification were not attributed to mutations, based
on results of targeted next-generation sequencing.Our data reveal that clear-cell
RCC with MET upregulation show an aggressive behavior and MET copy number
increase is evident in a substantial percentage of patients with high-grade
carcinomas and metastatic disease. Diagnostic assessment of MET expression and
amplification may be of predictive value to guide targeted therapy against MET
signaling in patients with clear-cell RCC.
PMID- 27894095
TI - MicroRNA-182 drives colonization and macroscopic metastasis via targeting its
suppressor SNAI1 in breast cancer.
AB - Metastasis is a multi-step process. Tumor cells occur epithelial-mesenchymal
transition (EMT) to start metastasis, then, they need to undergo a reverse
progression of EMT, mesenchymal-epithelial transition (MET), to colonize and form
macrometastases at distant organs to complete the whole process of metastasis.
Although microRNAs (miRNAs) functions in EMT process are well established, their
influence on colonization and macrometastases formation remains unclear. Here, we
established an EMT model in MCF-10A cells with SNAI1 overexpression, and
characterized some EMT-related microRNAs. We identified that miR-182, which was
directly suppressed by SNAI1, could enable an epithelial-like state in breast
cancer cells in vitro, and enhance colonization and macrometastases in vivo.
Subsequent studies showed that miR-182 exerted its function through targeting its
suppressor SNAI1. Moreover, higher expression level of miR-182 was detected in
metastatic lymph nodes, compared with paired primary tumor tissues. In addition,
the expression level of miR-182 was negatively correlated with that of SNAI1 in
these clinical specimens. Taking together, our findings describe the role of miR
182 in colonization and macrometastases in breast cancer for the first time, and
provide a promise for diagnosis or therapy of breast cancer metastasis.
PMID- 27894096
TI - Shed urinary ALCAM is an independent prognostic biomarker of three-year overall
survival after cystectomy in patients with bladder cancer.
AB - Proteins involved in tumor cell migration can potentially serve as markers of
invasive disease. Activated Leukocyte Cell Adhesion Molecule (ALCAM) promotes
adhesion, while shedding of its extracellular domain is associated with
migration. We hypothesized that shed ALCAM in biofluids could be predictive of
progressive disease. ALCAM expression in tumor (n = 198) and shedding in
biofluids (n = 120) were measured in two separate VUMC bladder cancer cystectomy
cohorts by immunofluorescence and enzyme-linked immunosorbent assay,
respectively. The primary outcome measure was accuracy of predicting 3-year
overall survival (OS) with shed ALCAM compared to standard clinical indicators
alone, assessed by multivariable Cox regression and concordance-indices.
Validation was performed by internal bootstrap, a cohort from a second
institution (n = 64), and treatment of missing data with multiple-imputation.
While ALCAM mRNA expression was unchanged, histological detection of ALCAM
decreased with increasing stage (P = 0.004). Importantly, urine ALCAM was
elevated 17.0-fold (P < 0.0001) above non-cancer controls, correlated positively
with tumor stage (P = 0.018), was an independent predictor of OS after adjusting
for age, tumor stage, lymph-node status, and hematuria (HR, 1.46; 95% CI, 1.03
2.06; P = 0.002), and improved prediction of OS by 3.3% (concordance-index, 78.5%
vs. 75.2%). Urine ALCAM remained an independent predictor of OS after accounting
for treatment with Bacillus Calmette-Guerin, carcinoma in situ, lymph-node
dissection, lymphovascular invasion, urine creatinine, and adjuvant chemotherapy
(HR, 1.10; 95% CI, 1.02-1.19; P = 0.011). In conclusion, shed ALCAM may be a
novel prognostic biomarker in bladder cancer, although prospective validation
studies are warranted. These findings demonstrate that markers reporting on cell
motility can act as prognostic indicators.
PMID- 27894097
TI - A combination of Nottingham prognostic index and IHC4 score predicts pathological
complete response of neoadjuvant chemotherapy in estrogen receptor positive
breast cancer.
AB - Pathologic complete response (pCR) prediction after neoadjuvant chemotherapy
(NAC) is important for clinical decision-making in breast cancer. This study
investigated the predictive value of Nottingham prognostic index (NPI),
Immunohistochemical four (IHC4) score and a new predictive index combined with
them in estrogen-positive (ER+) breast cancer following NAC. We retrospectively
gathered clinical data of 739 ER+ breast cancer patients who received NAC from
two cancer centers. We developed a new predictive biomarker named NPI+IHC4 to
predict pCR in ER+ breast cancer in a training set (n=443) and validated it in an
external validation set (n=296). The results showed that a lower IHC4 score, NPI
and NPI+IHC4 were significantly associated a high pCR rate in the entire cohort.
In the study set, NPI+IHC4 showed a better sensitivity and specificity for pCR
prediction (AUC 0.699, 95% CI 0.626-0.772) than IHC4 score (AUC 0.613, 95% CI
0.533-0.692), NPI (AUC 0.576, 95% CI 0.494-0.659), tumor size (AUC 0.556, 95% CI
0.481-0.631) and TNM stage (AUC 0.521, 95% CI 0.442-0.601). In the validation
set, NPI+IHC4 had a better predictive value for pCR (AUC 0.665, 95% CI 0.579
0.751) than IHC4 score or NPI alone. In addition, ER+ patients with lower IHC4,
NPI and NPI+IHC4 scores had significantly better DFS in both study and validation
sets. In summary, NPI+IHC4 can predict pCR following NAC and prognosis in ER+
breast cancer, which is cost-effect and potentially more useful in guiding
decision-making regarding NAC in clinical practice. Further validation is needed
in prospective clinical trials with larger cohorts of patients.
PMID- 27894100
TI - Investigation of long-term survival outcomes and failure patterns of patients
with nasopharyngeal carcinoma receiving intensity-modulated radiotherapy: a
retrospective analysis.
AB - Intensity-modulated radiotherapy (IMRT) has replaced the conventional
radiotherapy (2D-RT) and improved clinical efficacy in Nasopharyngeal Carcinoma
(NPC) patients. In the present study, we retrospectively analyzed the clinical
characteristics of patients with NPC treated with IMRT to assess the long-term
survival outcomes and failure patterns. Of the 527 patients, One hundred and
twenty-one patients experienced treatment failure, 86 patients developed distant
metastases, and 12 patients developed a second primary tumor. The local and
regional recurrence rates were 31.4% and 14.0%, respectively. The 5-year overall
survival (OS), progression-free survival (PFS), local recurrence-free survival
(LRFS), regional relapse-free survival (RRFS), and distant metastatic relapse
free survival (DMFS) rates were 80.9%, 75.6%, 91.7%, 96.2%, and 83.0%,
respectively. The 5-year LRFS rates of Stage T1-4 patients were 100.0%, 93.1%,
92.0%, and 85.8%, respectively. The 5-year DMFS rates of Stage N0-3 patients were
95.0%, 86.1%, 79.5%, and 67.2%, respectively. Multivariate analysis showed age
and T-stage were independent predictors of OS, T-stage was an independent
predictor of LRFS, and age and N-stage were independent predictors of PFS and
DMFS.In summary, the improved treatment results with IMRT are primarily due to
the achievement of a higher local tumor control rate and OS in NPC patients.
However, distant metastasis was the most commonly observed failure pattern after
treatment. These results provide deep insights about the value of IMRT in the
treatment and prognosis of NPC patients.
PMID- 27894099
TI - Peroxiredoxin 2 is essential for maintaining cancer stem cell-like phenotype
through activation of Hedgehog signaling pathway in colon cancer.
AB - Cancer stem cells (CSCs) are a key target for reducing tumor growth, metastasis,
and recurrence. Redox status is a critical factor in the maintenance of CSCs, and
the antioxidant enzyme Peroxiredoxin 2 (Prdx2) plays an important role in the
development of colon cancer. Therefore, we investigated the contribution of Prdx2
to the maintenance of stemness of colon CSCs. Here, we used short-hairpin RNAs
and a Prdx2-overexpression vector to determine the effects of Prdx2. We
demonstrated that knockdown of Prdx2 reduced the self-renewal and sphere
formation and resulted in increased 5-FU-induced apoptosis in human colon CSCs.
Prdx2 overexpression induced reversion of the self-renewal and sphere formation.
Furthermore, the effects of Prdx2 resulted in an altered expression of stemness
associated with the Hh/Gli1 signaling pathway. Finally, knockdown of Prdx2 in
CD133+ cells reduced the volume of xenograft tumors in BALB/c-nu mice. Taken
together, colon CSCs overexpress Prdx2, which promotes their stem cell properties
via the Hh/Gli1 signaling pathway. The results suggest that Prdx2 may be an
effective therapeutic target for the elimination of CSCs in colorectal cancer.
PMID- 27894101
TI - Impact of hematological inflammatory markers on clinical outcome in patients with
salivary duct carcinoma: a multi-institutional study in Japan.
AB - The prognostic role of modified Glasgow Prognostic Score (mGPS), neutrophil-to
lymphocyte ratio (NLR) and platelet-to-lymphocyte ratio (PLR) in patients with
salivary duct carcinoma (SDC) remains unclear. We conducted a multi-institutional
retrospective cohort study of 140 SDC patients. The survival impact of these
hematological markers was evaluated using multivariate proportional hazard
models.High mGPS (>=1) was significantly associated with worse survival (3-year
overall survival (OS): 16.7% vs 66.1%, p-value=0.003; 3-year progression-free
survival (PFS): 0.0% vs 27.9%, p-value<0.001). Additionally, high C-reactive
protein (CRP) (>=0.39 mg/dl) was significantly associated with worse survival (3
year OS: 32.1% vs 68.2%, p-value=0.001; 3-year PFS: 7.1% vs 31.1%, p
value<0.001). These associations were consistent with multivariate analysis
adjusted for established prognostic factors. Although we also found significant
association of high NLR (>=2.5) with OS (HR 1.80; 95% confidence interval, 1.05
3.08) in multivariate analysis, this association were inconsistent with the
results of PFS. In addition, we found no significant associations of PLR with
survival. In conclusion, we found that mGPS, CRP and NLR were identified as
prognostic factors associated with survival in SDC patients.
PMID- 27894103
TI - An efficient iterative CBCT reconstruction approach using gradient projection
sparse reconstruction algorithm.
AB - The purpose of this study is to develop a fast and convergence proofed CBCT
reconstruction framework based on the compressed sensing theory which not only
lowers the imaging dose but also is computationally practicable in the busy
clinic. We simplified the original mathematical formulation of gradient
projection for sparse reconstruction (GPSR) to minimize the number of forward and
backward projections for line search processes at each iteration. GPSR based
algorithms generally showed improved image quality over the FDK algorithm
especially when only a small number of projection data were available. When there
were only 40 projections from 360 degree fan beam geometry, the quality of GPSR
based algorithms surpassed FDK algorithm within 10 iterations in terms of the
mean squared relative error. Our proposed GPSR algorithm converged as fast as the
conventional GPSR with a reasonably low computational complexity. The outcomes
demonstrate that the proposed GPSR algorithm is attractive for use in real time
applications such as on-line IGRT.
PMID- 27894102
TI - Comparative proteomics of a model MCF10A-KRasG12V cell line reveals a distinct
molecular signature of the KRasG12V cell surface.
AB - Oncogenic Ras mutants play a major role in the etiology of most aggressive and
deadly carcinomas in humans. In spite of continuous efforts, effective
pharmacological treatments targeting oncogenic Ras isoforms have not been
developed. Cell-surface proteins represent top therapeutic targets primarily due
to their accessibility and susceptibility to different modes of cancer therapy.
To expand the treatment options of cancers driven by oncogenic Ras, new targets
need to be identified and characterized at the surface of cancer cells expressing
oncogenic Ras mutants. Here, we describe a mass spectrometry-based method for
molecular profiling of the cell surface using KRasG12V transfected MCF10A (MCF10A
KRasG12V) as a model cell line of constitutively activated KRas and native MCF10A
cells transduced with an empty vector (EV) as control. An extensive molecular map
of the KRas surface was achieved by applying, in parallel, targeted hydrazide
based cell-surface capturing technology and global shotgun membrane proteomics to
identify the proteins on the KRasG12V surface. This method allowed for integrated
proteomic analysis that identified more than 500 cell-surface proteins found
unique or upregulated on the surface of MCF10A-KRasG12V cells. Multistep
bioinformatic processing was employed to elucidate and prioritize targets for
cross-validation. Scanning electron microscopy and phenotypic cancer cell assays
revealed changes at the cell surface consistent with malignant epithelial-to
mesenchymal transformation secondary to KRasG12V activation. Taken together, this
dataset significantly expands the map of the KRasG12V surface and uncovers
potential targets involved primarily in cell motility, cellular protrusion
formation, and metastasis.
PMID- 27894104
TI - Proteomic profiling of NCI-60 extracellular vesicles uncovers common protein
cargo and cancer type-specific biomarkers.
AB - Packed with biological information, extracellular vesicles (EVs) offer exciting
promise for biomarker discovery and applications in therapeutics and non-invasive
diagnostics. Currently, our understanding of EV contents is confined by the
limited cells from which vesicles have been characterized utilizing the same
enrichment method. Using sixty cell lines from the National Cancer Institute (NCI
60), here we provide the largest proteomic profile of EVs in a single study,
identifying 6,071 proteins with 213 common to all isolates. Proteins included
established EV markers, and vesicular trafficking proteins such as Rab GTPases
and tetraspanins. Differentially-expressed proteins offer potential for cancer
diagnosis and prognosis. Network analysis of vesicle quantity and proteomes
identified EV components associated with vesicle secretion, including CD81, CD63,
syntenin-1, VAMP3, Rab GTPases, and integrins. Integration of vesicle proteomes
with whole-cell molecular profiles revealed similarities, suggesting EVs provide
a reliable reflection of their progenitor cell content, and are therefore
excellent indicators of disease.
PMID- 27894098
TI - Impact of Mediterranean diet on metabolic syndrome, cancer and longevity.
AB - Obesity symbolizes a major public health problem. Overweight and obesity are
associated to the occurrence of the metabolic syndrome and to adipose tissue
dysfunction. The adipose tissue is metabolically active and an endocrine organ,
whose dysregulation causes a low-grade inflammatory state and ectopic fat
depositions. The Mediterranean Diet represents a possible therapy for metabolic
syndrome, preventing adiposopathy or "sick fat" formation.The Mediterranean Diet
exerts protective effects in elderly subjects with and without baseline of
chronic diseases. Recent studies have demonstrated a relationship between cancer
and obesity. In the US, diet represents amount 30-35% of death causes related to
cancer. Currently, the cancer is the second cause of death after cardiovascular
diseases worldwide. Furthermore, populations living in the Mediterranean area
have a decreased incidence of cancer compared with populations living in Northern
Europe or the US, likely due to healthier dietary habits. The bioactive food
components have a potential preventive action on cancer. The aims of this review
are to evaluate the impact of Mediterranean Diet on onset, progression and
regression of metabolic syndrome, cancer and on longevity.
PMID- 27894105
TI - Histone deacetylase inhibitors provoke a tumor supportive phenotype in pancreatic
cancer associated fibroblasts.
AB - Although histone deacetylase inhibitors (HDACi) are a promising class of anti
cancer drugs, thus far, they have been unsuccessful in early phase clinical
trials for pancreatic ductal adenocarcinoma (PDAC). One potential reason for
their poor efficacy is the tumor stroma, where cancer-associated fibroblasts
(CAFs) are a prominent cell type and a source of resistance to cancer therapies.
Here, we demonstrate that stromal fibroblasts contribute to the poor efficacy of
HDACi's in PDAC. HDACi-treated fibroblasts show increased biological
aggressiveness and are characterized by increased secretion of pro-inflammatory
tumor-supportive cytokines and chemokines. We find that HDAC2 binds to the
enhancer and promoter regions of pro-inflammatory genes specifically in CAFs and
in silico analysis identified AP-1 to be the most frequently associated
transcription factor bound in these regions. Pharmacologic inhibition of pathways
upstream of AP-1 suppresses the HDACi-induced inflammatory gene expression and
tumor-supportive responses in fibroblasts. Our findings demonstrate that the
combination of HDACi's with chemical inhibitors of the AP-1 signaling pathway
attenuate the inflammatory phenotype of fibroblasts and may improve the efficacy
of HDACi in PDAC and, potentially, in other solid tumors rich in stroma.
PMID- 27894107
TI - Effect of Gonadal Hormones on Neurotransmitters Implicated in the Pathophysiology
of Obsessive-Compulsive Disorder: A Critical Review.
AB - Obsessive-compulsive disorder (OCD) is a relatively common neuropsychiatric
disorder affecting between 1.6 and 3.2% of the population. A number of studies
have previously reported increased incidence of OCD, or exacerbation of
preexisting symptoms in females during reproductive events. Since these periods
are known to involve fluctuating levels of gonadal hormones, these steroids have
been suggested to be involved in modulating the course of the disorder. However,
to date, only a few studies have measured hormone levels and obsessive-compulsive
(OC) symptoms concurrently; thus, direct evidence for this relationship is
limited. In turn, investigations into neurotransmission in OC individuals have
been more extensive, and have implicated the serotonergic, dopaminergic, and
glutamatergic neurotransmitter systems in OCD pathology. There is evidence
suggesting that reproductive hormones estrogens and progesterone can modulate
neurotransmission in the aforementioned signaling pathways by regulating the
expression of receptors and channels, as well as the synthesis and release of the
neurotransmitter itself. Overall, estrogen and progesterone appear to enhance
serotonin signaling, which has been associated with improved OC symptoms. The
effect of the gonadal hormones in dopaminergic and glutamatergic signaling is
much more variable, highlighting the need for further research in this field. The
existing evidence shows that gonadal hormones can have profound impacts on
neurotransmission in the brain, leading to the conclusion that the hormonal
fluctuations during reproductive events are a plausible factor contributing to
the change in OCD course during these times.
PMID- 27894106
TI - Prader-Willi Syndrome due to an Unbalanced de novo Translocation
t(15;19)(q12;p13.3).
AB - Prader-Willi syndrome (PWS) is a complex, multisystem genetic disorder
characterized by endocrine, neurologic, and behavioral abnormalities. We report
the first case of an unbalanced de novo reciprocal translocation of chromosomes
15 and 19, 45,XY,-15,der(19)t(15;19)(q12;p13.3), resulting in monosomy for the
PWS critical chromosome region. Our patient had several typical features of PWS
including infantile hypotonia, a poor suck and feeding difficulties, tantrums,
skin picking, compulsions, small hands and feet, and food seeking, but not
hypopigmentation, a micropenis, cryptorchidism or obesity as common findings seen
in PWS at the time of examination at 6 years of age. He had seizures noted from 1
to 3 years of age and marked cognitive delay. High-resolution SNP microarray
analysis identified an atypical PWS type I deletion in chromosome 15 involving
the proximal breakpoint BP1. The deletion extended beyond the GABRB3 gene but was
proximal to the usual distal breakpoint (BP3) within the 15q11q13 region, and
GABRA5, GABRG3, and OCA2 genes were intact. No deletion of band 19p13.3 was
detected; therefore, the patient was not at an increased risk of tumors from the
Peutz-Jeghers syndrome associated with a deletion of the STK11 gene.
PMID- 27894108
TI - Nutrition Status, Nutrition Support Therapy, and Food Intake are Related to
Prolonged Hospital Stays in China: Results from the NutritionDay 2015 Survey.
AB - BACKGROUND AND AIMS: Malnutrition is a common and critical problem that greatly
influences the clinical outcomes of hospitalized patients. Nutrition support
therapy and food intake, in addition to disease-related factors, are also
important to maintain the nutrition status of patients. In light of this, we
aimed to examine the risk factors associated with malnutrition in 3 hospitals in
China. METHODS: This project was part of the NutritionDay audit, an international
daylong cross-sectional audit investigating the nutritional intervention profiles
of hospitalized patients. Seven standardized questionnaires were used, and
malnutrition was defined as a body mass index <18.5 kg/m2 or unintentional weight
loss >5% in last 3 months. RESULTS: A total of 842 hospitalized patients from 9
units in 3 Chinese hospitals participated in the project on November 19, 2015.
Among them, 825 were included in the analyses. Malnutrition was identified in
29.3% of the patients and oral nutrition was the primary nutrition support
therapy administered (n = 623, 75.6%). Age, nutrition support, and food intake
during the past week were independent risk factors for malnutrition. Furthermore,
nutrition status, nutrition support therapy, and food intake during the past week
were associated with prolonged length of stay. CONCLUSIONS: The prevalence of
malnutrition in Chinese hospitals was similar to that in European hospitals.
Nutrition status was associated with age, nutrition support, and food intake,
which was closely related to patients' clinical outcome, such as prolonged
hospital stays as confirmed in this study. More studies are needed to determine
why nutrition intake is often inadequate and to determine efficient methods for
correcting the nutrition status of patients.
PMID- 27894109
TI - Ozone Therapy and Its Use in Medicine: Further Comments.
PMID- 27894111
TI - Distribution of the Grey Slender Loris (Loris lyddekerianus Cabrera, 1908) in
Tamil Nadu, Southern India.
AB - The grey slender loris Loris lydekkerianus, one of only two nocturnal primates of
India, is found in the southern part of the country. Our understanding of its
geographical distribution is largely based on historical records and short
surveys, and little is known of its occurrence in southern India today. We sought
to establish the relative abundance of this species in 26 districts in the state
of Tamil Nadu and the union territory of Pondicherry in southern India. We
sighted lorises in 19 districts, and their relative abundance ranged from 0.01 to
2.21/km. The south-central districts of Tamil Nadu showed the highest densities
of lorises, while the western districts showed the lowest. Based on these
results, we recommend increased protection measures for the forest patches of the
Eastern Ghats mountains in order to ensure the long-term survival of the grey
slender loris.
PMID- 27894110
TI - Significant Role(s) of CXCL12 and the SDF-1 3'A Genetic Variant in the
Pathogenesis of Multiple Sclerosis.
AB - Both cellular and molecular components of the immune system are among the
substantial factors involved in the pathogenesis of multiple sclerosis (MS).
Accumulating evidence confirms that chemokines, as the main members of the immune
system, play key roles in the regulation of immune responses. Immune system
genetic parameters are believed to influence the onset of immune system-related
diseases. Regarding the significant role of the CXCR4/CXCL12 axis in cell
differentiation and survival and homing of hematopoietic progenitors to the bone
marrow and regulation of neuronal progenitor cell migration in the central
nervous system (CNS), genetic factors can cause an increased expression of CXCL12
and induce a vigorous immune response against CNS antigens in MS patients.
Previous studies have indicated that the expression of CXCL12 could be affected
by its polymorphisms at position +801 at the region of the CXCL12 3'A genetic
variation. Finally, CXCL12 seems to be involved in the cellular part of the
events that take place in the CNS, and therefore it could be considered as a
target in MS therapies. Thus, this review was aimed to describe the recent
progress in understanding the role of CXCL12 in MS, with an emphasis on CXCL12
serum concentrations and its gene polymorphism at position +801.
PMID- 27894112
TI - Association of MBL2 Gene Polymorphism with Dental Caries in Saudi Children.
AB - The high prevalence of dental caries in children worldwide is a major oral health
problem which requires early intervention. Dental caries is mainly caused by the
action of acids produced by bacteria in addition to many other factors. Recent
genetic studies have reported that a number of genes are associated with the
susceptibility to dental caries. The majority of these genes are associated with
inflammation, increased susceptibility to infection, and dentine matrix
formation. Using the TaqMan assay and direct DNA sequencing, the prevalence of 6
single-nucleotide polymorphisms (SNPs) in MMP9, MBL2, MMP2, and TIMP2 genes was
determined in 102 children with caries and in 100 age-matched caries-free
controls. Out of the 6 SNPs tested in the 4 selected genes, only rs11003125 in
the MBL2 gene was shown to be associated with a high prevalence of caries in our
cohort. In addition, haplotype analysis of the 6 SNPs tested revealed that
certain haplotypes, namely GT of rs11003125G and rs7501477T and GT of rs7096206G
and rs7501477T, were found to be associated with a high prevalence of dental
caries in our cohort, while haplotype AG of rs17576A and rs7501477G was found to
have a protective effect against dental caries. In conclusion, the data indicate
that rs11003125 in the MBL2 gene was shown to be associated with a high
prevalence of caries in our cohort, and 2 haplotypes are also involved in the
increased susceptibility to dental caries.
PMID- 27894113
TI - The Evolving Role of Biomarkers in Personalized Lung Cancer Therapy.
PMID- 27894115
TI - Complement Activation-Related Pseudo-Allergy: A Fresh Look at Hypersensitivity
Reactions to Intravenous Iron.
PMID- 27894114
TI - Hydroxysafflor Yellow A Promotes Angiogenesis via the Angiopoietin 1/ Tie-2
Signaling Pathway.
AB - BACKGROUND: The flowers of Carthamus tinctorius L. are widely used in traditional
Chinese medicine to treat cerebrovascular and cardiovascular diseases.
Hydroxysafflor yellow A (HSYA), the main constituent of C. tinctorius L. flowers,
is known for its multiple biological activities. The present study investigated
the effects of HSYA on angiogenesis in vitro and in a mouse hindlimb ischemia
model. METHODS: Using human umbilical vein endothelial cells (HUVEC) in vitro and
a mouse hindlimb ischemia model in vivo, the angiogenic role of HSYA was
evaluated. RESULTS: HSYA significantly increased the capillary-like tube
formation and migration of HUVEC. HSYA not only induced a rise in the expression
of angiopoietin 1 and Tie-2 but it also increased phosphorylation of Tie-2, Akt,
and extracellular signal-regulated kinase 1/2. Furthermore, an anti-Tie-2
neutralizing antibody significantly inhibited HSYA-induced HUVEC tube formation
and migration. In vivo, the recovery of perfusion of ischemic hindlimb tissue
after femoral artery interruption was significantly increased in HSYA-treated
mice compared to vehicle controls. Consistent with these results, the arteriole
and capillary densities in ischemic gastrocnemius muscles were significantly
increased in HSYA-treated mice. CONCLUSIONS: These results indicate the potential
utility of HSYA for the treatment of ischemic diseases.
PMID- 27894116
TI - Intrarenal Vascular Resistance is Associated With a Prothrombotic State in
Hypertensive Patients.
AB - BACKGROUND/AIMS: Hypertensive nephroangiosclerosis is associated with progressive
increase of intrarenal vascular resistance. In addition to blood pressure, other
factors can contribute to hypertensive renal damage including a prothrombotic
state. We investigated the relationship between hemostatic markers and intrarenal
vascular resistance in hypertension. METHODS: In 115 untreated, nondiabetic,
hypertensive subjects free of cardiovascular complications and advanced renal
function impairment, we measured 24-hour creatinine clearance (GFR) and urinary
albumin excretion (UAE), fasting plasma glucose, HOMA-index, and plasma levels of
fibrinogen, D-dimer, prothrombin fragment 1+2, plasminogen activator inhibitor-1,
homocysteine, and lipoprotein(a). In all patients, measurement of intrarenal
resistance was obtained by renal Doppler ultrasound with calculation of the renal
resistance index (RI). RESULTS: Patients in the highest tertile of RI were older
and had greater body mass index, pulse pressure, fibrinogen, and D-dimer levels
and lower GFR than patients in the lowest RI tertile. RI was directly correlated
with age, pulse pressure, HOMA-index, UAE, D-dimer, and inversely with GFR. On
multivariate analysis, RRI was independently associated with age, GFR, and plasma
D-dimer. CONCLUSIONS: A prothrombotic state is associated with increased
intrarenal vascular resistance in nondiabetic hypertensive patients and might
contribute to the early stages of hypertensive renal disease.
PMID- 27894117
TI - t(1;9)(p34;q34)/SFPQ-ABL1 Fusion in a Patient with Ph-Like Common B-Cell Acute
Lymphoblastic Leukemia.
PMID- 27894118
TI - Nutritional Status and Changes in Body Weight in Patients with Multiple System
Atrophy.
AB - INTRODUCTION: The importance of nutritional management in neurodegenerative
diseases is increasingly recognized in the clinical setting. However, few reports
have examined the nutritional intake in patients with multiple system atrophy
(MSA). Here, we investigated changes in daily caloric intake, body mass index
(BMI) and nutritional status during the disease course of MSA. METHODS: We
performed a single-hospital study of 82 consecutive patients with probable MSA
according to the consensus criteria. We determined daily caloric intake, the
level of activities of daily living (ADL; independent, wheelchair-bound or
bedridden) and BMI at the time of admission. Nutritional status was also
evaluated using biochemical nutritional markers including serum albumin, total
cholesterol and lymphocyte count. RESULTS: Although daily caloric intake
decreased with ADL level deterioration (p < 0.01), no significant differences
were observed in BMI among ADL levels. Serum albumin also decreased with ADL
deterioration (p < 0.01); however, no significant differences were observed for
serum total cholesterol or lymphocyte count with respect to ADL level.
CONCLUSION: We demonstrated that patients with advanced stage MSA may develop
malnutrition in the absence of a decrease in BMI. Moreover, serum albumin level
may be useful for evaluating nutritional changes in MSA patients.
PMID- 27894119
TI - Trajectories and Predictors of Allograft Dysfunction after Renal Transplantation
in Children.
AB - BACKGROUND: The survival rates of renal transplant children are indeed on the
rise, but it is still important to ensure that there is optimal renal function in
these children in all their future growing years. The number of functioning
nephrons and the graft ability to adapt to an increasing demand during body
growth seem to be the most important factors for long-term allograft function.
This study examined the long-term change in the glomerular filtration rate in a
pediatric kidney transplant cohort and the importance of the recipient and donor
ages in predicting transplant outcome. METHODS: Data on 67 renal transplant
children who underwent 278 inulin-clearance measurements between 2000 and 2010
were examined. A longitudinal latent class model was used to identify renal
function trajectories and classify the children. RESULTS: This model identified 3
trajectories of renal allograft function after pediatric kidney transplantation:
'low and decreasing', 'moderate and stable', and 'high and sharply decreasing'.
The probability of belonging to the low and decreasing trajectory - that is, the
poorer outcome - was lower in recipients of grafts from living versus deceased
donor (adjusted OR (aOR) 0.02; p = 0.03). This probability increased with
recipient age (aOR 1.20 per year of recipient ageing; p = 0.07) and donor
recipient age-difference (aOR 1.13 per additional year; p = 0.07). CONCLUSION:
This study suggests that donation from living donors and from younger donors are
favorable factors for long-term allograft function.
PMID- 27894120
TI - End-of-Life Care and Survival without Major Brain Damage in Newborns at the Limit
of Viability.
AB - BACKGROUND: The probabilities of survival and survival without major brain damage
(MBD) are low in newborns at the limit of viability. Survival without MBD
constitutes a major concern for parents and professionals. OBJECTIVES: To know
the probabilities of survival without MBD in newborns <=26 weeks' gestational age
(GA) relative to the total number of survivors, whether these probabilities vary
with GA, and how end-of-life (EoL) decisions influence these results. METHODS: We
included all live-inborn patients of 22-26 weeks' GA, without major congenital
anomalies, born in collaborating centers of the Spanish SEN1500 Network (2004
2010). MBD was defined as the presence of severe intraventricular hemorrhage
and/or periventricular leukomalacia. RESULTS: A total of 3,371 patients were born
alive, 3,236 of whom were admitted to the neonatal intensive care unit (NICU).
Survival without MBD was 44.4% among patients admitted to the NICU, increasing
from 12.5% at 22 weeks to 57.9% at 26 weeks' GA. The proportion of survivors
without MBD relative to the total number of survivors was 81.1%, and it was
independent of GA. EoL decisions preceded one-third of all deaths and were more
frequent among the most immature patients. CONCLUSIONS: The proportion of
survivors without MBD, when referred to the total number of survivors, is
relatively high and is independent of GA. EoL decisions after the occurrence of
MBD seem to play an important role in this respect. These results support the
attitude of "giving an opportunity" even to the most immature patients, if this
is in accordance with the parents' wishes.
PMID- 27894121
TI - Acute Disseminated Encephalomyelitis Following Zika Virus Infection.
PMID- 27894122
TI - B Cell Lymphoma-2-Modified Bone Marrow-Derived Mesenchymal Stem Cells
Transplantation for the Treatment of Diabetes Mellitus-Induced Erectile
Dysfunction in a Rat Model.
AB - OBJECTIVE: The study aimed to explore the effects of B cell lymphoma-2 (Bcl-2)
modified bone marrow-derived mesenchymal stem cells (BMSCs) transplantation for
the treatment of diabetes mellitus-induced erectile dysfunction (DMED) in a rat
model. METHODS: The DMED rat model was successfully established. Thirty-six DMED
rats were assigned into the Bcl-2-BMSCs, null-BMSCs, BMSCs and phosphate buffered
saline (PBS) groups. Meanwhile, 9 normal rats injected with PBS were taken as the
normal control group. RESULTS: In the Bcl-2-BMSCs group, the average times of
erection, rate of erection, peak intra-cavernous pressure (ICP) and peak ICP/mean
arterial pressure were higher than those in the null-BMSCs, BMSCs and PBS groups,
but were lower than those in the normal control group. In the Bcl-2-BMSCs group,
capillary vessels and Bcl-2 mRNA and protein expressions were similar to those in
the normal control group, while they were higher than those in other groups.
CONCLUSION: These findings indicate that Bcl-2-modified BMSC transplantation
could improve erectile function in DMED rats.
PMID- 27894123
TI - Safeguarding pollinators and their values to human well-being.
AB - Wild and managed pollinators provide a wide range of benefits to society in terms
of contributions to food security, farmer and beekeeper livelihoods, social and
cultural values, as well as the maintenance of wider biodiversity and ecosystem
stability. Pollinators face numerous threats, including changes in land-use and
management intensity, climate change, pesticides and genetically modified crops,
pollinator management and pathogens, and invasive alien species. There are well
documented declines in some wild and managed pollinators in several regions of
the world. However, many effective policy and management responses can be
implemented to safeguard pollinators and sustain pollination services.
PMID- 27894124
TI - Extensive degeneracy, Coulomb phase and magnetic monopoles in artificial square
ice.
AB - Artificial spin-ice systems are lithographically patterned arrangements of
interacting magnetic nanostructures that were introduced as way of investigating
the effects of geometric frustration in a controlled manner. This approach has
enabled unconventional states of matter to be visualized directly in real space,
and has triggered research at the frontier between nanomagnetism, statistical
thermodynamics and condensed matter physics. Despite efforts to create an
artificial realization of the square-ice model-a two-dimensional geometrically
frustrated spin-ice system defined on a square lattice-no simple geometry based
on arrays of nanomagnets has successfully captured the macroscopically degenerate
ground-state manifold of the model. Instead, square lattices of nanomagnets are
characterized by a magnetically ordered ground state that consists of local loop
configurations with alternating chirality. Here we show that all of the
characteristics of the square-ice model are observed in an artificial square-ice
system that consists of two sublattices of nanomagnets that are vertically
separated by a small distance. The spin configurations we image after
demagnetizing our arrays reveal unambiguous signatures of a Coulomb phase and
algebraic spin-spin correlations, which are characterized by the presence of
'pinch' points in the associated magnetic structure factor. Local excitations-the
classical analogues of magnetic monopoles-are free to evolve in an extensively
degenerate, divergence-free vacuum. We thus provide a protocol that could be used
to investigate collective magnetic phenomena, including Coulomb phases and the
physics of ice-like materials.
PMID- 27894126
TI - Brain-Type Natriuretic Peptide and Amino-Terminal Pro-Brain-Type Natriuretic
Peptide Discharge Thresholds for Acute Decompensated Heart Failure: A Systematic
Review.
AB - Background: Acute decompensated heart failure (ADHF) requiring hospitalization is
associated with high postdischarge mortality and readmission rates. Purpose: To
examine the association between achieving predischarge natriuretic peptide (NP)
thresholds and mortality and readmission rates in adults hospitalized for ADHF.
Data Sources: Multiple databases from 1947 to October 2016 (English-language
studies only). Study Selection: Trials and observational studies that compared
mortality and readmission outcomes between patients with ADHF achieving a
specific predischarge NP goal and those not achieving the goal. Data Extraction:
Two investigators independently extracted study characteristics and assessed
study risk of bias. One author graded the overall strength of evidence, with
review by a second author. Data Synthesis: One randomized trial, 3 quasi
experimental studies, and 40 observational studies were identified. The most
commonly used thresholds were a brain-type NP (BNP) level of 250 pg/mL or less or
an amino-terminal pro-brain-type NP (NT-proBNP) decrease of at least 30%.
Achievement of absolute BNP thresholds reduced postdischarge all-cause mortality
(7 of 8 studies) and the composite outcome of mortality and readmission (12 of 14
studies). Achievement of percentage-change BNP thresholds reduced the composite
outcome (5 of 6 studies), and achievement of percentage-change NT-proBNP
thresholds reduced all-cause and cardiovascular mortality (2 of 4 studies) and
the composite outcome (9 of 9 studies). All findings were low-strength. The
randomized trial, assessed as having high risk of bias, suggested that a
predischarge decrease in NT-proBNP level was associated with lower risk for the
composite outcome. Two quasi-experimental studies and 5 observational studies had
low risk of bias. Low-risk-of-bias studies had outcome estimates similar in
magnitude and direction to estimates from high-risk-of-bias studies. Limitation:
Most studies failed to adjust for critical confounders and had inadequate
definition or assessment of exposures and outcomes. Conclusion: Low-strength
evidence suggests an association between achieving NP predischarge thresholds and
reduced ADHF mortality and readmission. Primary Funding Source: None.
PMID- 27894127
TI - Enhancing the Role of Internists in the Transition From Pediatric to Adult Health
Care.
PMID- 27894125
TI - Intronic polyadenylation of PDGFRalpha in resident stem cells attenuates muscle
fibrosis.
AB - Platelet-derived growth factor receptor alpha (PDGFRalpha) exhibits divergent
effects in skeletal muscle. At physiological levels, signalling through this
receptor promotes muscle development in growing embryos and angiogenesis in
regenerating adult muscle. However, both increased PDGF ligand abundance and
enhanced PDGFRalpha pathway activity cause pathological fibrosis. This excessive
collagen deposition, which is seen in aged and diseased muscle, interferes with
muscle function and limits the effectiveness of gene- and cell-based therapies
for muscle disorders. Although compelling evidence exists for the role of
PDGFRalpha in fibrosis, little is known about the cells through which this
pathway acts. Here we show in mice that PDGFRalpha signalling regulates a
population of muscle-resident fibro/adipogenic progenitors (FAPs) that play a
supportive role in muscle regeneration but may also cause fibrosis when
aberrantly regulated. We found that FAPs produce multiple transcriptional
variants of Pdgfra with different polyadenylation sites, including an intronic
variant that codes for a protein isoform containing a truncated kinase domain.
This variant, upregulated during regeneration, acts as a decoy to inhibit PDGF
signalling and to prevent FAP over-activation. Moreover, increasing the
expression of this isoform limits fibrosis in vivo in mice, suggesting both
biological relevance and therapeutic potential of modulating polyadenylation
patterns in stem-cell populations.
PMID- 27894128
TI - Inpatient Management of Heart Failure: Are We Shooting at the Right Target?
PMID- 27894129
TI - Isolated Fetal Ascites, Neonatal Outcome in 51 Cases Observed in a Tertiary
Referral Center.
AB - Introduction Prenatal detection of isolated ascites is a rare finding on
ultrasound, usually suggestive of an underlying pathology that may negatively
impact on the pregnancy and neonatal outcome. The purpose of the present study
was to evaluate the outcome of primary isolated ascites in relation to
gestational age (GA) at diagnosis. Materials and Methods Data were prospectively
collected for fetuses with ascites that have been followed in our center of
prenatal diagnosis and therapy from 2004 to 2014. Patients have been divided in
group I when ascites was detected before the 24th week of GA and group II if it
was noticed later. Prenatal workup included detailed ultrasound, maternal blood
group and presence of antibodies, maternal infection screening, fetal
karyotyping, and if needed fetal paracentesis. Postnatal data included GA at
birth, mode of delivery, weight at birth, neonatal and surgical outcome. Results
During the study period, 51 fetuses were included. Among them, 28 in group I and
23 in group II. An associated anomaly was prenatally identified in 84% of the
fetuses. Prenatal demise occurred only in patients belonging to group I for an
overall incidence of 10%. An associated disease was confirmed after birth in 61%
of cases in group I and in 74% in group II (p = ns). There was a higher incidence
of gastrointestinal pathology in group II than in group I (47 vs. 10%, p =
0.004); with a significant prevalence of meconium peritonitis (32 vs. 4%, p =
0.016). Nine patients (17.6%) died after birth, all in group I, because of major
systemic malformations. Overall, the postnatal outcome was good in 63% (n = 32)
of the cases, and more than half of them belonged to group II (p = 0.003).
Conclusion A wide range of etiologies have been found to be associated with
isolated fetal ascites. A systematic diagnostic workup and multidisciplinary
prenatal counseling can improve the accuracy of prenatal identification of
associated pathologies. The early detection of fetal ascites, before the 24th
week of GA, is associated with a significant risk of perinatal death; otherwise,
a late diagnosis of fetal ascites is associated with an increased risk of
gastrointestinal diseases, especially with meconium peritonitis.
PMID- 27894130
TI - Erratum to: Maternal Mortality in Texas.
PMID- 27894131
TI - Antioxidant Enzyme Activity and Cognition in Obese Individuals with or without
Metabolic Risk Factors.
PMID- 27894132
TI - Video Comment on Pioche et al.
PMID- 27894133
TI - Video Comment on Mori et al.
PMID- 27894134
TI - Peroral endoscopic myotomy: ready for prime time in all achalasia patients?
PMID- 27894135
TI - Achieving the potential of colonoscopy screening.
PMID- 27894136
TI - Trends in quality of screening colonoscopy in Austria.
PMID- 27894139
TI - French comment on article: Randomized comparative evaluation of endoscopic
submucosal dissection self-learning software in France and Japan.
PMID- 27894140
TI - French comment on article: Peroral endoscopic myotomy: a meta-analysis.
PMID- 27894141
TI - French comment on article: Comparison of different biopsy forceps models for
tissue sampling in eosinophilic esophagitis.
PMID- 27894142
TI - French comment on article: A novel hemostatic powder for upper gastrointestinal
bleeding: a multicenter study (the "GRAPHE" registry).
PMID- 27894143
TI - French comment on article: Radiofrequency ablation for the management of occluded
biliary metal stents.
PMID- 27894144
TI - French comment on article: Trends in quality of screening colonoscopy in Austria.
PMID- 27894145
TI - French comment on article: Impact of an automated system for endocytoscopic
diagnosis of small colorectal lesions: an international web-based study.
PMID- 27894146
TI - Variables Associated with Chondral and Meniscal Injuries in Anterior Cruciate
Ligament Surgery.
AB - This article aims to evaluate factors associated with chondral and meniscal
lesions in primary and revision anterior cruciate ligament (ACL) reconstructions.
ACL reconstructions from 2001 to 2008 at a single institution were
retrospectively analyzed. Logistic regression was used to estimate the
association between chondral and meniscal injuries and age, gender, tear
chronicity, additional ligamentous injuries, sport type, and participation level.
Of the 3,040 ACL reconstructions analyzed, 90.4% were primary reconstructions and
9.6% were revisions. Meniscal injuries were significantly lower in the revision
group (44.0 vs. 51.9%; p = 0.01), while chondral injuries were significantly
higher in the revision group (39.9 vs. 24.0%; p < 0.0001). Inspection of the
small subgroup (n = 85) receiving both primary and revision ACL surgery at our
center indicated that meniscal injuries at revision were evenly split between
menisci with and without previous repairs, whereas the vast majority of Grade III
and IV chondral lesions were new. More patients presented for surgery later in
the revision group than in the primary group (56.5 vs. 35.3%; p < 0.0001). Male
gender, primary reconstruction, and short interval (less than 2 weeks) between
injury and surgery were associated with increased likelihood of meniscus tear.
Age (greater than 22 years) and long interval (greater than 6 weeks) between
injury to surgery and higher sport activity level were associated with chondral
lesions. Revision ACL reconstructions are associated with a higher proportion of
chondral lesions and a lower proportion of meniscal tears. Early primary and
revision ACL construction is recommended to reduce the probability of chondral
lesions.
PMID- 27894147
TI - Reliability, Concurrent Validity, and Minimal Detectable Change for iPhone
Goniometer App in Assessing Knee Range of Motion.
AB - Much of the published works assessing the reliability of smartphone goniometer
apps (SG) have poor generalizability since the reliability was assessed in
healthy subjects. No research has established the values for standard error of
measurement (SEM) or minimal detectable change (MDC) which have greater clinical
utility to contextualize the range of motion (ROM) assessed using the SG. This
research examined the test-retest reproducibility, concurrent validity, SEM, and
MDC values for the iPhone goniometer app (i-Goni; June Software Inc., v.1.1, San
Francisco, CA) in assessing knee ROM in patients with knee osteoarthritis or
those after total knee replacement. A total of 60 participants underwent data
collection which included the assessment of active knee ROM using the i-Goni and
the universal goniometer (UG; EZ Read Jamar Goniometer, Patterson Medical,
Warrenville, IL), knee muscle strength, and assessment of pain and lower
extremity disability using quadruple numeric pain rating scale (Q-NPRS) and lower
extremity functional scale (LEFS), respectively. Intraclass correlation
coefficients (ICCs) were calculated to assess the reproducibility of the knee ROM
assessed using the i-Goni and UG. Bland and Altman technique examined the
agreement between these knee ROM. The SEM and MDC values were calculated for i
Goni assessed knee ROM to characterize the error in a single score and the index
of true change, respectively. Pearson correlation coefficient examined concurrent
relationships between the i-Goni and other measures. The ICC values for the knee
flexion/extension ROM were superior for i-Goni (0.97/0.94) compared with the UG
(0.95/0.87). The SEM values were smaller for i-Goni assessed knee
flexion/extension (2.72/1.18 degrees) compared with UG assessed knee
flexion/extension (3.41/1.62 degrees). Similarly, the MDC values were smaller for
both these ROM for the i-Goni (6.3 and 2.72 degrees) suggesting smaller change
required to infer true change in knee ROM. The i-Goni assessed knee ROM showed
expected concurrent relationships with UG, knee muscle strength, Q-NPRS, and the
LEFS. In conclusion, the i-Goni demonstrated superior reproducibility with
smaller measurement error compared with UG in assessing knee ROM in the recruited
cohort. Future research can expand the inquiry for assessing the reliability of
the i-Goni to other joints.
PMID- 27894148
TI - Catastrophic Failure of Regenerex Tibial Components: A Case Series.
AB - The aim of this study was to analyze short-term metal failures in well-placed
Signature-guided Regenerex (Zimmer Biomet, Warsaw, IN) tibial components in a
limited patient series. A retrospective, consecutive, nonrandomized, unblinded
study from a limited cohort of young active osteoarthritis patients was
conducted. All cases received a Regenerex tibial component which we evaluated for
metal failure. Patients met the then current indications from the Center for
Medicare and Medicaid Services Guidelines for knee replacement. Age, body mass
index (BMI),and gender were compared between Regenerex and concurrent non
Regenerex knee arthroplasties. We identified a 4.7% (2 of 43) fracture rate in
our Regenerex tibia replacements. Fractures occurred after 17 and 37 months, with
a mean follow-up of 65 months (44-77). No statistical difference was seen in age
or BMI between the fracture and nonfracture groups. Regenerex compared with
standard arthroplasty patients were younger and predominantly male. Our inability
to identify our fractures in the Food and Drug Administration (FDA) reporting
site is concerning, suggesting additional cases may be unreported. A short-term
Regenerex tibial fracture rate of 4.7% is unacceptably high. Inability to
identify our fractures on the FDA Web site is a system failure, suggesting
additional component fractures may be unreported.
PMID- 27894149
TI - 2,3,5,4'-Tetrahydroxystilbene-2-O-beta-D-Glucoside Attenuates
Ischemia/Reperfusion-Induced Brain Injury in Rats by Promoting Angiogenesis.
AB - Cerebral ischemia can cause brain infarcts, which are difficult to recover due to
poor angiogenesis. 2,3,5,4'-Tetrahydroxystilbene-2-O-beta-D-glucoside is a
natural polyphenol, has antioxidant and anti-inflammatory activity, and can
protect from ischemic neuronal injury. However, little is known about the effect
of 2,3,5,4'-tetrahydroxystilbene-2-O-beta-D-glucoside on brain microcirculation
after stroke. This study aimed at investigating the influence of 2,3,5,4'
tetrahydroxystilbene-2-O-beta-D-glucoside on brain lesions and angiogenesis after
stroke. Sprague-Dawley rats were subjected to right middle cerebral artery
occlusion and treated with vehicle, nimodipine, or different doses of 2,3,5,4'
tetrahydroxystilbene-2-O-beta-D-glucoside daily beginning at 6 h post-middle
cerebral artery occlusion for 14 days. The volume of cerebral infarcts, degree of
neurological dysfunction, and level of microvessel density were determined
longitudinally. The levels of vascular endothelial growth factor, angiopoietin 1,
and angiopoietin receptor-2 expression in the brain lesions were characterized by
immunohistochemistry and Western blot assays at 14 days post-middle cerebral
artery occlusion. We found that 2,3,5,4'-tetrahydroxystilbene-2-O-beta-D
glucoside significantly promoted postoperative recovery in rats by minimizing the
volume of cerebral infarcts and improving neurological dysfunction in a dose- and
time-dependent manner. Additionally, 2,3,5,4'-tetrahydroxystilbene-2-O-beta-D
glucoside significantly increased the microvessel density in the brain and
upregulated CD31 expression in ischemic penumbra, relative to that in the
control. Finally, treatment with 2,3,5,4'-tetrahydroxystilbene-2-O-beta-D
glucoside significantly upregulated the relative levels of vascular endothelial
growth factor, angiopoietin 1, and angiopoietin receptor-2 expression in the
brain lesions of rats. Therefore, these data indicated that 2,3,5,4'
tetrahydroxystilbene-2-O-beta-D-glucoside treatment promoted angiogenesis and
recovery from ischemia/reperfusion-induced brain injury in rats.
PMID- 27894150
TI - Spatial Approach of Perinatal Mortality in Sao Paulo State, 2003-2012.
AB - Objectives To identifying spatial patterns in the distribution of perinatal
mortality in the state of Sao Paulo from 2003 to 2012. Methods An ecological and
exploratory study with data on perinatal mortality rates of every thousand live
births, which were registered on the digital database containing 645
municipalities in the state of Sao Paulo within the periods of 2003 to 2007 and
2008 to 2012. The spatial analysis provided Moran's index (MI) and thematic maps
of rates, and the Moran maps of both periods were drawn. The average rates were
compared by Student's t test. The TerraView 4.2.2 software (INPE, S. Jose dos
Campos, Brazil) was also used. Results There were 49,485 perinatal deaths during
the first period, at a rate of 17.90 deaths/1,000 live births (standard deviation
[SD] = 7.0; MI = 0.14; p = 0.01), and 44,582 perinatal deaths during the second
period, at a rate of 16.40 deaths/1,000 live births (SD = 11.14; MI = 0.04; p =
0.03). These rates are statistically different (p < 0.01). There was a decrease
in these rates in 413 municipalities when comparing the two periods. The Moran
map has identified 35 municipalities that require special attention, which are
located in the Eastern, Southwestern, Western and Northwestern regions of Sao
Paulo state. Conclusion The study provides municipal managers with subsidies so
they can minimize these rates by implementing public policies and taking better
care of pregnant women and newborns.
PMID- 27894151
TI - Value of the Ultrasound in the Study of Ovarian Reserve for Prediction of Oocyte
Recovery.
AB - Purpose To identify which methods used in the assessment of the ovarian reserve
are exclusive or complementary to identify the best response to follicle
development. Methods Retrospective cohort study, involving patients undergoing
assisted reproduction treatment at the Instituto de Medicina Reprodutiva e Fetal,
from April 2009 to July 2014. Age, biochemical tests, and ultrasound were
assessed. The data were analyzed to predict the follicular development and the
relation between them, using, for statistical analysis, Statistical Package for
Social Sciences software. Results Out of the 293 couples included, 50.2%
presented infertility by ovarian factor. Considering the age as the main
variable, a significant negative correlation with the volume of both ovaries was
observed (right ovary, r = 0.21; left ovary, r = -0.22; both p < 0.0001), and
with the antral follicle count (right ovary, r = -0.38; left ovary, r = -0.47;
both p < 0.0001). Considering the antral follicle count as the main variable, a
significant positive correlation with the total recruited oocytes was observed.
When we correlated the antral follicle count with the recruited follicles larger
than 18 mm, we observed that, with a cutoff of 12 antral follicles, there is a
positive predictive value of 99%, and an area under the ROC curve of 0.76.
Conclusion We concluded from our study that age and antral follicle count are
effective predictors of ovarian response in cycles of assisted reproduction. The
ovarian volume, as well as the anti-Mullerian hormone dosage, seem to be adequate
markers of the ovarian reserve.
PMID- 27894153
TI - Painless Indocyanine Green Lymphography.
PMID- 27894152
TI - Surgical Decompression in the Treatment of Diabetic Peripheral Neuropathy: A
Systematic Review and Meta-analysis.
AB - Background Over the last decade, surgical decompression procedures have been
commonly used in the treatment of diabetic peripheral neuropathy. However, the
effectiveness of them remains to be proved. Methods A comprehensive literature
search of databases including PubMed-Medline, Ovid-EMBASE, and Cochrane Library
was performed to collect the related literatures. The Medical Subject Headings
used were "diabetic neuropathy," "surgical decompression," and "outcomes." The
methodological index for nonrandomized studies was adopted for assessing the
studies included in this review. Analyses were performed with Review Manager
(Version 5.3, Copenhagen: The Nordic Cochrane Centre, the Cochrane Collaboration,
2014). Results A total of 12 literatures (including 8 prospective and 4
retrospective) encompassing 1,825 patients with DPN were included in the final
analysis. Only one literature was identified as a randomized controlled trial.
The remaining 11 literatures were observational studies; 7 of them were
classified as upper-extremity nerve decompression group and 4 of them were
classified as lower-extremity nerve decompression group. Meta-analysis shows that
Boston questionnaire symptom severity and functional status of upper extremities,
and distal motor latency and sensory conduction velocity of median nerve of DPN
patients are significantly improved after carpal tunnel release. Besides, visual
analog scale and two-point discrimination are considered clinically and
statistically significant in lower extremities after operation. Conclusions The
findings from our review have shown the efficacy of surgical decompression
procedures in relieving the neurologic symptoms and restoring the sensory
deficits in DPN patients. As there are few high-quality randomized controlled
trials or well-designed prospective studies, more data are needed to elucidate
the role of surgical procedures for DPN treatment in the future.
PMID- 27894154
TI - Perfusion Controlled Mobilization after Lower Extremity Free Flaps-Pushing the
Limits of Time and Intensity.
AB - Background The current standard to gradually adapt the fragile perfusion in lower
extremity free flaps to an upright posture is the dangling maneuver. This type of
flap training neither fits the orthostatic target load of an upright posture, nor
does it assist in mobilizing the patients effectively. In this study, we
quantitatively analyzed training effects of an early and full mobilization on
flap perfusion. Methods A total of 15 patients with gracilis flaps for distal
lower extremity reconstruction were included. Flap training was performed daily
by mobilizing the patients on a tilt table into a fully upright posture for 5
minutes between the third and fifth postop days (PODs). Changes in micro- and
macrocirculation were analyzed by laser Doppler flowmetry, remission
spectroscopy, and an implanted Doppler probe. Results All flaps healed without
complications. Yet, in three patients, the increased orthostatic load required an
adjustment of the training duration due to a critical blood flow. The others
showed an increasing compensation in the microcirculation. When tilting the
patients, blood flow and oxygen saturation dropped significantly less on POD5
than on POD3. Furthermore, a significant increase of the blood flow was noted
after an initial decrease during the mobilization on all days. An increasing
compensation in the macrocirculation could not be determined. Conclusion Full
mobilization of patients with lower extremity free flaps can be performed safely
under perfusion monitoring, already starting on POD3. Additionally, monitoring
allows a consideration of the individual orthostatic competence and therefore,
exploitation of the maximum mobilization potential.
PMID- 27894155
TI - Increased Lower Extremity Venous Stasis May Contribute to Deep Venous Thrombosis
Formation after Microsurgical Breast Reconstruction-An Ultrasonographic Study.
AB - Background Despite guideline-compliant prophylaxis, an increased rate of deep
venous thrombosis (DVT) formation has been reported following autologous versus
implant-based breast reconstruction. We hypothesized that tight abdominal fascia
closure might decrease lower extremity venous return and promote venous stasis.
Methods An observational crossover study of patients who underwent autologous
breast reconstruction using transverse rectus abdominis musculocutaneous/deep
inferior epigastric artery perforator flaps was conducted. Ultrasonographic
measurements of the left common femoral vein (CFV) and right internal jugular
vein (IJV) were performed preoperatively, in the postanesthesia care unit, and on
postoperative day (POD) 1. Parameters of interest included vessel diameter,
circumference, area, and maximum flow velocity. Results Eighteen patients with a
mean age and body mass index of 52.7 years (range, 29-76 years) and 31.3 kg/m2
(range, 21.9-43.4 kg/m2) were included, respectively. A 29.8% increase in CFV
diameter was observed on POD 1 (p < 0.0001). Similarly, a 24.3 and 69.9% increase
in CFV circumference (p = 0.0007) and area (p < 0.0001) were noted, respectively.
These correlated with a 28.4% decrease in maximum flow velocity in the CFV (p =
0.0001). Of note, none of these parameters displayed significant changes for the
IJV, thus indicating that observed changes in the CFV were not the result of
changes in perioperative fluid status. Conclusion Postoperative changes observed
in the CFV reflect increased lower extremity venous stasis after microsurgical
breast reconstruction and may contribute to postoperative DVT formation.
PMID- 27894156
TI - Mitral valve repair using an autologous pericardial strip in infants and young
children.
AB - We have modified the mitral repair technique in infants and small children by
using autologous pericardial strips to treat mitral regurgitation resulting from
a dilated mitral annulus. Our results demonstrate that this technique maintains
stability and flexibility of the mitral annulus and decreases the risk of mitral
stenosis.
PMID- 27894157
TI - Brief Report: Relationship Between Serum Infliximab Concentrations and Risk of
Infections in Patients Treated for Spondyloarthritis.
AB - OBJECTIVE: Tumor necrosis factor inhibitors are effective in reducing
inflammation in rheumatic diseases but increase the risk of infections. This
study was undertaken to investigate the relationship between the trough serum
concentration of infliximab (IFX) and the risk of a first infection episode.
METHODS: We retrospectively included all patients who started IFX treatment for
an approved indication in our department. Patients were followed up based on
recommended IFX infusion schedules. We studied the relationship between the
occurrence of a first infection episode requiring hospitalization, anti-infection
treatment, or IFX infusion deferral, and the last trough IFX concentration and
mean of the last 3 trough IFX concentrations measured before the infection
episode. RESULTS: Of the 201 patients included in the analysis, 173 had
spondyloarthritis (SpA). The SpA patients had a mean +/- SD age of 46 +/- 12
years and a disease duration of 6.2 +/- 6.1 years. During a median follow-up of
1.1 year, 87 SpA patients had at least 1 infection episode. Using Cox models, we
found that the probability of survival without infection was significantly higher
in patients with a mean of the last 3 trough IFX concentrations lower than the
median (<11.3 mg/liter) than in patients with a mean concentration greater than
the median (P = 0.048 by log-rank test). Glucocorticoid use and IFX concentration
were significantly associated with the risk of a first infection episode in the
multivariable analysis (P = 0.004 for both). The risk of infection episode was
significantly increased in the highest quartile of the mean of the last 3 trough
IFX concentrations (>20.3 mg/liter) (hazard ratio 2.65 [95% confidence interval
1.14-6.14], P = 0.023). CONCLUSION: Our findings indicate that a high IFX
concentration is correlated with a higher risk of a first infection episode, but
these findings need to be replicated in further prospective studies.
PMID- 27894158
TI - Craving behavioral intervention for internet gaming disorder: remediation of
functional connectivity of the ventral striatum.
AB - Psychobehavioral intervention is an effective treatment of Internet addiction,
including Internet gaming disorder (IGD). However, the neural mechanisms
underlying its efficacy remain unclear. Cortical-ventral striatum (VS) circuitry
is a common target of psychobehavioral interventions in drug addiction, and
cortical-VS dysfunction has been reported in IGD; hence, the primary aim of the
study was to investigate how the VS circuitry responds to psychobehavioral
interventions in IGD. In a cross-sectional study, we examined resting-state
functional connectivity of the VS in 74 IGD subjects (IGDs) and 41 healthy
controls (HCs). In a follow-up craving behavioral intervention (CBI) study, of
the 74 IGD subjects, 20 IGD subjects received CBI (CBI+) and 16 IGD subjects did
not (CBI-). All participants were scanned twice with similar time interval to
assess the effects of CBI. IGD subjects showed greater resting-state functional
connectivity of the VS to left inferior parietal lobule (lIPL), right inferior
frontal gyrus and left middle frontal gyrus, in positive association with the
severity of IGD. Moreover, compared with CBI-, CBI+ showed significantly greater
decrease in VS-lIPL connectivity, along with amelioration in addiction severity
following the intervention. These findings demonstrated that functional
connectivity between VS and lIPL, each presumably mediating gaming craving and
attentional bias, may be a potential biomarker of the efficacy of
psychobehavioral intervention. These results also suggested that non-invasive
techniques such as transcranial magnetic or direct current stimulation targeting
the VS-IPL circuitry may be used in the treatment of Internet gaming disorders.
PMID- 27894159
TI - Phylogenetic and ecological diversity of apusomonads, a lineage of deep-branching
eukaryotes.
AB - Apusomonads are a mysterious group of heterotrophic gliding biflagellates
branching deeply in the eukaryotic tree of life as sister group to opisthokonts
(including animals, fungi, and a variety of unicellular protists). Despite their
evolutionary interest, their diversity and ecology remain largely unknown, with
very few described species and environmental sequences in databases. Most
environmental 18S rRNA gene-based studies generally fail to identify apusomonad
sequences, which might be due to primer bias, low abundance, and/or to the fact
that their biotopes remain poorly explored. We have carried out an extensive
search of 18S rRNA genes using an apusomonad-specific primer in a wide variety of
ecosystems. Our study significantly broadens the diversity of apusomonads showing
that, despite being mostly rare protists, they often dwell in freshwater and
marine benthic environments, generally associated with low-oxygen concentrations.
Apusomonads have been identified in environments across a wide salinity range.
Some operational taxonomic units (OTUs), occurring in both marine and freshwater
ecosystems, seem truly euryhaline, indicating that members of this deep-branching
lineage easily cross such ecological barriers.
PMID- 27894160
TI - Cue-induced nicotine-seeking behavior after withdrawal with or without extinction
in rats.
AB - Exposure to smoking-associated environmental cues during smoke cessation elicits
self-reported urge/craving to smoke, which precipitates relapse even after
prolonged abstinence. Incubation of cue-induced cigarettes craving during
abstinence has been observed in human smokers recently. The present studies
assessed cue-induced nicotine-seeking behavior under different withdrawal
conditions in rats with a history of nicotine self-administration. We found that
non-reinforced operant responding during cue-induced nicotine seeking after
different periods of withdrawal from nicotine exhibited an inverted U-shaped
curve, with higher levels of responding after 7-21 days of withdrawal than those
after 1-day withdrawal. Cue-induced nicotine-seeking responding is long lasting
and persists even after 42 days of forced withdrawal in the home cages.
Interestingly, repeated testing of cue-induced nicotine seeking at different
withdrawal time points (1, 7, 14, 21 and 42 days) in the same individual
alleviated responding as compared with the between-subjects assessment.
Furthermore, extinction training during nicotine withdrawal significantly
decreased cue-induced reinstatement of nicotine-seeking behavior. Together,
profound time-dependent incubation of cue-induced craving in nicotine-experienced
rats were observed. In addition, repeated cue exposure or extinction training
decreases cue-induced craving. The demonstration of incubation of nicotine
craving phenomenon in both rat and human studies provides support for the
translational potential of therapeutic targets for relapse uncovered through
mechanism studies in rats.
PMID- 27894161
TI - Climate and season: the effects on ophthalmic diseases.
AB - Seasonal changes and climate have a significant impact on human health. Diseases
influenced by temperature and climate conditions are likely to undergo dynamic
pattern shifts with consequent impact on human health. A number of infectious and
non-infectious ophthalmic diseases are influenced by temperature and seasonality.
Awareness of this is important from public and global health perspective in
addition to resource allocation strategies. We examine the evidence for a
seasonal pattern to ophthalmic diseases and assess the possible impact of climate
change.
PMID- 27894162
TI - Partitioning the effects of mating and nuptial feeding on the microbiome in gift
giving insects.
AB - Mating is a ubiquitous social interaction with the potential to influence the
microbiome by facilitating transmission, modifying host physiology, and in
species where males donate nuptial gifts to females, altering diet. We
manipulated mating and nuptial gift consumption in two insects that differ in
nuptial gift size, the Mormon cricket Anabrus simplex and the decorated cricket
Gryllodes sigillatus, with the expectation that larger gifts are more likely to
affect the gut microbiome. Surprisingly, mating, but not nuptial gift
consumption, affected the structure of bacterial communities in the gut, and only
in Mormon crickets. The change in structure was due to a precipitous drop in the
abundance of lactic-acid bacteria in unmated females, a taxon known for their
beneficial effects on nutrition and immunity. Mating did not affect phenoloxidase
or lysozyme-like antibacterial activity in either species, suggesting that any
physiological response to mating on host-microbe interactions is decoupled from
systemic immunity. Protein supplementation also did not affect the gut microbiome
in decorated crickets, suggesting that insensitivity of gut microbes to dietary
protein could contribute to the lack of an effect of nuptial gift consumption.
Our study provides experimental evidence that sexual interactions can affect the
microbiome and suggests mating can promote beneficial gut bacteria.
PMID- 27894163
TI - Feature of amenorrhea in postoperative tamoxifen users with breast cancer.
AB - OBJECTIVE: Tamoxifen has been used to prevent the recurrence of breast cancer.
However, tamoxifen-users frequently experience amenorrhea and it can be confused
from that caused by other hormonal abnormalities. In amenorrheic patients without
breast cancer, clinicians usually measure the sex hormone levels that are known
to be associated with ovarian or menstrual function. This study aimed to
investigate the feature of female sex hormones in premenopausal breast cancer
patients undergoing tamoxifen treatment. METHODS: The medical records of fifty
nine premenopausal breast cancer patients who underwent tamoxifen treatment were
reviewed retrospectively. The study population consisted of amenorrheic patients
(n=36) and patients with menstruation (n=23). Serum hormone levels were measured
either specifically between cycle days 2 and 5 in menstruating patients or at any
time in amenorrheic participants. RESULTS: Serum levels of lutenizing hormone and
estradiol were not statistically different according to the presence of
menstruation. Serum follicle stimulating hormone level was significantly higher
in amenorrheic patients (8.1+/-5.7 mIU/mL) than those in menstruating subjects
(5.1+/-2.2 mIU/mL) (p=0.01). Serum concentration of thyroid stimulating hormone
was lower in patients with amenorrhea (1.5+/-0.9 vs. 2.3+/-2.2 MUIU/mL, p=0.04),
although the prevalence of hypo- or hyperthyroidism was not different according
to the pattern of menstruation. CONCLUSION: Menstruation status and hormone
levels can be influenced by tamoxifen use in reproductive age breast cancer
patients. Physicians should be attentive to the alteration of pituitary hormone
levels in addition to sex steroid hormones in this population.
PMID- 27894164
TI - Preoperative CA125 and fibrinogen in patients with endometrial cancer: a risk
model for predicting lymphovascular space invasion.
AB - OBJECTIVE: The aim of this study was to build a model to predict the risk of
lymphovascular space invasion (LVSI) in women with endometrial cancer (EC).
METHODS: From December 2010 to June 2013, 211 patients with EC undergoing surgery
at Shanghai First Maternity and Infant Hospital were enrolled in this
retrospective study. Those patients were divided into a positive LVSI group and a
negative LVSI group. The clinical and pathological characteristics were compared
between the two groups; logistic regression was used to explore risk factors
associated with LVSI occurrence. The threshold values of significant factors were
calculated to build a risk model and predict LVSI. RESULTS: There were 190
patients who were negative for LVSI and 21 patients were positive for LVSI out of
211 patients with EC. It was found that tumor grade, depth of myometrial
invasion, number of pelvic lymph nodes, and International Federation of
Gynecology and Obstetrics (FIGO) stage (p<0.05) were associated with LVSI
occurrence. However, cervical involvement and age (p>0.05) were not associated
with LVSI. Receiver operating characteristic (ROC) curves revealed that the
threshold values of the following factors were correlated with positive LVSI:
28.1 U/mL of CA19-9, 21.2 U/mL of CA125, 2.58 mg/dL of fibrinogen (Fn), 1.84 U/mL
of carcinoembryonic antigen (CEA) and (6.35*109)/L of white blood cell (WBC).
Logistic regression analysis indicated that CA125 >=21.2 (p=0.032) and Fn >=2.58
mg/dL (p=0.014) were significantly associated with LVSI. CONCLUSION: Positive
LVSI could be predicted by CA125 >=21.2 U/mL and Fn >=2.58 mg/dL in women with
EC. It could help gynecologists better adapt surgical staging and adjuvant
therapies.
PMID- 27894166
TI - The detection of sentinel lymph nodes in laparoscopic surgery for uterine
cervical cancer using 99m-technetium-tin colloid, indocyanine green, and blue
dye.
AB - OBJECTIVE: Our objective was to determine the feasibility and detection rates and
clarify the most effective combination of injected tracer types for sentinel
lymph node (SLN) mapping in uterine cervical cancer in patients who have
undergone laparoscopic surgery or neoadjuvant chemotherapy (NAC). METHODS: A
total of 119 patients with cervical cancer underwent SLN biopsy at radical
hysterectomy using three types of tracers. The various factors related to side
specific detection rate, sensitivity, and false negative (FN) rate were analyzed.
RESULTS: The SLN detection rates using 99m-technetium ((99m)Tc)-tin colloid,
indigo carmine, and indocyanine green (ICG) were 85.8%, 20.2%, and 61.6%,
respectively. The patients with >=2-cm-diameter tumors and those who received NAC
had lower detection rates than those with <2-cm-diameter tumors (75.7% vs. 91.5%,
p<0.01) and those who did not receive NAC (67.9% vs. 86.3%, p<0.01),
respectively. Laparoscopic procedures had a higher detection rate than laparotomy
(100.0% vs. 77.1%, p<0.01). No factors significantly affected the sensitivity;
however, the patients with >=2-cm-diameter tumors (86.0% vs. 1.4%, p<0.01), NAC
(19.4% vs. 2.2%, p<0.01), and those who underwent laparotomy (7.4% vs. 0%,
p<0.01) had an unfavorable FN rate. CONCLUSION: Among the examined tracers,
(99m)Tc had the highest detection of SLN mapping in patients with uterine
cervical cancer. Patients with local advanced cervical cancer with/without NAC
treatment might be unsuited for SLN mapping. SLN mapping is feasible and results
in an excellent detection rate in patients with <2-cm-diameter cervical cancer.
Laparoscopic surgery is the best procedure for SLN detection in patients with
early-stage disease.
PMID- 27894169
TI - The gate-keeping role of surgeons with regard to endometrial cancers in Lynch
syndrome.
PMID- 27894168
TI - Hysteroscopy in fertility-sparing management for early endometrial cancer: a
double-edged sword.
PMID- 27894165
TI - Practice guidelines for management of uterine corpus cancer in Korea: a Korean
Society of Gynecologic Oncology Consensus Statement.
AB - Clinical practice guidelines for gynecologic cancers have been developed by many
organizations. Although these guidelines have much in common in terms of the
practice of standard of care for uterine corpus cancer, practice guidelines that
reflect the characteristics of patients and healthcare and insurance systems are
needed for each country. The Korean Society of Gynecologic Oncology (KSGO)
published the first edition of practice guidelines for gynecologic cancer
treatment in late 2006; the second edition was released in July 2010 as an
evidence-based recommendation. The Guidelines Revision Committee was established
in 2015 and decided to produce the third edition of the guidelines as an advanced
form based on evidence-based medicine, considering up-to-date clinical trials and
abundant qualified Korean data. These guidelines cover screening, surgery,
adjuvant treatment, and advanced and recurrent disease with respect to
endometrial carcinoma and uterine sarcoma. The committee members and many
gynecologic oncologists derived key questions from the discussion, and a number
of relevant scientific literatures were reviewed in advance. Recommendations for
each specific question were developed by the consensus conference, and they are
summarized here, together with other details. The objective of these practice
guidelines is to establish standard policies on issues in clinical areas related
to the management of uterine corpus cancer based on the findings in published
papers to date and the consensus of experts as a KSGO Consensus Statement.
PMID- 27894167
TI - Salinomycin reduces stemness and induces apoptosis on human ovarian cancer stem
cell.
AB - OBJECTIVE: Cancer stem cells (CSCs) represent a subpopulation of undifferentiated
tumorigenic cells thought to be responsible for tumor initiation, maintenance,
drug resistance, and metastasis. The role of CSCs in drug resistance and relapse
of cancers could significantly affect outcomes of ovarian cancer patient.
Therefore, therapies that target CSCs could be a promising approach for ovarian
cancer treatment. The antibiotic salinomycin has recently been shown to deplete
CSCs. In this study, we evaluated the effect of salinomycin on ovarian cancer
stem cells (OCSCs), both alone and in combination with paclitaxel (PTX). METHODS:
The CD44+CD117+CSCs were obtained from the ascitic fluid of patients with
epithelial ovarian cancer by using an immune magnetic-activated cell sorting
system. OCSCs were treated with PTX and salinomycin either singly or in
combination. Cell viability and apoptosis assays were performed and spheroid
forming ability was measured. The expression of sex determining region Y-box 2
(SOX2) and octamer-binding transcription factor 3/4 (OCT3/4) mRNA was determined
using reverse transcription polymerase chain reaction, and protein expression was
observed using western blot analysis. RESULTS: Treatment with salinomycin alone
reduced the stemness marker expression and spheroid-forming ability of OCSCs.
Treatment with PTX alone did not decrease the viability of OCSCs. Treatment with
a combination of salinomycin decreased the viability of OCSCs and promoted cell
apoptosis. The enhancement of combination treatment was achieved through the
apoptosis as determined by annexin V/propidium iodide (PI) staining, caspase-3
activity, and DNA fragmentation assay. CONCLUSION: Based on our findings,
combining salinomycin with other anti-cancer therapeutic agents holds promise as
an ovarian cancer treatment approach that can target OCSCs.
PMID- 27894170
TI - High Tibial Osteotomy for Medial Knee Osteoarthritis.
PMID- 27894171
TI - Diagnosis and Treatment of Discoid Meniscus.
AB - There is a greater incidence of discoid meniscus in Asian countries than in
Western countries, and bilateral discoid menisci are also common. The discoid
meniscus may be a congenital anomaly, and genetics or family history may play a
role in the development of discoid menisci. Because the histology of discoid
meniscus is different from that of normal meniscus, it is prone to tearing.
Individuals with a discoid meniscus can be asymptomatic or symptomatic.
Asymptomatic discoid menisci do not require treatment. However, operative
treatment is necessary if there are symptoms. Total meniscectomy leads to an
increased risk of osteoarthritis. Therefore, total meniscectomy is generally
reserved for rare unsalvageable cases. Partial meniscectomy (saucerization) with
preservation of a stable peripheral rim combined with or without peripheral
repair is effective, and good short-, mid-, and long-term clinical results have
been reported.
PMID- 27894172
TI - The SPECT/CT Evaluation of Compartmental Changes after Open Wedge High Tibial
Osteotomy.
AB - Purpose: The purpose of this study was to evaluate compartmental changes using
combined single-photon emission computerized tomography and conventional
computerized tomography (SPECT/CT) after open wedge high tibial osteotomy (OWHTO)
for providing clinical guidance for proper correction. Materials and Methods:
Analysis was performed using SPECT/CT from around 1 year after surgery on 22
patients who underwent OWHTO. Postoperative mechanical axis was measured and
classified into 3 groups: group I (varus), group II (0 degrees -3 degrees
valgus), and group III (>3 degrees valgus). Patella location was evaluated using
Blackburne-Peel (BP) ratio. On SPECT/CT, the knee joint was divided into medial,
lateral, and patellofemoral compartments and the brighter signal was marked as a
positive signal. Results: Increased signal activity in the medial compartment was
observed in 12 cases. No correlation was observed between postoperative
mechanical axis and medial signal increase. Lateral increased signal activity was
observed in 3 cases, and as valgus degree increased, lateral compartment's signal
activity increased. Increased signal activity of the patellofemoral joint was
observed in 7 cases, and significant correlation was observed between changes in
BP ratio and increased signal activity. Conclusions: For the treatment of medial
osteoarthritis, OWHTO requires overcorrection that does not exceed 3 valgus. In
addition, the possibility of a patellofemoral joint problem after OWHTO should be
kept in mind.
PMID- 27894173
TI - Open Wedge High Tibial Osteotomy and Combined Arthroscopic Surgery in Severe
Medial Osteoarthritis and Varus Malalignment: Minimum 5-Year Results.
AB - Purpose: To evaluate the radiologic and functional outcomes of medial open wedge
high tibial osteotomy (HTO) combined with arthroscopic procedure in patients with
medial osteoarthritis. Materials and Methods: From June 1996 to March 2010, 26
patients (32 knees) who underwent medial open wedge osteotomy and arthroscopic
operation for medial osteoarthritis were retrospectively reviewed. Measurements
included hip-knee-ankle (HKA) angle, femorotibial angle, medial proximal tibial
angle, posterior tibial slope angle, and Kellgren-Lawrence grade. Clinical
evaluation was performed using Lysholm knee scoring scale and knee and function
score of the American Knee Society. Results: Differences between the mean
preoperative and postoperative measurements were significant in all angles
including the HKA angle (-5.7 degrees and +5.5 degrees ), femorotibial angle (
1.9 degrees and +9.8 degrees ), and medial proximal tibial angle (82.9 degrees
and 90.5 degrees ) (p<0.05). Mean Lysholm knee scoring scale was 63.6
preoperatively and 88.7 at the last follow-up, mean Knee Society knee score was
61.2 and 86.6, and mean function score was 59.3 and 87.2, respectively. All
differences were significant (p<0.05). Conclusions: Medial open wedge HTO in
combination with arthroscopic procedure is an effective treatment method for
medial osteoarthritis to treat varus deformity and an intra-articular lesion.
PMID- 27894174
TI - Modified Iliac Crest Reconstruction with Bone Cement for Reduction of Donor Site
Pain and Morbidity after Open Wedge High Tibial Osteotomy: A Prospective Study.
AB - Purpose: This study was to determine the efficacy of iliac crest reconstruction
using bone cement in reducing pain and morbidity at the donor site in patients
undergoing open wedge high tibial osteotomy (OWHTO) with tricortical iliac crest
autologous graft. Materials and Methods: Thirty-three patients who underwent
iliac crest reconstruction using polymethyl methacrylate (PMMA) bone cement
(group A) and thirty patients who had no iliac crest reconstruction (group B)
were enrolled in this study. All patients were evaluated for pain and functional
disability related to graft harvesting using the pain and functional visual
analogue scale (VAS) score during hospital stay and at 6 weeks, 3 months, and 6
months postoperatively. Results: There was significant difference between the two
groups in terms of pain and function. The pain VAS score was significantly lower
in group A than group B during the first 2 weeks postoperatively (p=0.04) and the
functional VAS score was also significantly lower in group A during the first 2
weeks postoperatively (p<0.001) in terms of breathing, sitting up from the supine
position, and standing up with crutches from the sitting position. Conclusions:
Iliac crest donor site reconstruction using PMMA bone cement in patients
undergoing OWHTO significantly decreased pain and improved function during the
first 2 weeks postoperatively when compared to patients who underwent OWHTO
without iliac crest reconstruction.
PMID- 27894175
TI - Comparison of Cable Method and Miniaci Method Using Picture Archiving and
Communication System in Preoperative Planning for Open Wedge High Tibial
Osteotomy.
AB - Purpose: The purpose was to compare the accuracy of Miniaci method using picture
archiving and communication system (PACS) with a cable method in high tibial
osteotomy (HTO). Materials and Methods: This study analyzed 47 patients (52
knees) with varus deformity and medial osteoarthritis. From 2007 to 2013,
patients underwent HTO using either a cable method (20 knees) or Miniaci method
based on a PACS image (32 knees). In the cable method, the 62.5% point of the
mediolateral tibial plateau width was located using an electrocautery cord under
fluoroscopy (cable group). The Miniaci method used preoperative radiographs to
shift the weight bearing axis (PACS group). Full-length lower limb radiographs
obtained preoperatively and at the sixth postoperative week were used to compare
the percentage of crossing point of the weight bearing line on the tibial plateau
with respect to the medial border. Results: The weight bearing line on the tibial
plateau was corrected from a preoperative 11.0+/-7.0% to a postoperative 47.2+/
7.4% in the cable group and from 12.7+/-4.9% to 59.5+/-5.3% in the PACS group.
The mechanical femorotibial angle was corrected from varus 8.9+/-3.7 degrees to
valgus 0.3+/-4.0 degrees in the cable group and from varus 9.0+/-3.3 degrees to
valgus 2.9+/-2.6 degrees in the PACS group. Conclusions: In HTO, correction
based on the Miniaci method using a PACS was more accurate than correction using
the cable method.
PMID- 27894176
TI - Complications and Short-Term Outcomes of Medial Opening Wedge High Tibial
Osteotomy Using a Locking Plate for Medial Osteoarthritis of the Knee.
AB - Purpose: The purpose of this study was to investigate complications and
radiologic and clinical outcomes of medial opening wedge high tibial osteotomy
(MOWHTO) using a locking plate. Materials and Methods: This study reviewed 167
patients who were treated with MOWHTO using a locking plate from May 2012 to June
2014. Patients without complications were classified into group 1 and those with
complications into group 2. Medical records, operative notes, and radiographs
were retrospectively reviewed to identify complications. Clinically, Oxford Knee
score and Knee Injury and Osteoarthritis Outcome score (KOOS) were evaluated.
Results: Overall, complications were observed in 49 patients (29.3%). Minor
complications included lateral cortex fracture (15.6%), neuropathy (3.6%),
correction loss (2.4%), hematoma (2.4%), delayed union (2.4%), delayed wound
healing (2.4%), postoperative stiffness (1.2%), hardware irritation (1.2%),
tendinitis (1.2%), and hardware failure without associated symptoms (0.6%). Major
complications included hardware failure with associated symptoms (0.6%), deep
infection (0.6%), and nonunion (0.6%). At the first-year follow-up, there were no
significant differences in radiologic measurements between groups 1 and 2. There
were no significant differences in knee scores except for the KOOS pain score.
Conclusions: Our data showed that almost all complications of the treatment were
minor and the patients recovered without any problems. Most complications did not
have a significant impact on radiologic and clinical outcomes.
PMID- 27894177
TI - Variability of Measurement of Patellofemoral Indices with Knee Flexion and
Quadriceps Contraction: An MRI-Based Anatomical Study.
AB - Purpose: The purpose of this study was to investigate the impact of varying knee
flexion and quadriceps activity on patellofemoral indices measured on magnetic
resonance imaging (MRI). Materials and Methods: MRI of the knee was performed in
20 patients for indications other than patellar or patellofemoral pathology.
Axial and sagittal sequences were performed in full extension of the knee with
the quadriceps relaxed, full extension of the knee with the quadriceps
contracted, 30 degrees flexion of the knee with the quadriceps relaxed, and 30
degrees flexion with the quadriceps contracted. Bisect offset, patella tilt
angle, Insall-Salvati ratio and Caton-Deschamps index were measured. Results:
With the knee flexed to 30 degrees and quadriceps relaxed, the mean values of
patellar tilt angle, bisect offset, Insall-Salvati ratio and Caton-Deschamps
index were all within normal limits. With the knee extended and quadriceps
contracted, the mean patellar tilt angle (normal value, <15 degrees ) was 14.6
degrees and the bisect offset (normal value, <65%) was 65%, while the Caton
Deschamps index was 1.34 (normal range, 0.6 to 1.3). With the knee extended and
quadriceps relaxed, the mean Caton-Deschamps index was 1.31. Conclusions: MRI
scanning of the knee in extension with the quadriceps contracted leads to
elevated patellofemoral indices. MRI taken with the knee in 30 degrees of
flexion allows more reliable assessment of the patellofemoral joint and minimises
the confounding effect of quadriceps contraction.
PMID- 27894178
TI - Comparison of Radiological Parameters between Normal and Patellar Dislocation
Groups in Korean Population: A Rotational Profile CT-Based Study.
AB - Purpose: Patellofemoral instability is a common cause of anterior knee pain in
adolescents and young adults. Most normal and pathological values for diagnosing
patellofemoral instability are based on Western literature. We conducted this
radiological study to determine normal values for different patellofemoral
parameters in a Korean population and to evaluate their usefulness in diagnosis.
Materials and Methods: We retrospectively reviewed the rotational profile
computerized tomography (CT) scans of the patellar dislocation and control
groups. Trochlear, patellar, rotational profile, and trochleo-patellar alignment
parameters were compared between the groups. Receiver operating characteristic
curves were drawn for significant parameters, and sensitivity and specificity
were calculated for the cut-off values. Results: There were 48 patients in the
patellar dislocation group and 87 patients in the control group. In the control
group and patellar dislocation group, the mean sulcus angle was 132.5 degrees
and 143.3 degrees , respectively, trochlear depth was 6.04 mm and 3.6 mm, bisect
offset was 56.4% and 99.9%, lateral patellar tilting was 9.8 degrees and 19.2
degrees , patellar facet asymmetry was 63.5% and 45.16%, and the tibial
tuberosity-trochlear groove (TT-TG) distance was 10.91 mm and 27.16 mm,
respectively. Conclusions: The trochlear depth, bisect offset, patella tilting,
and TT-TG distance were parameters that significantly contributed to patellar
instability. Rotational profile CT can be considered a good diagnostic tool to
assess all these parameters that help to identify anatomical aberration resulting
in patellofemoral instability, thereby helping in formulating the most effective
treatment plan.
PMID- 27894179
TI - Availability of Total Knee Arthroplasty Implants for Metal Hypersensitivity
Patients.
AB - Purpose: To provide information on the type of "hypersensitivity-friendly"
components available for primary total knee arthroplasty (TKA) in the current
market. Materials and Methods: Implant manufactures were identified using the
2013 National Joint Registries of the United Kingdom and Sweden and contacted to
obtain information about the products they offer for patients with metal
hypersensitivity. Results: Information on 23 TKA systems was provided by 13
implant manufacturers. Of these, 15 systems had options suitable for metal
hypersensitivity patients. Two types of "hypersensitivity-friendly" components
were identified: 10 implants were cobalt chrome prostheses with a
"hypersensitivity-friendly" outer coating and 5 implants were made entirely from
non-cobalt chrome alloys. Conclusions: The results of this study suggest that
several hypersensitivity TKA options exist, some of which provide the same
designs and surgical techniques as the conventional implants. The information in
this study can guide TKA surgeons in making informed choices about implants and
identifying implants that could be examined in future controlled studies
comparing outcomes between "hypersensitivity-friendly" and conventional implants.
PMID- 27894180
TI - Causes of Failure of Anterior Cruciate Ligament Reconstruction and Revision
Surgical Strategies.
AB - Purpose: Long-term outcomes of anterior cruciate ligament (ACL) reconstruction
are good or excellent; however, 0.7%-20% of patients suffer from recurrent
instability due to graft failure. The purpose of this paper was to analyse
failure aetiology and the possibilities of revision surgical strategies, with a
description of our experience. We obtained optimal and good results in most of
our patients. Materials and Methods: We retrospectively reviewed 42 patients who
underwent revision surgery (43 revisions) due to relapsing instability after ACL
reconstruction between 2006 and 2015. We used allografts in 39 cases and
autografts in 4 cases. Results: The 85.7% of the patients obtained optimal
results (normal knee; group A) and the 7.2% obtained good results (nearly normal
knee; group B) according to the International Knee Documentation Committee score.
The most frequent failure causes were traumatic events, non-anatomic tunnel
placement, and lack of graft incorporation. Conclusions: A correct revision
surgery requires accurate patient evaluation and knee imaging. Preoperative
planning starts with the identification of the cause of failure of the primary
reconstruction. Then, the most suitable procedure should be determined for each
case. It is also important to accurately inform the patient of all the complexity
of an ACL revision surgery even if it is a procedure with high rates of excellent
and good outcomes.
PMID- 27894181
TI - Total Knee Arthroplasty for Severe Flexion Contracture in Rheumatoid Arthritis
Knees.
AB - Flexion contracture deformities, as well as severe varus and valgus deformities
of the knee joint, accompany osteoarthritis or rheumatoid arthritis (RA). In
particular, severe flexion contracture deformity of the knee joint is often found
in patients with RA, which renders them nonambulatory. This report describes a 26
year-old female patient diagnosed with RA 10 years ago. She had chronic joint
pain, severe flexion contracture, valgus deformity in both knees, and limited
range of motion in both knees and became nonambulatory. She underwent a total
knee arthroplasty (TKA) and serial casting and physical therapy to restore stable
joint movement and correct knee joint deformity. Her pain was successfully
relieved, and she was able to walk after surgery. Here, we report the excellent
results of TKA in this RA patient with severe flexion contracture of both knees.
PMID- 27894182
TI - Medial and Lateral Discoid Menisci of Both Knees.
AB - Discoid menisci on both the medial and lateral sides are rare, and there are very
few reports on cases involving both sides. We report a case of a 52-year-old
female with medial and lateral discoid menisci in both knees. Arthroscopy
revealed the lateral menisci of both knees were complete discoid menisci, and
partial meniscectomy was performed. The medial menisci were incomplete discoid
menisci, but there were no findings of abnormal mobility or injury; therefore,
the medial menisci were observed without treatment. At six months
postoperatively, her pain and range of motion restrictions disappeared.
PMID- 27894183
TI - The effect of the SNAPPS (summarize, narrow, analyze, probe, plan, and select)
method versus teacher-centered education on the clinical gynecology skills of
midwifery students in Iran.
AB - This study aimed to determine the effect of the SNAPPS (summarize, narrow,
analyze, probe, plan, and select) method versus teacher-centered education on the
clinical skills of midwifery students in Iran. In this clinical trial, 36
midwifery students in their 4th year of education in 2015 were enrolled and
divided into 6 groups, 3 groups for teacher-centered education and 3 groups for
the SNAPPS method, with each group spending 10 days in the outpatient gynecology
clinic. A questionnaire and a checklist were used to gather data. An independent
t-test and chi-square test were used to analyze the data. Ability to gain the
trust of the patient, verbal and nonverbal communication skills, history taking,
preparation of the patient for gynecological examination, and diagnosis and
treatment of common diseases were significantly better in the SNAPPS group
compared to the teacher-centered education group (P<0.05). The SNAPPS education
method can significantly improve the clinical skills of midwifery students in
gynecology, in particular history taking, differential diagnosis, and treatment
of common diseases.
PMID- 27894184
TI - Australian medical students have fewer opportunities to do physical examination
of peers of the opposite gender.
AB - PURPOSE: Peer physical examination (PPE), by which junior medical students learn
physical examination skills before practicing on patients, is a widely
implemented and accepted part of medical curricula. However, the ethical
implications of PPE have been debated, since issues including student gender
impact on its acceptability. Research has previously demonstrated the phenomenon
of 'attitude-behavior inconsistency' showing that students' predictions about
their participation in PPE differ from what they actually do in practice. This
study asks whether gender and student self-ratings of outlook affect engagement
in PPE. METHODS: This study gathered data from students who had completed PPE
with the objective of determining what factors have the greatest impact on the
actual practice of PPE by students. Data were used to derive the number of
opportunities students had to examine a peer, for various body parts. Respondent
gender and self-ratings of outlook were recorded. RESULTS: Responses from 130
students were analysed: 74 female (57%) and 56 male (43%). Students have fewer
opportunities to examine peers of the opposite gender; this is statistically
significant for all body parts when male students examine female peers.
CONCLUSION: Gender is the factor of overriding importance on whether these peer
interactions actually occur, such that students have fewer opportunities to
examine peers of the opposite gender, particularly male students examining female
peers. Student outlook has little impact. We speculate that the more acceptable
PPE is to participants, paradoxically, the more complicated these interactions
become, possibly with implications for future practice.
PMID- 27894185
TI - Endoscopic Retrograde Cholangiopancreatography in Post Gastrectomy Patients.
AB - Endoscopic retrograde cholangiopancreatography (ERCP) in post-gastrectomy
patients with Billroth II (BII) reconstruction and Roux-en-Y (RY) reconstruction
presents a challenge to therapeutic endoscopists. Major difficulties, including
intubation to the ampulla of Vater, selective cannulation, and ampullary
intervention, must be overcome in these patients. Recent data have shown that
device-assisted ERCP allows for high success rates in these patients because
various devices are useful for overcoming major difficulties. Therefore, good
knowledge of postoperative anatomy and various devices is mandatory before
performing ERCP procedures for post-gastrectomy patients.
PMID- 27894186
TI - What Is the Mean Procedure Time to Optimize Colonoscopy?
PMID- 27894188
TI - Challenges of Endoscopic Management of Pancreaticobiliary Complications in
Surgically Altered Gastrointestinal Anatomy.
AB - Pancreaticobiliary complications following various surgical procedures, including
liver transplantation, are not uncommon and are important causes of morbidity and
mortality. Therapeutic endoscopy plays a substantial role in these patients and
can help to avoid the need for reoperation. However, the endoscopic approach in
patients with surgically altered gastrointestinal (GI) anatomy is technically
challenging because of the difficulty in entering the enteral limb to reach the
target orifice to manage pancreaticobiliary complications. Additional procedural
complexity is due to the need of special devices and accessories to obtain
successful cannulation and absence of an elevator in forward-viewing endoscopes,
which is frequently used in this situation. Once bilioenteric anastomosis is
reached, the technical success rates achieved in expert hands approach those of
patients with intact GI anatomy. The success of endoscopic therapy in patients
with surgically altered GI anatomy depends on multiple factors, including the
expertise of the endoscopist, understanding of postoperative anatomic changes,
and the availability of suitable scopes and accessories for endoscopic
management. In this issue of Clinical Endoscopy, the focused review series deals
with pancreatobiliary endoscopy in altered GI anatomy such as bilioenteric
anastomosis and post-gastrectomy.
PMID- 27894187
TI - Endoscopic Ultrasound-Guided Pancreatobiliary Endoscopy in Surgically Altered
Anatomy.
AB - Endoscopic retrograde cholangiopancreatography (ERCP) has become the mainstay of
therapy for pancreatobiliary diseases. While ERCP is safe and highly effective in
the general population, the procedure remains challenging or impossible in
patients with surgically altered anatomy (SAA). Endoscopic ultrasound (EUS)
allows transmural access to the bile or pancreatic duct (PD) prior to ductal
drainage using ERCP-based techniques. Also known as endosonography-guided
cholangiopancreatography (ESCP), the procedure provides multiple advantages over
overtube-assisted enteroscopy ERCP or percutaneous or surgical approaches.
However, the procedure should only be performed by endoscopists experienced in
both EUS and ERCP and with the proper tools. In this review, various EUS-guided
diagnostic and therapeutic drainage techniques in patients with SAA are examined.
Detailed step-by-step procedural descriptions, technical tips, feasibility, and
safety data are also discussed.
PMID- 27894189
TI - Ziprasidone induces cytotoxicity and genotoxicity in human peripheral
lymphocytes.
AB - It has been stated that some antipsychotic drugs might cause genotoxic and
carcinogenic effects. Ziprasidone (ZIP) is commonly used an antipsychotic drug.
However, its genotoxicity and carcinogenicity data are very limited. The
cytotoxicity and genotoxicity of ZIP on human peripheral blood lymphocytes were
examined in vitro by sister chromatid exchange (SCE), chromosome aberration (CA)
and micronucleus (MN) tests in this study. Lymphocyte cultures were treated with
50, 75 and 100 MUg/ml of ZIP in the presence and absence of a metabolic activator
(S9 mix). Dimethylsulfoxide was used as a solvent control. While the cells were
treated with ZIP for 24 h and 48 h in cultures without S9 mix, the cultures with
S9 mix were exposed to ZIP for 3 h. ZIP and its metabolites can exert cytotoxic
activities due to significant decreases in mitotic index, proliferation index and
nuclear division index in the presence and absence of S9 mix. Statistically
significant increases in CAs, aberrant cells and MN values in the presence and
absence of S9 mix were found in cultures treated with ZIP. While ZIP
significantly increased the SCE values in the absence of S9 mix at all
concentrations, increased SCE values in cultures with S9 mix were not found to
significantly at all concentrations tested. Our results indicated that both ZIP
and its metabolites have cytotoxic, cytostatic and genotoxic potential on
lymphocyte cultures under the experimental conditions. Further studies are
necessary to make a possible risk assessment in patients receiving therapy with
this drug.
PMID- 27894190
TI - Adherence to combination antiretroviral treatment and clinical outcomes in a
Medicaid sample of older HIV-infected adults.
AB - The adherence threshold for combination antiretroviral therapy (cART) has
historically been set at 95% or greater. We examined whether different levels of
cART adherence (>=95% [optimal adherence], 90-94%, 80-89%, and <80%) were
associated with different clinical outcomes (emergency department visits [ED
visits] and duration of hospital admission) in a sample of older (50-64 years)
persons living with HIV (PLWH). Medicaid data from 29 US states (n = 5177) were
used for this study. cART adherence was measured and data regarding relevant
covariates, such as race, sex, age, urbanicity, and comorbidity were obtained.
Descriptive statistics were conducted to characterize study participants. We
conducted univariate and multivariable regression analyses to evaluate the
association between cART adherence and ED visits and duration of hospital
admission while adjusting for covariates (race, sex, age, urbanicity, and
comorbidity). Approximately 32% of all participants (n = 5177) reported optimal
cART adherence (>=95%). After adjusting for covariates, only participants who
reported <80% adherence were more likely to have an ED visit (adjusted odds ratio
= 1.34, 95% CI = 1.08-1.48, p < .0001) and a longer duration of hospital
admission (regression coefficient = 1.24, 95% CI = 0.53-1.96, p = .0007) when
compared to participants who reported >=95% adherence. There were no significant
differences in likelihood of having an ED visit and longer duration of hospital
admission between participants who reported >=95% adherence and participants who
reported 90-94% adherence and 80-89% adherence. Significant differences by
covariates were observed. Adverse clinical outcomes were associated with low cART
adherence (<80%) among older PLWH, though they did not differ between optimal and
moderate cART adherence (90-94% and 80-89%). Although optimal cART adherence is
an important goal, clinical outcomes in older PLWH may not differ between
moderate and optimal cART adherence.
PMID- 27894191
TI - Identifying behavioural changes in ALS: Validation of the Beaumont Behavioural
Inventory (BBI).
AB - OBJECTIVE: Behavioural changes are an important part of amyotrophic lateral
sclerosis (ALS). However, most tools do not account for the influence of motor
impairment. Furthermore, they do not fully measure the broad range of behavioural
changes specific to ALS. This study aimed to develop and validate an ALS specific
behavioural inventory, the Beaumont Behavioural Inventory (BBI). METHODS: The BBI
was validated in a cohort of ALS patients (n = 85) and 78 age-, gender-, and
education-matched controls. The scale was validated against the Frontal Systems
Behaviour Scale (FrSBe) and The Frontal Assessment Battery (FAB) for convergent
validity, and against other non-behavioural measures to assess discriminant
validity. Reliability was assessed with Cronbach's alpha. RESULTS: The instrument
showed high internal consistency (Cronbach's alpha value =0.891). BBI scores
highly correlated with the FrSBe and moderately with the FAB. However, the
measure was independent from non-behavioural measures. Using a cut-off score of 7
for mild behavioural changes, the BBI displayed high sensitivity and specificity
(87.9% and 78.85%, respectively). The cut-off score for moderate changes,
consistent with a diagnosis of ALS-FTD, is set at 22.5, showing 90% sensitivity
and 96% specificity. DISCUSSION: The BBI is a sensitive and specific tool to
assess the entire behavioural spectrum of ALS.
PMID- 27894192
TI - ALSUntangled 38: L-serine.
PMID- 27894193
TI - Currently used and investigational drugs for Cushing's disease.
AB - INTRODUCTION: Cushing's disease (CD) is caused by a corticotroph adenoma of the
pituitary gland that secretes excess adrenocorticotropic hormone (ACTH) causing
increased morbidity and mortality. Surgery is the treatment of choice, but is not
always successful. Alternatives include radiotherapy, adrenal surgery, and
pharmaceutical therapy. The latter is increasingly gaining momentum due to the
recent development of compounds that reduce hypercortisolaemia or its symptoms,
acting through different mechanisms. Areas covered: In this article, the authors
provide a complete overview of the treatment options for Cushing's disease,
including adrenal-directed, tumor-targeted, and peripheral therapies that are
currently used or in development, and discuss their potential advantages and
limitations. Expert opinion: Considering the lack of long-term remission in up to
half of the patients after surgery, and the delayed response to radiotherapy
along with potential side effects, there is a strong need for an effective
pharmaceutical treatment. Pasireotide, mifepristone, ketoconazole and metyrapone
have been approved by regulatory authorities but their use remains limited due to
considerable costs and side effects. Research in this field has focused recently
on the improvement of pre-existing drugs and the development of safe new ones.
However, few approaches aim at targeting the source of the disease, the ACTH
secreting adenoma.
PMID- 27894194
TI - Current status of syphilis in pregnant women in Japan.
AB - We examined the current status of syphilis-infected pregnant Japanese women,
according to the results of syphilis screening and confirmation tests of women
who gave birth in Japan between October, 2015 and March, 2016. We requested 2458
obstetrical facilities to provide information of syphilis screening tests and
78.1% of them responded. Considering the response rate and the rate of
implementation of confirmation tests, the number of syphilis-infected pregnant
Japanese women was estimated to be 250 (1/4022) per year.
PMID- 27894195
TI - Accelerated placental aging in early onset preeclampsia pregnancies identified by
DNA methylation.
AB - AIM: To determine whether dynamic DNA methylation changes in the human placenta
can be used to predict gestational age. MATERIALS & METHODS: Publicly available
placental DNA methylation data from 12 studies, together with our own dataset,
using Illumina Infinium Human Methylation BeadChip arrays. RESULTS & CONCLUSION:
We developed an accurate tool for predicting gestational age of placentas using
62 CpG sites. There was a higher predicted gestational age for placentas from
early onset preeclampsia cases, but not term preeclampsia, compared with their
chronological age. Therefore, early onset preeclampsia is associated with
placental aging. Gestational age acceleration prediction from DNA methylation
array data may provide insight into the molecular mechanisms of pregnancy
disorders.
PMID- 27894197
TI - Temporal validation of a simplified blastocyst grading system.
AB - We have recently established the clinical effectiveness and credibility of a
simplified blastocyst grading system by demonstrating its prognostic potential
and the inter- and intra-observer variability associated with it. To be
considered clinically useful, however, the grading system also needs to be
accurate (i.e. well calibrated with good discriminative ability). This study
prospectively evaluates the performance of the grading system on subsequent
patients from the same IVF unit in an attempt to temporally validate the model.
All day 5 single embryo transfers between 1st July 2012 and 30th June 2014 were
included in the study. The observed implantation, clinical pregnancy and live
birth rates according to grade of embryo transferred were compared to the
expected rates as predicted by the development data set and the statistical
significance of any differences between the two were calculated using the Chi
square test. A total of 435 single embryo transfers were included. For each grade
of embryo transferred, there was generally no significant difference between the
observed and expected frequencies of implantation, clinical pregnancy and live
birth suggesting that the simplified blastocyst grading system is accurate and
temporal validation has been satisfactorily demonstrated. It is now necessary to
externally validate the grading system to prove generality before further
dissemination.
PMID- 27894196
TI - Development and characterization of folic acid-conjugated chitosan nanoparticles
for targeted and controlled delivery of gemcitabinein lung cancer therapeutics.
AB - The present study was designed to investigate the tumor-targeting potential of
gemcitabine (GEM)-loaded surface-tailored chitosan (CS)/poly (ethylene glycol)
nanoparticles (FA-PEG-GEM-NPs). The nanoparticles encapsulated with GEM were
prepared, characterized, and tethered with folic acid. The developed formulations
were characterized with respect to particle size/poly-dispersity index, shape,
and zeta potential analysis. The in vitro study shows the sustained drug-release
kinetics during 48 h. The present result shows remarkable cytotoxicity rendered
by GEM when delivered through FA-PEG-GEM-NPs formulation. The microscopic
assessment is suggestive of significant uptake of FA-PEG-GEM-NPs in comparison
with the unmodified PEG-GEM-NPs and free drug. Finally, our results advocate for
the sizeable compatibility, comparatively less organ toxicity, and higher anti
tumor activity of ligand-anchored and PEGylated CS nanoparticles in vitro and
corroborated by in vivo investigations. In conclusion, it is interpreted that
surface-tailored nanoparticles are capable to ferry bioactives selectively and
specifically to tumor sites with the interception of minimal side effects,
thereby suggesting their potential application in cancer therapeutics.
PMID- 27894198
TI - A randomized clinical trial of the efficacy of single versus double-daily dose of
oral iron for prevention of iron deficiency anemia in women with twin gestations.
AB - OBJECTIVE: The study aims to assess the efficacy of single versus double-daily
oral iron dose on prevention of iron deficiency anemia in women with twin
gestations. MATERIALS AND METHODS: A randomized controlled trial (NCT02858505)
conducted at Woman's Health Hospital, Assiut, Egypt, between August 2015 and June
2016 included 120 non-anemic pregnant women with twin gestations in the first
trimester. Women were randomly assigned to either group I (27 mg elemental iron)
or group II (54 mg elemental iron) daily starting from 12 weeks of pregnancy till
36 weeks. The primary outcomes included the mean level of hemoglobin, hematocrit
and serum ferritin at 36 weeks' gestation. RESULTS: Both iron doses maintained
the mean hemoglobin and hematocrit within the normal level from 12 weeks to 36
weeks (p = 0.378 and p = 0.244, respectively). However, the mean serum ferritin
level was higher in group II than group I (p = 0.000) at 36 weeks' gestation.
Moreover, women in group II reported more side effects than group I at 36 weeks'
gestation. CONCLUSIONS: Doubling the prophylactic iron dose is comparable to
single dose in the prevention of iron deficiency anemia among women with twin
gestations with more side effects.
PMID- 27894199
TI - Socio-economic risk patterns in Hodgkin lymphoma: not more, but new studies are
warranted.
PMID- 27894200
TI - Differences in the association between empirically derived dietary patterns and
cancer: a meta-analysis.
AB - Plant-based dietary patterns have been associated with decreased cancer risk. The
aim of the present study was to perform a meta-analysis of studies comparing
empirically derived dietary patterns in relation to cancer risk. A systematic
search of PubMed and EMBASE electronic databases was conducted. Eligible studies
had an observational design and evaluated the association with cancer risk
between a posteriori derived dietary patterns. Random-effects models were applied
to calculate relative risks (RRs) of cancer between diets. Statistical
heterogeneity and publication bias were explored. An increased risk of cancer for
the adoption of high-meat compared to plant-based dietary patterns was found (RR
=1.64, 95% CI: 1.02, 2.63). Lower risk of cancer for individuals adopting a plant
based dietary pattern over a mixed one was found (RR =0.88, 95% CI: 0.82, 0.95).
In conclusion, plant-based dietary patterns can be considered a healthy choice
over meat-based dietary patterns.
PMID- 27894201
TI - Screening for cognitive dysfunction in ALS: validation of the Edinburgh Cognitive
and Behavioural ALS Screen (ECAS) using age and education adjusted normative
data.
AB - BACKGROUND: Cognitive and behavioural changes are an important aspect in
Amyotrophic Lateral Sclerosis (ALS). The Edinburgh Cognitive and Behavioural ALS
Screen (ECAS) briefly assesses these changes in ALS. OBJECTIVE: To validate the
ECAS against a standardised neuropsychological battery and assess its sensitivity
and specificity using age and education adjusted cut-off scores. METHOD: 30
incident ALS cases were assessed on both, ECAS and neuropsychological battery.
Age and education adjusted cut-off scores were created from a sample of 82
healthy controls. RESULTS: ECAS composite scores (Total, ALS Specific and Non
Specific) were highly correlated with battery composite scores. High correlations
were also observed between ECAS and full battery cognitive domains and subtests.
The ECAS Total, ALS Specific and Non-Specific scores were highly sensitive to
cognitive impairment. ECAS ALS-Specific cognitive domains also evidenced high
sensitivity. Individual subtest sensitivity was medium to low, suggesting that
caution should be used when interpreting these scores. Low positive predictive
values indicated the presence of false positives. CONCLUSIONS: Psychometric
properties of the ECAS using age and education adjusted norms indicate that the
ECAS, when used as an overall measure of cognitive decline, is highly sensitive.
Further comprehensive assessment is required for patients that present as
impaired on the ECAS.
PMID- 27894202
TI - Rolapitant for the prevention of delayed nausea and vomiting over initial and
repeat courses of emetogenic chemotherapy.
AB - INTRODUCTION: Chemotherapy-induced nausea and vomiting (CINV) is a debilitating
side effect of many cytotoxic chemotherapy regimens. Although sustained
antiemetic control across repeated chemotherapy cycles is important for cancer
treatment continuation, few studies have investigated the efficacy of antiemetic
prophylaxis over multiple chemotherapy cycles. Areas covered: Here we discuss the
use of antiemetic hydroxytryptamine type 3 (5-HT3) receptor and neurokinin (NK)-1
receptor antagonists for prevention of CINV, limiting our review to clinical
trials in the context of multiple-cycle chemotherapy, with a focus on the NK-1
receptor antagonist rolapitant. 5-HT3 receptor antagonists may be effective in
controlling CINV over repeated chemotherapy cycles, but evidence comes primarily
from noncomparative studies. NK-1 receptor antagonists provide increased
protection against CINV but differences in endpoint selection and methods of
analysis preclude meaningful comparisons between agents. Rolapitant shows
sustained control of emesis and nausea over multiple cycles of chemotherapy, and
compared to other NK-1 receptor antagonists, has a longer half-life and reduced
potential for cytochrome P450 3A4-mediated drug-drug interactions. Expert
commentary: Trial design should be a key consideration in future studies of CINV
therapies, including analytical methods utilized, choice of endpoints, and
methods for accounting for nonresponders and patient attrition over multiple
cycles of chemotherapy.
PMID- 27894203
TI - Management of adverse events induced by next-generation immunomodulatory drug and
proteasome inhibitors in multiple myeloma.
AB - INTRODUCTION: In the last decade the introduction of novel agents has strongly
improved multiple myeloma prognosis by doubling median overall survival.
Unfortunately disease relapse is very common and patients may become refractory
to previous drugs. Therefore, new therapeutic strategies are urgently needed.
Areas covered: We have reviewed the available data on next generation novel
agents, particularly immunomodulatory drug pomalidomide and proteasome inhibitors
carfilzomib and ixazomib, the latter being the first-in-class orally available.
We focused on adverse events associated with such agents and described how they
should be managed. The main grade >=3 adverse events correlated with these drugs
are hematologic, myelosuppression-related and reversible; non-hematologic grade
>=3 toxicities are less frequent, with an incidence of <10%. Expert commentary:
These agents showed to have a good tolerability. The great majority of adverse
events are easily manageable with dose-adjustment and appropriate treatment, and
drug discontinuation is not frequent. Favorable safety profile and high efficacy,
especially in combination, confer to these drugs a central role in development of
new lines of therapy against multiple myeloma. Further investigation is certainly
needed to determine the best combinations including these agents.
PMID- 27894204
TI - Clinical laboratory assessments for Mycoplasma genitalium in a high-prevalence
sexually-transmitted infection community reveal epidemiologic dichotomies with
Trichomonas vaginalis.
AB - INTRODUCTION: Mycoplasma genitalium is an emerging agent of sexually-transmitted
infection and is responsible for clinically-significant genital tract disease in
both females and males. Similar to scenarios recently experienced with the
urogenital flagellate Trichomonas vaginalis, an evolving molecular diagnostic
reference standard based on transcription-mediated amplification allows for
accurate detection of the organism, plus additional insight into disease
epidemiology. Areas covered. The basis for this article includes primary peer
reviewed literature plus compilations of data derived from routine clinical
laboratory screening of females and males for agents of sexually-transmitted
infection. Introductory laboratory and epidemiologic data related to T. vaginalis
provides not only a foreshadowing to the dichotomies inherent to M. genitalium
prevalence but also advocacy of a common non-invasive specimen source that could
be used to screen females for both agents. This review also documents increased
prevalence rates of M. genitalium in both females and males by way of
transcription-mediated amplification. Expert commentary. Molecular detection of
M. genitalium should be a consideration in the development of comprehensive
sexually-transmitted infection screening programs for both females and males.
Transcription-mediated amplification has additionally identified novel facets of
M. genitalium and T. vaginalis epidemiology that warrant further investigation.
PMID- 27894205
TI - Outcomes of second-line treatment in chronic lymphocytic leukemia - a population
based study from a well defined geographical region between 2003 and 2013.
PMID- 27894206
TI - Occupational exposure limits for manufactured nanomaterials, a systematic review.
AB - BACKGROUND: The toxicological properties of manufactured nanomaterials (MNMs) can
be different from their bulk-material and uncertainty remains about the adverse
health effects they may have on humans. Proposals for OELs have been put forward
which can be useful for risk management and workers' protection. We performed a
systematic review of proposals for OELs for MNMs to better understand the extent
of such proposals, as well as their derivation methods. METHODS: We searched
PubMed and Embase with an extensive search string and also assessed the
references in the included studies. Two authors extracted the data independently.
RESULTS: We identified 20 studies that proposed in total 56 OEL values. Of these,
two proposed a generic level for all MNMs, 14 proposed a generic OEL for a
category of MNMs and 40 proposed an OEL for a specific nanomaterial. For specific
fibers, four studies proposed a similar value but for carbon nanotubes (CNTs) the
values differed with a factor ranging from 30 to 50 and for metals with a factor
from 100 to 300. The studies did not provide explanations for this variation. We
found that exposure to MNMs measured at selected workplaces may exceed even the
highest proposed OEL. This indicates that the application and use of OELs may be
useful for exposure reduction. CONCLUSION: OELs can provide a valuable reference
point for exposure reduction measures in workplaces. There is a need for more and
better supported OELs based on a more systematic approach to OEL derivation.
PMID- 27894207
TI - When to recommend a second autograft in patients with relapsed myeloma?
AB - In the current evolving landscape of myeloma therapies, no recommended salvage
strategy exists for patients with relapsed multiple myeloma (MM) after initial
successful autologous stem cell transplantation (ASCT) and therapeutic options
extend from conventional chemotherapy and novel agents to second autologous and
allogeneic transplants. In this article, we summarize the documented evidence
about the utilization of second ASCT in patients with relapsed MM after a primary
auto-graft and discuss the correct timing for such a salvage approach, the
individual characteristics of patients who will benefit more, as well as the
therapeutic role of second ASCT in the modern era of forthcoming anti-myeloma
treatments.
PMID- 27894209
TI - The prevalence and risk indicators of symptoms of common mental disorders among
current and former Dutch elite athletes.
AB - The aim of the study was to determine the prevalence and comorbidity of symptoms
of common mental disorders (distress, anxiety/depression, sleep disturbance,
eating disorders, adverse alcohol use) among current and former Dutch elite
athletes, and to explore the inference between potential risk indicators (severe
injury, surgery, life events, sport career dissatisfaction, social support) and
the outcomes measures under investigation. Cross-sectional analyses were
conducted on baseline questionnaires from an ongoing prospective cohort study
among 203 current and 282 former elite Dutch athletes (response rate: 28% among
current athletes and 95% among former athletes). Based on validated scales, an
electronic questionnaire was set up and distributed. Prevalence (4-week) ranged
from 6% for adverse alcohol use to 45% for anxiety/depression among current elite
athletes, and from 18% for distress to 29% for anxiety/depression among former
elite athletes. A higher number of past severe injuries, higher number of past
surgeries, higher number of recent life events, higher level of career
dissatisfaction and lower level of social support were related to the occurrence
of symptoms of common mental disorders among both current and former elite
athletes. On average, the 4-week prevalence of common mental disorders as shown
in our study among current and former Dutch elite athletes were similar to the
ones found among athletes from other sports disciplines and does compare with the
lifetime prevalence estimates in the general population of the Netherlands.
PMID- 27894208
TI - Whole-body MRI including diffusion-weighted MRI compared with 5-HTP PET/CT in the
detection of neuroendocrine tumors.
AB - AIM: We wanted to explore if whole-body magnetic resonance imaging (MRI)
including diffusion-weighted (DW) and liver-specific contrast agent-enhanced
imaging could be valuable in lesion detection of neuroendocrine tumors (NET).
[11C]-5-Hydroxytryptophan positron emission tomography/computed tomography (5-HTP
PET/CT) was used for comparison. MATERIALS AND METHODS: Twenty-one patients with
NET were investigated with whole-body MRI, including DW imaging (DWI) and
contrast-enhanced imaging of the liver, and whole-body 5-HTP PET/CT. Seven
additional patients underwent upper abdomen MRI including DWI, liver-specific
contrast agent-enhanced imaging, and 5-HTP PET/CT. RESULTS: There was a patient
based concordance of 61% and a lesion-based concordance of 53% between the
modalities. MRI showed good concordance with PET in detecting bone metastases but
was less sensitive in detecting metastases in mediastinal lymph nodes. MRI
detected more liver metastases than 5-HTP PET/CT. CONCLUSION: Whole-body MRI with
DWI did not detect all NET lesions found with whole-body 5-HTP PET/CT. Our
findings indicate that MRI of the liver including liver-specific contrast agent
enhanced imaging and DWI could be a useful complement to whole-body 5-HTP PET/CT.
PMID- 27894210
TI - Protective effects of lemongrass essential oil against benzo(a)pyrene-induced
oxidative stress and DNA damage in human embryonic lung fibroblast cells.
AB - Benzo(a)pyrene (BaP) was a well-known environmental pollutant, numerous studies
had implicated BaP as a causative agent in human cancer, particularly lung
cancer. The lemongrass essential oil (LEO) possessed various pharmacological
activities, especially the anti-oxidative stress and cancer prevention. In the
current study, human embryonic lung fibroblast (HELF) cells were treated with 25
mM BaP in the absence or presence of 0.5%, 1% or 2.5% LEO and the cell viability
and levels of oxidative stress (OS) and DNA damage in the cells were then
measured. Nineteen chemical constituents were identified in LEO, with citral
being the main component, representing about 68.78%. LEO was able to protect the
HELF cells against BaP-induced loss in cell viability, achieving a maximum of
95.58% cell viability at the 0.5% concentration. Treatment of HELF cells with BaP
alone significantly increased the level of Malondialdehyde (MDA) and decreased
superoxide dismutase (SOD) and catalase (CAT). However, these effects were
suppressed when the cells were also treated with LEO, leading to enhanced levels
of SOD and CAT activities (2.9- and 2-fold, respectively, compared with BaP
treatment only) and reduced the level of MDA in the cells (43% reduction in
malondialdehyde level). At the same time, LEO also reduced the level of DNA
damage, as shown by a reduced level of 8-hydroxy-deoxyguanosine (8-OHdG). Taken
together, the results showed that LEO offered protection against BaP-induced OS
and DNA damage, suggesting that LEO could be a promising agent for lung cancer
chemoprevention.
PMID- 27894212
TI - Human instrumental performance in ratio and interval contingencies: A challenge
for associative theory.
AB - Associative learning theories regard the probability of reinforcement as the
critical factor determining responding. However, the role of this factor in
instrumental conditioning is not completely clear. In fact, free-operant
experiments show that participants respond at a higher rate on variable ratio
than on variable interval schedules even though the reinforcement probability is
matched between the schedules. This difference has been attributed to the
differential reinforcement of long inter-response times (IRTs) by interval
schedules, which acts to slow responding. In the present study, we used a novel
experimental design to investigate human responding under random ratio (RR) and
regulated probability interval (RPI) schedules, a type of interval schedule that
sets a reinforcement probability independently of the IRT duration. Participants
responded on each type of schedule before a final choice test in which they
distributed responding between two schedules similar to those experienced during
training. Although response rates did not differ during training, the
participants responded at a lower rate on the RPI schedule than on the matched RR
schedule during the choice test. This preference cannot be attributed to a higher
probability of reinforcement for long IRTs and questions the idea that similar
associative processes underlie classical and instrumental conditioning.
PMID- 27894211
TI - Parenting in infancy and self-regulation in preschool: an investigation of the
role of attachment history.
AB - Parenting and attachment are critical in the emergence of self-regulation (SR) in
preschool. However, most studies use general indexes of parenting quality,
failing to explore the unique contributions of sensitivity and home quality to
SR. Further, the nature of the interplay between parenting and attachment history
is not well understood. Using a sample of 938 children from The National
Institute of Child Health and Human Development Study of Early Child Care and
Youth Development, a series of structural equation models were fit to determine
whether sensitivity and home quality concurrently predicted SR at 54 months, and
whether attachment mediated or moderated these pathways. Results suggest that
both sensitivity and home quality uniquely predict SR. Further, these early
parenting variables were each indirectly associated with SR through children's
attachment history. That is, higher levels of sensitivity and home quality
predicted secure attachment history, which, along with parenting, predicted more
advanced SR skills at 54 months. No moderated pathways emerged, suggesting that
attachment history may be best conceptualized as a mediating mechanism.
PMID- 27894213
TI - Factor solutions of the Social Phobia Scale (SPS) and the Social Interaction
Anxiety Scale (SIAS) in a Swedish population.
AB - Culturally validated rating scales for social anxiety disorder (SAD) are of
significant importance when screening for the disorder, as well as for evaluating
treatment efficacy. This study examined construct validity and additional
psychometric properties of two commonly used scales, the Social Phobia Scale and
the Social Interaction Anxiety Scale, in a clinical SAD population (n = 180) and
in a normal population (n = 614) in Sweden. Confirmatory factor analyses of
previously reported factor solutions were tested but did not reveal acceptable
fit. Exploratory factor analyses (EFA) of the joint structure of the scales in
the total population yielded a two-factor model (performance anxiety and social
interaction anxiety), whereas EFA in the clinical sample revealed a three-factor
solution, a social interaction anxiety factor and two performance anxiety
factors. The SPS and SIAS showed good to excellent internal consistency, and
discriminated well between patients with SAD and a normal population sample. Both
scales showed good convergent validity with an established measure of SAD,
whereas the discriminant validity of symptoms of social anxiety and depression
could not be confirmed. The optimal cut-off score for SPS and SIAS were 18 and 22
points, respectively. It is concluded that the factor structure and the
additional psychometric properties of SPS and SIAS support the use of the scales
for assessment in a Swedish population.
PMID- 27894214
TI - Quantitation using a stable isotope dilution assay (SIDA) and thresholds of taste
active pyroglutamyl decapeptide ethyl esters (PGDPEs) in sake.
AB - A stable isotope dilution assay (SIDA) for two taste-active pyroglutamyl
decapeptide ethyl esters (PGDPE1; (pGlu)LFGPNVNPWCOOC2H5, PGDPE2;
(pGlu)LFNPSTNPWCOOC2H5) in sake was developed using deuterated isotopes and high
resolution mass spectrometry. Recognition thresholds of PGDPEs in sake were
estimated as 3.8 MUg/L for PGDPE1 and 8.1 MUg/L for PGDPE2, evaluated using 11
student panelists aged in their twenties. Quantitated concentrations in 18
commercial sake samples ranged from 0 to 27 MUg/L for PGDPE1 and from 0 to 202
MUg/L for PGDPE2. The maximum levels of PGDPE1 and PGDPE2 in the sake samples
were approximately 8 and 25 times higher than the estimated recognition
thresholds, respectively. The results indicated that PGDPEs may play significant
sensory roles in the sake. The level of PGDPEs in unpasteurized sake samples
decreased during storage for 50 days at 6 degrees C, suggesting PGDPEs may be
enzymatically decomposed.
PMID- 27894215
TI - The molecular pathogenesis of mantle cell lymphoma.
AB - Mantle cell lymphoma (MCL) is characterized by the translocation t(11;14) leading
to constitutive cyclin D1 overexpression. However, overexpression of cyclin D1
alone is insufficient to cause malignant transformation. Secondary genetic
alterations and deregulated signaling pathways involved in DNA damage response,
cell proliferation, and apoptosis are indispensable for MCL lymphomagenesis.
Recent studies investigating the biology of MCL have revealed crucial importance
of B-cell receptor (BCR), nuclear factor-kappa B (NF-kappaB), phosphoinositide 3
kinase (PI3K), and BCL2 signaling for the molecular pathogenesis of MCL. In
addition, activation of the Janus kinase (JAK)/signal transducer and activator of
transcription 3 (STAT3), NOTCH and WNT pathway can be observed in subsets of
MCLs. These addictions can potentially be utilized therapeutically by
implementing small molecule inhibitors into current treatment regimens.
PMID- 27894216
TI - Bone effects of canagliflozin, a sodium glucose co-transporter 2 inhibitor, in
patients with type 2 diabetes mellitus.
AB - Canagliflozin, a sodium glucose co-transporter 2 (SGLT2) inhibitor approved for
the treatment of type 2 diabetes mellitus (T2DM), lowers blood glucose by
inhibiting renal glucose reabsorption and increasing urinary glucose excretion.
It has been reported that SGLT2 inhibitors may have potential adverse effects on
bone, including increased fracture risk and decreased bone mineral density (BMD).
Across clinical studies, canagliflozin was not associated with meaningful changes
in serum or urine calcium, vitamin D, or parathyroid hormone. Minimal increases
in serum phosphate and magnesium that were within normal limits were seen with
canagliflozin versus placebo. Canagliflozin was associated with increases in
serum collagen type 1 beta-carboxy telopeptide (beta-CTX), a bone resorption
marker, and osteocalcin, a bone formation marker. Decreases in total hip BMD were
seen with canagliflozin 100 and 300 mg versus placebo after 2 years (-1.7%,
2.1%, -0.8%; differences of -0.9% and -1.2%), but not at other skeletal sites
(normal age-related bone loss, ~0.5-1.0%/year). Changes in beta-CTX and total hip
BMD were significantly associated with weight loss, which is known to increase
bone resorption markers and decrease BMD. Canagliflozin was associated with a
higher fracture incidence in an interim analysis of the CANagliflozin
cardioVascular Assessment Study (CANVAS) in patients with a history or high risk
of cardiovascular disease (incidence per 100 patient-years of 1.6, 1.6, and 1.1
with canagliflozin 100 and 300 mg and placebo), but not in other clinical studies
of patients with T2DM. Fractures tended to occur as early as 12 weeks after
initiating treatment and were primarily located in the distal parts of the upper
and lower extremities. The reason for increased fracture risk with canagliflozin
treatment is unknown, but is likely not related to a direct effect of
canagliflozin on bone-related biomarkers. Data from ongoing canagliflozin
studies, including CANVAS, will provide additional information on fracture risk
in patients with T2DM.
PMID- 27894217
TI - Diagnosis, clinical manifestations and management of rare bleeding disorders in
Iran.
AB - BACKGROUND: Rare bleeding disorders (RBDs) are heterogeneous disorders, mostly
inherited in an autosomal recessive pattern. Iran is a Mideast country with a
high rate of consanguinity that has a high rate of RBDs. OBJECTIVE: In this
study, we present prevalence and clinical presentation as well as management and
genetic defects of Iranian patients with RBDs. METHODS: For this study, all
relevant publications were searched in Medlin until 2015. RESULTS AND DISCUSSION:
Iran has the highest global incidence of factor XIII deficiency. Factor VII
deficiency also is common in Iran, while factor II deficiency, with a prevalence
of 1 per ~3 million, is the rarest form of RBDs. Factor activity is available for
all RBDs except for factor XIII deficiency, in which clot solubility remains as a
diagnostic test. Molecular analysis of Iranian patients with RBDs revealed a few
recurrent, common mutations only in patients with factor XIII deficiency, and
considerable novel mutations in other RBDs. Clinical manifestations of these
patients are variable and patients with factor XIII, factor X and factor VII more
commonly presented severe life-threatening bleeding, while patients with combined
factor V and factor VIII presented a milder phenotype. Plasma-derived products
are the most common therapeutic choice in Iran, used prophylactically or on
demand for the management of these patients. CONCLUSION: Since Iran has a high
rate of RBDs with life-threatening bleeding, molecular studies can be used for
carrier detection and, therefore, prevention of the further expansion of these
disorders and their fatal consequence.
PMID- 27894218
TI - Molecular and cellular studies on the absorption, function, and safety of food
components in intestinal epithelial cells.
AB - The intestinal tract comes into direct contact with the external environment
despite being inside the body. Intestinal epithelial cells, which line the inner
face of the intestinal tract, have various important functions, including
absorption of food substances, immune functions such as cytokine secretion, and
barrier function against xenobiotics by means of detoxification enzymes. It is
likely that the functions of intestinal epithelial cells are regulated or
modulated by these components because they are frequently exposed to food
components at high concentrations. This review summarizes our research on the
interaction between intestinal epithelial cells and food components at cellular
and molecular levels. The influence of xenobiotic contamination in foods on the
cellular function of intestinal epithelial cells is also described in this
review.
PMID- 27894219
TI - Exposure to culturally sensitive sexual health information and impact on health
literacy: a qualitative study among newly arrived refugee women in Sweden.
AB - In Sweden, migrants have poorer sexual and reproductive health compared to the
general population. Health literacy, in the form of the cognitive and social
skills enabling access to health promoting activities, is often poorer among
migrants, partly due to language and cultural barriers. Culturally sensitive
health education provides a strategy for enhancing health literacy. Since 2012,
specially trained civic and health communicators have provided sexual and
reproductive health and rights information to newly arrived refugees in Skane,
Sweden. The aim of this study was to explore how information on sexual and
reproductive health and rights was perceived by female recipients and whether
being exposed to such information contributed to enhanced sexual and reproductive
health and rights literacy. Semi-structured in-depth interviews were conducted
with nine women and analysed using qualitative content analysis. Two themes
emerged: (1) opening the doors to new understandings of sexual and reproductive
health and rights and (2) planting the seed for engagement in sexual and
reproductive health and rights issues, illustrating how cultural norms influenced
perceptions, but also how information opened up opportunities for challenging
these norms. Gender-separate groups may facilitate information uptake, while
discussion concerning sexual health norms may benefit from taking place in mixed
groups.
PMID- 27894220
TI - The role of the Pharmacist in the design, development and implementation of
Medication Prescription Support Systems.
AB - Clinical Decision Support Systems (CDSS) are computerized tools designed to help
healthcare professionals to make clinical and therapeutic decisions, with the
objective of improving patient care. Prescription-targeted CDSS have the highest
impact in improving patient safety. Although there are different designs and
functionalities, all these systems will combine clinical knowledge and patient
information in a smart manner, in order to improve the prescription process. With
the emergence of new technologies and advances in smart decision systems, the
implementation of said systems can achieve an important improvement in terms of
the prescription process and patient safety. The design and implementation of
these systems should be performed by a multidisciplinary team of professionals,
where Pharmacists will play an important role due to their technical knowledge
about medications and the technologies associated to their use. This article aims
to provide basic guidelines for the design and adequate implementation,
monitoring and follow-up of Clinical Decision Support Systems within the setting
of pharmacological prescription.
PMID- 27894221
TI - Effectiveness and safety of pemetrexed for non-small cell lung cancer in the
Andalusian Public Health System.
AB - OBJECTIVE: To evaluate effectiveness and safety profile of pemetrexed in patients
with locally advanced or metastatic non-small-cell lung cancer (NSCLC) when it's
used on real clinical practice in Andalusia (a Spanish region with 8.5 million
inhabitants, 2014 census data). METHODS: An observational multicentre
retrospective study was conducted. Adult patients with locally
advanced/metastatic NSCLC who received pemetrexed in any hospital in the
Andalusian Public Health System during the last term of 2011 were included. We
collected patients' baseline characteristics, diagnostic and treatment data,
effectiveness variables (response to treatment with pemetrexed and
overallsurvival) and main adverse reactions detected. RESULTS: 172 patients from
17 hospitals were included (77.33% were men), median age 63 years old (between 34
and 83). The predominant histology was adenocarcinoma (84.30%) and 85.20% were
diagnosed of lung cancer with IV-stage. 78.49% had been smokers at some point in
their lives. Median overall survival from the start of pemetrexed was 9 months
(95%CI, 4.1-13.9). Progression of the disease was the most frequent response
(33.14%), only one patient had complete response. Stable disease was associated
with a higher probability of survival. Main adverse reactions detected were
asthenia, haematological reactions, gastrointestinal reactions and dermal o
mucous toxicity. No patients discontinued treatment for serious toxicity.
CONCLUSIONS: Pemetrexed resulted quite effective in NSCLC when it was used on
real clinical practice, with higher survival in non-squamous histology and
patients with the best score of Eastern Cooperative Oncology Group scale. The
toxicity profile was well tolerated. Prospective studies would be needed to
confirm the effect of prognostic factors observed.
PMID- 27894222
TI - [Training degree assessment of staff producing parenteral nutrition in Pharmacy
Services].
AB - OBJECTIVE: To assess the level of expertise of Pharmacy personnel in the
manufacturing of total parenteral nutrition. MATERIAL AND METHODS: An on-line
survey including 17 questions concerning key aspects of TPN manufacturing was
designed. Survey monkey software was used to create the survey and to analize its
results. RESULTS: 135 answers were received. 95% of the participant Pharmacy
services had written standard manufacturing procedures. 67% answered that
phosphate salts should be the first electrolite to be additioned into the total
parenteral nutrition and 34% affirmed that validation of the aseptic
manufacturing technique was not performed. As far as personnel training was
concerned, 19% of respondents had not received any specific training, although
99% considered it would be necessary to receive it. CONCLUSIONS: The polled
personell has an acceptable level of expertise but adequate training courses are
still necessary and should be promoted from Pharmacy services.
PMID- 27894223
TI - [Oncology medications prescription in a cancer service: appropriateness to
clinical practice guidelines].
AB - OBJECTIVE: To assess prescription of oncology medications in municipal public
health network of Rosario for its appropriateness to clinical practice
guidelines. METHODS: Descriptive pharmacoepidemiological study in adult patients
in an Oncology Service between January and June 2012. Compliance requirements
with clinical practice guidelines were evaluated. RESULTS: 51.8% of diagnoses had
at least one prescription medication that did not match recommendation by at
least one of the guides considered. Prescriptions of doxorrubicine and ifosfamide
did not agree with the recommendation of any reference guides. 5.4% of
prescriptions weren't considered by local guides, nor 7.7% by national on es.
Regarding comparison with international guidelines: 4.2% of prescriptions weren
't considered by the European Society for Medical Oncology guidelines, 2.3% not
considered by the American Cancer Society and only 1.9% were not considered by
the National Comprehensive Cancer Network ones. CONCLUSIONS: Prescription of
oncology treatments is closer to international reference guides. One reason could
be that there is still no standard definition in the management of tumor diseases
by the National State.
PMID- 27894224
TI - Comparative study of preparation of hazardous drugs with different closed-system
drug transfer devices by means of simulation with fluorescein.
AB - OBJECTIVES: The level of environmental contamination generated during preparation
and administration of hazardous drugs using different valve closed-systems and
their combinations was compared. The actual impact on the overall time of
preparation of cytostatics and the economic cost of the different modalities were
also compared. METHODS: Comparative study of the preparation of fluorescein
mixtures with different modalities of valve closed-system combinations.
Environmental contamination was detected in critical points of connection, and in
splashes produced at any other points. The main variable was qualitative
detection of contamination by splashes through ultraviolet light when modalities
with or without a connector were compared. A final number of 160 mixtures were
prepared to detect differences of at least 5%. RESULTS: Splashes were produced in
7 preparations without a connector (p = 0.015). No significant differences (p =
0.445) were detected either in the use of a supporting vial spike vs an anchoring
spike, or in the ChemoCLAVE(r) system vs valve systems with Fleboflex(r)
solutions. Contamination at any critical point was produced in all preparations.
The use of a supporting vial spike, syringe connector and bag solution with Luer
connection was the most efficient modality. CONCLUSIONS: A syringe connector is
needed to guarantee a closed system. Anchoring spikes do not show higher
advantages as compared with supporting vial spikes. Fleboflex(r) solutions with
Luer bags are more efficient than ChemoCLAVE(r) and show similar safety. However,
connections of these closed systems are not leak-tight, and it is therefore
important to continue studies of contamination of the different closed system
transfer devices.
PMID- 27894225
TI - Agreement between the 2009 STOPP Criteria and the 2003 Beers Criteria at the time
of hospital admission.
AB - : Potentially inappropriate prescription in elderly patients can be evaluated by
different methods. The STOPP Criteria and Beers Criteria stand out among the
explicit criteria most widely used. OBJECTIVE: The main objective of this study
is to identify the agreement in the detection of potentially inappropriate
prescribing between the STOPP criteria and the Beers Criteria, in elderly
patients assessed at the time of hospital admission. METHOD: An observational
retrospective study was designed in order to estimate the prevalence of
potentially inappropriate prescription in the habitual treatment before
admission, and to compare the agreement in detection capability between the 2003
Beers Criteria and the 2009 STOPP Criteria, in >70-yearold patients with an
emergency hospital admission. There was also a specific analysis of the
prescription of first-generation antihistamines, tricyclic antidepressants,
benzodiazepines, and selective serotonin reuptake inhibitors, which are
considered drugs with irregular management and potential toxicity. RESULTS: The
total prevalence of patients with a potentially inappropriate prescription was
23.8% using the Beers Criteria and 33.3% using the STOPP Criteria. There was a
low level of agreement between both questionnaires. First-generation
antihistamines (Beers) and pharmacological duplication (STOPP J) were the
criteria most frequently found. There were differences in the prevalence of
potentially inappropriate prescription in the four pharmacological groups
selected; and in all cases, detection by Beers Criteria was superior. CONCLUSION:
There is no agreement between the STOPP Criteria and the Beers Criteria regarding
the detection of patients with potentially inappropriate prescribing at the time
of hospital admission.
PMID- 27894227
TI - Multidisciplinary teams involved: detection of drug-related problems through
continuity of care.
AB - OBJECTIVE: To quantify Drug-Related problems (DRPs) by establishing a Strategic
Continuity of Care Program (e-Conecta- Concilia Program; e-CC) focused on the
drug therapy of patients within an Integrated Management Structure, in order to
guarantee the therapeutical efficiency, safety and traceability of patients.
METHOD: A prospective study at 8 months. The project included 22 Hospital
Pharmacists and 12 Primary Care Pharmacists. Electronic clinical records were
used, which can be accessed by all healthcare levels. Those interventions
required in order to create a Standard Operating Procedure (SOP) were carried out
(creation of working groups, computing, meeting points), for coordination among
pharmacists in different care levels through a common communication system. The
working groups formed by pharmacists of both care levels established the
following inclusion criteria: patients with chronic diseases and polymedicated,
patients for whom drug-related problems (DRP) had been detected, detection of any
off-label use in Primary Care, or discrepancies in the standardization of medical
prescriptions. RESULTS: In the setting of the e-CC program, interventions were
unified and discrepancies were identified. During this project, 245 drug-related
problems were detected; the majority regarding inadequate dosing, regimen, or
duration (24%), and involving Group B medications (33%), according to the ATC
classification. CONCLUSIONS: The implementation of a Continuity of Care SOP
between pharmacists allowed to detect and solve DRPs and discrepancies in patient
pharmacotherapy, with a high rate of acceptance (84.1%) of interventions.
PMID- 27894226
TI - Adaptation of oral medication in people institutionalized in nursing homes for
whom medication is crushed: The ADECUA Study.
AB - PURPOSE: To evaluate the effect of pharmacist interventions in the adaptation of
pharmaceutical forms in elders institutionalized in nursing homes whose medicines
are crushed, which may have a clinical relevance. METHODS: Quasi-experimental,
multicenter, transversal and prospective study carried out in 10 nursing homes.
Subjects for whom drugs were being crushed were identified. Their treatments were
reviewed in order to identify drugs that should not be crushed. In these cases,
we proposed an alternative to the physician and we evaluated the degree of
acceptance, its association to other variables and the pre-post adaptation index
(tablets that can be crushed and capsules that can be opened/ total number of
tablets and capsules before and after the intervention). Moreover, health
professionals received a training course. RESULTS: Medication was being crushed
for 33% (618/1875) of residents (mean: 5 drugs susceptible of crushing). 220
pharmaceutical interventions were performed, mostly in extended release or gastro
resistant drugs (48% were accepted). Switch was the type of intervention more
frequent (79%), mainly to Purpose: To evaluate the effect of pharmacist
interventions in the adaptation of pharmaceutical forms in elders
institutionalized in nursing homes whose medicines are crushed, which may have a
clinical relevance. METHODS: Quasi-experimental, multicenter, transversal and
prospective study carried out in 10 nursing homes. Subjects for whom drugs were
being crushed were identified. Their treatments were reviewed in order to
identify drugs that should not be crushed. In these cases, we proposed an
alternative to the physician and we evaluated the degree of acceptance, its
association to other variables and the pre-post adaptation index (tablets that
can be crushed and capsules that can be opened/ total number of tablets and
capsules before and after the intervention). Moreover, health professionals
received a training course. RESULTS: Medication was being crushed for 33%
(618/1875) of residents (mean: 5 drugs susceptible of crushing). 220
pharmaceutical interventions were performed, mostly in extended release or gastro
resistant drugs (48% were accepted). Switch was the type of intervention more
frequent (79%), mainly to.
PMID- 27894228
TI - Development of a taxonomy for pharmaceutical interventions in HIV+ patients based
on the CMO model.
AB - OBJECTIVE: To agree on a proposal for pharmaceutical interventions and establish
their classification taxonomy according to the CMO-Pharmaceutical Care Model
(Capacity-Motivation- Opportunity). METHOD: A study conducted between March and
May, 2016. Two phases of development were defined. A literature review was
initially conducted. Then, the DELPHI-Rand-UCLA methodology was used in order to
reach a consensus about those interventions selected, and to define the taxonomy.
Fifteen (15) experts, specialists in Pharmaceutical Care for HIV+ patients, were
selected. This selection was explicitly conducted, following a protocol in order
to avoid any bias. An initial proposal was developed according to the
interventions extracted from Phase 1. These were tentatively classified according
to the CMO Model, in a category based on their design and utility. Three issues
were raised from the initial question: Do you agree with the proposed
classification? If not, there was an option to re-categorize. Additionally, they
were asked about the importance, priority and impact to achieve
pharmacotherapeutic objectives that they would assign to it. Interventions were
classified according to the degree of agreement. Once a consensus was reached,
the final taxonomy was established. RESULTS: Eighteen (18) articles were finally
considered. The initial proposal included 20 pharmaceutical interventions with
the following classification: seven in Capacity, eight in Motivation, and five in
Opportunity. Those interventions considered to have greater importance and
priority were: Review and Validation, Safety, and Adherence. The interventions
with the greatest impact were: Review and Validation, Coordination, Adherence,
and Motivation. On the other hand, the lowest scores for importance were for:
Planning and Social Coordination; and in terms of impact: Social Coordination.
CONCLUSIONS: The taxonomy reached by consensus will allow to classify
pharmaceutical interventions with the new model, and therefore to conduct an
improved research and patient care.
PMID- 27894229
TI - [Self-reported experience in patients treated with Hepatitis C direct acting
antivirals].
AB - BACKGROUND AND OBJECTIVE: To learn about and analyze the self-reported treatment
experience of HCV patients who started treatment with direct acting antivirals
agents (DAA), at a real-time, proactive and integrated into the pharmaceutical
care healthcare process, using a prospective questionnaire completed by patients
as clinical tool. MATERIAL AND METHODS: Observational and cross-sectional study
conducted between April (start of the National Strategic Plan) and December 2015
in the Outpatient Pharmacy Service. The questionnaire includes variables related
to health related quality of life (HRQOL), adherence, adverse effects (AEs),
satisfaction, and usefulness of the Pharmacy Service implemented training
program. A descriptive analysis of all variables included in the study was
conducted and the influence of different variables analyzed in the degree of
adherence and HRQOL. The analysis of the differences was performed using chi
square test and simple logistic regression model for calculation of OR. We use
SPSS version 20 program and statistical significance for values of p < 0.05 was
considered. RESULTS: 155 of the 226 surveys returned, with a response rate of
68.6%. Referring to the HRQOL (evaluation of physical and emotional state), 38.7%
of patients reports that their physical and emotional state is much better from
the start of treatment. The presence of EA and worse global information of their
disease was associated with worse physical and emotional state (p < 0.05).
Reported adherence was 84.5% and the treatment was evaluated as very good or good
by 87% of patients. 52.9% had no adverse effects related to the medication and
the training process performed by the specialist pharmacist at the first visit
96.7% of patients assessed as very good or good. CONCLUSIONS: Self-reported
experience acquired through direct and constant contact with patients provides
information on important aspects of treatment. We believe that these tools should
be incorporated into pharmaceutical care procedures as a way to maintain
continuity in patients direct contact. They also induce patients to a self
assessment of various aspects of their own treatment, which can help achieve
greater involvement in it and can contribute to achieve the maximum health
outcome in pharmacotherapy.
PMID- 27894230
TI - Factor VIII delivery devices in haemophilia A. Barriers and drivers for treatment
adherence.
AB - INTRODUCTION AND OBJECTIVE: To capture the experience of haemophilia A patients
with their devices for coagulation factor reconstitution, the barriers for
treatment adherence; and to determine their preferences, presenting a new double
chamber syringe (DCS). METHOD: A cross-sectional research through a supervised
survey and a DCS testing session. RESULTS: Seventy-four (74) patients
participated, 50% of them on prophylaxis treatment, and 7 years (median) with
their usual treatment (IQR 17.25). In the survey, the DCS received the highest
score (75/100, p < 0.001) and it was the most likely to be used in prophylactic
treatment (p < 0.001). In the practical testing session (n = 29), 62.1% preferred
the DCS, and required 43 seconds as median time (24.5-82) for preparation, vs. 4
minutes (1-15) with their usual treatment (p < 0.001). The favourable opinion of
their doctor regarding prophylaxis was very influential on the attitude of
participants towards treatment adherence (OR = 1.324, CI 95% = 1.040-1.685, p =
0.023). CONCLUSIONS: The DCS was the preferred device, and was likely to
encourage prophylaxis.
PMID- 27894231
TI - Evidence of exposure to cytostatic drugs in healthcare staff: a review of recent
literature.
AB - OBJECTIVE: Provide updated evidence and learn about the actions that must be
implemented in order to prevent the occupational exposure to cytostatic drugs.
METHOD: A bibliographic search was carried out on the MEDLINE, COCHRANE PLUS and
WEB OF SCIENCE databases, with the terms "surface contamination", "cytostatic
drug", "drug preparation", "occupational exposure", "safe handling" and "closed
system transfer device", within the 2010-2015 period. RESULTS: Thirteen articles
were selected for review. These articles are from hospitals in U.S.A., Canada,
Japan, Australia, Spain, Portugal and Germany. In all of them, surface
contamination by cytostatic agents was found in over 15 different surfaces, with
concentrations ranging from 1.69 ng/cm2 to 4-784 MUg/cm2. The specific drugs were
cyclophosphamide, ifosfamide, 5-fluorouracil, methotrexate, paclitaxel,
cisplatin, gemcitabine, and docetaxel. Closed-system transfer devices can reduce
the contamination in work surfaces significantly, but do not eliminate it.
CONCLUSIONS: Presence of contamination by cytostatic drugs was confirmed in many
hospitals across all 5 continents. In all cases, contamination was found in the
cabinet, on the floor in front of the cabinet, and in other places of the
Hospital Pharmacy. The drug most frequently found was cyclophosphamide. The most
effective action used to reduce contamination was the closed-system transfer
devices (CSTDs).
PMID- 27894232
TI - [Myoclonus associated with Ciprofloxacin therapy].
PMID- 27894233
TI - [Lung infiltrates associated with sertraline administration].
PMID- 27894234
TI - [Annual Index].
PMID- 27894235
TI - Energy Efficient Graphene Based High Performance Capacitors.
AB - BACKGROUND: Graphene (GRP) is an interesting class of nano-structured electronic
materials for various cutting-edge applications. OBJECTIVE: To date, extensive
research activities have been performed on the investigation of diverse
properties of GRP. The incorporation of this elegant material can be very
lucrative in terms of practical applications in energy storage/conversion
systems. METHOD: Among various those systems, high performance electrochemical
capacitors (ECs) have become popular due to the recent need for energy efficient
and portable devices. Therefore, in this article, the application of GRP for
capacitors is described succinctly. In particular, a concise summary on the
previous research activities regarding GRP based capacitors is also covered
extensively. RESULT: It was revealed that a lot of secondary materials such as
polymers and metal oxides have been introduced to improve the performance. Also,
diverse devices have been combined with capacitors for better use. CONCLUSION:
More importantly, recent patents related to the preparation and application of
GRP based capacitors are also introduced briefly. This article can provide
essential information for future study.
PMID- 27894237
TI - New Insight in Improving Therapeutic Efficacy of Antipsychotic Agents: An
Overview of Improved In Vitro and In Vivo Performance, Efficacy Upgradation and
Future Prospects.
AB - Psychotic disorders are recognized as severe mental disorders that rigorously
affect patient's personality, critical thinking, and perceptional ability. High
prevalence, global dissemination and limitations of conventional pharmacological
approaches compel a significant burden to the patient, medical professionals and
the healthcare system. To date, numerous orally administered therapies are
available for the management of depressive disorders, schizophrenia, anxiety,
bipolar disorders and autism spectrum problems. However, poor water solubility,
erratic oral absorption, extensive first-pass metabolism, low oral
bioavailability and short half-lives are the major factors which limit the
pharmaceutical significance and therapeutic feasibility of these agents. In
recent decades, nanotechnology-based delivery systems have gained remarkable
attention of the researchers to mitigate the pharmaceutical issues related to the
antipsychotic therapies and to optimize their oral drug delivery, therapeutic
outcomes, and patient compliance. Therefore, the present review was aimed to
summarize the available in vitro and in vivo evidences signifying the
pharmaceutical importance of the advanced delivery systems in improving the
aqueous solubility, transmembrane permeability, oral bioavailability and
therapeutic outcome of the antipsychotic agents.
PMID- 27894238
TI - Anatomical Approach to Clinical Problems of Popliteal Fossa.
AB - BACKGROUND: Popliteal fossa, also known as the popliteal space, is located behind
the knee joint. This region can develop many clinical complications in the
vascular, nervous, lymphatics, adipose, as well as swelling and masses.
OBJECTIVE: The objective of this review article is to give a detailed
understanding of the popliteal fossa and the clinical pathology that may present
itself. METHODS: MEDLINE(r) searches were conducted of literature published since
1950s for "popliteal fossa," "diseases," "anatomy," "arterial," "venous,"
"nerves," "entrapment syndrome," "aneurysms," "cysts," "lymphatics," "solid
masses," "tumors," "inflammatory lesions," and "swellings." The references
provide up-to-date literature for all the pathologies discussed. RESULTS: This
review articles discusses the anatomy, clinical examination, including history,
physical, and imaging modalities, and various diseases that present themselves in
patients. Diseases relating to the arterial and venous systems, nervous system,
musculature, adipose, lymphatics, cysts and other solid masses, including
neoplasms, and abscesses. The differential diagnosis and symptoms of certain
conditions are addressed to isolate the root of the manifestation. CONCLUSION:
Diseases of the popliteal fossa can use histology and electrophysiology to aid in
diagnosis, as well as instrumentation. Surgical approaches are uses to treat
varying pathologies as they are the best means of therapy.
PMID- 27894239
TI - Do Biologic Agents Increase the Risk of Infection in Patients Undergoing Lower
Limb Arthroplasty Surgery?
AB - BACKGROUND: Biologic agents have contributed significantly to the management of
patients with in rheumatoid arthritis (RA). A significant proportion of patients
with RA still require arthroplasty procedures however. It is unclear whether
these agents increase the risk of post operative infection after lower limb
arthroplasty. METHOD: A literature search was performed for articles published
over the last 10 years in the English language examining the association between
anti-tumour necrosis factor inhibitors and the incidence of post operative
infection in patients with RA undergoing hip and knee arthroplasty procedures.
RESULTS: One large meta-analysis has been published suggesting a 2-fold increase
in infection rates following orthopaedic surgery in patients receiving biological
agents. When subgroup analysis of arthroplasty cases alone was performed the
finding failed to reach significance. However, several further studies have
demonstrated both an increased risk for surgical site infection with the use of
biological agents and several conflicting articles argue the opposite.
CONCLUSION: There is no current consensus on this topic. The safety of
continuation of perioperative anti-TNF-alpha therapy in patients undergoing lower
limb arthroplasty procedures is unclear. There is also little robust guidance
from specialist rheumatologic societies. There is need for large scale
multicentre randomised controlled trials to address this issue.
PMID- 27894240
TI - SUMOylation Regulation of Retina Development and Functions.
AB - The structure and developmental mechanisms of vertebrate retina are highly
conserved. One of the most distinctive events during retinogenesis is the
temporally and spatially generation of seven types of retinal cells from the
multipotent retinal progenitor cells. The importance and prevalence of
SUMOylation in regulation of this process through modulation of gene expression
and protein function diversity have been increasingly appreciated. Here, we
review the biological significance of SUMOylation in retina development, examine
how SUMOylation balances the proliferation and cell cycle exit of retinal
progenitor cells, and finally discuss the molecular mechanisms mediating the
specification of different retina neurons and photoreceptors through modulation
of various transcription factors. The potential role of SUMOylation in normal
retina function is illustrated by the abundant expression of key components of
SUMOylation machinery in mouse retina, and is also exemplified by the highly
conserved SUMOylation site on neurotransmission receptors in ganglion cells.
PMID- 27894241
TI - A Novel Relationship for Schizophrenia, Bipolar and Major Depressive Disorder
Part 6: A Hint from Chromosome 6 High Density Association Screen.
AB - BACKGROUND: Convergent evidence from genetics, symptomatology and
psychopharmacology imply that there are intrinsic connections between
schizophrenia (SCZ), bipolar disorder (BPD) and major depressive disorder (MDD),
for example, any two or even three of these disorders could co-exist in some
families. OBJECTIVE: To screen out the susceptibility genes on chromosome 6
comprehensively for SCZ, BPD and MDD in a relative homogenous population in
China. METHOD: A total of 56.400 single nucleotide polymorphism (SNPs) on
chromosome 6 were genotyped by Affymetrix Genome-Wide Human SNP array 6.0 on 119
SCZ, 253 BPD (type- I), 177 MDD patients and 1000 controls. RESULTS: Associated
SNP loci on chromosome 6 were comprehensively revealed and outstanding
susceptibility genes were identified including JARID2 (Homo sapiens jumonji, AT
rich interactive domain 2) which plays an essential role in embryonic development
and neural tube fusion process. Unexpectedly, flanking genes for all associated
SNPs were replicated in an enlarged cohort of 986 SCZ patients. CONCLUSION:
Considering all evidence, our results imply that both of bipolar disorder and
major depressive disorder are subtypes of schizophrenia. Furthermore, JARID2 is
an important psychosis gene in this population.
PMID- 27894236
TI - Virulence Factor Targeting of the Bacterial Pathogen Staphylococcus aureus for
Vaccine and Therapeutics.
AB - BACKGROUND: Staphylococcus aureus is a major bacterial pathogen capable of
causing a range of infections in humans from gastrointestinal disease, skin and
soft tissue infections, to severe outcomes such as sepsis. Staphylococcal
infections in humans can be frequent and recurring, with treatments becoming less
effective due to the growing persistence of antibiotic resistant S. aureus
strains. Due to the prevalence of antibiotic resistance, and the current
limitations on antibiotic development, an active and highly promising avenue of
research has been to develop strategies to specifically inhibit the activity of
virulence factors produced S. aureus as an alternative means to treat disease.
OBJECTIVE: In this review we specifically highlight several major virulence
factors produced by S. aureus for which recent advances in antivirulence
approaches may hold promise as an alternative means to treating diseases caused
by this pathogen. Strategies to inhibit virulence factors can range from small
molecule inhibitors, to antibodies, to mutant and toxoid forms of the virulence
proteins. CONCLUSION: The major prevalence of antibiotic resistant strains of S.
aureus combined with the lack of new antibiotic discoveries highlight the need
for vigorous research into alternative strategies to combat diseases caused by
this highly successful pathogen. Current efforts to develop specific
antivirulence strategies, vaccine approaches, and alternative therapies for
treating severe disease caused by S. aureus have the potential to stem the tide
against the limitations that we face in the post-antibiotic era.
PMID- 27894244
TI - Umbelliferone Decreases Intracellular pH and Sensitizes Melanoma Cell Line A375
to Dacarbazin. Comparison with Acetazolamide.
AB - BACKGROUND AND OBJECTIVE: The high degree of malignancy of tumour cells is linked
to alterations of many physiological parameters like the intracellular pH (pHi).
The pHi in cancer cell line is regulated by the carbonic anhydrase IX (CA IX).
The main enzymatic function of the CA IX protein is to catalyze the hydration of
carbon dioxide into bicarbonate ions and protons. CA IX expression in a broad
variety of human tumor tissues is associated with resistance to therapy. One
promising approach is to target the mechanism regulating pH homeostasis with
carbonic anhydrase inhibitors like sulfamides and coumarins families. METHODS: In
this work we have evaluated effects of umbelliferone and acetazolamide in a high
resistant melanoma cell line (A375) over expressing CA IX. Impact of effective
doses of CA IX inhibitors on apoptosis, intracellular pH (pHi), CA IX protein
expression and functionality have been investigated. Determination of effective
doses of CA IX inhibitors was performed with MTT tests. We also evaluated
sensitization effect of CA inhibitors to conventional therapy as dacarbazin.
RESULTS: We have used 10 uM Umbelliferone and 100 uM Acetazolamide as effective
doses for 24h. These doses did not induce any apoptosis. Umbelliferone induced a
more important pHi decrease than Acetalozamide from 7.3 to 7.08 and to 7.12
respectively, and a more important decrease in s-CA IX fraction showing a
decrease in CA IX function. We have demonstrated that pre-treatment with
umbelliferone or acetazolamide allows a better dacarbazin efficacy. CONCLUSION:
We have demonstrated that inhibitors modify intracellular pH and CAIX
functionality and sensitize cells to Dacarbazin. These original results complete
the knowledge on Sulfamide CA IX inhibitors, bring new insights about Coumarin
compounds and offer new possibilities in high grade melanoma therapies.
PMID- 27894243
TI - Spinal Muscular Atrophy: More than a Disease of Motor Neurons?
AB - Spinal muscular atrophy (SMA) is the most common genetically inherited
neurodegenerative disease resulting in infant mortality. SMA is caused by genetic
deletion or mutation in the survival of motor neuron 1 (SMN1) gene, which results
in reduced levels of the survival of motor neuron (SMN) protein. SMN protein
deficiency preferentially affects alpha- motor neurons, leading to their
degeneration and subsequent atrophy of limb and trunk muscles, progressing to
death in severe forms of the disease. More recent studies have shown that SMN
protein depletion is detrimental to the functioning of other tissues including
skeletal muscle, heart, autonomic and enteric nervous systems,
metabolic/endocrine (e.g. pancreas), lymphatic, bone and reproductive system. In
this review, we summarize studies discussing SMN protein's function in various
cell and tissue types and their involvement in the context of SMA disease
etiology. Taken together, these studies indicate that SMA is a multi-organ
disease, which suggests that truly effective disease intervention may require
body-wide correction of SMN protein levels.
PMID- 27894242
TI - Indazolo[3,2-b]quinazolinones Attack Hepatocellular Carcinoma Hep3B Cells by
Inducing Mitochondrial-Dependent Apoptosis and Inhibition of Nrf2/ARE Signaling
Pathway.
AB - BACKGROUND AND OBJECTIVE: Hepatocellular carcinoma (HCC) is the second leading
cause of cancer death worldwide. Genotoxic stress resistance in patients often
contributes to poor clinical outcomes, and is intensively associated to the
upregulation of Nrf2/ARE signaling pathway. In this study, we examined the
connection between the anticancer activity of two novel indazolo[3,2
b]quinazolinone (IQ) derivatives, IQ-7 and IQ-12, and their effect on the
Nrf2/ARE signaling pathway. METHODS: We initially measured the cytotoxicity of IQ
7 and IQ-12 in Hep3B (human hepatoma cell) and HL-7702 (normal human liver cell)
cell lines, then further detected their effects on Nrf2/ARE signaling pathway and
apoptosis. RESULTS: IQ-7 and IQ-12 downregulated the expression levels of Nrf2
and its downstream target genes, such as NQO1, HO-1 and Gclc. In Hep3B cells
treated with IQ-7 or IQ-12, the mitochondrial membrane potential decreased
dramatically while the expression level of the pro-apoptotic protein VDAC1 and
anti-apoptotic protein Bcl-2 significantly increased and decreased, respectively.
In addition, IQ-7 (but not IQ-12) also induced the activity of Caspase-3.
Interestingly, IQ-7 appeared to selectively inhibit Hep3B cells while having rare
adverse effect on HL-7702 cells. CONCLUSION: The two compounds were shown to
induce apoptosis and inhibit the Nrf2/ARE signaling pathway in Hep3B cells, and
IQ-7 was suggested a degree of specificity against cancer cells. The design of
these compounds may therefore represent a new strategy for designing quinazoline
derivatives that could selectively target carcinoma cells.
PMID- 27894245
TI - Recent Advances in Understanding of Kinetic Interplay Between Phase II Metabolism
and Efflux Transport.
AB - BACKGROUND: Mechanistic understanding of the metabolism-transport interplay
assumes great importance in pharmaceutical fields because the knowledge can help
to interpret drug/xenobiotic metabolism and disposition studies as well as the
drug-drug interactions in vivo. About 10 years ago, it started to recognize that
cellular phase II metabolism is strongly influenced by the excretion (efflux
transport) of generated metabolites, a kinetic phenomenon termed "phase II
metabolism-transport interplay". This interplay is believed to have significant
effects on the pharmacokinetics (bioavailability) of drugs/chemicals undergoing
phase II metabolism. METHODS: In this article, we review the studies
investigating the phase II metabolism-transport interplay using cell models,
perfused rat intestine, and intact rats. The potential confounding factors in
exploring such interplay is also summarized. Moreover, the mechanism underlying
the phase II metabolism-transport interplay is discussed. RESULTS: Various
studies with engineered cells and rodents have demonstrated that there is an
interaction (interplay) between phase II enzymes and efflux transporters. This
type of interplay mainly refers to the dependence of phase II (conjugative)
metabolism on the activities of efflux transporters. In general, inhibiting
efflux transporters or decreasing their expression causes the reductions in
metabolite excretion, apparent excretion clearance (CLapp) and total metabolism
(fmet), as well as an increase in the intracellular level of metabolite (Ci). The
deconjugation mediated by hydrolase (acting as a "bridge") is essential for the
interplay to play out based on pharmacokinetic modeling/simulations, cell and
animal studies. The hydrolases bridge the two processes (i.e., metabolite
formation and excretion) and enable the interplay thereof (a bridging effect).
Without the bridge, metabolite formation is independent on its downstream process
excretion, thus impact of metabolite excretion on its formation is impossible.
CONCLUSION: Deconjugation (mediated by hydrolases) plays an essential role in the
conjugation-transport interplay.
PMID- 27894246
TI - N-Chlorosuccinimide-Mediated Oxidative Chlorination of Thiols to Nalpha
Protected Amino Alkyl Sulfonyl Azides and Their Utility in the Synthesis of
Sulfonyl Triazole Acids
AB - An efficient oxidative chlorination of thiols to Nalpha-protected amino alkyl
sulfonyl azides isdelineated. The reaction involves in situ generation of
sulfonyl chloride employing Nchlorosuccinimideand tetrabutylammonium chloride
water in acetonitrile, followed by the reactionwith sodium azide. The protocol is
simple, straight forward, mild and high yielding. Amino acidswith simple as well
as bifunctional side chains were used to obtain Nalpha-protected amino alkyl
sulfonylazides. Further, sulfonyl azides were utilized to synthesize unnatural
amino acids viaCu(OAc)2.H2O/2-amino phenol catalyzed Click reaction with
propiolic acid.
PMID- 27894247
TI - Aldose Reductase as a Drug Target for Treatment of Diabetic Nephropathy: Promises
and Challenges.
AB - Diabetic nephropathy (DN) is one of the most serious microvascular complications
of diabetes mellitus and the leading cause of end stage renal disease. One of the
key pathways activated in DN is the polyol pathway, in which glucose is converted
to sorbitol (a relatively nonmetabolizable sugar) by the enzyme aldose reductase
(AR). Shunting of glucose into this pathway causes disruption to glucose
metabolism and subsequently damages the tissues via increased oxidative stress,
protein kinase c activation and production of advanced glycation end products
(AGE) in the kidney. This review aims to provide a comprehensive overview of the
AR enzyme structure, substrate specificity and topology in normal physiology; to
elaborate on the deleterious effects of AR activation in DN; and to summarize the
potential therapeutic benefits and major challenges associated with AR inhibition
in patients with DN.
PMID- 27894248
TI - Crystal Structure of Murein-Tripeptide Amidase MpaA from Escherichia coli O157 at
2.6 A Resolution.
AB - Peptidoglycan (PG) is an essential component of the cell wall, and undergoes
reconstruction by various PG hydrolases during cell growth, development and
division. The murein- tripeptide (Mtp) amidase MpaA belongs to PG hydrolase
family and is responsible for cleaving the gamma-D-Glumeso- Dap amide bond in the
Mtp released during PG turnover. The current paper reports the crystal structure
of MpaA from Escherichia coli (E. coli) O157 at 2.6 A resolution. The asymmetric
unit consists of two protein molecules and each monomer represents the common
alpha/beta fold of metallocarboxypeptidases (MCP). The Tyr133-Asp143 loop appears
to mediate the entrance and binding of the substrate into the active groove. A
structural comparison of MpaA with its homologue from Vibrio harveyi showed that
MpaA has narrower active pocket entrance with a smaller surface opening, which is
determined by the Val204-Thr211 loop. The reported structure provides a starting
point for the molecular mechanism of MpaA in a significant human pathogen.
PMID- 27894249
TI - Brief compression-only cardiopulmonary resuscitation training video and
simulation with homemade mannequin improves CPR skills.
AB - BACKGROUND: Cardiopulmonary resuscitation (CPR) training has traditionally
involved classroom-based courses or, more recently, home-based video self
instruction. These methods typically require preparation and purchase fee; which
can dissuade many potential bystanders from receiving training. This study aimed
to evaluate the effectiveness of teaching compression-only CPR to previously
untrained individuals using our 6-min online CPR training video and skills
practice on a homemade mannequin, reproduced by viewers with commonly available
items (towel, toilet paper roll, t-shirt). METHODS: Participants viewed the
training video and practiced with the homemade mannequin. This was a parallel
design study with pre and post training evaluations of CPR skills (compression
rate, depth, hand position, release), and hands-off time (time without
compressions). CPR skills were evaluated using a sensor-equipped mannequin and
two blinded CPR experts observed testing of participants. RESULTS: Twenty-four
participants were included: 12 never-trained and 12 currently certified in CPR.
Comparing pre and post training, the never-trained group had improvements in
average compression rate per minute (64.3 to 103.9, p = 0.006), compressions with
correct hand position in 1 min (8.3 to 54.3, p = 0.002), and correct compression
release in 1 min (21.2 to 76.3, p < 0.001). The CPR-certified group had adequate
pre and post-test compression rates (>100/min), but an improved number of
compressions with correct release (53.5 to 94.7, p < 0.001). Both groups had
significantly reduced hands-off time after training. Achieving adequate
compression depths (>50 mm) remained problematic in both groups. Comparisons made
between groups indicated significant improvements in compression depth, hand
position, and hands-off time in never-trained compared to CPR-certified
participants. Inter-rater agreement values were also calculated between the CPR
experts and sensor-equipped mannequin. CONCLUSIONS: A brief internet-based video
coupled with skill practice on a homemade mannequin improved compression-only CPR
skills, especially in the previously untrained participants. This training method
allows for widespread compression-only CPR training with a tactile learning
component, without fees or advance preparation.
PMID- 27894250
TI - Pathological interactions between the endothelin-1 and the angiotensin-
converting enzyme among Tunisian coronary patients.
AB - BACKGROUND: The correct understanding of the biochemical and metabolic
interactions between coronary risk factors contribute to the exploration of
cardiovascular pathophysiology and improves therapeutic care. The aim of this
study was to explore the endothelin-1 (ET-1) concentration and the angiotensin
converting enzyme (ACE) activity among Tunisian patients with coronary heart
disease, and to investigate the metabolic relationships between these two
markers,... and to assess the possible relationship between them and the
different risk factors. In this present study, ET-1 concentration was determined
by an analytical method (High Performance Chromatography, coupled by Mass
Spectrometry), ACE activity was measured by a kinetic method for patients and
healthy controls. These subjects (157 patients and 142 controls) beneficed also
by a biochemical exploration (lipid, apolipoproteins and glucose profiles) to
quantify cardiovascular risk. RESULTS: A statistically significant increase of
the ET-1 concentration was found among patients compared to healthy controls
(15.2 +/- 5.3 nM vs 7.1 +/- 2.7 nM, p < 0,00001). For the ACE activity, in spite
the treatment of the majority of patients (97%) with ACE inhibitors, this
activity was statistically elevated in patients compared to healthy subjects
(86.7 +/- 25.4 IU/L vs 42.8 +/- 12.1 IU/L, p < 0.00001). Furthermore, a
statistically positive correlation was identified between these two cardiac
markers (r = 0.68 p < 0.00001). CONCLUSION: The study of the metabolic
relationship between the ET-1 and ACE among coronary patients reveals other
therapeutics targets.
PMID- 27894251
TI - Comprehensive analysis of the fecal microbiota of healthy Japanese adults reveals
a new bacterial lineage associated with a phenotype characterized by a high
frequency of bowel movements and a lean body type.
AB - BACKGROUND: In Japan, a variety of traditional dietary habits and daily routines
have developed in many regions. The effects of these behaviors, and the regional
differences in the composition of the gut microbiota, are yet to be sufficiently
studied. To characterize the Japanese gut microbiota and identify the factors
shaping its composition, we conducted 16S metagenomics analysis of fecal samples
collected from healthy Japanese adults residing in various regions of Japan. Each
participant also completed a 94-question lifestyle questionnaire. RESULTS: We
collected fecal samples from 516 healthy Japanese adults (325 females, 191 males;
age, 21-88). Heatmap and biplot analyses based on the bacterial family
composition of the fecal microbiota showed that subjects' region of residence or
gender were not strongly correlated with the general composition of the fecal
microbiota. Although clustering analysis for the whole cohort did not reveal any
distinct clusters, two enterotype-like clusters were observed in the male, but
not the female, subjects. In the whole subject population, the scores for bowel
movement frequency were significantly correlated with the abundances of
Christensenellaceae, Mogibacteriaceae, and Rikenellaceae in the fecal microbiota
(P < 0.001). These three bacterial families were also significantly more abundant
(P < 0.05 or 0.01) in lean subjects (body mass index (BMI) < 25) than in obese
subjects (BMI > 30), which is consistent with previously published results.
However, a previously reported correlation between BMI and bowel movement
frequency was not observed. In addition, the abundances of these three families
were positively correlated with each other and comprised a correlative network
with 14 other bacterial families. CONCLUSIONS: The present study showed that the
composition of the fecal microbiota of healthy Japanese adults at the national
level was not strongly correlated with subjects' area of residence or gender. In
addition, enterotype partitioning was ambiguous in this cohort of healthy
Japanese adults. Finally, the results implied that the abundances of
Christensenellaceae, Mogibacteriaceae, and Rikenellaceae, along with several
other bacterial components that together comprised a correlative network,
contributed to a phenotype characterized by a high frequency of bowel movements
and a lean body type.
PMID- 27894252
TI - Transcriptome analysis reveals the genetic basis underlying the seasonal
development of keratinized nuptial spines in Leptobrachium boringii.
AB - BACKGROUND: The expression of sexually selected traits often varies with
populations' breeding cycles in many animals. The elucidation of mechanisms
underlying the expression of such traits is a research topic in evolutionary
biology; however, the genetic basis of the seasonal development of their
expression remains unknown. Male Leptobrachium boringii develop keratinized
nuptial spines on their upper jaw during the breeding season that fall off when
the breeding season ends. To illuminate the genetic basis for the expression of
this trait and its seasonal development, we assessed the de novo transcriptome
for L. boringii using brain, testis and upper jaw skin and compared gene
expression profiles of these tissues between two critical periods of the spine
growth cycle. RESULTS: We identified 94,900 unigenes in our transcriptome. Among
them, 2,131 genes were differentially expressed between the breeding period when
the spines developed and the post-breeding period when the spines were sloughed.
An increased number of differentially expressed genes (DEGs) were identified in
the upper jaw skin compared with the testis and brain. In the upper jaw skin,
DEGs were mainly enriched in cytosolic part, peptidase inhibitor activity and
peptidase regulator activity based on GO enrichment analysis and in
glycolysis/gluconeogenesis, ribosome biogenesis in eukaryotes and retinol
metabolism based on KEGG enrichment analysis. In the other two tissues, DEGs were
primarily involved in the cell cycle, DNA replication and melatonin production.
Specifically, insulin/insulin-like growth factor and sex steroid hormone-related
DEGs were identified in the upper jaw skin, indicating . The expression variation
of IGF2 and estrogen-related genes may be the main factors regulating the
seasonal development of the spines. CONCLUSIONS: Our study provides a list of
potential genes involved in the regulation of seasonal development of nuptial
spines in L. boringii. This is the first transcriptome survey of seasonally
developed sexually selected traits for non-model amphibian species, and candidate
genes provided here may provide valuable information for further studies of L.
boringii.
PMID- 27894253
TI - Latitudinal clines in gene expression and cis-regulatory element variation in
Drosophila melanogaster.
AB - BACKGROUND: Organisms can rapidly adapt to their environment when colonizing a
new habitat, and this could occur by changing protein sequences or by altering
patterns of gene expression. The importance of gene expression in driving local
adaptation is increasingly being appreciated, and cis-regulatory elements (CREs),
which control and modify the expression of the nearby genes, are predicted to
play an important role. Here we investigate genetic variation in gene expression
in immune-challenged Drosophila melanogaster from temperate and tropical or sub
tropical populations in Australia and United States. RESULTS: We find parallel
latitudinal changes in gene expression, with genes involved in immunity,
insecticide resistance, reproduction, and the response to the environment being
especially likely to differ between latitudes. By measuring allele-specific gene
expression (ASE), we show that cis-regulatory variation also shows parallel
latitudinal differences between the two continents and contributes to the
latitudinal differences in gene expression. CONCLUSIONS: Both Australia and
United States were relatively recently colonized by D. melanogaster, and it was
recently shown that introductions of both African and European flies occurred,
with African genotypes contributing disproportionately to tropical populations.
Therefore, both the demographic history of the populations and local adaptation
may be causing the patterns that we see.
PMID- 27894254
TI - Divergent selection-induced obesity alters the composition and functional
pathways of chicken gut microbiota.
AB - BACKGROUND: The gastrointestinal tract is populated by a complex and vast
microbial network, with a composition that reflects the relationships of the
symbiosis, co-metabolism, and co-evolution of these microorganisms with their
host. The mechanism that underlies such interactions between the genetics of the
host and gut microbiota remains elusive. RESULTS: To understand how genetic
variation of the host shapes the gut microbiota and interacts with it to affect
the metabolic phenotype of the host, we compared the abundance of microbial taxa
and their functional performance between two lines of chickens (fat and lean)
that had undergone long-term divergent selection for abdominal fat pad weight,
which resulted in a 4.5-fold increase in the fat line compared to the lean line.
Our analysis revealed that the proportions of Fusobacteria and Proteobacteria
differed significantly between the two lines (8 vs. 18% and 33 vs. 24%,
respectively) at the phylum level. Eight bacterial genera and 11 species were
also substantially influenced by the host genotype. Differences between the two
lines in the frequency of host alleles at loci that influence accumulation of
abdominal fat were associated with differences in the abundance and composition
of the gut microbiota. Moreover, microbial genome functional analysis showed that
the gut microbiota was involved in pathways that are associated with fat
metabolism such as lipid and glycan biosynthesis, as well as amino acid and
energy metabolism. Interestingly, citrate cycle and peroxisome proliferator
activated receptor (PPAR) signaling pathways that play important roles in lipid
storage and metabolism were more prevalent in the fat line than in the lean line.
CONCLUSIONS: Our study demonstrates that long-term divergent selection not only
alters the composition of the gut microbiota, but also influences its functional
performance by enriching its relative abundance in microbial taxa. These results
support the hypothesis that the host and gut microbiota interact at the genetic
level and that these interactions result in their co-evolution.
PMID- 27894255
TI - Silent diabetic cardiomyopathy in everyday practice: a clinical and
echocardiographic study.
AB - BACKGROUND: Whether type 2 diabetes mellitus (DM) in the absence of hypertension
(HTA) and coronary artery disease (CAD) affects left ventricular (LV) phenotype
and function among asymptomatic DM patients that can be easily discovered in
everyday practice, what is the clinical risk profile for diabetic cardiomyopathy
and how HTA and CAD modulate LV structure and function above diabetic
cardiomyopathy, are still incompletely answered questions. METHODS: In 210 DM
patients (group I: 70 asymptomatic DM patients without HTA and CAD; group II: 70
DM patients with HTA and no CAD; group III: 70 DM patients with CAD and no HTA)
and 80 healthy individuals, comprehensive echocardiography including speckle
tracking strain and strain rate analysis, was done. RESULTS: Compared to control
DM patients without HTA and CAD had increased LV mass, more frequently concentric
remodeling, impaired LV relaxation and lower LV ejection fraction (EF), fraction
of shortening (FS) and mitral annular plane excursion (MAPSE). Addition of HTA
further impaired EF, FS and MAPSE and aggravated diastolic dysfunction, whereas
concomitant CAD further impaired FS and MAPSE. Peak global longitudinal strain
(Slong) and early diastolic longitudinal strain rate (SRlong E) were impaired in
group I compared to control, even when EF was preserved. Peak circumferential
strain (Scirc) was impaired only when DM was associated with HTA or CAD. In
multivariate analysis DM was significantly and independently from HTA, CAD, age,
gender and body mass index associated with: increased LV mass, concentric LV
remodeling, lower EF, FS, MAPSE, Slong, SRlongE and distorted diastolic
parameters. DM duration, glycosylated hemoglobin, microalbuminuria and
retinopathy, were not independent predictors of LV geometry and function.
CONCLUSION: DM per se has strong and independent influence on LV phenotype and
function that can be detected by conventional and speckle tracking
echocardiography in everyday clinical practice, even in asymptomatic patients. We
could not confirm that these changes were independently related to duration of
DM, quality of metabolic control and presence of microvascular complications.
Concomitant HTA or CAD furthermore distorted LV systolic and diastolic function.
PMID- 27894256
TI - Burkholderia pseudomallei-derived miR-3473 enhances NF-kappaB via targeting TRAF3
and is associated with different inflammatory responses compared to Burkholderia
thailandensis in murine macrophages.
AB - BACKGROUND: Burkholderia pseudomallei (Bp) is the causative agent of melioidosis,
a kind of tropical disease. Burkholderia thailandensis (Bt), with a high sequence
similarity to Bp, is thought to be an avirulent organism. Since there are
numerous similarities between Bp and Bt, their differences in pathogenesis of
host response and related mechanism are still undermined. In recent years,
microRNAs have been researched in many diseases, but seldom involved in bacterial
infection, bacteria-host interaction or explaining the differences between
virulent and avirulent species. RESULTS: We found that Bp and Bt had similar
phenotypes in terms of intracellular replication, dissemination (reflected by
multinucleated giant cell formation), TNF-alpha release and apoptosis in RAW264.7
macrophages or TC-1 pulmonary cell but in different level. Especially, at the
late infection phases (after 12 h post infection), Bp showed faster intracellular
growth, stronger cytotoxicity, and higher TNF-alpha release. After microRNA array
analysis, we found some microRNAs were significantly expressed in macrophages
treated by Bp. miR-3473 was one of them specifically induced, but not
significantly changed in Bt-treated macrophages. In addition, TargetScan
suggested that miR-3473 possibly target TRAF3 (TNF receptor-associated factor 3),
a well-known negative regulator of the NF-kappaB pathway, which was probably
involved in the TNF-alpha induction and apoptosis in cells with Bp infection. In
vivo, it was found that miR-3473 expression of total lungs cells from Bp-treated
was higher than that from Bt-treated mice. And miR-3473 inhibitor was able to
decrease the TNF-alpha release of mice and prolong the survival of mice with Bp
infection. CONCLUSION: In sum, miR-3473 plays an important role in the
differential pathogenicity of Bp and Bt via miR-3473-TRAF3-TNF-alpha network, and
regulates TNF-alpha release, cell apoptosis and animal survival after Bp
treatment. In this study, we have found a specific microRNA is related to
bacterial virulence and provide insight into the mechanism for host-bacteria
interaction, which suggests that potential oligonucleotides should be applied
against bacterial infection.
PMID- 27894258
TI - Multidimensional construct of life satisfaction in older adults in Korea: a six
year follow-up study.
AB - BACKGROUND: Aging raises wide-ranging issues within social, economic, welfare,
and health care systems. Life satisfaction (LS) is regarded as an indicator of
quality of life which, in turn, is associated with mortality and morbidity in
older adults. The objective of this study was to identify the relevant predictors
of life satisfaction and to investigate changes in a multidimensional construct
of LS over time. METHODS: This analysis utilized data from the large-scale,
nationally representative Korean Retirement and Income Study (KReIS), a
longitudinal survey conducted biennially from 2005 to 2011. Outcome measures were
degree of satisfaction with health, economic status, housing, neighbor
relationships, and family relationships. GEE models were used to investigate
changes in satisfaction within each of the five domains. RESULTS: Of a total 3531
individuals aged 65 or older, 2083 (59%) were women, and the mean age was 72 (s.d
= +/-6) years. The majority had a spouse (60.8%) and lived in a rural area (58%).
Analysis showed that physical and mental health were consistently and
significantly associated with satisfaction in each of the domains after adjusting
for potential confounders. Living in a rural area and living with a spouse were
related to satisfaction with economic, housing, family relationships, and
neighbor relationships compared to living in urban areas and living without a
spouse; the only outcome that did not show relationship to these predictors was
health satisfaction. Female and rural residents reported greater economic
satisfaction compared to male and urban residents. Living in an apartment was
associated with 1.32 times greater odds of economic satisfaction compared to
living in a detached house (95% CI: 1.14-1.53; p < 0.0001). Economic satisfaction
was also 1.62 times more likely among individuals living with a spouse compared
to single households (95% CI: 1.35-1.96; p < 0.0001). Financial stress index
value was found to be a significant predictor of satisfaction with family
relationships. CONCLUSIONS: Our study indicates that a single domain of LS or
overall LS will miss many important aspects of LS as age-related LS is multi
faceted and complicated. While most studies focus on overall life satisfaction,
considering life satisfaction as multidimensional is essential to gaining a
complete picture.
PMID- 27894257
TI - Evidence of functional divergence in MSP7 paralogous proteins: a molecular
evolutionary and phylogenetic analysis.
AB - BACKGROUND: The merozoite surface protein 7 (MSP7) is a Plasmodium protein which
is involved in parasite invasion; the gene encoding it belongs to a multigene
family. It has been proposed that MSP7 paralogues seem to be functionally
redundant; however, recent experiments have suggested that they could have
different roles. RESULTS: The msp7 multigene family has been described in newly
available Plasmodium genomes; phylogenetic relationships were established in 12
species by using different molecular evolutionary approaches for assessing
functional divergence amongst MSP7 members. Gene expansion and contraction rule
msp7 family evolution; however, some members could have had concerted evolution.
Molecular evolutionary analysis showed that relaxed and/or intensified selection
modulated Plasmodium msp7 paralogous evolution. Furthermore, episodic
diversifying selection and changes in evolutionary rates suggested that some
paralogous proteins have diverged functionally. CONCLUSIONS: Even though msp7 has
mainly evolved in line with a birth-and-death evolutionary model, gene conversion
has taken place between some paralogous genes allowing them to maintain their
functional redundancy. On the other hand, the evolutionary rate of some MSP7
paralogs has become altered, as well as undergoing relaxed or intensified
(positive) selection, suggesting functional divergence. This could mean that some
MSP7s can form different parasite protein complexes and/or recognise different
host receptors during parasite invasion. These results highlight the importance
of this gene family in the Plasmodium genus.
PMID- 27894260
TI - High platelet reactivity affects the clinical outcomes of patients undergoing
percutaneous coronary intervention.
AB - BACKGROUND: The association of platelet reactivity and clinical outcomes,
especially stent thrombosis, was not so clear. We sought to investigate whether
high platelet reactivity affects clinical outcomes of patients with drug eluting
stents (DESs) implantation. METHODS: All enrolled individuals treated with DESs
implantation were evaluated by PL-11, using sequentially platelet counting
method. The primary end point was the occurrence of definite and probable stent
thrombosis at 2 years. The secondary endpoint was major adverse cardiovascular
and cerebrovascular events (MACCE), including all cause death, spontaneous
myocardial infarction (MI), target vessel revascularization (TVR), and ischemic
stroke. RESULTS: A total of 1331consecutive patients were enrolled at our center.
There were 91 patients (6.8 %) identified with high platelet reactivity (HPR) on
aspirin, and 437 patients (32.9 %) with HPR on clopidogrel. At 2-year follow-up,
the incidence of stent thrombosis was significantly higher in patients with HPR
on aspirin (9.9 % vs. 0.4 %, p < 0.001), and HPR on clopidogrel (3.0 % vs. 0.1 %,
p < 0.001). There were increased MACCE in the HPR on aspirin group (16.5 % vs.
8.5 %, p = 0.021), mainly driven by the higher all cause death (7.7 % vs. 1.6 %,
p = 0.002) and MI (9.9 % vs. 1.9 %, p < 0.001) in the HPR on aspirin group.
Similarly, the rate of MACCE was higher in the HPR on clopidogrel group (12.4 %
vs. 7.4 %, p = 0.004). No differences in all bleeding and hemorrhagic stroke were
observed. CONCLUSIONS: The present study demonstrated that high platelet
reactivity on both aspirin and clopidogrel were associated with incremental stent
thrombosis following DESs implantation.
PMID- 27894259
TI - Genomic signatures of Mannheimia haemolytica that associate with the lungs of
cattle with respiratory disease, an integrative conjugative element, and
antibiotic resistance genes.
AB - BACKGROUND: Mannheimia haemolytica typically resides in cattle as a commensal
member of the upper respiratory tract microbiome. However, some strains can
invade their lungs and cause respiratory disease and death, including those with
multi-drug resistance. A nucleotide polymorphism typing system was developed for
M. haemolytica from the genome sequences of 1133 North American isolates, and
used to identify genetic differences between isolates from the lungs and upper
respiratory tract of cattle with and without clinical signs of respiratory
disease. RESULTS: A total of 26,081 nucleotide polymorphisms were characterized
after quality control filtering of 48,403 putative polymorphisms. Phylogenetic
analyses of nucleotide polymorphism genotypes split M. haemolytica into two major
genotypes (1 and 2) that each were further divided into multiple subtypes.
Multiple polymorphisms were identified with alleles that tagged genotypes 1 or 2,
and their respective subtypes. Only genotype 2 M. haemolytica associated with the
lungs of diseased cattle and the sequence of a particular integrative and
conjugative element (ICE). Additionally, isolates belonging to one subtype of
genotype 2 (2b), had the majority of antibiotic resistance genes detected in this
study, which were assorted into seven combinations that ranged from 1 to 12
resistance genes. CONCLUSIONS: Typing of diverse M. haemolytica by nucleotide
polymorphism genotypes successfully identified associations with diseased cattle
lungs, ICE sequence, and antibiotic resistance genes. Management of cattle by
their carriage of M. haemolytica could be an effective intervention strategy to
reduce the prevalence of respiratory disease and supplemental needs for
antibiotic treatments in North American herds.
PMID- 27894262
TI - Erratum to: Statistical methodology for age-adjustment of the GH-2000 score
detecting growth hormone misuse.
PMID- 27894263
TI - Erratum to: A new transcriptome and transcriptome profiling of adult and larval
tissue in the box jellyfish Alatina alata: an emerging model for studying venom,
vision and sex.
PMID- 27894261
TI - Multimarker approach for the prediction of microvascular obstruction after acute
ST-segment elevation myocardial infarction: a prospective, observational study.
AB - BACKGROUND: Presence of microvascular obstruction (MVO) derived from cardiac
magnetic resonance (CMR) imaging is among the strongest outcome predictors after
ST-segment elevation myocardial infarction (STEMI). We aimed to investigate the
comparative predictive values of different biomarkers for the occurrence of MVO
in a large cohort of reperfused STEMI patients. METHODS: This study included 128
STEMI patients. CMR imaging was performed within the first week after infarction
to assess infarct characteristics, including MVO. Admission and peak
concentrations of high-sensitivity cardiac troponin T (hs-cTnT), creatine kinase
(CK), N-terminal pro-B-type natriuretic peptide (NT-proBNP), high-sensitivity C
reactive protein (hs-CRP), lactate dehydrogenase (LDH), aspartate transaminase
(AST) and alanine transaminase (ALT) were measured. RESULTS: MVO was detected in
69 patients (54%). hs-cTnT, CK, hs-CRP, LDH, AST and ALT peak concentrations
showed similar prognostic value for the prediction of MVO (area under the curve
(AUC) = 0.77, 0.77, 0.68, 0.79, 0.78 and 0.73, all p > 0.05), whereas the
prognostic utility of NT-proBNP was weakly lower (AUC = 0.64, p < 0.05).
Combination of these biomarkers did not increase predictive utility compared to
hs-cTnT alone (p = 0.349). CONCLUSIONS: hs-cTnT, CK, hs-CRP, LDH, AST and ALT
peak concentrations provided similar prognostic value for the prediction of MVO.
The prognostic utility of NT-proBNP was lower. Combining these biomarkers could
not further improve predictive utility compared to hs-cTnT alone.
PMID- 27894264
TI - Optical coherence tomography findings after longitudinal ablation for an
underexpanded stent in a heavily calcified lesion: a case report.
AB - BACKGROUND: Heavy coronary artery calcification is responsible for stent
underexpansion, which is associated with increased in-stent restenosis. Here we
report a case in which optical coherence tomography (OCT) demonstrated that the
metal component of an underexpanded stent previously implanted in a heavy
calcified lesion had been completely removed after ablation with rotational
atherectomy. CASE PRESENTATION: An 83-year-old man with exertional angina was
referred to our hospital. Coronary angiography revealed severe stenosis in the
proximal portion of the right coronary artery and left circumflex artery and
chronic total occlusion (CTO) in the mid portion of the left anterior descending
artery (LAD). We performed complete revascularization with percutaneous coronary
intervention. Because the CTO lesion in LAD contained napkin-ring heavy
calcifications, rotational atherectomy with a 1.75-mm burr was undergone,
followed by the deployment of drug-eluting stents and postdilation with a high
pressure balloon. However, expansion of the stent was incomplete. To address the
recurrence of in-stent restenosis and resistance to the dilation with the high
pressure balloon, we decided to simultaneously ablate both the heavy
calcification and underexpanded stent. Longitudinal stent ablation with 1.75- and
2.0-mm burrs was successful, and OCT demonstrated that the metallic component of
the underexpanded stent had been completely removed. CONCLUSION: If a stent fails
to completely extend in heavy calcification, longitudinal stent ablation by
rotational atherectomy could be an effective remedy.
PMID- 27894265
TI - Long term condition morbidity in English general practice: a cross-sectional
study using three composite morbidity measures.
AB - BACKGROUND: The burden of morbidity represented by patients with long term
conditions (LTCs) varies substantially between general practices. This study
aimed to determine the characteristics of general practices with high morbidity
burden. METHOD: Retrospective cross-sectional study; general practices in
England, 2014/15. Three composite morbidity measures (MMs) were constructed to
quantify LTC morbidity at practice level: a count of LTCs derived from the 20
LTCs included in the UK Quality and Outcomes Framework (QOF) disease registers,
expressed as 'number of QOF LTCs per 100 registered patients'; the % of patients
with one or more QOF LTCs; the % of patients with one or more of 15 broadly
defined LTCs included in the GP Patient Survey (GPPS). Determinants of MM scores
were analysed using multi-level regression models. Analysis was based on a
national dataset of English general practices (n = 7779 practices); GPPS
responses (n = 903,357); general practice characteristics (e.g. list size, list
size per full time GP); patient demographic characteristics (age, deprivation
status); secondary care utilisation (out-patient, emergency department, emergency
admission rates). RESULTS: Mean MM scores (95% CIs) were: 57.7 (+/-22.3) QOF LTCs
per 100 registered patients; 22.8% (+/-8.2) patients with a QOF LTC; 63.5% (+/
11.7) patients with a GPPS LTC. The proportion of elderly patients and social
deprivation scores were the strongest predictors of each MM score; scores were
largely independent of practice characteristics. MM scores were positive
predictors of secondary care utilization and negative predictors' access,
continuity of care and overall satisfaction. CONCLUSIONS: Wide variation in LTC
morbidity burden was observed across English general practice. Variation was
determined by demographic factors rather than practice characteristics. Higher
rates of secondary care utilisation in practices with higher morbidity burden
have implications for resource allocation and commissioning budgets; lower
reported satisfaction in these practices suggests that practices may struggle
with increased workload. There is a need for a readily available metric to define
the burden of morbidity and multimorbidity in general practice.
PMID- 27894266
TI - Tuberculosis drug resistance in Bamako, Mali, from 2006 to 2014.
AB - BACKGROUND: Although Drug resistance tuberculosis is not a new phenomenon, Mali
remains one of the "blank" countries without systematic data. METHODS: Between
2006 and 2014, we enrolled pulmonary TB patients from local TB diagnostics
centers and a university referral hospital in several observational cohort
studies. These consecutive patients had first line drug susceptibility testing
(DST) performed on their isolates. A subset of MDR was subsequently tested for
second line drug resistance. RESULTS: A total of 1186 mycobacterial cultures were
performed on samples from 522 patients, including 1105 sputa and 81 blood
samples, yielding one or more Mycobacterium tuberculosis complex (Mtbc) positive
cultures for 343 patients. Phenotypic DST was performed on 337 (98.3%) unique
Mtbc isolates, of which 127 (37.7%) were resistant to at least one drug,
including 75 (22.3%) with multidrug resistance (MDR). The overall prevalence of
MDR-TB was 3.4% among new patients and 66.3% among retreatment patients. Second
line DST was available for 38 (50.7%) of MDR patients and seven (18.4%) had
resistance to either fluoroquinolones or second-line injectable drugs.
CONCLUSION: The drug resistance levels, including MDR, found in this study are
relatively high, likely related to the selected referral population. While
worrisome, the numbers remained stable over the study period. These findings
prompt a nationwide drug resistance survey, as well as continuous surveillance of
all retreatment patients, which will provide more accurate results on countrywide
drug resistance rates and ensure that MDR patients access appropriate second line
treatment.
PMID- 27894267
TI - Prevalence of colonization by methicillin-resistant Staphylococcus aureus ST398
in pigs and pig farm workers in an area of Catalonia, Spain.
AB - BACKGROUND: A livestock-associated clonal lineage (ST398) of methicillin
resistant Staphylococcus aureus (MRSA) has been identified causing colonization
or infection in farm workers. The aim of the study was to analyze the prevalence
of MRSA-ST398 colonization in pigs and in pig farmers in an area with a high pig
population (Osona, Barcelona province, Catalonia, Spain). METHODS: We performed a
cross-sectional prevalence study in Osona (Catalonia, Spain), from June 2014 to
June 2015. All pig farm workers from 83 farms were studied. Twenty of these farms
were randomly selected for the study of both pigs and farmers: 9 fattening and 11
farrow-to-finish farms. All workers over the age of 18 who agreed to participate
were included. Samples were analyzed to identify MRSA-ST398 and their spa type.
RESULTS: Eighty-one of the 140 pig farm workers analyzed (57.9% (95% IC: 50.0
66.4%)) were MRSA-positive, all of them ST398. The mean number of years worked on
farms was 17.5 +/- 12.6 (range:1-50), without significant differences between
positive and negative MRSA results (p = 0.763). Over 75% of MRSA-ST398 carriers
worked on farms with more than 1250 pigs (p < 0.001). At least one worker tested
positive for MRSA-ST398 on all 20 selected pig farms. Ninety-two (46.0% (95% IC:
39.0-53.0%)) of the nasal swabs from 200 pigs from these 20 farms were MRSA
positive, with 50.5% of sows and 41.4% of fattening pigs (p = 0.198) giving MRSA
positive results. All the isolates were tetracycline-resistant, and were
identified as MRSA-ST398. The spa type identified most frequently was t011 (62%).
Similar spa types and phenotypes of antibiotic resistance were identified in pigs
and farmers of 19/20 tested farms. CONCLUSIONS: The prevalence of MRSA-ST398
among pig farm workers and pigs on farms in the studied region is very high, and
the size of the farm seems to correlate with the frequency of colonization of
farmers. The similar spa-types and phenotypes of resistance detected in pigs and
workers in most of the farms studied suggest animal-to-human transmission.
PMID- 27894268
TI - Differentiating secondary from primary dengue using IgG to IgM ratio in early
dengue: an observational hospital based clinico-serological study from North
India.
AB - BACKGROUND: Secondary dengue causes more severe disease than the primary. Early
on, it is important to differentiate the two. We tried to find important clinical
and laboratory differences between the two for the purpose of early
differentiation. METHODS: One hundred fourteen patients confirmed on reverse
transcriptase-polymerase chain reaction (RT PCR) were studied. On day 2 of
illness IgM and IgG indices were studied for calculation of IgG/IgM ratio. A one
step immunochromatographic assay was used for classification of patients into
primary and secondary dengue. Patient characteristics were also studied. RESULTS:
Dengue serotype 1 was the most common found in 60.5% patients. 66.7% (76
patients) had secondary dengue. Secondary dengue cases had a higher mean
temperature (101.56 +/- 1.55 vs. 100.79 +/- 1.25, degrees F, p 0.015), lower
platelet counts (50.51 +/- 38.91 vs. 100.45 +/- 38.66, x 103/micl, p <0.0001) and
a significantly higher percentage of Dengue hemorrhagic fever/Dengue shock
syndrome (38.2% vs. 2.6%, p <0.0001). In early phase of dengue NS1 and PCR were
found to be better tests for diagnosis and later IgM is better. The IgG/IgM ratio
of >= 1.10 had a sensitivity of 100%, specificity of 97.4% and accuracy of 67.5%
in differentiating secondary from primary dengue. CONCLUSION: Early on in the
clinical course, IgG/ IgM ratio can play an important role to differentiate the
two. We found the ratio of >= 1.10 to be the best cut off for the same.
PMID- 27894270
TI - Evaluation of tolerability with the co-formulation elvitegravir, cobicistat,
emtricitabine, and tenofovir disoproxil fumarate for post-HIV exposure
prophylaxis.
AB - BACKGROUND: The preferred regimen for HIV post-exposure prophylaxis (PEP) is
based mainly on safety and tolerability because it is given to immunocompetent
people without HIV infection for a limited time (28 days). The frequency of
adverse events (AEs) may be > 60%. Although AEs are generally not severe, they
can lead to lack of adherence and failure to complete the regimen. We evaluated
the co-formulation elvitegravir, cobicistat, emtricitabine, and tenofovir
disoproxil fumarate (Stribild(r)) prescribed as one pill taken once daily for HIV
PEP in terms of tolerability and adherence. METHODS: This was a prospective
cohort study conducted in one hospital in Paris (April to December 2015. Each
participant receiving the PEP treatment (FTC-150 mg/TDF-245 mg/elvitegravir-200
mg/cobicistat 150 mg once daily) at the pharmacy of the hospital were recruited
consecutively. A clinical visit was planned at 8 weeks after sexual exposure.
Reminders were sent to participants who missed the appointment. A standardized
questionnaire was administered to evaluate completeness and tolerability at week
8. RESULTS: Overall, 284 participants (86% men; 80% MSM; median age 30 years)
were prescribed Stribild(r); 50 stopped after reassessment of risk. Among 234
participants who effectively received PEP, 215 (92%) completed 28 days of PEP
with only three who switched from Stribild(r) to another PEP because of side
effects. More than 60% of participants reported at least one AE, which were mild
to moderate. Fatigue, central neurological and abdominal side effects were the
most frequently reported. CONCLUSIONS: Stribild(r) seems to be a good option for
HIV PEP due to the easiness of use, the side effects profile and the high
completion rate.
PMID- 27894269
TI - Prevalence of abdominal aortic aneurysms and its relation with cardiovascular
risk stratification: protocol of the Risk of Cardiovascular diseases and
abdominal aortic Aneurysm in Varese (RoCAV) population based study.
AB - BACKGROUND: Recent meta-analyses suggested that screening program for abdominal
aortic aneurysms (AAA) in 65-year old males is cost-effective at prevalence of
about 1%. Since some events occur also in females and among the youngers,
screening could be feasible among those at higher risk, such as smokers or
individuals with a family history of AAA. The RoCAV (Risk of Cardiovascular
diseases and abdominal aortic Aneurysms in Varese) Project is a population-based
study aimed to evaluate AAA prevalence in Northern Italy in males over-65 years
as well as among females and younger males, and to identify new markers for risk
stratification by collecting a large set of CVD risk factors. The aims of the
project are: (i) cross-sectional evaluation of AAA prevalence (ii); evaluation of
standard CVD risk score as criteria for selecting subgroup at higher risk to be
included in a screening program; (iii) identification of new risk markers and
risk score algorithm for AAA and CVD risk stratification; (iv) cost-effective
evaluation during the follow-up. METHODS: Males aged 50-75 years and females aged
60-75 years, resident in the city of Varese (Lombardy Region), were randomly
selected from the civil registry. Among 5198 successfully invited, 3777 subjects
accepted to participate and were finally recruited (participation rate 63.8%)
from June 2013 to May 2016. Trained operators administered a computerized
anamnestic questionnaire, measured anthropometric parameters (BMI, body
circumferences, skinfolds), blood pressure, ankle-brachial index, pulse wave
velocity and performed abdominal aortic ultrasound scan, ECG and spirometry. All
methods were internationally validated. A blood sample was collected and stored
in biobank. A follow-up will be carried out through linkage with electronic
records. DISCUSSION: Participation rate and data quality assessment were as
expected and will reasonably allow to reach the project aims. The expected impact
in public health of the RoCAV project will be the potential implementation of a
AAA screening program to the whole region as well as the formulation of new
criteria for risk assessment of AAA and CVD.
PMID- 27894271
TI - Effectiveness of a physical exercise intervention program in improving functional
mobility in older adults after hip fracture in later stage rehabilitation:
protocol of a randomized clinical trial (REATIVE Study).
AB - BACKGROUND: Hip fractures resulting from falls increase substantially with
advancing age and less than half of older hip fracture survivors regain their
former levels of mobility. There is increasing evidence that rehabilitation
interventions with exercises that goes beyond the sub-acute phase or even in a
later stage of care have a positive impact on various functional abilities. The
purpose of this study is to determine if exercise program training for people who
have suffered a fall-related hip fracture will improve functional mobility when
compared with usual care. METHODS: A randomized controlled trial with blinded
assessors and intention-to-treat analysis will be undertaken. We will recruit 82
older adults, 60 years or over who have suffered a hip fracture due to a fall in
the previous 6 to 24 months. Participants randomized to the Intervention Group
(IG) will undertake a physical exercise program involving progressive and
challenging balance training and neuromuscular and functional training of the
lower limbs, conducted at home by physiotherapists, once a week, lasting about
one hour, in the first, second and third month after randomization and will be
taught to perform exercises at home, twice a week, using a booklet. Visits to
monitor and progress the home exercise program will be conducted once a month,
from the fourth to the sixth month and each 2 months until the end of the follow
up at the 12th month, i.e., a total of 18 sessions. Participants will receive
monthly phone calls to encourage exercise adherence. The control group will
receive usual care. The primary outcome will be mobility-related disability and
participants will be assessed at baseline, and at 3 months, 6 and 12 months.
Participants will receive monthly phone calls to ask about falls and exercise
adherence. Adverse effects will be monitored. DISCUSSION: This study proposes a
home-based exercise program, which may in part overcome some barriers for
rehabilitation, such as difficulties with public transportation and lack of a
caregiver to accompany older patients to sessions. If a positive effect is
observed this program has the potential to be incorporated into the public health
system and contribute to building a pathway of care for older people with hip
fracture. TRIAL REGISTRATION: Clinicaltrials.gov Identifier: NCT02295527 .
PMID- 27894272
TI - Clinical and molecular analyses of norovirus-associated sporadic acute
gastroenteritis: the emergence of GII.17 over GII.4, Huzhou, China, 2015.
AB - BACKGROUND: Noroviruses (NoVs) are the most common cause of non-bacterial acute
gastroenteritis (AGE) in all age groups worldwide. The NoVs circulating in Huzhou
over the past 7 years were predominantly GII.4 genotypes. In the winter of 2014
2015, a novel variant of NoV GII.17 emerged and became predominant. We report the
epidemiological patterns and genetic characteristics of NoV after the appearance
of GII.17 in Huzhou City, Zhejiang, China. METHODS: Between January and December
2015, 746 stool specimens collected from patients with acute gastroenteritis were
screened for NoV. Real-time RT-PCR (qPCR) was performed for NoV detection. RT-PCR
was used for genomic amplification and sequencing. Genogroups and genotypes were
assigned using an online NoV typing tool (
http://www.rivm.nl/mpf/norovirus/typingtool ). Phylogenetic analyses were
conducted using MEGA (ver. 6.06). RESULTS: In total, 196 (26.3%) specimens were
identified as NoV-positive. NoV infection was found in all age groups tested
(<=5, 6-15, 16-40, 41-60, and >=60 years), with the 16-40-year age group having
the highest detection rate (117/196, 59.7%). Of the 196 NoV-positive specimens,
191 (97.5%) viruses belonged to GII, and 4 (2.0%) to GI; one sample showed GI and
GII co-infection. Overall, 117 (59.7%) viruses were sequenced, and new
GII.P17/GII.17 variants were the dominant genotype, accounting for 75.2%,
followed by GII.Pe/GII.4 Sydney 2012 strains (11.11%). AGE patients infected with
the GII.P17/GII.17 genotypes almost all had abdominal pain and watery stools.
CONCLUSIONS: We report the epidemiological patterns and genetic characteristics
of the emergence GII.17 over the GII.4 in Huzhou between January and December
2015. After the emergence of GII.17 in October 2014, it steadily replaced the
previously circulating GII.4 Sydney 2012 strain, and continued to be dominant in
2015.
PMID- 27894273
TI - Prevalence of non-febrile seizures in children with idiopathic autism spectrum
disorder and their unaffected siblings: a retrospective cohort study.
AB - BACKGROUND: Autism spectrum disorder (ASD) is a heterogeneous disorder
characterized not only by deficits in communication and social interactions but
also a high rate of co-occurring disorders, including metabolic abnormalities,
gastrointestinal and sleep disorders, and seizures. Seizures, when present,
interfere with cognitive development and are associated with a higher mortality
rate in the ASD population. METHODS: To determine the relative prevalence of non
febrile seizures in children with idiopathic ASD from multiplex and simplex
families compared with the unaffected siblings in a cohort of 610 children with
idiopathic ASD and their 160 unaffected siblings, participating in the Autism
Genetic Resource Exchange project, the secondary analysis was performed comparing
the life-time prevalence of non-febrile seizures. Statistical models to account
for non-independence of observations, inherent with the data from multiplex
families, were used in assessing potential confounding effects of age, gender,
and history of febrile seizures on odds of having non-febrile seizures. RESULTS:
The life-time prevalence of non-febrile seizures was 8.2% among children with ASD
and 2.5% among their unaffected siblings. In a logistic regression analysis that
adjusted for familial clustering, children with ASD had 5.27 (95%CI: 1.51-18.35)
times higher odds of having non-febrile seizures compared to their unaffected
siblings. In this comparison, age, presence of gastrointestinal dysfunction, and
history of febrile seizures were significantly associated with the prevalence of
non-febrile seizures. CONCLUSION: Children with idiopathic ASD are significantly
more likely to have non-febrile seizures than their unaffected siblings,
suggesting that non-febrile seizures may be ASD-specific. Further studies are
needed to determine modifiable risk factors for non-febrile seizures in ASD.
PMID- 27894274
TI - Gene cassette knock-in in mammalian cells and zygotes by enhanced MMEJ.
AB - BACKGROUND: Although CRISPR/Cas enables one-step gene cassette knock-in,
assembling targeting vectors containing long homology arms is a laborious process
for high-throughput knock-in. We recently developed the CRISPR/Cas-based precise
integration into the target chromosome (PITCh) system for a gene cassette knock
in without long homology arms mediated by microhomology-mediated end-joining.
RESULTS: Here, we identified exonuclease 1 (Exo1) as an enhancer for PITCh in
human cells. By combining the Exo1 and PITCh-directed donor vectors, we achieved
convenient one-step knock-in of gene cassettes and floxed allele both in human
cells and mouse zygotes. CONCLUSIONS: Our results provide a technical platform
for high-throughput knock-in.
PMID- 27894275
TI - Perceived stress as a risk factor for peptic ulcers: a register-based cohort
study.
AB - BACKGROUND: The association between stress and peptic ulcers has been questioned
since the discovery of helicobacter pylori. This study examined whether high
perceived everyday life stress was associated with an increased risk of either
receiving a triple treatment or being diagnosed with a peptic ulcer. METHODS:
Cohen's perceived stress scale measured the level of stress in a general health
survey in 2010 of 17,525 residents of northern Jutland, Denmark, and was linked
with National Danish registers on prescription drugs and hospital diagnoses. Cox
proportional hazard regression was used to estimate the risk of either receiving
a triple treatment or being diagnosed in a hospital with a peptic ulcer, in
relation to quintiles of stress levels. RESULTS: A total of 121 peptic ulcer
incidents were recorded within 33 months of follow-up. The lowest stress group
had a cumulative incidence proportion of either receiving triple treatment or
being diagnosed with peptic ulcer of approximately 0.4%, whereas the highest
stress group had a cumulative incidence proportion of approximately 1.2%.
Compared with that of the lowest stress group, those in the highest stress group
had a 2.2-fold increase in risk of either receiving triple treatment or being
diagnosed with peptic ulcer (HR 2.24; CI 95% 1.16:4.35) after adjustment for age,
gender, socioeconomic status, non-steroid anti-inflammatory drug use, former
ulcer and health behaviours. There was no difference in risk between the four
least stressed quintiles. Subgroup analysis of diagnosed peptic ulcer patients
revealed the same pattern as the main analysis, although the results were not
significant. CONCLUSION: The highest level of perceived everyday life stress
raised the risk of either receiving triple treatment or being diagnosed with
peptic ulcer during the following 33 months more than twice compared with that of
the lowest level of perceived stress.
PMID- 27894276
TI - Small proteins in cyanobacteria provide a paradigm for the functional analysis of
the bacterial micro-proteome.
AB - BACKGROUND: Despite their versatile functions in multimeric protein complexes, in
the modification of enzymatic activities, intercellular communication or
regulatory processes, proteins shorter than 80 amino acids (MU-proteins) are a
systematically underestimated class of gene products in bacteria. Photosynthetic
cyanobacteria provide a paradigm for small protein functions due to extensive
work on the photosynthetic apparatus that led to the functional characterization
of 19 small proteins of less than 50 amino acids. In analogy, previously
unstudied small ORFs with similar degrees of conservation might encode small
proteins of high relevance also in other functional contexts. RESULTS: Here we
used comparative transcriptomic information available for two model
cyanobacteria, Synechocystis sp. PCC 6803 and Synechocystis sp. PCC 6714 for the
prediction of small ORFs. We found 293 transcriptional units containing candidate
small ORFs <=80 codons in Synechocystis sp. PCC 6803, also including the known
mRNAs encoding small proteins of the photosynthetic apparatus. From these
transcriptional units, 146 are shared between the two strains, 42 are shared with
the higher plant Arabidopsis thaliana and 25 with E. coli. To verify the
existence of the respective MU-proteins in vivo, we selected five genes as
examples to which a FLAG tag sequence was added and re-introduced them into
Synechocystis sp. PCC 6803. These were the previously annotated gene ssr1169, two
newly defined genes norf1 and norf4, as well as nsiR6 (nitrogen stress-induced
RNA 6) and hliR1(high light-inducible RNA 1) , which originally were considered
non-coding. Upon activation of expression via the Cu2+.responsive petE promoter
or from the native promoters, all five proteins were detected in Western blot
experiments. CONCLUSIONS: The distribution and conservation of these five genes
as well as their regulation of expression and the physico-chemical properties of
the encoded proteins underline the likely great bandwidth of small protein
functions in bacteria and makes them attractive candidates for functional
studies.
PMID- 27894277
TI - Human limb skeletal muscle wasting and architectural remodeling during five to
ten days intubation and ventilation in critical care - an observational study
using ultrasound.
AB - BACKGROUND: Critically ill patients frequently suffer muscle weakness whilst in
critical care. Ultrasound can reliably track loss of muscle size, but also
quantifies the arrangement of the muscle fascicles, known as the muscle
architecture. We sought to measure both pennation angle and fascicle length, as
well as tracking changes in muscle thickness in a population of critically ill
patients. METHODS: On days 1, 5 and 10 after admission to critical care, muscle
thickness was measured in ventilated critically ill patients using bedside
ultrasound. Elbow flexor compartment, medial head of gastrocnemius and vastus
lateralis muscle were investigated. In the lower limb, we determined the
pennation angle to derive the fascicle length. RESULTS: We recruited and scanned
22 patients on day 1 after admission to critical care, 16 were re-scanned on day
5 and 9 on day 10. We found no changes to the size of the elbow flexor
compartment over 10 days of admission. In the gastrocnemius, there were no
significant changes to muscle thickness or pennation angle over 5 or 10 days. In
the vastus lateralis, we found significant losses in both muscle thickness and
pennation angle on day 5, but found that fascicle length is unchanged. Loss of
muscle on day 5 was related to decreases in pennation angle. In both lower limb
muscles, a positive relationship was observed between the pennation angle on day
1, and the percentage of angle lost by days 5 and 10. DISCUSSION: Muscle loss in
critically ill patients preferentially affects the lower limb, possibly due to
the lower limb becoming prone to disuse atrophy. Muscle architecture of the thigh
changes in the first 5 days of admission, in particular, we have demonstrated a
correlation between muscle thickness and pennation angle. It is hypothesised that
weakness in the lower limb occurs through loss of force generation via a reduced
pennation angle. CONCLUSION: Using ultrasound, we have been able to demonstrate
that muscle thickness and architecture of vastus lateralis undergo rapid changes
during the early phase of admission to a critical care environment.
PMID- 27894278
TI - Prevalence and factors associated with diabetes mellitus and impaired fasting
glucose level among members of federal police commission residing in Addis Ababa,
Ethiopia.
AB - BACKGROUND: The prevalence of diabetes mellitus and factors associated with it,
nowadays, are increasing in alarming rates among different occupational groups.
Of these occupational groups are Police officers that, often, are exposed to
unique life styles and stressful situations which may lead to diabetes mellitus
and other cardiovascular diseases. Due to this reason, the present study was
conducted to assess the prevalence and factors associated with diabetes mellitus
and impaired fasting glucose level among members of federal police commission
residing in Addis Ababa, Ethiopia. METHODS: A cross-sectional study design was
conducted from April to May 2015. Multistage and systematic random sampling
techniques were employed to select the study participants. The study population
was federal police commission members living in Addis Ababa and served for at
least a year. The data were collected using structured questionnaire, physical
examinations and blood samples, based on the WHO stepwise approach. Data were
entered in to SPSS version 20.0 and descriptive statistics and logistics
regression were used for analysis. RESULTS: Out of the 1003 eligible subjects,
936 (93.3%) police officers have participated in this study. The prevalence of
overall impaired glucose homeostasis (IGH) was 120 (13%) of which 47 (5%) were
diabetes and 73 (8%) were impaired fasting glucose. Whereas police rank, history
of first degree relative who suffered from diabetes, hypertension and waist hip
ratio showed a statistical significance with prevalence of diabetes mellitus,
age, family history, hypertension, BMI and waist hip ratio were found to be
associated with impaired fasting glucose. CONCLUSION: The study identified a high
prevalence of IGH among the police officers. A priority should be given on
preventive strategies of diabetes mellitus, as that of communicable diseases, by
Federal Police Commission Health Service Directorate, Federal Ministry of Health
and other concerned partners.
PMID- 27894279
TI - Development of a community's self-efficacy scale for preventing social isolation
among community-dwelling older people (Mimamori Scale).
AB - BACKGROUND: Among older people in developed countries, social isolation leading
to solitary death has become a public health issue of vital importance. Such
isolation could be prevented by monitoring at-risk individuals at the
neighborhood level and by implementing supportive networks at the community
level. However, a means of measuring community confidence in these measures has
not been established. This study is aimed at developing the Community's Self
Efficacy Scale (CSES; Mimamori scale in Japanese) for community members
preventing social isolation among older people. METHODS: The CSES is a self
administered questionnaire developed on the basis of Bandura's self-efficacy
theory. The survey was given to a general population (GEN) sample (n = 6,000) and
community volunteer (CVOL) sample (n = 1,297). Construct validity was determined
using confirmatory factor analysis. Internal consistency was calculated using
Cronbach's alpha. The Generative Concern Scale (GCS-R) and Brief Sense of
Community Scale (BSCS) were also administered to assess criterion-related
validity of the CSES. RESULTS: In total, 3,484 and 859 valid responses were
received in the GEN and CVOL groups, respectively. The confirmatory factor
analysis identified eight items from two domains-community network and
neighborhood watch-with goodness of fit index = 0.984, adjusted goodness of fit
index = 0.970, comparative fit index = 0.988, and root mean square error of
approximation = 0.047. Cronbach's alpha for the entire CSES was 0.87 and for the
subscales was 0.80 and higher. The score of the entire CSES was positively
correlated with the GCS-R in both the GEN (r = 0.80, p < 0.001) and CVOL (r =
0.86, p < 0.001) samples. CONCLUSIONS: The CSES demonstrated adequate reliability
and validity for assessing a community's self-efficacy to aid in its preventing
social isolation among older people. The scale is potentially useful for
promoting health policies, practices, and interventions within communities. This
may help prevent social isolation among older people and contribute to overall
well-being in aging societies in Japan and abroad.
PMID- 27894280
TI - Is there any association between Sarcoidosis and infectious agents?: a systematic
review and meta-analysis.
AB - BACKGROUND: During the last few years, investigators have debated the role that
infectious agents may have in sarcoidosis pathogenesis. With the emergence of new
molecular biology techniques, several studies have been conducted; therefore, we
performed a meta-analysis in order to better explain this possible association.
METHODS: This review was conducted in accordance with the Preferred Reporting
Items for Systematic Reviews and Meta-Analyses (PRISMA) statement from the
Cochrane collaboration guidelines. Four different databases (Medline, Scopus, Web
of Science, and Cochrane Collaboration) were searched for all original articles
published from 1980 to 2015. The present meta-analysis included case-control
studies that reported the presence of microorganisms in samples of patients with
sarcoidosis using culture methods or molecular biology techniques. We used a
random effects or a fixed-effect model to calculate the odds ratio (OR) and 95%
confidence intervals (CI). Sensitivity and subgroup analyses were performed in
order to explore the heterogeneity among studies. RESULTS: Fifty-eight studies
qualified for the purpose of this analysis. The present meta-analysis, the first,
to our knowledge, in evaluation of all infectious agents proposed to be
associated with sarcoidosis and involving more than 6000 patients in several
countries, suggests an etiological link between Propionibacterium acnes and
sarcoidosis, with an OR of 18.80 (95% CI 12.62, 28.01). We also found a
significant association between sarcoidosis and mycobacteria, with an OR of 6.8
(95% CI 3.73, 12.39). Borrelia (OR 4.82; 95% CI 0.98, 23.81), HHV-8 (OR 1.47; 95%
CI 0.02, 110.06) as well as Rickettsia helvetica, Chlamydia pneumoniae, Epstein
barr virus and Retrovirus, although suggested by previous investigations, were
not associated with sarcoidosis. CONCLUSION: This meta-analysis suggests that
some infectious agents can be associated with sarcoidosis. What seems clear is
that more than one infectious agent might be implicated in the pathogenesis of
sarcoidosis; probably the patient's geographical location might dictate which
microorganisms are more involved. Future investigations and more clinical trials
are need to bring these evidences to a more global level.
PMID- 27894281
TI - Successful selective reduction of a heterotopic cesarean scar pregnancy in the
second trimester: a case report and review of the literature.
AB - BACKGROUND: Heterotopic cesarean scar pregnancy is a cesarean scar pregnancy
combined with an intrauterine pregnancy that predisposes a woman to life
threatening complications such as uterine rupture and massive bleeding.
Preservation of the intrauterine pregnancy in heterotopic cesarean scar pregnancy
is a great challenge. CASE PRESENTATION: We report a case of a 33-year-old woman
with heterotopic cesarean scar pregnancy after IVF-embryo transfer (ET).
Expectant management was carried out with early diagnosis of heterotopic cesarean
scar pregnancy (HCSP), and selective fetal reduction of cesarean scar pregnancy
(CSP) was performed by ultrasound-guided intrathoracic injection of potassium
chloride (KCl) at 16 + 4 weeks of gestation due to aggravation of CSP.
Preservation of the intrauterine pregnancy was successful and a healthy baby was
delivered by cesarean section at 37 + 6 weeks of gestation. CONCLUSIONS:
Heterotopic cesarean scar pregnancy is an extremely rare form of heterotopic
pregnancy. Patients should be appropriately counseled regarding the different
treatment options available. An ultrasound-guided injection of potassium chloride
may constitute a safe, minimally invasive and reliable way to terminate the
heterotopic gestation and preserve the intrauterine pregnancy. Intensive
management should be performed during the ongoing pregnancy and cesarean section.
PMID- 27894282
TI - Health-related quality of life in stroke patients questionnaire, short version
(HRQOLISP-40): validation for its use in Colombia.
AB - BACKGROUND: The health-related quality of life in stroke patients (HRQOLISP-40,
short version) survey was developed in Nigeria and constitutes a 40-item,
multidimensional, self-administrated questionnaire. We assessed the validity and
reliability of the HRQOLISP-40 Spanish version for stroke patients in Colombia.
METHODS: The analysis included factor analysis, confirmatory factor analysis,
Rasch analysis, convergent validity, internal consistency (261 stroke patients),
test-retest reliability (73 patients assessed at two different times) and
sensitivity to change (46 patients assessed before and after a rehabilitation
intervention). RESULTS: We found an 8-domain structure. None of the items had a
significant impact on the global alpha value in order to be removed. Lin's
concordance correlation coefficient indicated test-retest reliability (Rho IC:
0.76 to 0.95), suggesting an adequate stability of the instrument. Regarding
sensitivity to change differences, they were only significant in the
psychological and eco-social domains (p <0.05). When comparing SF-36 with
HRQOLISP-40, all the correlation coefficients values were significantly different
from zero, except those related to vitality. The highest scores were found in the
physical and physical functioning domains, with a value of 0.722. CONCLUSIONS:
The HRQOLISP-40 scale is valid and reliable for assessing patients' quality of
life after a stroke. Validating quality of life assessment instruments is
necessary in order to improve the effectiveness of rehabilitation programs for
Colombian stroke patients.
PMID- 27894283
TI - Small lung lesions invisible under fluoroscopy are located accurately by three
dimensional localization technique on chest wall surface and performed
bronchoscopy procedures to increase diagnostic yields.
AB - BACKGROUND: Nowadays, small peripheral pulmonary lesions (PPLs) are frequently
detected and the prognosis of lung cancer depends on the early diagnosis. Because
of the high fee and requiring specialized training, many advanced techniques are
not available in many developing countries and rural districts. METHODS: Three
sets of opaque soft copper wires visible under the fluoroscopy (Flu) in the Flu
flexible bronchoscopy (FB) group (n = 24), which determined the three planes of
the lesion, were respectively placed firmly on the surface of the chest wall with
adhesive tape on the chest wall. The FB tip was advanced into the bronchus toward
the crosspoint of the three perpendicular planes under Flu with careful rotation
of a C-arm unit. Then the specimen were harvested focusing around the crosspoint
for pathologic diagnosis. The rapid on-site evaluation (ROSE) procedure was also
performed. The average Flu time during FB procedures were recorded and diagnostic
accuracy rates in the Flu-FB group were compared with the other group guided by
radial endobronchial ultrasound (R-EBUS) (n = 23). RESULTS: The location of the
core point of the lesion, whether it was visible or not under the fluoroscopy
could be recognized by three-dimensional localization technique. The accuracy
rates of diagnostic yields were 62.5% in the Flu-FB group, and was similar as
65.2% in the R-EBUS group (P > 0.05). However, in the Flu-FB group, there was a
decreasing tendency on accurate diagnosis rates of lower lobe (LL) lesions when
comparing with non-LL lesions (3/8 = 37.5% vs 12/16 = 75%, P = 0.091) while in
the R-EBUS group it was similar (9/12 = 75% vs 6/11 = 54.6%, P = 0.278). In the
Flu-FB group, fluoroscopy time was negatively correlated with the lesion length
(r = -0.613, P = 0.001), however, there was no significant difference between the
lesions invisible or not (5.83 +/- 1.45 min vs 7.67 +/- 2.02 min, P = 0.116)
under the fluoroscopy, as well as no significant difference among SPN, mGGO and
GGO (6.12 +/- 2.05 min, 7.25 +/- 1.33 min and 7.80 +/- 2.02 min, P > 0.05).
CONCLUSIONS: Small PPL whether it is visible or not under fluoroscopy can be
located accurately by our three-dimensional localization technique on chest wall
surface and performed bronchoscopy procedures to increase diagnostic yields. It
is more convenient, economical and reliable with the similar diagnostic yields
than R-EBUS guided method. TRIAL REGISTRATION: Current Controlled Trials ChiCTR
DDD-16009715 . The date of registration: 3rd Nov, 2016. Retrospectively
registered.
PMID- 27894285
TI - Implementing an electronic learning management system for an Ophthalmology
residency program.
AB - BACKGROUND: Medical educators, residents and administrators have increasing
access to a large quantity of electronic resources. This content can augment and
improve our teaching methods but can be difficult to consolidate and present. A
multitude of electronic learning management systems are available to help
organize and serve this content though never with small residency programs as the
target userbase. As our residency program in Ophthalmology looked to consolidate
our electronic resources and update our education methods, we evaluated and built
an electronic learning management platform. RESULTS: Faculty were interviewed to
determine features they would find useful in curriculum management system and
then various systems were investigated for features, cost and ease of use.
CONCLUSIONS: Our solution has been both cost-effective and successful. Resident
satisfaction is high and faculty utilization has been increasing. We present many
customizations that increased success. Consideration of the specific needs of a
program is paramount to choosing a cost effective solution that will be well
received.
PMID- 27894284
TI - Proarrhythmic risk and determinants of cardiac autonomic dysfunction in collagen
induced arthritis rats.
AB - BACKGROUNDS: Patients with rheumatoid arthritis (RA) have increased risk of
sudden cardiac death (SCD), which is two-fold higher than general population. The
driving cause of SCD was considered due to lift-threatening arrhythmia where
systemic inflammation acts as the pathophysiological basis linking RA to
autonomicdysfunction. METHODS: To assess the sympathetic over-activity of
"inflammatory reflex", we measured heart rate variability (HRV) in a rat collagen
induced arthritis (CIA) model, whose arthritis is induced in Lewis rats by
intradermal injection of emulsion of type II collagen. Single-lead
electrocardiogram (ECG) was recorded for 30 min every two days. Time and
frequency-domain parameters, detrended fluctuation analysis (DFA), deceleration
(DC) and acceleration capacity (AC) were analyzed. RESULTS: Compared with 9
control rats, many of HRV parameters of 9 CIA rats revealed significant
different. At the beginning of arthritis, LF/HF was significant higher than
controls (1st week: 2.41 +/- 0.7 vs. 1.76 +/- 0.6, p < 0.05; 2nd week: 2.24 +/-
0.5 vs. 1.58 +/- 0.5, p < 0.05) indicating intensive inflammatory reflex at the
initial phase of inflammation but no significant difference was observed in the
following recover phase. The similar trend of DFA parameters was noted. However,
the DC appeared progressive lower despite of no significant increase of the LF/HF
compared with controls since 4th week. CONCLUSIONS: We observed sympathetic over
activation of inflammatory reflex during early stage of arthritis in CIA rats.
The ongoing decline of DC indicated advanced cardiac autonomic dysfunction
regardless of remission of acute arthritis.
PMID- 27894286
TI - The family experiences of in-hospital care questionnaire in severe traumatic
brain injury (FECQ-TBI): a validation study.
AB - BACKGROUND: Family members are important for support and care of their close
relative after severe traumas, and their experiences are vital health care
quality indicators. The objective was to describe the development of the Family
Experiences of in-hospital Care Questionnaire for family members of patients with
severe Traumatic Brain Injury (FECQ-TBI), and to evaluate its psychometric
properties and validity. METHODS: The design of the study is a Norwegian
multicentre study inviting 171 family members. The questionnaire developmental
process included a literature review, use of an existing instrument (the parent
experience of paediatric care questionnaire), focus group with close family
members, as well as expert group judgments. Items asking for family care
experiences related to acute wards and rehabilitation were included. Several
items of the paediatric care questionnaire were removed or the wording of the
items was changed to comply with the present purpose. Questions covering
experiences with the inpatient rehabilitation period, the discharge phase, the
family experiences with hospital facilities, the transfer between departments and
the economic needs of the family were added. The developed questionnaire was
mailed to the participants. Exploratory factor analyses were used to examine
scale structure, in addition to screening for data quality, and analyses of
internal consistency and validity. RESULTS: The questionnaire was returned by 122
(71%) of family members. Principal component analysis extracted six dimensions
(eigenvalues > 1.0): acute organization and information (10 items),
rehabilitation organization (13 items), rehabilitation information (6 items),
discharge (4 items), hospital facilities-patients (4 items) and hospital
facilities-family (2 items). Items related to the acute phase were comparable to
items in the two dimensions of rehabilitation: organization and information. All
six subscales had high Cronbach's alpha coefficients >0.80. The construct
validity was confirmed. CONCLUSION: The FECQ-TBI assesses important aspects of in
hospital care in the acute and rehabilitation phases, as seen from a family
perspective. The psychometric properties and the construct validity of the
questionnaire were good, hence supporting the use of the FECQ-TBI to assess
quality of care in rehabilitation departments.
PMID- 27894287
TI - Towards a preventive strategy for complaints of arm, neck and/or shoulder (CANS):
the role of help seeking behaviour.
AB - BACKGROUND: When developing an effective early preventive strategy for employees
and students with CANS (Complaints of Arm, Neck or Shoulder, not caused by acute
trauma or systemic disease), insight in help seeking behaviour and knowledge of
factors associated with help seeking behaviour within the target population, is a
prerequisite. The aim of this study was to examine whether perceived hindrance is
associated with help seeking behaviour, specifically in employees and students
identified with CANS. Additionally, the associations of factors related to
functioning and participation, work-environment and demographics with help
seeking behaviour were explored in these groups. METHODS: A cross-sectional
survey was conducted among employees and students of two universities in the
South of the Netherlands. The questionnaire included questions to assess (1)
demographics, work/study and activity related factors (2) experience of CANS (3)
perceived hindrance (4) help seeking behaviour. A subpopulation of the survey,
consisting of those employees and students with self-reported CANS, received
additional questionnaires to examine the impact of (1) participant
characteristics (2) complaint and health related variables (3) functioning and
participation (4) work-environment and social support, on help seeking behaviour.
RESULTS: 37.3% of the employees and 41.4% of the students reported CANS. Of
these, respectively 43.3% and 45.5%, did not seek help and had no intention to
seek help either. Employees and students who had not sought help reported less
hindrance, less perceived disabilities and shorter duration of complaints,
compared those who did seek help. Employees and students within this group who
had also no intention to seek help, perceived fewer disabilities and reported
shorter duration of complaints. CONCLUSION: The absence of help seeking behaviour
in respondents with CANS is a bottleneck for implementation of preventive
strategies. In employees and students with CANS, help seeking behaviour is
primarily determined by factors related to experienced hindrance. Our findings
emphasize the need to tailor preventive strategies, in order to optimize
screening and participation in early interventions for CANS.
PMID- 27894288
TI - Bactericidal and antioxidant properties of essential oils from the fruits
Dennettia tripetala G. Baker.
AB - BACKGROUND: The antibacterial and antioxidant properties of the essential oils
(EOs) of unripe and ripe fruits of Dennettia tripetala and their potential for
the management of infectious and oxidative-stress diseases were investigated in
vitro in this study. METHOD: Essential oil obtained from the fruit in Clevenger
modified apparatus, was characterized by high resolution GC-MS, while antioxidant
and antibacterial properties were tested by spectrophotometric and agar diffusion
methods respectively. RESULTS: The EO demonstrated strong antibacterial
properties when subjected to multi -drug resistant bacterial strains:
Enterococcus faecium (ATCC19434), Escherichia coli (ATCC 700728), Staphylococcus
aureus (NCINB 50080), Listeria ivanovii (ATCC 19119), Enterobacter cloacae
(ATCC13047) and four previously confirmed multi resistant bacterial isolates from
our laboratory stock culture. The unripe fruit oil (UFO) demonstrated greater
activity than the ripe fruit oil (RFO) against most of the tested bacteria with
minimum inhibition concentrations (MIC) ranging between 0.05-0.20 mg/mL while
that of the ripe fruit oil (RFO) ranged from 0.10-0.20 mg/mL. The IC50 for RFO
(0.62 +/- 0.12 mg/mL) showed that it has higher antioxidant strength than UFO and
vitamin C (0.87 +/- 0.23 and 3.39 +/- 0.12 mg/mL) but a lower activity compared
to beta-carotene (0.32 +/- 0.22 mg/mL) in scavenging 2, 2-diphenyl-1
picrylhydrazyl radicals (DPPH*). The EOs also demonstrated strong ability in
scavenging three other different radicals (ABTS, lipid peroxide and nitric oxide
radicals) in concentration dependant -manner. CONCLUSION: Findings from this
study suggest that apart from the local uses of the plant extracts, the EO has
strong bioactive compounds, noteworthy antibacterial, antiradical properties and
may be good candidates in the search for lead constituents for the synthesis of
novel potent antibiotics.
PMID- 27894289
TI - The patient reporting and action for a safe environment (PRASE) intervention: a
feasibility study.
AB - BACKGROUND: There is growing interest in the role of patients in improving
patient safety. One such role is providing feedback on the safety of their care.
Here we describe the development and feasibility testing of an intervention that
collects patient feedback on patient safety, brings together staff to consider
this feedback and to plan improvement strategies. We address two research
questions: i) to explore the feasibility of the process of systematically
collecting feedback from patients about the safety of care as part of the PRASE
intervention; and, ii) to explore the feasibility and acceptability of the PRASE
intervention for staff, and to understand more about how staff use the patient
feedback for service improvement. METHOD: We conducted a feasibility study using
a wait-list controlled design across six wards within an acute teaching hospital.
Intervention wards were asked to participate in two cycles of the PRASE (Patient
Reporting & Action for a Safe Environment) intervention across a six-month
period. Participants were patients on participating wards. To explore the
acceptability of the intervention for staff, observations of action planning
meetings, interviews with a lead person for the intervention on each ward and
recorded researcher reflections were analysed thematically and synthesised.
RESULTS: Recruitment of patients using computer tablets at their bedside was
straightforward, with the majority of patients willing and able to provide
feedback. Randomisation of the intervention was acceptable to staff, with no
evidence of differential response rates between intervention and control groups.
In general, ward staff were positive about the use of patient feedback for
service improvement and were able to use the feedback as a basis for action
planning, although engagement with the process was variable. Gathering a
multidisciplinary team together for action planning was found to be challenging,
and implementing action plans was sometimes hindered by the need to co-ordinate
action across multiple services. DISCUSSION: The PRASE intervention was found to
be acceptable to staff and patients. However, before proceeding to a full cluster
randomised controlled trial, the intervention requires adaptation to account for
the difficulties in implementing action plans within three months, the need for a
facilitator to support the action planning meetings, and the provision of
training and senior management support for participating ward teams. CONCLUSIONS:
The PRASE intervention represents a promising method for the systematic
collection of patient feedback about the safety of hospital care.
PMID- 27894290
TI - Erratum to: APC selectively mediates response to chemotherapeutic agents in
breast cancer.
PMID- 27894291
TI - HPV Prevalence in the Dutch cervical cancer screening population (DuSC study):
HPV testing using automated HC2, cobas and Aptima workflows.
AB - BACKGROUND: Primary high risk (hr)HPV screening will be introduced in The
Netherlands in January 2017. Our aim was to determine the hrHPV prevalence in the
Dutch cervical cancer screening population (DuSC study). METHODS: A total of
12,113 residual PreservCyt cervical samples from the Dutch population based
cytology screening program were rendered anonymous, randomized and tested for
hrHPV using 3 HPV assays on their respective automated platforms: QIAGEN's
digene(r) HC2 HPV DNA Test(r) (HC2, signal amplification), Roche Cobas(r) HPV
test (DNA amplification) and Hologic Aptima(r) HPV Test (RNA amplification). To
determine the agreement between results generated using the different assays,
pair wise comparison of the systems was performed by determining kappa
coefficients. RESULTS: The selected samples were representative for the
population based screening program with respect to age distribution and cytology
classification. HrHPV prevalences found were: 8.5% for HC2 (n = 959), 8.1% for
cobas (n = 919) and 7.5% for Aptima (n = 849), resulting in a mean hrHPV
prevalence of 8.0 +/- 0.5%. Although the hrHPV prevalences of the different
assays are in the range of 8%, there was a significant difference in prevalence
for the HC2 vs. Aptima assay (p-value = 0.007). A clear age dependency was found,
with an hrHPV prevalence ranging from 18.7 +/- 1.2% in women 29-33 years of age
to 4.2 +/- 0.2% in women 59-63 years of age. Furthermore, a correlation between
hrHPV prevalence and severity of cytology was observed, ranging from 5.5 +/- 0.4%
in normal cytology to 95.2 +/- 1.7% in severe dysplasia. Indeed, kappa
coefficients of 0.77, 0.71 and 0.72 (HC2 vs cobas, cobas vs Aptima and Aptima vs
HC2, respectively) indicated substantial agreement between the results generated
by the different systems. However, looking at the hrHPV positive samples, only
48% of the samples tested positive with all 3 assays. CONCLUSIONS: A hrHPV
prevalence of 8% was found in this unselected population based screening cohort
independently of using HC2, Aptima or cobas. This prevalence is higher than the
previously reported 4-5% (POBASCAM and VUSA-Screen trials). Furthermore, the
complete automated hrHPV detection workflow solutions from QIAGEN, Roche, and
Hologic were successfully used and will be valuable for reliably implementing
high throughput hrHPV testing in cervical cancer screening.
PMID- 27894292
TI - Reliability of breath by breath spirometry and relative flow-time indices for
pulmonary function testing in horses.
AB - BACKGROUND: Respiratory problems are common in horses, and are often diagnosed as
a cause of poor athletic performance. Reliable, accurate and sensitive
spirometric tests of airway function in resting horses would assist with the
diagnosis of limitations to breathing and facilitate investigations of the
effects of various treatments on breathing capacity. The evaluation of
respiratory function in horses is challenging and suitable procedures are not
widely available to equine practitioners. The determination of relative flow or
flow-time measures is used in paediatric patients where compliance may limit
conventional pulmonary function techniques. The aim of the current study was to
characterise absolute and relative indices of respiratory function in healthy
horses during eupnoea (tidal breathing) and carbon dioxide (CO2)-induced
hyperpnoea (rebreathing) using a modified mask pneumotrachographic technique well
suited to equine practice, and to evaluate the reliability of this technique over
three consecutive days. Coefficients of variation, intra-class correlations, mean
differences and 95% confidence intervals across all days of testing were
established for each parameter. RESULTS: The technique provided absolute measures
of respiratory function (respiratory rate, tidal volume, peak inspiratory and
expiratory flows, time to peak flow) consistent with previous studies and there
was no significant effect of day on any measure of respiratory function.
Variability of measurements was decreased during hyperpnea caused by rebreathing
CO2, but a number of relative flow-time variables demonstrated good agreement
during eupnoeic respiration. CONCLUSIONS: The technique was well tolerated by
horses and study findings suggest the technique is suitable for evaluation of
respiratory function in horses. The use of relative flow-time variables provided
reproducible (consistent) results, suggesting the technique may be of use for
repeated measures studies in horses during tidal breathing or rebreathing.
PMID- 27894294
TI - Appropriate threshold levels of cardiac beat-to-beat variation in semi-automatic
analysis of equine ECG recordings.
AB - BACKGROUND: Although premature beats are a matter of concern in horses, the
interpretation of equine ECG recordings is complicated by a lack of standardized
analysis criteria and a limited knowledge of the normal beat-to-beat variation of
equine cardiac rhythm. The purpose of this study was to determine the appropriate
threshold levels of maximum acceptable deviation of RR intervals in equine ECG
analysis, and to evaluate a novel two-step timing algorithm by quantifying the
frequency of arrhythmias in a cohort of healthy adult endurance horses. RESULTS:
Beat-to-beat variation differed considerably with heart rate (HR), and an
adaptable model consisting of three different HR ranges with separate threshold
levels of maximum acceptable RR deviation was consequently defined. For resting
HRs <60 beats/min (bpm) the threshold level of RR deviation was set at 20%, for
HRs in the intermediate range between 60 and 100 bpm the threshold was 10%, and
for exercising HRs >100 bpm, the threshold level was 4%. Supraventricular
premature beats represented the most prevalent arrhythmia category with varying
frequencies in seven horses at rest (median 7, range 2-86) and six horses during
exercise (median 2, range 1-24). CONCLUSIONS: Beat-to-beat variation of equine
cardiac rhythm varies according to HR, and threshold levels in equine ECG
analysis should be adjusted accordingly. Standardization of the analysis criteria
will enable comparisons of studies and follow-up examinations of patients. A
small number of supraventricular premature beats appears to be a normal finding
in endurance horses. Further studies are required to validate the findings and
determine the clinical significance of premature beats in horses.
PMID- 27894293
TI - Lung bioengineering: physical stimuli and stem/progenitor cell biology interplay
towards biofabricating a functional organ.
AB - A current approach to obtain bioengineered lungs as a future alternative for
transplantation is based on seeding stem cells on decellularized lung scaffolds.
A fundamental question to be solved in this approach is how to drive stem cell
differentiation onto the different lung cell phenotypes. Whereas the use of
soluble factors as agents to modulate the fate of stem cells was established from
an early stage of the research with this type of cells, it took longer to
recognize that the physical microenvironment locally sensed by stem cells (e.g.
substrate stiffness, 3D architecture, cyclic stretch, shear stress, air-liquid
interface, oxygenation gradient) also contributes to their differentiation. The
potential role played by physical stimuli would be particularly relevant in lung
bioengineering since cells within the organ are physiologically subjected to two
main stimuli required to facilitate efficient gas exchange: air ventilation and
blood perfusion across the organ. The present review focuses on describing how
the cell mechanical microenvironment can modulate stem cell differentiation and
how these stimuli could be incorporated into lung bioreactors for optimizing
organ bioengineering.
PMID- 27894295
TI - Impact of a web-based tool (WebCONSORT) to improve the reporting of randomised
trials: results of a randomised controlled trial.
AB - BACKGROUND: The CONSORT Statement is an evidence-informed guideline for reporting
randomised controlled trials. A number of extensions have been developed that
specify additional information to report for more complex trials. The aim of this
study was to evaluate the impact of using a simple web-based tool (WebCONSORT,
which incorporates a number of different CONSORT extensions) on the completeness
of reporting of randomised trials published in biomedical publications. METHODS:
We conducted a parallel group randomised trial. Journals which endorsed the
CONSORT Statement (i.e. referred to it in the Instruction to Authors) but do not
actively implement it (i.e. require authors to submit a completed CONSORT
checklist) were invited to participate. Authors of randomised trials were
requested by the editor to use the web-based tool at the manuscript revision
stage. Authors registering to use the tool were randomised (centralised computer
generated) to WebCONSORT or control. In the WebCONSORT group, they had access to
a tool allowing them to combine the different CONSORT extensions relevant to
their trial and generate a customised checklist and flow diagram that they must
submit to the editor. In the control group, authors had only access to a CONSORT
flow diagram generator. Authors, journal editors, and outcome assessors were
blinded to the allocation. The primary outcome was the proportion of CONSORT
items (main and extensions) reported in each article post revision. RESULTS: A
total of 46 journals actively recruited authors into the trial (25 March 2013 to
22 September 2015); 324 author manuscripts were randomised (WebCONSORT n = 166;
control n = 158), of which 197 were reports of randomised trials (n = 94; n =
103). Over a third (39%; n = 127) of registered manuscripts were excluded from
the analysis, mainly because the reported study was not a randomised trial. Of
those included in the analysis, the most common CONSORT extensions selected were
non-pharmacologic (n = 43; n = 50), pragmatic (n = 20; n = 16) and cluster (n =
10; n = 9). In a quarter of manuscripts, authors either wrongly selected an
extension or failed to select the right extension when registering their
manuscript on the WebCONSORT study site. Overall, there was no important
difference in the overall mean score between WebCONSORT (mean score 0.51) and
control (0.47) in the proportion of CONSORT and CONSORT extension items reported
pertaining to a given study (mean difference, 0.04; 95% CI -0.02 to 0.10).
CONCLUSIONS: This study failed to show a beneficial effect of a customised web
based CONSORT checklist to help authors prepare more complete trial reports.
However, the exclusion of a large number of inappropriately registered
manuscripts meant we had less precision than anticipated to detect a difference.
Better education is needed, earlier in the publication process, for both authors
and journal editorial staff on when and how to implement CONSORT and, in
particular, CONSORT-related extensions. TRIAL REGISTRATION: ClinicalTrials.gov:
NCT01891448 [registered 24 May 2013].
PMID- 27894296
TI - Relationship between body mass index and depressive symptoms: the "fat and jolly"
hypothesis for the middle-aged and elderly in China.
AB - BACKGROUND: Obesity has been identified as a worldwide epidemic. In China, the
highest prevalence of obesity is observed in adults aged >=45 years old. This
study aimed to describe the association between BMI and depressive symptoms among
a large representative sample of middle-aged and elderly in China. METHOD: A
longitudinal sample of the middle-aged and elderly (6,224 males and 6,883
females) who were interviewed in the 2011 China Health and Retirement
Longitudinal Study was used. A multivariate logistic regression analysis was used
to examine the effects of socio-demographic characteristics, lifestyle, activity
status, health status, physical exercise and body weight on depressive symptoms.
RESULTS: Approximately 6.94% of the males were underweight, 25.48% were
overweight and 8.16% were obese. A higher prevalence of obesity was found among
women, with 6.89% being underweight, 31.98% overweight and 14.28% obese. The
underweight subjects were more likely to be depressed (odds ratio; OR = 1.30 and
1.19) compared with the normal weight people, respectively, whereas overweight
and obese men and women were less likely to be depressed (overweight: OR = 0.76
and 0.80; obesity: OR = 0.64 and 0.65, respectively) than people of normal
weight. CONCLUSION: Our data are consistent with the "fat and jolly" hypothesis
being valid in both middle-aged and elderly men and women.
PMID- 27894297
TI - Pulmonary hypertension and vascular remodeling in mice exposed to crystalline
silica.
AB - BACKGROUND: Occupational and environmental exposure to crystalline silica may
lead to the development of silicosis, which is characterized by inflammation and
progressive fibrosis. A substantial number of patients diagnosed with silicosis
develop pulmonary hypertension. Pulmonary hypertension associated with silicosis
and with related restrictive lung diseases significantly reduces survival in
affected subjects. An animal model of silicosis has been described previously
however, the magnitude of vascular remodeling and hemodynamic effects of inhaled
silica are largely unknown. Considering the importance of such information, this
study investigated whether mice exposed to silica develop pulmonary hypertension
and vascular remodeling. METHODS: C57BL6 mice were intratracheally injected with
either saline or crystalline silica at doses 0.2 g/kg, 0.3 g/kg and 0.4 g/kg and
then studied at day 28 post-exposure. Pulmonary hypertension was characterized by
changes in right ventricular systolic pressure and lung histopathology. RESULTS:
Mice exposed to saline showed normal lung histology and hemodynamic parameters
while mice exposed to silica showed increased right ventricular systolic pressure
and marked lung pathology characterized by a granulomatous inflammatory reaction
and increased collagen deposition. Silica-exposed mice also showed signs of
vascular remodeling with pulmonary artery muscularization, vascular occlusion,
and medial thickening. The expression of pro-inflammatory genes such as TNF-alpha
and MCP-1 was significantly upregulated as well as the expression of the pro
remodeling genes collagen type I, fibronectin and the metalloproteinases MMP-2
and TIMP-1. On the other hand, the expression of several vasculature specific
genes involved in the regulation of endothelial function was significantly
attenuated. CONCLUSIONS: We characterized a new animal model of pulmonary
hypertension secondary to pulmonary fibrosis induced by crystalline silica. Our
data suggest that silica promotes the damage of the pulmonary vasculature through
mechanisms that might involve endothelial dysfunction, inflammation, and vascular
remodeling.
PMID- 27894299
TI - Evolutionary phylodynamics of foot-and-mouth disease virus serotypes O and A
circulating in Vietnam.
AB - BACKGROUND: Foot-and-mouth disease virus (FMDV) is one of the highest risk
factors that affects the animal industry of the country. The virus causes
production loss and high ratio mortality in young cloven-hoofed animals in
Vietnam. The VP1 coding gene of 80 FMDV samples (66 samples of the serotype O and
14 samples of the serotype A) collected from endemic outbreaks during 2006-2014
were analyzed to investigate their phylogeny and genetic relationship with other
available FMDVs globally. RESULTS: Phylogenetic analysis indicated that the
serotype O strains were clustered into two distinct viral topotypes (the SEA and
ME-SA), while the serotype A strains were all clustered into the genotype IX.
Among the study strains, the amino acid sequence identities were shared at a
level of 90.1-100, 92.9-100, and 92.8-100% for the topotypes SEA, ME-SA, and
genotype IX, respectively. Substitutions leading to changes in the amino acid
sequence, which are critical for the VP1 antigenic sites were also identified.
Our results showed that the studied strains are most closely related to the
recent FMDV isolates from Southeast Asian countries (Myanmar, Thailand, Cambodia,
Malaysia, and Laos), but are distinct from the earlier FMDV isolates within the
genotypes. CONCLUSIONS: This study provides important evidence of recent movement
of FMDVs serotype O and A into Vietnam within the last decade and their genetic
accumulation to be closely related to strains causing FMD in surrounding
countries.
PMID- 27894301
TI - Erratum to: Advanced Practice Pharmacists: a retrospective evaluation of the
efficacy and cost of ClinicaL Pharmacist PractitionErs managing ambulatory
Medicare patients in North Carolina (APPLE-NC).
PMID- 27894298
TI - Effect of continuity of care on health-related quality of life in adult patients
with hypertension: a cohort study in China.
AB - BACKGROUND: Continuity of care is widely considered a principle of primary care
that decreases healthcare utilization and mortality. However, the effect of
continuity of care on health-related quality of life (HRQoL) for adult patients
with hypertension remains unclear. METHODS: To further evaluate the effect of
continuity of care, we implemented a cohort study among hypertensive patients
aged over 35 years (n = 1200) in six townships in Qianjiang District, Chongqing,
China, between 2012 and 2014. The study ultimately included 1079 participants.
The continuity of care index was calculated using claim-based longitudinal data
obtained from hypertension follow-up service records. The baseline and endline
survey-based data, tested by the SF-36 scale, were used to assess HRQoL. To
control selection bias and examine the effect of continuity of care, a kernel
based propensity score matching difference-in-differences (DID) method was used.
Additionally, descriptive statistics, chi-squared test, and Mann-Whitney
nonparametric test were used to summarize characteristics, evaluate proportional
differences, and analyze statistical differences, respectively. RESULTS: Our
results showed that patients in the high continuity of care group presented
greater improvement in both Physical Component Summary (PCS, DID = 5.192 +/-
1.970, p < 0.001) and Mental Component Summary (MCS, DID = 7.900 +/- 1.815, p =
0.008) than those in the low continuity of care group. Moreover, patients in the
high continuity of care group showed significant improvement in physical
functioning, role-physical, general health, role-emotional, and mental health.
CONCLUSIONS: Our findings indicate that a long-term physician-patient
relationship may improve HRQoL in patients with hypertension. However, more
unified measurement tools are needed to evaluate continuity of care. Further
studies should include more study settings.
PMID- 27894300
TI - Pleural inhibition of the caspase-1/IL-1beta pathway diminishes profibrotic lung
toxicity of bleomycin.
AB - BACKGROUND: Idiopathic and toxic pulmonary fibrosis are severe diseases starting
classically in the subpleural area of the lung. It has recently been suggested
that pleural mesothelial cells acquire a myofibroblast phenotype under fibrotic
conditions induced by TGF-beta1 or bleomycin. The importance and role of
inflammation in fibrogenesis are still controversial. In this work, we explored
the role of IL-1beta/caspase-1 signaling in bleomycin lung toxicity and in
pleural mesothelial cell transformation. METHODS: C57BL/6 mice were intravenously
injected with either bleomycin or nigericin or NaCl as control. In vitro, the
Met5A cell line was used as a model of human pleural mesothelial cells. RESULTS:
Intravenous injections of bleomycin induced lung fibrosis with histologically
proven peripheral distribution, collagen accumulation in the pleural and
subpleural area, and overexpression of markers of myofibroblast transformation of
pleural cells which migrated into the lung. These events were associated with an
inflammatory process with an increase in neutrophil recruitment in pleural lavage
fluid and increased caspase-1 activity. TGF-beta1 was also overexpressed in
pleural lavage fluid and was produced by pleural cells following intravenous
bleomycin. In this model, local pleural inhibition of IL-1beta with the IL-1beta
inhibitor anakinra diminished TGF-beta1 and collagen accumulation. In vitro,
caspase-1 inhibition interfered with Met5A cell transformation into the
myofibroblast-like phenotype induced by bleomycin or TGF-beta1. Moreover,
nigericin, a caspase-1 activator, triggered transformation of Met5A cells and its
intra-pleural delivery induced fibrogenesis in mice. CONCLUSIONS: We
demonstrated, after intravenous bleomycin injection in mice, the role of the
pleura and highlighted the key role of IL-1beta/caspase-1 axis in this
fibrogenesis process.
PMID- 27894302
TI - Anti-inflammatory and burn injury wound healing properties of the shell of
Haliotis diversicolor.
AB - BACKGROUND: The shell of Haliotis diversicolor, or shijueming (SJM), is a type of
traditional Chinese medicine. The SJM has appeared in historical records as early
as the third and fourth centuries. Historical records have revealed that SJM had
mainly been used to treat eye diseases. After the Qing Dynasty (1757), records
had emerged, detailing the use of SJM for treating skin injuries, particularly
for treating poorly managed ulcers or traumatic wounds. Furthermore, in our anti
inflammation-screening system, SJM significantly inhibited the expression of pro
inflammatory proteins. Previous studies have yet to adopt an animal model to
verify the phenomenon and described in the historical records regarding the
efficacy of SJM in promoting wound healing. Besides, the mechanism of wound
healing effect of SJM is also not clear. METHODS: This study applied in vitro and
in vivo models, tissue section analysis, and western blotting to evaluate the
effect of SJM on wound healing. The RAW 264.7 cells were used in anti
inflammatory activity assay and phagocytic assay. Male Wistar rats were used to
evaluate the effect of SJM on burn injury healing. A copper block (2 * 2 cm, 150
g) preheated to 165 degrees C in a dry bath was used to contact the skin area
for 10 s, thus creating a full-thickness burn injury. The results were analyzed
by hematoxylin and eosin staining, picrosirius red staining and Western blotting.
RESULTS: The results revealed that in the in vitro model, the presence of SJM
decreased the inducible nitric oxide synthase (iNOS) expression and enhanced the
functions of macrophages. The results of the rat burn injury model revealed that
SJM decreased neutrophil infiltration, promoted wound healing, thus increasing
the collagen I content and promoting the expression of transforming growth factor
beta 1 (TGF-beta1) protein. We speculate that the effect and mechanism of SJM on
promoting wound healing is related to macrophage activation. In the inflammation
phase, SJM alleviates inflammation by inhibiting iNOS expression and removing
neutrophils through phagocytosis. Furthermore, SJM induces the secretion of TGF
beta1, converting collagen during the tissue remodeling phase. CONCLUSIONS:
According to our review of relevant literature, this is the first study that
applied an evidence-based method to verify that SJM alleviates inflammation,
enhances phagocytosis, and triggers wound healing after burn injury. The study
findings reveal that SJM provides a promising therapeutic option for treating
burn injury.
PMID- 27894303
TI - Integrated agriculture programs to address malnutrition in northern Malawi.
AB - BACKGROUND: In countries where the majority of undernourished people are
smallholder farmers, there has been interest in agricultural interventions to
improve nutritional outcomes. Addressing gender inequality, however, is a key
mechanism by which agriculture can improve nutrition, since women often play a
crucial role in farming, food processing and child care, but have limited
decision-making and control over agricultural resources. This study examines the
approaches by which gender equity in agrarian, resource-poor settings can be
improved using a case study in Malawi. METHODS: A quasi-experimental design with
qualitative methods was used to examine the effects of a participatory
intervention on gender relations. Thirty married couple households in 19 villages
with children under the age of 5 years were interviewed before and then after the
intervention. An additional 7 interviews were conducted with key informants, and
participant observation was carried out before, during the intervention and
afterwards in the communities. The interviews were recorded and transcribed, and
analysed qualitatively for key themes, concepts and contradictions. RESULTS:
Several barriers were identified that undermine the quality of child care
practices, many linked to gender constructions and norms. The dominant concepts
of masculinity created shame and embarrassment if men deviated from these norms,
by cooking or caring for their children. The study provided evidence that
participatory education supported new masculinities through public performances
that encouraged men to take on these new roles. Invoking men's family
responsibilities, encouraging new social norms alongside providing new
information about different healthy recipes were all pathways by which men
developed new 'emergent' masculinities in which they were more involved in
cooking and child care. The transformational approach, intergenerational and
intra-gendered events, a focus on agriculture and food security, alongside
involving male leaders were some of the reasons that respondents named for
changed gender norms. CONCLUSIONS: Participatory education that explicitly
addresses hegemonic masculinities related to child nutrition, such as women's
roles in child care, can begin to change dominant gender norms. Involving male
leaders, participatory methods and integrating agriculture and food security
concerns with nutrition appear to be key components in the context of agrarian
communities.
PMID- 27894305
TI - Identification of molecular determinants of cell culture growth characteristics
of Enterovirus 71.
AB - BACKGROUND: Hand, foot and mouth disease is caused by Enterovirus 71 (EV-A71) and
Coxsackieviruses. EV-A71 infection is associated with high fever, rashes and
ulcers but more severe symptoms such as cardiopulmonary failure and death have
been reported. The lack of vaccines highlighted the urgency of developing
preventive agents against EV-A71. The molecular determinants of virulent
phenotypes of EV-A71 is unclear. It remains to be investigated if specific
molecular determinants would affect the cell culture growth characteristics of
the EV-A71 fatal strain in Rhabdomyosarcoma (RD) cells. RESULTS: In this study,
several genetically modified sub-genotype B4 EV-A71 mutants were constructed by
site-directed mutations at positions 158, 475, 486, 487 and 5262 or through
partial deletion of the 5'-NTR region (? 11 bp from nt 475 to 486) to generate a
deletion mutant (PD). EV-A71 mutants 475 and PD caused minimal cytopathic
effects, produced lowest viral RNA copy number, viral particles as well as
minimal amount of viral protein (VP1) in RD cells when compared to mutants 158,
486, 487 and 5262. CONCLUSIONS: The molecular determinants of virulent phenotypes
of EV-A71 sub-genotype B4 strain 41 (5865/Sin/000009) were found to differ from
the C158 molecular determinant reported for the fatal EV-A71 sub-genotype B1
strain (clinical isolate 237). The site-directed mutations (SDM) introduced at
various sites of the cDNA affected growth of the various mutants when compared to
the wild type. Lowest viral RNA copy number, minimal number of plaques formed,
higher infectious doses required for 50% lethality of RD cells and much reduced
VP1 of the EV-A71 sub-genotype B4 strain 41 genome was attained in mutants
carrying SDM at position 475 and through partial deletion of 11 bp at the 5'-NTR
region.
PMID- 27894304
TI - Brain inflammation is accompanied by peripheral inflammation in Cstb -/- mice, a
model for progressive myoclonus epilepsy.
AB - Progressive myoclonus epilepsy of Unverricht-Lundborg type (EPM1) is an autosomal
recessively inherited childhood-onset neurodegenerative disorder, characterized
by myoclonus, seizures, and ataxia. Mutations in the cystatin B gene (CSTB)
underlie EPM1. The CSTB-deficient (Cstb -/- ) mouse model recapitulates key
features of EPM1, including myoclonic seizures. The mice show early microglial
activation that precedes seizure onset and neuronal loss and leads to
neuroinflammation. We here characterized the inflammatory phenotype of Cstb -/-
mice in more detail. We found higher concentrations of chemokines and pro
inflammatory cytokines in the serum of Cstb -/- mice and higher CXCL13 expression
in activated microglia in Cstb -/- compared to control mouse brains. The elevated
chemokine levels were not accompanied by blood-brain barrier disruption, despite
increased brain vascularization. Macrophages in the spleen and brain of Cstb -/-
mice were predominantly pro-inflammatory. Taken together, these data show that
CXCL13 expression is a hallmark of microglial activation in Cstb -/- mice and
that the brain inflammation is linked to peripheral inflammatory changes, which
might contribute to the disease pathology of EPM1.
PMID- 27894307
TI - Regional health workforce monitoring as governance innovation: a German model to
coordinate sectoral demand, skill mix and mobility.
AB - BACKGROUND: As health workforce policy is gaining momentum, data sources and
monitoring systems have significantly improved in the European Union and
internationally. Yet data remain poorly connected to policy-making and
implementation and often do not adequately support integrated approaches. This
brings the importance of governance and the need for innovation into play. CASE:
The present case study introduces a regional health workforce monitor in the
German Federal State of Rhineland-Palatinate and seeks to explore the capacity of
monitoring to innovate health workforce governance. The monitor applies an
approach from the European Network on Regional Labour Market Monitoring to the
health workforce. The novel aspect of this model is an integrated, procedural
approach that promotes a 'learning system' of governance based on three
interconnected pillars: mixed methods and bottom-up data collection, strong
stakeholder involvement with complex communication tools and shared decision- and
policy-making. Selected empirical examples illustrate the approach and the tools
focusing on two aspects: the connection between sectoral, occupational and
mobility data to analyse skill/qualification mixes and the supply-demand matches
and the connection between monitoring and stakeholder-driven policy. CONCLUSION:
Regional health workforce monitoring can promote effective governance in high
income countries like Germany with overall high density of health workers but
maldistribution of staff and skills. The regional stakeholder networks are cost
effective and easily accessible and might therefore be appealing also to low- and
middle-income countries.
PMID- 27894306
TI - First Phase I human clinical trial of a killed whole-HIV-1 vaccine: demonstration
of its safety and enhancement of anti-HIV antibody responses.
AB - BACKGROUND: Vaccination with inactivated (killed) whole-virus particles has been
used to prevent a wide range of viral diseases. However, for an HIV vaccine this
approach has been largely negated due to inherent safety concerns, despite the
ability of killed whole-virus vaccines to generate a strong, predominantly
antibody-mediated immune response in vivo. HIV-1 Clade B NL4-3 was genetically
modified by deleting the nef and vpu genes and substituting the coding sequence
for the Env signal peptide with that of honeybee melittin signal peptide to
produce a less virulent and more replication efficient virus. This genetically
modified virus (gmHIV-1NL4-3) was inactivated and formulated as a killed whole
HIV vaccine, and then used for a Phase I human clinical trial (Trial
Registration: Clinical Trials NCT01546818). The gmHIV-1NL4-3 was propagated in
the A3.01 human T cell line followed by virus purification and inactivation with
aldrithiol-2 and gamma-irradiation. Thirty-three HIV-1 positive volunteers
receiving cART were recruited for this observer-blinded, placebo-controlled Phase
I human clinical trial to assess the safety and immunogenicity. RESULTS:
Genetically modified and killed whole-HIV-1 vaccine, SAV001, was well tolerated
with no serious adverse events. HIV-1NL4-3-specific PCR showed neither evidence
of vaccine virus replication in the vaccine virus-infected human T lymphocytes in
vitro nor in the participating volunteers receiving SAV001 vaccine. Furthermore,
SAV001 with adjuvant significantly increased the pre-existing antibody response
to HIV-1 proteins. Antibodies in the plasma of vaccinees were also found to
recognize HIV-1 envelope protein on the surface of infected cells as well as
showing an enhancement of broadly neutralizing antibodies inhibiting tier I and
II of HIV-1 B, D, and A subtypes. CONCLUSION: The killed whole-HIV vaccine,
SAV001, is safe and triggers anti-HIV immune responses. It remains to be
determined through an appropriate trial whether this immune response prevents HIV
infection.
PMID- 27894308
TI - Capacity evaluation for general practitioners in Pudong new area of Shanghai: an
empirical study.
AB - BACKGROUND: Building highly qualified General Practitioners (GPs) is key to the
development of primary health care. It's therefore urgent to ensure the GPs'
quality service under the background of the new round of health care system
reforms in China. A new model of GP qualification examination was originally
implemented in Pudong New Area of Shanghai, China, which aimed to empirically
evaluate the GPs' capability in terms of clinical performance and social
recognition. In the current study, an analysis was made of the first two years
(2014-2015) of such theoretical and practical examinations on the GPs there with
a view to getting a deep insight into the GP community so as to identify the
barriers to such a form of GP qualification examination. METHODS: The agency
survey method was applied to the two-year database of the GP examinees, the
formative research conducted to explore the key elements for developing the
examination model. The data analysis was performed with SPSS for Windows (Version
19.0) to describe the GPs' overall characteristics, and to make comparisons
between different groups. RESULTS: In 2015, the total number of GPs was 1264 in
the area, in different districts of which, statistically significant differences
were found in sex, age, professional title and employment span (P < 0.05). Such
results were found to be similar to those in 2014. The examinees' theoretical
scores were statistically different (F = 7.76; P < 0.05), showing a sloping trend
from the urban district to the suburban, to the rural and then to the farther
rural, as indicated by LSD-t test (P < 0.05). From the theoretical examinations
the scores were higher on the western medicine than on the traditional Chinese
medicine (F = 22.11; P < 0.05). CONCLUSIONS: As suggested by the current study on
the GPs' qualification examination, which was pioneered in Pudong New Area of
Shanghai, the construction of GP community was far from sufficient. It was a
preliminary study and further studies are merited along the construction and
development in terms of continuing medical education, performance appraisal and
incentive mechanism.
PMID- 27894309
TI - Analysis of long noncoding RNA expression in hepatocellular carcinoma of
different viral etiology.
AB - BACKGROUND: Dysregulation of long noncoding RNA (lncRNA) expression contributes
to the pathogenesis of many human diseases, including liver diseases. Several
lncRNAs have been reported to play a role in the development of hepatocellular
carcinoma (HCC). However, most studies have analyzed lncRNAs only in hepatitis B
virus (HBV)-related HCC or in a single group of HCC patients regardless of the
viral etiology. METHODS: To investigate whether lncRNAs are differentially
expressed in HCC of different viral etiology, we profiled 101 disease-related
lncRNAs, including 25 lncRNAs previously associated with HCC, in liver specimens
obtained from well-characterized patients with HBV-, hepatitis C virus (HCV)-, or
hepatitis D virus (HDV)-associated HCC. RESULTS: We identified eight novel HCC
related lncRNAs that were significantly dysregulated in HCC tissues compared to
their surrounding non-tumorous tissues. Some of these lncRNAs were significantly
dysregulated predominantly in one specific hepatitis virus-related HCC, including
PCAT-29 in HBV-related HCC, aHIF and PAR5 in HCV-related HCC, and Y3 in HDV
related HCC. Among the lncRNAs previously reported in HCC, we found that DBH-AS1,
hDREH and hPVT1 were differentially expressed in HCC of different viral etiology.
CONCLUSIONS: Our study suggests that HCC of different viral etiology is regulated
by different lncRNAs. The identification of lncRNAs unique to specific hepatitis
virus-related HCC may provide new tools for improving the diagnosis of HCC and
open new avenues for disease-specific therapeutic interventions.
PMID- 27894310
TI - Monitoring change in volume of calcifications in juvenile idiopathic inflammatory
myopathy: a pilot study using low dose computed tomography.
AB - BACKGROUND: Dystrophic calcifications may occur in patients with J uvenile
Idiopathic Inflammatory Myopathy (JIIM) as well as other connective tissue and
metabolic diseases, but a reliable method of measuring the volume of these
calcifications has not been established. The purpose of this study is to
determine the feasibility of low dose, limited slice, Computed Tomography (CT) to
measure objectively in-situ calcification volumes in patients with JIIM over
time. METHODS: Ten JIIM patients (eight JDM, two Overlap) with calcifications
were prospectively recruited over a 2-year period to undergo two limited, low
dose, four-slice CT scans. Calculation of the volume of calcifications used a CT
post processing workstation. Additional patient data included: Disease Activity
Scores (DAS), Childhood Myositis Assessment Scale (CMAS), myositis specific
antibodies (MSA), and the TNFalpha-308 promoter region A/G polymorphism.
Statistical analysis utilized the Pearson correlation coefficient, the paired t
test and descriptive statistics. RESULTS: Ten JIIM, mean age 14.54 +/- 4.54
years, had a duration of untreated disease of 8.68 +/- 5.65 months MSA status:
U1RNP (1), PM-Scl (1), Ro (1, 4 indeterminate), p155/140 (2), MJ (3), Mi-2
indeterminate (1), negative (3). 4/8 JDM (50%) were TNF-alpha-308 A+. Overall,
the calcification volumes tended to decrease from the first to the second CT
study by 0.5 cm3 (from 2.79 +/- 1.98 cm3 to 2.29 +/- 2.25 cm3). The average
effective radiation dose was 0.007 +/- 0.002, 0.010 +/- 0.005, and 0.245 mSv for
the upper extremity, lower extremity and chest, respectively (compared to a
standard chest x-ray-- 0.02mSV effective dosage). CONCLUSION: We conclude: 1) the
limited low dose CT technique provides objective data about volume of the
calcifications in JIIM; 2) measuring the volume of calcifications in an extremity
is associated with minimal radiation exposure; 3) This method may be useful to
evaluate the efficacy of therapies for JIIM dystrophic calcification.
PMID- 27894311
TI - Access to substance use treatment among young adults who use prescription opioids
non-medically.
AB - BACKGROUND: Non-medical prescription opioid (NMPO) use is a substantial public
health problem in the United States, with 1.5 million new initiates annually.
Only 746,000 people received treatment for NMPO use in 2013, demonstrating
substantial disparities in access to treatment. This study aimed to assess
correlates of accessing substance use treatment among young adult NMPO users in
Rhode Island, a state heavily impacted by NMPO use and opioid overdose. METHODS:
This analysis uses data from a study of 200 Rhode Island residents aged 18 to 29
who reported NMPO use in the past 30 days. We compared individuals who had ever
successfully enrolled in a substance use treatment program without ever facing
barriers, individuals who had ever attempted to enroll but were unable, and
individuals who never attempted to enroll. We used multinomial logistic
regression to determine the independent correlates of never attempting and
unsuccessfully attempting to access substance use treatment. RESULTS: Among 200
participants, the mean age was 24.5, 65.5% were male, and 61.5% were white.
Nearly half (45.5%) had never attempted to enroll in substance use treatment,
while 35.0% had successfully enrolled without ever facing barriers and 19.5% were
unsuccessful in at least one attempt to enroll. In multivariable models, non
white participants were more likely to never have attempted to enroll compared to
white participants. Previous incarceration, experiencing drug-related
discrimination by the medical community, and a monthly income of $501 - $1500
were associated with a decreased likelihood of never attempting to enroll. A
history of overdose and a monthly income of $501 - $1500 were associated with an
increased likelihood of unsuccessfully accessing treatment. The most commonly
reported barriers to accessing treatment were waiting lists (n = 23), health
insurance not approving enrollment (n = 20), and inability to pay (n = 16).
CONCLUSIONS: This study demonstrates significant disparities in access to
treatment among young adults who report NMPO use. A history of overdose was shown
to correlate with experiencing barriers to substance use treatment utilization.
Interventions are needed to reduce drug-related discrimination in clinical
settings and to provide mechanisms that link young adults (particularly with a
history of overdose) to evidence-based treatment.
PMID- 27894312
TI - Release of copper-amended particles from micronized copper-pressure-treated wood
during mechanical abrasion.
AB - BACKGROUND: We investigated the particles released due to abrasion of wood
surfaces pressure-treated with micronized copper azole (MCA) wood preservative
and we gathered preliminary data on its in vitro cytotoxicity for lung cells. The
data were compared with particles released after abrasion of untreated, water (0%
MCA)-pressure-treated, chromated copper (CC)-pressure-treated wood, and varnished
wood. Size, morphology, and composition of the released particles were analyzed.
RESULTS: Our results indicate that the abrasion of MCA-pressure-treated wood does
not cause an additional release of nanoparticles from the unreacted copper (Cu)
carbonate nanoparticles from of the MCA formulation. However, a small amount of
released Cu was detected in the nanosized fraction of wood dust, which could
penetrate the deep lungs. The acute cytotoxicity studies were performed on a
human lung epithelial cell line and human macrophages derived from a monocytic
cell line. These cell types are likely to encounter the released wood particles
after inhalation. CONCLUSIONS: Our findings indicate that under the experimental
conditions chosen, MCA does not pose a specific additional nano-risk, i.e. there
is no additional release of nanoparticles and no specific nano-toxicity for lung
epithelial cells and macrophages.
PMID- 27894315
TI - Reassessing the death risk related to probiotics in critically ill patients.
PMID- 27894313
TI - Identifying the barriers and enablers for a triage, treatment, and transfer
clinical intervention to manage acute stroke patients in the emergency
department: a systematic review using the theoretical domains framework (TDF).
AB - BACKGROUND: Clinical guidelines recommend that assessment and management of
patients with stroke commences early including in emergency departments (ED). To
inform the development of an implementation intervention targeted in ED, we
conducted a systematic review of qualitative and quantitative studies to identify
relevant barriers and enablers to six key clinical behaviours in acute stroke
care: appropriate triage, thrombolysis administration, monitoring and management
of temperature, blood glucose levels, and of swallowing difficulties and transfer
of stroke patients in ED. METHODS: Studies of any design, conducted in ED, where
barriers or enablers based on primary data were identified for one or more of
these six clinical behaviours. Major biomedical databases (CINAHL, OVID SP
EMBASE, OVID SP MEDLINE) were searched using comprehensive search strategies. The
barriers and enablers were categorised using the theoretical domains framework
(TDF). The behaviour change technique (BCT) that best aligned to the strategy
each enabler represented was selected for each of the reported enablers using a
standard taxonomy. RESULTS: Five qualitative studies and four surveys out of the
44 studies identified met the selection criteria. The majority of barriers
reported corresponded with the TDF domains of "environmental, context and
resources" (such as stressful working conditions or lack of resources) and
"knowledge" (such as lack of guideline awareness or familiarity). The majority of
enablers corresponded with the domains of "knowledge" (such as education for
physicians on the calculated risk of haemorrhage following intravenous
thrombolysis [tPA]) and "skills" (such as providing opportunity to treat stroke
cases of varying complexity). The total number of BCTs assigned was 18. The BCTs
most frequently assigned to the reported enablers were "focus on past success"
and "information about health consequences." CONCLUSIONS: Barriers and enablers
for the delivery of key evidence-based protocols in an emergency setting have
been identified and interpreted within a relevant theoretical framework. This new
knowledge has since been used to select specific BCTs to implement evidence-based
care in an ED setting. It is recommended that findings from similar future
reviews adopt a similar theoretical approach. In particular, the use of existing
matrices to assist the selection of relevant BCTs.
PMID- 27894314
TI - Self-assembly of hexahistidine-tagged tobacco etch virus capsid protein into
microfilaments that induce IgG2-specific response against a soluble porcine
reproductive and respiratory syndrome virus chimeric protein.
AB - BACKGROUND: Assembly of recombinant capsid proteins into virus-like particles
(VLPs) still represents an interesting challenge in virus-based nanotechnologies.
The structure of VLPs has gained importance for the development and design of new
adjuvants and antigen carriers. The potential of Tobacco etch virus capsid
protein (TEV CP) as adjuvant has not been evaluated to date. FINDINGS: Two
constructs for TEV CP expression in Escherichia coli were generated: a wild-type
version (TEV-CP) and a C-terminal hexahistidine (His)-tagged version (His-TEV
CP). Although both versions were expressed in the soluble fraction of E. coli
lysates, only His-TEV-CP self-assembled into micrometric flexuous filamentous
VLPs. In addition, the His-tag enabled high yields and facilitated purification
of TEV VLPs. These TEV VLPs elicited broader IgG2-specific antibody response
against a novel porcine reproductive and respiratory syndrome virus (PRRSV)
protein when compared to the potent IgG1 response induced by the protein alone.
CONCLUSIONS: His-TEV CP was purified by immobilized metal affinity chromatography
and assembled into VLPs, some of them reaching 2-MUm length. TEV VLPs
administered along with PRRSV chimeric protein changed the IgG2/IgG1 ratio
against the chimeric protein, suggesting that TEV CP can modulate the immune
response against a soluble antigen.
PMID- 27894316
TI - Dietary patterns in obese pregnant women; influence of a behavioral intervention
of diet and physical activity in the UPBEAT randomized controlled trial.
AB - BACKGROUND: Understanding dietary patterns in obese pregnant women will inform
future intervention strategies to improve pregnancy outcomes and the health of
the child. The aim of this study was to investigate the effect of a behavioral
intervention of diet and physical activity advice on dietary patterns in obese
pregnant woman participating in the UPBEAT study, and to explore associations of
dietary patterns with pregnancy outcomes. METHODS: In the UPBEAT randomized
controlled trial, pregnant obese women from eight UK multi-ethnic, inner-city
populations were randomly assigned to receive a diet/physical activity
intervention or standard antenatal care. The dietary intervention aimed to reduce
glycemic load and saturated fat intake. Diet was assessed using a food frequency
questionnaire (FFQ) at baseline (15+0-18+6 weeks' gestation), post intervention
(27+0-28+6 weeks) and in late pregnancy (34+0-36+0 weeks). Dietary patterns were
characterized using factor analysis of the baseline FFQ data, and changes
compared in the control and intervention arms. Patterns were related to pregnancy
outcomes in the combined control/intervention cohort (n = 1023). RESULTS: Four
distinct baseline dietary patterns were defined; Fruit and vegetables,
African/Caribbean, Processed, and Snacks, which were differently associated with
social and demographic factors. The UPBEAT intervention significantly reduced the
Processed (-0.14; 95% CI -0.19, -0.08, P <0.0001) and Snacks (-0.24; 95% CI
0.31, -0.17, P <0.0001) pattern scores. In the adjusted model, baseline scores
for the African/Caribbean (quartile 4 compared with quartile 1: OR = 2.46; 95% CI
1.41, 4.30) and Processed (quartile 4 compared with quartile 1: OR = 2.05; 95% CI
1.23, 3.41) patterns in the entire cohort were associated with increased risk of
gestational diabetes. CONCLUSIONS: In a diverse cohort of obese pregnant women an
intensive dietary intervention improved Processed and Snack dietary pattern
scores. African/Caribbean and Processed patterns were associated with an
increased risk of gestational diabetes, and provide potential targets for future
interventions. TRIAL REGISTRATION: Current controlled trials; ISRCTN89971375.
PMID- 27894317
TI - The impact of shift and night work on health related quality of life of working
women: findings from the Korea Health Panel.
AB - BACKGROUND: Night and shift work status has been associated with health related
quality of life (HRQoL) in economically active women. This study aimed to
investigate the association between night or shift work status and HRQoL of
economically active women and to further analyze how marital status interplays in
the objected relationship. METHODS: Data were from the Korea Health Panel, 2011
to 2013. A total of 2238 working women were included for analysis. Work status
was categorized into day work, night work, and rotating shift work and its
association with HRQoL, measured using the EuroQol-5D (EQ-5D) index, was
investigated using the generalized estimating equation (GEE) model. RESULTS:
Compared to the day work reference group, the night work group (beta: -0.9757, P
= 0.0202) and the rotating shift work group (beta: -0.7947, P = 0.0363) showed
decreases in EQ-5D scores. This trend was maintained regardless of marital
status, although decreases in health related quality of life were particularly
pronounced among night shift workers with a spouse. CONCLUSION: Night and
rotating shift work status was associated with HRQoL of economically active women
as individuals working night and rotating shifts showed decreases in EQ-5D scores
compared to individuals working day shifts. The findings of this study signify
the importance of monitoring the HRQoL status of women working night and rotating
shifts as these individuals may be comparatively vulnerable to reduced HRQoL.
PMID- 27894319
TI - Erratum to: Detection of ATM germline variants by the p53 mitotic centrosomal
localization test in BRCA1/2-negative patients with early-onset breast cancer.
PMID- 27894318
TI - Surgical treatment of recurrent urachal carcinoma with liver metastasis: a case
report and literature review.
AB - BACKGROUND: Urachal carcinoma is a rare malignancy with poor prognosis due to
late presentation of the disease and its aggressiveness. Surgery remains the
mainstay of therapy even in cases of disease recurrence. To the best of our
knowledge, this is the first report of salvage surgery in the case of urachal
carcinoma with liver metastasis. CASE PRESENTATION: The patient was a young woman
who suffered from locally advanced urachal carcinoma treated with en-bloc
cystectomy, hysterectomy with bilateral adnexectomy, partial resection of the
sigmoid colon, and partial resection of the rectus abdominis muscle with the
fascia, skin, and umbilicus. Adjuvant chemotherapy with paclitaxel and
carboplatin was applied. Two years after the treatment, she was diagnosed with a
single liver metastasis and a local pelvic recurrence. In a two-step operation,
the patient underwent right hemihepatectomy as well as resection of pelvic
recurrence site and adjuvant chemotherapy with gemcitabine. Due to the disease
progression, a complete resection of the lesions was not achieved and the
response to chemotherapy was poor. The patient died of the disease after a year.
CONCLUSIONS: Surgery is the first line of treatment for urachal carcinoma and
should be always considered as an option in cases of disease recurrence. Radical
initial surgical management, close patient surveillance, and prompt treatment of
disease relapse may all contribute to prolonging patient's survival.
PMID- 27894320
TI - Successful malaria elimination in the Ecuador-Peru border region: epidemiology
and lessons learned.
AB - BACKGROUND: In recent years, malaria (Plasmodium vivax and Plasmodium falciparum)
has been successfully controlled in the Ecuador-Peru coastal border region. The
aim of this study was to document this control effort and to identify the best
practices and lessons learned that are applicable to malaria control and to other
vector-borne diseases. A proximal outcome evaluation was conducted of the robust
elimination programme in El Oro Province, Ecuador, and the Tumbes Region, Peru.
Data collection efforts included a series of workshops with local public health
experts who played central roles in the elimination effort, review of
epidemiological records from Ministries of Health, and a review of national
policy documents. Key programmatic and external factors are identified that
determined the success of this eradication effort. CASE DESCRIPTION: From the mid
1980s until the early 2000s, the region experienced a surge in malaria
transmission, which experts attributed to a combination of ineffective anti
malarial treatment, social-ecological factors (e.g., El Nino, increasing rice
farming, construction of a reservoir), and political factors (e.g., reduction in
resources and changes in management). In response to the malaria crisis, local
public health practitioners from El Oro and Tumbes joined together in the mid
1990s to forge an unofficial binational collaboration for malaria control. Over
the next 20 years, they effectively eradicated malaria in the region, by
strengthening surveillance and treatment strategies, sharing of resources,
operational research to inform policy, and novel interventions. DISCUSSION AND
EVALUATION: The binational collaboration at the operational level was the
fundamental component of the successful malaria elimination programme. This
unique relationship created a trusting, open environment that allowed for
flexibility, rapid response, innovation and resilience in times of crisis, and
ultimately a sustainable control programme. Strong community involvement, an
extensive microscopy network and ongoing epidemiologic investigations at the
local level were also identified as crucial programmatic strategies. CONCLUSION:
The results of this study provide key principles of a successful malaria
elimination programme that can inform the next generation of public health
professionals in the region, and serve as a guide to ongoing and future control
efforts of other emerging vector borne diseases globally.
PMID- 27894321
TI - Encephalomyocarditis virus infection in Macaca sylvanus and Hystrix cristata from
an Italian rescue centre for wild and exotic animals.
AB - BACKGROUND: The Encephalomyocarditis virus (EMCV) is a small, non enveloped,
positive sense single-stranded RNA virus in the genus Cardiovirus, family
Picornaviridae, with two known serotypes. It is spread worldwide and infects a
huge range of vertebrate hosts with zoonotic potential for humans. The pig is the
mammal most likely to be impacted on with the disease, but EMCV occurrence has
also been reported in non-human primates and in a variety of domestic, captive
and wild animals. Until now, human cases have been very rare and the risk appears
to be almost negligible in spite of human susceptibility to the infection. CASE
PRESENTATION: Between September and November 2012 a fatal Encephalomyocarditis
virus outbreak involving four Barbary macaques and 24 crested porcupines occurred
at a rescue centre for wild and exotic animals in Central Italy. In this open
field zoo park located near Grosseto, Tuscany about 1000 animals belonging to
different species, including various non-human primates were hosted at that time.
Sudden deaths were generally observed without any evident symptoms or only with
mild nonspecific clinical signs. The major gross change was characterised by grey
white necrotic foci in the myocardium and the same EMCV strain was isolated both
in macaques and crested porcupines. Phylogenetic analysis has confirmed that only
one EMCV strain is circulating in Italy, capable of infecting different animal
species. CONCLUSIONS: This report confirms the susceptibility of non-human
primates to the EMCV infection and describes the disease in porcupine, a common
wild Italian and African species. No human cases were observed, but given the
zoonotic potential of EMCV these findings are of importance in the context of
animal-human interface.
PMID- 27894322
TI - Identification of Escherichia coli and Trueperella pyogenes isolated from the
uterus of dairy cows using routine bacteriological testing and Fourier transform
infrared spectroscopy.
AB - BACKGROUND: Uterine disorders are common postpartum diseases in dairy cows. In
practice, uterine treatment is often based on systemic or locally applied
antimicrobials with no previous identification of pathogens. Accurate on-farm
diagnostics are not available, and routine testing is time-consuming and cost
intensive. An accurate method that could simplify the identification of uterine
pathogenic bacteria and improve pathogen-specific treatments could be an
important advance to practitioners. The objective of the present study was to
evaluate whether a database built with uterine bacteria from European dairy cows
could be used to identify bacteria from Argentinean cows by Fourier transformed
infrared (FTIR) spectroscopy. Uterine samples from 64 multiparous dairy cows with
different types of vaginal discharge (VD) were collected between 5 and 60 days
postpartum, analyzed by routine bacteriological testing methods and then re
evaluated by FTIR spectroscopy (n = 27). RESULTS: FTIR spectroscopy identified
Escherichia coli in 12 out of 14 samples and Trueperella pyogenes in 8 out of 10
samples. The agreement between the two methods was good with a Kappa coefficient
of 0.73. In addition, the likelihood for bacterial growth of common uterine
pathogens such as E. coli and T. pyogenes tended to increase with VD score. The
odds for a positive result to E. coli or T. pyogenes was 1.88 times higher in
cows with fetid VD than in herdmates with clear normal VD. CONCLUSIONS: We
conclude that the presence of E. coli and T. pyogenes in uterine samples from
Argentinean dairy cows can be detected with FTIR with the use of a database built
with uterine bacteria from European dairy cows. Future studies are needed to
determine if FTIR can be used as an alternative to routine bacteriological
testing methods.
PMID- 27894323
TI - Health-related quality of life and a cost-utility simulation of adults in the UK
with osteogenesis imperfecta, X-linked hypophosphatemia and fibrous dysplasia.
AB - BACKGROUND: Health-related quality of life of adults with osteogenesis imperfecta
(OI), fibrous dysplasia (FD) and X-linked hypophosphatemia (XLH) remains poorly
described. The aim of this study was to describe the HRQoL of adults with
osteogenesis imperfecta, fibrous dysplasia and X-linked hypophophataemia and
perform a cost-utility simulation to calculate the maximum cost that a health
care system would be willing to pay for a hypothetical treatment of a rare bone
disease. RESULTS: Participants completed the EQ-5D-5 L questionnaire between
September 2014 and March 2016. For the economic simulation, we considered a
hypothetical treatment that would be applied to OI participants in the lower
tertile of the health utility score. A total of 109 study participants fully
completed the EQ-5D-5 L questionnaire (response rate 63%). Pain/discomfort was
the most problematic domain for participants with all three diseases (FD 31%, XLH
25%, OI 16%). The economic simulation identified an expected treatment impact of
+2.5 QALYs gained per person during the 10-year period, which led to a willing to
pay of L14,355 annually for a health care system willing to pay up to L50,000 for
each additional QALY gained by an intervention. CONCLUSIONS: This is the first
study to quantitatively measure and compare the HRQoL of adults with OI, FD and
XLH and the first to use such data to conduct an economic simulation leading to
healthcare system willingness-to-pay estimates for treatment of musculoskeletal
rare diseases at various cost-effectiveness thresholds.
PMID- 27894324
TI - Erratum to: Expression and methylation patterns partition luminal-A breast tumors
into distinct prognostic subgroups.
PMID- 27894325
TI - Identification of COL1A1 and COL1A2 as candidate prognostic factors in gastric
cancer.
AB - BACKGROUND: The role of type I collagen, composed of collagen type I alpha 1
(COL1A1) and collagen type I alpha 2 (COL1A2), has been studied in several
cancers. However, the expression of COL1A1 and COL1A2 in malignant, premalignant,
and normal gastric tissues and their clinical significances in gastric cancer
have not been elucidated. METHODS: Real-time quantitative PCR was performed in 55
malignant, 27 premalignant, and 19 normal tissues to measure COL1A1 and COL1A2
messenger RNA (mRNA) expression, and the correlations between COL1A1 and COL1A2
expression and clinicopathological parameters and patients' survival rate were
analyzed. RESULTS: We found that COL1A1 mRNA expression was significantly
upregulated in premalignant and malignant tissues than in normal tissues, whereas
COL1A2 mRNA expression was significantly higher in malignant tissues than in
premalignant and normal tissues. Moreover, COL1A1 expression was unrelated to
clinicopathological parameters, while COL1A2 expression was positively related to
tumor size and depth of invasion. Besides, higher COL1A1 and COL1A2 expression
levels were related to lower overall survival. CONCLUSIONS: We find that COL1A1
might have its potential as a monitoring factor to screen early gastric cancer,
and COL1A1 and COL1A2 might predict poor clinical outcomes in gastric cancer
patients.
PMID- 27894326
TI - Preserved autoregulation of coronary flow after off-pump coronary artery bypass
grafting: retrospective assessment of intraoperative transit time flowmetry with
and without intra-aortic balloon counterpulsation.
AB - BACKGROUND: Intra-aortic balloon pumping (IABP) markedly increases graft flow
after coronary artery bypass grafting (CABG) with cardiopulmonary bypass. We
sought to delineate the effects of IABP on graft flow after off-pump CABG
(OPCAB). METHODS: The clinical records of 32 patients (25 male, 7 female; mean
age: 70 +/- 9 years) who underwent OPCAB with IABP between January 2011 and May
2015 were retrospectively reviewed. Thirteen patients (41%) had a history of
myocardial infarction, and 13 patients (41%) had a history of percutaneous
coronary intervention. In total, there were 76 bypass grafts with 102 distal
anastomoses. These included 50 in situ or pedicled grafts and 26 aortocoronary
grafts. After completion of the anastomoses, the heart was positioned normally,
and graft flow with IABP was measured using transit-time flowmetry under stable
circulation. Then, IABP was turned off for 30 s to a few minutes, until graft
flow was constant, for measurement of flow off IABP. RESULTS: The angiographic
patency rate was 100% (47/47). Overall, graft flow was 55 +/- 36 ml/min on IABP
and 53 +/- 36 ml/min off IABP (p = 0.37). The pulsatility index was 4.1 +/- 2.1
on IABP and 2.7 +/- 1.5 off IABP (p < 0.001). There was no significant difference
in graft flow between on and off IABP for aortocoronary bypass or in situ grafts.
Graft flow was 57 +/- 36 ml/min on IABP and 55 +/- 37 ml/min off IABP (p = 0.41)
in in situ grafts and 52 +/- 34 ml/min on IABP and 49 +/- 35 off IABP (p = 0.41)
in aortocoronary grafts. Graft flow on IABP was more than 5 ml/min greater in 28
(37%) bypass grafts, and more than 5 ml/min lower in 20 (26%) bypass grafts.
CONCLUSION: In contrast to previous reports for conventional CABG, graft flow
after OPCAB was not necessarily increased by IABP, regardless of elevated
diastolic arterial pressure. It is suggested that preserved autoregulation of
coronary flow contributes to a lower impact on the heart and early functional
recovery, and consequently, greater perioperative safety of OPCAB.
PMID- 27894327
TI - A statistical analysis protocol for the time-differentiated target temperature
management after out-of-hospital cardiac arrest (TTH48) clinical trial.
AB - BACKGROUND: The TTH48 trial aims to determine whether prolonged duration (48
hours) of targeted temperature management (TTM) at 33 (+/-1) degrees C results
in better neurological outcomes compared to standard duration (24 hours) after
six months in comatose out-of-hospital cardiac arrest (OHCA) patients. METHODS:
TTH48 is an investigator-initiated, multicentre, assessor-blinded, randomised,
controlled superiority trial of 24 and 48 hours of TTM at 33 (+/-1) degrees C
performed in 355 comatose OHCA patients aged 18 to 80 years who were admitted to
ten intensive care units (ICUs) in six Northern European countries. The primary
outcome of the study is the Cerebral Performance Category (CPC) score observed at
six months after cardiac arrest. CPC scores of 1 and 2 are defined as good
neurological outcomes, and CPC scores of 3, 4 and 5 are defined as poor
neurological outcomes. The secondary outcomes are as follows: mortality within
six months after cardiac arrest, CPC at hospital discharge, Glasgow Coma Scale
(GCS) score on day 4, length of stay in ICU and at hospital and the presence of
any adverse events such as cerebral, circulatory, respiratory, gastrointestinal,
renal, metabolic measures, infection or bleeding. With the planned sample size,
we have 80% power to detect a 15% improvement in good neurological outcomes at a
two-sided statistical significance level of 5%. DISCUSSION: We present a detailed
statistical analysis protocol (SAP) that specifies how primary and secondary
outcomes should be evaluated. We also predetermine covariates for adjusted
analyses and pre-specify sub-groups for sensitivity analyses. This pre-planned
SAP will reduce analysis bias and add validity to the findings of this trial on
the effect of length of TTM on important clinical outcomes after cardiac arrest.
TRIAL REGISTRATION: ClinicalTrials.gov: NCT01689077 , 17 September 2012.
PMID- 27894328
TI - Effect of driving pressure on mortality in ARDS patients during lung protective
mechanical ventilation in two randomized controlled trials.
AB - BACKGROUND: Driving pressure (DeltaPrs) across the respiratory system is
suggested as the strongest predictor of hospital mortality in patients with acute
respiratory distress syndrome (ARDS). We wonder whether this result is related to
the range of tidal volume (VT). Therefore, we investigated DeltaPrs in two trials
in which strict lung-protective mechanical ventilation was applied in ARDS. Our
working hypothesis was that DeltaPrs is a risk factor for mortality just like
compliance (Crs) or plateau pressure (Pplat,rs) of the respiratory system.
METHODS: We performed secondary analysis of data from 787 ARDS patients enrolled
in two independent randomized controlled trials evaluating distinct adjunctive
techniques while they were ventilated as in the low VT arm of the ARDSnet trial.
For this study, we used VT, positive end-expiratory pressure (PEEP), Pplat,rs,
Crs, DeltaPrs, and respiratory rate recorded 24 hours after randomization, and
compared them between survivors and nonsurvivors at day 90. Patients were
followed for 90 days after inclusion. Cox proportional hazard modeling was used
for mortality at day 90. If colinearity between DeltaPrs, Crs, and Pplat,rs was
verified, specific Cox models were used for each of them. RESULTS: Both trials
enrolled 805 patients of whom 787 had day-1 data available, and 533 of these
survived. In the univariate analysis, DeltaPrs averaged 13.7 +/- 3.7 and 12.8 +/-
3.7 cmH2O (P = 0.002) in nonsurvivors and survivors, respectively. Colinearity
between DeltaPrs, Crs and Pplat,rs, which was expected as these variables are
mathematically coupled, was statistically significant. Hazard ratios from the Cox
models for day-90 mortality were 1.05 (1.02-1.08) (P = 0.005), 1.05 (1.01-1.08)
(P = 0.008) and 0.985 (0.972-0.985) (P = 0.029) for DeltaPrs, Pplat,rs and Crs,
respectively. PEEP and VT were not associated with death in any model.
CONCLUSIONS: When ventilating patients with low VT, DeltaPrs is a risk factor for
death in ARDS patients, as is Pplat,rs or Crs. As our data originated from trials
from which most ARDS patients were excluded due to strict inclusion and exclusion
criteria, these findings must be validated in independent observational studies
in patients ventilated with a lung protective strategy. TRIAL REGISTRATION:
Clinicaltrials.gov NCT00299650 . Registered 6 March 2006 for the Acurasys trial.
Clinicaltrials.gov NCT00527813 . Registered 10 September 2007 for the Proseva
trial.
PMID- 27894329
TI - Efficacy of early controlled motion of the ankle compared with no motion after
non-operative treatment of an acute Achilles tendon rupture: study protocol for a
randomized controlled trial.
AB - BACKGROUND: Early controlled ankle motion is widely used in the non-operative
treatment of acute Achilles tendon rupture, though its safety and efficacy have
never been investigated in a randomized setup. The objectives of this study are
to investigate if early controlled motion of the ankle affects functional and
patient-reported outcomes. METHODS/DESIGN: The study is performed as a blinded,
randomized, controlled trial with patients allocated in a 1:1 ratio to one of two
parallel groups. Patients aged from 18 to 70 years are eligible for inclusion.
The intervention group performs early controlled motion of the ankle in weeks 3-8
after rupture. The control group is immobilized. In total, 130 patients will be
included from one big orthopedic center over a period of 21/2 years. The primary
outcome is the patient-reported Achilles tendon Total Rupture Score evaluated at
12 months post-injury. Secondary outcome measures are the heel-rise work test,
Achilles tendon elongation, and the rate of re-rupture. The primary analysis will
be conducted as intention-to-treat analyses. DISCUSSION: This trial is the first
to investigate the safety and efficacy of early controlled motion in the
treatment of acute Achilles tendon rupture in a randomized setup. The study uses
the patient-reported outcome measure, the Achilles tendon Total Rupture Score, as
the primary endpoint, as it is believed to be the best surrogate measure for the
tendon's actual capability to function in everyday life. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT02015364 . Registered on 13 December 2013.
PMID- 27894331
TI - A systematic review of patient safety in mental health: a protocol based on the
inpatient setting.
AB - BACKGROUND: Despite the growing international interest in patient safety as a
discipline, there has been a lack of exploration of its application to mental
health. It cannot be assumed that findings based upon physical health in acute
care hospitals can be applied to mental health patients, disorders and settings.
To the authors' knowledge, there has only been one review of the literature that
focuses on patient safety research in mental health settings, conducted in Canada
in 2008. We have identified a need to update this review and develop the
methodology in order to strengthen the findings and disseminate internationally
for advancement in the field. This systematic review will explore the existing
research base on patient safety in mental health within the inpatient setting.
METHODS: To conduct this systematic review, a thorough search across multiple
databases will be undertaken, based upon four search facets ("mental health",
"patient safety", "research" and "inpatient setting"). The search strategy has
been developed based upon the Canadian review accompanied with input from the
National Reporting and Learning System (NRLS) taxonomy of patient safety
incidents and the Diagnostic and Statistical Manual of Mental Disorders (fifth
edition). The screening process will involve perspectives from at least two
researchers at all stages with a third researcher invited to review when
discrepancies require resolution. Initial inclusion and exclusion criteria have
been developed and will be refined iteratively throughout the process. Quality
assessment and data extraction of included articles will be conducted by at least
two researchers. A data extraction form will be developed, piloted and iterated
as necessary in accordance with the research question. Extracted information will
be analysed thematically. DISCUSSION: We believe that this systematic review will
make a significant contribution to the advancement of patient safety in mental
health inpatient settings. The findings will enable the development and
implementation of interventions to improve the quality of care experienced by
patients and support the identification of future research priorities. SYSTEMATIC
REVIEW REGISTRATION: PROSPERO CRD42016034057.
PMID- 27894330
TI - Marek's disease in chickens: a review with focus on immunology.
AB - Marek's disease (MD), caused by Marek's disease virus (MDV), is a commercially
important neoplastic disease of poultry which is only controlled by mass
vaccination. Importantly, vaccines that can provide sterile immunity and inhibit
virus transmission are lacking; such that vaccines are only capable of preventing
neuropathy, oncogenic disease and immunosuppression, but are unable to prevent
MDV transmission or infection, leading to emergence of increasingly virulent
pathotypes. Hence, to address these issues, developing more efficacious vaccines
that induce sterile immunity have become one of the important research goals for
avian immunologists today. MDV shares very close genomic functional and
structural characteristics to most mammalian herpes viruses such as herpes
simplex virus (HSV). MD also provides an excellent T cell lymphoma model for
gaining insights into other herpesvirus-induced oncogenesis in mammals and birds.
For these reasons, we need to develop an in-depth knowledge and understanding of
the host-viral interaction and host immunity against MD. Similarly, the
underlying genetic variation within different chicken lines has a major impact on
the outcome of infection. In this review article, we aim to investigate the
pathogenesis of MDV infection, host immunity to MD and discuss areas of research
that need to be further explored.
PMID- 27894334
TI - How resilient is the general population to heatwaves? A knowledge survey from the
ENHANCE project in Brussels and Amsterdam.
AB - BACKGROUND: Studies have shown an increase in mortality and morbidity during
heatwaves, especially among the elderly. We assessed the knowledge of the general
population of Brussels and Amsterdam on groups at risk and protective measures
for heat-related health effects. RESULTS: Six locations with mixed populations
were selected in each city. Passer-by's in both cities were asked to participate
in a short survey. Respondents in Brussels (n = 120) had significantly more
knowledge on risk groups and protective measures than respondents in Amsterdam (n
= 133). In both cities, individuals with higher education had better knowledge on
risk groups and protective measures than individuals with lower education.
CONCLUSIONS: Efforts at heat-awareness raising must be strengthened, especially
in Amsterdam, and public health actions should effectively target vulnerable
groups with lower education in both cities.
PMID- 27894332
TI - Consideration of health inequalities in systematic reviews: a mapping review of
guidance.
AB - BACKGROUND: Given that we know that interventions shown to be effective in
improving the health of a population may actually widen the health inequalities
gap while others reduce it, it is imperative that all systematic reviewers
consider how the findings of their reviews may impact (reduce or increase) on the
health inequality gap. This study reviewed existing guidance on incorporating
considerations of health inequalities in systematic reviews in order to examine
the extent to which they can help reviewers to incorporate such issues. METHODS:
A mapping review was undertaken to identify guidance documents that purported to
inform reviewers on whether and how to incorporate considerations of health
inequalities. Searches were undertaken in Medline, CINAHL and The Cochrane
Library Methodology Register. Review guidance manuals prepared by international
organisations engaged in undertaking systematic reviews, and their associated
websites were scanned. Studies were included if they provided an overview or
discussed the development and testing of guidance for dealing with the
incorporation of considerations of health inequalities in evidence synthesis.
Results are summarised in narrative and tabular forms. RESULTS: Twenty guidance
documents published between 2009 and 2016 were included. Guidance has been
produced to inform considerations of health inequalities at different stages of
the systematic review process. The Campbell and Cochrane Equity Group have been
instrumental in developing and promoting such guidance. Definitions of health
inequalities and guidance differed across the included studies. All but one
guidance document were transparent in their method of production. Formal methods
of evaluation were reported for six guidance documents. Most of the guidance was
operationalised in the form of examples taken from published systematic reviews.
The number of guidance items to operationalise ranges from 3 up to 26 with a
considerable overlap noted. CONCLUSIONS: Adhering to the guidance will require
more work for the reviewers. It requires a deeper understanding of how reviewers
can operationalise the guidance taking into consideration the barriers and
facilitators involved. This has implications not only for understanding the
usefulness and burden of the guidance but also for the uptake of guidance and its
ultimate goal of improving health inequalities considerations in systematic
reviews.
PMID- 27894333
TI - Head-and-neck squamous cell carcinoma risk in smokers: no association detected
between phenotype and AHR, CYP1A1, CYP1A2, or CYP1B1 genotype.
AB - BACKGROUND: Head-and-neck squamous cell carcinoma (HNSCC) differs between smokers
and nonsmokers in etiology and clinical presentation. Because of demonstrated
unequivocal involvement in smoking-induced cancer in laboratory animals, four
candidate genes--AHR, CYP1A1, CYP1A2, and CYP1B1--were selected for a clinical
genotype-phenotype association study of HNSCC risk in smokers. Thirty-six single
nucleotide variants (mostly tag-SNPs) within and near these four genes [16 (AHR),
4 (CYP1A1), 4 (CYP1A2), and 12 (CYP1B1)] were chosen. METHODS: Extreme discordant
phenotype (EDP) method of analysis was used to increase statistical power. HNSCC
patients--having smoked 1-40 cigarette pack-years--represented the "highly
sensitive" (HS) population; heavy smokers having smoked >=80 cigarette-pack-years
without any type of cancer comprised the "highly-resistant" (HR) group. The vast
majority of smokers were intermediate and discarded from consideration.
Statistical tests were performed on N = 112 HS and N = 99 HR DNA samples from
whole blood. CONCLUSIONS: Among the four genes and flanking regions--one
haploblock, ACTTGATC in the 5' portion of CYP1B1, retained statistical
significance after 100,000 permutations (P = 0.0042); among our study population,
this haploblock was found in 36.4% of African-American, but only 1.49% of
Caucasian, HNSCC chromosomes. Interestingly, in the 1000 Genomes Project
database, frequency of this haplotype (in 1322 African and 1006 Caucasian
chromosomes) is 0.356 and 0.003, respectively. This study represents an excellent
example of "spurious association by population stratification". Considering the
cohort size, we therefore conclude that the variant alleles chosen for these four
genes, alone or in combinations, are not statistically significantly associated
with risk of cigarette-smoking-induced HNSCC.
PMID- 27894335
TI - Everolimus and exemestane in long survival hormone receptor positive male breast
cancer: case report.
AB - BACKGROUND: Male breast cancer is a rare event, accounting for approximately 1%
of all breast carcinomas. Although men with breast cancer had poorer survival
when compared with women, data on prognosis principally derive from retrospective
studies and from extrapolation of female breast cancer series. We reported the
case of a very long survival patient. CASE PRESENTATION: A caucasian 42-year-old
man underwent radical mastectomy with axillary dissection for breast cancer in
1993. Pathologic stage was pT4pN0M0 infiltrating ductal carcinoma of right breast
without lymph nodes metastases. Biological characterization was not available. He
received adjuvant treatment with chemotherapy, six cycles of cyclophosphamide,
methotrexate and fluorouracil, then endocrine therapy with tamoxifen for 5 years
and complementary radiotherapy. Then he began clinical-instrumental follow up. In
May 1996, a computed tomography scan showed multiple lung metastases. Hereafter
he received several oncologic treatment including seven chemotherapy and five
endocrine therapy lines with two re-challenge of endocrine therapy. In October
2007 further lung progression was showed and a biopsy was performed to
characterize the disease. Histological examination confirmed breast cancer
metastases, immunohistochemistry showed positive staining for estrogen receptor,
negative for progesterone receptor and human epithelial growth factor receptor 2,
proliferative index was 21%. In April 2013, bone disease progression was evident
and he received radiant treatment to sacral spine. In May 2014 an off-label
treatment with exemestane and everolimus combination was approved by Ethics
Committee of the Marche Region. The patient received treatment for 3 months with
evident clinical benefit to subcutaneous lesions of the chest wall that were not
visible nor palpable on physical examination after 1 month of treatment.
CONCLUSION: That is the case of long survival male breast cancer patient with
luminal B subtype and no BRCA mutations. He achieved higher progression free
survival with endocrine therapy creating the rationale for last line treatment
with everolimus and exemestane combination. Attending conclusive results from
ongoing studies, everolimus and exemestane should not be used routinely in male
metastatic breast cancer patients, but taking into account for selected cases. At
the best of our knowledge, this is the first case of male beast cancer treated
with exemestane and everolimus combination.
PMID- 27894338
TI - Erratum to: Chromatin remodeling factor LSH affects fumarate hydratase as a
cancer driver.
PMID- 27894337
TI - Intestinal dysbiosis is common in systemic sclerosis and associated with
gastrointestinal and extraintestinal features of disease.
AB - BACKGROUND: Recent evidence suggests a link between autoimmunity and the
intestinal microbial composition in several rheumatic diseases including systemic
sclerosis (SSc). The objective of this study was to investigate the prevalence of
intestinal dysbiosis in SSc and to characterise patients suffering from this
potentially immunomodulatory deviation. METHODS: This study consisted of 98
consecutive patients subject to in-hospital care. Stool samples were analysed for
intestinal microbiota composition using a validated genome-based microbiota test
(GA-mapTM Dysbiosis Test, Genetic Analysis, Oslo, Norway). Gut microbiota
dysbiosis was found present as per this standardised test. Patients were examined
regarding gastrointestinal and extraintestinal manifestations of SSc by clinical,
laboratory, and radiological measures including esophageal cineradiography, the
Malnutrition Universal Screening Tool (MUST), levels of plasma transthyretin (a
marker of malnutrition) and faecal (F-) calprotectin (a marker of intestinal
inflammation). RESULTS: A majority (75.5%) of the patients exhibited dysbiosis.
Dysbiosis was more severe (rs = 0.31, p = 0.001) and more common (p = 0.013) in
patients with esophageal dysmotility. Dysbiosis was also more pronounced in
patients with abnormal plasma levels of transthyretin (p = 0.045) or
micronutrient deficiency (p = 0.009). In 19 patients at risk for malnutrition
according to the MUST, 18 exhibited dysbiosis. Conversely, of the 24 patients
with a negative dysbiosis test, only one was at risk for malnutrition. The mean
+/- SEM levels of F-calprotectin were 112 +/- 14 and 45 +/- 8 MUg/g in patients
with a positive and negative dysbiosis test, respectively. Dysbiosis was more
severe in patients with skin telangiectasias (p = 0.020), pitting scars (p =
0.023), pulmonary fibrosis (p = 0.009), and elevated serum markers of
inflammation (p < 0.001). However, dysbiosis did not correlate with age, disease
duration, disease subtype, or extent of skin fibrosis. CONCLUSIONS: In this cross
sectional study, intestinal dysbiosis was common in patients with SSc and was
associated with gastrointestinal dysfunction, malnutrition and with some
inflammatory, fibrotic and vascular extraintestinal features of SSc. Further
studies are needed to elucidate the potential causal relationship of intestinal
microbe-host interaction in this autoimmune disease.
PMID- 27894336
TI - Curcumin and long-chain Omega-3 polyunsaturated fatty acids for Prevention of
type 2 Diabetes (COP-D): study protocol for a randomised controlled trial.
AB - BACKGROUND: Lifestyle interventions, including increase in physical activity and
dietary counselling, have shown the ability to prevent type 2 diabetes (T2D) in
high-risk state individuals, but the prevalence is still skyrocketing in
Australia, in line with global prevalence. Currently, no medicines are approved
by the Therapeutic Goods Administration in Australia for the management of
prediabetes. Therefore, there is a need of developing a safer, biologically
efficacious and cost-effective alternative for delaying the transition of
individual health state from prediabetes into T2D. In the current trial we
propose to evaluate the effects of curcumin and/or long-chain omega-3
polyunsaturated fatty acids on improving glycosylated haemoglobin as a primary
outcome, along with secondary outcomes of glycaemic indices, lipid profile and
inflammatory parameters. METHODS/DESIGN: Eighty individuals diagnosed with
prediabetes, aged between 30 and 70 years, will be randomly assigned to double
placebo, curcumin alone, fish oil alone or double active groups according to a
computer-generated randomisation sequence for 12 weeks. At baseline and post
intervention visits participants will be asked to provide blood samples and
undergo body composition measurements. A blood sample is used for estimating
glycaemic profiles, lipid profiles and inflammatory parameters (C-reactive
protein, whole blood cell count, adiponectin, leptin, interleukin-6). The interim
visit includes review on compliance with supplements based on capsule log and
capsule count, adverse events and anthropometric measurements. In addition to
these procedures, participants provide self-reported questionnaires on dietary
intake (using a 3-day food record), a physical activity questionnaire and medical
history. DISCUSSION: This trial aims to determine whether curcumin and/or long
chain omega-3 polyunsaturated fatty acids affect surrogate markers of glycaemic
control which is relevant to delaying T2D. To date 38 participants completed the
trial. No changes have been made to the clinical protocol post recruitment. If
successful, this trial will provide considerable evidence for performing a larger
trial to investigate whether this combination can be administered for preventing
or delaying the onset of T2D in high-risk individuals. TRIAL REGISTRATION:
ACTRN12615000559516 , registered on 29 May 2015).
PMID- 27894340
TI - xHMMER3x2: Utilizing HMMER3's speed and HMMER2's sensitivity and specificity in
the glocal alignment mode for improved large-scale protein domain annotation.
AB - BACKGROUND: While the local-mode HMMER3 is notable for its massive speed
improvement, the slower glocal-mode HMMER2 is more exact for domain annotation by
enforcing full domain-to-sequence alignments. Since a unit of domain necessarily
implies a unit of function, local-mode HMMER3 alone remains insufficient for
precise function annotation tasks. In addition, the incomparable E-values for the
same domain model by different HMMER builds create difficulty when checking for
domain annotation consistency on a large-scale basis. RESULTS: In this work, both
the speed of HMMER3 and glocal-mode alignment of HMMER2 are combined within the
xHMMER3x2 framework for tackling the large-scale domain annotation task. Briefly,
HMMER3 is utilized for initial domain detection so that HMMER2 can subsequently
perform the glocal-mode, sequence-to-full-domain alignments for the detected
HMMER3 hits. An E-value calibration procedure is required to ensure that the
search space by HMMER2 is sufficiently replicated by HMMER3. We find that the
latter is straightforwardly possible for ~80% of the models in the Pfam domain
library (release 29). However in the case of the remaining ~20% of HMMER3 domain
models, the respective HMMER2 counterparts are more sensitive. Thus, HMMER3
searches alone are insufficient to ensure sensitivity and a HMMER2-based search
needs to be initiated. When tested on the set of UniProt human sequences,
xHMMER3x2 can be configured to be between 7* and 201* faster than HMMER2, but
with descending domain detection sensitivity from 99.8 to 95.7% with respect to
HMMER2 alone; HMMER3's sensitivity was 95.7%. At extremes, xHMMER3x2 is either
the slow glocal-mode HMMER2 or the fast HMMER3 with glocal-mode. Finally, the E
values to false-positive rates (FPR) mapping by xHMMER3x2 allows E-values of
different model builds to be compared, so that any annotation discrepancies in a
large-scale annotation exercise can be flagged for further examination by
dissectHMMER. CONCLUSION: The xHMMER3x2 workflow allows large-scale domain
annotation speed to be drastically improved over HMMER2 without compromising for
domain-detection with regard to sensitivity and sequence-to-domain alignment
incompleteness. The xHMMER3x2 code and its webserver (for Pfam release 27, 28 and
29) are freely available at http://xhmmer3x2.bii.a-star.edu.sg/ . REVIEWERS:
Reviewed by Thomas Dandekar, L. Aravind, Oliviero Carugo and Shamil Sunyaev. For
the full reviews, please go to the Reviewers' comments section.
PMID- 27894339
TI - The identification of human pituitary adenoma-initiating cells.
AB - Classified as benign central nervous system (CNS) tumors, pituitary adenomas
account for 10% of diagnosed intracranial neoplasms. Although surgery is often
curative, patients with invasive macroadenomas continue to experience significant
morbidity and are prone to tumor recurrence. Given the identification of human
brain tumor-initiating cells (TICs) that initiate and maintain tumor growth while
promoting disease progression and relapse in multiple CNS tumors, we investigated
whether TICs also drive the growth of human pituitary adenomas. Using a
nanoString-based 80-gene custom codeset specific for developmental pathways, we
identified a differential stem cell gene expression profile within human
pituitary adenomas. Prospective functional characterization of stem cell
properties in patient-derived adenomas representing all hormonal subtypes yielded
a subtype-dependent self-renewal profile, which was enriched within the CD15+
cell fraction. The tumor-initiating capacity of CD15high adenoma cells was
assayed in comparison to CD15low adenomas using in vivo limiting dilutions, which
maintained the rare frequency of TICs. Repeated analyses using sorted cell
populations for CD15+ TICs compared to CD15- adenoma cells provided further
evidence of xenograft tumor formation to support CD15+ cells as putative
pituitary adenoma-initiating cells (PAICs). The clinical utility of our findings
was established through in silico analyses and comparative gene expression
profiling of primary and recurrent pituitary adenomas. CD15 was enriched in
recurrent adenomas, which was validated using routine clinical
immunohistochemistry in a limited number of samples. Our work reports the first
prospective identification of human PAICs using CD15. Patients with CD15high
adenomas may therefore benefit from more aggressive surgical interventions and
chemo/radiotherapy.
PMID- 27894341
TI - Abdominal obesity, gender and the risk of rheumatoid arthritis - a nested case
control study.
AB - BACKGROUND: The risk of development of rheumatoid arthritis (RA) could be
affected by immune activation in obesity. Our objective was to evaluate the
association between obesity in general, and abdominal obesity, and the risk for
subsequent development of RA. METHODS: In two large population-based, prospective
cohorts, 557 cases (mean age at RA symptom onset 58, SD 10 years, 68% women) who
subsequently developed RA and 1671 matched controls were identified. From a
health examination antedating symptom onset (median 5.5 years), collected data on
body mass index (BMI; kg/m2), smoking habits, and educational level was used in
conditional logistical regression models. Corresponding regression models were
used to analyse the association between waist circumference measurements (cm) and
RA development in a subset of the population. RESULTS: BMI and waist
circumference were associated with the risk of RA development, adjusted odds
ratio (OR) (95% CI), 1.13 (1.00, 1.28) per 5 kg/m2, and 1.02 (1.01, 1.04) per cm,
respectively. An association was also observed for obesity (BMI >=30) OR 1.45
(1.07, 1.95), compared with BMI <25. After stratification for sex the
associations were enhanced in men, and attenuated in women. Among men with BMI
above normal a 3-5 times increased risk for RA disease development at 50 years of
age or earlier was observed. Abdominal obesity with waist circumference >102 cm
was associated with a 2-3 times increased risk of RA, but not abdominal obesity
(>88 cm) in women. CONCLUSIONS: Obesity or abdominal obesity, respectively, was
independently associated with a modest increase of the risk for subsequent
development of RA. This appeared to be relevant mainly for early RA disease onset
among men.
PMID- 27894342
TI - Inequalities in the spiritual health of young Canadians: a national, cross
sectional study.
AB - BACKGROUND: Spiritual health, along with physical, emotional, and social aspects,
is one of four domains of health. Assessment in this field of research is
challenging methodologically. No contemporary population-based studies have
profiled the spiritual health of adolescent Canadians with a focus on health
inequalities. In a 2014 nationally representative sample of Canadians aged 11-15
years we therefore: (1) psychometrically evaluated a series of items used to
assess the perceived importance of spiritual health and its four potential sub
domains (connections with: self, others, nature and the natural environment, and
the transcendent) to adolescents; (2) described potential inequalities in
spiritual health within adolescent populations, overall and by spiritual health
sub-domain, by key socio-demographic factors. METHODS: Cross-sectional analysis
of survey reports from the 2014 (Cycle 7) of the Canadian Health Behaviour in
School-aged Children study (weighted n = 25,036). Principal components analysis
followed by confirmatory factor analysis were used to explore the psychometric
properties of the spiritual health items and the associated composite scale
describing perceived importance of spiritual health. Associations among this
composite scale, its individual sub-domains, and key socio-demographic factors
were then explored. RESULTS: The principal components analysis best supported a
four-factor structure where the eight scale items loaded highly according to the
original four domains. This was also supported in confirmatory factor analyses.
We then combined the eight items into composite spiritual health score as
supported by theory, principal components analysis findings, and acceptable tests
of reliability. Further confirmatory factor analysis suggested the need for
additional refinements to this scale. Based upon exploratory cross-sectional
analyses, strong socio-demographic inequalities were observed in the spiritual
health measures by age, gender, relative material wealth, immigration status, and
province/territory. CONCLUSIONS: Study findings highlight potential inequalities
in the spiritual health of young Canadians, as well as opportunities for
methodological advances in the assessment of adolescent spiritual health in our
population.
PMID- 27894343
TI - Post-marketing withdrawal of anti-obesity medicinal products because of adverse
drug reactions: a systematic review.
AB - BACKGROUND: We identified anti-obesity medications withdrawn since 1950 because
of adverse drug reactions after regulatory approval, and examined the evidence
used to support such withdrawals, investigated the mechanisms of the adverse
reactions, and explored the trends over time. METHODS: We conducted searches in
PubMed, the World Health Organization database of drugs, the websites of drug
regulatory authorities, and selected full texts, and we hand searched references
in retrieved documents. We included anti-obesity medications that were withdrawn
between 1950 and December 2015 and assessed the levels of evidence used for
making withdrawal decisions using the Oxford Centre for Evidence-Based Medicine
criteria. RESULTS: We identified 25 anti-obesity medications withdrawn between
1964 and 2009; 23 of these were centrally acting, via monoamine
neurotransmitters. Case reports were cited as evidence for withdrawal in 80% of
instances. Psychiatric disturbances, cardiotoxicity (mainly attributable to re
uptake inhibitors), and drug abuse or dependence (mainly attributable to
neurotransmitter releasing agents) together accounted for 83% of withdrawals.
Deaths were reportedly associated with seven products (28%). In almost half of
the cases, the withdrawals occurred within 2 years of the first report of an
adverse reaction. CONCLUSIONS: Most of the drugs that affect monoamine
neurotransmitters licensed for the treatment of obesity over the past 65 years
have been withdrawn because of adverse reactions. The reasons for withdrawal
raise concerns about the wisdom of using pharmacological agents that target
monoamine neurotransmitters in managing obesity. Greater transparency in the
assessment of harms from anti-obesity medications is therefore warranted.
PMID- 27894345
TI - Ultrasonographic features of adrenal gland lesions in dogs can aid in diagnosis.
AB - BACKGROUND: Ultrasonography to visualize adrenal gland lesions and evaluate
incidentally discovered adrenal masses in dogs has become more reliable with
advances in imaging techniques. However, correlations between sonographic and
histopathological changes have been elusive. The goal of our study was to
investigate which ultrasound features of adrenal gland abnormalities could aid in
discriminating between benign and malignant lesions. To this end, we compared
diagnosis based on ultrasound appearance and histological findings and evaluated
ultrasound criteria for predicting malignancy. RESULTS: Clinical records of 119
dogs that had undergone ultrasound adrenal gland and histological examination
were reviewed. Of these, 50 dogs had normal adrenal glands whereas 69 showed
pathological ones. Lesions based on histology were classified as cortical adrenal
hyperplasia (n = 67), adenocarcinoma (n = 17), pheochromocytoma (n = 10),
metastases (n = 7), adrenal adenoma (n = 4), and adrenalitis (n = 4).
Ultrasonographic examination showed high specificity (100%) but low sensitivity
(63.7%) for identifying the adrenal lesions, which improved with increasing
lesion size. Analysis of ultrasonographic predictive parameters showed a
significant association between lesion size and malignant tumors. All adrenal
gland lesions >20 mm in diameter were histologically confirmed as malignant
neoplasms (pheochromocytoma and adenocarcinoma). Vascular invasion was a specific
but not sensitive predictor of malignancy. As nodular shape was associated with
benign lesions and irregular enlargement with malignant ones, this parameter
could be used as diagnostic tool. Bilaterality of adrenal lesions was a useful
ultrasonographic criterion for predicting benign lesions, as cortical
hyperplasia. CONCLUSIONS: Abnormal appearance of structural features on
ultrasound images (e.g., adrenal gland lesion size, shape, laterality, and
echotexture) may aid in diagnosis, but these features alone were not pathognomic.
Lesion size was the most direct ultrasound predictive criterion. Large and
irregular masses seemed to be better predictors of malignant neoplasia and
lesions <20 mm in diameter and nodular in shape were often identified as cortical
hyperplastic nodules or adenomas.
PMID- 27894344
TI - Siropins, novel serine protease inhibitors from gut microbiota acting on human
proteases involved in inflammatory bowel diseases.
AB - BACKGROUND: In eukaryotes, the serpins constitute a wide family of protease
inhibitors regulating many physiological pathways. Many reports stressed the key
role of serpins in several human physiopathologies including mainly the
inflammatory bowel diseases. In this context, eukaryotic serpins were largely
studied and their use to limit inflammation was reported. In comparison to that,
bacterial serpins and mainly those from human gut microbiota remain poorly
studied. RESULTS: The two genes encoding for putative serpins from the human gut
bacterium Eubacterium sireaum, display low sequence identities. These genes were
overexpressed and the encoded proteins, named Siropins, were purified. Activity
studies demonstrated that both purified proteins inhibited serine proteases but
surprisingly they preferentially inhibited two human serine proteases (Human
Neutrophil Elastase and Proteinase3). The biochemical characterization of these
Siropins revealed that Siropin 1 was the most active and stable at low pH values
while Siropin 2 was more thermoactive and thermostable. Kinetic analysis allowed
the determination of the stoichiometry of inhibition (SI) which was around 1 and
of the association rate constants of 7.7 * 104 for the Human Neutrophil Elastase
and 2.6 * 105 for the Proteinase3. Moreover, both Siropins displayed the ability
to inhibit proteases usually present in fecal waters. Altogether our data
indicate the high efficiency of Siropins and their probable involvement in the
control of the overall intestine protease activity. CONCLUSIONS: Here we report
the purification and the biochemical characterization of two novel serpins
originated from Eubacterium sireaum, a human gastro-intestinal tract commensal
bacteria. These proteins that we called Siropins, efficiently inhibited two human
proteases reported to be associated with inflammatory bowel diseases. The
determination of the biochemical properties of these enzymes revealed different
temperature and pH behaviours that may reflect adaptation of this human commensal
bacterium to different ecological environments. To the best of our knowledge, it
is the first bacterial serpins showing an attractive inhibition of fecal
proteases recovered from a mice group with chemically induced inflammation.
Altogether our data highlight the interesting potential of Siropins, and serpins
from the human gut microbiota in general, to be used as new alternative to face
inflammatory diseases.
PMID- 27894346
TI - A retrospective review of birth outcomes at the Mother and Child Health Hospital
in Lao People's Democratic Republic, 2004-2013.
AB - BACKGROUND: The Lao People's Democratic Republic (Lao PDR) is a lower-middle
income country making steady progress improving maternal and child health
outcomes. We sought to ascertain if there have been improvements in three
specific birth outcomes (low birth weight, preterm birth and small for
gestational age) over the last decade. METHODS: We retrospectively reviewed birth
records between 2004 and 2013 at the Mother and Child Health (MCH) hospital in
Vientiane. We defined preterm birth as gestation <37 weeks and low birth weight
as <2,500 g. We calculated small for gestational age (SGA). We describe birth
outcomes over time and compare proportions using Chi square. RESULTS: Between
2004 and 2013, the annual average number of newborns delivered each year was
4,322 and the frequency of low birth weight ranged from 9.5 to 12%, preterm
births from 6.3 to 10%, and infants born SGA from 25 to 35%. There were no
improvements in these frequencies over time. Women <18 years at delivery had a
statistically significantly higher frequency of babies born with a low birth
weight (15.3 vs. 10.8%, p < 0.02) or preterm (16.4 vs. 7.8%, p < 0.01) than those
aged >18. There was no difference in the frequency of babies born SGA by age
(26.8% in women <18 years vs. 29.7% in women >18 years, p = 0.30). CONCLUSIONS:
At the largest maternal and child hospital in Lao PDR, we found a high frequency
of poor birth outcomes with no improvements over the last decade.
PMID- 27894347
TI - Estimation of utility weights for human papilloma virus-related health states
according to disease severity.
AB - BACKGROUND: A cost-utility study of a human papilloma virus (HPV) vaccine
requires that the utility weights for HPV-related health states (i.e., cervical
intraepithelial neoplasia (CIN), cervical cancer, and condyloma) be evaluated.
The aim of the present study was to determine the utility weights for HPV-related
health states. METHODS: Hypothetical standardised health states related to HPV
were developed based on patient education material and previous publications. To
fully reflect disease progression from diagnosis to prognosis, each health state
comprised four parts (diagnosis, symptoms, treatment, and progression and
prognosis). Nine-hundred members from the Korean general population evaluated the
HPV-related health states using a visual analogue scale (VAS) and a standard
gamble (SG) approach, which were administered face-to-face via computer-assisted
interview. The mean utility values were calculated for each HPV-related health
state. RESULTS: According to the VAS, the highest utility (0.73) was HPV-positive
status, followed by condyloma (0.66), and CIN grade I (0.61). The lowest utility
(0.18) was cervical cancer requiring chemotherapy without surgery, followed by
cervical cancer requiring chemoradiation therapy (0.42). SG revealed that the
highest utility (0.83) was HPV-positive status, followed by condyloma (0.78), and
CIN grade I (0.77). The lowest utility (0.43) was cervical cancer requiring
chemotherapy without surgery, followed by cervical cancer requiring
chemoradiation therapy (0.60). CONCLUSIONS: This study was based on a large
sample derived from the general Korean population; therefore, the calculated
utility weights might be useful for evaluating the economic benefit of cancer
screening and HPV vaccination programs.
PMID- 27894348
TI - Change in luminal diameter of the left internal thoracic artery anastomosed to
the totally occluded left anterior descending coronary artery.
AB - BACKGROUND: Coronary artery bypass grafting (CABG) with a composite Y-graft made
of the left internal thoracic artery (LITA) and another arterial graft has a risk
for hypoperfusion. Changes over time in the diameter of the LITA anastomosed to
the left anterior descending coronary artery (LAD) are not known. METHODS: Data
were collected for 71 patients who had undergone coronary angiography (CAG)
immediately and at 1 year following off-pump CABG with a composite Y-graft made
of the LITA and either the radial artery or the right gastroepiploic artery.
These patients were divided into 2 groups depending on the degree of LAD
stenosis. Group 1 (n = 28) consisted of patients with complete occlusion of the
LAD. Group 2 (n = 43) consisted of patients with <90% stenosis of the LAD. The
clinical state and luminal diameter of the LITA on immediate postoperative and
postoperative 1-year CAG were compared and analyzed. RESULTS: On the immediate
postoperative CAG, mean LITA diameter of Group 1 was larger than that of Group 2
(2.09 +/- 0.53 vs. 1.61 +/- 0.33 mm, P = 0.01). Mean LITA diameter 1 year
following CABG was also larger in Group 1 than in Group 2 (2.49 +/- 0.31 vs. 2.10
+/- 0.45 mm, P = 0.005). Both groups showed significant increases in the LITA
diameters at postoperative 1 year. CONCLUSIONS: The LITA used as a composite Y
graft underwent remodeling, resulting in a larger diameter, to supply adequate
myocardial blood. The degree of change in luminal diameter varied according to
the severity of the LAD stenosis.
PMID- 27894349
TI - "When I saw walking I just kind of took it as wheeling": interpretations of
mobility-related items in generic, preference-based health state instruments in
the context of spinal cord injury.
AB - BACKGROUND: In health economic analyses, health states are typically valued using
instruments with few items per dimension. Due to the generic (and often
reductionist) nature of such instruments, certain groups of respondents may
experience challenges in describing their health state. This study is concerned
with generic, preference-based health state instruments that provide information
for decisions about the allocation of resources in health care. Unlike physical
measurement instruments, preference-based health state instruments provide health
state values that are dependent on how respondents interpret the items. This
study investigates how individuals with spinal cord injury (SCI) interpret
mobility-related items contained within six preference-based health state
instruments. METHODS: Secondary analysis of focus group transcripts originally
collected in Vancouver, Canada, explored individuals' perceptions and
interpretations of mobility-related items contained within the 15D, Assessment of
Quality of Life 8-dimension (AQoL-8D), EQ-5D-5L, Health Utilities Index (HUI),
Quality of Well-Being Scale Self-Administered (QWB-SA), and the 36-item Short
Form health survey version 2 (SF-36v2). Ritchie and Spencer's 'Framework
Approach' was used to perform thematic analysis that focused on participants'
comments concerning the mobility-related items only. RESULTS: Fifteen individuals
participated in three focus groups (five per focus group). Four themes emerged:
wording of mobility (e.g., 'getting around' vs 'walking'), reference to aids and
appliances, lack of suitable response options, and reframing of items (e.g.,
replacing 'walking' with 'wheeling'). These themes reflected item features that
respondents perceived as relevant in enabling them to describe their mobility,
and response strategies that respondents could use when faced with inaccessible
items. CONCLUSION: Investigating perceptions to mobility-related items within the
context of SCI highlights substantial variation in item interpretation across six
preference-based health state instruments. Studying respondents' interpretations
of items can help to understand discrepancies in the health state descriptions
and values obtained from different instruments. This line of research warrants
closer attention in the health economics and quality of life literature.
PMID- 27894350
TI - GANT61, a GLI inhibitor, sensitizes glioma cells to the temozolomide treatment.
AB - BACKGROUND: The aim of this study was to investigate the effect of downregulating
Hedgehog pathway by GANT61 on human glioma cells, examine the consequent changes
of temozolomide (TMZ)-induced effects and explore the molecular mechanisms.
METHODS: The cytotoxicity of a Gli1/2 inhibitor, GANT61 was examined both alone
and in combination with TMZ in human glioma cell lines. The mRNA and protein
expression alterations were determined by quantitative real-time polymerase chain
reaction (qRT-PCR) and Western blot, respectively. CCK-8 assay detected the cell
proliferative capability. Apoptotic cell number was measured by flow cytometry.
The transwell assay was used to test the cell invasive capability. DNA damage
effect was identified by COMET assay and gammaH2AX expression. RESULTS:
Proliferation of tumor cells treated with GANT61 in combination with TMZ was
significantly suppressed compared with those treated with either drug used alone.
The combination treatment induced a higher rate of apoptosis, DNA damage and
reduced the invasive capability of glioma cells. DNA damage repair enzyme MGMT
and the Notch1 pathway increased in the cells treated by TMZ treatment. However,
GANT61 could abrogated the protein increasing. CONCLUSIONS: GANT61 sensitizes
glioma cells to TMZ treatment by enhancing DNA damage effect, decreasing MGMT
expression and the Notch1 pathway.
PMID- 27894352
TI - Immunoassay of S-adenosylmethionine and S-adenosylhomocysteine: the methylation
index as a biomarker for disease and health status.
AB - BACKGROUND: S-Adenosylmethionine (SAM) and S-adenosylhomocysteine (SAH) are
relevant to a variety of diseases. Previous reports that quantified SAM and SAH
were based on HPLC or LC-MS/MS. No antibody against SAM has been generated, and
the antibody against SAH cannot be used with blood samples. Immunoassays have not
been used to measure SAM and SAH. In this study, ELISA was used to measure blood
SAM and SAH levels. RESULTS: Specific antibodies against SAM were produced for
the first time using a stable analog as the antigen. The monoclonal antibodies
against SAM and SAH were characterized. No cross-reactivity was detected for the
analyzed analogs. For the anti-SAM antibodies, the ELISA sensitivity was ~2 nM,
and the affinity was 7.29 * 1010 L/mol. For the anti-SAH antibodies, the
sensitivity was ~15 nM, and the affinity was 2.79 * 108 L/mol. Using high-quality
antibodies against SAM and SAH, immunoassays for the detection of SAM and SAH
levels in blood and tissue samples were developed. Clinical investigations using
immunoassays to measure SAM, SAH and the methylation index (MI) in normal and
diseased samples indicated that (1) the SAM level is age and gender dependent;
(2) the SAM level is associated with the severity of liver diseases, inflammatory
reactions and other diseases; and (3) the methylation index (MI) is significantly
reduced in many diseases and may serve as a screening biomarker to identify
potentially unfavorable health conditions. CONCLUSION: It is possible to generate
antibodies against active small biomolecules with weak immunogenicity, such as
SAM and SAH, using traditional hybridoma technology. The antigens and antibodies
described here will contribute to the development of immunoassays to measure SAM,
SAH and related molecules. These assays enable the MI to be measured
specifically, accurately, easily and quickly without costly equipment. This
preliminary study indicates that the MI could be an effective indicator of
general health, except under conditions that may alter the value of the MI, such
as special diets and medications.
PMID- 27894351
TI - Characterizing the morbid genome of ciliopathies.
AB - BACKGROUND: Ciliopathies are clinically diverse disorders of the primary cilium.
Remarkable progress has been made in understanding the molecular basis of these
genetically heterogeneous conditions; however, our knowledge of their morbid
genome, pleiotropy, and variable expressivity remains incomplete. RESULTS: We
applied genomic approaches on a large patient cohort of 371 affected individuals
from 265 families, with phenotypes that span the entire ciliopathy spectrum.
Likely causal mutations in previously described ciliopathy genes were identified
in 85% (225/265) of the families, adding 32 novel alleles. Consistent with a
fully penetrant model for these genes, we found no significant difference in
their "mutation load" beyond the causal variants between our ciliopathy cohort
and a control non-ciliopathy cohort. Genomic analysis of our cohort further
identified mutations in a novel morbid gene TXNDC15, encoding a thiol isomerase,
based on independent loss of function mutations in individuals with a consistent
ciliopathy phenotype (Meckel-Gruber syndrome) and a functional effect of its
deficiency on ciliary signaling. Our study also highlighted seven novel candidate
genes (TRAPPC3, EXOC3L2, FAM98C, C17orf61, LRRCC1, NEK4, and CELSR2) some of
which have established links to ciliogenesis. Finally, we show that the morbid
genome of ciliopathies encompasses many founder mutations, the combined carrier
frequency of which accounts for a high disease burden in the study population.
CONCLUSIONS: Our study increases our understanding of the morbid genome of
ciliopathies. We also provide the strongest evidence, to date, in support of the
classical Mendelian inheritance of Bardet-Biedl syndrome and other ciliopathies.
PMID- 27894353
TI - Provider and user acceptability of intermittent screening and treatment for the
control of malaria in pregnancy in Malawi.
AB - BACKGROUND: Malaria in pregnancy is a major cause of adverse maternal and fetal
outcomes. Intermittent preventive treatment with sulfadoxine-pyrimethamine (IPTp
SP) is one of the control strategies promoted by WHO. In response to mounting
resistance to SP, intermittent screening and treatment (ISTp) has been proposed
as an alternative. The objective of this study was to explore the acceptability
of ISTp for health workers and pregnant women. METHODS: Semi-structured
interviews of ten health workers and five focus group discussions of 38 women
enrolled in an ongoing trial comparing IPTp-SP and ISTp with dihydroartemisinin
piperaquine (DP) were conducted at two antenatal clinics in rural Malawi. All
transcripts were coded and themes were identified using a content analysis
approach. RESULTS: Amongst health workers, there were contrasting opinions on the
preference of blood sampling methods, and the influence of method on reliability
of diagnosis. The perceived greater effectiveness of DP compared to SP was
appreciated, however concerns of user compliance with the full dose of DP in non
trial settings were raised. Despite the discomfort of repeated finger pricks,
pregnant women were generally accepting of ISTp, particularly the chance for
regular blood tests to check for infections and the perceived greater
effectiveness with fewer side effects of DP compared to SP. CONCLUSION: In the
trial context, pregnant women tended to prefer ISTp-DP over IPTp-SP. Health
workers were also accepting of ISTp-DP as an alternative to IPTp-SP in light of
increasing SP resistance. However, reliability of stock, adherence to malaria
test results and user adherence to the full course of DP may present barriers to
successful routine implementation. Effective communication with health workers
and between health workers, pregnant women and their communities will be
essential for the acceptability of focused antenatal care, including the best
malaria control measures available.
PMID- 27894354
TI - Do mosquitoes transmit the avian malaria-like parasite Haemoproteus? An
experimental test of vector competence using mosquito saliva.
AB - BACKGROUND: The life-cycle of many vector-borne pathogens includes an asexual
replication phase in the vertebrate host and sexual reproduction in the insect
vector. However, as only a small array of parasites can successfully develop
infective phases inside an insect, few insect species are competent vectors for
these pathogens. Molecular approaches have identified the potential insect
vectors of blood parasites under natural conditions. However, the effectiveness
of this methodology for verifying mosquito competence in the transmission of
avian malaria parasites and related haemosporidians is still under debate. This
is mainly because positive amplifications of parasite DNA in mosquitoes can be
obtained not only from sporozoites, the infective phase of the malaria parasites
that migrate to salivary glands, but also from different non-infective parasite
forms in the body of the vector. Here, we assessed the vectorial capacity of the
common mosquito Culex pipiens in the transmission of two parasite genera.
METHODS: A total of 1,560 mosquitoes were allowed to feed on five house sparrows
Passer domesticus naturally infected by Haemoproteus or co-infected by
Haemoproteus/Plasmodium. A saliva sample of the mosquitoes that survived after 13
days post-exposure was taken to determine the presence of parasite DNA by PCR.
RESULTS: Overall, 31.2% mosquito's head-thorax and 5.8% saliva samples analysed
showed positive amplifications for avian malaria parasites. In contrast to
Haemoproteus DNA, which was not found in either the body parts or the saliva,
Plasmodium DNA was detected in both the head-thorax and the saliva of mosquitoes.
Parasites isolated from mosquitoes feeding on the same bird corresponded to the
same Plasmodium lineage. CONCLUSIONS: Our experiment provides good evidence for
the competence of Cx. pipiens in the transmission of Plasmodium but not of
Haemoproteus. Molecular analyses of saliva are an effective method for testing
the vector competence of mosquitoes and other insects in the transmission of
vector-borne pathogens.
PMID- 27894355
TI - Generation of mAbs to foot-and-mouth disease virus serotype A and application in
a competitive ELISA for serodiagnosis.
AB - BACKGROUND: Foot-and-mouth disease (FMD) is an economically devastating disease
that severely limits international trade of animals. Of the seven FMD virus
(FMDV) serotypes, serotype A is one of the most widespread cross the world.
Currently antibodies to FMDV are detected in animals using the virus
neutralization test (VNT) and the enzyme-linked immunosorbent assay (ELISA). The
VNT is laborious, time-consuming and reliant on live virus and cell cultures,
while ELISA has the advantage of using inactivated antigens and often provides
more reproducible results. The aim of this study was to develop a reliable and
rapid competitive ELISA (cELISA) for the detection of antibodies to FMDV serotype
A (FMDV/A). RESULTS: A panel of FMDV/A specific monoclonal antibodies (mAbs) was
generated and their ability to compete with a polyclonal serum from FMDV/A
infected cattle was examined. Two mAbs inhibited the binding of a polyclonal
serum to FMDV/A viruses. The binding epitopes of each were determined as
conformational and located on the VP2 viral capsid protein. The FMDV/A cELISA was
developed using these two mAbs and FMDV/A inactivated virus as antigen. The
diagnostic specificity and sensitivity were 99.7 and 99.3% (98.5-100%)
respectively, based on a predetermined cut-off of 50% inhibition. When analysing
sera from animals experimentally infected with FMDV/A, the cELISA detected
antibodies from 5-days post infection (dpi) and remained positive for at least 21
28 days post infection. Comparison based on the Kappa coefficient showed strong
agreement (90-94%) between cELISA and VNT. CONCLUSION: The cELISA results are
comparable to the VNT for antibody detection making it a simple and reliable test
to detect antibodies against FMDV/A.
PMID- 27894356
TI - Transmission of Calicophoron daubneyi and Fasciola hepatica in Galicia (Spain):
Temporal follow-up in the intermediate and definitive hosts.
AB - BACKGROUND: Paramphistomosis caused by Calicophoron daubneyi and fasciolosis
caused by Fasciola hepatica are common parasitic diseases of livestock animals.
Transmission of the diseases depends on the presence of intermediate hosts, i.e.
freshwater gastropods such as lymnaeids. We carried out a 2-year-long study of
the dynamics of the snail population acting as the intermediate host for these
parasites, considering the population structure in terms of size/age and
infection status. In addition, we determined the kinetics of trematode egg
excretion in grazing cows. Generalized Additive Models (GAMs) were used to
analyze the associations between different response variables and snail size,
sampling month and weather-related variables. RESULTS: Of the molluscan species
examined, Galba truncatula, Radix peregra, Anisus (Anisus) leucostoma and
Pisidium casertanum (n = 2802), only G. truncatula was infected with C. daubneyi
or F. hepatica, at prevalence rates of 8.2% and 4.4% respectively. The
probability of infection with C. daubneyi or F. hepatica was linearly related to
snail size, although in different ways (negative for C. daubneyi and positive for
F. hepatica). The total snail population increased in winter, when specimens of
all size classes were found. Infected snails were more abundant during spring
autumn. Mature cercariae of both parasites were found in most seasons. In the
statistical models, the sampling month accounted for a high percentage (71.9
78.2%) of the observed variability in snail abundance. The inclusion of climatic
variables in the models moderately increased the percentage of deviance explained
(77.7-91.9%). Excretion of C. daubneyi eggs in cow faeces was always higher than
that of F. hepatica eggs. CONCLUSIONS: Particular care should be taken to prevent
pastures and the surrounding environment being contaminated with parasite eggs
during winter-spring, when the number of snails susceptible to miracidial
infections is maximal. This is therefore the optimal time for treating grazing
animals. Nevertheless, control of trematodosis based only on chemotherapy is
difficult in an area such as the study area, where environmental factors favour
the regular appearance of snail populations harbouring mature cercariae.
PMID- 27894358
TI - Attentional and affective consequences of technology supported mindfulness
training: a randomised, active control, efficacy trial.
AB - BACKGROUND: Mindfulness training (MT) programs represent an approach to attention
training with well-validated mental health benefits. However, research supporting
MT efficacy is based predominantly on weekly-meeting, facilitator-led, group
intervention formats. It is unknown whether participants might benefit from
neurofeedback-assisted, technology-supported MT (N-tsMT), in which meditation is
delivered individually, without the need for a facilitator, travel to a training
site, or the presence of a supportive group environment. Mirroring the validation
of group MT interventions, the first step in addressing this question requires
identifying whether N-tsMT promotes measurable benefits. Here, we report on an
initial investigation of a commercial N-tsMT system. METHODS: In a randomized,
active control trial, community-dwelling healthy adult participants carried out 6
weeks of daily practice, receiving either N-tsMT (n = 13), or a control condition
of daily online math training (n = 13). Training effects were assessed on target
measures of attention and well-being. Participants also completed daily post
training surveys assessing effects on mood, body awareness, calm, effort, and
stress. RESULTS: Analysis revealed training effects specific to N-tsMT, with
attentional improvements in overall reaction time on a Stroop task, and well
being improvements via reduced somatic symptoms on the Brief Symptom Inventory.
Attention and well-being improvements were correlated, and effects were greatest
for the most neurotic participants. However, secondary, exploratory measures of
attention and well-being did not show training-specific effects. N-tsMT was
associated with greater body awareness and calm, and initially greater effort
that later converged with effort in the control condition. CONCLUSIONS:
Preliminary findings indicate that N-tsMT promotes modest benefits for attention
and subjective well-being in a healthy community sample relative to an active
control condition. However, the findings would benefit from replication in a
larger sample, and more intensive practice or more comprehensive MT instruction
might be required to promote the broader benefits typically reported in group
format, facilitated MT. TRIAL REGISTRATION: Current Controlled Trials
ISRCTN43629398 . Retrospectively registered on June 16, 2016.
PMID- 27894361
TI - Non-human Primate Malaria Parasites: out of the forest and into the laboratory -
CORRIGENDUM.
PMID- 27894360
TI - The relationship of endotoxaemia to peripheral and central nervous system
inflammatory responses in Human African Trypanosomiasis.
AB - Endotoxaemia has been described in cases of Human African trypanosomiasis (HAT),
but it is unclear if this phenomenon influences inflammatory pathology either in
the periphery or central nervous system (CNS). We studied endotoxin
concentrations in the plasma and cerebrospinal fluid (CSF) of Trypanosoma brucei
rhodesiense patients using the chromogenic Limulus Amoebocyte lysate assay. The
relationship of endotoxin concentration to the presentation of gross signs of
inflammation and the inflammatory/counter-inflammatory cytokine profile of the
relevant compartments were analysed. We demonstrate that HAT patients exhibit
parasitaemia-independent plasma endotoxaemia, and that this is associated with
splenomegaly and lymphadenopathy. Endotoxin concentrations normalize rapidly
after treatment. There was no evidence of endotoxin release in the CNS. A rapid
normalization of endotoxin levels after treatment and lack of association with
parasitaemia suggest that gut leakage is the main source of endotoxin in the
circulation. Low CSF endotoxin concentrations and a lack of any association with
neuroinflammatory markers or neurological sequelae suggest that endotoxin does
not play a role in the pathogenesis of the disease in the CNS.
PMID- 27894359
TI - Can morphological MRI differentiate between primary central nervous system
lymphoma and glioblastoma?
AB - BACKGROUND: Primary central nervous system lymphoma (PCNSL) is a rare, aggressive
brain neoplasm that accounts for roughly 2-6% of primary brain tumors. In
contrast, glioblastoma (GBM) is the most frequent and severe glioma subtype,
accounting for approximately 50% of diffuse gliomas. The aim of the present study
was to evaluate morphological MRI characteristics in histologically-proven PCNSL
and GBM at the time of their initial presentation. METHODS: We retrospectively
evaluated standard diagnostic MRI examinations in 54 immunocompetent patients (26
female, 28 male; age 62.6 +/- 11.5 years) with histologically-proven PCNSL and 54
GBM subjects (21 female, 33 male; age 59 +/- 14 years). RESULTS: Several
significant differences between both infiltrative brain tumors were found. PCNSL
lesions enhanced homogenously in 64.8% of cases, while nonhomogeneous enhancement
was observed in 98.1% of GBM cases. Necrosis was present in 88.9% of GBM lesions
and only 5.6% of PCNSL lesions. PCNSL presented as multiple lesions in 51.9%
cases and in 35.2% of GBM cases; however, diffuse infiltrative type of brain
involvement was observed only in PCNSL (24.1%). Optic pathways were infiltrated
more commonly in PCNSL than in GBM (42.6% vs. 5.6%, respectively, p <0.001).
Other cranial nerves were affected in 5.6% of PCNSL, and in none of GBM. Signs of
bleeding were rare in PCNSL (5.6%) and common in GBM (44.4%); p < 0.001. Both
supratentorial and infratentorial localization was present only in PCNSL (27.7%).
Involvement of the basal ganglia was more common in PCNSL (55.6%) than in GBM
(18.5%); (p < 0.001). Cerebral cortex was affected significantly more often in
GBM (83.3%) than in PCNSL (51.9%); mostly by both enhancing and non-enhancing
infiltration. CONCLUSION: Routine morphological MRI is capable of differentiating
between GBM and PCNSL lesions in many cases at time of initial presentation. A
solitary infiltrative supratentorial lesion with nonhomogeneous enhancement and
necrosis was typical for GBM. PCNSL presented with multiple lesions that enhanced
homogenously or as diffuse infiltrative type of brain involvement, often with
basal ganglia and optic pathways affection.
PMID- 27894362
TI - The trypanosome alternative oxidase: a potential drug target?
AB - New drugs against Trypanosoma brucei, the causative agent of Human African
Trypanosomiasis, are urgently needed to replace the highly toxic and largely
ineffective therapies currently used. The trypanosome alternative oxidase (TAO)
is an essential and unique mitochondrial protein in these parasites and is absent
from mammalian mitochondria, making it an attractive drug target. The structure
and function of the protein are now well characterized, with several inhibitors
reported in the literature, which show potential as clinical drug candidates. In
this review, we provide an update on the functional activity and structural
aspects of TAO. We then discuss TAO inhibitors reported to date, problems
encountered with in vivo testing of these compounds, and discuss the future of
TAO as a therapeutic target.
PMID- 27894363
TI - Vector species richness increases haemorrhagic disease prevalence through
functional diversity modulating the duration of seasonal transmission - ERRATUM.
PMID- 27894357
TI - New insights into the generation and role of de novo mutations in health and
disease.
AB - Aside from inheriting half of the genome of each of our parents, we are born with
a small number of novel mutations that occurred during gametogenesis and
postzygotically. Recent genome and exome sequencing studies of parent-offspring
trios have provided the first insights into the number and distribution of these
de novo mutations in health and disease, pointing to risk factors that increase
their number in the offspring. De novo mutations have been shown to be a major
cause of severe early-onset genetic disorders such as intellectual disability,
autism spectrum disorder, and other developmental diseases. In fact, the
occurrence of novel mutations in each generation explains why these
reproductively lethal disorders continue to occur in our population. Recent
studies have also shown that de novo mutations are predominantly of paternal
origin and that their number increases with advanced paternal age. Here, we
review the recent literature on de novo mutations, covering their detection,
biological characterization, and medical impact.
PMID- 27894364
TI - The changing landscape of oropharyngeal cancer management.
AB - BACKGROUND: Oropharyngeal cancer is increasing in prevalence in the UK and this
is thought to be due to the emergence of disease related to human papilloma
virus. METHOD: A literature review was conducted on the diagnosis and latest
management of oropharyngeal cancer. RESULTS: In non-smokers, human papilloma
virus related disease is thought to have better outcomes, but this casts doubt on
previous research which did not stratify patients according to human papilloma
virus status. However, this theory provides a route for researchers to risk
stratify and de-escalate treatments, and hence reduce treatment burden. In
addition, the emergence of minimally invasive transoral techniques allows
surgeons to remove large tumours without many of the side effects associated with
radical (chemo)radiotherapy. CONCLUSION: The emergence of human papilloma virus
related disease and minimally invasive techniques have led the clinical and
academic community to reconsider how oropharyngeal cancer is managed. Comparative
and risk-stratification trials are urgently required and ongoing.
PMID- 27894366
TI - Evolution of host range in the follicle mite Demodex kutzeri.
AB - The sequences of four mitochondrial genes were determined for Demodex mites
isolated from two distantly related species within the family Cervidae, and
identified morphologically as belonging to the species Demodex kutzeri. The
sequences were used to test the hypothesis that Demodex are strictly host
specific, and hence cospeciate with their hosts: (1) The estimated divergence
time between mites found on elk vs humans agreed closely with a previous estimate
of the time that these host species last shared a common ancestor, suggesting
cospeciation of mites and hosts, at least over long evolutionary timescales. (2)
The extremely low levels of sequence divergence between the mites found on elk vs
mule deer hosts indicated that these mites belong to the same species, which
suggests that Demodex are able to move across host species boundaries over
shorter timescales. Together, the results are consistent with the model that
Demodex mites are not strict host-specialists, but instead lose the ability to
move between host lineages gradually.
PMID- 27894365
TI - Leishmania infantum: illness, transmission profile and risk factors for
asymptomatic infection in an endemic metropolis in Brazil.
AB - To evaluate the distribution of asymptomatic infection by Leishmania infantum in
a metropolis in Brazil with different relative risks (RRs) for disease and risk
factors associated with the infection, an ecological study was conducted using a
Bayesian approach to estimate the RR of human visceral leishmaniasis (HVL) based
on cases between 2008 and 2011. The areas were categorized and selected according
to disease incidence: low (area-1), medium (area-2) and high (area-3). Cross
sectional study enrolling 935 children was used to estimate the prevalence of
infection by L. infantum. Volunteers from these three areas were tested for L.
infantum infection by ELISA (rK39 and soluble antigens). Infection prevalence
rates were estimated and compared with the RR of disease. Multilevel logistic
regression model evaluated the relationship between infection and the analysed
variables. The RR of HVL was distributed heterogeneously in the municipality. The
infection prevalence rates were: 34.9% in area-1; 29.3% in area-2; and 33.6% in
area-3, with no significant differences between these areas. The variables
'Presence of backyards in the neighbourhood' and 'Younger children' were
associated with L. infantum infection. We conclude that infection by L. infantum
affects a significant proportion of the infant population regardless of the RR of
disease.
PMID- 27894367
TI - Potential immunological markers for diagnosis of human strongyloidiasis using
heterologous antigens.
AB - Strongyloides venezuelensis is a parasitic nematode of rodents that is frequently
used to obtain heterologous antigens for immunological diagnosis of human
strongyloidiasis. The aim of this study was to identify antigens from filariform
larvae of S. venezuelensis for immunodiagnosis of human strongyloidiasis. Soluble
and membrane fractions from filariform larvae of S. venezuelensis were obtained
in phosphate saline (SS and SM) and in Tris-HCl buffer (TS and TM), and were
analysed by Western blotting. Different antigenic components were recognized by
IgG antibodies from the sera of strongyloidiasis patients. Highest recognition
was observed for a 30-40 kDa mass range present in all antigenic fractions. The
band encompassing this mass range was then excised and subjected to mass
spectrometry for protein identification. Immunoreactive proteins identified in
the soluble fractions corresponded to metabolic enzymes, whereas cytoskeletal
proteins and galectins were more abundant in the membrane fractions. These
results represent the first approach towards identification of S. venezuelensis
antigens for use in immunodiagnostic assays for human strongyloidiasis.
PMID- 27894369
TI - Valvar aortico-ventricular tunnel: an insight into the development of the great
arteries.
AB - Aorto-left ventricular tunnel is a rare congenital heart lesion, with an
incidence of <0.1% of all CHD. We present a unique case of a valvar aorto-left
ventricular tunnel in a neonate, in belief that our findings may shed some light
on the developmental origins of this lesion.
PMID- 27894370
TI - 'Aut'-sider: the invisible talent of Simona Concaro?.
PMID- 27894368
TI - Effects of behavioural activation on the neural basis of other perspective self
referential processing in subthreshold depression: a functional magnetic
resonance imaging study.
AB - BACKGROUND: It has been demonstrated that negatively distorted self-referential
processing, in which individuals evaluate one's own self, is a pathogenic
mechanism in subthreshold depression that has a considerable impact on the
quality of life and carries an elevated risk of developing major depression.
Behavioural activation (BA) is an effective intervention for depression,
including subthreshold depression. However, brain mechanisms underlying BA are
not fully understood. We sought to examine the effect of BA on neural activation
during other perspective self-referential processing in subthreshold depression.
METHOD: A total of 56 subjects underwent functional magnetic resonance imaging
scans during a self-referential task with two viewpoints (self/other) and two
emotional valences (positive/negative) on two occasions. Between scans, while the
intervention group (n = 27) received BA therapy, the control group (n = 29) did
not. RESULTS: The intervention group showed improvement in depressive symptoms,
increased activation in the dorsal medial prefrontal cortex (dmPFC), and
increased reaction times during other perspective self-referential processing for
positive words after the intervention. Also, there was a positive correlation
between increased activation in the dmPFC and improvement of depressive symptoms.
Additionally, there was a positive correlation between improvement of depressive
symptoms and increased reaction times. CONCLUSIONS: BA increased dmPFC activation
during other perspective self-referential processing with improvement of
depressive symptoms and increased reaction times which were associated with
improvement of self-monitoring function. Our results suggest that BA improved
depressive symptoms and objective monitoring function for subthreshold
depression.
PMID- 27894371
TI - Pervasive influence of maternal and paternal criminal offending on early
childhood development: a population data linkage study.
AB - BACKGROUND: Parental criminal offending is an established risk factor for
offending among offspring, but little evidence is available indicating the impact
of offending on early childhood functioning. We used data from a large Australian
population cohort to determine associations between exposure to parental
offending and a range of developmental outcomes at age 5 years. METHOD: Multi
generation data in 66 477 children and their parents from the New South Wales
Child Development Study were combined using data linkage. Logistic and
multinomial regressions tested associations between any and violent offending
histories of parents (fathers, mothers, or both parents) obtained from official
records, and multiple measures of early childhood developmental functioning
(social, emotional-behavioural, cognitive, communication and physical domains)
obtained from the teacher-reported 2009 Australian Early Development Census.
RESULTS: Parental offending conferred significantly increased risk of
vulnerability on all domains, particularly the cognitive domain. Greater risk
magnitudes were observed for offending by both parents and by mothers than by
fathers, and for violent than for any offending. For all parental offending
exposures, vulnerability on multiple domains (where medium to large effects were
observed) was more likely than on a single domain (small to medium effects).
Relationships remained significant and of comparable magnitude following
adjustment for sociodemographic covariates. CONCLUSIONS: The effect of parental
offending on early childhood developmental outcomes is pervasive, with the
strongest effects on functioning apparent when both parents engage in violent
offending. Supporting affected families in early childhood might mitigate both
early developmental vulnerability and the propensity for later delinquency among
these offspring.
PMID- 27894373
TI - Cognitive effects of adjunctive N-acetyl cysteine in psychosis.
AB - BACKGROUND: Cognitive deficits are predictors of functional outcome in patients
with psychosis. While conventional antipsychotics are relatively effective on
positive symptoms, their impact on negative and cognitive symptoms is limited.
Recent studies have established a link between oxidative stress and
neurocognitive deficits in psychosis. N-acetylcysteine (NAC), a glutathione
precursor with glutamatergic properties, has shown efficacy on negative symptoms
and functioning in patients with schizophrenia and bipolar disorder,
respectively. However, there are few evidence-based approaches for managing
cognitive impairment in psychosis. The present study aims to examine the
cognitive effects of adjunctive NAC treatment in a pooled subgroup of
participants with psychosis who completed neuropsychological assessment in two
trials of both schizophrenia and bipolar disorder. METHOD: A sample of 58
participants were randomized in a double fashion to receive 2 g/day of NAC (n =
27) or placebo (n = 31) for 24 weeks. Attention, working memory and executive
function domains were assessed. Differences between cognitive performance at
baseline and end point were examined using Wilcoxon's test. The Mann-Whitney test
was used to examine the differences between the NAC and placebo groups at the end
point. RESULTS: Participants treated with NAC had significantly higher working
memory performance at week 24 compared with placebo (U = 98.5, p = 0.027).
CONCLUSIONS: NAC may have an impact on cognitive performance in psychosis, as a
significant improvement in working memory was observed in the NAC-treated group
compared with placebo; however, these preliminary data require replication.
Glutamatergic compounds such as NAC may constitute a step towards the development
of useful therapies for cognitive impairment in psychosis.
PMID- 27894372
TI - Affective lability mediates the association between childhood trauma and suicide
attempts, mixed episodes and co-morbid anxiety disorders in bipolar disorders.
AB - BACKGROUND: Many studies have shown associations between a history of childhood
trauma and more severe or complex clinical features of bipolar disorders (BD),
including suicide attempts and earlier illness onset. However, the
psychopathological mechanisms underlying these associations are still unknown.
Here, we investigated whether affective lability mediates the relationship
between childhood trauma and the severe clinical features of BD. METHOD: A total
of 342 participants with BD were recruited from France and Norway. Diagnosis and
clinical characteristics were assessed using the Diagnostic Interview for Genetic
Studies (DIGS) or the Structured Clinical Interview for DSM-IV Axis I disorders
(SCID-I). Affective lability was measured using the short form of the Affective
Lability Scale (ALS-SF). A history of childhood trauma was assessed using the
Childhood Trauma Questionnaire (CTQ). Mediation analyses were performed using the
SPSS process macro. RESULTS: Using the mediation model and covariation for the
lifetime number of major mood episodes, affective lability was found to
statistically mediate the relationship between childhood trauma experiences and
several clinical variables, including suicide attempts, mixed episodes and
anxiety disorders. No significant mediation effects were found for rapid cycling
or age at onset. CONCLUSIONS: Our data suggest that affective lability may
represent a psychological dimension that mediates the association between
childhood traumatic experiences and the risk of a more severe or complex clinical
expression of BD.
PMID- 27894374
TI - Autologous intratympanic blood patch for presumed perilymphatic fistulas.
AB - OBJECTIVE: To assess an alternative to bed rest and surgery for suspected
perilymphatic fistulas using intratympanic blood injections. METHOD: A review was
conducted of patients' history, physical and audiometric data, before and after
treatment by intratympanic blood injections performed from 2009 to 2015. RESULTS:
Twelve ears were identified, with trauma associated with air travel, water sports
or nose blowing. Ten of these cases had hearing loss, six had vestibular
symptoms. Four cases had audiological and vestibular symptoms, two had vestibular
symptoms only, and six had audiological symptoms only. Time-to-treat varied from
1 day to 30 days. Magnetic resonance imaging scans were obtained for five cases.
Ten cases received steroids. Six out of seven cases showed improvement of hearing
loss. Five cases showed positive fistula test results, four with documented
resolution. Seven cases had full resolution of all symptoms, four had near-full
resolution and one had no improvement. CONCLUSION: Intratympanic blood injections
offer an effective alternative to conservative or surgical therapy. Advantages
include sooner time-to-treat, lower financial costs and decreased psychosocial
burdens. It allows a more flexible and liberal use of a potential definite
treatment for perilymphatic fistula.
PMID- 27894375
TI - Plasmodium knowlesi and human malaria parasites in Khan Phu, Vietnam: Gametocyte
production in humans and frequent co-infection of mosquitoes.
AB - Four species of malaria parasite, Plasmodium falciparum, Plasmodium vivax,
Plasmodium malariae and Plasmodium knowlesi infect humans living in the Khanh Phu
commune, Khanh Hoa Province, Vietnam. The latter species also infects wild
macaque monkeys in this region. In order to understand the transmission dynamics
of the three species, we attempted to detect gametocytes of the three species in
the blood of infected individuals, and sporozoites in the salivary glands of
mosquitoes from the same region. For the detection of gametocyte-specific mRNA,
we targeted region 3 of pfg377, pvs25, pmg and pks25 as indicators of the
presence of P. falciparum, P. vivax, P. malariae and P. knowlesi gametocytes,
respectively. Gametocyte-specific mRNA was present in 37, 61, 0 and 47% of people
infected with P. falciparum (n = 95), P. vivax (n = 69), P. malariae (n = 6) or
P. knowlesi (n = 32), respectively. We found that 70% of mosquitoes that had P.
knowlesi in their salivary glands also carried human malaria parasites,
suggesting that mosquitoes are infected with P. knowlesi from human infections.
PMID- 27894376
TI - Brain substrates underlying auditory speech priming in healthy listeners and
listeners with schizophrenia.
AB - BACKGROUND: Under 'cocktail party' listening conditions, healthy listeners and
listeners with schizophrenia can use temporally pre-presented auditory speech
priming (ASP) stimuli to improve target-speech recognition, even though listeners
with schizophrenia are more vulnerable to informational speech masking. METHOD:
Using functional magnetic resonance imaging, this study searched for both brain
substrates underlying the unmasking effect of ASP in 16 healthy controls and 22
patients with schizophrenia, and brain substrates underlying schizophrenia
related speech-recognition deficits under speech-masking conditions. RESULTS: In
both controls and patients, introducing the ASP condition (against the auditory
non-speech-priming condition) not only activated the left superior temporal gyrus
(STG) and left posterior middle temporal gyrus (pMTG), but also enhanced
functional connectivity of the left STG/pMTG with the left caudate. It also
enhanced functional connectivity of the left STG/pMTG with the left pars
triangularis of the inferior frontal gyrus (TriIFG) in controls and that with the
left Rolandic operculum in patients. The strength of functional connectivity
between the left STG and left TriIFG was correlated with target-speech
recognition under the speech-masking condition in both controls and patients, but
reduced in patients. CONCLUSIONS: The left STG/pMTG and their ASP-related
functional connectivity with both the left caudate and some frontal regions (the
left TriIFG in healthy listeners and the left Rolandic operculum in listeners
with schizophrenia) are involved in the unmasking effect of ASP, possibly through
facilitating the following processes: masker-signal inhibition, target-speech
encoding, and speech production. The schizophrenia-related reduction of
functional connectivity between the left STG and left TriIFG augments the
vulnerability of speech recognition to speech masking.
PMID- 27894377
TI - Effect of a spiritual care training program for staff on patient outcomes.
AB - OBJECTIVE: Physicians and nurses do not assess spirituality routinely, even
though spiritual care is a vital part of palliative care for patients with an
advanced serious illness. The aim of our study was to determine whether a
training program for healthcare professionals on spirituality and the taking of a
spiritual history would result in improved patient quality of life (QoL) and
spiritual well-being. METHOD: This was a cluster-controlled trial of a spiritual
care training program for palliative care doctors and nurses. Three of seven
clinical teams (clusters) received the intervention, while the other four served
as controls. Included patients were newly referred to the palliative care
service, had an estimated survival of more than one month, and were aware of
their diagnosis and prognosis. The primary outcome measure was the Functional
Assessment of Chronic Illness Therapy-Spiritual Well-Being (FACIT-Sp) patient
reported questionnaire, which patients completed at two timepoints. Total FACIT
Sp score includes the Functional Assessment of Cancer Therapy-General (FACT-G)
questionnaire, which measures overall quality of life, as well as a spiritual
well-being score. RESULTS: Some 144 patients completed the FACIT-Sp at both
timepoints-74 in the control group and 70 in the intervention group. The change
in overall quality of life, measured by change in FACT-G scores, was 3.89 points
(95% confidence interval [CI 95%] = -0.42 to 8.19, p = 0.076) higher in the
intervention group than in the control group. The difference between the
intervention and control groups in terms of change in spiritual well-being was
0.32 (CI 95% = -2.23 to 2.88, p = 0.804). SIGNIFICANCE OF RESULTS: A brief
spiritual care training program can possibly help bring about enhanced
improvement of global patient QoL, but the effect on patients' spiritual well
being was not as evident in our participants. Further study with larger sample
sizes is needed to allow for more definite conclusions to be drawn.
PMID- 27894378
TI - An experimental approach to linguistic representation.
AB - Within the cognitive sciences, most researchers assume that it is the job of
linguists to investigate how language is represented, and that they do so largely
by building theories based on explicit judgments about patterns of acceptability
whereas it is the task of psychologists to determine how language is processed,
and that in doing so, they do not typically question the linguists'
representational assumptions. We challenge this division of labor by arguing that
structural priming provides an implicit method of investigating linguistic
representations that should end the current reliance on acceptability judgments.
Moreover, structural priming has now reached sufficient methodological maturity
to provide substantial evidence about such representations. We argue that
evidence from speakers' tendency to repeat their own and others' structural
choices supports a linguistic architecture involving a single shallow level of
syntax connected to a semantic level containing information about quantification,
thematic relations, and information structure, as well as to a phonological
level. Many of the linguistic distinctions often used to support complex (or
multilevel) syntactic structure are instead captured by semantics; however, the
syntactic level includes some specification of "missing" elements that are not
realized at the phonological level. We also show that structural priming provides
evidence about the consistency of representations across languages and about
language development. In sum, we propose that structural priming provides a new
basis for understanding the nature of language.
PMID- 27894379
TI - Precis of Talking to Our Selves: Reflection, Ignorance, and Agency.
AB - Does it make sense for people to hold one another responsible for what they do,
as happens in countless social interactions every day? One of the most unsettling
lessons from recent psychological research is that people are routinely mistaken
about the origins of their behavior. Yet philosophical orthodoxy holds that the
exercise of morally responsible agency typically requires accurate self
awareness. If the orthodoxy is right, and the psychology is to be believed,
people characteristically fail to meet the standards of morally responsible
agency, and we are faced with the possibility of skepticism about agency. Unlike
many philosophers, I accept the unsettling lesson from psychology. I insist,
however, that we are not driven to skepticism. Instead, we should reject the
requirement of accurate self-awareness for morally responsible agency. In Talking
to Our Selves I develop a dialogic theory, where the exercise of morally
responsible agency emerges through a collaborative conversational process by
which human beings, although afflicted with a remarkable degree of self
ignorance, are able to realize their values in their lives.
PMID- 27894380
TI - An attention and interpretation bias for illness-specific information in chronic
fatigue syndrome.
AB - BACKGROUND: Studies have shown that specific cognitions and behaviours play a
role in maintaining chronic fatigue syndrome (CFS). However, little research has
investigated illness-specific cognitive processing in CFS. This study
investigated whether CFS participants had an attentional bias for CFS-related
stimuli and a tendency to interpret ambiguous information in a somatic way. It
also determined whether cognitive processing biases were associated with co
morbidity, attentional control or self-reported unhelpful cognitions and
behaviours. METHOD: A total of 52 CFS and 51 healthy participants completed self
report measures of symptoms, disability, mood, cognitions and behaviours.
Participants also completed three experimental tasks, two designed specifically
to tap into CFS salient cognitions: (i) visual-probe task measuring attentional
bias to illness (somatic symptoms and disability) v. neutral words; (ii)
interpretive bias task measuring positive v. somatic interpretations of ambiguous
information; and (iii) the Attention Network Test measuring general attentional
control. RESULTS: Compared with controls, CFS participants showed a significant
attentional bias for fatigue-related words and were significantly more likely to
interpret ambiguous information in a somatic way, controlling for depression and
anxiety. CFS participants had significantly poorer attentional control than
healthy individuals. Attention and interpretation biases were associated with
fear/avoidance beliefs. Somatic interpretations were also associated with all-or
nothing behaviour and catastrophizing. CONCLUSIONS: People with CFS have illness
specific biases which may play a part in maintaining symptoms by reinforcing
unhelpful illness beliefs and behaviours. Enhancing adaptive processing, such as
positive interpretation biases and more flexible attention allocation, may
provide beneficial intervention targets.
PMID- 27894382
TI - [Study of tumor necrosis factor-alpha induced protein 8 like-2 expression in
peripheral blood mononuclear cells of patients with acute respiratory distress
syndrome correlate with disease severity].
AB - OBJECTIVE: To demonstrate the effect of tumor necrosis factor-alpha induced
protein 8 like-2 (TIPE2) expression in patients with acute respiratory distress
syndrome (ARDS) and its mechanism. METHODS: A prospective observation was
conducted. Thirty-nine patients with ARDS admitted to department of emergency of
PLA General Hospital from July 2013 to July 2015 were enrolled, and 35 healthy
persons served as control group. The acute physiology and chronic health
evaluation II (APACHE II) score within 24 hours after admission, blood gas
analysis, procalcitonin (PCT), and C-reactive protein (CRP) were recorded. The
mRNA expressions of TIPE2 in peripheral blood mononuclear cell (PBMC) and myxoma
resistance protein 1 (MX1) in plasma were determined by real-time quantitative
reverse transcription-polymerase chain reaction (RT-PCR). The correlations were
analyzed by Spearman rank correlation analysis. RESULTS: The mean of APACHE II
score in 39 patients with ARDS was 25+/-3, the mean of PCT was (1.85+/-0.41)
MUg/L, and the mean of CRP was (18.0+/-3.0) mg/L. The TIPE2 mRNA expression in
PBMC of ARDS patients was significantly down-regulated as compared with that of
healthy control group (2-Kappa KappaCt: 3.28+/-0.15 vs. 8.87+/-0.20, P < 0.001),
and the MX-1 mRNA expression in plasma was significantly higher than that of
healthy control group (2-Kappa KappaCt: 39.44+/-0.46 vs. 20.10+/-0.32, P <
0.001). It was shown by correlation analysis results that the TIPE2 mRNA
expression was negatively correlated with MX1 mRNA expression (r = -0.630, P <
0.001), so as APACHE II score (r = -0.781, P < 0.001), but no correlation was
found between TIPE2 mRNA and PCT as well as CRP (r value was 0.143 and 0.330,
respectively, both P > 0.05). The MX1 mRNA expression was positively correlated
with APACHE II score (r = 0.893, P < 0.001), but no correlation was found between
MX1 mRNA and PCT as well as CRP (r value was 0.230 and 0.210, respectively, both
P > 0.05). CONCLUSIONS: TIPE2 expression was decreased in ARDS patients, which
negatively correlate with disease severity, and indicate TIPE2 might be involved
in the pathogenic process of ARDS.
PMID- 27894381
TI - Understanding barriers to fruit and vegetable intake in the Australian
Longitudinal Study of Indigenous Children: a mixed-methods approach.
AB - OBJECTIVE: To identify barriers to fruit and vegetable intake for Indigenous
Australian children and quantify factors related to these barriers, to help
understand why children do not meet recommendations for fruit and vegetable
intake. DESIGN: We examined factors related to carer-reported barriers using
multilevel Poisson models (robust variance); a key informant focus group guided
our interpretation of findings. SETTING: Eleven diverse sites across Australia.
SUBJECTS: Australian Indigenous children and their carers (N 1230) participating
in the Longitudinal Study of Indigenous Children. RESULTS: Almost half (45 %; n
555/1230) of carers reported barriers to their children's fruit and vegetable
intake. Dislike of fruit and vegetables was the most common barrier, reported by
32.9 % of carers; however, we identified few factors associated with dislike.
Carers were more than ten times less likely to report barriers to accessing fruit
and vegetables if they lived large cities v. very remote areas. Within urban and
inner regional areas, child and carer well-being, financial security, suitable
housing and community cohesion promoted access to fruit and vegetables.
CONCLUSIONS: In this national Indigenous Australian sample, almost half of carers
faced barriers to providing their children with a healthy diet. Both remote/outer
regional carers and disadvantaged urban/inner regional carers faced problems
accessing fruit and vegetables for their children. Where vegetables were
accessible, children's dislike was a substantial barrier. Nutrition promotion
must address the broader family, community, environmental and cultural contexts
that impact nutrition, and should draw on the strengths of Indigenous families
and communities.
PMID- 27894383
TI - [Integrated diagnosis and treatment of scar].
AB - Scar is the common disease in the field of burn and plastic surgery, and its
diagnosis and treatment should be involved in overwhelming majority hospitals.
There are many substandard methods and medical hidden dangers in diagnosis and
treatment of scar, due to the unevenness of doctors' clinical experience.
According to the classification of integral scar and diabrotic scar, the problems
related to diagnosis and treatment of scar are systemically summarized and
normalized in this article for decrease in the incidence of adverse events and
medical hidden dangers.
PMID- 27894384
TI - [Reconstruction of anal stenosis induced by scar contracture after repair of
defect in perineal region with paraumbilical flap using random pattern flap].
AB - Objective: To investigate the method and timing of reconstruction of anal
stenosis induced by scar contracture after repair of defect in perineal region
with paraumbilical flap using random pattern flap. Methods: Ten patients who
suffered anal stenosis induced by scar contracture after the first phase repair
of defect of perineal region with paraumbilical flap were hospitalized from July
2009 to September 2015. Eight patients were with central type scar contracture of
perineal region after healing of burn wound, and two patients were with lesion of
perineal region which had been excised. In 6 to 8 weeks after the first phase
surgery, two or three random pattern flaps were designed around the narrow anus
in the survived paraumbilical flap. After thorough release of the narrow anus,
the random pattern flaps were transferred to enlarge the anus. The tip of the
lifted triangular flap was transferred to insert into the anal canal. The skin of
anal canal or rectal mucosa was pulled out to be crossly-stitched with the flap.
Results: All the patients' narrow anuses were released and enlarged with one
operation, and the diameters of the narrow anuses were enlarged to 2.0 to 3.0 cm.
During follow-up of 6 to 36 months, the anal stenosis was totally released, and
the symptom of difficult defecation was significantly improved; 7 patients were
excellent and 3 patients were good with evaluation of clinical criteria of anus
function; no symptom of anal stenosis or rectal mucosal prolapse was observed any
more. Conclusions: In 6 to 8 weeks post repair of defect in perineal region with
paraumbilical flap, designing of random pattern flap in the survived
paraumbilical flap to enlarge and reconstruct the narrow anus has good
therapeutic effects on anatomical narrow and difficult defecation.
PMID- 27894385
TI - [Effect of expanded lateral thoracic abdominal flap transferred with pedicle on
repairing large area of hypertrophic scar after burn of the upper extremity].
AB - Objective: To observe the effect of expanded lateral thoracic abdominal flap
transferred with pedicle on repairing large area of hypertrophic scar after burn
of the upper extremity. Methods: Twelve patients with large area of secondary
hypertrophic scar 8 month to 3 years after healing of burn wound on the upper
extremity were hospitalized in Zhengzhou First People's Hospital from October
2008 to October 2015, with scar area ranging from 11 cm*7 cm to 20 cm*10 cm. Five
patients were with limited straightening and bending of elbow. The scars were
reconstructed with ipsilateral expanded lateral thoracic abdominal flap or that
combined with expanded upper extremity flap according to the area of scar.
Lateral thoracic abdominal incision was located near the anterior axillary line,
and upper extremity incision was located near scar edge. A capsule cavity was
formed by blunt dissection in the superficial fascia layer. Expander with
suitable capacity was implanted with the injection pot being built-in. Volume of
water reaching 1 time to 3 times of the capacity of expander was injected for
excessive expanding. The expanded lateral thoracic abdominal flap supplied by
lateral thoracic cutaneous artery and expanded upper extremity flap were
dissected after the completion of expanding. The expanded upper extremity flap
was advanced locally to cover the surrounding secondary wound after resection of
hypertrophic scar. The expanded lateral thoracic abdominal flap was transferred
with pedicle to reconstruct scar, with pedicle being sutured in skin tube-like
shape, and the flap donor site was sutured directly. Flap pedicle separation was
carried out 3 weeks after surgery. Anti-scar treatment was carried out after
healing of sutured area. Results: Totally 18 expanders were implanted, without
complications such as infection, exposure of expander, and so on. The areas of
expanded lateral thoracic abdominal flaps were from 11 cm*7 cm to 16 cm*11 cm.
The combined application of expanded upper extremity flaps with area ranging from
8 cm*4 cm to 9 cm*6 cm was used in 6 patients. All the flaps survived with
incision healed. The color, texture, and thickness of skin area repaired by flap
were close to those of the normal skin of upper extremity after 6 months to 2
years' follow-up afer discharge. The limited straightening and bending of elbow
in 5 patients were rectified. Obvious linear scar was observed in the sutured
area of surgery in 3 patients, while light linear scar was observed in the
sutured area of surgery in 9 patients. Conclusions: Expanded lateral thoracic
abdominal flap has constant blood vessel and is easy to be dissected. It can
achieve well reconstruction of appearance and function in repairing large area of
hypertrophic scar after burn of the upper extremity.
PMID- 27894386
TI - [Study of the correlation between the expression of angiotensin II and its
receptors and collagen deposition in human keloid].
AB - Objective: To study the correlation between the expression of angiotensin II,
angiotensin II type 1 receptor (AT1R), angiotensin II type 2 receptor (AT2R) and
collagen deposition in human keloid. Methods: The keloid from 19 keloid patients
and normal skin from 13 patients performed with free skin transplantation
hospitalized in our unit from May 2014 to January 2015 were obtained. The
expressions of angiotensin II, AT1R, and AT2R were detected by
immunohistochemical staining, and the results were semi-quantitatively analyzed
by immunohistochemical scoring. The expression of collagen in keloid was detected
by picrosirius-red staining, and the percentage of total collagen was calculated.
Data were processed with t test. The expressions of angiotensin II, AT1R, AT2R
and the total content of collagen of 13 keloid patients were analyzed by Pearson
correlation analysis. Results: (1) There was negative or probably positive
expression of angiotensin II in normal skin tissue, mainly distributed in the
epidermal basal layer. The expression of angiotensin II was strong in keloid,
most distributed in spinous layer and basal layer of epidermis and most region of
dermis, and was strongly positive in most cells, and most cells were fibroblasts.
The expressions of AT1R and AT2R were similar to angiotensin II in two types of
tissue. The expressions of angiotensin II, AT1R, and AT2R in keloid scored (305+/
34), (281+/-32), and (285+/-25) points, respectively, which were significantly
higher than those in normal tissue [respectively (54+/-17), (89+/-47), and (97+/
32) points, with t values from 12.03 to 23.21, P values below 0.01]. (2) The
total content of collagen in keloid was (88+/-4)%. There was a lot of thick and
dense type Icollagen in keloid, with massive structure and distributed like
bamboo segment and arranged in disorder. The expression of type III collagen was
increased, which was distributed scatteredly around type Icollagen. (3) There
were positive correlations between the expressions of angiotensin II, AT1R, AT2R
and the total content of collagen in keloid (with r values from 0.452 to 0.720, P
values below 0.05). Conclusions: The expressions of angiotensin II, AT1R, and
AT2R were abnormally increased in human keloid, which may play an important role
in the collagen deposition of keloid.
PMID- 27894388
TI - [Analysis of distribution and drug resistance of pathogens isolated from 541
hospitalized children with burn infection].
AB - Objective: To investigate the distribution and drug resistance of pathogens
isolated from hospitalized children with burn infection. Methods: Totally 541
patients were hospitalized in Fujian Medical University Union Hospital, the 180th
Hospital of Chinese People's Liberation Army(PLA), the 92th Hospital of PLA, and
Longyan First Hospital from January 2013 to December 2015. Totally 848 clinical
specimens (blood, catheter tip attachments, wound exudate, etc.) were collected
for pathogen detection. After being identified by an automatic microbiological
identification system, drug resistance of pathogens to 41 commonly-used
antibiotics in clinic including gentamicin, aztreonam, erythromycin, clindamycin,
etc. was tested by K-B paper disk diffusion method or minimal inhibitory
concentration detection method. The SPSS 20.0 statistical software was used to
analyze the following subjects: the detection rates of pathogens in different
years, from different hospitals, and with different sources, the distribution of
gram-negative bacteria, gram-positive bacteria, and fungi, the distribution of
major pathogens, the detection rate of methicillin-resistant Staphylococcus, the
resistant rates of common gram-positive bacteria and gram-negative bacteria to
antibiotics. Results: The total detection rate of pathogens was 35.14% (298/848).
The detection rates of pathogens were 52.29% (114/218), 33.20% (83/250), and
26.58% (101/380) in 2013, 2014, and 2015 respectively, 38.45% (198/515), 51.43%
(18/35), 71.70% (38/53), and 17.96% (44/245) from Fujian Medical University Union
Hospital, the 180th Hospital of PLA, the 92th Hospital of PLA, and Longyan First
Hospital respectively, and 136/261, 8/137, 3/4, and 7/48 from wound exudate,
blood, drainage fluid or tissue fluid, and the other specimens (including
catheter tip attachments, sputum, feces) respectively. Among the 298 pathogens,
159 (53.36%) strains were gram-positive bacteria, 129 (43.29%) strains were gram
negative bacteria, and 10 (3.36%) strains were fungi. The detection rate of
Staphylococcus aureus was the highest, totally 68 strains, accounting for 22.82%,
followed by Pseudomonas aeruginosa, Acinetobacter baumannii, and Staphylococcus
epidermidis, with 37, 31, and 22 strains, accounting for 12.42%, 10.40%, and
7.38% respectively. Among Staphylococcus aureus, methicillin-resistant
Staphylococcus aureus (MRSA) accounted for 70.59% (48/68). Among Staphylococcus
epidermidis, methicillin-resistant Staphylococcus epidermidis (MRSE) accounted
for 68.18% (15/22). The resistant rates of MRSA and MRSE to penicillin and
ampicillin were all 100.0%, and the resistant rates of MRSA to erythromycin,
tetracycline, clindamycin and those of MRSE to erythromycin, cotrimoxazole were
high. The high resistant rate of Pseudomonas aeruginosa towards antibiotics was
detected with cotrimoxazole (83.3%) only. The resistant rates of Acinetobacter
baumannii towards piperacillin, ceftazidime, and cotrimoxazole were high (from
58.8% to 71.4%). Conclusions: During the three years, there is large difference
in the detection rate of pathogens from these four hospitals in Fujian province.
The majority of pathogens were Gram-positive bacteria. The four dominant
pathogens were Staphylococcus aureus, Pseudomonas aeruginosa, Acinetobacter
baumannii, and Staphylococcus epidermidis. Most of the pathogens were resistant
to antibiotics commonly used in clinic in different degree, especially MRSA, MRSE
and Acinetobacter baumannii, which showed high resistance towards antibiotics.
PMID- 27894389
TI - [Qualitative study on the current status of hospitalized burn children's quality
of life].
AB - Objective: To explore the current status of hospitalized burn children's quality
of life, so as to lay foundation for carrying out the related intervention in
future. Methods: Using qualitative research method, semi-structured interviews
were conducted on 11 parents of burn children hospitalized in Department of Burns
of Fujian Medical University Union Hospital from March to May 2016. Then the data
were analyzed and concluded with phenomenological analysis method to refine the
themes. Results: Parents' description about the current status of hospitalized
burn children's quality of life could be summed up into four areas: physiology,
psychology, social development, and family; and in six themes: obvious itching
symptom, limited movement development, night terror and constant cry because of
fear, reduced social game, negative attachment type, and parents under multiple
pressures. Conclusions: Burn brings serious harm to children's physical and
mental development, as well as negative effects on the parents, thus lowering the
children's quality of life. Medical workers should increase knowledge and
attention of it, and carry out targeted health management project.
PMID- 27894387
TI - [Effect of hydrocinnamoyl-L-valyl pyrrolidine on healing quality of deep partial
thickness scald wound in mice].
AB - Objective: To observe the effect of Toll interleukin-1 recptor homology/BB-loop
mimetic hydrocinnamoyl-L-valyl pyrrolidine (AS-1) on the healing quality of deep
partial-thickness scald wound in mice. Methods: Forty-two adult C57BL/6 mice were
divided into sham injury group (SI), scald group (S), early AS-1 treatment group
(EAT), early dimethyl sulfoxide (DMSO) control group (EDC), late AS-1 treatment
group (LAT), late DMSO control group (LDC) according to the random number table,
with 7 mice in each group. Mice in group SI were sham injured without other
treatment. Deep partial-thickness scald model with 10% total body surface area
was reproduced on the back of the other mice, and the wound was treated by daily
wound cleaning with saline and dressing changing with vaseline gauze after
injury. Mice in group EAT and those in group LAT were intraperitoneally injected
with 20 mg/mL AS-1 50 mg/kg each day respectively from post scald hour (PSH) 8
and post scald day (PSD) 15 on. Mice in group EDC and those in group LDC were
intraperitoneally injected with 20 mg/mL DMSO 50 mg/kg each day respectively from
PSH 8 and PSD 15 on. On PSD 21, the gross condition of wound healing of mice with
scald was observed, and the wound healing rate was calculated. Tissue samples of
healed wound were collected and stained with HE and Masson respectively to
observe the histomorphological change and fibrosis of collagen, and the
percentage of fibrosis of collagen was calculated. The mRNA expressions of
interleukin-1beta (IL-1beta), tumor necrosis factor alpha (TNF-alpha),
transforming growth factor beta1 (TGF-beta1), matrix metalloproteinase-1 (MMP-1),
tissue inhibitors of metalloproteinase 1 (TIMP-1), connective tissue growth
factor (CTGF), type I collagen and type III collagen in healed wound tissue were
detected by real time fluorescent quantitive reverse transcription polymerase
chain reaction. The protein expressions of type I collagen and type III collagen
in healed wound tissue were detected by Western blotting. Skin tissue of mice in
group SI at the same area as that observed and collected in mice with scald was
performed with the same observation and detection as mentioned above at the same
time. Data were processed with one-way analysis of variance and Tukey test.
Results: On PSD 21, no abnormal appearance was found in skin tissue of mice in
group SI. Wounds of mice in group EAT were healed completely without scar
formation, while those in the other four groups were not completely healed with
scars formed in different degree. The wound healing rate of mice in group EAT was
(97+/-4)%, close to that of group SI (100%, q=1.753, P>0.05), and both of them
were obviously higher than those of groups S, EDC, LAT, and LDC [respectively
(83+/-8)%, (87+/-6)%, (85+/-9)%, and (85+/-7)%, with q values from 4.819 to
6.803, P<0.05 or P<0.01]. On PSD 21, no abnormal appearance was found in
morphology of skin tissue of mice in group SI. The morphology of healed wound
tissue of mice in group EAT was close to that in group SI, with little epidermis
hyalinosis and few newly formed collagen fibers arranged orderly. Epidermis
hyalinosis in band- or flake-shape and obvious proliferation of collagen fibers
arranged disorderly were observed in healed wound tissue of mice in the other
four groups. Much infiltration of inflammatory cells was found in group S. The
percentage of fibrosis of collagen in healed wound tissue of mice in group EAT
was (30+/-3)%, close to that of group SI [(30+/-4)%, q=0.159, P>0.05], and both
of them were obviously lower than those of groups S, EDC, LAT, and LDC
[respectively (86+/-9)%, (74+/-5)%, (82+/-4)%, and (82+/-7)%, with q values from
12.080 to 15.530, P values below 0.01]. On PSD 21, compared with those of group
SI, the mRNA expressions of IL-1beta, TNF-alpha, TGF-beta1, MMP-1, and CTGF in
healed wound tissue of mice in group S, the mRNA expressions of TGF-beta1 in
healed wound tissue of mice in groups EDC and LDC, and the mRNA expression of MMP
1 in healed wound tissue of mice in group LAT were significantly increased (with
q values from 4.039 to 5.232, P values below 0.05), while the mRNA expression of
TIMP-1 in healed wound tissue of mice in group S was significantly decreased
(q=4.921, P<0.05). Compared with those of group S, the mRNA expressions of IL
1beta, TNF-alpha, TGF-beta1, MMP-1, and CTGF in healed wound tissue of mice in
group EAT and the mRNA expressions of IL-1beta and CTGF in healed wound tissue of
mice in group LAT were significantly decreased (with q values from 4.418 to
6.402, P<0.05 or P<0.01), while the mRNA expressions of TIMP-1 in healed wound
tissue of mice in groups EAT and LAT were significantly increased (with q values
respectively 3.929 and 8.299, P<0.05 or P<0.01). Compared with those of group SI,
the mRNA and protein expressions of type III collagen in healed wound tissue of
mice in the other groups and the mRNA and protein expressions of type I collagen
in healed wound tissue of mice in groups S, EDC, LAT, and LDC were significantly
increased (with q values from 7.054 to 11.650, P values below 0.01). Compared
with those of group EAT, the mRNA and protein expressions of type I collagen in
healed wound tissue of mice in groups S, EDC, LAT, and LDC were significantly
increased (with q values from 5.156 to 7.451, P<0.05 or P<0.01). Conclusions: AS
1 can effectively promote wound healing and reduce fibrosis degree in the early
stage of inflammation response after deep partial-thickness scald in mice, which
may be related to its effect in decreasing the expression of inflammation related
factors IL-1beta and TNF-alpha and fibrosis related factors TGF-beta1, MMP-1,
CTGF, and type I collagen.
PMID- 27894390
TI - [Effects of different fluid resuscitation program on renal function in swine
during shock stage of severe burn].
AB - Objective: To explore the effects of different fluid resuscitation program on
renal function in swine during shock stage of severe burn. Methods: Twenty-four
Guangxi Bama miniature swine were inflicted with 40% total body surface area on
the back, and then they were divided into four groups according to the random
number table, with 6 swine in each group. At post injury hour (PIH) 2, swine in
succinylated gelatin group (S), hydroxyethyl starch group (H), and allogeneic
plasma group (A) were respectively resuscitated with succinylated gelatin,
hydroxyethyl starch 130/0.4, and plasma according to burn shock " domestic
general" resuscitation formula, and swine in Parkland group (P) were resuscitated
with lactated Ringer's solution according to Parkland formula. Hemodynamic
indexes including heart rate, blood pressure, urine volume, pulmonary capillary
wedge pressure, and central venous pressure before injury, at the first and
second PIH 24 were recorded. The volume of resuscitation fluid was calculated at
the first and second PIH 24. Blood and urine samples were collected before injury
and at PIH 4, 8, 24, and 48, and then serum creatinine and urea nitrogen were
detected by automatic biochemical analyzer, urine microalbumin and urine
creatinine were detected by automated urine analyzer and the ratio of which was
calculated. The renal tissue of swine in each group was obtained at PIH 48, and
the pathologic changes were observed by optical microscopy and electron
microscope. Data were processed with analysis of variance of repeated
measurement, one-way analysis of variance, and LSD test. Results: (1) The
hemodynamic indexes of swine in each group were similar before injury and at the
first and second PIH 24 (with P values above 0.05). Compared with those before
injury, except that the heart rate of swine in group A had no significant change
at the first PIH 24 (P>0.05), the heart rate of swine in each group was
significantly increased at the first and second PIH 24 (with P values below
0.01); except that the systolic blood pressure of swine in group P was
significantly increased at the first and second PIH 24 (P<0.05 or P<0.01), there
were no significant changes of systolic blood pressure and diastolic blood
pressure of swine in each group at the first and second PIH 24 (with P values
above 0.05); except that urine volume of swine in groups S and A was
significantly decreased at the first PIH 24 (P<0.05 or P<0.01), there were no
significant change of urine volume of swine in each group at the first and second
PIH 24 (with P values above 0.05); pulmonary capillary wedge pressure and central
venous pressure of swine in each group were significantly increased at the first
and second PIH 24 (P<0.05 or P<0.01). (2) Compared with that in group A, the
volume of resuscitation fluid of swine in groups S and H had no significant
change in the first and second PIH 24 (with P values above 0.05), while it was
significantly increased in group P in the first PIH 24 and significantly
decreased in the second PIH 24 (with P values below 0.05). (3) Compared with
those in group A, except that serum creatinine of swine in group H was
significantly increased at PIH 24 and significantly increased in group P at PIH
4, 8, 24, and 48, urea nitrogen of swine in group P was significantly decreased
at PIH 4 and 8 and significantly increased at PIH 48, the ratio of urine
microalbumin to urine creatinine of swine in group P was significantly increased
at PIH 8, 24, and 48 (P<0.05 or P<0.01), serum creatinine, urea nitrogen, and the
ratio of urine microalbumin to urine creatinine of swine in each group had no
significant change at each time point (with P values above 0.05). Serum
creatinine of swine in group P was (125+/-16) MUmol/L at PIH 24, which was
significantly higher than that before injury [(75+/-13) MUmol/L, P<0.05]. Urea
nitrogen of swine in group S was (2.90+/-1.17) MUmol/L at PIH 48, which was
significantly lower than that before injury [(4.60+/-0.47) MUmol/L, P<0.05]; urea
nitrogen of swine in group H was (4.82+/-0.82) MUmol/L at PIH 4, which was
significantly higher than that before injury [(3.80+/-0.73) MUmol/L, P<0.05];
urea nitrogen values of swine in group A were respectively (4.80+/-0.33), (4.92+/
0.35), and (2.60+/-0.27) MUmol/L at PIH 4, 8, and 48, while those at PIH 4, 8
were significantly higher and at PIH 48 was significantly lower than the value
before injury [(3.93+/-0.32) MUmol/L, with P values below 0.01]. The ratios of
urine microalbumin to urine creatinine of swine in group P were respectively
(106.7+/-16.4) and (171.6+/-36.9) mg/mmol at PIH 24 and 48, which were
significantly higher than the ratio before injury [(59.0+/-3.0) mg/mmol, with P
values below 0.01]. The serum creatinine, urea nitrogen, and the ratio of urine
microalbumin to urine creatinine of swine in each group at the other time points
were similar to those before injury (with P values above 0.05). (4) The renal
tissue of swine in the four groups had no obvious pathological change.
Conclusions: According to the renal function results, fluid resuscitation with
electrolyte and colloids are better than with lactated Ringer's solution in swine
during shock stage of burn injury, while natural colloids and succinylated
gelatin have similar effects, and both are superior to hydroxyethyl starch
130/0.4.
PMID- 27894391
TI - [Advances in the research of diagnosis techniques of burn depth].
AB - The accurate diagnosis of burn depth is one of the important problems in the
field of burn surgery. The diagnosis accuracy rate is directly related to the
treatment plan and effect. The existed clinical diagnosis methods mainly depend
on the experience of burn surgeon, making the accuracy rate from 50% to 65%. In
order to improve the accuracy rate of clinical burn depth diagnosis, a large
number of diagnosis methods based on imaging are proposed, however, all of the
methods are still in the stage of experimental research. In this paper, the
research advances on the diagnosis techniques of burn depth are summarized, both
the advantages and the shortcomings are pointed, and the development trend of
diagnosis techniques of burn depth is expected.
PMID- 27894392
TI - [Advances in the research on effect of detecting skin perfusion pressure in
clinic].
AB - Skin perfusion pressure (SPP) is the perfusion pressure at the skin level, and it
can serve as an index of microcirculation in skin and subcutaneous tissue. SPP
can be measured simply, with less injury and high reproducibility in a short
time, without interfering vascular calcification. It has been widely used in
various fields, including evaluation of critical limb ischaemia and effect of
vascular surgery, selection of proper level of amputation, and prediction of
wound healing. So far, there is no relevant reports about the application of SPP
in China. This article reviews the clinical application of SPP abroad.
PMID- 27894393
TI - The effect of the ginger on the apoptosis of hippochampal cells according to the
expression of BAX and Cyclin D1 genes and histological characteristics of brain
in streptozotocin male diabetic rats.
AB - Diabetes is the most common endocrine disorder in humans with multiple
complications including nervous system damages. The aim of the present study was
to determine the effect of ginger extract on apoptosis of the neurons of
hippocampus, via evaluation of BAX and Cyclin D1 and also histological analysis,
in male diabetic rats. In this experimental study, 60 Wistar rats (220 +/- 30gr)
were conducted in 5 groups as follow: diabetic group treated with saline (group
1), normal group treated with saline (group 2), diabetic group treated with
ginger (group 3), diabetic group treated with ginger-insulin (group 4), diabetic
group treated with insulin (group 5). STZ (60 mg/kg) was intraperitoneally used
to induce the diabetes. Expression levels of BAX and Cyclin D1 were examined
using Real-Time PCR technique and the normality of neurons was evaluated using
H&E staining method. The results showed that blood glucose level significantly
decreased in group 4 when compared to group 1. In molecular analysis, there was
no significant difference between groups regarding the expression of BAX gens,
while, the expression of Cyclin D1 were significantly decreased in group 4
compared with group 1. Histological analysis revealed that pathological symptoms
were lower in group 4 than the other diabetic groups. The results of present
study showed that the ginger in addition to lowering blood sugar level, changes
the expression of Cyclin D1 gene and histological characteristics in a positive
manner. This means that the ginger may protects neurons of the hippocampus from
apoptosis in diabetic patients.
PMID- 27894394
TI - Differences of cell surface marker expression between bone marrow- and kidney
derived murine mesenchymal stromal cells and fibroblasts.
AB - Mesenchymal stromal cells (MSC) are undifferentiated, multipotent adult cells
with regenerative properties. They are particularly relevant for therapeutic
approaches due to the simplicity of their isolation and cultivation. Since MSC
show an expression pattern of cell surface marker, which is almost identical to
fibroblasts, many attempts have been made to address the similarities and
differences between MSC and fibroblasts. In this study we aimed to isolate murine
MSC from bone marrow (BM) and kidney to characterize them in comparison to
fibroblasts. Cells were isolated from murine kidney, BM and abdominal skin by
plastic adherence and subsequently characterized by analysing their capability to
build colony-forming unit-fibroblasts (CFU-F), their morphology, their
proliferation, expression of telomerase activity and cell surface antigens as
well as their differentiation capacity. Plastic adherent cells from the 3 mouse
tissues showed similar morphology, proliferation profiles and CFU-F building
capacities. However, while MSC from BM and kidney differentiated into the
adipogenic, chondrogenic and osteogenic direction, fibroblasts were not able to
do so efficiently. In addition, a tendency for lower expression of telomerase was
found in the fibroblast population. Proliferating cells from kidney and BM
expressed the MSC-specific cell surface markers CD105 and Sca-1 on a
significantly higher and CD117 on a significantly lower level compared to
fibroblasts and were thereby distinguishable from fibroblasts. Furthermore, we
found that certain CD markers were specifically expressed on a higher level,
either in BM-derived cells or fibroblasts. This study demonstrates that murine
MSC isolated from different organs express certain specific markers, which enable
their discrimination.
PMID- 27894395
TI - Relationship between hepatitis B virus reverse transcriptase 181 mutation and S
gene mutation in hepatitis B virus chronically infected patients.
AB - This study aims to explore clinical significance of HBV rt181 mutation. Serum
samples were collected from 226 CHB patients with no anti-viral treatment, and 72
patients with adefovir dipivoxil treatment over 1 year. HBV genes of reverse
transcriptase regions were amplified by nested PCR. HBV DNA in pre-S/S regions
sequences were determined by sequencing. Mutations in HBV were characterized by
mutational analysis. Results indicated that resistant mutation was found in 16
samples (7.08%) with no anti-viral treatment. It showed higher prevalence in
patients with adefovir dipivoxil treated samples 30/72(41.67%). Mutation sites of
pre-existing and adefovir dipivoxil induced resistance were different (adefovir
dipivoxil induced resistance mode is rtA181T/V, and pre-existing mode is the
other). Resistance mutation was found just in genotype C patients. Among 25
containing rtA181T/V mutation patients, 7 rtA181T mutation cases with sW172L, 6
rtA181T mutation cases with sW172*, 12 rtA181Vmutation cases with sL173F. In
conclusion, mutation sites of pre-existing and adefovir dipivoxil induced
resistance were different. HBV genotype C is prone to occur resistance mutation
than genotype B. rtA181T mutation was accompanied with not only sW172 * mutation,
but also sW172L mutation, rtA181V mutation was accompanied with sL173F mutation
or Pre-S2 initiation codon to termination mutation.
PMID- 27894396
TI - Ellagic acid ameliorates cuprizone-induced acute CNS inflammation via restriction
of microgliosis and down-regulation of CCL2 and CCL3 pro-inflammatory chemokines.
AB - Ellagic acid (EA) is a natural phenol antioxidant with various therapeutic
activities. However, the efficacy of EA has not been examined in neuro
inflammatory conditions. Microglia making the innate immune system of the central
nervous system (CNS) and are imperative cellular mediators of neuro-inflammatory
processes. In this study, neuro-protective effects of EA on cuprizone (Cup)
induced acute CNS inflammation evaluated. C57BL/6J mice were fed with chow
containing 0.2 % Cup for 3 weeks to induce acute neuro-inflammation predominantly
in the corpus callosum (CC). EA was administered at different doses (40 or 80
mg/kg body weight/day/i.p) from the first day of the Cup diet. Microglia
activation (microgliosis) and expression of microglia related chemokines during
Cup challenge were examined. Results shows that EA significantly decreased the
number of activated microglia cells (Iba-1+ cells) and also restricted
proliferation of these cell population (Iba-1+/Ki67+ cells) in dose dependent
manner. Consequently, concentration of microglial pro-inflammatory chemokines
including monocyte chemoattractant protein-1/Chemokine (C-C motif) ligand 2 (MCP
1/CCL2), and macrophage inflammatory protein 1-alpha/Chemokine (C-C motif) ligand
3 (MIP-1-alpha/CCL3) dramatically reduced in CC after EA treatment. According to
this results, we conclude that EA is a suitable therapeutic agent for moderation
brain damages in neuro-inflammatory diseases.
PMID- 27894397
TI - Isolation and identification of culturable halophilic bacteria with producing
hydrolytic enzyme from Incheh Broun hypersaline wetland in Iran.
AB - Incheh Broun hypersaline wetland is located near the border of Turkmenistan in
thenorth of Iran. This wetland is notable because of salinity (280g/l) and
alteration in pH range (2.8 to 6.8). Eastern part of wetland is affected by
wastewater of iodine extraction factory. Samples were taken from soil, water and
salt. Totally, 400 bacterial strains were isolated of which 194 strains were Gram
positive bacilli, 184 strains were Gram-negative rod and 22 strains were Gram
positive cocci. According to phylogenetic analysis of 16S rRNA, selected strains
were placed in three taxonomic phyla including Firmicutes, Actinobacteria and
Gammaproteobacteria. Optimum growth was evaluated for salt and 22 strains were
found to be moderate halophile and 33 strains were halotolerant. Production of
lipase, amylase, gelatinase and protease was examined. Gram-positive bacilli were
the main producers of hydrolytic enzymes. Gelatinase and protease were the most
frequent enzymes. Gram-positive cocci were the main producers of lipase but they
didn't produce amylase.
PMID- 27894398
TI - miR-488 determines coat pigmentation by down-regulating the pigment-producing
gene pro-opiomelanocortin.
AB - Coat color is a key economic trait in wool- and fur-producing animals. Coat color
is controlled by complex mechanisms. Pro-opiomelanocortin (POMC) is a gene
involved in pigment formation. Previous studies suggested that miR-488 might
target the POMC mRNA. This study aimed to determine whether miR-488 could affect
coat color by regulating POMC and to explore the regulatory roles of miR-488 on
coat color in mammals. A dual fluorescence report vector containing the 3'-UTR of
POMC was built to determine whether miR-488 could post-transcriptionally regulate
POMC expression. Then, a eukaryotic vector expressing miR-488 was built and
transfected into mouse keratinocytes to confirm the regulatory mechanism in
vitro. Compared with gray mice, the expression of POMC mRNA was 3.36-fold higher
in black mice and 1.29-fold higher in brown mice. The results showed that miR-488
could control mice coat color by combining with the 3'-UTR seed sequence of POMC
mRNA to achieve the degradation of POMC mRNA, therefore playing a role in POMC
expression. This study revealed the roles of miR-488 in animal coat color and
enriches our knowledge about the determination of coat color in mammals.
PMID- 27894399
TI - Effect of iguratimod and methotrexate on RANKL and OPG expression in serum and IL
1beta-induced fibroblast-like synoviocytes from patients with rheumatoid
arthritis.
AB - The receptor activator of nuclear factor kappaB ligand (RANKL)/receptor activator
of nuclear factor kappaB (RANK)/osteoprotegerin (OPG) system plays a key role in
rheumatoid arthritis (RA)-associated bone erosion. The upregulation of the
RANKL/OPG ratio promotes bone erosion. The objective of this study is to explore
the effects of iguratimod, a small-molecule disease-modifying antirheumatic drug
(DMARD), alone or in combination with methotrexate (MTX), on RANKL and OPG
expression in RA. We performed an enzyme-linked immunosorbent assay (ELISA) to
investigate the modulatory effects of iguratimod, MTX, or their combination on
serum RANKL and OPG levels of patients with RA before and after treatment for 12
and 24 weeks. Furthermore, fibroblast-like synoviocytes (FLS) from patients with
RA were interleukin (IL)-1beta-stimulated and then treated with different
concentrations of iguratimod, MTX, or both, and RANKL and OPG expressions were
investigated by using ELISA, quantitative real-time polymerase chain reaction
(qPCR) and western blot analysis. We found that RANKL levels and the RANKL/OPG
ratio significantly decreased in both serum and IL-1beta-induced RA FLS after
treatment. Moreover, combination therapy with iguratimod and MTX showed an even
stronger inhibition than each drug alone did. Our results suggest that iguratimod
and MTX, especially in combination, efficaciously protected against bone erosion
by suppressing the production of RANKL.
PMID- 27894400
TI - Genetic diversity of the Dwarf honeybee (Apis florea Fabricius, 1787) populations
based on microsatellite markers.
AB - Apis florea is one of two species of small, wild honeybee. The present study was
conducted to evaluate the genetic diversity of Apis florea honeybee from 48 nests
(colonies) using microsatellite markers in the South of Iran. All honeybee
samples were analyzed for six microsatellite loci (A88, A107, A7, B124, A113 and
A35). The six loci had different numbers of alleles in the sampled colonies
ranging from 7 (loci A107) to 3 (loci A7, A35). Gene diversity in Apis florea
ranged from 0.491 to 0.595. This range probably reflects the spreading of nests
in a large region with a varied climate. Phylogenetic tree showed two distinct
clusters including a) Minab region samples and b) Bandar Abbas, Bandar Khamir and
Qeshm Island regions. All of these regions are geographically rich, having varied
vegetation and climate conditions. Our findings are an important contribution to
the methods of studying distribution and conservation of Apis florea.
PMID- 27894401
TI - Association between the cytotoxic T-lymphocyte antigen-4 mutations and the
susceptibility to systemic lupus erythematosus; Contribution markers of
inflammation and oxidative stress.
AB - : The cytotoxic T lymphocyte antigen-4 (CTLA-4) also known as CD152 (cluster of
differentiation 152) is a crucial negative regulator of the immune system. This
protein receptor provides negative signals in order to suppress T-cell activation
and immune attack against self-antigens, although its role is unclear. The
ability of CTLA-4 to limit T cell-mediated immune response has made it a major
target in treatment of tumors and autoimmune diseases such as systemic lupus
erythematosus (SLE). In this study, we investigated whether CTLA-4 G-1661A and
CTLA-4 T-1722C mutations are associated with SLE. So one hundred nine SLE
patients and 101 gender and age-matched unrelated healthy controls were recruited
for this case-control study. The promoter mutations were detected by PCR-RFLP,
neopterin, malondialdehyde (MDA) and serum lipid concentration were determined by
HPLC and enzyme assay, respectively. RESULT: We found that both codominant (AA
vs. GG) and recessive (AA vs. GA+GG) CTLA-4 G-1661A mutation significantly
decreased the risk of SLE by 1.7 and 3.7 times, respectively. Interestingly, SLE
patients with AA genotypes of CTLA-4 G-1661A have lower neopterin and MDA
concentration compared with GA+GG genotypes. The overall distribution of CTLA-4 T
1722C genotypes and alleles in SLE patients were similar to those in control
group. In conclusion, our findings showed, that there is an association between
systemic inflammatory markers, oxidative stress and the CTLA-4 G-1661A GG+AG
genotypes, MDA and neopterin which are the most conventional risk factors for
coronary heart disease, therefore these mutations may be consider as a risk
factor for susceptibility to heart disease in SLE patients.
PMID- 27894402
TI - HSP90 inhibitor enhances anti-proliferative and apoptotic effects of celecoxib on
HT-29 colorectal cancer cells via increasing BAX/BCL-2 ratio.
AB - Due to the high prevalence and mortality rate of colorectal cancer (CRC), new
treatment approaches like combination therapy seem to be necessary. The
relationship between chronic inflammation and colorectal cancer development and
progression has been shown to be important. Celecoxib, a selective COX-2
inhibitor, is the only non-steroidal anti-inflammatory drug (NSAID) that has been
approved for cancer therapy and prevention. Because of cardiovascular side
effects of COX-2 inhibitors, combination therapy may improve the therapeutic
profile. 17-Demethoxy-17-allylamino geldanamycin (17-AAG), a heat shock protein
90 (HSP90) inhibitor, shows anti-inflammatory effects via down-regulation of the
key mediators of inflammation such as Nuclear Factor kappaB (NF-kB), JAK/Signal
Transducer and Activator of Transcription (JAK/STAT). Thus, we studied the
effect(s) of combination of 17-AAG and celecoxib on HT-29 cells viability and
apoptosis induction. Based on MTT results, we showed an increase in the
inhibitory effect of celecoxib when combined with 17-AAG, especially at low a
concentration of celecoxib. Flow cytometry analysis demonstrated that apoptosis
induction is probably the mechanism of additive inhibitory effects of 17-AAG and
celecoxib combination. To explore the possible mechanism of apoptosis induction
by 17-AAG and celecoxib combination, levels of BAX and BCL-2 proteins were
determined by western blotting. The BAX/BCL-2 ratio in the combination group was
increased compared to 17-AAG or celecoxib alone, mainly via decreasing BCL-2
levels. In conclusion, 17-AAG, increased inhibitory effects of celecoxib on HT-29
cells, probably by induction of apoptosis.
PMID- 27894403
TI - Conditioned medium from neural stem cells inhibits glioma cell growth.
AB - Malignant glioma is one of the most common brain tumors in the central nervous
system. Although the significant progress has been made in recent years, the
mortality is still high and 5-year survival rate is still very low. One of the
leading causes to the high mortality for glioma patients is metastasis and
invasion. An efficient method to control the tumor metastasis is a promising way
to treat the glioma. Previous reports indicated that neural stem cells (NSCs)
were served as a delivery vector to the anti-glioma therapy. Here, we used the
conditioned medium from rat NSCs (NSC-CM) to culture the human glioblastoma cell
lines. We found that NSC-CM could inhibit the glioma cell growth, invasion and
migration in vitro and attenuate the tumor growth in vivo. Furthermore, this anti
glioma effect was mediated by the inactivation of mitogen activated protein
kinase (MAPK) pathway. Above all, this study provided the direct evidence to put
forward a simple and efficient method in the inhibition of glioma cells/tumor
growth, potentially advancing the anti-glioma therapy.
PMID- 27894404
TI - Streptozotocin induces neurite outgrowth via PI3K-Akt and glycogen synthase
kinase 3beta in Neuro2a cells.
AB - Streptozotocin (STZ), a naturally occurring chemical, is toxic to the various
kinds of cells such as insulin-producing beta cells. However, the beneficial
effect of STZ on neuronal cells such as neurite outgrowth-inducing activity has
been unknown. In this study, we examined the effect of STZ on neurite outgrowth
in mouse neuronal Neuro2a cells. STZ (0.01 mM~5 mM) exerted remarkable neurite
outgrowth-inducing activity in Neuro2a cells in a concentration dependent manner.
STZ also had the same neurite outgrowth-inducing activity as that of retinoic
acid (RA), which is well known neurite outgrowth inducer. As with the result of
RA treatment, STZ administration increased MAP2-positive cells. The MAP2-positive
cells reflect neurite outgrowth-induced cells. STZ (0.01 mM~5 mM) did not induce
cell death, but significantly decreased cell proliferation. The serine/threonine
kinase Akt, a downstream target of phosphatidylinositol-3 kinase (PI3K), was
transiently phosphorylated at Ser473 and at Thr303 by STZ (5 mM) administration.
Glycogen synthase kinase 3beta (GSK3beta), which has been reported to be
inactivated by Akt, was also transiently phosphorylated at Ser9 by STZ (5 mM)
administration. In addition, a blocker of PI3K, LY294002 (10 MUM), significantly
attenuated STZ-induced neurite outgrowth. These results suggest that STZ induces
neurite outgrowth via activation of PI3K-Akt signaling pathway and GSK3beta
inhibition.
PMID- 27894406
TI - Vincristine promotes migration and invasion of colorectal cancer HCT116 cells
through RhoA/ROCK/ Myosin light chain pathway.
AB - Vincristine is an antitumor vinca alkaloid isolated from vinca rosea, and is a
medication used to treat a number of types of cancer. In this study, we
investigated the impact of vincristine on oncogenic phenotypes of human
colorectal cancer HCT116 cells. MTT assay demonstrated that vincristine showed a
obviously inhibitory effect on cell growth compared to non-treated cells.
However, Transwell assay showed that vincristine promoted migration and invasion
of HCT116 cells in vitro in a concentration-dependent manner between 0.5 and 15
MUM vincristine treatment, whereas cell growth showed no remarkable difference
within the same concentration range. Additionally, Western blot analysis showed
that vincristine significantly elevated RhoA activity and Myosin light chain
(MLC) phosphorylation, suggesting the involvement of RhoA/ROCK pathway in the
vincristine-induced enhancement of cellular motility. Furthermore, we found that
both the siRNA for RhoA and ROCK inhibitor Y27632 attenuated the phosphorylation
of MLC, as well as vincristine-induced migration and invasion. These data
indicate that vincristine enhanced migration and invasion of HCT116 cells
possibly through stimulating RhoA/ROCK/MLC signaling pathway.
PMID- 27894405
TI - Inhibition of matrix metalloproteins 9 attenuated Candida albicans induced
inflammation in mouse cornea.
AB - Since the severe corneal ulceration of mouse cornea is known to occur with
inflammation. As one of imperative matrix metalloproteinase, the potential roles
of matrix metalloproteins 9 (MMP9) in corneal ulceration and keratitis are still
unveiled caused by fungal invasion. In this study, Candida albicans (CA)
inoculated wild-type KM mice cornea was used as a model pathogen in corneal
inflammation. CA invasion significantly stimulated the expression of collagen IV
and MMP9 detected by RT-PCR, Real-time PCR and Immunofluorescent staining in
mouse cornea as soon as 6 hours post infection, and relatively decreased at 1 day
post infection. For examining the role of MMP9 in fungal keratitis, the mice
corneas were subconjunctivally injected MMP9 antibody or recombinant MMP9 protein
6 hours prior to CA inoculation, using rabbit IgG as control. Subconjunctival
injection of recombinant MMP9 protein prior to CA inoculation enhanced, whereas
MMP9 antibody attenuated corneal ulceration and inflammation, examining basement
membrane, fungal load, myeloperoxidase (MPO) and proinflammatory cytokines
including Macrophage inflammatory protein 2 (MIP2), Interleukin-1beta (IL-1beta)
and Tumor necrosis factor-alpha (TNF-alpha). Inhibition of MMP9 could potentially
attenuate Candida albicans induced inflammation in mouse cornea.
PMID- 27894407
TI - MiRNA-378 controls cell proliferation in rabbit umbilical cord mesenymal stem
cells.
AB - Mesenchymal stem cells (MSC) are known to have the ability to differentiate into
various lineages of mesenchymal tissue. They are widely distributed in a variety
of tissues in the body and are also present in the foetal environment. MicroRNAs
(miRNAs) are a fundamental class of biological molecules that play a crucial role
in development. In this study, microRNA-378 and its predicted target (Sufu,
suppressor of fused homolog) were used to study proliferation of rabbit umbilical
cord mesenymal stem cells, luciferase reporter assay was used to assess and
confirm the binding sequence of 3'untranslated region between microRNA-378 and
Sufu. The results showed that miRNA-378 overexpression reduced Sufu gene, and
promoted cell proliferation of rabbit umbilical cord mesenymal stem cells via
cell viability and BrdU testing, which molecular mechanisms were down-regulation
of apoptosis genes after miRNA-378 overexpression. In conclusion, our research
shows that the microRNA-378 promoted the cell proliferation of rabbit umbilical
cord mesenymal stem cells, and this mechanism was miRNA-378 reduced the
expression of Sufu, increased cell proliferation.
PMID- 27894408
TI - Body girdle reduced fat deposition and altered other body parameters in rats.
AB - Many women favor in wearing foundation garments to shape their body and show
satisfactory figures. However, few investigations have been conducted on the
physiological impact of wearing tight garments on the body. In this study, we
used girdled rats that were fed with a high fat diet to investigate their
physiological condition including alterations in food intake, body weight, fat
deposition, and hormone concentrations. Over the experiment period, girdled rats
maintained normal plasma and liver cholesterol and triglyceride. Leptin level in
girdled rats was significantly lower than that in normal control. The fat tissue
of girdled rats was more active in secretion of leptin, which might be mediated
by mTOR signaling. Girdled rats showed no difference in hematology analysis
during the experiment period. This study showed that a body girdle can
significantly reduce fat deposition and alter other body parameters in rats.
PMID- 27894409
TI - The effects of mitochondrial DNA deletion and copy number variations on different
exercise intensities in highly trained swimmers.
AB - It has been suggested that heavy exercise might increase oxidative stress,
causing mitochondrial DNA (mtDNA) mutations as well as DNA mutations and changes
in the mtDNA copy number in cells. mtDNA4977 deletion is one of the most common
deletions seen on mitochondria. We hypothesize association between exercise
induced oxidative stress and mtDNA damage in peripheral blood lymphocytes (PBLs)
of highly trained swimmers. Therefore we studied the mtDNA4977 deletion level,
mtDNA copy number and their relationship with cellular ATP and oxidative stress
status in PBLs of swimmers. 8 highly trained and 8 normal trained swimmers and 8
non-athlete subjects were included in the study. The mtDNA4977 deletion and
amount of mtDNA were measured using RT-PCR method whereas
dichlorohydrofluoroscein (DCF) assay method was used to assess cellular oxidative
stress and ATP levels were measured using bioluminescence method. Even though an
increase in mtDNA4977 deletion was found in all study groups, the difference was
not statistically significant (p=0.98). The mtDNA copy numbers were found to be
surprisingly high in highly trained swimmers compared to normal trained swimmers
and non-athlete subjects by 4.03 fold (p= 0.0002) and 5.58 fold (p=0.0003),
respectively. No significant differences were found between groups by means of
intracellular ATP levels (p=0.406) and oxidative stress (p=0.430). No
correlation was found between mtDNA copy number and intracellular ATP content of
the PBLs (p=0.703). Our results suggest that heavy training does not have a
specific effect on mtDNA4977 deletion but it may be affecting mitochondrial copy
numbers which may act as a compensatory mechanism related to ATP levels in blood.
PMID- 27894410
TI - Association of HFE gene mutations with nonalcoholic fatty liver disease in the
Iranian population.
AB - To determine whether the HFE gene variants H63D and C282Y are associated with
NAFLD in persons with type 2 diabetes, we conducted a case-control study
including 145 case of NAFLD patients with a history of type 2 diabetes and 145
matching control. The genomic DNA was extracted from the peripheral venous blood
and the genotyping of HFE gene mutations was analyzed using the PCR-RFLP
technique. Statistical analysis was performed using SPSS 12.0 software by chi2
test, t test and ANOVA (P<0.05). Data showed no increased frequency of HFE
mutations in persons with type 2 diabetes and no association between H63D
mutation and NAFLD in the study population. Also, we analyzed index of
physiological variables including FBS, lipid profile (TC, TG, LDL-C, and HDL-C),
BMI, HbA1c, and micro albuminuria and Cr levels). Data showed there are no
relationship between these indexes and HFE gene mutations and either NAFLD as a
complication of diabetes. But our results showed a relationship between C282Y
mutation and NAFLD in persons with type 2 diabetes. C282Y mutation might be a
genetic marker of NAFLD in Iranian population.
PMID- 27894411
TI - Allele specific-PCR and melting curve analysis showed relatively high frequency
of beta-casein gene A1 allele in Iranian Holstein, Simmental and native cows.
AB - There are two allelic forms of A1 and A2 of beta-casein gene in dairy cattle.
Proteolytic digestion of bovine beta-casein A1 type produces bioactive peptide of
beta-casomorphin-7 known as milk devil. beta-casomorphin-7 causes many diseases,
including type 1 diabetes, cardiovascular disease syndrome, sudden death and
madness. The aim of the present study was to determine the different allelic
forms of beta-casein gene in Iranian Holstein, Simmental and native cattle in
order to identify A1 and A2 variants. The blood samples were collected randomly
and DNA was extracted using modified salting out method. An 854 bp fragment
including part of exon 7 and part of intron 6 of beta-casein gene was amplified
by allele specific polymerase chain reaction (AS-PCR). Also, the accuracy of AS
PCR genotyping has been confirmed by melting temperature curve analysis using
Real-time PCR machinery. The comparison of observed allele and genotype frequency
among the studied breeds was performed using the Fisher exact and Chi-squared
test, respectively by SAS program. Obtained results showed the A1 allele
frequencies of 50, 51.57, 54.5, 49.4 and 46.6% in Holstein, Simmental, Sistani,
Taleshi and Mazandarani cattle populations, respectively. The chi-square test was
shown that no any populations were in Hardy-Weinberg equilibrium for studied
marker locus. Comparison and analysis of the test results for allelic frequency
showed no any significant differences between breeds (P>0.05). The frequency of
observed genotypes only differs significantly between Holstein and Taleshi breeds
but no any statistically significant differences were found for other breeds
(P>0.05). A relatively high frequency of beta-casein A1 allele was observed in
Iranian native cattle. Therefore, determine the genotypes and preference alleles
A2 in these native and commercial cattle is recommended.
PMID- 27894412
TI - The most common genes involved in epigenetics modifications among Iranian
patients with breast cancer: A systematic review.
AB - Breast cancer, with a lifelong risk of one in nine, is the most common cancer
among women. In Iran, breast cancer is one of the growing and important women's
health problems. Several environmental, genetic and epigenetics factors have been
suggested to have a role in breast cancer development. Epigenetics alterations
are heritable changes in gene expression that occur without causing any change in
DNA sequence. DNA methylation as a main epigenetics modification in human cancer
is found as a promising biomarker in early detection of breast cancer.
Association between epigenetics changes of many gene promoters with the risk of
breast cancer has been investigated worldwide. This aberrant methylation may be
occur in specific genes related to cell cycle, cell adhesion, apoptosis and DNA
repairing mechanisms and results in silencing of these important genes. In this
review study, we have gathered all the data until December 2015 about epigenetics
modifications among Iranian population with breast cancer. We searched
international web databases such as: PubMed, Scopus, and Persian web databases;
IranMedex and Magiran to investigate the association of epigenetics change and
incidence of breast cancer among Iranian population. Using "methylation" or
"epigenetics" key words and "Iran" as affiliation, all the published data were
31. After arbitrary limitation in search keywords the result have been 20
articles. Data analysis show that "ER-alpha" and "E-Cadherin" are most common
studied genes in epigenetics modifications. Also, maximum studies were done in
Tehran and Tabriz. We thought that more studies will be helpful to reveal the
relation of methylation status in candidate genes with the breast cancer risk in
Iranian populations.
PMID- 27894413
TI - Targeting of BCR-ABL: Lessons learned from BCR-ABL inhibition.
AB - In 1960 researchers reported that balanced translocation between chromosomes 22
and 9 resulted in the generation of Philadelphia chromosome. This breakthrough
revolutionized our knowledge related to leukemia biology and contemporary studies
revealed that chromosomal translocation resulted in the fusion between the 5'
segment of BCR gene and 3' segment of the ABL gene to form BCR/ABL fusion gene.
Research over the years has progressively and systematically improved our
understanding of the genetic and proteomic basis of Leukemia. Genome-wide
profiling studies, including genome sequencing and microarray analysis, have
helped us in identification of different intracellular signaling cascades that
are frequently mutated in Leukemia. We partition this multi-component review
into different sections related to biochemical characteristics of BCR-ABL+ cells,
underlying mechanism of generation of mutations and crosstalk of BCR-ABL with
various intracellular signaling cascades. We also summarize how BCR-ABL encoding
mRNA is negatively regulated by different miRNAs and the strategies which are
currently being used to effectively target BCR-ABL protein. We also provide an
overview of the natural products which have been used for targeting of BCR-ABL
protein. Better understanding of the protein network of Philadelphia positive
leukemic cells will prove to be helpful in getting a step closer to personalized
medicine.
PMID- 27894414
TI - ANRIL rs2383207 polymorphism and coronary artery disease (CAD) risk: a meta
analysis with observational studies.
AB - Some studies investigated the association of antisense non-coding RNA in the INK4
locus (ANRIL) rs2383207 polymorphism with coronary artery disease (CAD) risk.
However, the result was still inconsistent. The aim of this study was to
investigate whether there is an association between the ANRIL rs2383207
polymorphism and CAD risk. We carried out a PubMed (Medline), EMBASE database
search covering all published articles. The strength of association between ANRIL
rs2383207 polymorphism and CAD risk was assessed by calculating OR with 95% CI. A
total of 13 case-control studies involving 6796 cases and 9956 controls were
included in this meta-analysis. ANRIL rs2383207polymorphism was associated with a
significantly an increased risk of CAD (OR=1.47; 95%CI, 1.33-1.62). We also found
that this polymorphism increased CAD risk in Caucasians (OR=1.51; 95%CI, 1.28
1.77) and Asians (OR=1.42; 95%CI, 1.26-1.61). In the subgroup analysis according
to gender, both women and men were significantly associated with the increased
risk of CAD (OR=1.36; 95%CI, 1.03-1.79 and OR=1.58; 95%CI, 1.20-2.09). In the
subgroup analysis by age, ANRIL rs2383207 polymorphism showed significant results
in old CAD patients and young CAD patients (OR=1.32; 95%CI, 1.20-1.44 and
OR=1.53; 95%CI, 1.32-1.77). Furthermore, this polymorphism also influenced
myocardial infarction risk (OR=1.75; 95%CI, 1.24-2.47). Even the studies with
adjustment for age, gender, smoking were included, the significant association
was also observed (OR=1.43; 95%CI, 1.26-1.62). In conclusion, this meta-analysis
suggested that ANRIL rs2383207 polymorphism is associated with CAD risk.
PMID- 27894415
TI - Intercellular adhesion molecule-1 polymorphisms, K469E and G261R and
susceptibility to vasculitis and rheumatoid arthritis: a meta-analysis.
AB - The aim of this study was to determine whether intercellular adhesion molecule-1
(ICAM-1) polymorphisms are associated with susceptibility to vasculitis or
rheumatoid arthritis (RA). Meta-analyses were performed to assess the
associations between K469E and G241R polymorphisms of ICAM-1 and vasculitis or
RA. A total of 12 studies on 1,368 patients and 1,922 controls, which comprised 8
vasculitis studies and 4 RA studies, were included in the meta-analysis. We found
no significant association between vasculitis and K469E E allele among the
various subjects (OR = 1.238, 95% CI = 0.9781-1.566, p = 0.076). However, an
association between vasculitis and K469E polymorphism was observed under
homozygote contrast (OR = 1.443, 95% CI = 1.084-1.920, p = 0.012). Stratification
by ethnicity and vasculitis type showed no association between vasculitis and 1
K469E polymorphism under heterozygote contrast. In addition, the meta-analysis
revealed a significant association between the ICAM-1 G241R R allele and Behcet's
disease (BD) (OR = 3.261, 95% CI = 1.653-6.434, p = 0.001), but not giant cell
arteritis. Moreover, the meta-analysis indicated an association between RA and
the R allele and RR+ RG genotype of the ICAM-1 G241R polymorphism (OR = 2.014,
95% CI = 1.215-3.339, p = 0.007; OR = 2.394, 95% CI = 1.354-4.235, p = 0.003).
This meta-analysis suggests that the K469E polymorphism is associated with
susceptibility to vasculitis, and that the G241R polymorphism is associated with
susceptibility to BD and RA.
PMID- 27894416
TI - Australian university smoke-free policy implementation: a staff and student
survey.
AB - Issue addressed Universities represent important settings for the implementation
of public health initiatives such as smoke-free policies. The study aimed to
assess staff and student attitudes towards policy enforcement and compliance as
well as the acceptability of the provision of cessation support in this setting.
Methods A cross-sectional study was conducted following the introduction of a
designated-areas partial smoke-free policy at two campuses of one Australian
university in 2014. Staff (n=533) and students (n=3060) completed separate online
surveys assessing attitudes towards smoke-free policy enforcement and compliance,
and acceptability of university-provided cessation support. Results Students held
significantly stronger beliefs than staff that the smoke-free policy required
staff enforcement (69% vs 60%) and violation penalties (67% vs 60%; both P's
<0.01); however, most staff (66%) did not believe enforcement was part of their
role. Only 55% of student smokers were aware that the university provided any
cessation support. 'Free or cheap nicotine replacement therapy' (65%) and 'free
stop smoking counselling service' (60%) were the most popular strategies student
smokers thought the university should provide. Conclusions University staff and
students hold conflicting views over the need for policy enforcement and who is
responsible for enforcement roles. Students view the university as an acceptable
setting for the provision of smoking cessation support. So what? Where staff are
expected to enforce smoke-free policies, specific education and training should
be provided. Ongoing monitoring of compliance and enforcement behaviour appears
necessary to avoid the pervasive kind of non-compliance to smoke-free policies
that have been seen in other settings.
PMID- 27894418
TI - Discovery of methylfarnesoate as the annelid brain hormone reveals an ancient
role of sesquiterpenoids in reproduction.
AB - Animals require molecular signals to determine when to divert resources from
somatic functions to reproduction. This decision is vital in animals that
reproduce in an all-or-nothing mode, such as bristle worms: females committed to
reproduction spend roughly half their body mass for yolk and egg production;
following mass spawning, the parents die. An enigmatic brain hormone activity
suppresses reproduction. We now identify this hormone as the sesquiterpenoid
methylfarnesoate. Methylfarnesoate suppresses transcript levels of the yolk
precursor Vitellogenin both in cell culture and in vivo, directly inhibiting a
central energy-costly step of reproductive maturation. We reveal that contrary to
common assumptions, sesquiterpenoids are ancient animal hormones present in
marine and terrestrial lophotrochozoans. In turn, insecticides targeting this
pathway suppress vitellogenesis in cultured worm cells. These findings challenge
current views of animal hormone evolution, and indicate that non-target species
and marine ecosystems are susceptible to commonly used insect larvicides.
PMID- 27894419
TI - Epidermal cell turnover across tight junctions based on Kelvin's
tetrakaidecahedron cell shape.
AB - In multicellular organisms, cells adopt various shapes, from flattened sheets of
endothelium to dendritic neurons, that allow the cells to function effectively.
Here, we elucidated the unique shape of cells in the cornified stratified
epithelia of the mammalian epidermis that allows them to achieve homeostasis of
the tight junction (TJ) barrier. Using intimate in vivo 3D imaging, we found that
the basic shape of TJ-bearing cells is a flattened Kelvin's tetrakaidecahedron (f
TKD), an optimal shape for filling space. In vivo live imaging further elucidated
the dynamic replacement of TJs on the edges of f-TKD cells that enables the TJ
bearing cells to translocate across the TJ barrier. We propose a spatiotemporal
orchestration model of f-TKD cell turnover, where in the classic context of 'form
follows function', cell shape provides a fundamental basis for the barrier
homeostasis and physical strength of cornified stratified epithelia.
PMID- 27894417
TI - Oral transfer of chemical cues, growth proteins and hormones in social insects.
AB - Social insects frequently engage in oral fluid exchange - trophallaxis - between
adults, and between adults and larvae. Although trophallaxis is widely considered
a food-sharing mechanism, we hypothesized that endogenous components of this
fluid might underlie a novel means of chemical communication between colony
members. Through protein and small-molecule mass spectrometry and RNA sequencing,
we found that trophallactic fluid in the ant Camponotus floridanus contains a set
of specific digestion- and non-digestion related proteins, as well as
hydrocarbons, microRNAs, and a key developmental regulator, juvenile hormone.
When C. floridanus workers' food was supplemented with this hormone, the larvae
they reared via trophallaxis were twice as likely to complete metamorphosis and
became larger workers. Comparison of trophallactic fluid proteins across social
insect species revealed that many are regulators of growth, development and
behavioral maturation. These results suggest that trophallaxis plays previously
unsuspected roles in communication and enables communal control of colony
phenotypes.
PMID- 27894421
TI - Nonoperative Management of Blunt Solid Organ Injury in Pediatric Surgery.
AB - In the last decade, higher rates of nonoperative management of liver, spleen, and
kidney injuries have been achieved. An algorithmic approach may improve success
on a national level. Factors for success include management strategy based on
physiologic status of the child, early attempt at resuscitation using blood
products, and appropriate use of adjuncts. Shorter hospitalizations are
appropriate for children who have not bled significantly, and discharge
instructions facilitate the safety of early discharge. Although routine imaging
is not required for liver or spleen injury, symptoms should prompt reevaluation.
Reimaging of renal injuries remains in common use.
PMID- 27894422
TI - Vascular Access in the Pediatric Population.
AB - Vascular access procedures are an important and frequent component of the day-to
day practice of the pediatric surgeon. Most access procedures can be performed
percutaneously via Seldinger or modified Seldinger technique and are aided by
technology, such as ultrasound and fluoroscopy. Complications, such as infection,
do occur, and the pediatric surgeon should be able to diagnose and treat these
when they arise. The indications, techniques, and complications of vascular
access are covered in this article.
PMID- 27894423
TI - Incarcerated Pediatric Hernias.
AB - Indirect inguinal hernias are the most commonly incarcerated hernias in children,
with a higher incidence in low birth weight and premature infants. Contralateral
groin exploration to evaluate for a patent processus vaginalis or subclinical
hernia is controversial, given that most never progress to clinical hernias. Most
indirect inguinal hernias can be reduced nonoperatively. It is recommended to
repair them in a timely fashion, even in premature infants. Laparoscopic repair
of incarcerated inguinal hernia repair is considered a safe and effective
alternative to conventional open herniorrhaphy. Other incarcerated pediatric
hernias are extremely rare and may be managed effectively with laparoscopy.
PMID- 27894420
TI - Structural basis for the recognition of spliceosomal SmN/B/B' proteins by the
RBM5 OCRE domain in splicing regulation.
AB - The multi-domain splicing factor RBM5 regulates the balance between antagonistic
isoforms of the apoptosis-control genes FAS/CD95, Caspase-2 and AID. An OCRE
(OCtamer REpeat of aromatic residues) domain found in RBM5 is important for
alternative splicing regulation and mediates interactions with components of the
U4/U6.U5 tri-snRNP. We show that the RBM5 OCRE domain adopts a unique beta-sheet
fold. NMR and biochemical experiments demonstrate that the OCRE domain directly
binds to the proline-rich C-terminal tail of the essential snRNP core proteins
SmN/B/B'. The NMR structure of an OCRE-SmN peptide complex reveals a specific
recognition of poly-proline helical motifs in SmN/B/B'. Mutation of conserved
aromatic residues impairs binding to the Sm proteins in vitro and compromises
RBM5-mediated alternative splicing regulation of FAS/CD95. Thus, RBM5 OCRE
represents a poly-proline recognition domain that mediates critical interactions
with the C-terminal tail of the spliceosomal SmN/B/B' proteins in FAS/CD95
alternative splicing regulation.
PMID- 27894424
TI - Intestinal Rotation Abnormalities and Midgut Volvulus.
AB - Rotation abnormalities may be asymptomatic or may be associated with obstruction
caused by bands, midgut volvulus, or associated atresia or web. The most
important goal of clinicians is to determine whether the patient has midgut
volvulus with intestinal ischemia, in which case an emergency laparotomy should
be done. If the patient is not acutely ill, the next goal is to determine whether
the patient has a narrow-based small bowel mesentery. In general, the outcomes
for children with a rotation abnormality are excellent, unless there has been
midgut volvulus with significant intestinal ischemia.
PMID- 27894425
TI - Pediatric Testicular Torsion.
AB - The pediatric patient presenting with acute scrotal pain requires prompt
evaluation and management given the likelihood of testicular torsion as the
underlying cause. Although other diagnoses can present with acute testicular
pain, it is important to recognize the possibility of testicular torsion because
the best chance of testicular preservation occurs with expeditious management.
When testicular torsion is suspected, prompt surgical exploration is warranted. A
delay in surgical management should not occur in an effort to obtain confirmatory
imaging. When torsion is discovered, the contralateral testicle should undergo
fixation to reduce the risk of asynchronous torsion.
PMID- 27894426
TI - Lower Gastrointestinal Bleeding & Intussusception.
AB - Relatively uncommon compared with the adult population, lower gastrointestinal
bleeding in children requires expeditious evaluation and management because of
the variety of causes ranging from benign to life-threatening conditions. The
causes of lower gastrointestinal bleeding (LGIB) vary with patient age. This
review focuses on the differential diagnosis and management of LGIB in children.
Because intussusception is one of the most common sources of LGIB, particular
attention will be given to its diagnosis and management.
PMID- 27894427
TI - Overview of Wound Healing and Management.
AB - Wound healing is a highly complex chain of events, and although it may never be
possible to eliminate the risk of experiencing a wound, clinicians' armamentarium
continues to expand with methods to manage it. The phases of wound healing are
the inflammatory phase, the proliferative phase, and the maturation phase. The
pathway of healing is determined by characteristics of the wound on initial
presentation, and it is vital to select the appropriate method to treat the wound
based on its ability to avoid hypoxia, infection, excessive edema, and foreign
bodies.
PMID- 27894428
TI - Pediatric Ovarian Torsion.
AB - Adnexal torsion is the fifth most common gynecologic emergency. The vague
clinical presentation and variable imaging findings make the diagnosis difficult.
If suspicion for adnexal torsion is high enough based on clinical symptoms and
imaging findings, prompt intervention should include conservative management with
laparoscopic adnexal detorsion and ovarian preservation even in the event of a
necrotic-appearing ovary because studies persistently show follicular development
and ovarian function after a short time period and no increased patient
morbidity.
PMID- 27894429
TI - Nonaccidental Trauma in Pediatric Surgery.
AB - This article presents an overview of nonaccidental trauma in children, including
common clinical presentation, evaluation, and diagnosis.
PMID- 27894430
TI - Anesthesia for Common Pediatric Emergency Surgeries.
AB - Anesthesiologist must be prepared on a daily basis to care for children who need
emergency surgery. An appropriate perioperative plan must be formulated and
executed to ensure successful management of the child. This article outlines how
to prepare and effectively manage common pediatric emergency surgeries.
PMID- 27894431
TI - Head and Cervical Spine Evaluation for the Pediatric Surgeon.
AB - This article is designed to guide pediatric surgeons in the evaluation and
stabilization of blunt head and cervical spine injuries in pediatric patients.
Trauma remains the number one cause of morbidity and mortality among children,
and the incidence of head injuries continues to rise. Cervical spine injuries, on
the other hand, are unusual but can be devastating if missed. This article
highlights the pathophysiology unique to pediatric head and cervical spine trauma
as well as keys to clinical and diagnostic evaluation.
PMID- 27894432
TI - Abdominal Trauma Evaluation for the Pediatric Surgeon.
AB - Trauma is the leading cause of pediatric mortality and abdominal injury is a
significant contributor to morbidity. The assessment of abdominal trauma in
children must be conducted expeditiously and thoroughly. Physical examination,
laboratory testing, and imaging are central to trauma evaluation. In children
with minor injury, protocols may help to limit the use of ionizing radiation.
Children with significant abdominal injury who are unstable should be
resuscitated with blood products and undergo emergent surgical intervention.
PMID- 27894433
TI - The Role of Minimally Invasive Surgery in Pediatric Trauma.
AB - Minimally invasive surgery (MIS) in the management of blunt and penetrating
pediatric trauma has evolved in the past 30 years. Laparoscopy and thoracoscopy
possess high levels of diagnostic accuracy with low associated missed injury
rates. Currently available data advocate limiting the use of MIS to blunt or
penetrating injuries in the hemodynamically stable child. In the pediatric trauma
population, MIS offers both diagnostic and therapeutic potential, as well as
reduced postoperative pain, a decreased rate of postoperative complications,
shortened hospital stay, and potentially reduced cost.
PMID- 27894434
TI - Pediatric Airway and Esophageal Foreign Bodies.
AB - Foreign bodies aspirated into the airway or ingested with retention in the
esophagus are common in infants and children. Both can cause life-threatening
complications and must be approached with expeditious diagnosis and definitive
treatment. Pediatric surgeons should be familiar with diagnosis, treatment,
operative management, complications, and outcomes of aerodigestive tract foreign
bodies.
PMID- 27894436
TI - Foreword.
PMID- 27894437
TI - Preface.
PMID- 27894435
TI - Pediatric Appendicitis.
AB - Appendicitis is one of the most common surgical pathologies in children. It can
present with right lower quadrant pain. Scoring systems in combination with
selective imaging and surgical examination will diagnose most children with
appendicitis. Clinical pathways should be used. Most surgical interventions for
appendicitis are now almost exclusively laparoscopic, with trials demonstrating
better outcomes for children who undergo index hospitalization appendectomies
when perforated. Nonoperative management has a role in the treatment of both
uncomplicated and complicated appendicitis. This article discusses the workup and
management, modes of treatment, and continued areas of controversy in pediatric
appendicitis.
PMID- 27894438
TI - How Doctors Think: Common Diagnostic Errors in Clinical Judgment-Lessons from an
Undiagnosed and Rare Disease Program.
AB - The scientific process of analysis and deduction is frequently, often
subconsciously, used by physicians to develop a differential diagnosis based on
patients' symptoms. Common disorders are most frequently diagnosed in general
practice. Rare diseases are uncommon and frequently remain undiagnosed for many
years. Cognitive errors in clinical judgment delay definitive diagnosis. Whole
exome sequencing has helped identify the cause of undiagnosed or rare diseases in
up to 40% of children. This article provides experiences with an undiagnosed or
rare disease program, where detailed data accumulation and a multifaceted
analytical approach assisted in diagnosing atypical presentations of common
disorders.
PMID- 27894439
TI - When to Suspect Autoinflammatory/Recurrent Fever Syndromes.
AB - Autoinflammatory disorders are disorders characterized by rash, arthritis, fever,
and systemic inflammation. These disorders are caused by mutations in genes
important in innate immune system sensors. This review highlights the workup of
an individual with recurrent episodes of inflammation, features of these
disorders, the genetic defects that cause these disorders, and the specific
treatments available.
PMID- 27894440
TI - When Autistic Behavior Suggests a Disease Other than Classic Autism.
AB - Most neurodevelopmental disorders are defined by their clinical symptoms and many
disorders share common features. Recently there has been an increase in the
number of children diagnosed with autism spectrum disorder, although concerns
have been raised about the accuracy of the reported prevalence rates. This
article reviews the essential features of autism spectrum disorder and describes
other conditions that may include similar symptoms that may be misdiagnosed as
autism spectrum disorder (primary communication disorders, anxiety disorders,
attachment disorders, intellectual disability, vision and hearing impairment, and
normal variations). An approach to differential diagnosis is discussed with
particular attention to evaluation of young children.
PMID- 27894441
TI - Nonclassic Inflammatory Bowel Disease in Young Infants: Immune Dysregulation,
Polyendocrinopathy, Enteropathy, X-Linked Syndrome, and Other Disorders.
AB - This article discusses non-classical forms of inflammatory bowel disease (IBD)
mainly occurs in infants and very young children. Defects in every aspect of the
immune system, such as neutrophils, T-cell and B-cell lymphocytes, and
macrophages are associated with IBD in infants. Also, non lympho-hematopoietic
defects with primary defects in enterocytes can also lead to IBD-like
manifestations. Clinical vignettes are presented and the genetic origins and
possible management strategies are outlined. Early evaluation of these patients
is important because identification of underlying immune defects would facilitate
the use of better-targeted therapy for the specific genetic defect.
PMID- 27894442
TI - Presentation and Diagnostic Evaluation of Mitochondrial Disease.
AB - Mitochondrial disease (MD) occurs when alteration of mitochondrial respiratory
chain complex function caused by genetic mutation produces a detectable disease
state. These mutations may be found in either the nuclear or mitochondrial
genomes, and may only be present in a subset of cells or body tissues. Thus, the
phenotype of MD is extremely variable and the definitive diagnosis of MD is
complex. This article provides a brief description of a strategy used in the
diagnosis of MD, by integrating data from clinical, imaging, pathologic,
molecular, and enzymatic assessments. Additional information on characteristic
findings seen in classic MD syndromes is also provided.
PMID- 27894443
TI - The Team-Based Approach to Undiagnosed and Rare Diseases.
AB - Patients with undiagnosed or rare diseases often remain without a diagnosis for
many years. Many are misdiagnosed or treated symptomatically without having an
identified underlying disease process. Health care providers in general practice
and subspecialists are equipped to diagnose diseases commonly seen. Most
practitioners are unlikely to be familiar with uncommon manifestations of a
common disorder and have little or no experience with rare diseases.
Multidisciplinary teams are effective in reviewing patients with undiagnosed and
rare diseases and in developing a new diagnostic strategy for appropriate
evaluation. A medical librarian and an access coordinating navigator are
essential members of the team.
PMID- 27894444
TI - Unusual Structural Autonomic Disorders Presenting in Pediatrics: Disorders
Associated with Hypoventilation and Autonomic Neuropathies.
AB - Structural autonomic disorders (producing structural damage to the autonomic
nervous system or autonomic centers) are far less common than functional
autonomic disorders (reflected in abnormal function of a fundamentally normal
autonomic nervous system) in children and teenagers. This article focuses on this
uncommon first group in the pediatric clinic. These disorders are grouped into 2
main categories: those characterized by hypoventilation and those that feature an
autonomic neuropathy.
PMID- 27894445
TI - Usual and Unusual Manifestations of Systemic and Central Nervous System
Vasculitis.
AB - The idiopathic vasculitides are a group of inflammatory and immune-mediated
conditions associated with inflammation of blood vessels. They affect multiple
organ and body systems, and vary in their clinical manifestations, severity,
prognosis, and pathology. They frequently present a diagnostic challenge for
clinicians because of their complexity, overlapping features, and similar
findings to other noninflammatory, genetic, or infectious conditions. This
article summarizes some of the common pediatric vasculitides, emphasizing both
the characteristic and unusual clinical manifestations of these diseases.
PMID- 27894448
TI - The Intersection of Medical Child Abuse and Medical Complexity.
AB - Children with medical complexity and victims of medical child abuse may have
similar clinical presentations. Atypical or unexplained signs and symptoms due to
rare diseases may lead providers to suspect medical child abuse when not present.
Conversely, medical child abuse may be the cause of or coexist with medical
complexity. Careful consideration of whether or not medical child abuse is
present is essential when assessing a child with medical complexity since either
diagnosis has significant consequences for children and families.
PMID- 27894446
TI - Fever of Unknown Origin in Childhood.
AB - Childhood fever of unknown origin (FUO) is most often related to an underlying
infection but can also be associated with a variety of neoplastic, rheumatologic,
and inflammatory conditions. Repeated, focused reviews of patient history and
physical examination are often helpful in suggesting a likely diagnosis.
Diagnostic workup should be staged, usually leaving invasive testing for last.
Advances in molecular genetic techniques have increased the importance of these
assays in the diagnosis of FUO in children.
PMID- 27894447
TI - Differentiating Familial Neuropathies from Guillain-Barre Syndrome.
AB - Differentiating Guillain-Barre syndrome (GBS) from inherited neuropathies and
other acquired peripheral neuropathies requires understanding the atypical
presentations of GBS and its variant forms, as well as historical and physical
features suggestive of inherited neuropathies. GBS is typically characterized by
the acute onset of ascending flaccid paralysis, areflexia, and dysesthesia
secondary to peripheral nerve fiber demyelination. The disorder usually arises
following a benign gastrointestinal or respiratory illness, is monophasic,
reaches a nadir with several weeks, and responds to immunomodulatory therapy.
Inherited neuropathies with onset before adulthood, whose presentation may mimic
Guillain-Barre syndrome, are reviewed.
PMID- 27894449
TI - Ending a Diagnostic Odyssey: Family Education, Counseling, and Response to
Eventual Diagnosis.
AB - Genomic sequencing is the diagnostic test of choice for families with undiagnosed
or rare diseases seeking an explanation for their child's complex medical
concerns. The desire to find answers can easily bias interpretation of sequencing
results, and thus the counseling process is designed to facilitate informed
decision making and set realistic expectations for possible outcomes. The patient
case examples serve to highlight the various challenges and complexities
encountered with the clinical application of genomic sequencing and to reflect
some of the data that has been accrued during the past 5 years of clinical
experience.
PMID- 27894450
TI - Immunodeficiency Presenting as an Undiagnosed Disease.
AB - Although primary immunodeficiencies typically present with recurrent, chronic, or
severe infections, autoimmune manifestations frequently accompany these disorders
and may be the initial clinical manifestations. The presence of 2 or more
autoimmune disorders, unusual severe atopic disease, or a combination of these
disorders should lead a clinician to consider primary immunodeficiency disorders.
PMID- 27894451
TI - Eczema and Urticaria as Manifestations of Undiagnosed and Rare Diseases.
AB - Eczema and urticaria are common disorders encountered in pediatric patients, but
they may occasionally be the presenting complaint in a child with an underlying
rare disease. Immunodeficiency syndromes should be suspected when eczema is
associated with neonatal onset, recurrent infections, chronic lymphadenopathy, or
failure to thrive. Nutritional deficiencies and mycosis fungoides are in the
differential diagnosis for a child with a recalcitrant eczematous eruption.
Autoinflammatory syndromes should be suspected in a child with chronic urticaria,
fever, and other systemic signs of inflammation. Although these disorders are
rare, early recognition allows for appropriate treatment and decreased morbidity
for the child.
PMID- 27894452
TI - Immune-Mediated Diseases of the Central Nervous System: A Specificity-Focused
Diagnostic Paradigm.
AB - Immune-mediated diseases of the central nervous system show wide variability both
symptomatically and with respect to underlying pathophysiology. Recognizing
aberrant immunologic activity as the cause of neurologic dysfunction requires
establishing as precise a neuroanatomic and functional phenotype as possible, and
a diagnostic and therapeutic strategy that stabilizes the patient, excludes broad
categories of disease via rapidly available diagnostic assays, and maintains a
broad differential diagnosis that includes immune-mediated conditions. This
process is aided by recognizing the appropriate clinical circumstances under
which immune-mediated disease should be suspected, and how to differentiate these
conditions from other causes of similar neurologic dysfunction.
PMID- 27894454
TI - Undiagnosed and Rare Childhood Diseases.
PMID- 27894455
TI - Undiagnosed and Rare Diseases.
PMID- 27894453
TI - Usual and Unusual Manifestations of Familial Hemophagocytic Lymphohistiocytosis
and Langerhans Cell Histiocytosis.
AB - Familial hemophagocytic lymphohistiocytosis (FHL) and Langerhans cell
histiocytosis (LCH) are histiocytic diseases that occur most commonly in young
children. Improvements in recognition and treatment have been substantial for
both diseases in the past decade, although early and late morbidity continue to
be major concerns. These two diagnoses behave differently, although the clinical
spectra for both diseases are diverse and can lead to confusion and delays in
diagnosis and treatment. This article focuses on the clinical and genetic
spectrum of FHL as well as the clinical and treatment variations of LCH.
PMID- 27894456
TI - A systematic review and meta-analysis of the effort-reward imbalance model of
workplace stress with indicators of immune function.
AB - OBJECTIVE: Despite considerable research into associations between the effort
reward imbalance (ERI) model and various health outcomes over the past 20years,
the underlying mechanisms responsible for the association remain unclear.
Recently, ERI investigations have examined associations with immune sub-systems
(e.g., leukocytes, cytokines and immunoglobulins). Synthesis of the amalgamated
research evidence will aid clarity to this field of enquiry. We conducted a meta
analysis and reviewed the associations of ERI and over-commitment (OC) in the
workplace with immunity. METHOD: Electronic databases were searched with the
phrase 'effort reward imbalance' which initially yielded 319 studies leading to
57 full text studies being screened. Seven studies that met inclusion criteria
were combined using mixed and random effects models. RESULTS: Greater ERI was
associated with lower immunity (r=-0.09, CI -0.14, -0.05, p<0.001). Sub-group
analyses revealed the effect with mucosal immunity was stronger (r=-0.33, CI
0.47 to -0.18) than trends between both cytokine (r=-0.04, CI -0.07, -0.01) and
leukocyte sub-groups (r=-0.02 CI -0.04, 0.01) respectively (k=7, N=9952). Over
commitment was also associated with lower immunity (r=-0.05, CI -0.09, 0.01,
p=0.014); subgroup (leukocytes, cytokines, mucosal immunity) associations,
however, were homogenous (Q=1.83, df=2, p=0.400, k=6, N=2358). CONCLUSIONS:
Greater ERI and OC were both associated with lower immunity. The association
between mucosal immunity and ERI was stronger than the cytokine and leukocyte sub
groups. OC moderated the relationship between ERI and immunity.
PMID- 27894457
TI - Reliability and validity of the coping strategy inventory-short form applied to
hemodialysis patients in 13 countries: Results from the Dialysis Outcomes and
Practice Patterns Study (DOPPS).
AB - OBJECTIVES: The Coping Strategies Inventory-Short Form (CSI-SF) measures four
coping strategies based on 16 items: 4 items each indicating problem- vs. emotion
focused engagement or disengagement. Here we provide the first assessment of
reliability and construct validity of the CSI-SF among hemodialysis patients
across 13 countries. METHODS: The CSI-SF was completed by patients in 9 languages
in phase 4 of the Dialysis Outcomes and Practice Patterns Study (2009-11).
Cronbach's alpha was used to assess internal consistency. Exploratory and
confirmatory factor analyses were applied to assess the factor structure of the
CSI-SF by country and language. CSI-SF data were analyzed from 7201 patients (60%
male; median age 62.5 [range 18-96] years). RESULTS: Good internal consistency
(alpha=0.56-0.80) was seen for three scales in English (US, UK, Canada,
Australia, New Zealand), German, and Swedish versions. The fourth scale was
internally consistent if two items were dropped. In these countries, both
exploratory and confirmatory factor analyses indicated a factor structure
consistent with the four CSI-SF scales. Other language versions showed a factor
structure inconsistent with these four scales. CONCLUSION: The slightly modified
English, German, and Swedish versions of the CSI-SF are reliable and valid
instruments for measuring coping strategies in hemodialysis patients.
PMID- 27894458
TI - Personality and global cognitive decline in Japanese community-dwelling elderly
people: A 10-year longitudinal study.
AB - OBJECTIVES: To examine the longitudinal associations between the big five
personality and changes in global cognitive function among community-dwelling
elderly people involved in the National Institute for Longevity Sciences -
Longitudinal Study of Aging. METHODS: The participants were 594 individuals (age
range 60-81years) and followed for 10years and tested six times. Personality was
assessed by the Japanese version of NEO five factor inventory at baseline.
Cognitive function was assessed by the Japanese version of Mini Mental State
Examination (MMSE) at all visits. For participants with a baseline MMSE
score>=28, logistic generalized estimating equation models estimated the odds
ratio (OR) and 95% confidence interval (CI) for MMSE score<=27 at each follow-up
visit, according to a 1-SD increase of the baseline personality score. Post hoc
analyses were performed for mild cognitive deficits, baseline MMSE score>=24 and
<=27, to estimate the OR and CI for MMSE score<=23. RESULTS: The adjusted OR for
MMSE score<=27 was 0.78 (95% CI, 0.69-0.88), with a 1-SD increase in Openness to
Experience score. In post hoc analyses, the adjusted OR for MMSE score<=23 was
0.50 (95% CI, 0.35-0.72) with a 1-SD increase in Conscientiousness score.
Relationships between other personality traits and the decline in MMSE score were
not significant. CONCLUSIONS: Higher Openness to Experience was associated with a
reduction in risk for cognitive decline in community-dwelling older adults.
Higher Conscientiousness might also predict lower risk for severe cognitive
decline, especially for individuals with mild cognitive deficits.
PMID- 27894459
TI - DSM-5 somatic symptom disorder in patients with vertigo and dizziness symptoms.
AB - OBJECTIVE: DSM-5 somatic symptom disorder (SSD) could potentially be a highly
relevant diagnosis for patients with vertigo and dizziness. The criteria of SSD,
particularly the B-criterion with its three components (cognitive, affective,
behavioral), have however not yet been investigated in this patient group.
METHODS: We evaluated a large sample (n=399) of outpatients presenting in a
neurological setting. Physical examinations and a psychometric assessment (SCID
I) were conducted; patients completed self-report questionnaires. The diagnosis
of SSD was assigned retrospectively. The prevalence of SSD, its diagnostic
criteria, and its overlap with former DSM-IV somatoform disorders were evaluated;
comparisons were drawn between (1) patients fulfilling different components of
the B-criterion and (2) patients with diagnoses after DSM-IV vs. DSM-5. RESULTS:
SSD was almost twice as common as DSM-IV somatoform disorders. Patients with all
three components of the B-criterion reported the highest impairment levels.
Patients with both DSM-IV somatoform disorders and DSM-5 SSD were more impaired
compared to groups with one of the diagnoses; patients with DSM-IV somatoform
disorders only were more impaired than those with SSD only. CONCLUSIONS: Our
findings demonstrate that SSD is highly prevalent in patients with vertigo and
dizziness. The classification of severity based on the number of psychological
symptoms appears valid and may assist in finding suitable treatment options
according to clinical practice guidelines. Future studies should investigate the
overlap of SSD and other psychiatric disorders, this may assist in better
defining the diagnostic criteria of SSD.
PMID- 27894460
TI - The mediation effect of health literacy between subjective social status and
depressive symptoms in patients with heart failure.
AB - OBJECTIVES: Depressive symptoms are prevalent and cause adverse outcomes in heart
failure. Previous studies have linked depressive symptoms with socioeconomic
status. However, little is known about the mechanisms underlying this
relationship. This study aimed to evaluate the association between socioeconomic
status and depressive symptoms, and to examine whether access to healthcare,
health literacy and social support mediated this relationship in patients with
heart failure. METHODS: Cross-sectional design was used to study 321 patients
with heart failure recruited from a general hospital. Demographics, clinical
data, depressive symptoms, socioeconomic status (i.e., education, employment,
income, and subjective social status), access to healthcare, health literacy, and
social support were collected by patient interview, medical record review or
questionnaires. A series of logistic regressions and linear regressions were
conducted to examine mediation. RESULTS: The mean age of patients with heart
failure was 63.6+/-10.6years. Fifty-eight patients (18%) had depressive symptoms.
Lower subjective social status (OR=1.321, p=0.012) and lower health literacy
(OR=1.065, p<0.001) were separately associated with depressive symptoms. When
subjective social status and health literacy were entered simultaneously, the
relationship between subjective social status and depressive symptoms became non
significant (OR=1.208, p=0.113), demonstrating mediation. Additionally, lower
social support was associated with depressive symptoms (OR=1.062, p=0.007).
CONCLUSIONS: In patients with heart failure, health literacy mediated the
relationship between subjective social status and depressive symptoms. Lower
social support was associated with depressive symptoms. Interventions should take
these factors into account.
PMID- 27894461
TI - Does Mindfulness-Based Cognitive Therapy benefit all people with diabetes and
comorbid emotional complaints equally? Moderators in the DiaMind trial.
AB - OBJECTIVES: Research has shown the effectiveness of mindfulness-based
interventions for a variety of emotional problems in different samples, but it is
unknown which factors influence this effectiveness. Therefore, the aim of the
current study was: which factors (demographic, personality, and baseline levels
of mindfulness skills) moderate the effectiveness of Mindfulness-Based Cognitive
Therapy (MBCT)? METHODS: Outpatients with diabetes (type 1 or type 2; N=139) and
an elevated level of emotional distress participated in the Diabetes and
Mindfulness (DiaMind) trial. They were randomized into MBCT (N=70) or a control
group (N=69) that received treatment as usual and that was offered the
intervention 6months later. Primary outcomes were anxiety, depressive symptoms,
and perceived stress at post-intervention and at 6-month follow-up. RESULTS:
Mixed models analyses showed that sex, extraversion, and baseline acting with
awareness were significant moderators of effectiveness. In the MBCT group, women
showed larger decreases in anxiety and depression across time (large effects)
compared to men (medium to small effects). For extraversion divided into
quartiles, the three lowest quartiles generally exhibited large decreases in
symptoms, whereas the high extraversion group showed medium (perceived stress) to
small (depression) decreases. CONCLUSION: MBCT seems to be effective to decrease
symptoms of anxiety, depression, and perceived stress for a broad range of person
characteristics in patients with diabetes. However, men and those high in
extraversion showed considerably lower effectiveness compared to the other
groups. The small effect in high extraverts may be due to the large dropout in
this subgroup.
PMID- 27894463
TI - What should we say to patients with unexplained neurological symptoms? How
explanation affects offence.
AB - OBJECTIVES: Unexplained neurological symptoms (UNS) are common presentations in
neurology but there is no consensus as to what they should be called. This is
important, as patient acceptance is a predictor of outcome and there is evidence
that patients are unhappy with the terms used. Patient understanding of these
terms may be limited, however, and, once explained, the terms may seem more or
less offensive. We sought to elicit patients' views of 7 frequently used terms
for UNS, and whether these changed once definitions were provided. METHODS: 185
participants were recruited from a medical outpatients' waiting area. They were
given questionnaires outlining a hypothetical situation of leg weakness, with 7
possible labels. Participants were asked whether they endorsed 4 connotations for
each label and the "number needed to offend" (NNO) calculated, before and after
definitions were given. RESULTS: It was found that "functional" was significantly
less offensive than other terms used (NNO 17, compared with "Conversion Disorder"
NNO 5, p<0.001). Reported understanding of the terms was generally low, however,
and many terms became significantly more offensive once definitions were
provided. Participants' reported understanding had a significant effect, with low
understanding causing terms to be viewed as more offensive after explanation.
CONCLUSION: Much of the 'offence' in UNS lies not in the terminology but in the
meaning those terms carry. This study replicated previous findings that
"functional" was less offensive than other terms, even after explanation, but in
common with most terms this was partly due to patients' limited understanding of
its meaning.
PMID- 27894462
TI - Multiple somatic symptoms in primary care: A field study for ICD-11 PHC, WHO's
revised classification of mental disorders in primary care settings.
AB - OBJECTIVE: A World Health Organization (WHO) field study conducted in five
countries assessed proposals for Bodily Stress Syndrome (BSS) and Health Anxiety
(HA) for the Primary Health Care Version of ICD-11. BSS requires multiple somatic
symptoms not caused by known physical pathology and associated with distress or
dysfunction. HA involves persistent, intrusive fears of having an illness or
intense preoccupation with and misinterpretation of bodily sensations. This study
examined how the proposed descriptions for BSS and HA corresponded to what was
observed by working primary care physicians (PCPs) in participating countries,
and the relationship of BSS and HA to depressive and anxiety disorders and to
disability. METHOD: PCPs referred patients judged to have BSS or HA, who were
then interviewed using a standardized psychiatric interview and a standardized
measure of disability. RESULTS: Of 587 patients with BSS or HA, 70.4% were
identified as having both conditions. Participants had an average of 10.9 somatic
symptoms. Patients who presented somatic symptoms across multiple body systems
were more disabled than patients with symptoms in a single system. Most referred
patients (78.9%) had co-occurring diagnoses of depression, anxiety, or both.
Anxious depression was the most common co-occurring psychological disorder,
associated with the greatest disability. CONCLUSION: Study results indicate the
importance of assessing for mood and anxiety disorders among patients who present
multiple somatic symptoms without identifiable physical pathology. Although
highly co-occurring with each other and with mood and anxiety disorders, BSS and
HA represent distinct constructs that correspond to important presentations in
primary care.
PMID- 27894464
TI - Functional neurological symptoms modulate processing of emotionally salient
stimuli.
AB - OBJECTIVE: Dysfunctional emotion processing has been discussed as a contributing
factor to functional neurological symptoms (FNS) in the context of conversion
disorder, and refers to blunted recognition and the expression of one's own
feelings. However, the emotion processing components characteristic for FNS
and/or relevant for conversion remain to be specified. With this goal, the
present study targeted the initial, automatic discrimination of emotionally
salient stimuli. METHODS: The magnetoencephalogram (MEG) was monitored in 21
patients with functional weakness and/or sensory disturbance subtypes of FNS and
21 healthy comparison participants (HC) while they passively watched 600
emotionally arousing, pleasant, unpleasant or neutral stimuli in a rapid serial
visual presentation (RSVP) design. Neuromagnetic activity was analyzed 110-330ms
following picture onset in source space for prior defined posterior and central
regions of interest. RESULTS: As early as 110ms and across presentation interval,
posterior neural activity modulation by picture category was similar in both
groups, despite smaller initial (110-150ms) overall and posterior power in
patients with FNS. The initial activity modulation by picture category was also
evident in the left sensorimotor area in patients with FNS, but not significant
in HC. CONCLUSIONS: Similar activity modulation by emotional picture category in
patients with FNS and HC suggests that the fast, automatic detection of emotional
salience is unchanged in patients with FNS, but involves an emotion-processing
network spanning posterior and sensorimotor areas.
PMID- 27894465
TI - Is denial a maladaptive coping mechanism which prolongs pre-hospital delay in
patients with ST-segment elevation myocardial infarction?
AB - OBJECTIVE: During an acute myocardial infarction, patients often use denial as a
coping mechanism which may provide positive mood regulating effects but may also
prolong prehospital delay time (PHD). However, empirical evidences are still
sparse. METHODS: This cross-sectional study included 533 ST-elevated myocardial
infarction (STEMI) patients from the Munich Examination of Delay in Patients
Experiencing Acute Myocardial Infarction (MEDEA) study. Data on sociodemographic,
clinical and psycho-behavioral characteristics were collected at bedside. The
outcome was assessed using the Cardiac Denial of Impact Scale (CDIS) with the
median split as cutoff point. A total of 206 (41.8%) STEMI patients were thus
classified as deniers. RESULTS: Deniers were less likely to suffer from major
depression (p=0.04), anxiety (p=0.01) and suboptimal well-being (p=0.01) compared
to non-deniers during the last six months prior to STEMI. During STEMI, they were
less likely to perceive severe pain strength (p=0.04) and racing heart (p=0.02).
Male deniers were also less likely to perceive shortness of breath (p=0.03) and
vomiting (p=0.01). Denial was not associated with overall delay time. However, in
the time window of 3 to 24h, denial accounted for roughly 40min extra delay (356
vs. 316.5min p=0.02 n=196). CONCLUSIONS: Denial not only contributes to less
suffering from acute heart related symptoms and negative affectivity but also
leads to a clinically significant delay in the prevalent group.
PMID- 27894466
TI - Relationships between adult emotional states and indicators of health care
utilization: Findings from the National Health Interview Survey 2006-2014.
AB - OBJECTIVE: Adults with serious psychological distress have a high likelihood of
mental health problems severe enough to cause serious impairment in social and
occupational functioning requiring treatment. These adults visit doctors
frequently yet have poor health compared to adults without serious psychological
distress. This study examined associations between emotional states of serious
psychological distress in relationship to healthcare utilization indicators. A
guiding hypothesis was that somatization underlying emotional states contributes
to excessive healthcare seeking among adults with serious psychological distress.
METHODS: Using 2006-2014 National Health Interview Survey, in adults with serious
psychological distress (n=9271), the six states: unable to make efforts,
nervousness, hopelessness, sadness, worthlessness and restlessness were assessed
in multivariate models in relation to four healthcare utilization indicators:
change in the usual place of healthcare, change due to insurance, having seen a
healthcare provider in the last 6months and having 10 or more doctor visits in
the last 12months. Models were adjusted for sociodemographic variables, having
seen a mental health provider, and health conditions. RESULTS: Adults feeling
unable to make efforts were more likely to seek healthcare in the last 6months
and at least ten times in the last twelve months. Adults feeling hopeless were
less likely to be heavy healthcare utilizers. CONCLUSIONS: Predisposing medical
conditions do not fully explain healthcare utilization in adults with serious
psychological distress. Educating healthcare providers about the emotional states
motivating healthcare seeking, and integrating mental healthcare into primary
care, may improve the health of adults with serious psychological distress.
PMID- 27894467
TI - Association between hair cortisol concentration and perceived stress in female
methamphetamine addicts.
AB - OBJECTIVE: The present study aims to explore whether hair cortisol concentration
is associated with explicit stress or implicit stress in female methamphetamine
addicts. METHODS: Hair samples were collected from 51 female methamphetamine
addicts from inpatient addiction treatment programs. Perceived stress was
assessed by both explicit and implicit measures through the Perceived Stress
Scale (PSS) and the Implicit Association Test (IAT), respectively. RESULTS: The
positive relationship between hair cortisol concentration with D-scores of the
IAT reached statistically significant difference. A marginal correlation between
hair cortisol concentration and scores of the PSS was observed. Additionally,
linear regression analysis indicated that D-scores of the IAT are strongly
predictive of hair cortisol concentration. CONCLUSION: Hair cortisol
concentration is strongly related to implicit stress but only weakly related with
explicit stress.
PMID- 27894468
TI - Exploring an association between hostility and serum concentrations of TNF-alpha
and its soluble receptors.
PMID- 27894469
TI - The association of perseverative negative thinking with depression, anxiety and
emotional distress in people with long term conditions: A systematic review.
AB - OBJECTIVE: Depression is common in people with long term conditions, and is
associated with worse medical outcomes. Previous research shows perseverative
negative thinking (e.g. worry, rumination) predicts subsequent depression and
worse medical outcomes, suggesting interventions targeting perseverative negative
thinking could improve depression and medical outcomes. Previous studies
recruited healthy individuals, however. This review aimed to determine the
temporal relationship and strength of prospective association of perseverative
negative thinking with depression, anxiety and emotional distress in people with
long term conditions. METHOD: Four electronic databases were searched for studies
including standardised measures of perseverative negative thinking and
depression, anxiety or emotional distress, and which presented prospective
associations. Findings were narratively synthesized. RESULTS: Thirty studies were
identified in a range of long term conditions. Perseverative negative thinking
and subsequent depression, anxiety or emotional distress were significantly
correlated in the majority of studies (bivariate r=0.23 to r=0.73). 25 studies
controlled for confounders, and in 15 perseverative negative thinking predicted
subsequent depression, anxiety or emotional distress. Results varied according to
condition and study quality. Six of 7 studies found bivariate associations
between depression, anxiety or emotional distress and subsequent perseverative
negative thinking, though 2 studies controlling for key covariates found no
association. Few studies assessed the impact of perseverative negative thinking
on medical outcomes. CONCLUSION: Strongest evidence supported perseverative
negative thinking predicting subsequent depression, anxiety and emotional
distress in people with long term conditions. Further prospective research is
warranted to clarify the association of perseverative negative thinking with
subsequent poor medical outcomes.
PMID- 27894471
TI - ?
PMID- 27894470
TI - Effectiveness of a placebo intervention on visually induced nausea in women - A
randomized controlled pilot study.
AB - OBJECTIVE: Improvement of nausea by placebo interventions has recently been
demonstrated in clinical trials and experimental settings. However, many
questions regarding placebo effects on nausea remain unanswered. For example,
nausea reduction in women could only be achieved when the placebo intervention
was "enhanced" by conditioning, while men responded primarily to verbally
suggested improvement. It is unclear whether these findings are generalizable or
were due to situational variables. In this pilot study, we investigated the
effects of sham acupuncture point stimulation and verbal suggestions on visually
induced nausea in a female population. METHODS: In a within-subjects design, 21
healthy female volunteers underwent both a placebo condition and a natural
history condition (control condition) in a randomized order on two separate days.
On both days, nausea was induced through optokinetic stimulation. On the placebo
day, participants received sham acupuncture point stimulation together with
positive verbal suggestions of nausea improvement. Expected and perceived nausea
severity as well as symptoms of motion sickness were repeatedly assessed.
RESULTS: Twenty participants completed both testing days. Participants developed
significantly less nausea on the placebo day compared to the control day
(p<0.001), and the effect size of placebo-induced nausea reduction was large
(partial eta2=0.71). Symptoms of motion sickness were also reduced (p=0.003).
Expectation of nausea decreased following the placebo intervention as compared to
no treatment (p=0.030), indicating successful expectancy manipulation.
CONCLUSION: Sham acupuncture point stimulation combined with verbal suggestions
induced a significant placebo effect on visually-induced nausea in women.
PMID- 27894472
TI - [Long-term intravenous devices, continuity of care from the specialist doctor to
the nurse].
AB - Long-term intravenous devices have become an essential tool in the treatment of
patients requiring the administration of medication over more than one month. The
choice of device must be established through consultation between the nursing
team and the patient. Several types of complications can be observed immediately
after the insertion of the device and during treatment. Protocols in the
community and at hospital are therefore necessary in order that doctors, nurses,
specialists and experts work together. Clinical and translational research should
enable the incidence of certain complications, notably infections and thrombosis,
to be further reduced.
PMID- 27894473
TI - ?
PMID- 27894474
TI - [Telemedicine in current nursing practices].
AB - The first priority telemedicine programme focused, from 2011, on five areas, with
the aim of guaranteeing equal access to care to everybody across a healthcare
region. Experiments were financed by public authorities. These practices are now
integrated into the organisation of healthcare.
PMID- 27894475
TI - [Telemedicine in France, from the concept to practice].
AB - The implementation of telemedicine has drawn on numerous innovative initiatives
and has required a legal framework to be established. Today, the various uses of
this valuable 'connected tool' can be appropriated in order to improve access to
care. It also improves cooperation between healthcare professionals scattered
across the health region.
PMID- 27894476
TI - [Telemedicine and new forms of cooperation between doctors and caregivers].
AB - The development of telemedicine is accompanied by new forms of coordination and
cooperation between doctors and paramedical caregivers. If we consider the work
in terms of the way it is actually carried out in practice, we have a better
understanding of the efforts made by practitioners to adapt, as well as the
challenges associated with the integration of telemedicine systems. These effect
the notions and the execution of 'good work'.
PMID- 27894478
TI - [The use of telemedicine in dialysis and new professional practices].
AB - Since 2006, a remote patient monitoring scheme using digital pens has enabled
patients with chronic kidney disease to be monitored remotely in their own home.
The implementation of this project was accompanied by a technical and economic
study. Today, this scheme has evolved to integrate therapeutic patient education
programmes and their evaluation.
PMID- 27894477
TI - [Telemedicine, cementing the nursing team].
AB - Communication technologies have an impact on our healthcare system. We care for
human beings in an environment which is now digital and which influences their
experience, their treatment and their perception of their disease. Telemedicine
will become a key tool for all caregivers. It has no bearing on nurses' skills,
but changes the way care is organised, for the benefit of patients as well as
healthcare professionals.
PMID- 27894479
TI - [The benefit of telemedicine for enabling elderly or disabled people to access
care].
AB - In 2013, a convalescence and care home carried out a telemedicine experiment in
order to favour access to care for elderly and/or disabled people. In this
context, in accordance with regulations, the team carried out an assessment of
the project by studying the added value of this tool, its limits, as well as the
initial effects on the residents and the practices of the healthcare
professionals.
PMID- 27894480
TI - [Cardiauvergne is a remote monitoring and care coordination service for patients
with severe heart failure].
AB - Since 2011, thanks to the cooperation of frontline healthcare professionals, it
has provided care to more than 1 200 patients across the Auvergne health region.
The organisation, blending telemedicine and human contact, has made this
initiative a successful example of how the boundaries between community and
hospital healthcare can be removed.
PMID- 27894481
TI - [Telemedicine in hospital at home care].
AB - A telemedicine project in a rehabilitation centre has been developed in the
framework of hospital at home care, for patients discharged early after surgery.
This project is the subject of a medico-economic study in cooperation with the
Regional Healthcare Agency in order to assess its impact. The results are
promising and herald major changes in the care pathway of patients cared for in
the home, as digital technologies continue to develop.
PMID- 27894482
TI - [The remote monitoring of chronic wounds treated in the home].
AB - The Franche-Comte Regional Health Authority, in collaboration with the chronic
wound outpatient centre of Besancon university hospital and twelve volunteer
sites, has developed a remote patient monitoring programme for monitoring chronic
wounds in the home. It has been used by private practice nurses since 2009.
PMID- 27894483
TI - [Telepsychiatry and cooperation between professionnals in a mobile team].
AB - Telepsychiatry in a mobile team uses advanced technology for the benefit of
healthcare. It requires a high level of cooperation between the different
players. In a nursing home, ilt provides patients with access to psyhiatric care
despite the distance or the difficulties involved in travelling to an
appointment.
PMID- 27894484
TI - [Nursing care in telemedicine and telehealth across the world].
AB - Developments in cyberhealth are now accessible to all patients and healthcare
professionals. These tools enable expert advice to be provided remotely and
ensure a continuity of care for all those who need it. Telecare is developing
across the world, as are networks of nurses who practise it and researchers who
analyse the results.
PMID- 27894485
TI - ?
PMID- 27894487
TI - 2016 ESC Guidelines for the Diagnosis and Treatment of Acute and Chronic Heart
Failure.
PMID- 27894491
TI - Coagulopathy of Trauma.
AB - Coagulopathy is common after injury and develops independently from iatrogenic,
hypothermic, and dilutional causes. Despite considerable research on the topic
over the past decade, trauma-induced coagulopathy (TIC) continues to portend poor
outcomes, including decreased survival. We review the current evidence regarding
the diagnosis and mechanisms underlying trauma induced coagulopathy and summarize
the debates regarding optimal management strategy including product
resuscitation, potential pharmacologic adjuncts, and targeted approaches to
hemostasis. Throughout, we will identify areas of continued investigation and
controversy in the understanding and management of TIC.
PMID- 27894486
TI - Comments on the 2016 ESC Guidelines for the Diagnosis and Treatment of Acute and
Chronic Heart Failure.
PMID- 27894490
TI - Traumatic Brain Injury Advances.
AB - There have been many recent advances in the management of traumatic brain injury
(TBI). Research regarding established and novel therapies is ongoing. Future
research must not only focus on development of new strategies but determine the
long-term benefits or disadvantages of current strategies. In addition, the
impact of these advances on varying severities of brain injury must not be
ignored. It is hoped that future research strategies in TBI will prioritize large
scale trials using common data elements to develop large registries and
databases, and leverage international collaborations.
PMID- 27894493
TI - Optimal Reversal of Novel Anticoagulants in Trauma.
AB - The incidence of patients with trauma on novel oral anticoagulants (NOACs) for
the treatment of thromboembolic disorders is increasing. In severe bleeding or
hemorrhage into critical spaces, urgent reversal of this underlying pharmacologic
coagulopathy becomes paramount. Optimal reversal strategy for commonly used NOACs
is still evolving. Basic tenets of evaluation of patients with trauma and
resuscitation remain the same. Clinical outcomes data in bleeding human patients
with trauma are lacking, but are needed to establish efficacy and safety in these
treatments. This article summarizes the available evidence and provides the
optimal reversal strategy for bleeding patients with trauma on NOACs.
PMID- 27894492
TI - Management of Trauma-Induced Coagulopathy with Thrombelastography.
AB - Viscoelastic assays, such as thrombelastography (TEG) and rotational
thrombelastometry (ROTEM), have emerged as point-of-care tools that can guide the
hemostatic resuscitation of bleeding injured patients. This article describes the
role of TEG in contemporary trauma care by explaining this assay's methodology,
clinical applications, and result interpretation through description of
supporting studies to provide the reader with an evidence-based user's guide.
Although TEG and ROTEM are assays based on the same viscoelastic principle, this
article is focused on data supporting the use of TEG in trauma, because it is
available in trauma centers in North America; ROTEM is mostly available in
Europe.
PMID- 27894495
TI - Rib Fracture Fixation: Indications and Outcomes.
AB - Rib fractures are a frequently identified injury in the trauma population. Not
only are multiple rib fractures painful, but they are associated with an
increased risk of adverse outcomes. Pneumonia in particular can be devastating,
especially to an elderly patient, but other complications such as prolonged
ventilation and increased intensive care and hospital durations of stay have a
negative impact on the patient. Computed tomography scan is the best modality to
diagnosis rib fractures but the treatment of fractures is still evolving.
Currently patient care involves a multidisciplinary approach that includes pain
control, aggressive pulmonary therapy, and possibly surgical fixation.
PMID- 27894497
TI - Trauma Quality Improvement.
AB - Trauma centers and a third-party payer within Michigan built a regional
collaborative quality initiative. Hallmarks of the collaborative are standardized
data collection, annual data validation visits, face-to-face collaborative
meetings, and dedication to performance improvement. The Michigan Trauma Quality
Improvement Program has shown measurable improvement in patient outcomes,
resource use, and compliance with processes of care.
PMID- 27894498
TI - Noncompressible Torso Hemorrhage.
AB - Noncompressible torso hemorrhage (NCTH) constitutes a leading cause of
potentially preventable trauma mortality. NCTH is defined by high-grade injury
present in one or more of the following anatomic domains: pulmonary, solid
abdominal organ, major vascular or pelvic trauma; plus hemodynamic instability or
the need for immediate hemorrhage control. Rapid operative management, as part of
a damage control resuscitation strategy, remains the mainstay of treatment.
However, endovascular techniques are evolving and may become more mainstream with
the advent of hybrid rooms that can deliver concurrent open and
radiologic/endovascular management of traumatic hemorrhage.
PMID- 27894499
TI - Resuscitative Endovascular Balloon Occlusion of the Aorta: Indications, Outcomes,
and Training.
AB - Exsanguinating torso hemorrhage is a leading killer of trauma patients. The most
appropriate means of hemorrhage control must be used. Trauma surgeons should have
expertise with all approaches for prompt hemorrhage control [laparotomy,
thoracotomy, resuscitative endovascular balloon occlusion of the aorta (REBOA),
and resuscitative thoracotomy]. REBOA is an exciting adjunct for hemorrhage
control as it can be deployed quickly and placed percutaneously. Balloon
inflation can vary dependent on patient physiology. REBOA is effective in
hemorrhagic shock as a bridge to definitive hemostasis. Endovascular training is
important for trauma surgeons caring for patients at high risk of death from
traumatic hemorrhage.
PMID- 27894494
TI - Optimal Fluid Therapy for Traumatic Hemorrhagic Shock.
AB - The resuscitation of traumatic hemorrhagic shock has undergone a paradigm shift
in the last 20 years with the advent of damage control resuscitation (DCR). Major
principles of DCR include minimization of crystalloid, permissive hypotension,
transfusion of a balanced ratio of blood products, and goal-directed correction
of coagulopathy. In particular, plasma has replaced crystalloid as the primary
means for volume expansion for traumatic hemorrhagic shock. Predicting which
patient will require DCR by prompt and accurate activation of a massive
transfusion protocol, however, remains a challenge.
PMID- 27894500
TI - Prediction of Massive Transfusion in Trauma.
AB - Hemorrhage is the leading cause of preventable death in trauma. Damage control
resuscitation relies on permissive hypotension, minimizing crystalloid use, and
early implementation of massive transfusion protocols with established blood
component ratios. These protocols improve the survival of the severely injured
patient. Trauma physicians must quickly and accurately predict when a massive
transfusion protocol should be activated. Several validated transfusion scores
have been developed for this purpose. Many of these scores are useful for
resuscitation research. One option, the ABC score, is an accurate, validated, and
clinically useful score that is simple to calculate and rapidly obtained.
PMID- 27894496
TI - Postinjury Inflammation and Organ Dysfunction.
AB - The development of organ dysfunction (OD) is related to the intensity and balance
between trauma-induced simultaneous, opposite inflammatory responses. Early
proinflammation via innate immune system activation may cause early OD, whereas
antiinflammation, via inhibition of the adaptive immune system and apoptosis, may
induce immunoparalysis, impaired healing, infections, and late OD. Patients
discharged with low-level OD may develop the persistent inflammation
immunosuppression catabolism syndrome. Although the incidence of multiple organ
failure has decreased over time, it remains morbid, lethal, and resource
intensive. However, single OD, especially acute lung injury, remains frequent.
Treatment is limited, and prevention remains the mainstay strategy.
PMID- 27894501
TI - Tranexamic Acid Update in Trauma.
AB - Following results from the CRASH-2 trial, tranexamic acid (TXA) gained
considerable interest for the treatment of hemorrhage in trauma patients.
Although TXA is effective at reducing mortality in patients presenting within 3
hours of injury, optimal dosing, timing of administration, mechanism, and
pharmacokinetics require further elucidation. The concept of fibrinolysis
shutdown in hemorrhagic trauma patients has prompted discussion of real-time
viscoelastic testing and its potential role for appropriate patient selection.
The results of ongoing clinical trials will help establish high-quality evidence
for optimal incorporation of TXA in mature trauma networks in the United States
and abroad.
PMID- 27894503
TI - Editorial.
PMID- 27894502
TI - Advances in Trauma-2016: Goal Zero Preventable Deaths After Injury.
PMID- 27894504
TI - [Contribution and challenges of Big Data in oncology].
AB - Since the first draft of the human genome sequence published in 2001, the cost of
sequencing has dramatically decreased. The development of new technologies such
as next generation sequencing led to a comprehensive characterization of a large
number of tumors of various types as well as to significant advances in precision
medicine. Despite the valuable information this technological revolution has
allowed to produce, the vast amount of data generated resulted in the emergence
of new challenges for the biomedical community, such as data storage, processing
and mining. Here, we describe the contribution and challenges of Big Data in
oncology.
PMID- 27894505
TI - [Prostate cancer: To treat or not to treat?]
PMID- 27894506
TI - A non-surgical treatment for inferior vena cava pseudoaneurysm post-hepatectomy.
PMID- 27894509
TI - Reply.
PMID- 27894508
TI - Intrapancreatic accessory spleen (IPAS): A single-institution experience and
review of the literature.
AB - INTRODUCTION: Accessory spleens located within the pancreatic parenchyma
(intrapancreatic accessory spleen, IPAS) pose a unique clinical challenge. In
many cases, despite imaging and other diagnostic studies, malignancy cannot be
excluded and patients are subjected to pancreatic resection. We review our
experience with the presentation, diagnosis, and treatment of patients with IPAS
to provide insight into improving pre-operative evaluation of these patients
METHODS: A retrospective chart review identified seven patients who underwent
surgical resection of an intrapancreatic spleen at University of Louisville
Hospital between 2004 and 2015. Charts were analyzed for presenting symptoms, pre
operative imaging, operative therapy, and final pathologic evaluation. Patients
were included in the study if they underwent pancreatic resection for a
pancreatic mass and were diagnosed with an IPAS on final pathologic evaluation.
RESULTS: Patient age ranged from 38 to 72 with a median age of 62.5, including
five males and two females. Lesions ranged from 1.4 to 7.4 cm in maximal diameter
(mean 3.8 cm). All lesions were identified as round, hypervascular, well
circumscribed masses in the pancreatic tail. The most common pre-operative
diagnosis was a non-functioning pancreatic neuroendocrine tumor (NF-PNET). The
most common operative approach was laparoscopic distal pancreatectomy and
splenectomy. CONCLUSION: IPAS are benign tumors commonly mistaken for pancreatic
neoplasms such as NF-PNET. A combination of CT, MRI and nuclear medicine
examinations can confirm the diagnosis of IPAS and prevent unnecessary surgical
resection.
PMID- 27894507
TI - Do simple beside lung function tests predict morbidity after rib fractures?
AB - BACKGROUND: We evaluated if incentive spirometry volume (ISV) and peak expiratory
flow rate (PEFR) could predict acute respiratory failure (ARF) in patients with
rib fractures. METHODS: Normotensive, co-operative patients were enrolled
prospectively. ISV and PEFR were measured on admission, at 24 h and at 48 h by
taking the best of three readings each time. The primary outcome, ARF, was
defined as requiring invasive or noninvasive positive pressure ventilation.
RESULTS: 99 patients were enrolled (median age, 77 years). ARF occurred in 9%. Of
the lung function tests, only a low median ISV at admission was associated with
ARF (500 ml vs 1250 ml, p = 0.04). Three of 69 patients with ISV of >=1000 ml
versus six of 30 with ISV <1000 ml developed ARF (p = 0.01). Other significant
factors were: number of rib fractures, tube thoracostomy, any lower-third rib
fracture, flail segment. CONCLUSION: PEFR did not predict ARF. Admission ISV may
have value in predicting ARF.
PMID- 27894510
TI - Real-World Outcomes of Ranibizumab Treatment for Diabetic Macular Edema in a
United Kingdom National Health Service Setting.
PMID- 27894511
TI - Increased Choroidal Vascularity in Central Serous Chorioretinopathy Quantified
Using Swept-Source Optical Coherence Tomography.
PMID- 27894513
TI - Complement in the fundamental processes of the cell.
AB - Once regarded solely as an activator of innate immunity, it is now clear that the
complement system acts in an assortment of cells and tissues, with immunity only
one facet of a diverse array of functions under the influence of the complement
proteins. Throughout development, complement activity has now been demonstrated
from early sperm-egg interactions in fertilisation, to regulation of epiboly and
organogenesis, and later in refinement of cerebral synapses. Complement has also
been shown to regulate homeostasis of adult tissues, controlling cell processes
such as migration, survival, repair, and regeneration. Given the continuing
emergence of such novel actions of complement, the existing research likely
represents only a fraction of the myriad of functions of this complex family of
proteins. This review is focussed on outlining the current knowledge of
complement family members in the regulation of cell processes in non-immune
systems. It is hoped this will spur research directed towards revealing more
about the role of complement in these fundamental cell processes.
PMID- 27894512
TI - Probabilistic approach for assessing infants' health risks due to ingestion of
nanoscale silver released from consumer products.
AB - Silver nanoparticles (n-Ag) are widely used in consumer products and many medical
applications because of their unique antibacterial properties. Their use is
raising concern about potential human exposures and health effects. Therefore, it
is informative to assess the potential human health risks of n-Ag in order to
ensure that nanotechnology-based consumer products are deployed in a safe and
sustainable way. Even though toxicity studies clearly show the potential hazard
of n-Ag, there have been few attempts to integrate hazard and exposure
assessments to evaluate risks. The underlying reason for this is the difficulty
in characterizing exposure and the lack of toxicity studies essential for human
health risk assessment (HHRA). Such data gaps introduce significant uncertainty
into the risk assessment process. This study uses probabilistic methods to assess
the relative uncertainty and potential risks of n-Ag exposure to infants. In this
paper, we estimate the risks for infants potentially exposed to n-Ag through
drinking juice or milk from sippy cups or licking baby blankets containing n-Ag.
We explicitly evaluate uncertainty and variability contained in available dose
response and exposure data in order to make the risk characterization process
transparent. Our results showed that individual margin of exposures for oral
exposure to sippy cups and baby blankets containing n-Ag exhibited minimal risk.
PMID- 27894514
TI - Corrigendum to "Recurrence of suicide attempt in adolescents lost to contact
early by clinicians: The 10-year REPEATERS cohort of French adolescents" [Journal
of Adolescence 43 (2015) 111-118].
PMID- 27894515
TI - Glycine buffered synthesis of layered iron(II)-iron(III) hydroxides (green
rusts).
AB - Layered FeII-FeIII hydroxides (green rusts, GRs) are efficient reducing agents
against oxidizing contaminants such as chromate, nitrate, selenite, and
nitroaromatic compounds and chlorinated solvents. In this study, we adopted a
buffered precipitation approach where glycine (GLY) was used in the synthesis of
sulfate-interlayered GR (GRSO4) by aerial oxidation of FeII or co-precipitation
by adding FeIII salt to an aqueous solution of FeII at constant pH. In both the
oxidation and the co-precipitation methods pure crystalline GRSO4 was
precipitated in the presence of 70mM GLY (pH 8.0), whereas in the absence of GLY,
synthesis failed under similar conditions. Gycine functions as both a pH buffer
and a ligand; FeII-GLY complexes serve as a source of base (FeII-GLY+H2O->FeII+H
GLY+OH-) during GR formation, supplying about 45% of the total base required for
the synthesis. The GLY buffer decreases pH fluctuations during base addition and
hence allows for fast GRSO4 precipitation, minimizing byproduct formation. The
use of other pH buffers [4-(2-hydroxyethyl)piperazine-1-ethanesulfonic acid and 2
amino-2-(hydroxymethyl)-1,3-propanediol] was also tested but failed. Mossbauer
spectroscopy, X-ray diffraction, Fourier transform infrared, transmission
electron microscopy, and FeII measurements confirmed the purity, stoichiometry,
and pyroaurite-type structure of the obtained GRSO4. The formula of GRSO4 was
found to be FeII4.08FeIII1.98(OH)11.6(SO4)1.00, and the tabular GR crystals had a
lateral size of 100-500nm and a thickness of about 40nm. Upscaling of the
synthesis by either 25 times in volume or 20 times in FeII concentration resulted
in pure GRSO4 products. Compared with the conventional unbuffered GRSO4 synthesis
method, the present method can provide pure products with a controllable, fast,
and low-cost process.
PMID- 27894516
TI - [Gallibacterium anatis pulmonary abscess].
PMID- 27894517
TI - Bilateral choroidal effusion after selective laser trabeculoplasty.
AB - Selective laser trabeculoplasty (SLT) is an effective treatment to treat open
angle glaucoma with a low risk of complications. The case is presented of a 73
year-old woman with uncontrolled primary open-angle glaucoma who underwent
selective laser trabeculoplasty in both eyes and developed bilateral choroidal
effusion.
PMID- 27894518
TI - Radiation maculopathy treated with intravitreal bevacizumab.
AB - CASE REPORT: A 47 year-old woman with a choroidal melanoma developed a macular
oedema secondary to radiation therapy 75 months after brachytherapy plaque. The
patient received 3 intravitreal Bevacizumab injections. DISCUSSION: The patient
had a good response to bevacizumab treatment. In fact, there was a reduction in
the macular oedema measured by optical coherence tomography (OCT) scan, as well
as an improvement in best corrected visual acuity. There was no recurrence of
macular oedema, and visual acuity remained stable after 3-years follow-up.
PMID- 27894519
TI - Proptosis as initial manifestation of Burkitt's lymphoma with orbital
involvement.
AB - CASE PRESENTATION: A 35-year-old woman without any known systemic disorder
presented with a complaint of painful and rapidly increasing proptosis in her
right eye. Based on the clinical, radiological, analytical and ophthalmological
assessments, the diagnosis made was Burkitt's lymphoma in acquired
immunodeficiency syndrome. CONCLUSION: Proptosis can be an unusual way of
presenting with Burkitt's lymphoma associated with acquired immunodeficiency.
This differential diagnosis is important because confirmation leads to a change
in the vital prognosis of the patient.
PMID- 27894520
TI - Corrigendum to "All-ceramic or metal-ceramic tooth-supported fixed dental
prostheses (FDPs)? A systematic review of the survival and complication rates.
Part II: Multiple-unit FDPs" [Dental Materials 31 (6) (2015) 624-639].
PMID- 27894521
TI - Effect of 3 cements on white spot lesion formation after full-coverage rapid
maxillary expander: A comparative in-vivo study.
AB - INTRODUCTION: The aim of this study was to assess the effects of 3 luting agents
(glass ionomer cement, compomer, and polycarboxylate cement) on white spot lesion
formation in patients with full-coverage bonded acrylic splint expanders. White
spot lesion formation was assessed with quantitative light-induced fluorescence.
METHODS: Full-coverage rapid maxillary expanders were cemented with glass ionomer
cement, compomer, and polycarboxylate cement in groups 1, 2, and 3, respectively.
A control group comprised patients who never had orthodontic treatment.
Quantitative light-induced fluorescence images taken before and after rapid
maxillary expansion treatment were analyzed for these parameters: the percentages
of fluorescence loss with respect to the fluorescence of sound tooth tissue
(DeltaF) and maximum loss of fluorescence intensity in the whole lesion; lesion
area with DeltaF equal to less than a -5% threshold; and the percentage of
fluorescence loss with respect to the fluorescence of sound tissue times the area
that indicated lesion volume. RESULTS: All 3 groups showed statistically
significantly greater demineralization than the control group. The 3 experimental
groups differed from each other in half of the parameters calculated. Teeth in
the polycarboxylate group developed the most white spot lesions. CONCLUSIONS:
With the highest rate of white spot lesion formation, polycarboxylate cements
should not be used for full-coverage bonded acrylic splint expanders. Compomers
may be preferred over glass ionomer cements, based on the findings of this study.
PMID- 27894522
TI - Nickel-free vs conventional braces for patients allergic to nickel: Gingival and
blood parameters during and after treatment.
AB - INTRODUCTION: Allergic and inflammatory reactions have commonly been associated
with the release of metal ions during orthodontic treatment. Our objective was to
evaluate prospectively gingival and blood status in patients allergic to nickel.
METHODS: Allergy to nickel was diagnosed using a patch test. Two groups were
established: conventional braces (n = 21) and nickel-free braces (n = 21). The
gingival index was used to determine gingival status before treatment,
periodically for 12 months (evaluations every 3 months), and 1 month after the
removal of the braces. Blood status was evaluated with a complete blood count,
including the quantification of nickel and immunoglobin E before treatment,
during treatment, and 1 month after removal of the braces. The data were analyzed
using Mann-Whitney, Student t, Wilcoxon, repeated measures analysis of variance,
Friedman, and chi-square tests. Either the Pearson or the Spearman correlation
coefficients were calculated, when appropriate. RESULTS: The number of basophils
increased significantly among the evaluations in both groups (conventional, P =
0.002; nickel-free, P = 0.001), whereas the number of eosinophils and the
immunoglobin E levels decreased significantly in the conventional group (P =
0.004). Plasma nickel levels were increased before and during treatment, and
decreased 1 month after removing the braces in both groups, but the differences
were significant only in the nickel-free group (P = 0.002). No correlations were
found between the concentrations of nickel and immunoglobin E, basophils, or
eosinophils, or between the gingival index and either bands or segmented
neutrophils (P >= 0.05). CONCLUSIONS: Patients treated with nickel-free braces
had better gingival health and smaller blood changes than did those treated with
conventional braces. All abnormalities tended to be eliminated after the removal
of the braces.
PMID- 27894523
TI - Serum and urine insulin-like growth factor-1 as biochemical growth maturity
indicators.
AB - INTRODUCTION: Biochemical markers are agents directly involved in bone growth and
remodeling and can be quantitatively evaluated from various biologic fluids. The
aim of this study was to assess the changes in the levels of insulin-like growth
factor-1 (IGF-1) in serum and urine as a growth maturity indicator and to compare
them with the cervical vertebral maturation radiographic stages. METHODS: The
study was conducted with 72 female subjects aged 8 to 20 years. Cervical
vertebral maturation stages, and serum and urine IGF-1 levels were recorded for
all subjects, and the subjects were equally divided into the 6 cervical vertebral
maturation groups. Median values of IGF-1 for each stage of cervical vertebral
maturation were calculated and statistically compared with those of the other
stages. RESULTS: The levels of serum and urine IGF-1 at stage 4 of cervical
vertebral maturation were significantly higher than those from the other stages
(P <0.01). Stage 4 corresponded to a mean age of 13.67 years. A significant
correlation was observed between serum and urine IGF-1 (P <0.001). CONCLUSIONS:
Urine IGF-1 follows the growth curve similar to serum IGF-1. Thus, urine IGF-1
may be regarded as a promising noninvasive tool for growth assessment. Further
research is necessary to validate these results in a different population and
with a larger sample.
PMID- 27894524
TI - Defining the location of the dental midline is critical for oral esthetics in
camouflage orthodontic treatment of facial asymmetry.
AB - When considering camouflage orthodontic treatment of a malocclusion associated
with significant facial asymmetry, it is important to define the location of the
dental midline. The patient, a 19-year-old Japanese woman, had an anterior open
bite and a dental midline discrepancy associated with facial asymmetry. A
nonsurgical treatment plan was considered. The main treatment objective was to
correct the anterior open bite and the dental midlines in both arches. The dental
midline discrepancy was eliminated, and proper overjet and overbite were
achieved. Although the facial asymmetry remained, oral esthetics dramatically
improved and a favorable occlusion was obtained. The results suggest that
appropriately defining the location of the dental midline is critical for
successful camouflage treatment of facial asymmetry.
PMID- 27894525
TI - Canine-lateral incisor transposition: Controlling root resorption with a bone
anchored T-loop retraction.
AB - A 12-year-old girl presented with a Class II Division 1 malocclusion, complicated
by a complete transposition of the maxillary left canine into the position
normally occupied by the left lateral incisor. Dental and medical histories were
noncontributory. Brackets were bonded on all maxillary teeth, from first molar to
first molar, except for the left lateral incisor. Because the lateral incisor was
not engaged on the archwire, the tooth was free to physiologically move out of
the path of canine root movement. To prepare the site for canine retraction, a
coil spring was used to open space between the left central incisor and the first
premolar. A 2 * 12-mm stainless steel miniscrew was placed in the infrazygomatic
crest, labial to the mesiodistal cusp of the maxillary left first molar. A 0.019
* 0.025-in titanium-molybdenum alloy T-loop, anchored by the miniscrew, was used
to retract the canine root over the labial surface of the root of the distally
positioned lateral incisor. In 24 months, this difficult malocclusion, with a
Discrepancy Index score of 18, was treated to a Cast-Radiograph Evaluation score
of 26.
PMID- 27894526
TI - Simplifying optimal tooth-size calculations and communications between
practitioners.
AB - The purpose of this article is to present a simple method for determining the
optimal sizes of anterior teeth. This is needed because of the frequency of
anomalous and missing maxillary lateral incisors. In addition to anomalous and
missing incisors, other factors that create challenges for the dentist and
orthodontist when designing an esthetic and occlusally sound dentition are
attrition, trauma, transposition, erosion, and caries. Optimal esthetics and
occlusion require correctly sized teeth in proportion to themselves and the other
teeth. Orthodontics, in partnership with restorative dentistry, allows doctors to
accomplish the objectives of ideal occlusion and enhanced esthetics. Data
compiled from previously published research enabled us to create simple formulae
to determine optimal tooth sizes, an esthetic guide worksheet to use with
collaborating dentists, and a sample written communication to accompany the
completed esthetic guide worksheet. The method for establishing optimal tooth
sizes is presented in a manner that allows easy memorization of the formulae and
determination of the best dimensions for teeth without the use of a calculator.
PMID- 27894528
TI - Stepstools and ladders.
PMID- 27894527
TI - Accuracy and mechanical properties of orthodontic models printed 3-dimensionally
from calcium sulfate before and after various postprinting treatments.
AB - INTRODUCTION: Dental models fabricated with 3-dimensional printing technologies
are revolutionizing the practice of orthodontics, but they generally comprise
polymeric materials that may not be suitable for certain applications, such as
soldering appliances. The objective of this study was to investigate the
dimensional accuracy and mechanical properties of 3-dimensional printed ceramic
based models before and after various treatments intended to improve their
mechanical properties. METHODS: Thirty identical models were printed 3
dimensionally from a calcium sulfate-based substrate and divided into 3 groups
for treatment: high heat (250 degrees C for 30 minutes), low heat (150 degrees C
for 30 minutes), and Epsom salt treatment. Each model was scanned before and
after treatment with a laser scanner, and dimensional stability was analyzed by
digital superimpositions using a best-fit algorithm. The models were weighed
before and after treatment to evaluate mass changes. Additionally, 3-dimensional
printed cylinders treated as described above and an untreated control group were
subjected to compressive mechanical testing (n = 11 per group). RESULTS: The
Epsom salt treatment group had statistically significant increases in both peak
compressive stress and modulus of elasticity when compared with the other
treatment groups. All treatment groups had statistically significant changes in
mass, with the Epsom salt group gaining mass and the 2 heat-treatment groups
losing mass. The low-temperature treatment group had a statistically
significantly lower mean average for dimensional deviations (0.026 +/- 0.010 mm)
than did the other treatment groups (0.069 +/- 0.006 and 0.059 +/- 0.010 mm for
high temperature and Epsom salt, respectively). CONCLUSIONS: Dental models
printed 3-dimensionally with calcium sulfate and treated with Epsom salt showed
significant improvement in compressive mechanical properties and retained
clinically acceptable dimensional stability.
PMID- 27894529
TI - The chi-square test for trend.
PMID- 27894530
TI - Reprise: Very rapid orthodontic treatment.
PMID- 27894531
TI - Adenomatoid odontogenic tumor: An outdated report.
PMID- 27894532
TI - Authors' response.
PMID- 27894533
TI - Determining the midsagittal reference plane for evaluating facial asymmetries.
PMID- 27894534
TI - Authors' response.
PMID- 27894535
TI - Authors' response.
PMID- 27894536
TI - Criteria for determining facial asymmetries.
PMID- 27894537
TI - Correction.
PMID- 27894539
TI - Beyond your comfort zone.
PMID- 27894540
TI - Effect of supplemental vibrational force on orthodontically induced inflammatory
root resorption: A multicenter randomized clinical trial.
AB - INTRODUCTION: A multicenter parallel 3-arm randomized clinical trial was carried
out in 1 university and 2 district hospitals in the United Kingdom to investigate
the effect of supplemental vibrational force on orthodontically induced
inflammatory root resorption (OIIRR) during the alignment phase of fixed
appliance therapy. METHODS: Eighty-one subjects less than 20 years old with
mandibular incisor irregularity undergoing extraction-based fixed-appliance
treatment were randomly allocated to supplementary (20 minutes a day) use of an
intraoral vibrational device (AcceleDent; OrthoAccel Technologies, Houston, Tex)
(n = 29), an identical nonfunctional (sham) device (n = 25), or fixed appliances
only (n = 27). OIIRR was measured blindly from long-cone periapical radiographs
of the maxillary right central incisor taken at the start of treatment and the
end of alignment when a 0.019 * 0.025-in stainless steel archwire was placed
(mean follow-up, 201.6 days; 95% confidence interval [CI], 188.6-214.6 days).
Data were analyzed blindly on a per-protocol basis because losses to follow-up
were minimal, with descriptive statistics, 1-way analysis of variance, and
univariable and multivariable regression modeling. RESULTS: Nine patients were
excluded from the analysis; they were evenly distributed across the groups. Mean
overall OIIRR measured among the 72 patients was 1.08 mm (95% CI, 0.89-1.27 mm).
Multivariable regression indicated no significant difference in OIIRR for the
AcceleDent (difference, 0.22 mm; 95% CI, -0.14-0.72; P = 0.184) and AcceleDent
sham groups (difference, 0.29 mm; 95% CI, -0.15-0.99; P = 0.147) compared with
the fixed-appliance-only group, after accounting for patient sex, age,
malocclusion, extraction pattern, alignment time, maximum pain experienced,
history of dentoalveolar trauma, and initial root length of the maxillary right
central incisor. No other side-effects were recorded apart from pain and OIIRR.
CONCLUSIONS: The use of supplemental vibrational force during the alignment phase
of fixed appliance orthodontic treatment does not affect OIIRR associated with
the maxillary central incisor. REGISTRATION: ClinicalTrials.gov (NCT02314975).
PROTOCOL: The protocol was not published before trial commencement. FUNDING:
Functional and sham AcceleDent units were donated by the manufacturer; there was
no contribution to the conduct or the writing of this study.
PMID- 27894541
TI - Assessment of the changes in arch perimeter and irregularity in the mandibular
arch during initial alignment with the AcceleDent Aura appliance vs no appliance
in adolescents: A single-blind randomized clinical trial.
AB - INTRODUCTION: The purpose of this 2-arm parallel trial was to assess the effects
of the AcceleDent Aura (OrthoAccel Technologies, Houston, Tex) appliance on the
increase in mandibular anterior arch perimeter, the reduction in mandibular arch
irregularity, and the amount of discomfort during initial alignment of the
mandibular arch with fixed appliances. METHODS: Forty Class II adolescent
patients with full fixed appliances and treated with maxillary premolar
extractions and no extractions in the mandibular arch participated in this
randomized clinical trial. They were recruited in a private practice and treated
by 1 clinician. Randomization to either a no-appliance group or the AcceleDent
Aura appliance group was accomplished with permuted blocks of 10 patients with
the allocations concealed in opaque, sealed envelopes. Both the operator and the
outcome assessor were blinded, but it was not feasible to blind the patients.
Discomfort was recorded during the first week of treatment. Mandibular anterior
arch perimeter and anterior irregularity were measured from plaster models taken
at the start of treatment and after 5, 8, and 10 weeks. RESULTS: No patients were
lost to follow-up, and no data were missing. There was no difference in anterior
arch perimeter at the start of treatment (P = 0.85; median, 0.6 mm; 95%
confidence interval [CI], -1.6, +1.8 mm) or at any other time point (5 weeks: P =
0.84; median, -0.2 mm; 95% CI, -1.6, +1.2 mm; 8 weeks: P = 0.56; median, -0.3 mm;
95% CI, -1.6, +0.7 mm; 10 weeks: P = 0.67; median, -0.1 mm; 95% CI, -1.5, +1.1
mm). There was also no difference between groups for incisor irregularity (P =
0.46; median, -0.5 mm; 95% CI, -2.2, +2.8 mm; P = 0.80; median, 0.0 mm; 95% CI,
1.0, +1.1 mm; P = 0.70; median, 0.1 mm; 95% CI, -0.7, +0.8 mm; P = 0.65; median,
0.2 mm; 95% CI, -0.6, +0.6 mm). No difference was detected at any time during the
first week for discomfort (baseline: P = 0.84; median, -1.5 mm; 95% CI, -15.9,
+9.8 mm; 6 hours: P = 0.96; median, 0.3 mm; 95% CI, -23.5, +21.8 mm; 1 day: P,
0.75; median, -3.5 mm; 95% CI, -27.1, +26.9 mm; 3 days: P = 0.98; median, -0.6
mm; 95% CI, -20.6, +20.0; 7 days: P = 0.57; median, 0.5 mm; 95% CI, -5.0, +5.3
mm). However, significantly fewer participants in the AcceleDent Aura group used
analgesics at day 1 (P = <0.01). CONCLUSIONS: The AcceleDent Aura appliance had
no effect compared with no appliance on increasing anterior arch perimeter, or
reducing irregularity or perceived discomfort during initial alignment with fixed
appliances, although more subjects used painkillers at 24 hours in the no
appliance group. REGISTRATION: This trial was not registered. PROTOCOL: The
protocol was not published before trial commencement. FUNDING: A special research
grant was obtained from the Australian Society of Orthodontists Foundation for
Research and Education to purchase the AcceleDent Aura appliances and fund the
statistical analysis.
PMID- 27894543
TI - Relationship between head posture and the severity of obstructive sleep apnea.
AB - INTRODUCTION: The objective of this study was to compare the head posture of
patients with obstructive sleep apnea (OSA) having different levels of severity
with that of control subjects. METHODS: One hundred subjects participated in this
study. Seventy-five subjects underwent overnight polysomnography in a sleep
laboratory and were allocated into "mild," "moderate," or "severe" OSA groups,
and 25 subjects with no complaints regarding OSA were allocated into 1 group and
served as the controls. Cephalometric radiographs were obtained from all
participants in natural head position. Craniocervical, craniovertical, and
cervicovertical angles were measured in the groups. Data were analyzed using the
least significant difference. RESULTS: The results showed significant differences
between the OSA groups and the control group, and among the test groups, in all
craniocervical, craniovertical, and cervicovertical angles (P <0.05), except for
1 craniovertical measurement (P >0.05). There were no significant differences in
this measurement among the test groups and in any measurement between the mild
and moderate OSA groups (P >0.05). CONCLUSIONS: Head posture showed significant
differences in patients with OSA. In general, the more severe the OSA, the more
extended the natural head position as indicated by increases in the
craniocervical angles. The cervical posture parameters may indicate existing OSA.
PMID- 27894542
TI - Effect of orthodontic treatment on the upper airway volume in adults.
AB - INTRODUCTION: The aim of this study was to examine the effects of orthodontic
treatment with and without extractions on the anatomic characteristics of the
upper airway in adults. METHODS: For this retrospective study, the pretreatment
and posttreatment cone-beam computed tomography scans of 74 adult patients
meeting defined eligibility criteria were analyzed. Imaging software was used to
segment and measure upper airway regions including the nasopharynx, the
retropalatal, and retroglossal areas of the oropharynx, as well as the total
airway. The Wilcoxon signed rank test was used to compare volumetric and minimal
cross-sectional area changes from pretreatment to posttreatment. RESULTS: The
reliability values were high for all measurements, with intraclass correlation
coefficients of 0.82 or greater. The volumetric treatment changes for the
extraction and nonextraction groups were as follows: total airway, 1039.6 +/-
3674.3 mm3 vs 1719.2 +/- 4979.2 mm3; nasopharynx, 136.1 +/- 1379.3 mm3 vs -36.5
+/- 1139.8 mm3; retropalatal, 412.7 +/- 3042.5 mm3 vs 399.3 +/- 3294.6 mm3; and
retroglossal, 412.5 +/- 1503.2 mm3 vs 1109.3 +/- 2328.6 mm3. The treatment
changes in volume or minimal cross-sectional area for all airway regions examined
were not significantly (P >0.05) different between the extraction and
nonextraction groups. CONCLUSIONS: Orthodontic treatment in adults does not cause
clinically significant changes to the volume or the minimally constricted area of
the upper airway. These results suggest that dental extractions in conjunction
with orthodontic treatment have a negligible effect on the upper airway in
adults.
PMID- 27894544
TI - Effect of longitudinal flutes on miniscrew implant stability and 3-dimensional
bone formation.
AB - INTRODUCTION: The purpose of this study was to evaluate the effects of
longitudinal flutes on miniscrew implant (MSI) stability and bone healing.
METHODS: Using 11 skeletally mature New Zealand white rabbits, we placed 31
longitudinally fluted and 31 nonfluted, 3-mm-long MSIs in standardized positions
in their calvaria and immediately loaded them with 100 g using nickel-titanium
coil springs. Insertion torque values were obtained for each MSI placed; removal
torque values were obtained for 28 MSIs that had been in place for 6 weeks and 20
MSIs that had been in place for 2 weeks. The bone volume fractions at 6 to 24, 24
to 42, and 42 to 60 MUm from the MSI surfaces were evaluated using microcomputed
tomography with an isotropic resolution of 6 MUm. RESULTS: The success rate was
97% for both the fluted and nonfluted MSIs. The difference in insertion torque
between the fluted and nonfluted MSIs was not statistically significant (P =
0.930). After 2 weeks, there was no statistically significant (P = 0.702)
difference in removal torque between the fluted and nonfluted MSIs. After 6
weeks, removal torque values were significantly (P = 0.008) higher for the fluted
(3.42 +/- 0.26 N.cm) than the nonfluted (2.49 +/- 0.20 N.cm) MSIs. Bone volume
fractions of the 6-to-24-, 24-to-42-, and 42-to-60-MUm layers were significantly
(P <0.05) greater for the nonfluted than the fluted MSIs. CONCLUSIONS: Loaded 3
mm-long MSIs with and without flutes have high success rates. Longitudinal flutes
placed in 3-mm MSIs increased their removal torque by 37% and decreased the
amount of bone immediately surrounding them.
PMID- 27894546
TI - Innovative design of closing loops producing an optimal force system applicable
in the 0.022-in bracket slot system.
AB - INTRODUCTION: Most closing loops designed for producing higher moment-to-force
(M/F) ratios require complex wire bending and are likely to cause hygiene
problems and discomfort because of their complicated configurations. We aimed to
develop a simple loop design that can produce optimal force and M/F ratio.
METHODS: A loop design that can generate a high M/F ratio and the ideal force
level was investigated by varying the portion and length of the cross-sectional
reduction of a teardrop loop and the loop position. The forces and moments acting
on closing loops were calculated using structural analysis based on the tangent
stiffness method. RESULTS: An M/F ratio of 9.3 (high enough to achieve controlled
movement of the anterior teeth) and an optimal force level of approximately 250 g
of force can be generated by activation of a 10-mm-high teardrop loop whose cross
section of 0.019 * 0.025 or 0.021 * 0.025 in was reduced in thickness by 50% for
a distance of 3 mm from the apex, located between a quarter and a third of the
interbracket distance from the canine bracket. CONCLUSIONS: The simple loop
design that we developed delivers an optimal force and an M/F ratio for the
retraction of anterior teeth, and is applicable in a 0.022-in slot system.
PMID- 27894545
TI - Ability of mini-implant-facilitated micro-osteoperforations to accelerate tooth
movement in rats.
AB - INTRODUCTION: Although current techniques for accelerated tooth movement often
involve invasive surgical procedures, micro-osteoperforations (MOPs) using mini
implants may facilitate orthodontic tooth movement without raising flaps, reduce
surgical risks, and increase patient acceptance. In this study, we evaluated the
effectiveness of mini-implant-facilitated MOPs in inducing accelerated tooth
movement and investigated the potential risks for root resorption. METHODS: Five
MOPs were placed on the left side around the maxillary first molars in 6 rats
using an automated mini-implant driver, whereas the right side received no MOPs
as the control. Closed-coiled springs were secured from incisors to first molars
for orthodontic tooth movement. Tooth movement was measured, and samples
underwent radiologic and histologic analyses. RESULTS: The MOP side exhibited a
1.86-fold increase in the rate of tooth movement with decreased bone density and
bone volume around the first molars compared with the control side. Hematoxylin
and eosin and tartrate-resistant acid phosphatase analyses showed increased
numbers of osteoclasts as well as new bone formation. Three-dimensional
volumetric analysis of all 5 roots of the maxillary first molars demonstrated no
statistically significant difference in root volumes. CONCLUSIONS: Mini-implant
facilitated MOPs accelerated tooth movement without increased risk for root
resorption and therefore may become a readily available and efficient treatment
option to shorten orthodontic treatment time with improved patient acceptance.
PMID- 27894547
TI - Comparison of AdvanSync and intermaxillary elastics in the correction of Class II
malocclusions: A retrospective clinical study.
AB - INTRODUCTION: Our objectives were to compare the skeletal, dentoalveolar, and
soft tissue effects of the AdvanSync appliance (Ormco, Glendora, Calif) with
intermaxillary elastics in the correction of Class II malocclusions in growing
patients. METHODS: A retrospective study was conducted using lateral cephalograms
of patients taken before and after comprehensive orthodontic treatment; 41
patients consecutively treated with the AdvanSync were compared with 41 similar
patients treated with intermaxillary Class II elastics. All patients had
significant growth potential during treatment, as assessed by cervical vertebral
maturation. A comparison group was generated from historical data bases and
matched to the experimental groups for skeletal age, sex, and craniofacial
morphology. Treatment changes were evaluated between the time points using a
custom cephalometric analysis generating 31 variables as well as regional
superimpositions. Data were analyzed using 1-way analysis of variance and Tukey
Kramer tests. RESULTS: The effects of the AdvanSync and fixed orthodontics
included maxillary growth restriction, protrusion, proclination, and intrusion of
the mandibular incisors as well as mesialization of the mandibular molars (P
<0.01). The effects of Class II elastics and fixed orthodontics were similar to
AdvanSync, with the exceptions of less maxillary growth restriction and greater
retrusion and retroclination of the maxillary incisors (P <0.01). Significant
mandibular growth stimulation, relative to the untreated controls, did not occur
with either modality. CONCLUSION: AdvanSync and intermaxillary elastics were
effective in normalizing Class II malocclusions during comprehensive fixed
orthodontics. AdvanSync produced its effects through maxillary skeletal growth
restriction and mandibular dentoalveolar changes. Class II elastics worked
primarily through dentoalveolar changes in both the maxilla and the mandible.
PMID- 27894548
TI - Effects of zygoma-gear appliance for unilateral maxillary molar distalization: A
prospective clinical study.
AB - INTRODUCTION: The aim of the study was to evaluate the dentoskeletal effects of
the zygoma-gear appliance used for unilateral maxillary molar distalization in
patients with Class II subdivision malocclusion. METHODS: This prospective
clinical study consisted of 21 patients (9 boys, 12 girls; mean age, 15.68 +/-
2.18 years) with unilateral Class II malocclusion treated using the unilateral
zygoma-gear appliance supported by a zygomatic miniplate inserted on the Class II
malocclusion side. The dentoskeletal effects of the system were evaluated using
cephalometric lateral and panoramic films with a paired t test. RESULTS: The mean
amount of distalization for the maxillary first molar was found to be 5.31 +/-
2.46 mm (P <0.001) in 0.45 +/- 0.12 years, showing an amount of 0.98 mm of
distalization per month. It was also accompanied by a slight intrusion (0.76 +/-
2.85 mm; P >0.05) and distal tipping (6.39 degrees +/- 5.39 degrees ; P <0.001)
of the maxillary molars. The maxillary premolar also spontaneously moved distally
1.63 +/- 1.90 mm (P <0.01) with distal tipping (4.05 degrees +/- 3.47 degrees ;
P <0.001). Moreover, the inclination of the maxillary incisors and overjet were
decreased (-1.59 degrees +/- 1.45 degrees , P <0.001; and -0.29 +/- 0.63 mm, P
<0.05; respectively) showing no anchorage loss. No statistically significant
changes were found for the skeletal and soft tissue measurements (P >0.05).
CONCLUSIONS: The zygoma-gear appliance system is an effective method for
unilateral maxillary molar distalization.
PMID- 27894549
TI - Quantitative assessment of the effectiveness of phase 1 orthodontic treatment
using the American Board of Orthodontics Discrepancy Index.
AB - INTRODUCTION: This retrospective study included a sample of 300 randomly selected
patients from the archived records of Saint Louis University's graduate
orthodontic clinic, St. Louis, Mo, from 1990 to 2012. The objective of this study
was to quantify the changes obtained in phase 1 of orthodontic treatment and
determine how much improvement, if any, has occurred before the initiation of the
second phase. METHODS: For the purpose of this study, prephase 1 and prephase 2
records of 300 subjects were gathered. All were measured using the American Board
of Ortodontics Discrepancy Index (DI), and a score was given for each phase. The
difference of the 2 scores indicated the quantitative change of the complexity of
the treatment. Paired t tests were used to compare the scores. Additionally, the
sample was categorized into 3 groups according to the Angle classifications, and
the same statistics were used to identify significant changes between the 2
scores. Analysis of variance was applied to compare the 3 groups and determine
which had the most change. Percentages of change were calculated for the
significant scores. RESULTS: The total DI score overall and the scores of all 3
groups were significantly reduced from before to after phase 1. Overall, 42%
improvement was observed. The Class I group showed 49.3% improvement, the Class
II group 34.5% and the Class III group 58.5%. Most components of the DI improved
significantly, but a few showed negative changes. CONCLUSIONS: Significant
reductions of DI scores were observed in the total sample and in all Angle
classification groups. This indicates that early treatment reduces the complexity
of the malocclusions. Only 2 components of the DI showed statistically
significant negative changes.
PMID- 27894551
TI - A 47-Year-Old Man With a Spinal Cord Injury After a Parachute Jump.
PMID- 27894552
TI - Hemodynamic Monitoring: Part 2.
PMID- 27894553
TI - Drones Rule!
PMID- 27894556
TI - Benchmarking Pain Assessment Rate in Critical Care Transport.
AB - The purpose of this study is to determine the rate of pain assessment in
pediatric neonatal critical care transport (PNCCT). The GAMUT database was
interrogated for an 18-month period and excluded programs with less than 10%
pediatric or neonatal patient contacts and less than 3 months of any metric data
reporting during the study period. We hypothesized pain assessment during PNCCT
is superior to prehospital pain assessment rates, although inferior to in
hospital rates. Sixty-two programs representing 104,445 patient contacts were
analyzed. A total of 21,693 (20.8%) patients were reported to have a documented
pain assessment. Subanalysis identified 17 of the 62 programs consistently
reporting pain assessments. This group accounted for 24,599 patients and included
7,273 (29.6%) neonatal, 12,655 (51.5%) pediatric, and 4,664 (19.0%) adult
patients. Among these programs, the benchmark rate of pain assessment was 90.0%.
Our analysis shows a rate below emergency medical services and consistent with
published hospital rates of pain assessment. Poor rates of tracking of this
metric among participating programs was noted, suggesting an opportunity to
investigate the barriers to documentation and reporting of pain assessments in
PNCCT and a potential quality improvement initiative.
PMID- 27894557
TI - Reporting Helicopter Emergency Medical Services in Major Incidents: A Delphi
Study.
AB - OBJECTIVE: Research on helicopter emergency medical services (HEMS) in major
incidents is predominately based on case descriptions reported in a heterogeneous
fashion. Uniform data reported with a consensus-based template could facilitate
the collection, analysis, and exchange of experiences. This type of database
presently exists for major incident reporting at www.majorincidentreporting.net.
This study aimed to develop a HEMS-specific major incident template. METHODS:
This Delphi study included 17 prehospital critical care physicians with current
or previous HEMS experience. All participants interacted through e-mail. We asked
these experts to define data variables and rank which were most important to
report during an immediate prehospital medical response to a major incident. Five
rounds were conducted. RESULTS: In the first round, the experts suggested 98
variables. After 5 rounds, 21 variables were determined by consensus. These
variables were formatted in a template with 4 main categories: HEMS background
information, the major incident characteristics relevant to HEMS, the HEMS
response to the major incident, and the key lessons learned. CONCLUSION: Based on
opinions from European experts, we established a consensus-based template for
reporting on HEMS responses to major incidents. This template will facilitate
uniformity in the collection, analysis, and exchange of experience.
PMID- 27894558
TI - Helicopter Scene Response for Stroke Patients: A 5-Year Experience.
AB - OBJECTIVE: The purpose of this study was to examine the usefulness of an
emergency medical service (EMS)-requested air medical helicopter response
directly to the scene for a patient with clinical evidence of an ischemic
cerebrovascular accident (CVA) and transport to a regional comprehensive CVA
center. METHODS: CareFlight, an air medical critical care transportation service,
is based in Dayton, OH. The 3 CareFlight helicopters are geographically located
and provided transport to all CVA scene patients in this study. A retrospective
chart review was completed for all CareFlight CVA scene flights for 5 years (2011
2015). A total of 136 adult patients were transported. EMS criteria included CVA
symptom presence for less than 3 hours or awoke abnormal, nonhypoglycemia, and a
significantly positive Cincinnati Prehospital Stroke Scale. RESULTS: The majority
of patients (75%) met all 3 EMS CVA scene criteria; 27.5% of these patients
received peripheral tissue plasminogen activator, and 9.8% underwent a
neurointerventional procedure. CONCLUSION: Using a 3-step EMS triage for acute
CVA, air medical transport from the scene to a comprehensive stroke center
allowed for the timely administration of tissue plasminogen activator and/or a
neurointerventional procedure in a substantive percentage of patients. Further
investigation into air medical scene response for acute stroke is warranted.
PMID- 27894559
TI - Helicopter Transport in Regionalized Burn Care: One Program's Perspective.
AB - BACKGROUND: The decision to use helicopter EMS (HEMS) for the transport of burn
patients is a complex decision. This analysis sought to evaluate burn patients
flown to burn centers who met predetermined criteria for patients who likely
benefit from HEMS care. METHODS: A retrospective transport chart review of all
burn transports covering the preceding nine and a half years was conducted to
evaluate for HEMS appropriate criteria defined as patients requiring advanced
airway management, ventilator support, facial burns, inhalation injury,
circumferential burns, electrical or chemical burn, or major burns. All ages were
included. RESULTS: A total of 171 cases were identified. Thirty-one (18.1%) were
pediatric. Facial burns constituted the most frequent criteria met with 112
(65.5%) patients identified. Sixty-nine (40.4%) had suspected inhalation
injuries. Fifty-five (32.2%) patients were intubated. Forty (28.6%) adults and
twelve (38.7%) children had major burns. CONCLUSION: Of the 171 burn patient
transported, twenty-one (12.3%) patients did not meet any HEMS criteria.
Excluding those who did not meet any criteria, 98 (57.3%) patients were flown
with non-major burns. Efforts are needed to determine the risks burn patients
face if slower, non-critical care transport is utilized and which patients are
appropriate for HEMS.
PMID- 27894560
TI - Analysis of Temperature Variability in Medication Storage Compartments in
Emergency Transport Helicopters.
AB - The purpose of this study was to determine whether the temperature in medication
storage compartments in air medical helicopters was within United States
Pharmacopeia (USP)-defined limits for controlled room temperature. This was a
prospective study using data obtained from a continuous temperature monitoring
device. A total of 4 monitors were placed within 2 medication storage locations
in 2 identical helicopters. The data collection period lasted 2 weeks during the
summer and winter seasons. Data retrieved from monitors were compared against USP
parameters for proper medication storage. Results documented temperatures outside
the acceptable range a majority of the time with temperatures above the high
limit during summer and below the low limit during winter. The study determined
that compartments used for medication storage frequently fell outside of the
range for USP-defined limits for medication storage. Flight programs should
monitor storage areas, carefully taking actions to keep medication within defined
ranges.
PMID- 27894561
TI - Apneic Oxygenation May Not Prevent Severe Hypoxemia During Rapid Sequence
Intubation: A Retrospective Helicopter Emergency Medical Service Study.
AB - OBJECTIVE: This study sought to determine the effectiveness of apneic oxygenation
in preventing hypoxemia during prehospital rapid sequence intubation (RSI).
METHODS: We performed a case-cohort study using a pre-existing database looking
at intubation management by a single helicopter emergency medical service between
July 2013 and June 2015. Apneic oxygenation using high-flow nasal cannula (15
L/min) was introduced to the standard RSI protocol in July 2014. Severe hypoxemia
was defined as an incidence of oxygen saturation less than 90%. We compared
patients who received apneic oxygenation during RSI with patients who did not
using the Fisher exact test. RESULTS: Ninety-three patients were identified from
the database; 29 (31.2%) received apneic oxygenation. Nineteen patients had an
incidence of severe hypoxemia during RSI (20.43%; 95% confidence interval, 12.77%
30.05%). There was no statistically significant difference between the rate of
severe hypoxemia between patients in the apneic oxygenation group versus the
control group (17.2% vs. 21.9%, P = .78). CONCLUSION: In this study, patients who
received apneic oxygenation did not show a statistically significant difference
in severe hypoxemia during RSI.
PMID- 27894562
TI - Todd's Paresis in Acute Mild Head Trauma.
AB - We present the case of an adult male who sustained Todd's paresis after a
traumatically induced seizure in a patient with an isolated facial injury. The
precipitating event was head trauma from a golf club. The patient had no previous
history of seizures and went on to make a complete neurologic recovery with no
cerebral pathology noted. A literature review suggests that Todd's paresis after
trauma is very rare as opposed to occurring in the medical or long-term brain
injury settings. Although the authors acknowledge that it may occur in trauma,
the awareness within the prehospital setting is sufficiently rare for this case
report to be of interest to prehospital clinicians; it is important prehospital
clinicians are aware of this condition.
PMID- 27894563
TI - Administration of CroFab Antivenom by a Helicopter Emergency Medical Service
Team.
AB - The case presented here highlights an unconventional use of a helicopter
emergency medical service (HEMS) to provide a specialized medication to a
critically ill patient when definitive transport was delayed. A 39-year-old man
presented to a rural hospital 1 hour after sustaining a copperhead envenomation.
He developed severe symptoms and was intubated. Arrangements were made for
transfer to a tertiary referral center by HEMS, but because of incoming weather
conditions, the team would not be able to make the return flight safely. The
decision was made for the HEMS team to fly antivenom to the patient, administer
the medication, and then transport the patient by ground to the tertiary medical
center. This plan was executed, and the patient was safely transported to the
accepting facility. Antivenom is most effective when administered early because
this will halt the progression of edema and may reverse the systemic effects of
envenomation. In this case, HEMS transport of antivenom to the patient with
severe toxicity prevented a delay to administration and likely improved the
patient's outcome. Although the traditional role of HEMS is to provide rapid
transport to critically ill patients, HEMS teams can also function to deliver
specialized medications to remote settings.
PMID- 27894564
TI - Concern Network.
PMID- 27894565
TI - Validating a summary measure of weight history for modeling the health
consequences of obesity.
AB - PURPOSE: Data on weight history may enhance the predictive validity of
epidemiologic models of the health risks of obesity, but collecting such data is
often not feasible. In this study, we investigate the validity of a summary
measure of weight history. METHODS: We evaluated the quality of reporting of
maximum weight in a sample of adults aged 50-84 years using data from the Health
and Retirement Study. Recalled max body mass index (BMI, measured in kilogram per
square meter) based on recalled weight in 2004 was compared with calculated max
BMI based on self-reported weight collected biennially between 1992 and 2004.
Logistic regression was used to assess similarity between the measures in
predicting prevalent conditions. RESULTS: The correlation coefficient between
recalled and calculated max weight in the overall sample was 0.95. Recalled max
BMI value was within three BMI units of the calculated value 91.4% of the time.
The proportions of individuals with obese I (BMI: 30.0-34.9), obese II (BMI: 35.0
39.9), and obese III (BMI: 40.0 and above) were 28.8%, 12.7%, and 6.6% using
recalled values compared with 27.1%, 10.5%, and 4.9% using calculated values. In
multivariate analyses, the two BMI measures similarly predicted disease
prevalence across a number of chronic conditions. CONCLUSIONS: Recalled max BMI
was strongly correlated with max BMI calculated over the 12-year period before
recall, suggesting that this measure can serve as a reliable summary measure of
recent weight status.
PMID- 27894566
TI - The association between handheld phone bans and the prevalence of handheld phone
conversations among young drivers in the United States.
AB - PURPOSE: Fourteen US states and the District of Columbia have banned handheld
phone use for all drivers. We examined whether such legislation was associated
with reduced handheld phone conversations among drivers aged younger than 25
years. METHODS: Data from the 2008 to 2013 National Occupant Protection Use
Survey were merged with states' legislation. The outcome was roadside-observed
handheld phone conversation at stop signs or lights. Logistic regression was
used. RESULTS: A total of 32,784 young drivers were observed. Relative to drivers
who were observed in states without a universal handheld phone ban, the adjusted
odds ratio of phone conversation was 0.42 (95% confidence interval, 0.33-0.53)
for drivers who were observed in states with bans. The relative reduction in
phone conversation was 46% (23%, 61%) for laws that were effective less than 1
year, 55% (32%, 70%) for 1-2 years, 63% (51%, 72%) for 2 years or more, relative
to no laws. CONCLUSIONS: Universal handheld phone bans may be effective at
reducing handheld phone use among young drivers.
PMID- 27894568
TI - Gender differences in cumulative life-course socioeconomic position and social
mobility in relation to new onset diabetes in adults-the Brazilian Longitudinal
Study of Adult Health (ELSA-Brasil).
AB - PURPOSE: We investigated gender-specific associations of cumulative socioeconomic
position across life course and social mobility with new onset diabetes mellitus
(NODM) in over 12,000 civil servants in Brazil. METHODS: We used data from ELSA
Brasil baseline (2008-2010). The accumulation of risk was assessed using an
education-based score and an occupation-based score. Educational and occupational
social mobility were also evaluated. RESULTS: In minimally adjusted models, NODM
increased with increasing exposure to life-course social disadvantages,
especially in men. This gender difference was pronounced when cumulative
processes were evaluated by education-based scores (high vs. low cumulative
social disadvantage, odds ratio [OR] = 4.7; 95% confidence interval [CI]: 2.6-8.5
in men and OR = 2.0; 95% CI: 1.1-3.6 in women). After including proximal diabetes
risk factors possibly acting as mediators, these associations remained high only
in men (high vs. low cumulative social disadvantage, OR = 4.4; 95% CI: 2.4-8.1).
Social mobility was associated with NODM in men. Compared to the high-stable
trajectory, downward had greater associations than upward mobility. In women,
when considering metabolic syndrome-related variables, changes in social
hierarchy did not seem to have an influence on their risk of diabetes.
CONCLUSIONS: Accumulation of risk and social mobility were associated with NODM
with gender-specific patterns, suggesting differences in mechanisms connecting
life-course socioeconomic position and diabetes in men and women.
PMID- 27894567
TI - Proportion of selected congenital heart defects attributable to recognized risk
factors.
AB - PURPOSE: To assess the contribution of multiple risk factors for two congenital
heart defects-hypoplastic left heart syndrome (HLHS) and tetralogy of Fallot
(TOF). METHODS: We used data from the National Birth Defects Prevention Study
(1997-2011) to estimate average adjusted population attributable fractions for
several recognized risk factors, including maternal prepregnancy overweight
obesity, pregestational diabetes, age, and infant sex. RESULTS: There were 594
cases of isolated simple HLHS, 971 cases of isolated simple TOF, and 11,829
controls in the analysis. Overall, 57.0% of HLHS cases and 37.0% of TOF cases
were estimated to be attributable to risk factors included in our model. Among
modifiable HLHS risk factors, maternal prepregnancy overweight-obesity accounted
for the largest proportion of cases (6.5%). Among modifiable TOF risk factors,
maternal prepregnancy overweight-obesity and maternal age of 35 years or older
accounted for the largest proportions of cases (8.3% and 4.3%, respectively).
CONCLUSIONS: Approximately half of HLHS cases and one-third of TOF cases were
estimated to be attributable to risk factors included in our models.
Interventions targeting factors that can be modified may help reduce the risk of
HLHS and TOF development. Additional research into the etiology of HLHS and TOF
may reveal other modifiable risk factors that might contribute to primary
prevention efforts.
PMID- 27894569
TI - Five-Year Outcomes After Paclitaxel-Coated Balloon Angioplasty for Drug-Eluting
Stent Restenosis.
AB - The long-term outcomes of patients who underwent paclitaxel-coated balloon (PCB)
angioplasty for drug-eluting stent restenosis (DES-ISR) remain unclear. We
investigated the 5-year safety and efficacy outcomes of PCB angioplasty for DES
ISR. The study included 185 patients with 216 DES-ISR lesions who underwent PCB
angioplasty from September 2008 to December 2010. Two serial angiographic follow
ups were routinely scheduled at 6 and 18 months after the index procedure. Five
year clinical outcomes were investigated. The mean duration of follow-up was
2,052 +/- 352.5 days, and 94.1% of the patients completed the 5-year follow-up.
Recurrent restenosis was observed in 48 lesions (22.2%) at the 6-month follow-up
and late restenosis was observed in 22 lesions (14.3%) at the 18-month follow-up.
Very late restenosis was observed in 6 lesions (6.8%), and target lesion
revascularization (TLR) was performed for 8 lesions (13.6%) beyond 24 months
after the procedure. The cumulative rates of TLR at 1, 2, and 5 years were 16.4%,
28.9%, and 34.1%, respectively. In patients who underwent TLR, 87% (52 of 60
patients) underwent TLR within the first 2 years after the index procedure.
Multivariable analysis revealed that in-stent occlusion lesion (odds ratio [OR]
2.21; 95% confidence interval [CI] 1.09 to 4.47; p = 0.03), postprocedural
percent diameter stenosis >35% (OR 3.19; 95% CI 1.54 to 6.68; p = 0.001), and
right coronary artery ostial lesions (OR 5.56; 95% CI 1.40 to 24.9; p = 0.01)
were independent predictors of recurrent restenosis. In conclusion, our results
suggest that long-term outcomes after PCB angioplasty are acceptable; however,
close follow-up during the first 2 years following this procedure may be
necessary.
PMID- 27894570
TI - An Overview of Pre-expanded Perforator Flaps: Part 1, Current Concepts.
AB - Pre-expanded perforator flaps, a combination of tissue expansion with perforator
flaps, are emerging as another landmark of plastic surgery. This flap inherits
the characteristics of both perforator flaps and expanded flaps, making it a
highly versatile option in reconstructive surgery. However, the definition of the
pre-expanded perforator flap and the impact of pre-expansion on the superficial
angio-architecture remain controversial. In this article, the authors review
current concepts including the mechanism of expansion and the resultant changes
in the angio-architecture. The authors also review the previous studies and
classifications of pre-expanded perforator flaps.
PMID- 27894571
TI - Pre-expanded Deep Inferior Epigastric Perforator Flap.
AB - The deep inferior epigastric perforator (DIEP) flap can be used to cover large
defects of the proximal lower extremity, abdominal wall, perineum, vulva, and
buttock. Pre-expanding DIEP flaps cause a possible delay phenomenon improving
vascularity, decrease donor site morbidity, and increase the area that can be
covered. Pre-expansion requires staged procedures, has risk of extrusion and
infection, causes temporary contour deformity during the expansion process, and
requires a longer course. Pre-expanded DIEP flaps can be a useful flap with
proper patient selection and planning.
PMID- 27894572
TI - Pre-expanded Brachial Artery Perforator Flap.
AB - The medial upper arm flap is a time-honored yet ignored technique. It may be
revitalized by combining the techniques of tissue expansion and perforator flap
surgery. Pre-expansion increases flap dimension, remodels flap vasculature, and
reduces donor site morbidities, making the medial arm flap a more effective
option for various defect reconstructions. A pre-expanded brachial artery
perforator flap achieves excellent functional and aesthetic outcomes in patients
with soft tissue defects on the head and neck, axilla, chest wall, and upper
extremity. Although this technique requires multiple procedures, each operation
is relatively simple and has a low complication rate when properly performed.
PMID- 27894574
TI - An Overview of Pre-expanded Perforator Flaps: Part 2, Clinical Applications.
AB - Pre-expanded perforator flaps have several advantages over their traditional
counterparts owing to the thin, more pliable nature, larger size, and minimum
morbidity of the donor site. Recently, plastic surgeons have begun to use pre
expanded perforator flaps to reconstruct defects of almost the entire body,
including the cervicofacial region, axilla, trunk, and extremities resulting from
scar, congenital melanocytic nevi, hemangiomas, and neurofibromas. Such a
versatile flap is especially appropriate for face and neck resurfacing, which
requires more optimal functional and cosmetic outcomes.
PMID- 27894573
TI - Pre-expanded Anterolateral Thigh Perforator Flap for Phalloplasty.
AB - The anterolateral thigh (ALT) perforator flap for phalloplasty is gaining
popularity because it avoids the well-known scars of the radial forearm flap.
However, scars are not eliminated, just moved to a different location, the thigh,
that can for some patients be of great sexual value. Preexpansion of the ALT flap
allows primary donor site closure, thus avoiding not only the unsightly
appearance of a skin grafted ALT donor site, but also the skin graft donor site
scar. Preoperative perforator location by means of computed tomography
angiography allows safe expander placement through 2 small remote incisions.
PMID- 27894575
TI - Pre-expanded Free Perforator Flaps.
AB - Pre-expanded perforator flaps are the most recent technical way to shape tissue
for exact needs. Reconstruction with pre-expanded free perforator flaps has
proven successful in terms of obtaining more extensive, more pliable, and thinner
flaps that have increased vascularity, and also causing less donor site
morbidity. In this article the author's experience with the clinical application
of such flaps and the relevant published literature are reviewed.
PMID- 27894576
TI - Pre-expanded Bipedicled Supratrochlear Perforator Flap for Simultaneous
Reconstruction of the Nasal and Upper Lip Defects.
AB - The double "S" principle should be followed for facial reconstruction: the
"similarity" of the donor site to the defect area and the reconstruction should
be based on the different "subunits" of the face. In this article the pre
expanded, bipedicled supratrochlear perforator flap method is described, which is
used for the resurfacing of both nasal and upper lip defects. This method can
provide 2 independent flaps with sufficient tissue from 1 single donor site,
resurfacing the nasal and upper lip units separately and providing an
unparalleled color and texture match and ideal reconstructive result.
PMID- 27894577
TI - Pre-expanded, Prefabricated Monoblock Perforator Flap for Total Facial
Resurfacing.
AB - In this article, we present the pre-expanded, prefabricated supercharged
cervicothoracic monoblock perforator flap for total or subtotal facial
resurfacing. This technique can be a reliable reconstruction option for extensive
facial skin defect with undamaged muscles and deep structures, which could
provide excellent aesthetic and functional outcomes with acceptable
complications. Our approach may replace a conventional "skin-only" face
allotransplantation in selected patients.
PMID- 27894578
TI - Pre-expanded and Prefabricated Abdominal Superthin Skin Perforator Flap for Total
Hand Resurfacing.
AB - Reconstruction of the postburn hand remains a challenge for surgeons. For
cosmetic and functional requirements, the desired flap should be thin enough to
ensure the flexibility of the hand. Conventional perforator flaps serve as a
viable option when reconstructing the postburn hand to regain functionality.
However, limitations include the discrepancy in tissue thickness and the
difficulty with donor site closure. Thus, a preexpanded superthin skin perforator
flap is an ideal choice for postburn hand reconstruction, with the trade-off
being a longer treatment course (3-4 months), but with results that satisfy both
patients and their surgeons.
PMID- 27894579
TI - Future Perspectives of Pre-expanded Perforator Flaps.
AB - Although clinical application of a pre-expanded perforator flap is primarily
focused on face and neck reconstructions, such a flap has also been used to
reconstruct defects in the trunk, extremities, or hands. With better
understanding of the improved blood supply to the flap and the mechanism on the
prefabrication of blood supply within the flap, the pre-expanded perforator flap
will definitely play a more important role in reconstructive surgery and can be
used in selected patients by many plastic surgeons worldwide with good
reconstructive and cosmetic outcomes.
PMID- 27894581
TI - Pre-expanded Super-Thin Skin Perforator Flaps.
AB - Patients with severe postburn scar contractures underwent reconstruction of skin
defects after scar excision with pre-expanded super-thin skin perforator flaps
supplied primarily by perforators via the "bridging effect" from the branches of
the adjacent arteries as 2-stage procedures. Pre-expansion is an innovative
technique and may improve the anastomoses between subdermal vascular plexuses and
extend the supplying area of these vessels to the flap. Such a flap becomes super
thin, but with a prefabricated blood supply it can be used for reconstruction of
skin defects of the face, neck, or other body part with improved functional and
cosmetic outcomes.
PMID- 27894580
TI - Imaging Studies for Preoperative Planning of Perforator Flaps: An Overview.
AB - The vascular anatomy of perforators varies between individuals; thus, accurate
preoperative assessment of perforators is essential for safely planning
perforator flaps. Perforator computed tomographic angiography (P-CTA) with
multidetector-row computed tomography (MDCT) is one of the best available methods
to precisely reveal the 3-dimensional anatomic details of perforators. The aim of
this report is to describe the authors' experience using P-CTA with MDCT for
detecting the perforating vessel preoperatively and a step-by-step approach to
harvest perforator flaps based on this technique. This report also provides a
comprehensive review of literature on other preoperative assessment tools of
perforators.
PMID- 27894583
TI - Pre-expanded Supraclavicular Artery Perforator Flap.
AB - The supraclavicular artery perforator (SAP) flap is a versatile flap for the
reconstruction of head and neck defects. Recently, the authors have modified the
SAP flap by using an anterior branch of the transverse cervical artery. The
anterior SAP flap allows the harvest of a tissue island in the deltopectoral
fossa, which is even thinner, is more pliable, and shows a superior color match
to the face and neck compared with the original SAP flap. Pre-expansion increases
flap size considerably, enabling the coverage of extended defects without the
need of microsurgery.
PMID- 27894582
TI - Pre-expanded Transverse Cervical Artery Perforator Flap.
AB - The face and neck are important areas for function and appearance. High-quality
skin flaps should be used to reconstruct defects in the cervicofacial region.
This article introduces the pre-expanded transverse cervical artery perforator
flap, which can be used for cervicofacial reconstruction after burns, trauma, and
tumor resection with excellent results. This perforator flap is one of the best
options for cervicofacial reconstruction in terms of color and texture match, and
has fewer flap complications. With regard to the expanded flap, the donor site
can be sutured directly leaving only an inconspicuous linear scar.
PMID- 27894584
TI - Pre-expanded Internal Mammary Artery Perforator Flap.
AB - Internal mammary artery (IMA)-based pedicled perforator flaps can be used to
reconstruct defects of the neck and anterior chest wall. Pre-expansion causes a
possible delay phenomenon, improves flap survival, and decreases donor site
morbidity. It also increases the area that can be covered. Pre-expanding can
allow for perforator flaps that require a shorter arc of rotation. The pre
expanded internal mammary artery perforator (IMAP) flap is an excellent option
for patients who have undergone multiple failed reconstructions and require large
amounts of soft tissue while lacking other donor sites.
PMID- 27894585
TI - Pre-expanded Intercostal Perforator Super-Thin Skin Flap.
AB - This article introduces pre-expanded super-thin intercostal perforator flaps,
particularly the flap that has a perforator from the first to second intercostal
spaces. The key techniques, advantages and disadvantages, and complications and
management of this flap are described. At present, the thinnest possible flap is
achieved by thinning the pre-expanded flap that has a perforator from the first
to second intercostal spaces. It is used to reconstruct large defects on the face
and neck, thus restoring function and cosmetic appearance.
PMID- 27894587
TI - Pre-expanded Paraumbilical Perforator Flap.
AB - The paraumbilical perforator flap is the first and the most famous perforator
flap. Pre-expansion increases the flap dimension and reduces the flap thickness
and donor site morbidities, making the paraumbilical perforator flap a more
effective option for upper extremity reconstruction. Pre-expanded pedicled
paraumbilical perforator flaps can achieve excellent function and aesthetic
outcomes in patients with extensive scar contracture and giant melanocytic nevi
in the upper extremity. Although this technique requires multiple procures, each
operation is relatively simple and has a low complication rate, when properly
planned and performed.
PMID- 27894586
TI - Pre-expanded Thoracodorsal Artery Perforator Flap.
AB - The size of the thoracodorsal artery perforator (TDAP) flap or pedicle, in
general, may be found to be inadequate. Pre-expansion of the flap before harvest
can be a solution to increase the size of the TDAP flap in such instances. The
pre-expanded TDAP flap can be used to reconstruct large-sized defects with the
advantage of primary closure of the donor site. This article presents details on
the surgical technique and provides discussion of the authors' experiences.
PMID- 27894588
TI - Pre-expanded Perforator Flaps.
PMID- 27894591
TI - Prehospital fast track care for patients with hip fracture: Impact on time to
surgery, hospital stay, post-operative complications and mortality a randomised,
controlled trial.
PMID- 27894592
TI - [Humanised infrastructure in the ICU. A challenge within our reach].
PMID- 27894590
TI - Preconditioning with Azadirachta indica ameliorates cardiorenal dysfunction
through reduction in oxidative stress and extracellular signal regulated protein
kinase signalling.
AB - BACKGROUND: Azadirachta indica is widely distributed in Africa, Asia and other
tropical parts of the world. A. indica (AI) is traditionally used for the
treatment of several conditions including cancer, hypertension, heart diseases
and skin disorders. Intestinal ischaemia-reperfusion is a common pathway for many
diseases and may lead to multiple organ dysfunction syndrome and death.
OBJECTIVE: In this study, we investigated the ameliorative effects of AI on
intestinal ischaemia-reperfusion injury-induced cardiorenal dysfunction.
MATERIALS AND METHODS: Sixty rats were divided into 6 groups; each containing 10.
Corn oil was orally administered to group A (control) rats for 7 days without
intestinal ischaemia-reperfusion injury. Group B underwent intestinal ischaemia
reperfusion injury (IIRI) without any pre-treatment. Groups C, D, E and F were
pre-treated orally for 7 days with 100 mg/kg AI (100 and (200 mg/kg) vitamin C
(100 and 200 mg/kg) respectively and thereafter underwent IIRI on the 8th day.
RESULTS: The cardiac and renal hydrogen peroxide increased significantly whereas
serum xanthine oxidase and myeloperoxidase levels were significantly elevated (p
< 0.05) in IIRI only when compared to the control. The cardiac and renal reduced
glutathione, glutathione peroxidase, protein thiol, non-protein thiol and serum
nitric oxide (NO) decreased (p < 0.05) significantly following IIRI.
Immunohistochemical evaluation of cardiac and renal tissues showed reduced
expressions of the extracellular signal regulated kinase (ERK1/2) in rats with
IIRI only. However, pre-treatment with A. indica and vitamin C significantly
reduced markers of oxidative stress and inflammation together with improvement in
antioxidant status. Also, reduced serum NO level was normalised in rats pre
treated with A. indica and vitamin C with concomitant higher expressions of
cardiac and renal ERK1/2. CONCLUSIONS: Together, A. indica and vitamin C
prevented IRI-induced cardiorenal dysfunction via reduction in oxidative stress,
improvement in antioxidant defence system and increase in the ERK1/2 expressions.
Therefore, A. indica can be a useful chemopreventive agent in the prevention and
treatment of conditions associated with intestinal ischaemia-reperfusion injury.
PMID- 27894593
TI - Scleromyxoedema.
PMID- 27894589
TI - Discovery and preclinical evaluation of 7-benzyl-N-(substituted)-pyrrolo[3,2
d]pyrimidin-4-amines as single agents with microtubule targeting effects along
with triple-acting angiokinase inhibition as antitumor agents.
AB - The utility of cytostatic antiangiogenic agents (AA) in cancer chemotherapy lies
in their combination with cytotoxic chemotherapeutic agents. Clinical
combinations of AA with microtubule targeting agents (MTAs) have been
particularly successful. The discovery, synthesis and biological evaluations of a
series of 7-benzyl-N-substituted-pyrrolo[3,2-d]pyrimidin-4-amines are reported.
Novel compounds which inhibit proangiogenic receptor tyrosine kinases (RTKs)
including vascular endothelial growth factor receptor-2 (VEGFR-2), platelet
derived growth factor receptor-beta (PDGFR-beta) and epidermal growth factor
receptor (EGFR), along with microtubule targeting in single molecules are
described. These compounds also inhibited blood vessel formation in the chicken
chorioallantoic membrane (CAM) assay, and some potently inhibited tubulin
assembly (with activity comparable to that of combretastatin A-4 (CA)). In
addition, some of the analogs circumvent the most clinically relevant tumor
resistance mechanisms (P-glycoprotein and beta-III tubulin expression) to
microtubule targeting agents (MTA). These MTAs bind at the colchicine site on
tubulin. Two analogs displayed two to three digit nanomolar GI50 values across
the entire NCI 60 tumor cell panel and one of these, compound 7, freely water
soluble as its HCl salt, afforded excellent in vivo antitumor activity against an
orthotopic triple negative 4T1 breast cancer model and was superior to
doxorubicin.
PMID- 27894594
TI - Alterations of Golgi organization in Alzheimer's disease: A cause or a
consequence?
AB - The Golgi apparatus is a central organelle of the secretory pathway involved in
the post-translational modification and sorting of lipids and proteins. In
mammalian cells, the Golgi apparatus is composed of stacks of cisternae organized
in polarized manner, which are interconnected by membrane tubules to constitute
the Golgi ribbon, located in the proximity of the centrosome. Besides the
processing and transport of cargo, the Golgi complex is actively involved in the
regulation of mitotic entry, cytoskeleton organization and dynamics, calcium
homeostasis, and apoptosis, representing a signalling platform for the control of
several cellular functions, including signalling initiated by receptors located
at the plasma membrane. Alterations of the conventional Golgi organization are
associated to many disorders, such as cancer or different neurodegenerative
diseases. In this review, we examine the functional implications of modifications
of Golgi structure in neurodegenerative disorders, with a focus on the role of
Golgi fragmentation in the development of Alzheimer's disease. The comprehension
of the mechanism that induces Golgi fragmentation and of its downstream effects
on neuronal function have the potential to contribute to the development of more
effective therapies to treat or prevent some of these disorders.
PMID- 27894596
TI - New perspectives on lysosomes in ageing and neurodegenerative disease.
PMID- 27894595
TI - Do visual illusions affect grasping? Considerable progress in a scientific
debate. A reply to Whitwell & Goodale, 2016.
PMID- 27894597
TI - Unexpected Cardiac Death During Anaesthesia of a Young Rabbit Associated with
Fibro-fatty Replacement of the Right Ventricular Myocardium.
AB - A 6-month-old female pet rabbit was presented for routine ovariectomy. The pre
anaesthetic evaluation was unremarkable and no anaesthetic complications occurred
during the procedure. However, at the end of the surgery, the rabbit suddenly
showed acute bradycardia and cardiac death. Necropsy examination revealed marked
dilation of the right ventricle, associated with diffuse thinning of the right
ventricular free wall. Gross and histopathological findings were suggestive of a
congenital dilated cardiomyopathy characterized by fibro-fatty replacement of the
right ventricular myocardium. Similar myocardial lesions have not been previously
described in rabbits, although they have been documented in myocardial diseases
of man, dogs, cats, cattle, horses and chimpanzees.
PMID- 27894598
TI - The Research Practicum and International Research Interdisciplinary School (IRIS)
initiatives: In memory of Professor Galen S. Wagner M.D., PhD.
PMID- 27894599
TI - Overexpression of the homologous lanosterol synthase gene in ganoderic acid
biosynthesis in Ganoderma lingzhi.
AB - Ganoderic acids (GAs) in Ganoderma lingzhi exhibit anticancer and antimetastatic
activities. GA yields can be potentially improved by manipulating G. lingzhi
through genetic engineering. In this study, a putative lanosterol synthase (LS)
gene was cloned and overexpressed in G. lingzhi. Results showed that its
overexpression (OE) increased the ganoderic acid (GA) content and the
accumulation of lanosterol and ergosterol in a submerged G. lingzhi culture. The
maximum contents of GA-O, GA-Mk, GA-T, GA-S, GA-Mf, and GA-Me in transgenic
strains were 46.6 +/- 4.8, 24.3 +/- 3.5, 69.8 +/- 8.2, 28.9 +/- 1.4, 15.4 +/-
1.2, and 26.7 +/- 3.1 MUg/100 mg dry weight, respectively, these values being 6.1
, 2.2-, 3.2-, 4.8-, 2.0-, and 1.9-times higher than those in wild-type strains.
In addition, accumulated amounts of lanosterol and ergosterol in transgenic
strains were 2.3 and 1.4-fold higher than those in the control strains,
respectively. The transcription level of LS was also increased by more than five
times in the presence of the G. lingzhi glyceraldehyde-3-phosphate dehydrogenase
gene promoter, whereas transcription levels of 3-hydroxy-3-methylglutaryl
coenzyme A enzyme and squalene synthase did not change significantly in
transgenic strains. This study demonstrated that OE of the homologous LS gene can
enhance lanosterol accumulation. A large precursor supply promotes GA
biosynthesis.
PMID- 27894600
TI - Reply letter to "Texture analysis of parotid gland as a predictive factor of
radiation induced xerostomia: A subset analysis".
PMID- 27894602
TI - Evolution and degree of control of cardiovascular risk factors after 5 years of
follow-up and their relationship with the incidence of peripheral arterial
disease: ARTPER cohort.
AB - BACKGROUND AND OBJECTIVE: Although cardiovascular risk factors (CVRF) are well
known, their degree of control is not optimal. The aim of this study is to assess
the evolution and control of CVRFs after 5 years of monitoring a population-based
cohort and their association with the incidence of peripheral arterial disease
(PAD). MATERIAL AND METHOD: Prospective cohort study recruited between 2006-2008.
Second phase between 2011-2012. An ankle brachial index was determined for all
participants in both phases. Demographic variables, CVRF and previous
cardiovascular events, blood pressure, total cholesterol and its fractions (HDL,
LDL), triglycerides, glucose and glycosylated hemoglobin levels in diabetic
patients and the cardiovascular risk score according to the REGICOR table were
recorded. RESULTS: A total of 2,125 individuals were analyzed. We observed an
increase in the prevalence of hypertension (HT) (15.4%), diabetes (DM) (8.2%) and
hypercholesterolemia (20.4%), with no changes in obesity and smoking. The
cardiovascular risk determined on the basis of the REGICOR table remained at
around 5.5%. We observed an increased control of CVRF throughout the follow-up
period, except in the case of DM and obesity. In the multivariate analysis,
uncontrolled HT 2-folded the risk of onset of PAD (odds ratio [OR] 2.3; 95%
confidence interval [95% CI] 1.3-4.1), whereas smoking 5-folded this risk (OR
5.0; 95% CI 2.5-10.2). CONCLUSIONS: Smoking and uncontrolled HT increase the risk
of onset of PAD in this population. Despite the increase in drug treatments, the
control of CVRFs continues to be suboptimal.
PMID- 27894603
TI - Lactic acidosis as an infrequent manifestation of a pheocromocytoma.
PMID- 27894601
TI - Treatment Rationale and Study Design for the RELAY Study: A Multicenter,
Randomized, Double-Blind Study of Erlotinib With Ramucirumab or Placebo in
Patients With Epidermal Growth Factor Receptor Mutation-Positive Metastatic Non
Small-Cell Lung Cancer.
AB - INTRODUCTION: We present the treatment rationale and study design for the RELAY
study (NCT02411448 ). This phase Ib/III study will assess safety, tolerability,
and efficacy of the combination of ramucirumab with erlotinib in previously
untreated stage IV non-small-cell lung cancer patients with an activating
epidermal growth factor receptor (EGFR) mutation. PATIENTS AND METHODS: The study
is being conducted in approximately 120 sites in North America, Europe, and Asia
and is currently open for enrollment. In part A (phase Ib), approximately 12
patients will receive ramucirumab (10 mg/kg) every 2 weeks with erlotinib (150
mg) every day. Dose-limiting toxicity will be assessed during 2 cycles (4 weeks)
of treatment. In part B (phase III), approximately 450 patients will be
randomized in a 1:1 ratio to receive ramucirumab or placebo every 2 weeks with
erlotinib daily until disease progression, unacceptable toxicity, or other
withdrawal criteria are met. The primary end point is progression-free survival,
on the basis of investigator assessment. Secondary end points include overall
survival, objective response rate, disease control rate, duration of response,
safety, and quality of life. CONCLUSION: Erlotinib with ramucirumab combination
was chosen because the addition of an antiangiogenic agent, such as ramucirumab,
would further improve the efficacy of erlotinib, which is a standard of care in
the first-line treatment of patients with activating EGFR mutations.
PMID- 27894604
TI - Evaluation of the efficacy of therapeutic endoscopy in gastrointestinal bleeding
secondary to angiodysplasias.
AB - INTRODUCTION: Gastrointestinal angiodysplasias are defined as vascular dilations
that communicate capillaries and veins in the walls of the digestive tract. The
clinical presentation of these lesions varies from chronic occult bleeding to
severe gastrointestinal hemorrhage. AIM: The primary aim of our study was to
analyze lesion location, the efficacy of therapeutic endoscopy with argon plasma
coagulation, and the factors associated with rebleeding in patients with
gastrointestinal angiodysplasias. MATERIAL AND METHODS: A retrospective study of
32,042 endoscopies was carried out within the time frame of January 2012 and
December 2013 at our hospital center. Gastrointestinal angiodysplasia was the
diagnosis in 331 of the endoscopies. The procedures included upper
gastrointestinal endoscopy, colonoscopy, sigmoidoscopy, and enteroscopy. RESULTS:
The most frequent location of the angiodysplasias was the cecum (49%), followed
by the ascending colon (17%) and the sigmoid colon (16%). They were most
frequently found in the duodenum (60%) and gastric body (49%) at upper
gastrointestinal endoscopy. Therapeutic endoscopy was performed in 163 cases
(49.8%) and the most predominant methods were fulguration with argon (90%) and
combination treatment (argon plasma coagulation and injection sclerotherapy)
(6.7%). The macroscopic rebleeding rate after therapeutic endoscopy was 7.4%.
Patients that had rebleeding presented with a lower hemoglobin concentration,
higher mean age, and the presence of multiple angiodysplasias at endoscopy
(P<.05). CONCLUSIONS: Therapeutic endoscopy was performed in 49.8% of the
patients with angiodysplasias. The macroscopic rebleeding rate after treatment
was 7.4%. There were statistically significant differences in the patients with
rebleeding in relation to mean age, hemoglobin values, and the presence of
multiple angiodysplasias.
PMID- 27894605
TI - Polypoid nodular scar after endoscopic submucosal dissection in the gastric
antrum.
PMID- 27894606
TI - Uncomfortable trade-offs: Canadian policy makers' perspectives on setting
objectives for their health systems.
AB - BACKGROUND: Although a wide range of health system performance indicators are
commonly reported on, there has been little effort to establish their relevance
to the objectives that health systems actually pursue. OBJECTIVE: The aim of this
study was to identify, explore and better understand health policy makers' views
regarding the objectives and outcomes for their health systems, how they are
prioritized, and the underlying processes that yield them to inform the
development of health system efficiency measures. METHODS: A descriptive,
qualitative methodology was employed using key informant interviews with 17
current and former senior health ministry officials in 8 Canadian provinces and 2
territories. KEY FINDINGS: Health ministries have clearly stated objectives for
health systems focused on the achievement of health system delivery and
population health goals and, increasingly, public, patient and financial
accountability. Acute care objectives are routinely prioritized over population
health objectives and viewed as resulting from challenges associated with
difficult trade-off decisions shaped by organized interests and the media rather
than explicit, evidence-based processes. CONCLUSION: This study provides insights
beyond publicly available documents to explore the processes that underlie simple
statements of health system objectives. Our findings suggest that despite
respondents giving priority to improving individual and population health, it is
more commonly portrayed as an ideal objective than as a realistic one. By
understanding what lies behind statements about what health systems are striving
for, we offer a more robust avenue for increasing the uptake of future studies of
health system performance.
PMID- 27894607
TI - Exosomes in lung cancer liquid biopsies: Two sides of the same coin?
PMID- 27894608
TI - Brucellosis in cattle and micro-scale spatial variability of pastoral household
income from dairy production in south western Uganda.
AB - Brucellosis in cattle and humans has received world-wide research attention as a
neglected and re-emerging zoonotic disease with many routes of transmission.
Studies of brucellosis in Uganda have emphasized occupational exposures and also
revealed variations in prevalence levels by region and cattle production systems.
To date, research linking pastoralist household income from dairy production to
brucellosis and its transmission risk pathways do not exist in Uganda. We
assessed whether spatial differences in unit milk prices can be explained by
brucellosis prevalence in cattle along a distance gradient from Lake Mburo
National Park in Uganda. Semi-structured interviews administered to 366 randomly
selected household heads were supplemented with serological data on brucellosis
in cattle. Statistical analysis included Pearson correlation test, multiple
regression and analysis of variance (ANOVA) using SPSS version 17. Serological
results showed that 44% of cattle blood samples were sero-positive for
brucellosis. The results obtained from interviews put the statistical mean of
household reported cattle abortions at 5.39 (5.08-5.70 at 95% CI, n=366). Post
hoc analysis of variance revealed that both sero-positive cattle and reported
cattle abortions significantly were much lower when moving outwards from the park
boundary (p<0.05), while the price of milk increased significantly (p<0.05) along
the same distance gradient. Further studies should identify public and private
partnerships needed to create and strengthen good zoonotic brucellosis management
practices at the nexus of wildlife and livestock in Uganda.
PMID- 27894609
TI - What is the problem with medically unexplained symptoms for GPs? A meta-synthesis
of qualitative studies.
AB - OBJECTIVE: To gain a deeper understanding of challenges faced by GPs when
managing patients with MUS. METHODS: We used meta-ethnography to synthesize
qualitative studies on GPs' perception and management of MUS. RESULTS: The
problem with MUS for GPs is the epistemological incongruence between dominant
disease models and the reality of meeting patients suffering from persistent
illness. GPs have used flexible approaches to manage the situation, yet patients
and doctors have had parallel negative experiences of being stuck, untrustworthy
and helpless. In the face of cognitive incongruence, GPs have strived to achieve
relational congruence with their patients. This has led to parallel positive
experiences of mutual trust and validation. With more experience, some GPs seem
to overcome the incongruences, and later studies point towards a reframing of the
MUS problem. CONCLUSION: For GPs, the challenge with MUS is most importantly at
an epistemological level. Hence, a full reframing of the problem of MUS for GPs
(and for patients) implies broad changes in basic medical knowledge and
education. PRACTICE IMPLICATIONS: Short-term: Improve management of patients with
MUS by transferring experience-based, reality-adjusted knowledge from senior GPs
to juniors. Long-term: Work towards new models of disease that integrate
knowledge from all relevant disciplines.
PMID- 27894610
TI - [Reconstruction of proximal nasal defect after deep carcinological resection.
Interest to combine titane mesh with fat grafting].
AB - INTRODUCTION: The mucosal cylindroma or adenoid cystic carcinoma is the second
sinonasal non-epithelial malignant tumor frequency argument. Due to the
achievement of the nasal mucosa and a late diagnosis reconstructions are often
complex. We report the case of a mucous cylindroma recurrence of nasal septum
requiring a wide and deep excision of the root of the nose with nasal
reconstruction. CASE REPORT: A patient aged 66 years whose history resection two
years ago with a mucous adenoid cystic carcinoma of the right side of the nasal
septum, had a local recurrence T2NOM0 imposing a wide excision with amputation
nasal septum own bones of nose, the anterior portion of the triangular
cartilages. The reconstruction was carried out in three areas: a musculocutaneous
forehead flap to the mucosal level, a titanium plate to the bone plane, nasal
native skin to skin level. Histological examination confirmed the existence of a
recurrence cylindroma infiltrating the bone. The surgical margins were however in
sano. Adjuvant radiotherapy to 60Gy dose of the surgical area was indicated after
surgery. The consequences of surgery and radiotherapy were simple. However local
changes to show a progressive refinement of the nasal skin due to irradiation
leading to a small titanium plate exposure revision surgery with coverage by a
glabellar flap associated with a time of lipomodeling unexposed areas have
improved and trophism of soft tissue. Two further lipomodeling sessions have
yielded a good quality and stable skin. From an oncological point of view, no
recurrence was detected with a decline of 10 years. Aesthetic and functional
point of view the results were highly satisfactory. DISCUSSION: Oncologic
resections subtotal nasal pyramid are complex, we will see the benefits and
disadvantages of the different techniques used in the different stages.
CONCLUSION: This case report illustrates the difficulties of reconstruction after
wide excision of mucosal lesions root of the nose carrying the mucous plan and
nasal bones. It stresses the importance of bone reconstruction titanium plate and
innovative element, the efficiency of fat transfer to restore the quality and
trophicity soft tissue. Fat transfer in addition to reconstructions by titanium
plates and splints may thus expand the indications of these types of techniques
in complex facial and cranial reconstructions.
PMID- 27894611
TI - The Threshold Theory for Parkinson's Disease.
AB - Parkinson's disease (PD) is recognized by the accumulation of alpha-synuclein
within neurons. In contrast to the current ascending theory where alpha-synuclein
would propagate from neuron to neuron, we now propose the threshold theory for PD
based on evidence of parallel degeneration of both central nervous system (CNS)
and peripheral nervous system (PNS) in PD. The functional threshold is lower for
the emergence of early symptoms before the classical motor symptoms of PD. This
is due to the larger functional reserve of the midbrain dopamine and integrated
basal ganglia motor systems to control movement. This threshold theory better
accounts for the current neurobiology of PD symptom progression compared to the
hypothesis that the disease ascends from the PNS to the CNS as proposed by
Braak's hypothesis.
PMID- 27894613
TI - Comparative study between thulium laser (Tm: YAG) 150W and greenlight laser
(LBO:ND-YAG) 120W for the treatment of benign prostatic hyperpplasia: Short-term
efficacy and security.
AB - OBJECTIVE: To compare the results of efficacy and safety of Thulium laser 150W
against Greenlight laser 120W in the treatment of short term benign prostatic
hyperplasia (12 months after surgery). MATERIAL AND METHODS: This is a
retrospective observational study where men who underwent the surgical technique
of prostate vaporization over a period of four years in our center are included.
The homogeneity of the sample was checked, and postoperative complications (acute
urinary retention, reentry, need for transfusion), failures per year of surgery
(reoperation, peak flow <15ml/sec, no improvement in comparing the I-PSS), and
decreased PSA were compared a year after surgery. A bivariate analysis using Chi
square and t-Student was carried out. RESULTS: 116 patients were treated with
thulium and 118 with green laser. The sample was homogeneous for preoperative
variables (P>.05). No differences in complications were observed: in urine acute
retention, 4.3% with thulium and 6.8% with green laser (P=.41); in readmissions,
2.6% with thulium and 1.7% with green laser (P=.68); in need for transfusion,
2.6% with thulium and 0% with green laser (P=.12). No differences were observed
in the percentage of patients reoperation (1.7% in the group of thulium, 5.1% in
the green laser, P=.28); or in individuals with Qmax less than 15ml/sec (6.9%
with thulium, 6.77% with green laser, P=.75), or in the absence of improvement in
the IPSS (5, 2% with thulium, 3.4% with green laser, P=.65). There was also no
difference in the levels of PSA in ng/mL a year after surgery: with thulium
2.78+/-2.09 and with green laser 1.83+/-1.48 (P=.75). CONCLUSIONS: Prostate
vaporization with thulium laser 150W is comparable to that made with green laser
120W for the treatment of lower urinary tract symptoms caused by BPH, being both
effective and safe techniques to 12 months after surgery. Future prospective
randomized studies are needed to confirm this conclusion on both techniques.
PMID- 27894612
TI - Influence of social networks on congresses of urological societies and
associations: Results of the 81th National Congress of the Spanish Urological
Association.
AB - OBJECTIVE: To measure social network activity during the 81th National Congress
of the Spanish Urological Association (AEU) and to compare it with the activity
during other congresses of national and international urological associations.
MATERIAL AND METHODS: We designed and registered the official hashtag #AEU16 for
the 81th National Congress of the AEU on the Symplur website. The following
measurements were recorded: number of participants, number of tweets, tweets by
participant, tweets per hour and views. RESULTS: The number of participants in
the social network activity during the congress was 207. The measurements of
activity in Twitter consisted of a total of 1866 tweets, a mean rate of 16
tweets/h, 9 tweets per participant and 1,511,142 views. The activity during the
international congresses is as follows: 2016 American Urological Association
annual congress (views: 28,052,558), 2016 European Association of Urology annual
congress (views: 13,915,994), 2016 Urological Society of Australia and New
Zealand (views: 4,757,453), 2015 Societe Internationale d'Urologie annual
congress (views: 1,023,038). The activity during the national congresses was
recorded as follows: 2016 Annual Conference of The British Association of
Urological Surgeons (views: 2,518,880), 81th National Congress of the AEU (views:
1,511,142), 109th Congress of l'Association Francaise d'Urologie (views:
662,828), 67th German Congress of Urology (views: 167,347). We found 10 posts in
Facebook and 2 communications via Periscope TV related to #AEU16. CONCLUSIONS:
The social network activity during the 81th National Congress of the AEU was
notable given the results of this study. The use of social networks has expanded
among urological associations, congresses and meetings, giving them a global
character.
PMID- 27894614
TI - Giant vulvar verruciform xanthoma can mimic a common vulvar mass, genital warts.
PMID- 27894615
TI - Opioid dependence and pregnancy: minimizing stress on the fetal brain.
PMID- 27894616
TI - Histopathological lesions in encephalon and heart of mice infected with
Toxoplasma gondii increase after Lycopodium clavatum 200dH treatment.
AB - In many cases, symptoms of toxoplasmosis are mistaken for the ones of other
infectious diseases. Clinical signs are rare in immunocompetent people. However,
when they arise, in the acute phase of infection, several organs are affected due
to the rapid spread of tachyzoites through the bloodstream. In the present study,
the reduction of tachyzoites in peripheral blood of mice of G72 (infected 72h
after treatment) and G48 (infected 48h after treatment and treated three more
times), when compared with IC (infected and non-treated), suggests protective
effect exerted by Lycopodium clavatum. If on the one hand L. clavatum brought
benefits, reducing parasitemia, on the other hand, the parasitism became
exacerbated. Histopathological analysis demonstrated focal, multifocal and
diffuse inflammatory infiltrates, ranging from absent, discreet, moderate to
intense, in heart and encephalon of mice of NIC (non-infected and non-treated),
IC, G48 and G72 groups, respectively. In the perivascular region and meninges,
the injuries were enlarged. The presence of tachyzoites was demonstrated through
immunohistochemical (IHC) assay in myocardium. Toxoplasma gondii induced increase
of collagen fibers in myocardium of mice of G72 and G48 groups, compared with IC
(p<0.05) and NIC (p<0.001). The presence of inflammatory infiltrates, as well as
the progressive fibrosis, caused myocardial remodeling in animals treated with L.
clavatum. Counterstaining with H&E suggests TGF-beta expression by mononuclear
cells in the inflammatory infiltrate. Based on our results, we can conclude that
the adopted regimen and potency exerted a protective effect, reducing
parasitemia. However, it intensified the histopathological lesions in encephalon
and heart of mice infected with T. gondii.
PMID- 27894617
TI - Pathway analysis based on Monte Carlo Cross-Validation in polyarticular juvenile
idiopathic arthritis.
AB - INTRODUCTION: Juvenile idiopathic arthritis (JIA) is a common chronic disease
with onset before the 16 years old in a child. Polyarticular JIA has been
reported as the main form of JIA in several locations. Until now, understanding
of the genetic basis of JIA is incomplete. The purpose of this study was to
identify pathway pairs of great potential functional relevance in the progression
of polyarticular JIA. MATERIALS AND METHODS: Microarray data of 59 peripheral
blood samples from healthy children and 61 samples from polyarticular JIA were
transformed to gene expression data. Differential expressed genes (DEG) between
patients and normal controls were identified using Linear Models for Microarray
Analysis. After performed enrichment of DEG, differential pathways were
identified with Fisher's test and false discovery rate. Differential pathway
pairs were constructed with random two differential pathways, and were evaluated
by Random Forest classification. Monte Carlo Cross-Validation was introduced to
screen the best pathway pair. RESULTS: 42 DEG with P-values<0.01 were identified.
19 differential pathways with P-values<0.01 were identified. Area under the curve
(AUC) of pathway pairs was generated with RF classification. After 50 bootstraps
of Monte Carlo Cross-Validation, the best pathway pair with the highest AUC value
was identified, and it was the pair of tumoricidal function of hepatic natural
killer cells pathway and erythropoietin signaling pathway. CONCLUSION: These
identified pathway pairs may play pivotal roles in the progress of polyarticular
JIA and can be applied for diagnosis. Particular attention can be focused on them
for further research.
PMID- 27894618
TI - Primary pulmonary/pleural melanoma in a 13 year-old presenting as pleural
effusion.
AB - Melanoma in children, adolescents, and young adults is uncommon and reported
almost exclusively as cutaneous melanoma. Melanoma presenting as a pleural
effusion is very rare in adults and not reported in the pediatric population.
Additionally, primary pulmonary melanoma is overall very rare and undocumented in
pediatric patients. Furthermore, the distinction between a primary
pulmonary/pleural melanoma versus a regressed cutaneous melanoma with
pulmonary/pleural metastases remains extremely challenging. We discuss a case of
a previously healthy 13-year-old girl that presented with a left-sided pleural
effusion. Investigations revealed a large mediastinal mass, left-sided pleural
and pulmonary nodules, a sacral mass, and bone marrow infiltration. The neoplasm
was subsequently diagnosed by morphology and immunocytochemistry with
histological correlation as malignant melanoma. As no mucosal, eye, or cutaneous
lesions were identified, we deliberate the likelihood of a regressed cutaneous
melanoma with metastases versus primary pulmonary/pleural melanoma with pleural
effusion and discuss its diagnostic approach.
PMID- 27894619
TI - Expression of pro-fibrotic and anti-fibrotic molecules in dimethylnitrosamine
induced hepatic fibrosis.
AB - BACKGROUND: Hepatic fibrosis is characterized by a progressive accumulation of
fibrillar extracellular matrix (ECM) proteins, produced by activated
myofibroblasts which are modulated by both profibrotic and antifibrotic factors.
OBJECTIVE: To evaluate in vivo the expression of pro-fibrotic molecules like
avbeta6 integrin, transforming growth factor-beta (TGF-beta), Smad3, connective
tissue growth factor (CTGF) and mammalian target of Rapamycin (mTOR), as well as
anti-fibrotic peroxisome proliferator-activated receptor-gamma (PPARgamma) in an
experimental model of chronic hepatitis-associated fibrosis induced by
intraperitoneal administration of dimethylnitrosamine (DMN) in mice. METHODS:
Chronic hepatitis was induced in 12 Smad3 wild-type (WT) and 12 knock-out (KO)
mice by intraperitoneal DMN administration. Histological, morphometric and
immunohistochemical analyses using alpha-smooth muscle actin (alpha-SMA),
collagen types I-III, TGF-beta1, Smad3, avbeta6 integrin, CTGF, mTOR and
PPARgamma antibodies were performed. RESULTS: The liver of DMN-treated Smad3 WT
mice showed a higher degree of hepatic accumulation of connective tissue compared
to KO mice. The expression of alpha-SMA, collagen I-III and CTGF was increased in
Smad3 WT compared to KO mice treated with DMN, associated with a concomitant up
regulation of avbeta6, TGFbeta, Smad3, and mTOR and a reduction in PPARgamma
expression. CONCLUSIONS: These results suggest a possible interaction between pro
fibrotic and anti-fibrotic molecules in the development of hepatic fibrosis.
PMID- 27894620
TI - Clinical implications of a rare renal entity: Pleomorphic Hyalinizing Angiectatic
Tumor (PHAT).
AB - Pleomorphic Hyalinizing Angiectatic Tumor (PHAT) is a rare benign lesion
characterized by slow growth, infiltrative behavior and high rate of local
recurrences. Only one case has been described in retroperitoneum, at renal hilum,
but not involving pelvis or parenchyma. Here we present the first case of PHAT
arising in the renal parenchyma. A nodular lesion in right kidney lower pole was
diagnosed to a 61 year old woman. The patient underwent right nephrectomy.
Microscopically, the lesion showed solid and pseudo-cystic components with
hemorrhagic areas characterized by aggregates of ectatic blood vessels.
Pleomorphic cells were characterized by large eosinophilic cytoplasm with
irregular and hyperchromatic nuclei. Immunohistochemistry was performed and the
lesion was classified as a Pleomorphic Hyalinizing Angiectatic Tumor (PHAT). Due
to the clinical behavior of this tumor, in spite of its benign nature, review of
the surgical margins and close follow up after partial nephrectomy are mandatory.
PMID- 27894621
TI - Glomerulocystic kidney presenting as a unilateral kidney mass in a newborn with
tuberous sclerosis: Report of a case and review of the literature.
AB - Glomerular cysts are defined as a 2-3 times dilation of Bowman spaces and their
presence in at least 5% of the glomeruli defines the kidneys as glomerulocystic
(GCK). The association between cystic kidney disease and the tuberous sclerosis
complex (TSC) is well known, but its presentation as a unilateral mass with
glomerulocystic pattern is rare. We describe a case of an infant with a prenatal
diagnosis of TSC, with a renal mass that was believed to be a renal tumor. A four
month-old infant with maternal history of TSC and prenatally diagnosed
subependymal nodules and a right renal mass underwent nephrectomy. Histopathology
revealed a segmental GCK with epithelial hyperplasia of the tubules and cysts. A
diagnosis of TSC associated GCK was rendered. Eight other cases with similar
histopathological findings were found in the literature, two of which presented
as a localized mass. Usually there is no family history but the pathologic
findings are similar. Awareness of the entity and its presentation as a localized
mass may aid in the differential diagnosis of renal masses in infants. The pre
operative diagnosis of GCK is difficult and relies on a high degree of clinical
awareness and imaging skills. Its presence should prompt the search for its
etiology, particularly the exclusion of a heritable cause. The hyperplastic
tubular epithelium within the glomerular cysts found in ours and other reported
cases seems so characteristic that may serve as a major clue for the diagnosis of
TSC.
PMID- 27894622
TI - A case of Philadelphia chromosome positive acute lymphoblastic leukemia with
partial trisomy of chromosome 1q involving chromosome 13 as the acceptor-A novel
cytogenetic finding.
AB - The Philadelphia (Ph) chromosome is infrequently found in acute lymphoblastic
leukemia and is associated with poor prognosis. We present a case of Ph
chromosome positive B cell-acute lymphoblastic leukemia with the partial trisomy
of chromosome 1q involving chromosome 13 as the acceptor which has never been
reported in the English literature. Jumping translocation (JT) of chromosome 1 is
rare and is associated with disease progression and poor prognosis. Herein, we
report the first case of Ph chromosome positive B cell-acute lymphoblastic
leukemia with coexisting jumping translocation of chromosome 1 leading to trisomy
of chromosome 1q. Dismal prognosis associated with synchronous presence of a Ph
chromosome and JT leading to a partial trisomy of chromosome 1q may carry
significant prognostic and therapeutic implications. This may be an incidental
finding and further studies with large patient cohorts and clinical outcomes are
needed to definitively determine the predictive value of this cytogenetic
finding.
PMID- 27894623
TI - Female With Chest Pain and Nausea.
PMID- 27894624
TI - Female With Palpitations and Weakness.
PMID- 27894625
TI - Woman With Lower Back Pain.
PMID- 27894626
TI - Young Woman With Rash on Left Thigh.
PMID- 27894627
TI - Life in Death.
PMID- 27894628
TI - The Scab.
PMID- 27894629
TI - Hello From the Other Side.
PMID- 27894630
TI - Finding Factors Associated With Post-Emergency Department Morbidity and Mortality
in Elderly Patients: Analyzing a Case-Control Study: Answers to the July 2016
Annals of Emergency Medicine Journal Club.
PMID- 27894631
TI - Elderly Woman With Altered Mental Status and Hypoxia.
PMID- 27894632
TI - Adolescent Male With Knee Pain and Swelling.
PMID- 27894633
TI - Young Child With Abdominal and Back Pain.
PMID- 27894634
TI - A Clinical Decision Rule for Thoracolumbar Spine Imaging in Blunt Trauma?
PMID- 27894635
TI - Sepsis-3 Definitions.
PMID- 27894636
TI - Time-Driven Activity-Based Costing in Emergency Medicine.
PMID- 27894637
TI - In reply.
PMID- 27894638
TI - Additional Observations About the Diagnostic Approach to Patients With Headache
and Possible Subarachnoid Hemorrhage.
PMID- 27894639
TI - Editorial Oversight of Results Reported in Annals.
PMID- 27894640
TI - In reply.
PMID- 27894641
TI - Ethical Use of Telemedicine in Emergency Care.
PMID- 27894642
TI - Young Male With Neck Pain.
PMID- 27894643
TI - Young Woman With Paraplegia Following a Motor Vehicle Crash.
PMID- 27894644
TI - Newborn With Scalp Swelling.
PMID- 27894645
TI - Microbes Dress for Success: Tolerance or Resistance?
AB - The intestinal microbiota performs essential functions for host physiology, but
the specific constituents and the microbial factors required to promote host
health remain largely unknown. A study by Rangan et al. suggests that members of
the microbiota can modify microbial associated molecular patterns to promote host
defense against invading pathogens.
PMID- 27894647
TI - Addressing addiction in the USA.
PMID- 27894646
TI - The Enigmatic Esx Proteins: Looking Beyond Mycobacteria.
AB - Bacteria export proteins across membranes using a range of transport machineries.
Type VII secretion systems (T7SSs), originally described in mycobacteria, are now
known to be widespread across diverse bacterial phyla. Recent studies have
characterized secretion components and mechanisms of type VII secretion in
pathogenic and environmental bacteria. A variety of functions have been
attributed to T7SS substrates, including interactions with eukaryotes and with
other bacteria. Here, we evaluate the growing body of knowledge on T7SSs, with
focus on the nonmycobacterial systems, reviewing their phylogenetic distribution,
structure and function in diverse settings.
PMID- 27894648
TI - Reversing the rising tide of diabetes in China.
PMID- 27894649
TI - The unacceptable reality of care for people living with dementia.
PMID- 27894650
TI - New WHO antenatal care model-quality worth paying for?
PMID- 27894652
TI - Offline: The case against (and for) public health.
PMID- 27894651
TI - The global shortage of health workers-an opportunity to transform care.
PMID- 27894653
TI - Targeting HIV prevention to young women in Africa.
PMID- 27894655
TI - Deborah L Birx: on a mission to end the HIV/AIDS epidemic.
PMID- 27894654
TI - Government purge continues in Turkey after failed coup.
PMID- 27894656
TI - Picturing health: stories of stillbirths.
PMID- 27894658
TI - Gender and health: between nomenclatures and continuums.
PMID- 27894657
TI - Transgender health in India and Pakistan.
PMID- 27894659
TI - How successful was the English teenage pregnancy strategy?
PMID- 27894660
TI - How successful was the English teenage pregnancy strategy? - Authors' reply.
PMID- 27894661
TI - Brexit-a perspective from the other side of the Channel.
PMID- 27894662
TI - The challenge of screening for early gastric cancer in China.
PMID- 27894663
TI - More than meets the eye?
PMID- 27894664
TI - Tomographic osteometry of the zygomatic bone applied to traumatology of facial
bones: Preliminary retrospective study of zygomatic summit in 28 patients.
AB - BACKGROUND: The available referential measures defining the zygomatic bone
morphology are adequate enough for full determination of the zygoma positioning,
especially for trauma surgery, but osteometric analysis of the zygoma positioning
based on tomographic examinations could be useful in peroperative and
postoperative imaging. In this study, we propose referential measures, taking
into account the morphology and the symmetry of the zygoma. In addition, the
reliability and reproducibility are considered. METHODS: Thirty normal computed
tomography (CT) scans were used to establish the referential measures. The study
involved preoperative imaging (27 CT and 1 cone beam CT [CBCT] scan), and
postoperative imaging (6 CT and 22 CBCT scans) pertaining to 28 patients, showing
a unilateral zygomatic fracture. In a precisely chosen axial cut in the orbito
meatal plane, 3 referential data were defined: namely, S, denoting the distance
of the zygoma summit; alphaS, representing the angle between the summit and the
symmetry axis passing through the clivus; and alphaZ, corresponding to the
morphologic angle. RESULTS: The 3 referential measures S, alphaS, and alphaZ are
reliable and reproducible by CT and CBCT examination. Those parameters can be
used to evaluate the zygomatic symmetry. The postoperative asymmetries arise from
an erroneous recovery of S, which was statistically significant at p = 0.0216.
CONCLUSION: On a single optimized axial cut, the new referential measures are
useful and effective in preoperative and postoperative assessment of the residual
bone asymmetries. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 27894665
TI - Modulatory effects of curcumin on apoptosis and cytotoxicity-related molecules in
HTLV-1-associated myelopathy/tropical spastic paraparesis (HAM/TSP) patients.
AB - Apoptosis is a universal cellular defense mechanism against viral infection.
Curcumin, an anti-inflammatory phytochemical, induces apoptosis through
mitochondrial and receptor-mediated pathways, as well as activation of caspase
cascades. Here, we investigated the impact of supplementation with curcumin on
the expression of a panel of apoptosis- and cytotoxicity-related genes in
patients suffering from HTLV-1 associated myelopathy/tropical spastic paraparesis
(HAM/TSP), a progressive demyelinating neuroinflammatory disease caused by HTLV-1
infection. Twenty-one HAM/TSP patients enrolled in this study. Curcumin
nanomicelles (80mg/day, orally) were administered once a day for 12 weeks. The
mRNA levels of total Fas (tFas), membrane-bound Fas (mFas), Fas-Ligand (FasL),
TNF-related apoptosis-inducing ligand (TRAIL), perforin, granzyme A, granzyme B
and granulysin were analyzed before and after treatment in peripheral blood
lymphocytes. Protein levels of Fas, FasL, TRAIL and granulysin were also measured
in serum using ELISA. Curcumin supplementation inhibited FasL mRNA production and
up-regulated the expression of pro-apoptotic molecules granzyme A (at the mRNA
level) and granulysin (at the protein level), suggesting degranulation of
granulysin-bearing cells following curcumin supplementation. Conversely, Curcumin
did not affect Fas, TRAIL, perforin, granzyme B at the mRNA level, and anti
apoptotic molecules sFas, sFasL and sTRAIL at the protein level. The present
results suggest that curcumin supplementation increases cytotoxicity-related
molecules granzyme A and granulysin in patients with HAM/TSP.
PMID- 27894666
TI - Ouabain induces apoptosis and autophagy in Burkitt's lymphoma Raji cells.
AB - The steroid Na+/K+-ATPase blocker ouabain has been shown to exhibit cytotoxic
effects in various tumor cell systems. This study aimed to determine the effects
of ouabain on Burkitt's lymphoma Raji cells. Ouabain treatment of Raji cells
significantly inhibited cell proliferation in a dose-dependent manner and
increased the morphological changes associated with apoptosis. Additionally,
increased numbers of both early and late apoptotic cells were observed by annexin
V-FITC/PI flow cytometry assay. Increased levels of caspase-3 and cleaved-caspase
3, higher Bax activity and decreased expression of the anti-apoptotic protein Bcl
2 were detected in ouabain-treated Raji cells. Vacuole accumulation was also
observed in transmission electron microscope (TEM) images of ouabain-treated Raji
cells, indicating that these cells were undergoing autophagy. Expression of the
autophagy-related proteins LC3-II and Beclin-1 was upregulated in ouabain-treated
Raji cells. These results suggest that ouabain may promote cell death in Raji
cells by inducing pathways associated with apoptosis and autophagy. Our study
also provides novel evidence that ouabain may be an effective agent for treating
Burkitt's lymphoma.
PMID- 27894667
TI - Nuclear PKM2 expression, an independent risk factor for ER after curative
resection of hepatocellular carcinoma.
AB - Surgical resection, providing a long-term survival of hepatocellular carcinoma
(HCC) patients, is regarded as one of the standard curative treatments of HCC if
the tumor is resectable. However, 50% patients develop early recurrence (ER)
during the first two years after operation, which are more diffuse and rarely
treatable with unsatisfactory long-term survival. Unfortunately, the underlying
mechanisms of ER after curative resection and the molecular markers with
predictive and prognostic significance have never been identified yet. Recent
studies reveal that pyruvate kinase M2 (PKM2) levels were correlated with overall
survival and disease-free survival in patients with HCC. The present study was
aimed to investigate the correlation between the expression of PKM2 and ER. Our
findings demonstrated that not the total PKM2 expression but the nuclear PKM2
expression as an independent risk factor for ER after curative resection, and
could be a promising intervention target following curative resection for HCC
patients.
PMID- 27894668
TI - Txn1, Ctsd and Cdk4 are key proteins of combination therapy with taurine,
epigallocatechin gallate and genistein against liver fibrosis in rats.
AB - The anti-fibrotic mechanism of combination therapy with taurine, epigallocatechin
gallate and genistein was studied from the perspective of serum proteomics in our
previous work. In order to further investigate and systematically analyse other
possible therapeutic mechanism of combination therapy against liver fibrosis,
isobaric tags for relative and absolute quantification (iTRAQ) proteomic analysis
was applied to study the protein profile changes in liver tissue of carbon
tetrachloride-induced liver fibrosis rats after combination therapy. A total of
115 differentially expressed proteins containing 84 up-regulated and 31 down
regulated proteins in response to combination therapy were identified. Three
differentially expressed proteins (Txn1, Ctsd and Cdk4) involved in antioxidant
defense system and the activation and proliferation of hepatic stellate cell were
selected for further validation by western blot and real-time PCR analysis. Our
study highlight the importance of differentially expressed proteins Txn1, Ctsd
and Cdk4 against liver fibrosis, which may provide a more precise and
comprehensive perspective for clarifying the roles of combination therapy as a
potential agent for treatment of liver fibrosis.
PMID- 27894669
TI - The Role of the Laboratory and Transfusion Service in the Management of Ebola
Virus Disease.
AB - The Ebola outbreak that began in 2013 infected and killed record numbers of
individuals and created unprecedented challenges, including containment and
treatment of the virus in resource-strained West Africa as well as the
repatriation and treatment for patients in the United States and Europe. Valuable
lessons were learned, especially the important role that the laboratory and
transfusion service plays in the treatment for patients with Ebola virus disease
(EVD) by providing data for supportive care and fluid resuscitation as well as
the generation of investigational therapies such as convalescent plasma (CP). To
provide treatment support, laboratories had to evaluate and update procedures to
ensure the safety of laboratory personnel. Because there is no licensed EVD
specific treatment, CP was used in more than 99 patients with only 1 possible
severe adverse event reported. However, given the biologic variability inherent
in CP as well as the small number of patient treated in a nonrandomized fashion,
the efficacy of CP in the treatment of EVD remains unknown.
PMID- 27894671
TI - Response to Letter to the Editor on "Factors Associated With Trunnionosis in the
Metal-on-Metal Pinnacle Hip".
PMID- 27894670
TI - Does Cost-Related Medication Nonadherence among Cardiovascular Disease Patients
Vary by Gender? Evidence from a Nationally Representative Sample.
AB - INTRODUCTION: Cardiovascular disease (CVD) is a leading cause of death and
disability as well as a major burden on the U.S. healthcare system. Cost-related
medication nonadherence (CRN) to prescribed medications is common among patients
with CVD. This study examines the gender differences in CRN among CVD patients.
METHODS: We used 2011 to 2014 data from the National Health Interview Survey, an
annual, cross-sectional, nationally representative household survey of the
noninstitutionalized U.S. civilian population (>=18 years of age). Based on
Andersen's model of health services utilization, multivariate logistic
regressions were estimated to examine the effect of gender on the primary
composite outcome of CRN which was identified if any of the following types of
CRN were reported: 1) skipped medication doses to save money, 2) took less
medication to save money, and 3) delayed prescription filling to save money.
RESULTS: Among CVD patients who had used a prescription medication in the last 12
months, 10.0% skipped medication doses, 10.6% took less medication, and 12.8%
delayed filling their prescriptions. After adjusting for confounding factors,
gender was found to be significantly associated with the composite outcome of CRN
among CVD patients. Women were 1.54 times (95% confidence interval, 1.33-1.77)
more likely to have any of the types of CRN compared with men. CONCLUSION: There
are significant gender disparities in CRN among CVD patients. More support for
and closer monitoring of CRN is needed for disadvantaged groups, especially women
with limited resources.
PMID- 27894673
TI - Treatment-related Death in Cancer Patients Treated with Immune Checkpoint
Inhibitors: A Systematic Review and Meta-analysis.
AB - AIMS: We carried out a meta-analysis to determine the risk of treatment-related
death associated with immune checkpoint inhibitor use in cancer patients.
MATERIALS AND METHODS: We examined data from the Medline and Google Scholar
databases. We also examined original studies and review articles for cross
references. Eligible studies included randomised phase II and phase III trials of
patients with cancer treated with ipilimumab, pembrolizumab; nivolumab;
tremelimumab and atezolizumab. The authors extracted relevant information on
participants, characteristics, treatment-related death and information on the
methodology of the studies. RESULTS: After exclusion of ineligible records, 18
clinical trials were included in the analysis. The odds ratio for treatment
related death for CTLA-4 inhibitors (ipilimumab and tremelimumab) was 1.80 (95%
confidence interval 1.25, 2.59; P=0.002) and for PD-1/PD-L1 inhibitors
(nivolumab, pembrolizumab and atezolizumab) was 0.63 (95% confidence interval
0.31, 1.30; P=0.22). Treated cancer seems to have no effect on the risk of
treatment-related death. CONCLUSIONS: Analysis of our data showed that CTLA-4
inhibitors (ipilimumab and tremelimumab) in a higher dose (10 mg/kg) seem to be
associated with a higher risk of treatment-related death compared with control
regimens, whereas PD-1/PD-L1 inhibitors (nivolumab, pembrolizumab and
atezolizumab) do not cause the same risk. Clinicians have to be fully aware of
these differential risks and council their patients appropriately.
PMID- 27894672
TI - microRNA-145 regulates the RLR signaling pathway in miiuy croaker after poly(I:C)
stimulation via targeting MDA5.
AB - MicroRNAs (miRNAs) are endogenous small non-coding RNAs that participate in
diverse biological processes via degrading the target mRNAs or repressing
translation. In this study, the regulation of miRNA to the RLR (RIG-I-like
receptor) signaling pathway by degrading the target mRNAs was researched in miiuy
croaker. MDA5, a microRNA-145-5p (miR-145-5p) putative target gene, was predicted
by bioinformatics, and the target sites from the 3'untranslated region of MDA5
transcripts were confirmed using luciferase reporter assays. Pre-miR-145 was more
effective in inhibiting MDA5 than miR-145-5p mimic, and the effect was dose- and
time-dependent. The expression patterns of miR-145-5p and MDA5 were analyzed in
liver and kidney from miiuy croaker. Results implied that miR-145-5p may function
via degrading the MDA5 mRNAs, thereby regulating the RLR signaling pathway.
Studies on miR-145-5p will enrich knowledge of its functions in immune response
regulation in fish, as well as offer a basis for regulatory networks that are
composed of numerous miRNAs.
PMID- 27894674
TI - Emergence of plasmid-mediated colistin resistance and New Delhi metallo-beta
lactamase genes in extensively drug-resistant Escherichia coli isolated from a
patient in Thailand.
AB - We reported a case of Escherichia coli with colistin resistance and an
extensively drug-resistant phenotype. Molecular analysis revealed that the
isolate carried mcr-1 and multiple beta-lactamase genes includingblaNDM1, blaCTX
M-15, blaTEM1, and blaCMY-2. This is the first report of a clinical mcr-1 isolate
in Thailand highlighting the urgent need for a comprehensive antimicrobial
resistance containment strategy to prevent further spread.
PMID- 27894675
TI - The manifestation of vortical and secondary flow in the cerebral venous outflow
tract: An in vivo MR velocimetry study.
AB - Aberrations in flow in the cerebral venous outflow tract (CVOT) have been
implicated as the cause of several pathologic conditions including idiopathic
intracranial hypertension (IIH), multiple sclerosis (MS), and pulsatile tinnitus
(PT). The advent of 4D flow magnetic resonance imaging (4D-flow MRI) has recently
allowed researchers to evaluate blood flow patterns in the arterial structures
with great success. We utilized similar imaging techniques and found several
distinct flow characteristics in the CVOT of subjects with and without lumenal
irregularities. We present the flow patterns of 8 out of 38 subjects who have
varying heights of the internal jugular bulb and varying lumenal irregularities
including stenosis and diverticulum. In the internal jugular vein (IJV) with an
elevated jugular bulb (JB), 4Dflow MRI revealed a characteristic spiral flow that
was dependent on the level of JB elevation. Vortical flow was also observed in
the diverticula of the venous sinuses and IJV. The diversity of flow complexity
in the CVOT illustrates the potential importance of hemodynamic investigations in
elucidating venous pathologies.
PMID- 27894676
TI - A multiscale biomechanical model of platelets: Correlating with in-vitro results.
AB - Using dissipative particle dynamics (DPD) combined with coarse grained molecular
dynamics (CGMD) approaches, we developed a multiscale deformable platelet model
to accurately describe the molecular-scale intra-platelet constituents and
biomechanical properties of platelets in blood flow. Our model includes the
platelet bilayer membrane, cytoplasm and an elaborate elastic cytoskeleton.
Correlating numerical simulations with published in-vitro experiments, we
validated the biorheology of the cytoplasm, the elastic response of membrane to
external stresses, and the stiffness of the cytoskeleton actin filaments,
resulting in an accurate representation of the molecular-level biomechanical
microstructures of platelets. This enabled us to study the mechanotransduction
process of the hemodynamic stresses acting onto the platelet membrane and
transmitted to these intracellular constituents. The platelets constituents
continuously deform in response to the flow induced stresses. To the best of our
knowledge, this is the first molecular-scale platelet model that can be used to
accurately predict platelets activation mechanism leading to thrombus formation
in prosthetic cardiovascular devices and in vascular disease processes. This
model can be further employed to study the effects of novel therapeutic
approaches of modulating platelet properties to enhance their shear resistance
via mechanotransduction pathways.
PMID- 27894677
TI - Factors affecting the results of the functional dexterity test.
AB - STUDY DESIGN: Cross-sectional study. PURPOSE OF THE STUDY: The purpose of this
study was to identify which demographic and anthropometric features affect
performance (time) on the functional dexterity test (FDT). METHODS: One hundred
fifty-two healthy subjects between the ages of 20 and 80 years were included in
this cross-sectional study. Demographic characteristics (age, gender, height,
weight, and dominant hand) and anthropometric (the girths of the first 3 fingers)
variables were recorded, and the FDT (net time and total score) was performed.
RESULTS: Hand dexterity (time) was slower in participants aged 60 years (35.7 +/-
9.4 seconds) and older compared with those aged 40-59 (27.1 +/- 7.2 seconds)
years and 20-39 (23.9 +/- 4.9 seconds) years (P < .001 for both) in dominant
side. DISCUSSION: There was no significant difference between males (29.1 +/- 9
in dominant hands and 30.9 +/- 9.5 in nondominant hands) and females (27.9 +/-
8.4 in dominant hands and 30.8 +/- 8.1 in nondominant hands) in all groups in
terms of FDT net time. The factors associated with hand dexterity were age in
dominant hands (R2 = 0.321) and age and thickness of the second and third fingers
in nondominant hands (R2 = 0.282). CONCLUSION: FDT scores increased with
increasing age for both dominant and nondominant hands. Finger thickness,
especially in nondominant hands, should be taken into account while evaluating
FDT scores because of its negative effect on dexterity. LEVEL OF EVIDENCE: Level
2.
PMID- 27894678
TI - A simple orthosis solves a problem in a patient with a dystonic finger after
stroke.
AB - These authors use a custom-fabricated orthotic device to improve hand motion and
function for a client with hand dystonia after stroke. Clinical observation and
reasoning resulted in an effective solution to control the dystonia that was
acceptable to the client. - Kristin Valdes, OTD, OT, CHT, Practice Forum Editor.
PMID- 27894679
TI - Use of the lymphocyte cytokinesis-block micronucleus assay in occupational
biomonitoring of genome damage caused by in vivo exposure to chemical genotoxins:
Past, present and future.
AB - This article concerns the use of the lymphocyte cytokinesis-block micronucleus
(CBMN) assay in biomonitoring of occupational and environmental exposures to
genotoxic agents. Furthermore, we evaluated the use of this method in different
exposure scenarios, in comparison to other DNA damage biomarkers and its regional
distribution. So far ca. 400 studies have been published and the number increased
substantially in the last years. The most frequently investigated groups are
hospital personnel, followed by workers in the chemical industry and agricultural
workers. The lymphocyte CBMN assay is more frequently used in occupational
studies than MN assays with epithelial cells and other methods, such as
chromosomal aberration analyses, Comet assay and DNA-adduct measurements. The use
of probes which enable the discrimination between MN containing chromosome
fragments and whole chromosomes allows the identification of the molecular
mechanisms of MN formation. Most studies were performed in Europe and Asia (ca.
65% and 25%, respectively). Important future developments will be the evaluation
of the biological consequences of formation of additional nuclear endpoints (e.g.
nucleoplasmic bridges), the improvement of the understanding of the health
consequences of their formation, and the use of automated scoring devices. Future
applications of the CBMN assay should address new emerging problems, e.g. the
potential genotoxic damage induced by the use of nanoparticles and mobile phones.
The control of occupational exposures to chemical genotoxins is currently based
on chemical measurements which do not reflect interactions of individual factors
and the inclusion of the lymphocyte CBMN assay in routine surveillance of workers
could contribute substantially to the prevention of adverse health effects.
PMID- 27894680
TI - Frequency of micronuclei and other biomarkers of DNA damage in populations
exposed to dusts, asbestos and other fibers. A systematic review.
AB - Airborne particles are small, solid particles projected into the air either by
natural forces, or by mechanical or man-made processes, and include fibers and
dusts. Their toxicity is usually subsequent to inhalation and can lead to
pulmonary dysfunctions and diseases, including cancer. Cytochalasin B blocked
micronucleus assay in lymphocytes (L-CBMN) has been shown as a sensitive and
reliable technique in assessing genotoxic exposure, An extensive search of the
PubMed and Web of Science databases allowed retrieval of 18 articles on
occupational or environmental exposure evaluating L-CBMN in subjects exposed to
fibers or dusts (asbestos, silica, rockwool, beryllium, tobacco, and wood). For
each study, mean L-CBMN levels were compared in exposed subjects vs. unexposed
controls providing a point estimate, the Mean Ratio (MR). The high heterogeneity
among retrieved studies and their relatively limited number did not allow a
quantitative meta-analysis. However, the inter-quartile range of all MRs fell
within the interval between 1.25 and 2.23, supporting the hypothesis that
exposure to airborne particles increases DNA damage, although mechanisms of
genotoxicity should be further investigated. A borderline significant correlation
was found with SCE, but not with chromosome aberrations or comet assay. Future
research should focus on exposure assessment, in order to perform proper dose
response studies and disentangle the effect of different compounds in mixed
exposures. To fully exploit the cytome assay, L-CBMN frequency should be
integrated with other endpoints, such as nucleoplasmic bridges and nuclear buds.
The use of alternative tissues, such as nasal and buccal mucosa, and the
implementation of other cytogenetic assay, may help to understand the effects of
this exposure.
PMID- 27894681
TI - Results of micronucleus assays with individuals who are occupationally and
environmentally exposed to mercury, lead and cadmium.
AB - Millions of humans are exposed occupationally and environmentally to lead,
mercury and cadmium compounds. Mercury compounds are less abundant but some of
them belong to the most toxic chemicals which are known. We evaluated the
literature to find out if these metals act in humans as genotoxic carcinogens and
if their health effects can be predicted by use of micronucleus (MN) assays with
lymphocytes and/or with other genotoxicity tests. Numerous studies showed that
lead and mercury induce cancer in humans and also in animals, in vitro
experiments with cultured cells indicate that they cause DNA damage via different
molecular mechanisms including release of reactive oxygen species and
interactions with DNA repair processes. Also in most human studies, positive
results were obtained in MN tests with lymphocytes (all 15 occupational studies
with lead yielded positive results, with mercury 6 out of 7 investigations were
positive). For cadmium, there is clear evidence that it causes cancer in humans;
however, induction of chromosomal damage was only seen in high dose experiments
with mammalian cells while results of animal and human studies yielded
conflicting results (only in 2 of 5MN trials with humans positive findings were
reported). Possibly, non-genotoxic mechanisms such as inhibition of apoptosis and
interaction with signaling pathways account for the carcinogenic properties of
cadmium species. The findings of MN studies with lead and mercury are in
excellent agreement with results which were obtained with other endpoints (e.g.
chromosomal aberrations and comet formations) and it is evident that this
approach can be used for occupational and environmental monitoring of exposed
individuals. Important future tasks will be the realization of larger studies
with a uniform standardized protocol, the additional evaluation of anomalies
other than MN (nuclear buds and bridges) and the combination of such trials with
investigations which allow to define the molecular mechanisms relevant for
exposed humans.
PMID- 27894682
TI - Molecular mechanisms by which in vivo exposure to exogenous chemical genotoxic
agents can lead to micronucleus formation in lymphocytes in vivo and ex vivo in
humans.
AB - The purpose of this review is to summarise current knowledge on the molecular
mechanisms by which in vivo exposure to exogenous chemical genotoxins in humans
induces micronuclei (MNi) and other nuclear anomalies in lymphocytes in vivo and
ex vivo after nuclear division in vitro. MNi originate from acentric chromosome
fragments and/or whole chromosomes that are unable to engage with the mitotic
spindle and/or fail to segregate properly to the daughter nuclei during anaphase.
The lagging fragments or whole chromosomes are surrounded by membrane and become
MNi. Acentric fragments are caused by failure of repair or mis-repair of DNA
strand breaks which may be induced by chemicals that (i) damage the
phosphodiester backbone of DNA, and/or (ii) inhibit the DNA damage response
mechanisms or repair of DNA strand breaks and/or (iii) cause DNA replication
stress due to DNA adduct or cross-link formation. MNi originating from lagging
whole chromosomes may be induced by chemicals that cause defects in centromeres
or the mitotic machinery. Mis-repair of chemically-induced DNA breaks may also
cause formation of dicentric chromosomes and nucleoplasmic bridges (NPBs) between
daughter nuclei in mitosis. NPBs may break and initiate recurring breakage-fusion
bridge cycles and chromosomal instability. The review also explores knowledge on
(i) the routes by which lymphocytes in the human body may be exposed to genotoxic
chemicals, (ii) kinetics of MNi expression in lymphocytes in vivo and ex vivo in
the lymphocyte cytokinesis-block micronucleus (L-CBMN) assay and (iii) current
evidence on the efficiency of the L-CBMN assay in detecting in vivo exposure to
chemical genotoxins and its concordance with MNi expression in epithelial
tissues. The review also identifies important knowledge gaps (e.g. effect of
nanomaterials; interactions with nutritional deficiencies etc.) regarding
mechanisms by which in vivo chemical genotoxin exposure may cause MNi formation
in lymphocytes in vivo and ex vivo in lymphocytes.
PMID- 27894683
TI - Biomonitoring of humans exposed to arsenic, chromium, nickel, vanadium, and
complex mixtures of metals by using the micronucleus test in lymphocytes.
AB - Various metals have demonstrated genotoxic and carcinogenic potential via
different mechanisms. Until now, biomonitoring and epidemiological studies have
been carried out to assess the genotoxic risk to exposed human populations. In
this sense, the use of the micronucleus assay in peripheral blood lymphocytes has
proven to be a useful tool to determine increased levels of DNA damage, as a
surrogate biomarker of cancer risk. Here we review those biomonitoring studies
focused on people exposed to arsenic, chromium, nickel, vanadium and complex
mixtures of metals. Only those studies that used the frequency of micronuclei in
binucleated (BNMN) cells have been taken into consideration, although the
inclusion of other biomarkers of exposure and genotoxicity are also reflected and
discussed. Regarding arsenic, most of the occupational and environmental
biomonitoring studies find an increase in BNMN among the exposed individuals.
Thus, it seems conclusive that arsenic exposure increases the risk of exposed
human populations. However, a lack of correlation between the level of exposure
and the increase in BNMN is also common, and a limited number of studies
evaluated the genotype as a risk modulator. As for chromium, a BNMN increase in
occupationally exposed subjects and a correlation between level of exposure and
effect is found consistently in the available literature. However, the quality
score of the studies is only medium-low. On the other hand, the studies
evaluating nickel and vanadium are scarce and lacks a correct characterization of
the individual exposure, which difficult the building of clear conclusions.
Finally, several studies with medium-high quality scores evaluated a more
realistic scenario of exposure which takes into account a mixture of metals.
Among them, those which correctly characterized and measured the exposure were
able to find association with the level of BNMN. Also, several genes associated
with DNA damage repair such as OGG1 and XRCC1 were found to influence the
exposure effect.
PMID- 27894684
TI - Systematic review of the use of the lymphocyte cytokinesis-block micronucleus
assay to measure DNA damage induced by exposure to polycyclic aromatic
hydrocarbons.
AB - The effect of exposure to polycyclic aromatic hydrocarbons (PAHs) to induce
micronuclei (MN) measured using the lymphocytes cytokinesis-block micronucleus
(CBMN) assay were evaluated in 34 studies according to the exposure: 20 studies
in coke oven workers, 7 studies in different occupational exposures as alluminium
industry workers, rubber factory workers, road construction workers, airport
workers and diesel exposed workers, 6 studies on environmentaly exposed groups as
police, volunteers and children. Reviewed papers indicate that the CBMN assay is
a sensitive biomarker of PAHs exposure in polluted air. Reviewed studies
confirmed previous conclusions, that the frequency of MN measured using the
lymphocyte CBMN is not significantly affected by smoking, females are more
sensitive to PAHs than males, the frequency of MN is increased with age.
PMID- 27894685
TI - DNA damage induced by occupational and environmental exposure to miscellaneous
chemicals.
AB - Epidemiological studies for hazardous situations resulting from the risk of
environmental and/or occupational exposure to miscellaneous chemicals present
several difficulties. Biomonitoring of human populations can provide an early
detection system for the initiation of cell dysregulation in the development of
cancer, which would help develop an efficient prevention program. Recently, the
cytokinesis-block micronucleus (CBMN) assay in lymphocyte cells has become an
important tool for assessing DNA damage in exposed populations. This is the
method of choice for population-based studies of occupational and/or
environmental exposure to different agents. In this review, human populations
exposed to coal, dyes, paints, organic solvents in a complex mixture, and others
miscellaneous chemicals were analyzed. Data from 28 studies was evaluated in
relation to the effect of complex mixture exposition on micronucleus (MN)
frequency. Other biomarkers and the background factors were evaluated as well,
such as gender, age, or smoking habit. Most of these studies (75%) showed a
significant increase of micronucleated cells to exposed groups in relation to the
control groups, besides chromosomal aberrations (CA), sister chromatid exchanging
(SCE) and comet cells (comet assay). The studies from this review about
miscellaneous chemicals exposures using CBMN assay have indicated some time and
dose-dependent effects. Overall, the findings suggest that the responses
resulting from exposure to complex mixtures are varied and complicated. However,
they are also an important mechanism of DNA damage concerning disruption of metal
ion homeostasis that may lead to oxidative stress, a state where increased
formation of reactive oxygen species (ROS) overwhelms body antioxidant protection
and subsequently could induce cancer.
PMID- 27894686
TI - The use of the lymphocyte cytokinesis-block micronucleus assay for monitoring
pesticide-exposed populations.
AB - Pesticides are widely used around the world, and hundreds of millions of people
are exposed annually in occupational and environmental settings. Numerous studies
have demonstrated relationships between pesticide exposure and increased risk of
cancers, neurodegenerative and neurodevelopmental disorders, respiratory diseases
and diabetes. Assessment of genotoxicity of pesticides and biomonitoring their
effect in exposed populations is critical for a better regulation and protection,
but it can be complicated because pesticides are often used as complex mixtures.
The cytokinesis-block micronucleus assay in human lymphocytes (L-CBMN) is a
validated method of assessment of DNA damage induced by clastogenic and
aneuploidogenic mechanisms. The goal of this review is to provide an updated
summary of publications on biomonitoring studies using this assay in people
exposed to pesticides in different settings, and to identify gaps in knowledge,
and future directions. A literature search was conducted through MedLine/PubMed
and TOXLINE electronic databases up to December 2015. A total of 55 full-text
articles, related to 49 studies, excluding reviews, were selected for in depth
analysis, divided by the settings where exposures occurred, such as chemical
plant workers, pesticide sprayers, floriculturists, agricultural workers and non
occupationally exposed groups. Majority of studies (36 out of 49) reported
positive findings with L-CBMN assay. However, most of the studies of professional
applicators that used single pesticide or few compounds in the framework of
specific programs did not show significant increases in MN frequency. A decreased
level of pesticide-induced genotoxicity was associated with the proper use of
personal protection. In contrast, subjects working in greenhouses or during
intensive spraying season and having acute exposure, showed consistent increases
in MN frequency. Overall, this analysis confirmed that L-CBMN is an excellent
tool for pesticide biomonitoring, and can validate the effects of educational and
intervention programs on reducing exposure and genetic damage.
PMID- 27894687
TI - Reprint of "Biomonitoring of genotoxic effects for human exposure to
nanomaterials: The challenge ahead".
AB - Exposures to nanomaterials (NMs), with their specific physico-chemical
characteristics, are likely to increase over the next years, as their production
for industrial, consumer and medical applications is steadily rising. Therefore,
there is an urgent need for the implementation of human biomonitoring studies of
genotoxic effects after NM exposures in order to monitor and assure safety for
workers and the general population. In this review, most commonly used biomarkers
of early genetic effects were analyzed for their adequacy after NM exposures. A
more in depth analysis of the ex vivo/in vitro lymphocyte MN assay was performed,
although, in literature no studies are available using this assay for NM
exposures. Therefore, the known factors determining the NMs tissue/cellular
targets and the multiplicity of modes of action of NMs were summarized. The main
pending questions are whether (1) lymphocytes are a NM target or an adequate
surrogate tissue, (2) whether the buccal MN assay might be more suitable for NM
exposures via inhalation or ingestion, as buccal cells might be exposed more
directly. While the current state-of-the-art does not allow for drawing firm
conclusions, major research gaps are identified and some cautious recommendations
can be formulated. Therefore in vitro and in vivo studies should be conducted
comparing methodologies side-by-side in the same subjects and for different types
of NMs. The ex vivo/in vitro MN assay in its automated version, allowing
objective analysis of large cohorts and detection of direct and indirect
genotoxic effects, remains a valuable candidate for human biomonitoring to NM
exposure. Considering the potential cancer risk from exposure to NMs and previous
dramatic experiences with too late surveillance of occupational exposures to
similar substances (e.g. to asbestos), there is an urgent need to define and
implement adequate scientifically sound biomonitoring methods and programme for
exposure to NMs.
PMID- 27894688
TI - DNA and chromosomal damage in medical workers exposed to anaesthetic gases
assessed by the lymphocyte cytokinesis-block micronucleus (CBMN) assay. A
critical review.
AB - The lymphocyte cytokinesis-block micronucleus (CBMN) assay has been applied in
hundreds of in vivo biomonitoring studies of humans exposed either
environmentally or occupationally to genotoxic chemicals. However, there is an
emerging need to re-evaluate the use of MN and other biomarkers within the
lymphocyte CBMN cytome assay as quantitative indicators of exposure to main
classes of chemical genotoxins. The main aim of the present report is to
systematically review published studies investigating the use of the lymphocyte
CBMN assay to determine DNA damage in subjects exposed to anaesthetic gases. We
also compared performance of the CBMN assay with other DNA damage assays employed
and identified strengths and weaknesses of the published studies. We have
retrieved 11 studies, published between 1996 and 2013, reporting MN associated
with occupational exposures (operating room personnel). The individual job
categories were often described (anaesthesiologists, technicians, radiologists)
among cases, as well as duration of exposure. All studies reported the compounds
present at the workplace and, in some instances, the exposure levels were
measured. Controls were usually recruited among personnel at the hospital not
exposed to anaesthetics or they were healthy unexposed subjects from general
population. The number of investigated subjects, due to the character of the
occupation, was relatively smaller than those investigated in other occupational
monitoring settings. Overall, the majority of the studies were age- and gender-
matched (or investigated only males or females) while less attention was given to
lifestyle confounders. Appropriate measurement of exposure, available in
approximately half of the studies only, was compromised by the lack of the
personal dosimetry-based determinations. In all studies, higher MN frequencies
were observed in exposed individuals. The meta-analysis of mean MN frequency of
combined studies confirmed this tendency (log mean ratio=0.56 [0.34-0.77];
P=3.51*10-7). Similar differences between the exposed and controls were also
observed for other biomarkers.
PMID- 27894689
TI - Occupational exposure to cytostatic/antineoplastic drugs and cytogenetic damage
measured using the lymphocyte cytokinesis-block micronucleus assay: A systematic
review of the literature and meta-analysis.
AB - Many studies have reported the occurrence of work-environment contamination by
antineoplastic drugs (ANPD), with significant incorporation of trace amounts of
these hazardous drugs in hospital personnel. Given the ability of most ANPD to
actively bind DNA, thus inducing genotoxic effects, it is of pivotal importance
to assess the degree of genotoxic damage (i.e., residual genotoxic risk) in
occupationally exposed subjects. The lymphocyte cytokinesis-block micronucleus (L
CBMN) assay is largely used for biological effect monitoring in subjects
occupationally exposed to ANPD. In this study, we identified and analyzed the
studies published reporting the use of the L-CBMN assay as biomarker of genotoxic
risk in health care workers exposed to ANPD with the aim of performing meta
analysis and providing a meta-estimate of the genotoxic effect of exposure. We
retrieved 24 studies, published from 1988 to 2015, measuring MN in peripheral
blood lymphocytes in health care workers occupationally exposed to ANPD. In 15
out of the 24 studies (62.5%), increased MN frequencies were recognized in
exposed subjects as compared to controls. The meta-analysis of MN frequency of
the combined studies confirmed an association between occupational exposure to
ANPD and cytogenetic effects with an overall meta-estimate of 1.67 [95% CI: 1.41
1.98]. In 16 out of the 24 studies (66.6%) at least one other genotoxicity
biomarker, besides L-CBMN assay, was employed for biological effect monitoring.
In several studies the effect of exposure to ANPD was evaluated also in terms of
MN in exfoliated buccal cells. Other studies focused on genotoxicity endpoints,
such as sister chromatid exchanges (3 studies), chromosome aberrations (6
studies), or primary DNA damage investigated by comet assay (7 studies). Overall,
there was good agreement between other genotoxicity tests employed and L-CBMN
assay outcomes.
PMID- 27894690
TI - A systematic review of the association between occupational exposure to
formaldehyde and effects on chromosomal DNA damage measured using the cytokinesis
block micronucleus assay in lymphocytes.
AB - Formaldehyde (FAL) is classified as a Class I carcinogen by the WHO International
Agency for Research on Cancer. Therefore, there is a need to validate appropriate
methods for detecting its genotoxic effects in vivo in humans. One of the most
commonly used methods to measure the genotoxic effects of exposure to
environmental chemicals is the lymphocyte cytokinesis-block micronucleus (L-CBMN
assay). We performed a systematic review and statistical analysis of the results
from all of the published studies in which the L-CBMN assay was used to measure
the genotoxic effects of human exposure to FAL. The results of this systematic
review indicated that the majority (62%) of the 21 investigations in the 17
published studies we examined showed significant increases in lymphocyte
micronucleus (MN) frequency (a biomarker of chromosome breakage or loss), in
exposed subjects relative to controls. We used a novel quality score tool to
determine if the investigations adequately addressed known variables that affect
MN frequency in lymphocytes and found that MN frequency was not explained by
quality because there was no significant correlation between quality score and
fold-change in MN frequency (R=0.008, P=0.97). The results of all of the studies
(positive or negative), when combined together, indicated a highly significant
doubling in lymphocyte MN frequency in those exposed to FAL relative to controls
(P<0.0001). These observations, together with a significant positive correlation
between L-CBMN assay MN frequency and FAL air concentration (R=0.529, P=0.017)
indicate the suitability of this method to measure in vivo genotoxicity of FAL.
Furthermore, fold-increase in lymphocyte MN frequency in the exposed subjects
relative to controls was strongly positively correlated with the duration of FAL
exposure (R=0.779, P<0.0001) suggesting the need to better understand the
potential for cumulative genomic instability induced by chronic exposures to FAL.
PMID- 27894691
TI - Application of the lymphocyte Cytokinesis-Block Micronucleus Assay to populations
exposed to petroleum and its derivatives: Results from a systematic review and
meta-analysis.
AB - The lymphocyte cytokinesis-block micronucleus (CBMN) assay is applied in many
different in vivo biomonitoring studies of human exposure to genotoxic chemicals.
Among extensively chemicals investigated, we identified petroleum and its
derivatives, in particular benzene and the most common mixture of benzene,
toluene, and xylene. Although conflicting results have been reported on the
effects of benzene exposure, the number of positive findings in independent
studies suggests that occupational exposure to benzene causes DNA damage in
peripheral blood lymphocytes. To assess current evidence on this hypothesis, we
conducted a meta-analysis. Our aim was to evaluate the effect of benzene exposure
on genetic damage, quantified using the CBMN assay on individuals occupationally
exposed to petroleum and its derivatives. Statistical analyses were conducted
using the rmeta package from the free Software Environment for Statistical
Computing R. Combined study results indicated that benzene exposure is associated
with an increased level of genetic damage in peripheral blood lymphocytes, as
reflected by an increased MN frequency. The summary mean difference in MN
frequency between exposed and unexposed individuals was 1.64 (95% CI: 0.80-2.47).
Overall, this finding points to MN frequency as a sensitive biomarker which could
be used to evaluate genetic damage induced by occupational - industrial or
environmental - exposure to benzene. This review also identified some important
knowledge gaps as well as the need of large, well-designed studies. In
particular, it is fundamental to accurately characterize the investigated
population, including dietary habits and genetic variability which could modulate
MN frequency in both exposed individuals and unexposed controls. In conclusion,
according to present findings the use of the CBMN assay in biomonitoring studies
could provide objective evidence to guide prioritization of preventive
interventions in subjects occupationally exposed to petroleum derivatives, and in
particular benzene.
PMID- 27894693
TI - Genotoxicity of ethylene oxide: A review of micronucleus assay results in human
population.
AB - Ethylene oxide (EtO) has been categorized as "carcinogenic to humans (Group 1)"
by the IARC. While several epidemiological studies have reported carcinogenicity
and EtO-Hb formation; information from cytogenetic endpoints are rather
inconclusive. In the present review, we focus on the results of eleven studies
which have reported the results of micronucleus assay in EtO exposed workers. We
have critically reviewed these studies based on the exposure assessment,
concentration and duration, and compared the sensitivity of micronucleus assay to
other reported endpoints like EtO-Hb, CA, SCE. The levels of EtO and EtO-Hb
adducts in all the studies were strongly correlated to the results of SCE, but
not to MN. MN were only observed in a limited number of studies with high EtO
exposure (2-28ppm 8h-TWA) and not below the recommended concentration of <1ppm.
To further understand the effect of exposure of EtO on MN assay outcome, we
propose studies with more harmonized protocol for exposure assessment and MN
analysis, determination of suitable sample size and use of multiple target
tissues to understand the effect of metabolite.
PMID- 27894692
TI - The ex vivo L-CBMN assay detects significant human exposure to butadiene.
AB - 1,3-Butadiene (BD), an important industrial chemical used in the production of
synthetic rubber and resins and a ubiquitous environmental pollutant, was
classified as a human carcinogen by IARC. BD requires metabolic activation to
different epoxides that are known to bind to DNA, inducing also DNA-DNA and DNA
protein crosslinks. The DNA damage leading to mutations has been identified as
the mode of action of BD. Experimental studies in rodents revealed widely
different BD carcinogenic/mutagenic potency in rat and mice, associated to
differences in BD metabolism. The available biomonitoring studies in workers
occupationally exposed to BD, considering different genetic endpoints, do not
allow to reach a conclusion on the BD carcinogenic/mutagenic risk in humans. The
present systematic review retrieves and analyzes the published studies on the
application of the cytokinesis-block micronucleus assay in peripheral lymphocytes
(L-CBMN) of BD exposed subjects. Ten articles were retrieved related to seven
studies on BD exposure and one study on exposure to a mixture of compounds in a
styrene-butadiene tire manufacturing plant. Four studies carried out in Europe
related to heterogeneous groups of workers exposed in BD monomer or polymer
manufacturing and processing industries, reporting mean individual exposure
levels below 3ppm, failed to find any increase of MN frequency. Three studies,
including mixed groups of workers involved in different stages of the production
and manufacturing of BD in China, show increased MN frequencies associated with
the intensity of the exposure, with a relevant positive response (FR=2.29) when
the mean cumulative dose was estimated as 266ppm/year. These results are
consistent with the data on the exposure-response curve for total leukemia
mortality showing no increase for cumulative exposure less than or equal to 200
BD ppm-years. The L-CBMN assay, measuring both chromosome breakage and chromosome
loss, events involved in induction of leukemia, seems to be a promising biomarker
for cancer risk induced by BD.
PMID- 27894694
TI - The cytokinesis-block micronucleus (CBMN) assay in human populations exposed to
styrene: A systematic review and meta-analysis.
AB - Styrene is a building-block of several compounds used in a wide array of
materials and products. The most important human exposure to this substance
occurs in industrial settings, especially among reinforced-plastics industry
workers. The effect of occupational exposure to styrene on cytogenetics
biomarkers has been previously reviewed with positive association observed for
chromosomal aberrations, and inconclusive data for the micronucleus assay. Some
limitations were noted in those studies, including inadequate exposure assessment
and poor epidemiological design. Furthermore, in earlier studies micronuclei
frequency was measured with protocols not as reliable as cytokinesis-block
micronucleus (CBMN) assay. Aim of the present systematic review and meta-analysis
is to investigate genomic instability and DNA damage as measured by the CBMN
assay in lymphocytes of subjects exposed to styrene. A total of 11 studies
published between 2004 and 2012 were included in the meta-analysis encompassing
479 styrene-exposed workers and 510 controls. The quality of each study was
estimated by a quality scoring system which ranked studies according to the
consideration of major confounders, exposure characterization, and technical
parameters. An overall increase of micronuclei frequencies was found in styrene
exposure workers when compared to referents (meta-MR 1.34; 95% CI 1.18-1.52),
with significant increases achieved in six individual studies. The consistency of
results in individual studies, the independence of this result from major
confounding factors and from the quality of the study strengthens the reliability
of risk estimates and supports the use of the CBMN assay in monitoring genetic
risk in styrene workers.
PMID- 27894695
TI - The performance of atmospheric pressure gas chromatography-tandem mass
spectrometry compared to gas chromatography-high resolution mass spectrometry for
the analysis of polychlorinated dioxins and polychlorinated biphenyls in food and
feed samples.
AB - Recently, gas chromatography tandem mass spectrometry (GC-MS/MS) has been added
in European Union (EU) legislation as an alternative to magnetic sector high
resolution mass spectrometry (HRMS) for the analysis of dioxins and dioxin like
polychlorinated biphenyls (dl-PCB) in food and feed. In this study the
performance of APGC-MS/MS compared to GC-HRMS is investigated and compared with
EU legislation. The study includes the legislative parameters, relative
intermediate precision standard deviation (SRw,rel), trueness, sensitivity,
linear range and ion ratio tolerance. In addition, over 200 real samples of large
variety and spanning several orders of magnitude in concentration were analyzed
by both techniques and the selectivity was evaluated by comparing chromatograms.
The SRw,rel and trueness were evaluated using (in-house) reference samples and
fulfill to EU legislation, though the SRw,rel was better with GC-HRMS. The
sensitivity was considerably better than of GC-HRMS while the linear range was
similar. Ion ratios were mostly within the tolerable range of +/-15%. A
(temporary unresolved) systematic deviation in ion ratio was observed for several
congeners, yet this did not lead to exceeding of the maximum ion ratio limits.
The APGC-MS/MS results for the non-dioxin-like-PCBs (ndl-PCBs) were negatively
biased, particularly for PCB138 and 153 in contaminated samples. The selectivity
of APGC-MS/MS was lower for several matrices. Particularly for contaminated
samples, interfering peaks were observed in the APGC chromatograms of the native
compounds (dioxins) and labeled internal standards (PCBs). These can lead to
biased results and ultimately to false positive samples. It was concluded that
the determination of dioxins and PCBs using APGC-MS/MS meets the requirements set
by the European Commission. However, due to generally better selectivity and
SRw,rel, GC-HRMS is the preferred method for monitoring purposes.
PMID- 27894696
TI - Complex analysis of concentrated antibody-gold nanoparticle conjugates' mixtures
using asymmetric flow field-flow fractionation.
AB - Conjugates of gold nanoparticles (GNPs) with antibodies are powerful analytical
tools. It is crucial to know the conjugates' state in both the concentrated and
mixed solutions used in analytical systems. Herein, we have applied asymmetrical
flow field-flow fractionation (AF4) to identify the conjugates' state. The
influence of a conjugate's composition and concentration on aggregation was
studied in a true analytical solution (a concentrated mixture with stabilizing
components). GNPs with an average diameter of 15.3+/-1.2nm were conjugated by
adsorption with eight antibodies of different specificities. We found that, while
the GNPs have a zeta potential of -31.6mV, the conjugates have zeta potentials
ranging from -5.8 to -11.2mV. Increased concentrations (up to 184nM, OD520=80) of
the mixed conjugate (mixture of eight conjugates) did not change the form of
fractograms, and the peak areas' dependence on concentration was strongly linear
(R2 values of 0.99919 and 0.99845 for absorption signal and light scattering,
respectively). Based on the gyration (Rg) and hydrodynamic (Rh) radii measured
during fractionation, we found that the nanoparticles were divided into two
populations: (1) those with constant radii (Rg=9.9+/-0.9nm; Rh=14.3+/-0.5nm); and
(2) those with increased radii from 9.9 to 24.4nm for Rg and from 14.3 to 28.1nm
for Rh. These results confirm that the aggregate state of the concentrated and
mixed conjugates' preparations is the same as that of diluted preparations and
that AF4 efficiently characterizes the conjugates' state in a true analytical
solution.
PMID- 27894697
TI - An innovative ultrasound assisted extraction micro-scale cell combined with gas
chromatography/mass spectrometry in negative chemical ionization to determine
persistent organic pollutants in air particulate matter.
AB - New clean technologies are needed to determine concentration of organic
pollutants without generating more pollution. A method to extract Persistent
Organic Pollutants (POPs) from airborne particulate matter was developed using a
novel technology recently patented called ultrasound assisted extraction micro
scale cell (UAE-MSC). This technology extracts, filters, collects the sample, and
evaporates the solvent, on-line. No sample transfer is needed. The cell minimizes
sample manipulation, solvent consumption, waste generation, time, and energy;
fulfilling most of the analytical green chemistry protocol. The methodology was
optimized applying a centred 23 factorial experimental design. Optimum conditions
were used to validate and determine concentration of 16 organochlorine pesticides
(OCls) and 6 polybrominated diphenyl ethers (PBDEs). The best conditions achieved
were 2 extractions with 5mL (each) of dichloromethane over 5min (each) at 60
degrees C and 80% ultrasound potency. POPs were determined by gas
chromatography/mass spectrometry in negative chemical ionization (GC/MS-NCI).
Analytical method validation was carried out on airborne particles spiked with
POPs at seven concentration levels between 0.5 and 26.9pgm-3. This procedure was
done by triplicate (N=21). Recovery, ranged between 65.5+/-2.3% and 107.5+/-3.0%
for OCls and between 79.1+/-6.5% and 105.2+/-3.8% for PBDEs. Linearity (r2) was
>=0.94 for all compounds. Method detection limits, ranged from 0.5 to 2.7pgm-3,
while limits of quantification (LOQ), ranged from 1.7 to 9.0pgm-3. A Bias from
18.6% to 9% for PBDEs was observed in the Standard Reference Material (SRM) 2787.
SRM 2787 did not contain OCls. OCls recoveries were equivalent by UAE-MSC and
Soxhlet methods UAE-MSC optimized extraction conditions reduced 30 times less
solvent and decreased the extraction time from several hours to ten minutes,
respect to Soxhlet. UAE-MSC was applied to 15 samples of particles less than
2.5MUm (PM2.5) from three seasons (warm dry, rainy, and cold dry) collected in
five sites around Mexico City. OCls (4,4'-DDE and endrin aldehyde) concentrations
ranged from =85 years old: A
retrospective propensity score-matched analysis.
AB - BACKGROUND: The purpose of this study was to compare the long-term outcomes of
patients >=85 years old with gastric cancer who underwent curative distal
gastrectomy or best supportive care alone. METHODS: Among 241 consecutive
patients aged >=85 years who were diagnosed with gastric cancer, we
retrospectively examined the cases of 56 patients that were treated with curative
distal gastrectomy and 55 patients that were treated with best supportive care
alone. To reduce selection bias, we conducted a propensity score-matching
analysis. The patients' propensity scores were estimated using a logistic
regression model based on the following variables: sex, age, clinical cancer
stage, performance status score, and each item of the Physiological and Operative
Severity Score for the enUmeration of Mortality and morbidity score. Overall
survival was compared using the Kaplan-Meier method and log-rank test. RESULTS:
Thirty pairs of patients were generated via propensity score matching. The
clinical characteristics of the 2 groups were well matched. The median overall
survival time was 57.3 months after distal gastrectomy, while it was 16.0 months
after best supportive care (P = .0002). Subgroup analyses of each sex showed that
in males there was no significant difference in overall survival between distal
gastrectomy and best supportive care (P = .37) while the overall survival of
females was significantly better after distal gastrectomy than after best
supportive care (P < .0001). CONCLUSION: Our propensity score-matched study
suggested that distal gastrectomy results in significantly better long-term
survival than best supportive care alone in female patients with gastric cancer
aged >=85 years. In males, the indications for operation should be carefully
determined.
PMID- 27894712
TI - Petal, Sepal, or Tepal? B-Genes and Monocot Flowers.
AB - In petaloid monocots expansion of B-gene expression into whorl 1 of the flower
results in two whorls of petaloid organs (tepals), as opposed to sepals in whorl
1 of typical eudicot flowers. Recently, new gene-silencing technologies have
provided the first functional data to support this, in the genus Tricyrtis
(Liliaceae).
PMID- 27894713
TI - Abdominal Aortic Aneurysm in Marfan Syndrome.
AB - BACKGROUND: Marfan syndrome (MFS) leads to aortic root aneurysm, while descending
thoracic aortic aneurysm (TAA) occurs less commonly. Abdominal aortic aneurysm
(AAA) is rarely reported in MFS. Risk factors for AAA are poorly understood and
there are no guidelines for AAA screening in MFS. We sought to characterize AAA
among Marfan patients in our center. METHODS: The records of 12 adults with MFS
and AAA disease were reviewed. Clinical features, imaging, operative reports, and
outcomes were analyzed. RESULTS: Twelve adults with MFS and AAA were studied; age
at AAA diagnosis was 44 +/- 15 years (range 18-63). Nine patients smoked
cigarettes. Eleven patients underwent prior aortic root replacement at age 31 +/-
15 years. The size of AAA was 5.0 +/- 1.3 cm (range 3.5-7.5) at the time of
diagnosis. The AAA was suprarenal in 5, juxtarenal in 2, and infrarenal in 5
patients. Two patients had a descending TAA. Branch vessel aneurysms were present
in 7 patients. Five patients underwent open surgical repair, 5 underwent
endovascular repair, and 5 are being treated medically. One patient died suddenly
with AAA size 5.7 cm, 2 months before death. Three patients subsequently
developed type B aortic dissection, from 3 months to 9 years after AAA diagnosis.
CONCLUSIONS: Adults with MFS are at risk for developing AAA. Evaluation for AAA
is recommended in adults with MFS and prior root replacement, especially if
descending aortic or branch vessel aneurysm is present or the patient smokes
cigarettes.
PMID- 27894715
TI - Refractory complex gastrobroncho-cutaneous fistula after laparoscopic sleeve
gastrectomy: a novel technique for endoscopic management.
PMID- 27894714
TI - Conceptualizing and studying binge and loss of control eating in bariatric
surgery patients-time for a paradigm shift?
PMID- 27894717
TI - Hepatitis B vaccine alone may be enough for preventing hepatitis B virus
transmission in neonates of HBsAg (+)/HBeAg (-) mothers.
AB - BACKGROUND AND AIM: To prospectively evaluate the efficacy of vaccine alone
compared with vaccine plus HBIG for preventing HBV transmission in neonates of
HBsAg (+)/HBeAg (-) mothers. METHODS: Combined immunization is currently
recommended for neonates of HBsAg (+) mothers in China. As a result, a randomized
design is infeasible due to ethical reasons. In practice, Guangxi Zhuang
Autonomous Region and Jiangsu Province implement vaccine alone and vaccine plus
HBIG strategies for neonates born to HBsAg (+)/HBeAg (-) mothers, respectively.
We alternatively enrolled neonates of HBsAg (+)/HBeAg (-) mothers from these two
regions. Three doses of a recombinant yeast-derived hepatitis B vaccine were
given at 0, 1 and 6months with or without HBIG at birth. RESULTS: At 7months,
sera were collected from 132 neonates in Guangxi Zhuang Autonomous Region and 752
neonates in Jiangsu Province. Baseline characteristics of both mothers and
neonates were comparable in the two regions. No differences were revealed
regarding the occurrence of perinatal HBV transmission with or without HBIG at
birth [0.1% (1/752) vs. 0.0% (0/132), p=1.000]. The anti-HBs response rates were
97.7% (129/132) and 98.5% (740/751) for the neonates with vaccine alone and with
HBIG (p=0.758), respectively. Vaccine alone induced a significantly higher anti
HBs GMC as compared to vaccine plus HBIG at 7months of age (1555.3mIU/mL vs.
654.9mIU/mL, p<0.0001). At 12months of age, protective levels of anti-HBs
remained in 97.4% (596/612) and 98.3% (118/120) of the neonates receiving and not
receiving HBIG, respectively (p=0.771). The neonates receiving combined
prophylaxis had a markedly lower anti-HBs GMC (210.7mIU/mL vs. 297.0mIU/mL,
p=0.011). Horizontal HBV transmission occurred in none of the successfully
immunized neonates for both compared groups at 12months of age. CONCLUSIONS:
Vaccine alone may be enough for preventing HBV transmission in neonates of HBsAg
(+)/HBeAg (-) mothers.
PMID- 27894716
TI - Characterization of guinea pig T cell responses elicited after EP-assisted
delivery of DNA vaccines to the skin.
AB - The skin is an ideal target tissue for vaccine delivery for a number of reasons.
It is highly accessible, and most importantly, enriched in professional antigen
presenting cells. Possessing strong similarities to human skin physiology and
displaying a defined epidermis, the guinea pig is an appropriate model to study
epidermal delivery of vaccine. However, whilst we have characterized the humoral
responses in the guinea pig associated with skin vaccine protocols we have yet to
investigate the T cell responses. In response to this inadequacy, we developed an
IFN-gamma ELISpot assay to characterize the cellular immune response in the
peripheral blood of guinea pigs. Using a nucleoprotein (NP) influenza pDNA
vaccination regimen, we characterized host T cell responses. After delivery of
the DNA vaccine to the guinea pig epidermis we detected robust and rapid T cell
responses. The levels of IFN-gamma spot-forming units averaged approximately 5000
per million cells after two immunizations. These responses were broad in that
multiple regions across the NP antigen elicited a T cell response. Interestingly,
we identified a number of NP immunodominant T cell epitopes to be conserved
across an outbred guinea pig population, a phenomenon which was also observed
after immunization with a RSV DNA vaccine. We believe this data enhances our
understanding of the cellular immune response elicited to a vaccine in guinea
pigs, and globally, will advance the use of this model for vaccine development,
especially those targeting skin as a delivery site.
PMID- 27894718
TI - Chlamydia suis and Chlamydia trachomatis induce multifunctional CD4 T cells in
pigs.
AB - Chlamydia trachomatis infections are the most prominent bacterial sexually
transmitted disease world-wide and a lot of effort is put into the development of
an effective vaccine. Pigs have been shown to be a valuable animal model for C.
trachomatis vaccine development. The aim of this study was to decipher the T-cell
mediated immune response to chlamydial infections including C. trachomatis and C.
suis, the chlamydia species naturally infecting pigs with a demonstrated zoonotic
potential. Vaginal infection of pigs with C. suis and C. trachomatis lasted from
3 to 21days and intra-uterine infection was still present after 21days in 3 out
of 5 C. suis- and 4 out of 5 C. trachomatis-inoculated animals and caused severe
pathological changes. Humoral immune responses including neutralizing antibodies
were found predominantly in response to C. suis starting at 14days post
inoculation. The T-cell-mediated immune responses to C. trachomatis and C. suis
infections started at 7days post inoculation and consisted mainly of CD4+ T cells
which were either IFN-gamma single cytokine-producing or IFN-gamma/TNF-alpha
double cytokine-producing T-helper 1 cells. IL-17-producing CD4+ T cells were
rare or completely absent. The T-cell-mediated immune responses were triggered by
both homologous or heterologous re-stimulation indicating that cross-protection
between the two chlamydia species is possible. Thus, having access to a working
genital C. suis and C. trachomatis infection model, efficient monitoring of the
host-pathogen interactions, and being able to accurately assess the responses to
infection makes the pig an excellent animal model for vaccine development which
also could bridge the gap to the clinical phase for C. trachomatis vaccine
research.
PMID- 27894719
TI - Structural-based designed modular capsomere comprising HA1 for low-cost poultry
influenza vaccination.
AB - Highly pathogenic avian influenza (HPAI) viruses cause a severe and lethal
infection in domestic birds. The increasing number of HPAI outbreaks has
demonstrated the lack of capabilities to control the rapid spread of avian
influenza. Poultry vaccination has been shown to not only reduce the virus spread
in animals but also reduce the virus transmission to humans, preventing potential
pandemic development. However, existing vaccine technologies cannot respond to a
new virus outbreak rapidly and at a cost and scale that is commercially viable
for poultry vaccination. Here, we developed modular capsomere, subunits of virus
like particle, as a low-cost poultry influenza vaccine. Modified murine
polyomavirus (MuPyV) VP1 capsomere was used to present structural-based influenza
Hemagglutinin (HA1) antigen. Six constructs of modular capsomeres presenting
three truncated versions of HA1 and two constructs of modular capsomeres
presenting non-modified HA1 have been generated. These modular capsomeres were
successfully produced in stable forms using Escherichia coli, without the need
for protein refolding. Based on ELISA, this adjuvanted modular capsomere (CaptHA1
3C) induced strong antibody response (almost 105endpoint titre) when administered
into chickens, similar to titres obtained in the group administered with insect
cell-based HA1 proteins. Chickens that received adjuvanted CaptHA1-3C followed by
challenge with HPAI virus were fully protected. The results presented here
indicate that this platform for bacterially-produced modular capsomere could
potentially translate into a rapid-response and low-cost vaccine manufacturing
technology suitable for poultry vaccination.
PMID- 27894720
TI - Polio vaccines: WHO position paper, March 2016-recommendations.
AB - This article presents the World Health Organization's (WHO) recommendations on
the use of polio vaccine excerpted from the WHO position paper on polio vaccines
March 2016, published in the Weekly Epidemiological Record [1]. This position
paper on polio vaccines replaces the 2014 WHO position paper [2]. The position
paper summarizes the WHO position on the introduction of at least one dose of
inactivated polio vaccine (IPV) into routine immunization schedules as a strategy
to mitigate the potential risk of re-emergence of type 2 polio following the
withdrawal of Sabin type 2 strains from oral polio vaccine (OPV) [3]. Footnotes
to this paper provide a number of core references including references to grading
tables that assess the quality of the scientific evidence, and to the evidence-to
recommendation table. In accordance with its mandate to provide guidance to
Member States on health policy matters, WHO issues a series of regularly updated
position papers on vaccines and combinations of vaccines against diseases that
have an international public health impact. These papers are concerned primarily
with the use of vaccines in large-scale immunization programmes; they summarize
essential background information on diseases and vaccines, and conclude with
WHO's current position on the use of vaccines in the global context. This
position paper reflects the global switch from trivalent to bivalent OPV which
took place in April 2016. Recommendations on the use of polio vaccines have been
discussed on multiple occasions by SAGE, most recently in October 2016; evidence
presented at these meetings can be accessed at:
http://www.who.int/immunization/sage/previous/en/index.html.
PMID- 27894721
TI - Vaccination against hepatitis B virus among people who inject drugs - A 20year
experience from a Swedish needle exchange program.
AB - BACKGROUND: People who inject drugs (PWID) are at particular risk of hepatitis B
virus (HBV) acquisition, but often have poor access or adherence to HBV
vaccination. Vaccination against HBV has been offered at a major Swedish needle
exchange program (NEP) since 1994. The aim of this study was to evaluate vaccine
completion and response rates, and the effect of sequential booster doses to non
responders to the standard vaccination schedule. METHODS: PWID enrolled in the
NEP 1994-2013, without serological markers for HBV at baseline (negative for
HBsAg/anti-HBc/anti-HBs), were offered a three-dose standard intramuscular
vaccination schedule (Engerix(r)-B, GSK, 20MUg/mL, intended to be received at
months 0, 1 and 6). Vaccination response was defined as protective levels of anti
HBs (?10mIU/mL). Up to three booster doses were then offered for non-responders,
each followed by anti-HBs testing. RESULTS: HBV data was available for 2352
identifiable individuals at NEP enrolment, of whom 1516 (64.5%) had no markers
for previous HBV exposure or vaccination. Vaccination was initiated for 1142
(75.3%) individuals and 898 (59.2%) completed the standard vaccination schedule.
Post-vaccination anti-HBs levels were available from 800 individuals, with 598
(74.8%) responding to the basic vaccination schedule. After up to three booster
doses a total of 676 (84.5%) individuals achieved protective anti-HBs levels. Non
response to vaccination was associated with higher age and anti-HCV positivity
(p<0.001). Eighteen incident cases of HBV infection were observed among vaccine
non-responders, as well as 30 cases among those who had not completed
vaccination. CONCLUSION: We demonstrate the feasibility of including HBV
vaccination in the services offered by a NEP, with completion of vaccination in a
majority of HBV-susceptible PWID. The response to HBV vaccination among PWID was
relatively low; however, the addition of up to three booster doses improved the
response rate from 74.8 to 84.5%.
PMID- 27894723
TI - Plastic debris and microplastics along the beaches of the Strait of Hormuz,
Persian Gulf.
AB - Currently little is known about the prevalence of plastics and microplastics
(MPs) in the Persian Gulf. Five sampling stations were selected along the Strait
of Hormuz (Iran) that exhibited different levels of industrialization and
urbanization, and included a marine protected area. Debris was observed and
sediments were collected for MPs extraction via fluidization/floatation
methodology. The order of MP abundance (par/kg) generally reflected the level of
anthropogenic activity: Bostanu (1258+/-291)>Gorsozan (122+/-23)>Khor-e-Yekshabeh
(26+/-6)>Suru (14+/-4)>Khor-e-Azini (2+/-1). Across all sites fibers dominated
(83%, 11% film, 6% fragments). FT-IR analysis showed polyethylene (PE), nylon,
and PET (polyethylene terephthalate) were the commonly recovered polymers. Likely
sources include beach debris, discarded fishing gear, and urban and industrial
outflows that contain fibers from clothes. This study provides a 'snapshot' of MP
pollution and longitudinal studies are required to fully understand plastic
contamination in the region.
PMID- 27894722
TI - Impact of bio-palladium nanoparticles (bio-Pd NPs) on the activity and structure
of a marine microbial community.
AB - Biogenic palladium nanoparticles (bio-Pd NPs) represent a promising catalyst for
organohalide remediation in water and sediments. However, the available
information regarding their possible impact in case of release into the
environment, particularly on the environmental microbiota, is limited. In this
study the toxicity of bio-Pd NPs on the model marine bacterium V. fischeri was
assessed. The impacts of different concentrations of bio-Pd NPs on the
respiratory metabolisms (i.e. organohalide respiration, sulfate reduction and
methanogenesis) and the structure of a PCB-dechlorinating microbial community
enriched form a marine sediment were also investigated in microcosms mimicking
the actual sampling site conditions. Bio-Pd NPs had no toxic effect on V.
fischeri. In addition, they had no significant effects on PCB-dehalogenating
activity, while showing a partial, dose-dependent inhibitory effect on sulfate
reduction as well as on methanogenesis. No toxic effects by bio-Pd NPs could be
also observed on the total bacterial community structure, as its biodiversity was
increased compared to the not exposed community. In addition, resilience of the
microbial community to bio-Pd NPs exposure was observed, being the final
community organization (Gini coefficient) of samples exposed to bio-Pd NPs
similar to that of the not exposed one. Considering all the factors evaluated,
bio-Pd NPs could be deemed as non-toxic to the marine microbiota in the
conditions tested. This is the first study in which the impact of bio-Pd NPs is
extensively evaluated over a microbial community in relevant environmental
conditions, providing important information for the assessment of their
environmental safety.
PMID- 27894724
TI - Susceptibility of seagrass to oil spills: A case study with eelgrass, Zostera
marina in San Francisco Bay, USA.
AB - Existing literature illustrates inconsistent responses of seagrasses to oil
exposure, both in the field and in the laboratory. Here, we add a new study that
combined morphometric, demographic and photophysiology assessments to determine
the potential oiling impacts to eelgrass (Zostera marina) from the 2007 Cosco
Busan event in San Francisco Bay. Shoot densities, reproductive status, and
rhizome elongation of Z. marina were examined at sites with pre-spill data, and
eelgrass photosynthetic efficiency was measured post-spill. Shoot densities and
percent elongation of rhizome internodes formed after the oil spill varied but
with no consistent relationship to adjacent shoreline cleanup assessment team
(SCAT) oiling categories. Similarly, differences in seagrass photosynthetic
efficiency were not consistent with SCAT oiling categories. While thresholds for
negative impacts on seagrass in general remain to be defined, conclusive oiling
indicators for degree and duration of exposure would be important considerations
and need examination under controlled study.
PMID- 27894725
TI - Occurrence of antiparasitic pesticides in sediments near salmon farms in the
northern Chilean Patagonia.
AB - Growth of the aquaculture industry has triggered the need for research into the
potential environmental impact of chemicals used by salmon farms to control
diseases. In this study, the antiparasitic pesticides emamectin benzoate (EB),
diflubenzuron (DI), teflubenzuron (TE), and cypermethrin (CP) were measured in
sediments near salmon cages in southern Chile. Concentrations for EB were between
2.2 and 14.6ngg-1, while the benzoylphenyl ureas DI and TE were detected in the
ranges of 0.1 to 1.2ngg-1 and 0.8 to 123.3ngg-1, respectively. These results were
similar to data reported for the Northern Hemisphere. On the other hand, the
pyrethroid CP was detected in higher concentrations, ranging from 18.0 to
1323.7ngg-1. According to reported toxicity data, this range represents a
potential risk for benthic invertebrates. This report is the first baseline
attempt at assessing antiparasitic pesticide levels in the Chilean Patagonia.
PMID- 27894726
TI - Petroleum hydrocarbon persistence following the Deepwater Horizon oil spill as a
function of shoreline energy.
AB - An important aspect of oil spill science is understanding how the compounds
within spilled oil, especially toxic components, change with weathering. In this
study we follow the evolution of petroleum hydrocarbons, including n-alkanes,
polycyclic aromatic hydrocarbons (PAHs) and alkylated PAHs, on a Louisiana beach
and salt marsh for three years following the Deepwater Horizon spill. Relative to
source oil, we report overall depletion of low molecular weight n-alkanes and
PAHs in all locations with time. The magnitude of depletion, however, depends on
the sampling location, whereby sites with highest wave energy have highest
compound depletion. Oiled sediment from an enclosed bay shows high enrichment of
high molecular weight PAHs relative to 17alpha(H),21beta(H)-hopane, suggesting
the contribution from sources other than the Deepwater Horizon spill, such as
fossil fuel burning. This insight into hydrocarbon persistence as a function of
hydrography and hydrocarbon source can inform policy and response for future
spills.
PMID- 27894727
TI - Factors associated with gait speed recovery after total knee arthroplasty: A
longitudinal study.
AB - OBJECTIVES: Gait speed limitations can remain significant issues after a total
knee arthroplasty (TKA) but their associated factors are not well understood.
This study aimed to identify the factors associated with acute gait speed
recovery post-TKA. METHODS: We performed a prospective longitudinal study of 1765
patients who underwent primary TKA between July 2013 and July 2015. At 4, 8, 12,
and 16 weeks postsurgery, fast gait speed was measured. The factors associated
with gait speed over time since TKA were identified using multivariable
generalized least squares modeling. RESULTS: Lower postoperative quadriceps
strength and knee flexion range of motion were closely associated with lower gait
speed over time (0.084m/s, 0.064m/s, and 0.055m/s change in gait speed per
interquartile range change in ipsilateral quadriceps strength, contralateral
quadriceps strength, and knee flexion range of motion, respectively). Additional
strong predictors of lower gait speed included older age (0.11m/s), lower levels
of preoperative Short Form 36 physical function (0.066m/s), greater body mass
(0.046m/s), and the preoperative use of a walking aid (overall P < 0.001).
Patients who reported that they limited their daily activities due to a fear of
falling also had poorer gait speed (0.033m/s and 0.054m/s slower gait speed for
"Occasional" and "Often" categories, respectively, vs. "None"). CONCLUSIONS: Gait
speed recovery post-TKA is driven by both physical and psychological factors,
suggesting that identifying and treating the underlying physical and cognitive
causes of gait speed limitations may be crucial to optimize functional recovery.
PMID- 27894729
TI - Effect of diagnostic cone-beam computed tomography protocols on image quality,
patient dose, and lesion detection.
AB - OBJECTIVE: To evaluate the effect of cone-beam computed tomography (CBCT) image
acquisition protocols on image quality, lesion detection, delineation, and
patient dose. METHODS: 100-patients and a CTDI phantom combined with an electron
density phantom were examined using four different CBCT-image acquisition
protocols during image-guided transarterial chemoembolization (TACE). Protocol-1
(time: 6s, tube rotation: 360 degrees ), protocol-2 (5s, 300 degrees ), protocol
3 (4s, 240 degrees ) and protocol-4 (3s, 180 degrees ) were used. The protocols
were first investigated using a phantom. The protocols that were found to be
clinically appropriate in terms of image quality and radiation dose were then
assessed on patients. A higher radiation dose and/or a poor image quality were
inappropriate for the patient imaging. Patient dose (patient-entrance dose and
dose-area product), image quality (Hounsfield Unit, noise, signal-to-noise ratio
and contrast-to-noise ratio), and lesion delineation (tumor-liver contrast) were
assessed and compared using appropriate statistical tests. Lesion detectability,
sensitivity, and predictive values were estimated for CBCT-image data using pre
treatment patient magnetic resonance imaging. RESULTS: The estimated patient dose
showed no statistical significance (p>0.05) between protocols-2 and -3; the
assessed image quality between these protocols manifested insignificant
difference (p>0.05). Two other phantom protocols were not considered for patient
imaging due to significantly higher dose (protocols-1) and poor image quality
(protocol-4). Lesion delineation and detection were insignificant (p>0.05)
between protocols-2 and -3. Lesion sensitivities generated were 81-89% (protocol
2) and 81-85% (protocol-3) for different lesion types. CONCLUSION: Data
acquisition using protocols-2 and -3 provided good image quality, lesion
detection and delineation with acceptable patient dose during CBCT-imaging mainly
due to similar frame numbers acquired.
PMID- 27894728
TI - Bone Density in Patients With Berardinelli-Seip Congenital Lipodystrophy Is
Higher in Trabecular Sites and in Type 2 Patients.
AB - Berardinelli-Seip congenital lipodystrophy (BSCL) is a rare autosomal recessive
syndrome characterized by a difficulty storing lipid in adipocytes, low body fat,
hypoleptinemia, and hyperinsulinemia. We report here laboratory, bone mineral
density (BMD), and bone mineral content findings of 21 patients (24.1 +/- 8.4 yr
old, 14 females, 18 diabetics, 5.3% total body fat) with BSCL. The mean leptin
was very low (0.91 +/- 0.42 ng/mL), and the mean values of the Z-scores for all
studied sites were positive, except for the 33% radius (Z-score -0.5 standard
deviation [SD]). Twelve patients (57.1%) had a BMD Z-score higher than +2.5 SD in
at least 1 site. There was no significant difference in the Z-scores between
males and females. None of type 1 (AGPAT2) patients had Z-scores higher than +2.5
SD, and these patients had a smaller Z-score of BMD total body (0.26 SD vs 1.90
SD, p = 0.022) and of bone mineral content (1.59 SD vs 3.3 SD, p = 0.032) than
type 2 (seipin) patients. Insulin, as well as HOMAIR (homeostasis model
assessment), correlated positively with the BMD of all sites, except for the 33%
radius. Z-Scores on this site (33% radius) were the smallest of all. More than
half of our patients with BSCL have BMD Z-scores higher than +2.5 SD on at least
1 site, and this increase is more pronounced in the trabecular sites and in type
2 patients.
PMID- 27894730
TI - Living With Chronic Pain After Spinal Cord Injury: A Mixed-Methods Study.
AB - OBJECTIVE: To identify the relative importance of positive (facilitators) and
negative (barriers) contributors to living with chronic pain after spinal cord
injury (SCI). DESIGN: Mixed-methods: (1) Qualitative (n=35): individual,
semistructured, open-ended interviews identifying facilitator/barrier themes; (2)
Quantitative (n=491): converting the most common themes into statements and
quantifying agreement with these in an online survey to determine relative
importance, underlying dimensions, and their associations with perceived
difficulty in dealing with pain. SETTING: University-based research setting and
general community. PARTICIPANTS: Volunteers (N=526) with SCI experiencing
moderate to severe chronic pain. INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: Interview guides, facilitator/barrier statements, and pain inventories.
RESULTS: Exploratory factor analyses reduced agreement ratings into 4
facilitators (information regarding pain and treatments, resilience, coping,
medication use) and 5 barriers (poor health care communication, pain impact and
limitations, poor communication about pain, difficult nature of pain, treatment
concerns). Greater "pain impact and limitations," "difficult nature of pain,"
"poor communication from provider," lower "resilience," greater "medication use,"
and younger age predicted greater difficulty in dealing with pain (r=.75;
F=69.02; P<.001). CONCLUSIONS: This study revealed multiple facilitators and
barriers to living with chronic pain after SCI. The principal barrier, "poor
health care communication," indicated that consumers do not receive adequate
information from their health care providers regarding pain. "Information
regarding pain and treatments" had greater agreement scores and factor loadings
than all other facilitators, indicating that most participants view provider
patient communication and educational efforts regarding pain and pain management
as priorities and critical needs. Further initiatives in these areas are
important for improving pain management post-SCI.
PMID- 27894731
TI - Content and Evaluation of the Benefits of Effective Exercise for Older Adults
With Knee Pain Trial Physiotherapist Training Program.
AB - OBJECTIVE: To explore whether participating in the Benefits of Effective Exercise
for knee Pain (BEEP) trial training program increased physiotherapists' self
confidence and changed their intended clinical behavior regarding exercise for
knee pain in older adults. DESIGN: Before/after training program evaluation.
Physiotherapists were asked to complete a questionnaire before the BEEP trial
training program, immediately after, and 12 to 18 months later (postintervention
delivery in the BEEP trial). The questionnaire included a case vignette and
associated clinical management questions. Questionnaire responses were compared
over time and between physiotherapists trained to deliver each intervention
within the BEEP trial. SETTING: Primary care. PARTICIPANTS: Physiotherapists
(N=53) who completed the BEEP trial training program. INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURES: Self-confidence in the diagnosis and
management of knee pain in older adults; and intended clinical behavior measured
by a case vignette and associated clinical management questions. RESULTS: Fifty
two physiotherapists (98%) returned the pretraining questionnaire, and 44 (85%)
and 39 (74%) returned the posttraining and postintervention questionnaires,
respectively. Posttraining, self-confidence in managing older adults with knee
pain increased, and intended clinical behavior regarding exercise for knee pain
in older adults appeared more in line with clinical guidelines. However, not all
positive changes were maintained in the longer-term. CONCLUSIONS: Participating
in the BEEP trial training program increased physiotherapists' self-confidence
and changed their intended clinical behavior regarding exercise for knee pain,
but by 12 to 18 months later, some of these positive changes were lost. This
suggests that brief training programs are useful, but additional strategies are
likely needed to successfully maintain changes in clinical behavior over time.
PMID- 27894732
TI - Tele-Assessment of the Berg Balance Scale: Effects of Transmission
Characteristics.
AB - OBJECTIVE: To compare Berg Balance Scale (BBS) rating using videos with differing
transmission characteristics with direct in-person rating. DESIGN: Repeated
measures study for the assessment of the BBS in 8 configurations: in person, high
definition video with slow motion review, standard-definition videos with varying
bandwidths and frame rates (768 kilobytes per second [kbps] videos at 8, 15, and
30 frames per second [fps], 30 fps videos at 128, 384, and 768 kbps). SETTING:
Medical center. PARTICIPANTS: Patients with limitations (N=45) in >=1 of 3
specific aspects of motor function: fine motor coordination, gross motor
coordination, and gait and balance. INTERVENTIONS: Not applicable. MAIN OUTCOMES
MEASURES: Ability to rate the BBS in person and using videos with differing
bandwidths and frame rates in frontal and lateral views. RESULTS: Compared with
in-person rating (7%), 18% (P=.29) of high-definition videos and 37% (P=.03) of
standard-definition videos could not be rated. Interrater reliability for the
high-definition videos was .96 (95% confidence interval, .94-.97). Rating failure
proportions increased from 20% in videos with the highest bandwidth to 60%
(P<.001) in videos with the lowest bandwidth, with no significant differences in
proportions across frame rate categories. Both frontal and lateral views were
critical for successful rating using videos, with 60% to 70% (P<.001) of videos
unable to be rated on a single view. CONCLUSIONS: Although there is some loss of
information when using videos to rate the BBS compared to in-person ratings, it
is feasible to reliably rate the BBS remotely in standard clinical spaces.
However, optimal video rating requires frontal and lateral views for each
assessment, high-definition video with high bandwidth, and the ability to carry
out slow motion review.
PMID- 27894733
TI - The Missing Antibody: The Pitfalls of ANCA Testing.
PMID- 27894734
TI - Training Geriatric Cardiologists for an Aging Population: Time to Get Going.
PMID- 27894735
TI - The New Trend in Medicine.
PMID- 27894736
TI - In-Hospital Mortality with Deep Venous Thrombosis.
AB - BACKGROUND: Little is known about the in-hospital mortality of deep venous
thrombosis in recent years. This investigation was undertaken to determine trends
in in-hospital mortality in patients with deep venous thrombosis and mortality
according to age. METHODS: Administrative data were analyzed from the National
(Nationwide) Inpatient Sample, 2003-2012. We determined in-hospital all-cause
mortality according to year and age among patients with a primary (first-listed)
diagnosis of deep venous thrombosis. We analyzed all such patients and we
analyzed those who had none of the comorbid conditions listed in the Charlson
Comorbidity Index. RESULTS: From 2003-2012, 1,603,690 hospitalized patients had a
primary diagnosis of deep venous thrombosis. All-cause in-hospital mortality
decreased from 1.3% in 2003 to 0.6% in 2012. Mortality increased with age from
0.1% in those aged 18-20 years to 1.5% in those over age 80 years. All-cause in
hospital mortality in those with no comorbid conditions according to the Charlson
Comorbidity Index (1,094,184 patients) decreased from 1.1% in 2003 to 0.5% in
2012. Presumably, these deaths were from pulmonary embolism. All-cause mortality
in those with no comorbid conditions increased with age from 0.1% in those aged
18-20 years to 1.4% in those over aged 80 years. CONCLUSION: All-cause death and
death due to pulmonary embolism in patients hospitalized with a primary diagnosis
of deep venous thrombosis decreased from 2003-2012. The death rate increased with
age. The decreased mortality over the period of investigation may have resulted
from a shift toward use of low-molecular-weight heparins and newer
anticoagulants.
PMID- 27894737
TI - A Word of Caution When Prescribing High-Dose Vitamin D.
PMID- 27894738
TI - Patients with Multiple Intracranial Aneurysms Presenting Initial Worse Clinical
Condition as a Result of a Ruptured Aneurysm.
PMID- 27894739
TI - In Reply to "Patients with Multiple Intracranial Aneurysms Presenting Initial
Worse Clinical Condition as a Result of a Ruptured Aneurysm".
PMID- 27894740
TI - Reply to "Is mean platelet volume a prognostic marker for hemodialysis patients?"
PMID- 27894741
TI - Dementia and death: Separate sides of the atrial fibrillation coin?
PMID- 27894742
TI - Metabolic state defines the response of rabbit ovarian cells to leptin.
AB - Leptin is a hormone that mediates the effect of the metabolic state on several
biological functions, including reproduction. Leptin affects reproductive
functions via alterations in the release of hormonal regulators. However, the
extent to which caloric restriction (CR) can affect the complex processes of
reproduction by other mechanisms, such as altering ovarian functions via direct
binding/response to leptin, is unknown. Therefore, the aim of the present study
was to show basic ovarian cell functions and CR on the response of ovarian cells
to leptin. Female rabbits were subjected to 50% CR restriction for 10days before
ovulation. On the day of ovulation, both control and CR animals were sacrificed.
Isolated granulosa cells were cultured for 2days with and without leptin
(100ng/ml), and the accumulation of various markers was evaluated using
immunocytochemistry; i.e., cell proliferation (PCNA and cyclin B1), apoptosis
(bax), MAP/ERK1,2 kinase (MAPK), protein kinase A (PKA), and IGF-I. In addition,
the release of IGF-I and estradiol (E2) by cells cultured with and without leptin
(1, 10, 100, 1000, or 10,000ng/ml) was assessed by radioimmunoassay (RIA). In the
granulosa cells of control animals, leptin promoted cyclin B1, MAPK, and PKA
accumulation, but not that of PCNA, and reduced bax and IGF-I accumulation. These
cells responded to leptin by increased IGF-I, but not E2 release. In cells of CR
animals, leptin increased cyclin B1 accumulation, but decreased PCNA, MAPK, and
IGF-I expression. Bax and PKA were not affected. Leptin resulted in a decrease in
IGF-I release. CR modulated the influence of leptin on E2 release dose
dependently, i.e., E2 increased at 10 and decreased at 10,000ng/ml. Therefore, CR
modified the influence of leptin on PCNA, E2, bax, PKA, MAPK, and IGF-I release,
but it did not change the effect of leptin on cyclin B1 and IGF-I accumulation
within the cells. Our data showed that leptin directly affected proliferation,
apoptosis, and hormone release by ovarian cells, probably via PKA- and MAPK
dependent pathways. Furthermore, it was demonstrated that nutrition could
influence reproduction by affecting the response of ovarian cells to leptin.
PMID- 27894743
TI - Transfer factors for natural radioactivity into date palm pits.
AB - Palm pits are used in various human and animal feed products. In this study, the
natural radioactivity levels from soil and date palm pits of 9 samples collected
from major date palm farms in three different regions (Buraidah, Al-Zulfi and Al
Majmaah) of Saudi Arabia were determined by using the high purity germanium
(HPGe) gamma-ray spectrometer. The mean activity concentrations of 226Ra, 232Th,
137Cs and 40K in soil samples were 12.8 +/- 2.2, 10.2 +/- 2.1, 0.28 +/- 0.10 and
329 +/- 87 Bg kg-1, respectively. Similarly the mean activity concentrations of
226Ra, 232Th, and 40K in date palm pits were 5.6 +/- 1.2, 2.8 +/- 0.4 and 181 +/-
17 Bq kg-1, respectively, whereas 137Cs could not be detected. The geometric mean
of TF values (geometric standard deviation in parentheses) of 226Ra, 232Th, and
40K were 0.33 (2.1), 0.22 (1.8) and 0.51 (2.0), respectively.
PMID- 27894744
TI - [Vitamin D deficiency and morbimortality in critically ill paediatric patients].
AB - OBJECTIVES: To determine the prevalence and risks factors of vitamin D
deficiency, as well as its relationship with morbidity and mortality in a PICU.
MATERIAL AND METHODS: An observational prospective study in a tertiary children's
University Hospital PICU conducted in two phases: i: cohorts study, and ii:
prevalence study. The study included 340 critically ill children with ages
comprising 6 months to 16 years old. EXCLUSION CRITERIA: Chronic kidney disease,
known parathyroid disorders, and vitamin D supplementation. Total 25
hydroxyvitamin D [25(OH)D] was measured in the first 48hours of admission to a
PICU. Parathormone, calcium, phosphate, blood gases, blood count, C-reactive
protein, and procalcitonin were also analysed. A record was also made of
demographic features, characteristics of the episode, and complications during
the PICU stay. RESULTS: The overall prevalence rate of vitamin D deficiency was
43.8%, with a mean of 22.28 (95% CI 21.15-23.41) ng/ml. Patients with vitamin D
deficiency were older (61 vs 47 months, P=.039), had parents with a higher level
of academic studies (36.5% vs 20%, P=.016), were admitted more often in winter
and spring, had a higher PRISM-III (6.8 vs 5.1, P=.037), a longer PICU stay (3 vs
2 days, P=.001), and higher morbidity (61.1% vs 30.4%, P<001) than the patients
with sufficient levels of 25(OH)D. Patients who died had lower levels of 25(OH)D
(14+/-8.81ng/ml versus 22.53+/-10.53ng/ml, P=.012). Adjusted OR for morbidity was
5.44 (95%CI; 2.5-11.6). CONCLUSIONS: Vitamin D deficiency is frequent in
critically ill children, and it is related to both morbidity and mortality,
although it remains unclear whether it is a causal relationship or it is simply a
marker of severity in different clinical situations.
PMID- 27894745
TI - CEVL interactive - Promoting effective teamwork to perform robot assisted
laparoscopic pyeloplasty in pediatric urology.
PMID- 27894746
TI - Roux-en-Y gastric bypass versus calorie restriction: support for surgery per se
as the direct contributor to altered responses of insulin and incretins to a
mixed meal.
AB - OBJECTIVE: To study the immediate effects of Roux-en-Y gastric bypass (RYGB) on
glucose homeostasis, insulin, and incretin responses to mixed-meal tests compared
with the effects of calorie restriction (CR). SETTING: University-affiliated
bariatric surgery clinic. BACKGROUND: RYGB induces remission of type 2 diabetes
(T2D) long before significant weight loss occurs. The time course and underlying
mechanisms of this remission remain enigmatic. A prevailing theory is that
secretory patterns of incretin hormones are altered due to rearrangement of the
gastrointestinal tract. To what extent reduced calorie intake contributes to the
remission of T2D is unknown. METHODS: Nine normoglycemic patients and 10 T2D
patients were subjected to mixed-meal tests (MMT) 4 weeks before surgery before
initiation of a very low calorie diet regimen (MMT-4 w), 1 day before surgery on
a very low calorie diet regimen (MMT-1 d), on the morning of the first day after
surgery (MMT+1 d; first postsurgical meal), and 6 weeks after surgery (MMT+6 w).
Insulin, glucose, active glucagon-like peptide 1 (GLP-1), and glucose-dependent
insulinotropic polypeptide (GIP) were measured. RESULTS: CR lowered insulin in
T2D patients, whereas glucose, GIP, and GLP-1 were unaffected. RYGB immediately
increased plasma insulin and GIP. The GLP-1 response was delayed compared with
the GIP response. T2D patients exhibited lower insulin responses after RYGB
compared with normoglycemic patients. GIP responses were similar in both groups
at all occasions, whereas T2D patients displayed markedly elevated GLP-1
responses 6 weeks after RYGB. Glucose was unaffected by CR and RYGB in both
groups. Insulin sensitivity was unaffected by CR but improved with RYGB.
CONCLUSION: RYGB exerts powerful and immediate effects on insulin and incretin
responses to food, independently of changes caused by CR.
PMID- 27894747
TI - Reduction of coagulability state one year after bariatric surgery.
AB - BACKGROUND: Obese patients are in a hypercoagulable state relative to normal
weight patients. Low-grade inflammation may be a key factor for this condition.
OBJECTIVES: Our study aimed to compare the coagulability state of morbidly obese
patients before and 1 year after bariatric surgery (BS) using the Thrombin
Generation (TG) test, a validated method to assess coagulation in vitro. SETTING:
University hospital. METHODS: All patients undergoing BS between September 1,
2014 and April 30, 2015 were eligible for this prospective study (n = 42). Two
distinct reagents were used for TG initiation based on the tissue factor
concentration (Reagents LOW and HIGH). The main outcomes were endogenous thrombin
potential (ETP) and peak height of TG. The rate of follow-up after one year was
97%. RESULTS: One year after surgery, %weight loss was 32.5+/-8.4%; CRP decreased
from 9.0 (3.7-12.9) to 1.1 (0.3-2.8) mg/mL (P<.001) and fibrinogen from 4.2+/-.8
to 3.5+/-.8 g/L (P<.001). The ETP (%) decreased from (108.0 (95.0-117.0) to 78.0
(71.0-98.0) (P<.001) (LOW reagent) and from 113.0 (103.0-134.0) to 96.0 (86.0
107.0) (P<.001) (HIGH reagent). Peak height (%) decreased from (117.0 (92.0
139.0) to 82.0 (70.0-111.0) (P = .003) (LOW reagent) and from 106.0 (96.0-118.0)
to 97.0 (87.8-105.2) (P = .003) (HIGH reagent). CONCLUSION: Our study shows a
significant reduction in TG potential one year after BS in morbidly obese
patients. Reduction of low grade inflammation may be one of the underlying
mechanisms.
PMID- 27894748
TI - CCR5-Delta32 gene polymorphism is related to celiac disease and autoimmune
thyroiditis coincidence in patients with type 1 diabetes.
AB - AIM: The aim of the study was to assess the relationship between CCR5-Delta32
polymorphism and the coincidence of celiac and autoimmune thyroid diseases with
type 1 diabetes mellitus (T1D) in children. METHODS: 420 children with T1D aged
15.5+/-3.0years and 350 healthy controls were studied. Characterization of CCR5
Delta32 genotypes (rs333) was analyzed by polymerase chain reaction (PCR).
RESULTS: The allele frequency was significantly different in diabetic children as
compared to the healthy controls (p<0.0001). We found negative association
between T1D and Delta32 allele (OR=0.383; 95% CI=0.268-0.549). Besides, we
observed alterations in the frequencies of CCR5-Delta32 genotypes due to celiac
and autoimmune thyroid diseases. The risk of celiac disease for patient carriers
of the 32-bp deletion was more than threefold higher than for noncarriers
(OR=3.490; 95% CI=1.357-8.859; p=0.009). Similar results were obtained in the
case of autoimmune thyroiditis. The risk of autoimmune thyroiditis for patient
carriers of the 32-bp deletion was also more than threefold higher than for
noncarriers (OR=3.466; 95% CI=1.754-6.849; p=0.0004). CONCLUSIONS: The findings
of our studies suggest that the CCR5-Delta32 polymorphism is associated with type
1 diabetes mellitus and the Delta32 allele increases the risk of celiac disease
and autoimmune thyroid disorders in patients with T1D.
PMID- 27894749
TI - The microbiology of diabetic foot infections in patients recently treated with
antibiotic therapy: A prospective study from India.
AB - AIM: Clinicians often treat clinically infected diabetic foot ulcers without
information from cultures of the wound. The results of wound cultures may also be
affected by previous antibiotic therapy. Thus, we aimed to study the microbial
isolates, and antimicrobial sensitivity of previously treated patients with a
clinically infected DFU. RESEARCH DESIGN AND METHODS: 293 consecutive patients
with clinically infected DFU on prior antimicrobial treatment within the
immediate past few days for a duration greater than one week were evaluated for
microbial etiology, antibiotic sensitivity and final outcomes. Appropriate tissue
samples i.e. purulent drainage, soft-tissue and/ or bone were obtained for
aerobic/anaerobic cultures and antimicrobial sensitivities. 71 patients with
missing prior antibiotic data were excluded. RESULTS: 313 tissue samples obtained
from 222 patients isolated 317 causative organisms. Most of the culture results
from tissue specimens were mono-microbial (93.2%) compared to 37% in our previous
cohort of 60 patients. Pseudomonas aeruginosa was the most common organism
isolated on culture of bone (26.9%) or soft tissue (23.2%) specimen,
respectively. Only 23% and 64% of P. aeruginosa isolates and 5.6% and 44% of
Acinetobacter sp. were sensitive to quinolones and cephalosporins, respectively.
CONCLUSIONS: Clinically infected DFU recently treated with antibiotics have
predominant monomicrobial and multi drug-resistant infection. Quinolones as an
empirical antibiotic choice may not be appropriate in this setting.
PMID- 27894750
TI - Risk factors for autonomic and somatic nerve dysfunction in different stages of
glucose tolerance.
AB - AIM: The present study evaluates autonomic and somatic nerve function in
different stages of glucose tolerance and its correlation with different cardio
metabolic parameters. MATERIAL AND METHODS: Four hundred seventy-eight subjects,
mean age 49.3+/-13.7years and mean BMI 31.0+/-6.2kg/m2, divided according to
glucose tolerance: 130 with normal glucose tolerance (NGT), 227 with prediabetes
(125 with impaired fasting glucose (IFG) and 102 with isolated impaired glucose
tolerance (iIGT)), and 121 with newly-diagnosed T2D (NDT2D), were enrolled.
Glucose tolerance was studied during OGTT. Antropometric indices, blood pressure,
HbA1c, serum lipids, hsCRP and albumin-to-creatinine ratio were assessed. Body
composition was estimated by a bioimpedance method (InBody 720, BioSpace). Tissue
AGEs accumulation was assessed by skin autofluorescence (AGE-Reader
DiagnOpticsTM). Electroneurography was performed by electromyograph Dantec
Keypoint. Cardiovascular autonomic neuropathy (CAN) was assessed by ANX-3.0
method applying standard clinical tests. RESULTS: CAN was found in 12.3% of NGT,
19.8% of prediabetes (13.2% of IFG and 20.6% of iIGT), and 32.2% of NDT2D. The
prevalence of diabetic sensory polyneuropathy (DSPN) was 5.7% in prediabetes and
28.6% in NDT2D. The panel of age, QTc interval, waist circumference, diastolic
blood pressure, and 120-min plasma glucose was related to sympathetic activity (F
[5451]=78.50, p<0.001). The panel of age, waist circumference, and QTc interval
was related to parasympathetic power (F [3453]=132.26, p<0.001). HbA1c and age
were related to sural SNAP (F [2454]=15.12, p<0.001). HbA1c and AGEs were related
to sural SNCV (F [2454]=12.18, p<0.001). CONCLUSIONS: Our results demonstrate a
high prevalence of autonomic and sensory nerve dysfunction in early stages of
glucose intolerance. Age, postprandial glycemia, central obesity, diastolic blood
pressure and QTc interval outline as predictive markers of CAN; hyperglycemia,
glycation and age of DSPN.
PMID- 27894752
TI - Trends in cervical cancer incidence in younger US women from 2000 to 2013.
AB - OBJECTIVE: This study aimed to assess the temporal trends in invasive cervical
cancer (ICC) incidence rates among 21-25year-olds. US guidelines no longer
recommend screening prior to age 21, and concerns have been raised that delayed
screening initiation may increase ICC incidence among young women. METHODS: This
study utilized ICC incidence data from 18 US population-based cancer registries
in SEER from 2000 to 2013 and Pap test prevalence data from the Behavioral Risk
Factor Surveillance System from 1996 to 2012. Trends were evaluated with annual
percent changes (APCs) using Joinpoint regression. RESULTS: The prevalence of
never having a Pap test before age 21 increased from 22.0% in 1996-2004 to 38.3%
in 2006-2012 (APC=+5.48, 95%CI=+4.20, +7.50). Despite this decline in screening,
ICC incidence among 21-23year olds significantly declined between 2000 and 13
(APC=-5.36, 95%CI=-7.83,-2.82), particularly from 2006 to 2013 (APC=-9.70, 95%CI=
15.79, -3.17). ICC incidence remained constant among 24-25year olds (APC=+0.45,
95%CI=-2.00, 2.97). Compared to women born in 1978-1985, women born in 1986-1991
had a higher prevalence of never receiving a Pap test prior to 21 (35.4% vs.
22.1%, p<0.001), but a lower ICC incidence at 21-23 (0.98 vs. 1.55 per 100,000,
p<0.001). CONCLUSION: While US females born in 1986-1991 were less likely to
receive a Pap test before age 21, diagnoses of ICC in the early 20s were rare and
lower than for those born in earlier years. This provides reassurance that the
updated guidelines to delay screening until 21 has not resulted in a population
level increase in ICC rates among young women.
PMID- 27894751
TI - Polymerase epsilon (POLE) ultra-mutation in uterine tumors correlates with T
lymphocyte infiltration and increased resistance to platinum-based chemotherapy
in vitro.
AB - OBJECTIVE: Up to 12% of all endometrial-carcinomas (EC) harbor DNA-polymerase
epsilon-(POLE) mutations. It is currently unknown whether the favorable prognosis
of POLE-mutated EC is derived from their low metastatic capability, extraordinary
number of somatic mutations thus imparting immunogenicity, or a high sensitivity
to chemotherapy. METHODS: Polymerase-chain-reaction-amplification and Sanger
sequencing were used to test for POLE exonuclease-domain-mutations (exons 9-14)
131 EC. Infiltration of CD4+ and CD8+ T-lymphocytes (TIL) and PD-1-expression in
POLE-mutated vs POLE wild-type EC was studied by immunohistochemistry (IHC) and
the correlations between survival and molecular features were investigated.
Finally, primary POLE-mutated and POLE-wild-type EC cell lines were established
and compared in-vitro for their sensitivity to chemotherapy. RESULTS: Eleven POLE
mutated EC (8.5%) were identified. POLE-mutated tumors were associated with
improved progression-free-survival (P<0.05) and displayed increased numbers of
CD4+ (44.5 vs 21.8; P=0.001) and CD8+ (32.8 vs 13.5; P<0.001) TILs when compared
to wild-type POLE EC. PD-1 receptor was overexpressed in TILs from POLE-mutated
vs wild-type-tumors (81% vs 28%; P<0.001). Primary POLE tumor cell lines were
significantly more resistant to platinum-chemotherapy in-vitro when compared to
POLE-wild-type tumors (P<0.004). CONCLUSIONS: POLE ultra-mutated EC are heavily
infiltrated with CD4+/CD8+ TIL, overexpress PD-1 immune-check-point (i.e.,
features consistent with chronic antigen-exposure), and have a better prognosis
when compared to other molecular subtypes of EC patients. POLE-mutated tumor-cell
lines are resistant to platinum-chemotherapy in-vitro suggesting that the better
prognosis of POLE-patients is not secondary to a higher sensitivity to
chemotherapy but likely linked to enhanced immunogenicity.
PMID- 27894753
TI - Association between timing of cervical excision procedure to minimally invasive
hysterectomy and surgical complications.
AB - OBJECTIVE: To determine if the time interval between excision procedure and
definitive minimally invasive surgery (MIS) for cervical cancer impacts 30-day
postoperative complications. METHODS: A retrospective cohort of patients
diagnosed with cervical cancer from January 2000 to July 2015 was evaluated.
Patients who underwent a cervical excision procedure followed by definitive MIS
within 90days were included. Early definitive surgery was defined as <=6 weeks
following excision procedure, while delayed was defined as 6weeks to 3months. The
primary outcome was 30-day complications. Statistical analysis included
descriptive statistics and modified Poission regression. RESULTS: Overall, 138
patients met inclusion criteria. Of these, 33% (n=46) had early definitive
surgery and 67% (n=92) had delayed definitive surgery. Median age was 42years
(range 23-72years) and median BMI was 28kg/m2 (range 16-50kg/m2). Within
demographic and surgical factors collected, only smoking status differed between
groups with those in the delayed surgery group more likely to be non-smokers than
those in the early surgery group (p=0.04). When adjusting for relevant
demographic and surgical factors, patients in the early group were twice as
likely to have 30-day complication (aRR 2.6, 95%CI 1.14-5.76, p=0.02). Evaluating
only women who underwent a radical procedure, 30-day complications remained
higher in the early surgery group (RR 2.56; 95%CI 1.22-5.38, p=0.01).
CONCLUSIONS: Performing definitive MIS for cervical cancer within 6weeks after
cervical excision is associated with increased risk for 30-day complications.
Providers should consider delaying definitive surgical procedures for at least
6weeks following excision to reduce surgical complications.
PMID- 27894754
TI - Composite of Cu metal nanoparticles-multiwall carbon nanotubes-reduced graphene
oxide as a novel and high performance platform of the electrochemical sensor for
simultaneous determination of nitrite and nitrate.
AB - In the present research, we aimed to fabricate a novel electrochemical sensor
based on Cu metal nanoparticles on the multiwall carbon nanotubes-reduced
graphene oxide nanosheets (Cu/MWCNT/RGO) for individual and simultaneous
determination of nitrite and nitrate ions. The morphology of the prepared
nanocomposite on the surface of glassy carbon electrode (GCE) was characterized
using various methods including scanning electron microscopy (SEM), atomic force
microscopy (AFM), and electrochemical impedance spectroscopy. Under optimal
experimental conditions, the modified GCE showed excellent catalytic activity
toward the electro-reduction of nitrite and nitrate ions (pH=3.0) with a
significant increase in cathodic peak currents in comparison with the unmodified
GCE. By square wave voltammetry (SWV) the fabricated sensor demonstrated wide
dynamic concentration ranges from 0.1 to 75MUM with detection limits (3Sb/m) of
30nM and 20nM method for nitrite and nitrate ions, respectively. Furthermore, the
applicability of the proposed modified electrode was demonstrated by measuring
the concentration of nitrite and nitrate ions in the tap and mineral waters,
sausages, salami, and cheese samples.
PMID- 27894755
TI - DNA damage induced by coal dust, fly and bottom ash from coal combustion
evaluated using the micronucleus test and comet assay in vitro.
AB - Coal mining and combustion generating huge amounts of bottom and fly ash are
major causes of environmental pollution and health hazards due to the release of
polycyclic aromatic hydrocarbons (PAH) and heavy metals. The Candiota coalfield
in Rio Grande do Sul, is one of the largest open-cast coal mines in Brazil. The
aim of this study was to evaluate genotoxic and mutagenic effects of coal, bottom
ash and fly ash samples from Candiota with the comet assay (alkaline and modified
version) and micronucleus test using the lung fibroblast cell line (V79).
Qualitative and quantitative analysis of PAH and inorganic elements was carried
out by High Performance Liquid Chromatography (HPLC) and by Particle-Induced X
ray Emission (PIXE) techniques respectively. The samples demonstrated genotoxic
and mutagenic effects. The comet assay modified using DNA-glicosilase
formamidopirimidina (FPG) endonuclease showed damage related to oxidative stress
mechanisms. The amount of PAHs was higher in fly ash followed by pulverized coal.
The amount of inorganic elements was highest in fly ash, followed by bottom ash.
It is concluded that the samples induce DNA damage by mechanisms that include
oxidative stress, due to their complex composition, and that protective measures
have to be taken regarding occupational and environmental hazards.
PMID- 27894756
TI - Bioremediation of long-term PCB-contaminated soil by white-rot fungi.
AB - The objective of this work was to test the PCB-degrading abilities of two white
rot fungi, namely Pleurotus ostreatus and Irpex lacteus, in real contaminated
soils with different chemical properties and autochthonous microflora. In
addition to the efficiency in PCB removal, attention was given to other important
parameters, such as changes in the toxicity and formation of PCB transformation
products. Moreover, structural shifts and dynamics of both bacterial and fungal
communities were monitored using next-generation sequencing and phospholipid
fatty acid analysis. The best results were obtained with P. ostreatus, which
resulted in PCB removals of 18.5, 41.3 and 50.5% from the bulk, top (surface) and
rhizosphere, respectively, of dumpsite soils after 12 weeks of treatment.
Numerous transformation products were detected (hydoxylated and methoxylated
PCBs, chlorobenzoates and chlorobenzyl alcohols), which indicates that both fungi
were able to oxidize and decompose the aromatic moiety of PCBs in the soils.
Microbial community analysis revealed that P. ostreatus efficiently colonized the
soil samples and suppressed other fungal genera. However, the same fungus
substantially stimulated bacterial taxa that encompass putative PCB degraders.
The results of this study finally demonstrated the feasibility of using this
fungus for possible scaled-up bioremediation applications.
PMID- 27894757
TI - Removal of dissolved sulfides in aqueous solution by activated sludge: mechanism
and characteristics.
AB - Activated sludge recycling has been developed as a novel technique to directly
prevent volatile sulfides emission from wastewater influents. In this study,
mechanisms and characteristics of dissolved sulfides removal in aqueous solution
by activated sludge were investigated. When DO content in water was 0.49mg/L, 70%
of removed dissolved sulfides were released back from the activated sludge by
lowering pH to 1. The SEM/EDS result revealed that removed sulfur was fixed in
activated sludge and the XPS result showed that fixed sulfur had an oxidation
state of -2. FTIR results showed that primary amine group (R-NH2) could be one of
the radical groups bonding sulfides. All these results verified that sulfides
removal by activated sludge is primarily attributed to adsorption, rather than
biodegradation, under low DO conditions in 40min. The equilibrium isotherm data
fit the Langmuir isotherm model well. The maximum adsorption capacity (q0) ranged
in 25-38mg/g at temperatures of 10-40 degrees C. The adsorption kinetic data fit
the pseudo-second-order model well. The amounts of adsorbed sulfides at
equilibrium (qe) were positively proportional to temperature, initial sulfides
concentration and agitation speed. These results indicate that sulfides
adsorption could be a chemical sorption or ion exchange process.
PMID- 27894758
TI - The impact of cardiac risk factors on short-term outcomes for children undergoing
a Ladd procedure.
AB - BACKGROUND/PURPOSE: The purpose of this study was to describe the outcomes of
children with and without congenital heart disease who undergo a Ladd procedure.
METHODS: The 2012-2014 National Surgical Quality Improvement Program Pediatric
(NSQIP-P) data were queried for patients undergoing a Ladd procedure. Utilizing
NSQIP-P definitions, patients were categorized into four cardiac risk groups
(none, minor, major, severe) based on severity of cardiac anomalies, previous
cardiac procedure(s), and ongoing cardiac dysfunction. Ladd procedures were
elective/non-elective. Outcomes included length of stay, adverse events, and
mortality. RESULTS: 878 patients underwent Ladd procedures. 633 (72%) patients
had no cardiac risk factors and 84 (10%), 109 (12%), and 52 (6%) had minor,
major, and severe cardiac risk factors, respectively. Children with congenital
heart disease experienced increased morbidity and mortality and longer hospital
stays (all p<0.05). Elective Ladd procedures were associated with similar
morbidity but shorter length of stay and lower mortality than non-elective
procedures. Older age at time of operation was associated with fewer adverse
events. CONCLUSIONS: Although overall mortality remains low, children with higher
risk cardiac disease experience increased morbidity and mortality when undergoing
a Ladd procedure. Older age at the time of the Ladd procedure was associated with
improved outcomes in children.
PMID- 27894759
TI - Pancreaticoduodenectomy for pediatric and adolescent pancreatic malignancy: A
single-center retrospective analysis.
AB - PURPOSE: While pancreaticoduodenectomy (PD) has been extensively studied in
adults, there are few data pertaining specifically to pediatric patients. We
retrospectively analyzed PD-associated morbidity and mortality in pediatric
patients. METHODS: Our analytic cohort included all consecutive patients
<=18years of age treated at our institution from 1993 to 2015 who underwent PD.
Patient data (demographics, disease characteristics, surgical and adjuvant
treatment, length of hospital stay, and postoperative course) were extracted from
the medical records. RESULTS: We identified 12 children with a median age of
9years (7 female, 5 male). Final diagnoses were pancreatoblastoma (n=3), solid
pseudopapillary tumor (n=3), neuroblastoma (n=2), rhabdomyosarcoma (n=2), and
neuroendocrine carcinoma (n=2). Four patients underwent PD for resection of
recurrent disease. 75% (9/12 patients) received neoadjuvant therapy. The median
operative time was approximately 7hours with a mean blood loss of 590cm3. The
distal pancreas was invaginated into the posterior stomach (n=3) or into the
jejunum (n=5) or was directly sewn to the jejunal mucosa (n=4). There were no
operative deaths. There were 4 patients (34%) with grade II complications, 1 with
a grade IIIb complication (chest tube), and 1 with a grade IV complication
(reexploration). The most common long-term morbidity was pancreas exocrine
supplementation (n=10; 83%). Five patients (42%) diagnosed with either solid
pseudopapillary tumor or rhabdomyosarcoma are currently alive with a mean
survival of 77.4months. CONCLUSION: Pancreaticoduodenectomy is a feasible
management strategy for pediatric pancreatic malignancies and is associated with
acceptable morbidity and overall survival. Long-term outcome is mostly dependent
on histology of the tumor. LEVEL OF EVIDENCE: Level IV; retrospective study with
no comparison group.
PMID- 27894760
TI - Oesophageal atresia with no distal tracheoesophageal fistula: Management and
outcomes from a population-based cohort.
AB - PURPOSE: To describe the incidence and outcomes to one-year in infants born with
oesophageal atresia (OA) with no distal tracheoesophageal fistula within a
population cohort. METHODS: A subgroup analysis of a prospective multicentre
population cohort study was undertaken describing the outcomes of infants with OA
and no tracheoesophageal fistula, (type A) and those with only an upper pouch
fistula, (type B). MAIN RESULTS: Twenty-one of 151 infants in the whole cohort
were diagnosed with type A or B oesophageal atresia (14%). Fifteen were type A
(71%) and six type B (29%). Infants with type B had a shorter gap length than
those with type A: 2.5 vertebral bodies (2-3) vs. 5 (4-6) (p=0.008). All infants
with type B OA underwent oesophageal anastomosis, 83% (n=5) as the primary
procedure. All infants with type A, underwent staged management. Six (40%) had
delayed primary anastomosis and eight required oesophageal replacement (53%). One
infant died prior to reconstruction. The median time to delayed primary
anastomosis in infants with type A or B OA was 82days (75-89days) (n=7). The
median time to oesophageal replacement was 94days (89-147days) (n=8). Median
length of stay for infants with type A or B OA from first operation to first
discharge was 101days (31-123days). CONCLUSIONS: Infants with type B OA had a
shorter gap length and all were managed with oesophageal anastomosis. OA with no
distal tracheoesophageal fistula is uncommon at a population level and frequently
has a complex course. LEVEL OF EVIDENCE: Rating: II.
PMID- 27894761
TI - Risk factors for recurrence and contralateral inguinal hernia after laparoscopic
percutaneous extraperitoneal closure for pediatric inguinal hernia.
AB - BACKGROUND: The use of laparoscopic percutaneous extraperitoneal closure (LPEC)
for pediatric inguinal hernia has recently been increasing. Recurrence and
contralateral metachronous inguinal hernia (CMIH) are important problems for
LPEC. This study analyzed the risk factors for recurrence and CMIH. METHODS: This
study included 1530 patients. The mean follow-up period was 48months. Of 1530
patients, 847 were boys and 683 were girls. The mean age at operation was
3.9years. The asymptomatic contralateral internal ring was routinely observed
during the operation, and when a patent processus vaginalis (PPV) was confirmed,
prophylactic surgery was performed. RESULTS: Recurrence was seen in 0.48% of
patients (8/1653 sides), all of whom were male (P=0.01: male versus female). On
multivariate analysis, age less than 1year was the only risk factor for
recurrence in male patients (hazard ratio: 4.54, 95% CI: 1.07-19.25, P=0.04).
CMIH was seen in 0.22% of the patients (3/1382), again only in male patients
(P=0.12: male versus female). As a result of intraoperative observation, 44.6% of
patients were confirmed to have an asymptomatic contralateral PPV and underwent
prophylactic LPEC. Female, age 1year or older, right side, and surgeon's
experience were identified as factors associated with asymptomatic contralateral
PPV. CONCLUSIONS: To prevent recurrence, surgeons need to be careful when
operating on young male patients. Whereas no specific factor could be identified
as a risk factor for CMIH, some factors associated with asymptomatic PPV were
identified. Further study and discussion will be needed to identify correlations
between CMIH and these factors for PPV. LEVEL OF EVIDENCE: Level III.
PMID- 27894762
TI - Bilateral congenital diaphragmatic hernia: prognostic evaluation of a large
international cohort.
AB - BACKGROUND: Congenital diaphragmatic hernia (CDH) is a lethal birth defect, which
occurs in 1:2000-3000 live births. Bilateral CDH is a rare form (1%), with a high
mortality. This study presents the outcomes of the largest cohort of bilateral
CDH patients. METHODS: The records of patients with bilateral CDH from the
Congenital Diaphragmatic Hernia Registry born between 1995 and 2015 were
retrospectively analyzed to identify parameters associated with mortality.
RESULTS: Eighty patients with a bilateral CDH were identified. Overall mortality
was 74% (n=59). Apgar scores at 1 and 5min were statistically lower in the non
survivors compared to the survivors (median 3.0 and 5.0, versus 6.5 and 8.0,
respectively, p<0.001). All survivors were repaired (n=21), compared to 22% of
the non-survivors (n=17). The type of repair was equally divided in the survivors
(52% primary versus 48% patch), while non-survivors were mainly patch repaired
(82% versus 12%). Nineteen were treated with extracorporeal membrane oxygenation
(ECMO) (24%), only three of them survived. When calculating the risk on mortality
for the patients who lived until repair, ECMO had an adjusted odds ratio for
mortality of 10.8 (95% CI: 2.0-57.7) and patch repair 5.2 (95% CI: 0.8-34.9).
CONCLUSIONS: The treatment of bilateral CDH patients remains challenging with a
high mortality rate. Lower Apgar-scores, ECMO (probably as a surrogate for the
severity of disease), and patch repair were negatively associated with outcome.
LEVEL OF EVIDENCE: Level IV study.
PMID- 27894763
TI - Extended spectrum beta lactamase (ESBL) producing bacteria urinary tract
infections and complex pediatric urology.
AB - AIM OF THE STUDY: Extended spectrum beta lactamase (ESBL) producing bacteria are
resistant to most beta-lactam antibiotics including third-generation
cephalosporins, quinolones and aminoglycosides. This resistance is plasmid-borne
and can spread between species. Management of ESBL is challenging in children
with recurrent urinary tract infections (UTIs) and complex urological
abnormalities. We aim to quantify the risk in children and specifically in
urological patients. METHODS: Retrospective review of a microbiology database
(April 2014 to November 2015). This identified urine isolates, pyuria, ESBL
growth and patient demographics. Data analysis was by Chi square, Mann-Whitney U
test and ANOVA. A P value of <0.05 was taken as significant. MAIN RESULTS:
Analysis of 9418 urine samples showed 2619 with pure isolates, of which 1577 had
pyuria (>10*106 WC/L). 136 urine cultures (n=79 patients) grew purely ESBL.
Overall, 5.2% of urine isolates were ESBL and 9.5% isolates with pyuria (>100*106
WC/L) had ESBL, whereas only 22/1032 (2.1%) with no pyuria, (P<0.0001). Urology
patients had 86/136 (63%) ESBL positive cultures. These represented 86/315 (27%)
of all positive cultures for urology patients vs. 50/2267 (2.2%) for all other
specialties (P<0.0001). Potential ESBL transmission between organisms occurred in
3 (all on prophylactic antibiotics). Over the study period, there was no
significant rise of the monthly incidence between 2014 and 2015 (ANOVA P=0.1).
CONCLUSION: This study is the first to document the incidence of ESBL in children
(5%), and estimate the frequency of possible plasmid transmission between
bacterial species in children. This quantifies the risk of ESBL, especially to
urology patients, and mandates better antibiotic stewardship. LEVEL OF EVIDENCE:
Level IIc.
PMID- 27894765
TI - Outcome in adults with anorectal malformations in relation to modern
classification - Which patients do we need to follow beyond childhood?
AB - BACKGROUND/PURPOSE: Knowledge about the functional outcome in adults with
anorectal malformations is essential to organize structured transition to adult
care for this patient group. The aim of this study was to investigate the
functional outcome and quality of life in adults with anorectal malformations
characterized according to the Krickenbeck classification. METHODS: Of 256
patients diagnosed with anorectal malformations at our institution in 1961-1993,
203 patients could be traced and were invited to participate in the study. One
hundred and thirty-six patients replied (67%) and were compared with one hundred
and thirty-six population based sex and age-matched controls. Patients and
controls were evaluated with both a validated questionnaire as well as a study
specific questionnaire to assess bowel function. SF-36 was used for quality of
life. Outcome in nine incontinence-related parameters, 10 constipation-related, 6
urogenital function-related, and 13 quality of life parameters were assessed in
the patients and compared to the outcome of controls as well as to the type of
anorectal malformations according to the Krickenbeck classification. RESULTS: The
ARM-patients had an inferior outcome (P<0.05) for all incontinence parameters, 8
of 10 parameters for constipation, 2 of 6 for urogenital function and 7 of 13
quality of life parameters. Patients with rectobulbar and vestibular fistulas had
the worst statistical outcome but patients with cloaca and rectoprostatic/bladder
neck fistula had worse outcome in absolute numbers. Forty-four patients (32%)
reported incontinence of stool at least once a week and 16 (12%) had a permanent
colostomy. CONCLUSIONS: The functional outcome and quality of life in adults with
anorectal malformations are closely related to the type of malformation. A large
proportion of the patients have persistent fecal incontinence, constipation and
sexual problems that have a negative influence on their quality of life.
Structured multidisciplinary follow-up of adults with anorectal malformations by
pediatric and colorectal surgeons, as well as urologists and gynecologists is
therefore advocated.
PMID- 27894764
TI - Thoracoscopic bilateral T3 sympathectomy for primary focal hyperhidrosis in
children.
AB - AIM OF THE STUDY: Present our experience in the surgical treatment of primary
focal hyperhidrosis of the hands by thoracoscopic bilateral T3 sympathectomy in
pediatric patients. METHODS: Retrospective chart review of all patients operated
between 2013 and 2015. RESULTS: We operated and included in the study 28
patients, 22 females and 6 males. Mean age was 14 (6-21) years. All patients had
previously tried at least one form of medical therapy with no success. All
patients were extensively counseled regarding the potential side effects of the
sympathectomy. The operations were done in supine position with the arms
extended. All patients were intubated with a double-lumen endotracheal tube for
sequential lung isolation. We used a 5-mm port for the scope and a 3-mm port for
the instruments, both placed in the axilla. The third rib was identified by
fluoroscopy. The sympathectomy was done with monopolar cautery. Mean operative
time was 43 (25-71) minutes. No chest tubes were used. The incidence of
intraoperative or postoperative complications was zero. All patients were
discharged within the first 24 postoperative hours. All patients achieved
immediate complete postoperative resolution of the palmar hyperhidrosis,
sustained in all cases at a median follow-up of 17 (2-34) months. The mean
preoperative quality of life score (based on a multifunctional self-assessment
questionnaire) was 41/100, whereas after the operation, it was 92/100. Only 1
patient developed temporary compensatory sweating. All patients were satisfied
with the result of the operation. CONCLUSION: Thoracoscopic bilateral T3
sympathectomy is a safe and effective treatment for children and adolescents with
primary focal hyperhidrosis of the hands who failed medical management and have a
very low rate of compensatory sweating. LEVEL OF EVIDENCE: IV.
PMID- 27894766
TI - Risk and relevance of open lung biopsy in pediatric ECMO patients: the Dutch
experience.
AB - BACKGROUND: Open lung biopsy can help differentiate between reversible and
irreversible lung disease and may guide therapy. To assess the risk-benefit ratio
of this procedure in pediatric extracorporeal membrane oxygenation (ECMO)
patients, we reviewed data of all patients who underwent an open lung biopsy
during ECMO in one of the two pediatric ECMO centers in a nationwide study in the
Netherlands. RESULTS: In nineteen neonatal and six pediatric patients (0
15.5years), twenty-five open lung biopsies were performed during the study
period. In 13 patients (52%), a classifying diagnosis of underlying lung disease
could be made. In another nine patients (36%), specific pathological
abnormalities were described. In three patients (12%), only nonspecific
abnormalities were described. The histological results led to withdrawal of ECMO
treatment in 6 neonates with alveolar capillary dysplasia/misalignment of
pulmonary veins (24%) and in another 6 patients, corticosteroids were started
(24%). All patients survived the biopsy procedure. Hemorrhagic complications were
rare. CONCLUSION: An open lung biopsy during an ECMO run in neonates and children
is a safe procedure with a minimum risk for blood loss and biopsy-related death.
It can be very useful in diagnosing the underlying pathology and can guide
cessation of ECMO treatment and thereby avoid continuation of futile treatment,
especially in neonatal patients. LEVEL OF EVIDENCE: III. TYPE OF STUDY:
Diagnostic study.
PMID- 27894767
TI - Paratesticular rhabdomyosarcoma: Importance of initial therapy.
AB - PURPOSE: To evaluate factors associated with progression-free and disease
specific survival in patients with paratesticular rhabdomyosarcoma, we performed
a cohort study. Also, since many patients present to our institution after
initial therapy, we analyzed the effects of salvage therapy for scrotal
violation. PATIENTS AND METHODS: We retrospectively reviewed the records of all
consecutive patients with histologically confirmed paratesticular
rhabdomyosarcoma treated at our institution between 1978 and 2015. Fifty-one
patients were initially identified, but two with incomplete data were excluded
from analysis. Variables evaluated for correlation with survival were TNM
staging, Children's Oncology Group Soft Tissue Sarcoma pretreatment staging,
margins at initial resection, presence of scrotal violation, hemiscrotectomy
and/or scrotal radiation. The log-rank test was used to compare survival
distributions. RESULTS: For the analytic cohort of 49 patients, the median age
and follow-up were 15.7years (95% CI: 14.2-17.5, range: 0.8-25.1years) and
6.9years (95% CI: 4.4-9.0, range 0.2-37.5years), respectively. The 5-year overall
disease-specific survival was 78.7% (95% CI: 67.7%-91.4%) and the progression
free survival was 66.9% (95% CI: 54.8%-81.6%). Median time to recurrence was
0.9years (95% CI: 0.7-0.9, range 0.1-6.2years). Scrotal violation occurred in 41%
(n=20) and tripled the risk of recurrence for patients not appropriately treated
with either hemiscrotectomy or scrotal radiation therapy (RR=3.0, 95% CI: 1.16
7.73). CONCLUSIONS: The strongest predictors of disease-specific survival were
nodal status and distant metastasis at diagnosis. Scrotal violation remains a
problem in paratesticular rhabdomyosarcoma and is a predictor of disease
progression unless adequately treated. The risk of progression could be reduced
with appropriate initial resection. LEVEL OF EVIDENCE: Level IV; retrospective
study with no comparison group.
PMID- 27894768
TI - Total esophagogastric dissociation: single center experience.
AB - Aim: Fundoplication fails in approximately 20% of children with severe
neurodisability. We aimed to evaluate total esophagogastric dissociation (TOGD)
as a primary procedure and as a 'rescue' procedure for severely neurologically
impaired children with significant swallowing discoordination and
gastroesophageal reflux disease. Methods: Casenotes of 40 children with severe
neurodisability who underwent TOGD between 2005 and 2015 were retrospectively
reviewed. Of these, 33 were primary procedures and 7 were 'rescue' procedures
following failed fundoplication. Results: Median age at surgery was 3 years 7
months (range 1 month to 13 years 11 months). Preoperatively, all children had
symptoms of regurgitation, retching or vomiting and 70% of children had an unsafe
swallow. There were 5 early complications related to surgery in 4 children
requiring surgical intervention. One child died following relaparotomy for
esophagojejunal anastomotic breakdown because of multiorgan failure. Gastrostomy
feeding was established by a median of 6 days (range 2 to 25 days) and median
hospital stay was 10 days (range 4 to 280 days). There were 5 late complications.
Median follow-up was 13 months (range 1 month to 8 years 4 months). All children
have had resolution of gastroesophageal reflux. Thirteen percent of children
experience bloating or pain on feeding and 26% of children experience retching
unrelated to gastroesophageal reflux. There were 8 late deaths unrelated to
surgery. Conclusion: TOGD should be considered as a primary and definitive
procedure in selected severely neurodisabled children who are at higher risk of
failure of fundoplication, recurrent aspiration and a reduced quality of life.
PMID- 27894769
TI - Identification of molecular species of monoalkyldiacylglycerol from the squid
Berryteuthis magister using liquid chromatography-APCI high-resolution mass
spectrometry.
AB - Monoalkyldiacylglycerol (MADAG) is an important lipid class in mollusks, corals,
starfishes, and some species of zooplankton. Up to 80% of liver oils of squids
and sharks are comprised of MADAG. Except for one fish species, there are no data
on the composition of MADAG molecular species of marine organisms. The molecular
species of MADAG obtained from digestive glands of the deep-sea squid
Berryteuthis magister were identified. High-performance liquid chromatography
(HPLC) and tandem high-resolution mass spectrometry (HRMS) with atmospheric
pressure chemical ionization (APCI) were applied. The mass spectra of each
molecular species contained positive quasi-molecular ion [M+H]+ with fragment
ions [M-R'CO2]+, [M-R''CO2]+, and [M-OR''']+, as well as negative cluster ion
[M+2H2OH]- without fragments. The exact masses of the negative ions allowed
determination of the molecular formulas and the number of double bonds; the
positive fragment ions were used to determine the location of acyl and alkyl
groups in MADAG molecules. Ninety molecular species of MADAG were revealed. The
regiospecific composition was identified for 72 individual molecular species.
Eleven pairs of positional isomers of acyl groups were found. Four molecular ions
were produced by a mixture of acyl/alkyl isomers. Most of molecular species
contained 16:0e or 18:1e alkyl groups in the sn-1 position, whereas
polyunsaturated fatty acids mainly esterified the sn-2 position of MADAG from B.
magister. These structural features are supposed to be characteristic for
biologically active MADAG.
PMID- 27894770
TI - Activity-based targeting of secretory phospholipase A2 enzymes: A fatty-acid
binding-protein assisted approach.
AB - Syntheses and enzymological characterization of fluorogenic substrate probes
targeting secretory phospholipase A2 (sPLA2) for detection and quantitative
assays are presented. Three fluorogenic phosphatidylcholine analogs PC-1, PC-2,
and PC-3 each containing the duo of 7-mercapto-4-methyl-coumarin fluorophore and
2,4-dinitroanaline quencher on either tail were synthesized from (R)-3-amino-1,2
propanediol and R-(-)-2,2-dimethyl-1,3-dioxolane-4-methanol. These small reporter
groups are advantageous in preserving natural membrane integrity. Phosphocholine
was incorporated into the sn-3 position of the glycerol backbone. Acyl amino
group at the sn-1 position in PC-1 and PC-2 is meant to block sPLA1. The sn-1 and
sn-2 positions of the glycerol backbone in PC-1 have a quencher terminated 12
carbon chain and fluorophore terminated 11-carbon chain respectively. PC-2 has a
quencher terminated 3-carbon chain at the sn-2 and chain terminating fluorescent
reporter at the sn-1 positions. PC-3 resembles PC-1 except for an ester instead
of amide at the sn-1 position, because of which it is more similar to natural
phospholipids than PC-1. It was designed to elucidate the effect of replacing the
ester group with amide by comparing its hydrolysis rate with that of PC-1. Design
principles apply to synthesis of other labeled phospholipids. Enzymological
characterization using bee-venom sPLA2 was performed by a fatty-acid-binding
protein fluorescence assay and by pH-Stat method in which the amount of fatty
acid released by hydrolysis is given by the amount of base required to maintain a
constant pH of 8.0. Hydrolytic activity toward PC-1 and PC-3 were each about
238+/-25MUmol/mg/min and 537MUmol/mg/min on unmodified phospholipid. Ester to
amide change did not affect hydrolysis rates. Activity toward PC-2 was about 45
MUmol/mg/min. PC-1 and PC-3 show potential for targeted real-time
spectrophotometric assay of sPLA2.
PMID- 27894771
TI - Radiation-induced DNA-protein cross-links: Mechanisms and biological
significance.
AB - Ionizing radiation produces various DNA lesions such as base damage, DNA single
strand breaks (SSBs), DNA double-strand breaks (DSBs), and DNA-protein cross
links (DPCs). Of these, the biological significance of DPCs remains elusive. In
this article, we focus on radiation-induced DPCs and review the current
understanding of their induction, properties, repair, and biological
consequences. When cells are irradiated, the formation of base damage, SSBs, and
DSBs are promoted in the presence of oxygen. Conversely, that of DPCs is promoted
in the absence of oxygen, suggesting their importance in hypoxic cells, such as
those present in tumors. DNA and protein radicals generated by hydroxyl radicals
(i.e., indirect effect) are responsible for DPC formation. In addition, DPCs can
also be formed from guanine radical cations generated by the direct effect.
Actin, histones, and other proteins have been identified as cross-linked
proteins. Also, covalent linkages between DNA and protein constituents such as
thymine-lysine and guanine-lysine have been identified and their structures are
proposed. In irradiated cells and tissues, DPCs are repaired in a biphasic
manner, consisting of fast and slow components. The half-time for the fast
component is 20min-2h and that for the slow component is 2-70h. Notably,
radiation-induced DPCs are repaired more slowly than DSBs. Homologous
recombination plays a pivotal role in the repair of radiation-induced DPCs as
well as DSBs. Recently, a novel mechanism of DPC repair mediated by a DPC
protease was reported, wherein the resulting DNA-peptide cross-links were
bypassed by translesion synthesis. The replication and transcription of DPC
bearing reporter plasmids are inhibited in cells, suggesting that DPCs are
potentially lethal lesions. However, whether DPCs are mutagenic and induce gross
chromosomal alterations remains to be determined.
PMID- 27894772
TI - The Syndrome of Heart Failure With Preserved Systolic Function.
PMID- 27894773
TI - Cryoablation for Atrial Fibrillation: A Useful Technique Beyond Paroxysmal Forms
of Arrhythmia?
PMID- 27894774
TI - Evaluation of HAS-BLED and ORBIT Bleeding Risk Scores in Nonvalvular Atrial
Fibrillation Patients Receiving Oral Anticoagulants. Response.
PMID- 27894775
TI - Synthesis, characterization and biological studies of Schiff bases derived from
heterocyclic moiety.
AB - Some new Schiff bases (H1-H7) have been synthesized by the condensation of 2
aminophenol, 2-amino-4-nitrophenol, 2-amino-4-methylphenol, 2-amino benzimidazole
with thiophene-2-carboxaldehyde and pyrrole-2-carboxaldehyde. The structures of
newly synthesized compounds were characterized by elemental analysis, FT-IR, 1H
NMR, UV-VIS, and single crystal X-ray crystallography. The in vitro antibacterial
activity of the synthesized compounds has been tested against Salmonella typhi,
Bacillus coagulans, Bacillus pumills, Escherichia coli, Bacillus circulans,
Pseudomonas, Clostridium and Klebsilla pneumonia by disk diffusion method. The
quantitative antimicrobial activity of the test compounds was evaluated using
Resazurin based Microtiter Dilution Assay. Ampicillin was used as standard
antibiotics. Schiff bases individually exhibited varying degrees of inhibitory
effects on the growth of the tested bacterial species. The antioxidant activity
of the synthesized compounds was determined by the 1,1-diphenyl-2
picrylhydrazyl(DPPH) method. IC50 value of synthesized Schiff bases were
calculated and compared with standard BHA.
PMID- 27894776
TI - Design, synthesis, cyclooxygenase inhibition and biological evaluation of new
1,3,5-triaryl-4,5-dihydro-1H-pyrazole derivatives possessing
amino/methanesulfonyl pharmacophore.
AB - A new series of 1,3,5-triaryl-4,5-dihydro-1H-pyrazole 10a-l was designed and
synthesized via cyclization of chalcones 8a-f with 4
amino/methanesulfonylphenylhydrazine hydrochloride 9a-b. All the synthesized
compounds were evaluated for their cyclooxygenase (COX) inhibition, anti
inflammatory activity, ulcerogenic liability and analgesic activity. All
compounds were more COX-2 inhibitors than COX-1. While most compounds showed good
anti-inflammatory activity, the trimethoxy derivatives (10a, 10b, 10g and 10h)
were the most potent derivatives (ED50=55.78, 53.99, 67.65 and 69.20MUmol/kg
respectively) in comparison with celecoxib (ED50=82.15MUmol/kg). Compounds 10a,
10b, 10g and 10h (ulcer index=2.68, 1.20, 2.63 and 2.66 respectively) showed less
ulceration effect than celecoxib (ulcer index=2.90). Also, Compounds 10a, 10b,
10g and 10h showed analgesic activity higher than celecoxib and comparable to
that of ibuprofen. In addition, molecular docking studies were performed for
compounds 10a, 10b, 10g and 10h and the results were in agreement with that
obtained from the in vitro COX inhibition assays.
PMID- 27894777
TI - Efficacy of Long-Acting Octreotide on Reducing Mucus Production in Patients With
Ileal Neobladder.
AB - PURPOSE: To evaluate the efficacy of long-acting-release octreotide (trade name
Sandostatin) on decreasing mucus secretion, thus reducing catheter obstruction
after radical cystectomy and orthotopic reconstruction. PATIENTS AND METHODS: In
this double-blind, placebo-controlled study, we treated 73 cases of radical
cystectomy between the years 2008 and 2014; 65 were deemed eligible for the
study. Cases were randomly divided into 2 groups. Group A (n = 32) received 2
doses of 20 mg intramuscular long-acting-release octreotide 4 weeks before and on
the day of surgery, while group B (n = 33) received placebo. Catheter irrigation
was only performed when there was an obstruction due to a mucus plug. The need
for catheter irrigation and the volume of mucus produced by the neobladder were
evaluated and compared between the 2 groups. RESULTS: The average number of
neobladder irrigations required to treat mucus plugs was 2.3 +/- 1.5 in group A
and 9.5 +/- 4.3 in group B (P < .001) before hospital discharge. This number
changed to 2.5 +/- 1.5 versus 11.4 +/- 2.7 in groups A and B, respectively (P <
.001), after discharge from the hospital. The 24-hour mucus production at the end
of the first week was 5.4 +/- 2.7 mL versus 21.5 +/- 5.6 mL in groups A and B,
respectively (P < .001). At the end of the third week, this amount changed to 5.5
+/- 2.3 mL versus 21.8 +/- 5.6 mL in groups A and B, respectively (P < .001).
CONCLUSION: Two injections of long-acting-release octreotide can dramatically
reduce mucus production and hence catheter obstruction due to mucus plugs after
radical cystectomy and orthotopic reconstruction.
PMID- 27894778
TI - Stem cells in psoriasis.
AB - Psoriasis is a complex chronic relapsing inflammatory disease. Although the exact
mechanism remains unknown, it is commonly accepted that the development of
psoriasis is a result of multi-system interactions among the epidermis, dermis,
blood vessels, immune system, neuroendocrine system, metabolic system, and
hematopoietic system. Many cell types have been confirmed to participate in the
pathogenesis of psoriasis. Here, we review the stem cell abnormalities related to
psoriasis that have been investigated recently.
PMID- 27894780
TI - Antibody-free detection of infectious bacteria using quantum dots-based barcode
assay.
AB - Staphylococcus aureus, methicillin-resistant Staphylococcus aureus and Klebsiella
pneumoniae are the most representative bacteria causing infectious diseases. Due
to the increased application of antibiotics, the bacterial resistance is growing
causing severe complications. Therefore, a sensitive determination of these
pathogens is crucial for effective treatment. The aim of this study was to design
an effective method for multiplex detection of Staphylococcus aureus, methicillin
resistant Staphylococcus aureus and Klebsiella pneumoniae taking advantage from
properties of magnetic particles as well as fluorescent nanoparticles (quantum
dots). The method was able to detect as low concentrations of bacteria as 102
CFU/mL using the bacteria-specific genes (fnbA, mecA and wcaG).
PMID- 27894779
TI - Chrysin cocrystals: Characterization and evaluation.
AB - Solvent free mechanochemical approach is utilized to synthesise new cocrystals of
chrysin using supramolecular chemistry based upon reliable synthons. Chrysin, a
flavone nutraceutical with wide range of beneficial effects has critically low
bioavailability on account of its poor aqueous solubility and consequently poor
absorption from the gastrointestinal tract. The present study focuses on this
critical aspect and has exploited non covalent interactions to prepare its
cocrystals with cytosine and thiamine hydrochloride. Various techniques were used
for characterization including Differential Scanning Calorimetry (DSC), Fourier
Transform Infrared Spectroscopy (FT-IR), Solid State NMR Spectroscopy (SSNMR) and
Powder X-Ray Diffraction (PXRD). The molecules in the cocrystals crystallized in
neutral forms and assembled in a molecular layer by means of hydrogen bonding
which was confirmed by structural characterization. The cocrystals share a common
supramolecular motif being the OH?Narom interaction, involving phenolic moiety of
C7 functionality of the parent molecule. Approximately 3-4 fold increase in
solubility and dissolution profile of cocrystals was observed which was further
corroborated by improved in vitro and in vivo activities including antioxidant,
antihaemolytic and anti-inflammatory thus, opening a new viable technique for the
exploitation of useful phytonutrients.
PMID- 27894782
TI - Transitioning EEG experiments away from the laboratory using a Raspberry Pi 2.
AB - BACKGROUND: Electroencephalography (EEG) experiments are typically performed in
controlled laboratory settings to minimise noise and produce reliable
measurements. These controlled conditions also reduce the applicability of the
obtained results to more varied environments and may limit their relevance to
everyday situations. NEW METHOD: Advances in computer portability may increase
the mobility and applicability of EEG results while decreasing costs. In this
experiment we show that stimulus presentation using a Raspberry Pi 2 computer
provides a low cost, reliable alternative to a traditional desktop PC in the
administration of EEG experimental tasks. RESULTS: Significant and reliable MMN
and P3 activity, typical event-related potentials (ERPs) associated with an
auditory oddball paradigm, were measured while experiments were administered
using the Raspberry Pi 2. While latency differences in ERP triggering were
observed between systems, these differences reduced power only marginally, likely
due to the reduced processing power of the Raspberry Pi 2. COMPARISON WITH
EXISTING METHOD: An auditory oddball task administered using the Raspberry Pi 2
produced similar ERPs to those derived from a desktop PC in a laboratory setting.
Despite temporal differences and slight increases in trials needed for similar
statistical power, the Raspberry Pi 2 can be used to design and present auditory
experiments comparable to a PC. RESULTS: Our results show that the Raspberry Pi 2
is a low cost alternative to the desktop PC when administering EEG experiments
and, due to its small size and low power consumption, will enable mobile EEG
experiments unconstrained by a traditional laboratory setting.
PMID- 27894781
TI - Increased healthcare utilization costs following initiation of insulin treatment
in type 2 diabetes: A long-term follow-up in clinical practice.
AB - AIMS: To compare long-term changes in healthcare utilization and costs for type 2
diabetes patients before and after insulin initiation, as well as healthcare
costs after insulin versus non-insulin anti-diabetic (NIAD) initiation. METHODS:
Patients newly initiated on insulin (n=2823) were identified in primary health
care records from 84 Swedish primary care centers, between 1999 to 2009. First,
healthcare costs per patient were evaluated for primary care, hospitalizations
and secondary outpatient care, before and up to seven years after insulin
initiation. Second, patients prescribed insulin in second line were matched to
patients prescribed NIAD in second line, and the healthcare costs of the matched
groups were compared. RESULTS: The total mean annual healthcare cost increased
from ?1656 per patient 2 years before insulin initiation to ?3814 seven years
after insulin initiation. The total cumulative mean healthcare cost per patient
at year 5 after second-line treatment was ?13,823 in the insulin group compared
to ?9989 in the NIAD group. CONCLUSIONS: Initiation of insulin in type 2 diabetes
patients was followed by increased healthcare costs. The increases in costs were
larger than those seen in a matched patient population initiated on NIAD
treatment in second-line.
PMID- 27894783
TI - Comparison of speed-vacuum method and heat-drying method to measure brain water
content of small brain samples.
AB - BACKGROUND: A reliable measurement of brain water content (wet-to-dry ratio) is
an important prerequisite for conducting research on mechanisms of brain edema
formation. The conventionally used oven-drying method suffers from several
limitations, especially in small samples. A technically demanding and time
consuming alternative is freeze-drying. NEW METHOD: Centrifugal vacuum
concentrators (e.g. SpeedVac/speed-vacuum drying) are a combination of vacuum
drying and centrifugation, used to reduce the boiling temperature. These
concentrators have the key advantages of improving the freeze-drying speed and
maintaining the integrity of dried samples, thus, allowing e.g. DNA analyses. In
the present study, we compared the heat-oven with speed-vacuum technique with
regard to efficacy to remove moisture from water and brain samples and their
effectiveness to distinguish treatment paradigms after experimental traumatic
brain injury (TBI) caused by controlled cortical impact (CCI). RESULTS: Both
techniques effectively removed water, the oven technique taking 24h and vacuum
drying taking 48h. Vacuum-drying showed lower variations in small samples (30
45mg) and was suitable for genomic analysis as exemplified by sex genotyping. The
effect of sodium bicarbonate (NaBic8.4%) on brain edema formation after CCI was
investigated in small samples (2*1mm). Only vacuum-drying showed low variation
and significant improvement under NaBic8.4% treatment. COMPARISON WITH AN
EXISTING METHOD: The receiver operating curves (ROC) analysis demonstrated that
vacuum-drying (area under the curve (AUC):0.867-0.967) was superior to the
conventional heat-drying method (AUC:0.367-0.567). CONCLUSIONS: The vacuum method
is superior in terms of quantifying water content in small samples. In addition,
vacuum-dried samples can also be used for subsequent analyses, e.g., PCR
analysis.
PMID- 27894784
TI - Hospital admission in older persons presenting with dizziness in the Emergency
department.
AB - One of the most frequent chief complaints among older persons presenting in the
emergency department (ED) is dizziness. Nurses in the ambulance and in the ED
play an important role in managing these patients. The challenge in the ED or
ambulance is to, with limited diagnostic equipment; decide the correct level of
care. The aim of this study was to identify factors that differed between those
who were admitted to hospital and those who were not among older persons (65+)
presenting in the ED with dizziness. The method was a retrospective journal
audit. The sample consisted of persons (n=166) aged 65+ presenting in the ED with
dizziness. Factors that were more frequent among those admitted to hospital were
arriving with ambulance, priority and number of medications and high age. Forty
percent of the dizzy patients were admitted to the hospital, 50% among those
arriving with ambulance and 24% of the walk-in patients. Among the 166 patients
included in the study because of dizziness, 64 different discharge diagnoses
emerged, illustrating the complex nature of dizziness as a symptom. The results
from this study can help the ambulance or ED nurse doing the first assessment
with limited information and initiating the management, knowing what factors that
are more frequent in older persons being admitted when presenting with dizziness.
PMID- 27894785
TI - Simulating stable carbon and chlorine isotope ratios in dissolved chlorinated
groundwater pollutants with BIOCHLOR-ISO.
AB - BIOCHLOR is a well-known simple tool for evaluating the transport of dissolved
chlorinated solvents in groundwater, ideal for rapid screening and teaching. This
work extends the BIOCHLOR model for the calculation of stable isotope ratios of
carbon and chlorine isotopes in chloroethenes. An exact solution for the three
dimensional reactive transport of a chain of degrading compounds including
sorption is provided in a spreadsheet and applied for modeling the transport of
individual isotopes 12C, 13C, 35Cl, 37Cl from a constant source. The model can
consider secondary isotope effects that can occur in the breaking of CCl bonds.
The model is correctly reproducing results for delta13C and delta37Cl modeled by
a previously published 1-D numerical model without secondary isotope effects, and
is also reproducing results from a microcosm experiment with secondary chlorine
isotope effects. Two applications of the model using field data from literature
are further given and discussed. The new BIOCHLOR-ISO model is distributed as a
spreadsheet (MS EXCEL) along with this publication.
PMID- 27894786
TI - MR angiography can guide ED management of suspected acute aortic dissection.
AB - BACKGROUND: Aortic dissection is typically evaluated with computed tomography
angiography (CTA). However, the feasibility of using magnetic resonance
angiography (MRA) in the ED is unclear. This study examined the indications and
outcomes of MRA in suspected aortic dissection evaluation in the ED. METHODS: An
IRB approved review identified patients who underwent MRA in the ED for acute
thoracic aortic dissection from January 2010 to June 2016. Demographics, clinical
assessment, CTA contraindications, outcomes, and ED disposition were analyzed.
RESULTS: 50 MRAs were ordered for suspected thoracic aortic dissection. 21 (42%)
for iodinated contrast allergy, 21 (42%) for renal insufficiency, 2 (4%) due to
both, 2 (4%) to spare ionizing radiation, 2 (4%) for further work-up after CTA,
and 2 (4%) due to prior contrast enhanced CT within 24h. Median ED arrival to MRA
completion time was 311min. 42 studies were fully diagnostic; 7 were limited. One
patient could not tolerate the examination. 49 MRAs were completed: 2 (4%)
patients had acute dissection on MRA and 47 (96%) had negative exams. 17 (35%)
received gadolinium. 18 (37%) patients were discharged home from the ED with a
median length of stay of 643min. 2 (4%) were admitted for acute dissection seen
on MRA and 29 (59%) for further evaluation. CONCLUSION: MRA has a clear role in
the evaluation for acute thoracic aortic dissection in the ED in patients with
contraindications to CTA, and can guide management and facilitate safe discharge
to home.
PMID- 27894787
TI - Safety and efficacy of liraglutide treatment in Japanese type 2 diabetes patients
after acute myocardial infarction: A non-randomized interventional pilot trial.
AB - BACKGROUND: Glucagon-like peptide 1 analogs are expected to exert a cardio
protective action due to their effective glucose-lowering action and favorable
potency on multifactorial metabolic pathways. However, the safety and
tolerability of liraglutide treatment after a recent acute coronary syndrome
(ACS) in Japanese patients with type 2 diabetes mellitus (T2DM) have yet to be
fully established. METHODS: A total of eight T2DM patients were recruited within
2 weeks after the onset of a ST-elevation myocardial infarction (STEMI) followed
by successful percutaneous coronary intervention (PCI). The patients continued to
receive liraglutide (up to 0.9mg once daily) for 24 weeks after the ACS combined
with standard treatment such as a statin or beta-blocker. Changes in various
metabolic parameters from pre-liraglutide treatment values were evaluated 24
weeks after liraglutide treatment, and included glycemic and lipid profiles, and
cardiac systolic and diastolic function assessed by cardiac ultrasonography.
RESULTS: Twenty-four weeks of treatment with liraglutide reduced body weight
(67.0+/-5.8kg to 62.0+/-7.8kg, p=0.003) and HbA1c level (6.6+/-0.5% to 5.9+/
0.5%, p=0.006) and increased the level of 1,5-anhydroglucitol (12.8+/-6.9MUg/mL
to 18.7+/-8.2MUg/mL, p=0.008) without development of hypoglycemia. There were no
significant changes over 24 weeks in left ventricular systolic or diastolic
function assessed by cardiac ultrasonography. No participant developed a major
adverse cardiac event during the 24 weeks of liraglutide treatment, defined as
cardiac death, new onset or recurrence of myocardial infarction, or needing
target lesion revascularization. CONCLUSIONS: The present trial demonstrated that
liraglutide treatment after onset of STEMI was well-tolerated in Japanese
patients with T2DM over 24 weeks, and provided the first evidence to support
clinical application of liraglutide treatment even just after ACS in Japanese
high-risk T2DM patients.
PMID- 27894789
TI - Cardiovascular event risk assessment in psoriasis patients treated with tumor
necrosis factor-alpha inhibitors versus methotrexate.
AB - BACKGROUND: Psoriasis is associated with increased risk for cardiovascular
disease. OBJECTIVE: To compare major cardiovascular event risk in psoriasis
patients receiving methotrexate or tumor necrosis factor-alpha inhibitor (TNFi)
and to assess TNFi treatment duration impact on major cardiovascular event risk.
METHODS: Adult psoriasis patients with >=2 TNFi or methotrexate prescriptions in
the Truven MarketScan Databases (Q1 2000-Q3 2011) were classified as TNFi or
methotrexate users. The index date for each of these drugs was the TNFi
initiation date or a randomly selected methotrexate dispensing date,
respectively. Cardiovascular event risks and cumulative TNFi effect were analyzed
by using multivariate Cox proportional-hazards models. RESULTS: By 12 months,
TNFi users (N = 9148) had fewer cardiovascular events than methotrexate users (N
= 8581) (Kaplan-Meier rates: 1.45% vs 4.09%: P < .01). TNFi users had overall
lower cardiovascular event hazards than methotrexate users (hazard ratio = 0.55;
P < .01). Over 24 months' median follow-up, every 6 months of cumulative exposure
to TNFis were associated with an 11% cardiovascular event risk reduction (P =
.02). LIMITATIONS: Lack of clinical assessment measures. CONCLUSIONS: Psoriasis
patients receiving TNFis had a lower major cardiovascular event risk compared to
those receiving methotrexate. Cumulative exposure to TNFis was associated with a
reduced risk for major cardiovascular events.
PMID- 27894790
TI - Venous malformations of the head and neck: current concepts in management.
AB - Low-flow venous malformations are congenital lesions and they are the third most
common vascular anomaly in the head and neck. In this paper, the third in a
series of three educational reviews, we discuss current trends in their
management, and include a summary of common sclerosant agents used in their
control.
PMID- 27894791
TI - Intraoperative, surgeon-view, high-definition video broadcasting in intraoral
surgery.
PMID- 27894788
TI - Neurotoxic mechanisms of paclitaxel are local to the distal axon and independent
of transport defects.
AB - Chemotherapy-induced peripheral neuropathy (CIPN) is a dose-limiting side effect
of paclitaxel and other chemotherapeutic agents. Paclitaxel binds and stabilizes
microtubules, but the cellular mechanisms that underlie paclitaxel's neurotoxic
effects are not well understood. We therefore used primary cultures of adult
murine dorsal root ganglion neurons, the cell type affected in patients, to
examine leading hypotheses to explain paclitaxel neurotoxicity. We address the
role of microtubule hyperstabilization and its downstream effects. Paclitaxel
administered at 10-50nM for 1-3days induced retraction bulbs at the tips of axons
and arrested axon growth without triggering axon fragmentation or cell death. By
correlating the toxic effects and microtubule stabilizing activity of
structurally different microtubule stabilizing compounds, we confirmed that
microtubule hyperstabilization, rather than an off-target effect, is the likely
primary cause of paclitaxel neurotoxicity. We examined potential downstream
consequences of microtubule hyperstabilization and found that changes in levels
of tubulin posttranslational modifications, although present after paclitaxel
exposure, are not implicated in the paclitaxel neurotoxicity we observed in the
cultures. Additionally, defects in axonal transport were not implicated as an
early, causative mechanism of paclitaxel's toxic effects on dorsal root ganglion
neurons. By using microfluidic chambers to selectively treat different parts of
the axon with paclitaxel, we found that the distal axon was primarily vulnerable
to paclitaxel, indicating that paclitaxel acts directly on the distal axon to
induce degenerative effects. Together, our findings point to local effects of
microtubule hyperstabilization on the distal-most portion of the axon as an early
mediator of paclitaxel neurotoxicity. Because sensory neurons have a unique and
ongoing requirement for distal growth in order to reinnervate the epidermis as it
turns over, we propose that the ability of paclitaxel to arrest their growth
accounts for the selective vulnerability of sensory neurons to paclitaxel
neurotoxicity.
PMID- 27894793
TI - A Quick Reference on Anion Gap and Strong Ion Gap.
AB - Metabolic acid-base disorders are common in emergency and critically ill
patients. Clinicians may have difficulty recognizing their presence when multiple
acid-base derangements are present in a single patient simultaneously. The anion
gap and the strong ion gap concepts are useful calculations to identify the
components of complex metabolic acid-base associated to the presence of
unmeasured anions. This article presents their definition, normal values,
indications, limitations, and guidelines for interpretation of changes in the
clinical setting.
PMID- 27894792
TI - Pyruvate dehydrogenase-E1alpha deficiency presenting as recurrent acute proximal
muscle weakness of upper and lower extremities in an 8-year-old boy.
AB - The mitochondrial pyruvate dehydrogenase enzyme complex (PDHC) plays an important
role in aerobic energy metabolism and acid-base equilibrium. PDHC contains of 5
enzymes, 3 catalytic (E1, E2, E3) and 2 regulatory, as well as 3 cofactors and an
additional protein (E3-binding protein) encoded by nuclear genes. The clinical
presentation of PDHC deficiency ranges from fatal neonatal lactic acidosis to
chronic neurologic dysfunction without lactic acidosis. Paroxysmal neurologic
problems such as intermittent ataxia, episodic weakness, exercise-induced
dystonia and recurrent demyelination may also be seen although they are rare.
Here, we present an 8-year-old boy complaining of acute proximal muscle weakness
of upper and lower extremities with normal mental status. He had a history of
Guillain-Barre-like syndrome at the age of 2 years. Electrophysiologic studies
showed sensorial polyneuropathy findings in the first attack and sensorimotor
axonal polyneuropathy findings in the last attack. The genetic analysis revealed
a previously reported hemizygote novel mutation of the PDHA1 gene
(p.A353T/c.1057G > A), which encodes the E1alpha subunit of PDHC. Thiamine was
ordered (15 mg/kg/day), dietary carbohydrates were restricted and clinical
findings improved in a few weeks. This rare phenotype of PDHC deficiency is
discussed.
PMID- 27894794
TI - Fluid Therapy: Options and Rational Selection.
AB - Administration of appropriate types and volumes of parenteral fluids is of
paramount importance when treating sick and debilitated patients, especially
those fighting critical illness. Fluid selection and accurate calculations must
be performed logically and accurately to maximize positive outcomes. Knowledge of
fluid types, as well as the complex relationship of the body's fluid
compartments, helps clinicians develop rational fluid therapy plans for their
patients.
PMID- 27894795
TI - Histological parameters and alcohol abstinence determine long-term prognosis in
patients with alcoholic liver disease.
AB - BACKGROUND & AIMS: Few data exist on predictors of long-term prognosis in
patients with alcoholic liver disease (ALD). Most studies have only assessed
short-term prognosis in patients with advanced ALD. We aimed to assess the
prognostic impact of clinical, biochemical and histological parameters on long
term prognosis in patients with early/compensated and decompensated ALD. METHODS:
Consecutive patients (n=192) with biopsy-proven liver disease due to alcohol
abuse were analyzed retrospectively. Prognostic factors were evaluated in
patients with early/compensated ALD (n=60) and in patients with decompensated ALD
(clinical decompensation and/or bilirubin >3mg/dl at entry) (n=132). Factors that
predict long-term survival were identified using Cox regression models. RESULTS:
Liver-related mortality at 5years was 13% in early/compensated and 43% in
decompensated ALD. In early/compensated ALD patients, long-term prognosis was
determined by fibrosis stage, but not by clinical or biochemical variables.
Severe fibrosis (F3/4) was present in 52% and had a major impact on 10-year
mortality (F3/4: 45% vs. F0-2: 0%, p<0.001). In contrast, in decompensated
patients, a combination of clinical features (sex), biochemical markers of liver
failure (bilirubin, international normalized ratio [INR]), and histological
features (pericellular fibrosis) predicted long-term survival. During follow-up,
abstinence from alcohol was an important predictor of survival in both
early/compensated and decompensated ALD. CONCLUSION: Fibrosis stage is the main
predictor of long-term survival in patients with early/compensated ALD, while
clinical, biochemical and histological parameters predict survival in patients
with decompensated disease. Promoting abstinence may improve survival in patients
with both early and advanced ALD. LAY SUMMARY: In this study, we evaluated long
term outcome in 192 patients with alcoholic liver disease who underwent liver
biopsy: 60 patients with early disease (no symptoms) and 132 patients with
advanced disease (jaundice, complications of cirrhosis). Importantly, half of the
patients with 'early' disease already had severe fibrosis or cirrhosis on liver
histology and dismal outcome (45% mortality at 10years). Abstinence from alcohol
improved the prognosis in both early and advanced stages of the disease.
PMID- 27894796
TI - Cognitive challenges, aging, and neuromuscular fatigue.
AB - Cognitive challenges, such as concurrent cognitive demands or prior cognitive
fatigue, have shown to adversely impact neuromuscular fatigue, specifically in
younger adults. Whether these relationships are similar for the aging population
remains unclear. The aim of this study was to investigate the effects of
different cognitive challenges on handgrip fatigue with aging. Ten younger (24.10
(1.79) years) and ten older (75.90 (7.80) years) females attended three
experimental conditions (control, i.e., exercise only, cognitive fatigue prior to
exercise, and concurrent mental arithmetic during exercise) on different days.
The exercise required them to grip intermittently at 30% maximum handgrip
strength until voluntary exhaustion. Endurance time, strength loss, force
steadiness, muscle activity, cardiovascular responses, perceptions of cognitive
fatigue, mental demand, and discomfort were obtained. While endurance time was
similar across age groups and conditions, older adults demonstrated ~35% reduced
endurance than younger adults (46.96 (13.08) min.), but this was observed only in
the concurrent cognitive demand condition. This was also accompanied with a
decrease in force steadiness. No endurance differences between age groups were
found during the control and cognitive fatigue condition. The findings indicate
that the relationship between cognitive challenges and neuromuscular fatigue
depends on age, the type of cognitive challenge imposed, and the type of exercise
performed.
PMID- 27894798
TI - Does drought in China show a significant decreasing trend from 1961 to 2009?
AB - In recent decades, the occurrence and severity of drought in China has had
devastating impact on social and economic development. The increase in drought
has been attributed to global warming. We used the high-accuracy self-calibrating
Palmer Drought Severity Index (scPDSI) to investigate the variation in drought in
China between 1961 and 2009 using the Mann-Kendall (MK), continuous wavelet
transform (CWT) and the rotated empirical orthogonal function (REOF) methods. We
also analyzed the relationship between the rotated principal component time
series (RPCs) and 74 circulation indices. The results revealed that: 1) all of
China experienced a significant wet trend at annual and seasonal scale; an abrupt
change in the drought pattern occurred around 1970 with a 2-8-year significant
period; 2) eight major sub-climate regions were identified: Northwest China,
Northeast-Inner Mongolia Plateau, Greater Khingan Range area, Northern Tibetan
Plateau, Southern Tibetan Plateau, Central China, Huang-Huai-Hai Plain and
Southeast China. Of these regions, the Southern Tibetan Plateau experienced a
significant wet trend, but the Northeast-Inner Mongolia Plateau and Northern
Tibetan Plateau became significantly drier. Using either annual or seasonal
scales, Northwest China became significantly wetter and Central China became more
arid. In addition, the period of each sub-climate region shared a significant 2-8
year band; 3) the polar vortex exhibited dominant patterns that affected most
areas of China. The Pacific Decadal Oscillation had a significant influence on
drought evolution, especially for Northwest China and the Huang-Huai-Hai plain.
Additionally, the El Nino-Southern Oscillation also affected drought evolution,
and the Central China was impacted by the Indian Ocean Dipole.
PMID- 27894797
TI - Roles of the serotonin 5-HT4 receptor in dendrite formation of the rat
hippocampal neurons in vitro.
AB - Serotonin (5-HT) is involved in various aspects of hippocampal development,
although the specific roles of 5-HT receptors are poorly understood. We
investigated the roles of 5-HT receptors in the dendrite formation of hippocampal
neurons. We focused on the 5-HT4 receptor, which is coupled with Gs protein, and
compared the effects with those of the Gi-coupled 5-HT1A receptor. Neurons from
rat hippocampi at embryonic day 18 were dissociated and treated for 4 days with
the 5-HT4 receptor agonist BIMU8 or the 5-HT1A receptor agonist 8-OH DPAT. The
formation of primary dendrites and dendrite branching were promoted by BIMU8,
whereas the dendrite branching was inhibited by 8-OH DPAT. BIMU8-induced
promotion of dendrite formation was neutralized by concomitant treatment with the
5-HT4 receptor antagonist, confirming the specific actions of the 5-HT4 receptor.
We then examined the signaling mechanisms underlying the actions of the 5-HT4
receptor by using a protein kinase A (PKA) inhibitor. The BIMU8-induced promotion
of dendrite formation was reversed partially by the PKA inhibitor, suggesting
involvement of PKA signaling downstream of the 5-HT4 receptor. Finally, we
examined the contribution of brain-derived neurotrophic factor (BDNF) to the
promotion of dendrite formation by BIMU8. Quantitative RT-PCR analysis showed
that BIMU8 increased the BDNF mRNA expression and that treatment of cultured
neurons with the TrkB antagonist reversed the BIMU8-induced increase in dendrite
formation. In summary, the present study suggests a novel role for the 5-HT4
receptor in facilitation of dendrite formation in which intracellular signaling
of PKA and the BDNF-TrkB system may be involved.
PMID- 27894799
TI - A microcosm experiment to determine the consequences of magnetic microparticles
application on water quality and sediment phosphorus pools.
AB - This study used microcosms to evaluate the effects of adding iron (Fe) magnetic
microparticles (MPs) on water quality, focusing on P concentrations in the water
column and sediment. Two treatments were considered for a constant 85:1
MP:PMobile molar ratio: T-W, applying MPs on the surface water layer; and T-S,
applying MPs on the sediment. MP addition reduced P concentrations in lake water
and sediment, with both treatments producing a mean reduction of 68+/-6% in
dissolved inorganic P concentration (DIP) over a 70-day oxic period and
reductions of 80+/-8% (T-W) and 80+/-4% (T-S) over a 5-day anoxic period. MPs
also decreased reactive silicate (Si) concentrations by around 50% in both
periods, but dissolved organic carbon (DOC) was reduced by only 15% at 24h after
MP addition. Despite the marked decrease in DIP concentration due to MP addition,
there was no reduction in chlorophyll a (Chla), because post-treatment total P
concentrations (>200MUgL-1vs. >700MUgL-1 before treatments) remained higher than
required for changes in the biological community (0.05-0.1mgL-1). With T-S
treatment, there was a reduction of 15% in P bound to Al oxides, clay minerals,
and humic substances (P->NaOH) and of 12% in labile organic P (Org-PLabile)
versus controls. P bound to humic substances (P->NaOH, Humic) was reduced by 11
22% in both treatments. Finally, T-W rather than T-S treatments are recommended
for future whole-lake applications to achieve more effective P removal from water
and sediment and a higher percentage MP recovery.
PMID- 27894800
TI - On persistent organic pollutants in Italy - From Seveso to the Stockholm
Convention and beyond.
PMID- 27894801
TI - Effects of the addition of nitrogen and sulfate on CH4 and CO2 emissions, soil,
and pore water chemistry in a high marsh of the Min River estuary in southeastern
China.
AB - Exogenous nitrogen (N) and sulfate (SO42-), resulting from human activity, can
strongly influence the emission of CH4 and CO2 from soil ecosystems. Studies have
reported the effects of N and SO42- on CH4 and CO2 emissions from inland
peatlands and paddies. However, very few studies have presented year-round data
on the effects of the addition of N and SO42- on CH4 and CO2 emissions in
estuarine marshes. The effects of the addition of N and SO42- on the emission of
CH4 and CO2 were investigated in a Cyperus malaccensis marsh in the high tidal
flat of the Min River estuary of southeastern China from September 2014 to August
2015. Dissolved NH4Cl, KNO3, and K2SO4 were applied every month, in doses of
24gN/SO42-m-2.yr-1. The emission of CH4 and CO2 showed distinct monthly and
seasonal variations. Compared with the control, the addition of NH4Cl and
NH4NO3+K2SO4 showed increases in CH4 fluxes (p<0.05), while the effects of the
addition of KNO3 and K2SO4 on CH4 were minor (p>0.05). NH4Cl had a positive
impact on CO2 emissions (p<0.01), while the addition of KNO3, K2SO4, and
NH4NO3+K2SO4 had minor positive impacts, compared to the control (p>0.05).
Correlation analysis found that soil sulfate concentration, nitrogen availability
and enzyme activity were the dominant factors influencing CH4 and CO2 variation.
Our findings suggest that CH4 and CO2 emissions were influenced more by ammonium
than by nitrate. We propose that the suppressive effect of additional sulfate on
CH4 production is insignificant, due to which the inhibition may be overestimated
in the estuarine brackish marsh.
PMID- 27894802
TI - Variation in the urban vegetation, surface temperature, air temperature nexus.
AB - Our study examines the urban vegetation - air temperature (Ta) - land surface
temperature (LST) nexus at micro- and regional-scales to better understand urban
climate dynamics and the uncertainty in using satellite-based LST for
characterizing Ta. While vegetated cooling has been repeatedly linked to
reductions in urban LST, the effects of vegetation on Ta, the quantity often used
to characterize urban heat islands and global warming, and on the interactions
between LST and Ta are less well characterized. To address this need we
quantified summer temporal and spatial variation in Ta through a network of 300
air temperature sensors in three sub-regions of greater Los Angeles, CA, which
spans a coastal to desert climate gradient. Additional sensors were placed within
the inland sub-region at two heights (0.1m and 2m) within three groundcover
types: bare soil, irrigated grass, and underneath citrus canopy. For the entire
study region, we acquired new imagery data, which allowed calculation of the
normalized difference vegetation index (NDVI) and LST. At the microscale, daytime
Ta measured along a vertical gradient, ranged from 6 to 3 degrees C cooler at 0.1
and 2m, underneath tall canopy compared to bare ground respectively. At the
regional scale NDVI and LST were negatively correlated (p<0.001). Relationships
between diel variation in Ta and daytime LST at the regional scale were
progressively weaker moving away from the coast and were generally limited to
evening and nighttime hours. Relationships between NDVI and Ta were stronger
during nighttime hours, yet effectiveness of mid-day vegetated cooling increased
substantially at the most arid region. The effectiveness of vegetated Ta cooling
increased during heat waves throughout the region. Our findings suggest an
important but complex role of vegetation on LST and Ta and that vegetation may
provide a negative feedback to urban climate warming.
PMID- 27894803
TI - Evaluating the impacts of the clean cities program.
AB - The Department of Energy's Clean Cities program was created in 1993 to reduce
petroleum usage in the transportation sector. The program promotes alternative
fuels such as biofuels and fuel-saving strategies such as idle reduction and
fleet management through coalitions of local government, non-profit, and private
actors. Few studies have evaluated the impact of the program because of its
complexity that include interrelated strategies of grants, education and training
and diversity of participants. This paper uses a Difference-in-Differences (DiD)
approach to evaluate the effectiveness of the program between 1990 and 2010. We
quantify the effectiveness of the Clean Cities program by focusing on performance
measures such as air quality, number of alternative fueling stations, private
vehicle occupancy and transit ridership. We find that counties that participate
in the program perform better on all these measures compared to counties that did
not participate. Compared to the control group, counties in the Clean Cities
program experienced a reduction in days with bad air quality (3.7%), a decrease
in automobile commuters (2.9%), an overall increase in transit commuters (2.1%)
and had greater numbers of new alternative fueling stations (12.9). The results
suggest that the program is a qualified success.
PMID- 27894804
TI - Human and veterinary pharmaceuticals in the marine environment including fish
farms in Korea.
AB - The occurrence trends and effects of 30 human and veterinary pharmaceuticals,
including antibiotics, anthelmintics, anti-inflammatory drugs, and beta-blockers,
in the marine environment, with a focus on seawater, sediment, cultured fish, and
their feed collected from coastal and fish farm areas in the southern sea of
Korea, were investigated. The concentrations of total pharmaceuticals in coastal
area seawater (mean: 533ng/L) were higher than those in fish farm seawater (mean:
300ng/L), while the opposite trend (coastal area: 136ng/gdrywt30% Fusobacterium DNA,
suggesting that Fusobacterium was the causal pathogen in these cases. Our
findings suggest that the periodontopathic bacteria rarely proliferate and be
etiological pathogen in lower airway tract. However, further study is necessary,
focusing on the pathogenicity of F. nucleatum in pulmonary infectious disease.
PMID- 27894821
TI - Schizophrenia in mid-adulthood after prenatal exposure to the Chinese Famine of
1959-1961.
AB - Analyzing data from a large-scale, nationally representative sample, this study
examines the association between prenatal exposure to the Chinese Famine (1959
1961) and schizophrenia risk in mid-adulthood and its urban/rural-specific and
gender-specific patterns. The results showed that the cohort conceived and born
during the famine had a higher risk of schizophrenia in mid-adulthood than
cohorts conceived and born before or after the famine. In addition, schizophrenia
risk was higher for urban residents than for rural residents and higher for
females than for males. Drawing on the psychiatric features of late-onset
schizophrenia in mid-adulthood, we then offer some theoretical mechanisms to
explain the cohort, urban/rural, and gender differences.
PMID- 27894818
TI - Supt20 is required for development of the axial skeleton.
AB - Somitogenesis and subsequent axial skeletal development is regulated by the
interaction of pathways that determine the periodicity of somite formation,
rostrocaudal somite polarity and segment identity. Here we use a hypomorphic
mutant mouse line to demonstrate that Supt20 (Suppressor of Ty20) is required for
development of the axial skeleton. Supt20 hypomorphs display fusions of the ribs
and vertebrae at lower thoracic levels along with anterior homeotic
transformation of L1 to T14. These defects are preceded by reduction of the
rostral somite and posterior shifts in Hox gene expression. While cycling of
Notch target genes in the posterior presomitic mesoderm (PSM) appeared normal,
expression of Lfng was reduced. In the anterior PSM, Mesp2 expression levels and
cycling were unaffected; yet, expression of downstream targets such as Lfng,
Ripply2, Mesp1 and Dll3 in the prospective rostral somite was reduced accompanied
by expansion of caudal somite markers such as EphrinB2 and Hes7. Supt20 interacts
with the Gcn5-containing SAGA histone acetylation complex. Gcn5 hypomorphic
mutant embryos show similar defects in axial skeletal development preceded by
posterior shift of Hoxc8 and Hoxc9 gene expression. We demonstrate that Gcn5 and
Supt20 hypomorphs show similar defects in rostral-caudal somite patterning
potentially suggesting shared mechanisms.
PMID- 27894823
TI - Development of fast neurotransmitter synapses: General principle and recent
progress.
PMID- 27894824
TI - Inhibitory effect of phloretin on alpha-glucosidase: Kinetics, interaction
mechanism and molecular docking.
AB - As the aglycone of phloridzin, phloretin belongs to dihydrochalcone with
antioxidant, anti-inflammatory and antimicrobial activities. In this study,
multispectroscopic techniques and molecular docking analysis were used to
investigate the inhibitory activity and mechanisms of phloretin on alpha
glucosidase. The results showed that phloretin reversibly inhibited alpha
glucosidase in a mixed-type manner and the value of IC50 was 31.26MUgL-1. The
intrinsic fluorescence of alpha-glucosidase was quenched by the interactions with
phloretin through a static quenching mechanism and spontaneously formed phloretin
alpha-glucosidase complex by the driving forces of van der Waals force and
hydrogen bond. Atomic force microscope (AFM) studies and FT-IR measurements
suggested that the interactions could change the micro-environments and
conformation of the enzymes and the molecular docking analysis displayed the
exact binding site of phloretin on alpha-glucosidase. These results indicated
that phloretin is a strong alpha-glucosidase inhibitor, thus could be contribute
to the improvement of diabetes mellitus.
PMID- 27894825
TI - Designing chitosan-tripolyphosphate microparticles with desired size for specific
pharmaceutical or forensic applications.
AB - Chitosan (CS) is a natural cationic polymer obtained by the partial N
deacetylation of chitin. Chitosan microparticles can be prepared by cross-linking
with tripolyphosphate (TPP) via the ionic interaction between positively charged
amino groups (CS) and negatively charged counter ions (TPP). This can be
controlled by the charge density of CS and TPP, which depend on the pH and ionic
strength of the solution. The purpose of this study is to investigate the
combined effects of three independent variables (pH, ionic strength and CS:TPP
ratio) on three important physico-chemical properties (viscosity, zeta potential
and particle size) during the preparation of microparticles. CS:TPP
microparticles were prepared using experimental design and equations were
generated and used to predict relative viscosity, zeta potential and particle
size under different conditions. This gives us the ability to design tuneable CS
TPP microparticles with desired size for specific pharmaceutical or forensic
applications e.g. latent fingerprint visualisation.
PMID- 27894822
TI - A parallel group randomised open blinded evaluation of Acceptance and Commitment
Therapy for depression after psychosis: Pilot trial outcomes (ADAPT).
AB - BACKGROUND: Depression is one of the major contributors to poorer quality of life
amongst individuals with psychosis and schizophrenia. The study was designed as a
Pilot Trial to determine the parameters of a larger, definitive pragmatic multi
centre randomised controlled trial of Acceptance and Commitment Therapy for
depression after psychosis (ACTdp) for individuals with a diagnosis of
schizophrenia who also meet diagnostic criteria for major depression. METHODS:
Participants were required to meet criteria for schizophrenia and major
depression. Blinded follow-ups were undertaken at 5-months (end of treatment) and
at 10-months (5-months posttreatment). Primary outcomes were depression as
measured by the Calgary Depression Scale for Schizophrenia (CDSS) and the Beck
Depression Inventory (BDI). RESULTS: A total of 29 participants were randomised
to ACTdp + Standard Care (SC) (n=15) or SC alone (n=14). We did not observe
significant differences between groups on the CDSS total score at 5-months
(Coeff=-1.43, 95%CI -5.17, 2.32, p=0.45) or at 10-months (Coeff=1.8, 95%CI -2.10,
5.69, p=0.36). In terms of BDI, we noted a statistically significant effect in
favour of ACTdp+SC at 5-months (Coeff=-8.38, 95%CI -15.49, -1.27, p=0.02) but not
at 10-months (Coeff=-4.85, 95%CI -12.10, 2.39, p=0.18). We also observed
significant effects on psychological flexibility at 5-months (Coeff=-8.83, 95%CI
14.94, -2.71, p<0.01) but not 10-months (Coeff=-4.92, 95%CI -11.09, 1.25,
p=0.11). IMPLICATIONS: In this first RCT of a psychological therapy with
depression as the primary outcome, ACT is a promising intervention for depression
in the context of psychosis. A further large-scale definitive randomised
controlled trial is required to determine effectiveness. TRIAL REGISTRATION:
ISRCTN: 33306437.
PMID- 27894826
TI - Artemin protects cells and proteins against oxidative and salt stress.
AB - Artemin is an abundant thermostable protein in Artemia encysted embryos under
environmental stresses. It is confirmed that high regulatory expression of
artemin is relevant to stress resistance in this crustacean. Here, the protective
role of artemin from Artemia urmiana has been investigated on survival of
bacterial cells under salt and oxidative shocks. Also, for continuous monitoring
of the effect of artemin in prevention of proteins aggregation/inactivation, co
expression of artemin and luciferase (as an intracellular reporter) in bacterial
cells was performed. According to the results, residual activity of luciferase in
artemin expressing E. coli cells exposing to different concentrations of H2O2 and
NaCl was significantly higher than non-expressing cells. The luciferase activity
was rapidly lost in control cells under salt treatments while in co-transformed
cells, the activity was considerably retained at higher salt concentrations.
Also, analysis from cell viability assays showed that artemin-expressing cells
exhibited more resistance to both stress conditions. In the present study, we
document for the first time that artemin can protect proteins and bacterial cells
against oxidative and salt stress conditions. These results can declare the
resistance property of this crustacean against harsh environmental conditions.
PMID- 27894827
TI - Prehensile and non-prehensile tails among syngnathid fishes: what's the
difference?
AB - All syngnathid fishes are characterized by a tail with a vertebral column that is
surrounded by dermal Plates - four per vertebra. Seahorses and pipehorses have
prehensile tails, a unique characteristic among teleosts that allows them to
grasp and hold onto substrates. Pipefishes, in contrast, possess a more rigid
tail. Previous research (Neutens et al., 2014) showed a wide range of variation
within the skeletal morphology of different members in the syngnathid family. The
goal of this study is to explore whether the diversity in the three-dimensional
(3D) shape of different tail types reflects grasping performance, and to what
degree grasping tails occupy a different and more constrained diversity. For
this, a 3D morphometrical analysis based on surfaces was performed. Four
different analyses were performed on the tail skeleton of nine species exhibiting
different levels of tail grasping capacities (four pipehorse, three seahorse, one
pipefish and one seadragon species) to examine the intra-individual variation
across the anteroposterior and dorso-ventral axis. In the two interspecific
analyses, all vertebrae and all dermal plates were mutually compared. Overall,
intra-individual variation was larger in species with a prehensile tail. The
analysis on the vertebrae showed differences in the length and orientation of the
hemal spine as well as the inclination angle between the anterior and posterior
surface of the vertebral body. This was observed at an intra-individual level
across the anteroposterior axis in prehensile species and at an inter-individual
level between prehensile and non-prehensile species. Across the anteroposterior
axis in prehensile tails, the overall shape of the plates changes from
rectangular at the anterior end to square at the posterior end. Across the dorso
ventral axis, the ventral dermal plates carry a significantly longer caudal spine
than the dorsal ones in all prehensile-tailed species. It can therefore be
concluded that prehensile tails exhibit a larger anteroposterior and dorso
ventral shape variation than non-prehensile ones. However, the hypothesis that
there is a more constrained shape variation among prehensile species compared to
non-prehensile ones had to be rejected.
PMID- 27894828
TI - The effect of strategies, goals and stimulus material on the neural mechanisms of
emotion regulation: A meta-analysis of fMRI studies.
AB - Emotion regulation comprises all extrinsic and intrinsic control processes
whereby people monitor, evaluate and modify the occurrence, intensity and
duration of emotional reactions. Here we sought to quantitatively summarize the
existing neuroimaging literature to investigate a) whether different emotion
regulation strategies are based on different or the same neural networks; b)
which brain regions in particular support the up- and down-regulation of
emotions, respectively; and c) to which degree the neural networks realising
emotion regulation depend on the stimulus material used to elicit emotions. The
left ventrolateral prefrontal cortex (VLPFC), the anterior insula and the
supplementary motor area were consistently activated independent of the
regulation strategy. VLPFC and posterior cingulate cortex were the main regions
consistently found to be recruited during the up-regulation as well as the down
regulation of emotion. The down-regulation compared to the up-regulation of
emotions was associated with more right-lateralized activity while up-regulating
emotions more strongly modulated activity in the ventral striatum. Finally, the
process of emotion regulation appeared to be unaffected by stimulus material.
PMID- 27894829
TI - Plasticity of the postural function to sport and/or motor experience.
AB - This review addresses the possible structural and functional adaptations of the
postural function to motor experience. Evidence suggests that postural
performance and strategy evolve after training in inactive subjects. In trained
subjects, postural adaptations could also occur, since elite athletes exhibit
better postural performance than, and different postural strategy to sub-elite
athletes. The postural adaptations induced are specific to the context in which
the physical activity is practiced. They appear to be so specific that there
would be no or only a very slight effect of transfer to non-experienced motor
tasks (apart from in subjects presenting low initial levels of postural
performance, such as aged subjects). Yet adaptations could occur as part of the
interlimb relationship, particularly when the two legs do not display the same
motor experience. Mechanistic explanations as well as conceptual models are
proposed to explain how postural adaptations operate according to the nature of
physical activities and the context in which they are practiced as well as the
level of motor expertise of individuals.
PMID- 27894830
TI - The multiple process model of goal-directed reaching revisited.
AB - Recently our group forwarded a model of speed-accuracy relations in goal-directed
reaching. A fundamental feature of our multiple process model was the distinction
between two types of online regulation: impulse control and limb-target control.
Impulse control begins during the initial stages of the movement trajectory and
involves a comparison of actual limb velocity and direction to an internal
representation of expectations about the limb trajectory. Limb-target control
involves discrete error-reduction based on the relative positions of the limb and
the target late in the movement. Our model also considers the role of eye
movements, practice, energy optimization and strategic behavior in limb control.
Here, we review recent work conducted to test specific aspects of our model. As
well, we consider research not fully incorporated into our earlier contribution.
We conclude that a slightly modified and expanded version of our model, that
includes crosstalk between the two forms of online regulation, does an excellent
job of explaining speed, accuracy, and energy optimization in goal-directed
reaching.
PMID- 27894831
TI - Improvement in routine detection of colistin resistance in E. coli isolated in
veterinary diagnostic laboratories.
AB - We have developed a phenotypic method suited to the systematic screening of
resistance to colistin in E. coli, including those with the mcr-1 gene, by the
absence of an inhibition zone after an application of a single drop of 8mg/L
colistin solution on a previously inoculated Mueller-Hinton agar.
PMID- 27894832
TI - Development of a rapid, one-step screening method for the isolation of
presumptive proteolytic enterococci.
AB - Enterococci show higher proteolytic activities than other lactic acid bacteria
and thus have received considerable attention in scientific literature in recent
years. Proteolytic enzymes of enterococci have warranted the use of some species
as starter, adjuncts or protective cultures and as probiotics, while in some
strains they have also been linked with virulence. Consequently, the isolation
and identification of proteolytic enterococci is becoming of increasing interest
and importance. However, current screening methods for proteolytic enterococci
can be time consuming, requiring a two-step procedure which may take up to 96h.
This study describes a method, utilising Kanamycin Skim Milk Aesculin Azide
(KSMEA) agar, for the isolation of proteolytic enterococci in one-step, thereby
significantly reducing screening time. KSMEA combines the selective properties of
Kanamycin Aesculin Azide Agar (KAA) with skim milk powder for the detection of
proteolytic enterococci. Enterococci produced colonies with a black halo on KSMEA
which were accompanied by a zone of clearing in the media when enterococci were
proteolytic. KSMEA medium retained the selectivity of KAA, while proteolytic
enterococci were easily distinguished from non-proteolytic enterococci when two
known strains were propagated on KSMEA. KSMEA also proved effective at isolating
and detecting enterococci in raw milk, faeces and soil. Isolates recovered from
the screen were confirmed as enterococci using genus-specific primers.
Proteolytic enterococci were present in the raw milk sample only and were easily
distinguishable from non-proteolytic enterococci and other microorganisms.
Therefore, KSMEA provides a rapid, one-step screening method for the isolation of
presumptive proteolytic enterococci.
PMID- 27894833
TI - Feasibility of Using Ultrasonic Nakagami Imaging for Monitoring Microwave-Induced
Thermal Lesion in Ex Vivo Porcine Liver.
AB - The feasibility of using ultrasonic Nakagami imaging to evaluate thermal lesions
induced by microwave ablation (MWA) in ex vivo porcine liver was explored.
Dynamic changes in echo amplitudes and Nakagami parameters in the region of the
MWA-induced thermal lesion, as well as the contrast-to-noise ratio (CNR) between
the MWA-induced thermal lesion and the surrounding normal tissue, were calculated
simultaneously during the MWA procedure. After MWA exposure, a bright hyper
echoic region appeared in ultrasonic B-mode and Nakagami parameter images as an
indicator of the thermal lesion. Mean values of the Nakagami parameter in the
thermal lesion region increased to 0.58, 0.71 and 0.91 after 1, 3 and 5 min of
MVA. There were no significant differences in envelope amplitudes in the thermal
lesion region among ultrasonic B-mode images obtained after different durations
of MWA. Unlike ultrasonic B-mode images, Nakagami images were less affected by
the shadow effect in monitoring of MWA exposure, and a fairly complete hyper
echoic region was observed in the Nakagami image. The mean value of the Nakagami
parameter increased from approximately 0.47 to 0.82 during MWA exposure. At the
end of the postablation stage, the mean value of the Nakagami parameter decreased
to 0.55 and was higher than that before MWA exposure. CNR values calculated for
Nakagami parameter images increased from 0.13 to approximately 0.61 during MWA
and then decreased to 0.26 at the end of the post-ablation stage. The
corresponding CNR values calculated for ultrasonic B-mode images were 0.24, 0.42
and 0.17. This preliminary study on ex vivo porcine liver suggested that Nakagami
imaging have potential use in evaluating the formation of MWA-induced thermal
lesions. Further in vivo studies are needed to evaluate the potential
application.
PMID- 27894834
TI - A podoscopic and descriptive study of foot deformities in patients with Down
syndrome.
AB - INTRODUCTION: Subjects with Down syndrome (DS) are known to be affected by
various foot deformities. Despite the fact that some of these deformities have
been reported in the literature, a more comprehensive description would be of
benefit. The aim of this study is to investigate the prevalence of known foot
deformities in patients with DS and of other previously non-described foot
anomalies in this population. HYPOTHESIS: Subjects with DS have an increased
prevalence of foot deformities compared to control subjects. METHODS: Fifty-five
subjects with DS (age: 14.6+/-7.4 years) had undergone podiatric clinical and
podoscopic examinations to study their main foot deformities and their
footprints, respectively. The results of these examinations were compared to
those of an age-matched asymptomatic control group of fifty-three subjects (age:
13.4+/-11.2 years). RESULTS: Significantly more prevalent foot deformities were
found in the DS group: hallux valgus (36.4%), syndactyly between the 2nd and 3rd
toes (9.1%), grade II pes planus (39.1%) and grade III pes planus (30%).
Moreover, joint laxity (43.6%) was significantly more prevalent in the DS group.
Furthermore, the presence of an increased space between the 1st and 2nd toes in
patients with DS and its prevalence (73.6%) were described for the first time. A
multivariate analysis revealed a significant relationship between the presence of
joint laxity and flatfoot in only the control but not the DS group. Other foot
deformities were found to be consistently more frequent in the DS population but
not significantly higher than the control group. DISCUSSION: Although subjects
with DS had significantly greater joint laxity and BMI compared to the control
group, neither of these factors was found to be related to the increased
prevalence of flatfoot in DS patients. LEVEL OF EVIDENCE: IV-retrospective study.
PMID- 27894835
TI - Reducing resource utilization during non-operative treatment of pediatric
proximal humerus fractures.
AB - INTRODUCTION: The majority of proximal humeral fractures in the skeletally
immature are treated non-operatively. Operative indications vary but are largely
based on degree of displacement. Non-union is rare. Non-operatively treated
fractures are typically monitored radiographically to assess healing. HYPOTHESIS:
We hypothesize that the decision to treat fractures operatively is made at the
time of first imaging and that follow-up X-rays do not lead to a change in
management. MATERIAL AND METHODS: We retrospectively reviewed the records of 239
patients treated for proximal humerus fractures over a 5-year period. There were
225 who were treated non-operatively. Records were reviewed for the number of
clinic visits and radiographs, as well as any change to operative management
based on follow-up X-rays. RESULTS: The primary outcome of the study was the
proportion of proximal humerus fractures, initially treated non-operatively, for
which displacement or angulation on follow-up radiographs led to a change to
operative treatment. Secondary outcomes were the number of follow-up radiographs
obtained after the initial diagnosis and initiation of non-operative treatment.
Of the 225 patients that were initially managed non-operatively, only 1 patient
required subsequent surgical management. This patient underwent a proximal
humerus epiphysiodesis 365 days from injury after development of a partial growth
arrest. The mean number of fracture clinic visits for patients managed non
operatively was 2.67 (+/-1.24). The mean number of radiology department visits
and radiographs obtained was 3.57 (+/-1.44) and 8.36 (+/-3.89) respectively. No
clinical or radiographic non-unions were identified in these patients. No
patients suffered a refracture during the review period. DISCUSSION: This study
shows that of the 239 uncomplicated pediatric proximal humerus fractures treated
at our hospital over a 5-year period, only 1 had a change in treatment plan, from
non-operative to operative, based on follow-up radiographs. These data suggest
that non-operative treatment of proximal humerus fractures seldom results in
displacement that warrants operative intervention. Moreover, they suggest that
there is little utility to the routine use of postoperative radiographs in follow
up of these patients. STUDY DESIGN: Retrospective case series. LEVEL OF EVIDENCE:
IV.
PMID- 27894836
TI - Use of complement binding assays to assess the efficacy of antibody mediated
rejection therapy and prediction of graft survival in kidney transplantation.
AB - BACKGROUND: The Luminex(r) single antigen bead assay (SAB) is the method of
choice for monitoring the treatment for antibody-mediated rejection (AMR). A ?50%
reduction of the dominant donor-specific antibody (IgG-DSA) mean fluorescence
intensity (MFI) has been associated with improved kidney allograft survival, and
C1q-fixing DSA activity is associated with poor outcomes in patients with AMR. We
aimed to investigate if C1q-DSA can be used as a reliable predictor of response
to therapy and allograft survival in patients with biopsy-proven AMR. METHODS: We
tested pre- and post-treatment sera of 30 kidney transplant patients receiving
plasmapheresis and low-dose IVIG for biopsy-proven AMR. IgG-DSA and C1q-DSA MFI
were measured and correlated with graft loss or survival. Patients were
classified as nonresponders (NR) when treatment resulted in <50% reduction in MFI
of IgG-DSA and/or C1q-DSA was detectable following therapy. RESULTS: Differences
in the percentage of patients deemed NR depended upon the end-point criterion
(73% by reduction in IgG-DSA MFI vs. 50% by persistent C1q-DSA activity). None of
the seven patients with <50% reduction of IgG-DSA but non-detectable C1q-DSA
fixing activity after therapy experienced graft loss, suggesting that C1q-DSA
activity may better correlate with response. Reduction of C1q-DSA activity
predicted graft survival better than IgG-DSA in the univariate Cox analysis
(20.1% vs. 5.9% in NR; log-rank P-value=0.0147). CONCLUSIONS: A rapid reduction
of DSA concentration below the threshold required for complement activation is
associated with better graft survival, and C1q-DSA is a better predictor of
outcomes than IgG-DSA MFI reduction.
PMID- 27894838
TI - Direct and indirect costs for adverse drug events identified in medical records
across care levels, and their distribution among payers.
AB - BACKGROUND: Adverse drug events (ADEs) cause considerable costs in hospitals.
However, little is known about costs caused by ADEs outside hospitals, effects on
productivity, and how the costs are distributed among payers. OBJECTIVE: To
describe the direct and indirect costs caused by ADEs, and their distribution
among payers. Furthermore, to describe the distribution of patient out-of-pocket
costs and lost productivity caused by ADEs according to socio-economic
characteristics. METHOD: In a random sample of 5025 adults in a Swedish county,
prevalence-based costs for ADEs were calculated. Two different methods were used:
1) based on resource use judged to be caused by ADEs, and 2) as costs
attributable to ADEs by comparing costs among individuals with ADEs to costs
among matched controls. Payers of costs caused by ADEs were identified in medical
records among those with ADEs (n = 596), and costs caused to individual patients
were described by socio-economic characteristics. RESULTS: Costs for resource use
caused by ADEs were ?505 per patient with ADEs (95% confidence interval ?345
665), of which 38% were indirect costs. Compared to matched controls, the costs
attributable to ADEs were ?1631, of which ?410 were indirect costs. The local
health authorities paid 58% of the costs caused by ADEs. Women had higher
productivity loss than men (?426 vs. ?109, p = 0.018). Out-of-pocket costs
displaced a larger proportion of the disposable income among low-income earners
than higher income earners (0.7% vs. 0.2%-0.3%). CONCLUSION: We used two methods
to identify costs for ADEs, both identifying indirect costs as an important
component of the overall costs for ADEs. Although the largest payers of costs
caused by ADEs were the local health authorities responsible for direct costs,
employers and patients costs for lost productivity contributed substantially. Our
results indicate inequalities in costs caused by ADEs, by sex and income.
PMID- 27894839
TI - Relationship of P3b single-trial latencies and response times in one, two, and
three-stimulus oddball tasks.
AB - The P300 is one of the most widely studied components of the human event-related
potential. According to a longstanding view, the P300, and particularly its
posterior subcomponent (i.e., the P3b), is driven by stimulus categorization.
Whether the P3b relates to tactical processes involved in immediate responding or
strategic processes that affect future behavior remains controversial, however.
It is difficult to determine whether variability in P3b latencies relates to
variability in response times because of limitations in the methods currently
available to quantify the latency of the P3b during single trials. In this paper,
we report results from the Psychomotor Vigilance Task (PVT), the Hitchcock Radar
Task, and a 3-Stimulus Oddball Task. These represent variants of the one-, two-,
and three-stimulus oddball paradigms commonly used to study the P3b. The PVT
requires simple detection, whereas the Hitchcock Radar Task and the 3-Stimulus
Task require detection and categorization. We apply a novel technique that
combines hidden semi-Markov models and multi-voxel pattern analysis (HSMM-MVPA)
to data from the three experiments. HSMM-MVPA revealed a processing stage in each
task corresponding to the P3b. Trial-by-trial variability in the latency of the
processing stage correlated with response times in the Hitchcock Radar Task and
the 3-Stimulus Task, but not the PVT. These results indicate that the P3b
reflects a stimulus categorization process, and that its latency is strongly
associated with response times when the stimulus must be categorized before
responding. In addition to those theoretical insights, the ability to detect the
onset of the P3b and other components on a single-trial basis using HSMM-MVPA
opens the door for new uses of mental chronometry in cognitive neuroscience.
PMID- 27894840
TI - Overexpression of MTERF4 promotes the amyloidogenic processing of APP by
inhibiting ADAM10.
AB - Alzheimer's disease (AD) is characterized by the deposition of beta-amyloid
(Abeta) peptide in the brain, which is produced by the proteolysis of beta
amyloid precursor protein (APP). Recently, the mitochondrial transcription factor
4 (MTERF4), a member of the MTERF family, was implicated in regulating
mitochondrial DNA transcription and directly in controlling mitochondrial
ribosomal translation. The present study identified a novel role for MTERF4 in
shifting APP processing toward the amyloidogenic pathway. The levels of MTERF4
protein were significantly increased in the hippocampus of APP/PS1 mice. In
addition, the overexpression of MTERF4 induced a significant increase in the
levels of APP protein and secreted Abeta42 in HEK293-APPswe cells compared with
control cells. Further, MTERF4 overexpression shifted APP processing from alpha
to beta-cleavage, as indicated by decreased C83 levels and elevated C99 levels.
Finally, the MTERF4 overexpression suppressed a disintegrin and metalloproteinase
10 (ADAM10) expression via a transcriptional mechanism. Taken together, these
results suggest that MTERF4 promotes the amyloidogenic processing of APP by
inhibiting ADAM10 in HEK293-APPswe cells; therefore, MTERF4 may play an important
role in the pathogenesis of AD.
PMID- 27894837
TI - CD4+ virtual memory: Antigen-inexperienced T cells reside in the naive,
regulatory, and memory T cell compartments at similar frequencies, implications
for autoimmunity.
AB - It is widely accepted that central and effector memory CD4+ T cells originate
from naive T cells after they have encountered their cognate antigen in the
setting of appropriate co-stimulation. However, if this were true the diversity
of T cell receptor (TCR) sequences within the naive T cell compartment should be
far greater than that of the memory T cell compartment, which is not supported by
TCR sequencing data. Here we demonstrate that aged mice with far fewer naive T
cells, respond to the model antigen, hen eggwhite lysozyme (HEL), by utilizing
the same TCR sequence as their younger counterparts. CD4+ T cell repertoire
analysis of highly purified T cell populations from naive animals revealed that
the HEL-specific clones displayed effector and central "memory" cell surface
phenotypes even prior to having encountered their cognate antigen. Furthermore,
HEL-inexperienced CD4+ T cells were found to reside within the naive, regulatory,
central memory, and effector memory T cell populations at similar frequencies and
the majority of the CD4+ T cells within the regulatory and memory populations
were unexpanded. These findings support a new paradigm for CD4+ T cell maturation
in which a specific clone can undergo a differentiation process to exhibit a
"memory" or regulatory phenotype without having undergone a clonal expansion
event. It also demonstrates that a foreign-specific T cell is just as likely to
reside within the regulatory T cell compartment as it would the naive
compartment, arguing against the specificity of the regulatory T cell compartment
being skewed towards self-reactive T cell clones. Finally, we demonstrate that
the same set of foreign and autoreactive CD4+ T cell clones are repetitively
generated throughout adulthood. The latter observation argues against T cell
depleting strategies or autologous stem cell transplantation as therapies for
autoimmunity-as the immune system has the ability to regenerate pathogenic
clones.
PMID- 27894841
TI - In vitro investigation of ultrasound-induced oxidative stress on human lens
epithelial cells.
AB - The effect of ultrasound exposure on human lens epithelial cells (HLE-B3) was
investigated in vitro, specifically on the generation of oxidative stress upon
ultrasound application using various clinically-relevant settings. In addition to
ultrasound-induced heat effects, oxidative stress has been recently proposed as
one of the main mechanisms for ultrasound-induced effects on human cells. In this
work, the levels of biocompatibility and generation of oxidative stress by
exposure of ultrasound to HLE-B3 were evaluated quantitatively and qualitatively
by the MTT assay, Live/Dead assay, reactive oxygen species (ROS) and
intracellular calcium level. Oxidative stress induction is traditionally achieved
through administrations of H2O2 and thus the administration of H2O2 was used as
the positive control group for comparison herein. Concerning the administrations
of H2O2 are considered invasive and may potentially have side effects, ultrasound
as physical stimulation could be a safer and non-invasive method to induce
similar oxidative stress environments. The effect of ultrasound on cell viability
and induction of oxidative stress increases with ultrasound intensity. The result
reveals that the continuous ultrasound has a positive impact on the oxidative
stress levels but does negatively on the cell viability, as compared to the
pulsed ultrasound. Furthermore, our work demonstrates that the exposure of 58 kPa
continuous ultrasound without microbubbles can maintain acceptable cell viability
and produce oxidative stress effects similar to the traditional administrations
of H2O2. In summary, exposure of ultrasound can generate oxidative stress
comparable to traditional administrations of H2O2. The effect of generating
oxidative stress is adjustable through ultrasound parameters, including the
pulsed or continuous wave, the intensity of ultrasound and addition of
microbubbles.
PMID- 27894842
TI - Energetic basis on interactions between ferredoxin and ferredoxin NADP+ reductase
at varying physiological conditions.
AB - In spite of a number of studies to characterize ferredoxin (Fd):ferredoxin NADP+
reductase (FNR) interactions at limited conditions, detailed energetic
investigation on how these proteins interact under near physiological conditions
and its linkage to FNR activity are still lacking. We herein performed systematic
Fd:FNR binding thermodynamics using isothermal titration calorimetry (ITC) at
distinct pH (6.0 and 8.0), NaCl concentrations (0-200 mM), and temperatures (19
28 degrees C) for mimicking physiological conditions in chloroplasts.
Energetically unfavorable endothermic enthalpy changes were accompanied by Fd:FNR
complexation at all conditions. This energetic cost was compensated by favorable
entropy changes, balanced by conformational and hydrational entropy. Increases in
the NaCl concentration and pH weakened interprotein affinity due to the less
contribution of favorable entropy change regardless of energetic gains from
enthalpy changes, suggesting that entropy drove complexation and modulated
affinity. Effects of temperature on binding thermodynamics were much smaller than
those of pH and NaCl. NaCl concentration and pH-dependent enthalpy and heat
capacity changes provided clues for distinct binding modes. Moreover, decreases
in the enthalpy level in the Hammond's postulate-based energy landscape
implicated kinetic advantages for FNR activity. All these energetic interplays
were comprehensively demonstrated by the driving force plot with the enthalpy
entropy compensation which may serve as an energetic buffer against outer
stresses. We propose that high affinity at pH 6.0 may be beneficial for
protection from proteolysis of Fd and FNR in rest states, and moderate affinity
at pH 8.0 and proper NaCl concentrations with smaller endothermic enthalpy
changes may contribute to increase FNR activity.
PMID- 27894843
TI - MiR-509-5p suppresses the proliferation, migration, and invasion of non-small
cell lung cancer by targeting YWHAG.
AB - Dysregulation of microRNAs (miRNAs) expression is prevalent in human malignancy
development and progression. As previously reported, miR-509-5p has been
identified as a tumor suppressor in various cancers, but its role and functional
mechanism in non-small lung cancer (NSCLC) remains unknown. In the present study,
we found that miR-509-5p was significantly downregulated in NSCLC tissues and
cell lines using Quantitative real-time PCR (qRT-PCR). In addition,
overexpression of miR-509-5p markedly inhibited the proliferation, migration,
invasion and phosphorylation of Akt of NSCLC cells. Moreover, we identified
tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein gamma
(YWHAG) as a direct target of miR-509-5p. Knockdown of YWHAG mimicked the
inhibitory effects of miR-509-5p on NSCLC cells for proliferation and motility.
Finally, the negative correlation between miR-509-5p and YWHAG expression in
NSCLC specimens was demonstrated. Taken together, our present study revealed the
tumor suppressive role of miR-509-5p in NSCLC by targeting YWHAG, suggesting that
miR-509-5p/YWHAG axis might be considered as a novel and potential target for
clinical diagnosis and therapeutics of NSCLC.
PMID- 27894844
TI - The tricarboxylic acid cycle activity in cultured primary astrocytes is strongly
accelerated by the protein tyrosine kinase inhibitor tyrphostin 23.
AB - Tyrphostin 23 (T23) is a well-known inhibitor of protein tyrosine kinases and has
been considered as potential anti-cancer drug. T23 was recently reported to
acutely stimulate the glycolytic flux in primary cultured astrocytes. To
investigate whether T23 also affects the tricarboxylic acid (TCA) cycle, we
incubated primary rat astrocyte cultures with [U-13C]glucose in the absence or
the presence of 100 MUM T23 for 2 h and analyzed the 13C metabolite pattern.
These incubation conditions did not compromise cell viability and confirmed that
the presence of T23 doubled glycolytic lactate production. In addition, T23
treatment strongly increased the molecular carbon labeling of the TCA cycle
intermediates citrate, succinate, fumarate and malate, and significantly
increased the incorporation of 13C-labelling into the amino acids glutamate,
glutamine and aspartate. These results clearly demonstrate that, in addition to
glycolysis, also the mitochondrial TCA cycle is strongly accelerated after
exposure of astrocytes to T23, suggesting that a protein tyrosine kinase may be
involved in the regulation of the TCA cycle in astrocytes.
PMID- 27894845
TI - Thromboelastography demonstrates perioperative hypercoagulability in hepato
pancreato-biliary patients and supports routine administration of preoperative
and early postoperative venous thromboembolism chemoprophylaxis.
AB - BACKGROUND: We hypothesized hepato-pancreato-biliary (HPB) surgery patients are
more likely to be hypercoagulable than hypocoagulable, and that bleeding risks
from VTE chemoprophylaxis are low. This study sought to use thromboelastography
(TEG) to compare coagulation profiles with bleeding/thrombotic events in HPB
patients receiving standardized perioperative chemoprophylaxis. METHODS:
Consecutive patients undergoing HPB resections by three surgeons at one
institution (January 2014-December 2015) received preoperative and early
postoperative VTE chemoprophylaxis and were evaluated with TEGs. Coagulation
profiles were compared to bleeding/thrombotic events. RESULTS: Of 87 total
patients, 83 (95.4%) received preoperative chemoprophylaxis and 100% received it
postoperatively. Median estimated blood loss was 190 ml. Only 2 (2.3%) patients
received intraoperative transfusions. None required transfusions at 72-hours.
Only 2 were transfused within 30 days. There was 1 (1.1%) 30-day VTE event. Of 83
preoperative TEGs, 29 (34.9%) were hypercoagulable and only 8 (9.6%) were
hypocoagulable/fibrinolytic. Of 73 postoperative TEGs, 34 (46.6%) were
hypercoagulable and just 8 (11.0%) were hypocoagulable/fibrinolytic. .
CONCLUSION: With routine perioperative chemoprophylaxis, both VTE and bleeding
events were negligible. Perioperative TEG revealed a considerable proportion
(46.6%) of HPB patients were hypercoagulable. HPB patients can receive
standardized preoperative/early postoperative VTE chemoprophylaxis with effective
results and minimal concern for perioperative hemorrhage.
PMID- 27894846
TI - Maintaining physical exercise as a matter of synchronising practices: Experiences
and observations from training in Mixed Martial Arts.
AB - This paper is concerned with the establishment, maintenance, and decline of
physical exercise practices. Drawing on experiences and observations taken from a
carnal ethnography and rhythmanalysis of the practices involved in training in
Mixed Martial Arts (MMA), I argue that maintaining this physical exercise
practice is not straightforwardly an outcome of individual commitment, access to
facilities, or the availability of free time. It rather depends on the
synchronisation of practices: those of MMA, those that support MMA, and those
that more broadly make up everyday life. This research suggests that increasing
rates of physical activity might be better fostered through facilitating the
integration of combinations of healthy activities into everyday life.
PMID- 27894847
TI - PCR screening of tick-borne agents in sensitive conservation areas, Southeast
Portugal.
AB - The Southeast region of Portugal, particularly the Guadiana valley, is currently
the reintroduction territory of Lynx pardinus (Iberian lynx), one of the most
endangered felids in the world that is only found in the Iberian Peninsula. Over
the last century, populations have declined, placing L. pardinus at extremely
high risk of extinction in the wild and relying on reintroduction projects. Among
the aspects taken into account in the establishment of new populations is the
sanitary status of the selected habitats, especially concerning infectious
diseases, including tick-borne pathogens (TBPs). This study presents the results
of TBPs survey on ticks collected at sensitive conservation areas of Southeast
Portugal. From 2012 to 2014, 231 ticks obtained from vegetation, sympatric
domestic and wild animals were submitted for analysis. The presence of Babesia
spp., Cytauxzoon spp., Theileria spp., Hepatozoon spp., Anaplasma spp., Ehrlichia
spp., Candidatus Neoehrlichia mikurensis, among other Anaplasmataceae, and
Coxiella burnetii were investigated by PCR. Six tick species were recorded,
Dermacentor marginatus (n = 13/5.6%), Hyalomma lusitanicum (n = 175/75.8%),
Ixodes ricinus (n = 4/1.7%), Rhipicephalus bursa (n = 7/3.0%), R. pusillus (n =
21/9.1%) and R. sanguineus sensu lato (n = 11/4.8%). The molecular screening
confirmed the presence of two tick-borne pathogens, C. burnetii (N = 34) and
Anaplasma platys (N = 1), and one tick-endosymbiont, Candidatus Midichloria
mitochondrii (N = 45). The results obtained provide new information on the
circulation of ticks and TBPs with potential veterinary importance in Iberian
lynx habitat.
PMID- 27894848
TI - Oral extramedullary plasmacytoma in a HIV positive patient.
PMID- 27894849
TI - A global view of regulatory networks in lung cancer: An approach to understand
homogeneity and heterogeneity.
AB - A number of new biotechnologies are used to identify potential biomarkers for the
early detection of lung cancer, enabling a personalized therapy to be developed
in response. The combinatorial cross-regulation of hundreds of biological
function-specific transcription factors (TFs) is defined as the understanding of
regulatory networks of molecules within the cell. Here we integrated global
databases with 537 patients with lung adenocarcinoma (ADC), 140 with lung
squamous carcinoma (SCC), 9 with lung large-cell carcinoma (LCC), 56 with small
cell lung cancer (SCLC), and 590 without cancer with the understanding of TF
functions. The present review aims at the homogeneity or heterogeneity of gene
expression profiles among subtypes of lung cancer. About 5, 136, 52, or 16 up
regulated or 19, 24, 122, or 97down-regulated type-special TF genes were
identified in ADC, SCC, LCC or SCLC, respectively. DNA-binding and transcription
regulator activity associated genes play a dominant role in the differentiation
of subtypes in lung cancer. Subtype-specific TF gene regulatory networks with
elements should be an alternative for diagnostic and therapeutic targets for
early identification of lung cancer and can provide insightful clues to etiology
and pathogenesis.
PMID- 27894850
TI - Generating the evidence base for malaria elimination: the situation in Haiti.
PMID- 27894852
TI - Effect of warming bupivacaine 0.5% on ultrasound-guided axillary plexus block.
Randomized prospective double-blind study.
AB - OBJECTIVE: To evaluate the effect of warming bupivacaine 0.5% on ultrasound
guided axillary brachial plexus block. STUDY DESIGN: Prospective, randomized,
double-blind. PATIENTS AND METHODS: Eighty patients undergoing elective or
emergency surgery beyond the distal third of the upper limb were divided into two
groups of 40 patients: the warm group received 15mL bupivacaine 0.5% heated to 37
degrees C; the cold group received 15mL 0.5% bupivacaine stored for at least
24hours in the lower compartment of a refrigerator at 13-15 degrees C. Onset and
duration of sensory and motor blocks were evaluated every 5minutes for 40minutes.
Postoperative pain was evaluated at 1, 3, 6, 12 and 24hours. Effective analgesia
time was recorded as the interval between anesthetic injection and the first
analgesia requirement (VAS>30mm). RESULTS: Time to onset of sensory and motor
block was significantly shorter in the warm group, and mean duration of sensory
and motor block and of postoperative analgesia significantly longer. CONCLUSION:
Warming bupivacaine 0.5% to 37 degrees C accelerated onset of sensory and motor
block and extended action duration.
PMID- 27894853
TI - Concurrent carpal tunnel syndrome and pronator syndrome: A retrospective study of
21 cases.
AB - PURPOSE: Concurrent carpal tunnel syndrome and pronator syndrome are rarely
considered and the proximal compression sites are easily overlooked. We
retrospectively studied 21 concurrent cases in our series from 2009 to 2015 and
report the results. PATIENTS AND METHODS: The typical symptoms were pain,
tingling, and numbness of the radial 31/2 digits. If paresthesia involved the
thenar eminence and proximal forearm pain was noted in cases of carpal tunnel
syndrome, carpal tunnel syndrome combined with pronator syndrome was considered.
Additionally, nocturnal paresthesia symptoms are absent in pronator syndrome.
Therefore, if nocturnal symptoms occurred in pronator syndrome, carpal tunnel
syndrome was considered. We included concurrent carpal tunnel syndrome and
pronator syndrome. We used arthroscopic release of the transverse carpal ligament
and open decompression for the pronator teres in cases that underwent surgery for
the first time. However, recurrent carpal tunnel cases were treated with the open
carpal tunnel release and open pronator decompression procedure in our hospital.
The two-point discrimination was used for evaluation of sensory deficit. The grip
and pinch (thumb tip to index) strength were measured by dynamometry and pinch
gauge respectively. RESULTS: We retrospectively reviewed 344 cases of sustained
carpal tunnel syndrome or pronator syndrome from the medical records of our
institution. Of the 344 cases, 322 involved carpal tunnel syndrome alone, 1
involved pronator syndrome alone, and 21 involved carpal tunnel syndrome combined
with pronator syndrome. The 21 cases of carpal tunnel syndrome combined with
pronator syndrome were included in our study. Among the total cases of carpal
tunnel syndrome, 6% (21/343) had pronator syndrome. The patients included 3 men
and 18 women with a mean age of 52 years (range: 42-69 years). Electromyography
(EMG) and nerve conduction studies were routinely performed. Postoperative
evaluation showed that 15 out of 21 patients (71%) were completely relieved of
pain and paresthesia and had no sensory deficit, satisfied strength improved
(>85% of the opposite hand). Six patients (29%) had occasional paresthesia and
pain, but no sensory deficit; grip and pinch strength deficit were recorded (<50%
of the opposite hand). Six cases of these partially relieved patients had
recurrent carpal tunnel syndrome but no one needed to perform tendon transfer for
thenar muscle atrophy. CONCLUSION: It is important to consider the diagnosis of
double crush syndrome of the median nerve, as carpal tunnel syndrome combined
with pronator syndrome may impede treatment of the carpal tunnel syndrome.
PMID- 27894851
TI - Effectiveness of insecticide-treated bednets in malaria prevention in Haiti: a
case-control study.
AB - BACKGROUND: Insecticide-treated bednets (ITNs) are effective in preventing
malaria where vectors primarily bite indoors and late at night, but their
effectiveness is uncertain where vectors bite outdoors and earlier in the
evening. We studied the effectiveness of ITNs following a mass distribution in
Haiti from May to September, 2012, where the Anopheles albimanus vector bites
primarily outdoors and often when people are awake. METHODS: In this case-control
study, we enrolled febrile patients presenting to outpatient departments at 17
health facilities throughout Haiti from Sept 4, 2012, to Feb 27, 2014, who were
tested with malaria rapid diagnostic tests (RDTs), and administered
questionnaires on ITN use and other risk factors. Cases were defined by positive
RDT and controls were febrile patients from the same clinic with a negative RDT.
Our primary analysis retrospectively matched cases and controls by age, sex,
location, and date, and used conditional logistic regression on the matched
sample. A sensitivity analysis used propensity scores to match patients on ITN
use propensity and analyse malaria among ITN users and non-users. Additional ITN
bioefficacy and entomological data were collected. FINDINGS: We enrolled 9317
patients, including 378 (4%) RDT-positive cases. 1202 (13%) patients reported ITN
use. Post-hoc matching of cases and controls yielded 362 cases and 1201 matched
controls, 19% (333) of whom reported consistent campaign net use. After using
propensity scores to match on consistent campaign ITN use, 2298 patients,
including 138 (7%) RDT-positive cases, were included: 1149 consistent campaign
ITN users and 1149 non-consistent campaign ITN users. Both analyses revealed that
ITNs did not significantly protect against clinical malaria (odds ratio
[OR]=0.95, 95% CI 0.68-1.32, p=0.745 for case-control analysis; OR=0.95, 95% CI
0.45-1.97, p=0.884 for propensity score analysis). ITN and entomological data
indicated good ITN physical integrity and bioefficacy, and no permethrin
resistance among local mosquitoes. INTERPRETATION: We found no evidence that mass
ITN campaigns reduce clinical malaria in this observational study in Haiti;
alternative malaria control strategies should be prioritised. FUNDING: The Global
Fund to Fight AIDS, Tuberculosis, and Malaria, and the US-based Centers for
Disease Control and Prevention (CDC).
PMID- 27894854
TI - WITHDRAWN: Decreased level of endogenous ghrelin is involved in the progression
of lung injury induced by oleic acid.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at
http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 27894855
TI - Galloylquinic acid derivatives from Copaifera langsdorffii leaves display
gastroprotective activity.
AB - Nine new methylated galloylquinic acids were isolated from an aqueous fraction of
Copaifera langsdorffii (Fabaceae-Caesalpinioideae) leaf hydroalcoholic extract (3
8, 11, 12, and 14), along with three known methylated galloylquinic acids (1, 2,
and 15) and four galloylquinic acids (9, 10, 13, and 16). These compounds were
characterized by nuclear magnetic resonance spectroscopy and mass spectrometry.
They were further tested in a gastroprotection assay (Ethanol-HCl induced ulcer
model in mice), in which all of them significantly reduced the total lesion area,
and increased the cure ratio in comparison with pantoprazole. Also, the tested
compounds displayed cytotoxicity against gastric adenocarcinoma cells.
PMID- 27894856
TI - Glycopeptide resistance: Links with inorganic phosphate metabolism and cell
envelope stress.
AB - Antimicrobial resistance is a critical health issue today. Many pathogens have
become resistant to many or all available antibiotics and limited new antibiotics
are in the pipeline. Glycopeptides are used as a 'last resort' antibiotic
treatment for many bacterial infections, but worryingly, glycopeptide resistance
has spread to very important pathogens such as Enterococcus faecium and
Staphylococcus aureus. Bacteria confront multiple stresses in their natural
environments, including nutritional starvation and the action of cell-wall
stressing agents. These stresses impact bacterial susceptibility to different
antimicrobials. This article aims to review the links between glycopeptide
resistance and different stresses, especially those related with cell-wall
biosynthesis and inorganic phosphate metabolism, and to discuss promising
alternatives to classical antibiotics to avoid the problem of antimicrobial
resistance.
PMID- 27894857
TI - Cytokine-Induced Memory-Like Differentiation Enhances Unlicensed Natural Killer
Cell Antileukemia and FcgammaRIIIa-Triggered Responses.
AB - Cytokine-induced memory-like natural killer (NK) cells differentiate after short
term preactivation with IL-12, IL-15, and IL-18 and display enhanced effector
function in response to cytokines or tumor targets for weeks after the initial
preactivation. Conventional NK cell function depends on a licensing signal,
classically delivered by an inhibitory receptor engaging its cognate MHC class I
ligand. How licensing status integrates with cytokine-induced memory-like NK cell
responses is unknown. We investigated this interaction using killer cell
immunoglobulin-like receptor- and HLA-genotyped primary human NK cells. Memory
like differentiation resulted in enhanced IFN-gamma production triggered by
leukemia targets or FcgammaRIIIa ligation within licensed NK cells, which
exhibited the highest functionality of the NK cell subsets interrogated. IFN
gamma production by unlicensed memory-like NK cells was also enhanced to a level
comparable with that of licensed control NK cells. Mechanistically, differences
in responses to FcgammaRIIIa-based triggering were not explained by alterations
in key signaling intermediates, indicating that the underlying biology of memory
like NK cells is distinct from that of adaptive NK cells in human cytomegalovirus
positive individuals. Additionally, memory-like NK cells responded robustly to
cytokine receptor restimulation with no impact of licensing status. These results
demonstrate that both licensed and unlicensed memory-like NK cell populations
have enhanced functionality, which may be translated to improve leukemia
immunotherapy.
PMID- 27894858
TI - Elbow dislocation with ipsilateral fracture of the distal radius associated with
a brachial artery injury: A new pathological condition of traumatic origin.
AB - Elbow dislocation associated with ipsilateral fracture of the distal radius and a
brachial artery injury is an uncommon traumatic entity. The two references of
this injury combination appeared in 2015, although both authors did not realise
that they were the first two cases published in the medical literature. Although
mentioned in the text of the articles, no mention was made of the fracture of the
distal radius in the titles. The purpose of this paper is to present three cases
with this new traumatic pathological entity, explaining its pathogenetic
mechanism, the treatment used, and the results obtained.
PMID- 27894859
TI - Brown tumour in phalanx of the ring finger due to chronic kidney failure. A case
report.
AB - Brown tumours are highly vascular lytic bone lesions found in primary and
secondary hyperparathyroidism. The brown term is given due to the red-brown
colour of the tissue, which is due to the accumulation of hemosiderin. The case
is presented of a 29 year-old male with chronic renal failure, who had a mass in
the tip of the ring finger after a trauma of 4 months onset, which had increased
progressively in size and pain. He was treated surgically, by amputation, with no
recurrence 10 months after the surgery.
PMID- 27894861
TI - Development of a competitive double antibody lateral flow assay for the detection
of antibodies specific to glycoprotein B of Aujeszky's disease virus in swine
sera.
AB - Three lateral flow assays (LFAs) for the detection of antibodies against
glycoprotein B (gB) of Aujeszky's disease virus (ADV) in swine sera: a
competitive double antibody sandwich LFA without a preincubation step (CDAS-gB
LFA), a CDAS-gB-LFA with a preincubation step (pCDAS-gB-LFA), and a competitive
direct gB-LFA have been developed and were compared with each other and with a gB
ELISA. The assays are based on monoclonal antibodies to immunodominant epitopes
of ADV gB. The pCDAS-gB-LFA proved to be the most specific and sensitive assay to
detect antibodies directed to ADV gB. The specificity and sensitivity of the
pCDAS-gB-LFA with the use of an LFA reader for test line intensity measurements
were 97.6 and 94.9%, respectively. The lower diagnostic sensitivity of the pCDAS
gB-LFA compared to a gB-ELISA reflects its reduced analytical sensitivity, which
was shown in titration experiments with positive sera. The pCDAS-gB-LFA, using
the reader-based and visual detection modes, showed good agreement in respect to
specificity; however, the LFA reader detection provided a higher diagnostic and
analytical sensitivity compared to visual detection. The developed pCDAS-gB-LFA
is a rapid, sensitive, and specific method for the detection of antibodies to ADV
gB and can be used for screening ADV-infected swine in unvaccinated herds.
PMID- 27894860
TI - Pediatric Ovarian Torsion and its Recurrence: A Multicenter Study.
AB - STUDY OBJECTIVE: To report results of a retrospective multicentric Italian survey
concerning the management of pediatric ovarian torsion (OT) and its recurrence.
DESIGN: Multicenter retrospective cohort study. SETTING: Italian Units of
Pediatric Surgery. PARTICIPANTS: Participants were female aged 1-14 years of age
with surgically diagnosed OT between 2004 and 2014. INTERVENTIONS: Adnexal
detorsion, adnexectomy, mass excision using laparoscopy or laparotomy. Different
kinds of oophoropexy (OPY) for OT or recurrence, respectively. MAIN OUTCOME
MEASURES: A total of 124 questionnaires were returned and analyzed to understand
the current management of pediatric OT and its recurrence. The questionnaires
concerned patient age, presence of menarche, OT site, presence and type of mass,
performed procedure, OPY technique adopted, intra- and postoperative
complications, recurrence and site, procedure performed for recurrence, OPY
technique for recurrence, and 1 year follow-up of detorsed ovaries. RESULTS: Mean
age at surgery was 9.79 +/- 3.54 years. Performed procedures were open
adnexectomy (52 of 125; 41.6%), laparoscopic adnexectomy (25 of 125; 20%), open
detorsion (10 of 125; 8%), and laparoscopic detorsion (38 of 125; 30.4%).
Recurrence occurred in 15 of 125 cases (12%) and resulted as significant (P =
.012) if associated with a normal ovary at the first episode of torsion.
Recurrence occurred only in 1 of 19 cases after OPY (5.2%). Ultrasonographic
results of detorsed ovaries were not significant whether an OPY was performed or
not (P = 1.00). CONCLUSION: Unfortunately, oophorectomy and open technique are
still widely adopted even if not advised. Recurrence is not rare and the risk is
greater in patients without ovarian masses. OPY does not adversely affect
ultrasonographic results at 1 year. When possible OPY should be performed at the
first episode of OT.
PMID- 27894862
TI - HIV drug resistance testing among patients failing second line antiretroviral
therapy. Comparison of in-house and commercial sequencing.
AB - INTRODUCTION: HIV genotyping is often unavailable in low and middle-income
countries due to infrastructure requirements and cost. We compared genotype
resistance testing in patients with virologic failure, by amplification of HIV
pol gene, followed by "in-house" sequencing and commercial sequencing. METHODS:
Remnant plasma samples from adults and children failing second-line ART were
amplified and sequenced using in-house and commercial di-deoxysequencing, and
analyzed in Harare, Zimbabwe and at Stanford, U.S.A, respectively. HIV drug
resistance mutations were determined using the Stanford HIV drug resistance
database. RESULTS: Twenty-six of 28 samples were amplified and 25 were
successfully genotyped. Comparison of average percent nucleotide and amino acid
identities between 23 pairs sequenced in both laboratories were 99.51 (+/-0.56)
and 99.11 (+/-0.95), respectively. All pairs clustered together in phylogenetic
analysis. Sequencing analysis identified 6/23 pairs with mutation discordances
resulting in differences in phenotype, but these did not impact future regimens.
CONCLUSIONS: The results demonstrate our ability to produce good quality drug
resistance data in-house. Despite discordant mutations in some sequence pairs,
the phenotypic predictions were not clinically significant.
PMID- 27894863
TI - Molecular evidence for Toxoplasma gondii in feeding and questing Ixodes ricinus
ticks.
AB - The aim of the present study was to detect Toxoplasma gondii in ticks collected
from ponies and field vegetation and to determine the role of Shetland ponies as
a potential reservoir host for T. gondii. A total of 1737 feeding Ixodes ricinus
collected from 49 horses and 371 questing ticks were tested by PCR and sequencing
for the presence and genotyping of T. gondii. All ticks were examined in a
previous study to detect and identify pathogenic bacterial species. The aim of
this study was also to detect co-infection of ticks with these bacteria and T.
gondii. Genotyping of the sequenced B1 gene revealed that detected T. gondii
strains represented genotype I, which is pathogenic for humans. T. gondii
genotype I was detected in 4.5% of all I. ricinus, including in 2.99% of feeding
ticks and in 10.24% of questing ticks; this difference was statistically
significant. Thus, the above results indicate that ponies probably are not an
essential host for the detected sporozoan. Infections with more than one
pathogenic species were rare and involved mostly T. gondii and Borrelia
burgdorferi sensu lato. Our results confirmed the presence of T. gondii in I.
ricinus and showed a new geographical habitat of T. gondii occurring in I.
ricinus ticks in Poland.
PMID- 27894864
TI - Integrative approaches for prediction of cardiotoxic drug effects and mitigation
strategies.
PMID- 27894865
TI - The genetic component of bicuspid aortic valve and aortic dilation. An exome-wide
association study.
AB - BACKGROUND: Bicuspid aortic valve is the most common cardiovascular congenital
malformation affecting 2% of the general population. The incidence of life
threatening complications, the high heritability, and familial clustering rates
support the interest in identifying risk or protective genetic factors. The main
objective of the present study was to identify population-based genetic variation
associated with bicuspid aortic valve and concomitant ascending aortic dilation.
MATERIALS AND METHODS: A cross-sectional exome-wide association study was
conducted in 565 Spanish cases and 484 controls. Single-marker and gene-based
association analyses enriched for low frequency and rare genetic variants were
performed on this discovery stage cohort and for the subsets of cases with and
without ascending aortic dilation. Discovery-stage association signals and
additional markers indirectly associated with bicuspid aortic valve, were
genotyped in a replication cohort that comprised 895 Caucasian cases and 1483
controls. RESULTS: Although none of the association signals were consistent
across series, the involvement of HMCN2 in calcium metabolism and valve
degeneration caused by calcium deposit, and a nominal but not genome-wide
significant association, supported it as an interesting gene for follow-up
studies on the genetic susceptibility to bicuspid aortic valve. CONCLUSIONS: The
absence of a genome-wide significant association signal shows this valvular
malformation may be more genetically complex than previously believed. Exhaustive
phenotypic characterization, even larger datasets, and collaborative efforts are
needed to detect the combination of rare variants conferring risk which, along
with specific environmental factors, could be causing the development of this
disease.
PMID- 27894866
TI - Transcriptional regulation of the sodium channel gene (SCN5A) by GATA4 in human
heart.
AB - Aberrant expression of the sodium channel gene (SCN5A) has been proposed to
disrupt cardiac action potential and cause human cardiac arrhythmias, but the
mechanisms of SCN5A gene regulation and dysregulation still remain largely
unexplored. To gain insight into the transcriptional regulatory networks of
SCN5A, we surveyed the promoter and first intronic regions of the SCN5A gene,
predicting the presence of several binding sites for GATA transcription factors
(TFs). Consistent with this prediction, chromatin immunoprecipitation (ChIP) and
sequential ChIP (Re-ChIP) assays show co-occupancy of cardiac GATA TFs GATA4 and
GATA5 on promoter and intron 1 SCN5A regions in fresh-frozen human left ventricle
samples. Gene reporter experiments show GATA4 and GATA5 synergism in the
activation of the SCN5A promoter, and its dependence on predicted GATA binding
sites. GATA4 and GATA6 mRNAs are robustly expressed in fresh-frozen human left
ventricle samples as measured by highly sensitive droplet digital PCR (ddPCR).
GATA5 mRNA is marginally but still clearly detected in the same samples.
Importantly, GATA4 mRNA levels are strongly and positively correlated with SCN5A
transcript levels in the human heart. Together, our findings uncover a novel
mechanism of GATA TFs in the regulation of the SCN5A gene in human heart tissue.
Our studies suggest that GATA5 but especially GATA4 are main contributors to
SCN5A gene expression, thus providing a new paradigm of SCN5A expression
regulation that may shed new light into the understanding of cardiac disease.
PMID- 27894868
TI - The 91-205 amino acid region of AcMNPV ORF34 (Ac34), which comprises a potential
C3H zinc finger, is required for its nuclear localization and optimal virus
multiplication.
AB - During baculovirus infection, most viral proteins must be imported to the nucleus
to support virus multiplication. Autographa californica multiple
nucleopolyhedrovirus (AcMNPV) orf34 (ac34) is an alphabaculovirus unique gene
that is required for optimal virus production. Ac34 distributes in both the
cytoplasm and the nuclei of virus-infected Sf9 cells, but contains no
conventional nuclear localization signal (NLS). In this study, we investigated
the nuclear targeting domains in Ac34. Transient expression assays showed that
Ac34 localized in both the cytoplasm and the nuclei of Sf9 cells, indicating that
no viral protein is required for Ac34 nuclear localization. Subcellular
localization analysis of Ac34 truncations and internal deletions fused with green
fluorescent protein in plasmid-transfected Sf9 cells identified that the 91-205
amino acid (aa) region is required for Ac34 nuclear localization. Mutations in a
potential C3H zinc finger (aa 116-131) in Ac34 resulted in exclusive cytoplasmic
distribution of GFP:Ac34, suggesting that the zinc finger is required for Ac34
nuclear localization. To assess the functional importance of Ac34 in the nucleus
during virus replication, recombinant AcMNPV bacmids containing a series of Ac34
truncations, internal deletions, or site mutations fused with HA tags were
constructed. Subcellular localization analysis showed that Ac34 with internal
deletions in aa 91-205 or site mutations in the potential zinc finger was
predominantly distributed in the cytoplasm. Viral plaque assays and virus growth
curves indicated that disruption of Ac34 nuclear localization significantly
impaired virus replication. Taken together, our findings demonstrated that the
nuclear localization of Ac34 requires the 91-205 aa region and its nuclear
localization is essential for optimal virus replication.
PMID- 27894867
TI - Creatine kinase as a marker of obesity in a multi-ethnic population.
AB - OBJECTIVE: Creatine kinase (CK), the central regulatory enzyme of energy
metabolism, is particularly high in type II skeletal muscle fibers, which are
associated with insulin resistance and obesity. As resting plasma CK is mainly
derived from skeletal muscle, we assessed whether plasma CK is associated with
markers of obesity. METHODS: In this cross-sectional study, we analyzed a random
sample of the multi-ethnic population of Amsterdam, the Netherlands, consisting
of 1444 subjects aged 34-60 years. The primary outcome was the independent
association between plasma CK after rest and waist circumference. Other outcomes
included waist-to-hip ratio and body mass index. RESULTS: Mean waist
circumference increased from the first through the third CK tertile, respectively
90.3 (SD 13.4), 93.2 (SD 14.3), and 94.4 (SD 13.3) cm (p < 0.001 for differences
between tertiles). The increase in waist circumference was 8.91 (95% CI 5.35 to
12.47) cm per log CK increase after adjustment for age, sex, African ethnicity,
educational level, physical activity and plasma creatinine. Similarly, CK was
independently associated with waist-to-hip ratio and body mass index, with an
increase of respectively 0.05 (95% CI 0.03 to 0.07) and 3.6 (95% CI 2.3 to 5.0)
kg/m2 per log CK increase. CONCLUSIONS: Plasma CK is independently associated
with measures of obesity in a multi-ethnic population. This is in line with the
central role of type II skeletal muscle fibers in energy metabolism and obesity.
Prospective studies should assess whether resting plasma CK could be an easy
accessible marker of CK rich type II fiber predominance that helps identify
individuals at risk for obesity.
PMID- 27894870
TI - A benzo[b]thiophene-based selective type 4 S1P receptor agonist.
AB - S1P receptors (S1PR1-5) are a group of GPCRs activated by a high affinity binding
with S1P that have important roles in the regulation of the immune system. A
potent S1PR agonist FTY720 is an immunomodulator used to treat multiple sclerosis
and several 'second generation' drugs are under clinical development. Subtype
selective agonists have been reported for each S1PR isotype, some of which are
used as pharmacological tools for functional studies. Here we report the
discovery and initial characterization of compound 5c, a benzo[b]thiophene amino
carboxylate which exhibits potent and selective agonist activity for S1PR4.
Compound 5c has an EC50=200nM as an agonist in GTPgamma35S binding assay for
S1PR4 and exhibits no activity against S1PR1,2,3,5. We confirmed its potent
activity and decent S1PR subtype selectivity using biochemical and cellular
assays.
PMID- 27894869
TI - Utilization of alkyne bioconjugations to modulate protein function.
AB - The ability to introduce or modify protein function has widespread application to
multiple scientific disciplines. The introduction of unique unnatural amino acids
represents an excellent mechanism to incorporate new functionality; however, this
approach is limited by ability of the translational machinery to recognize and
incorporate the chemical moiety. To overcome this potential limitation, we aimed
to exploit the functionality of existing unnatural amino acids to perform
bioorthogonal reactions to introduce the desired protein modification, altering
its function. Specifically, via the introduction of a terminal alkyne containing
unnatural amino acid, we demonstrated chemically programmable protein
modification through the Glaser-Hay coupling to other terminal alkynes, altering
the function of a protein. In a proof-of-concept experiment, this approach has
been utilized to modify the fluorescence spectrum of green fluorescent protein.
PMID- 27894871
TI - Mesoionic pyrido[1,2-a]pyrimidinones: Discovery of triflumezopyrim as a potent
hopper insecticide1.
AB - A novel class of mesoionic pyrido[1,2-a]pyrimidinones has been discovered with
exceptional insecticidal activity controlling a number of insect species. In this
communication, we report the part of the optimization program which led to the
discovery of triflumezopyrim as a highly potent insecticide controlling various
hopper species. Our efforts in discovery, synthesis, structure-activity
relationship elucidation, and biological activity evaluation are also presented.
PMID- 27894872
TI - Synthesis, screening and docking analysis of hispolon analogs as potential
antitubercular agents.
AB - A series of 20 hispolons/dihydrohispolons were synthesized and characterized by
spectral data. These compounds were subjected to in vitro antitubercular activity
screening against Mycobacterium tuberculosis (H37Rv) strain. The synthesized
compounds showed varied antitubercular activity ranging from 100 to 1.6MUg/mL.
Among the screened compounds, four compounds (H1, H2, H3 and H15) have shown
moderate activity with MIC 25MUg/mL. Potent activities were observed for the
dihydrohispolon derivative H14 (MIC 1.6MUg/mL) followed by H13 (6.25MUg/mL) and
H17 (12.5MUg/mL), H19 (3.125MUg/ML). Docking simulations gave good insights on
the possible interactions between the tested compounds and beta-keto acyl
synthase enzyme (mtbFabH). Drug-inhibitor combination studies showed no synergism
with the drugs targeting mycolic acid biosynthesis (isoniazid, ethambutol and
thiolactomycin, a specific inhibitor of KAS-B enzyme) but showed significant
synergism with other drugs including rifampicin and ciprofloxacin ascertaining
the drug target for hispolons as inhibition of mycolic acid biosynthesis,
probably via mtbFabH.
PMID- 27894874
TI - MARK inhibitors: Declaring a No-Go decision on a chemical series based on
extensive DMPK experimentation.
AB - Attempts to optimize pharmacokinetic properties in a promising series of
pyrrolopyrimidinone MARK inhibitors for the treatment of Alzheimer's disease are
described. A focus on physical properties and ligand efficiency while prosecuting
this series afforded key tool compounds that revealed a large discrepancy in the
rat in vitro-in vivo DMPK (Drug Metabolism/Pharmacokinetics) correlation. These
differences prompted an in vivo rat disposition study employing a radiolabeled
representative of the series, and the results from this experiment justified the
termination of any further optimization efforts.
PMID- 27894873
TI - Design, synthesis and anti-HIV-1 RT evaluation of 2-(benzyl(4-chlorophenyl)amino)
1-(piperazin-1-yl)ethanone derivatives.
AB - In this study, using molecular hybridization approach, fourteen novel 2-(benzyl(4
chlorophenyl)amino)-1-(piperazin-1-yl)ethanone derivatives (7a-n) were designed
as inhibitor of HIV-1 RT. The binding affinity of the designed compounds with HIV
1 RT as well as their drug-likeness behavior was predicted using in-silico
studies. All the designed compounds were synthesized, characterized and in-vitro
evaluated for HIV-1 RT inhibitory activity, in which tested compounds displayed
significant to weak potency against the selected target. Moreover, best active
compounds of the series, 7k and 7m inhibited the activity of RT with IC50 values
14.18 and 12.26MUM respectively. Structure Activity Relationship (SAR) studies
were also performed in order to predict the influence of substitution pattern on
the RT inhibitory potency. Anti-HIV-1 and cytotoxicity studies of best five RT
inhibitor (7a, 7d, 7k, 7L and 7m) revealed that, except compound 7d other
compounds retained significant anti-HIV-1 potency with good safety index. Best
scoring pose of compound 7m was analysed in order to predict its putative binding
mode with wild HIV-1 RT.
PMID- 27894875
TI - Effect of bronchodilators in healthy individuals receiving lumacaftor/ivacaftor
combination therapy.
AB - In an open-label, single-center phase 1 pharmacokinetic study in healthy subjects
who received lumacaftor (LUM) in combination with ivacaftor (IVA), review of
spirometry data showed a transient decline in percent predicted forced expiratory
volume in 1s (ppFEV1) within 4h of drug administration. An additional cohort of
healthy subjects with normal baseline ppFEV1 values was studied to evaluate the
ppFEV1 response to LUM/IVA administration and assess the effect of long-acting
bronchodilators (LABDs) and short-acting bronchodilators (SABDs) on ppFEV1
response. The ppFEV1 decline observed at 4h was attenuated following
administration of an LABD and reversed following administration of an SABD.
Concomitant administration of LUM/IVA with bronchodilators was well tolerated.
These data show that a transient decline in ppFEV1 was observed in healthy
subjects following administration of LUM/IVA combination therapy, which can be
ameliorated with LABDs or SABDs.
PMID- 27894876
TI - Long-term functional outcomes after primary surgical repair of acute and chronic
patellar tendon rupture: Series of 25 patients.
AB - OBJECTIVE: We aimed to evaluate the clinical outcomes after surgical repair of
patellar tendon rupture (PTR) and compare the evolution of 2 types of rupture
(acute and chronic) after the same rehabilitation protocol. METHODS: This was a
prospective cohort study of patients with PTR treated between January 2006 and
January 2014 in the department of trauma surgery, Ibn Rochd university hospital,
Casablanca. RESULTS: We evaluated 25 patients (21 men) after a median follow-up
of 75 months (range 29-120). The mean age was 34.7+/-8.59 years. Overall, 17
patients had acute rupture and 8 chronic rupture. Fifteen healthy volunteers (13
men) were recruited as a control group. Mean Knee Society Score (KSS) knee score
was significantly higher after than before surgery (82.28+/-12.297 vs 20.64+/
7.6; P<0.0001) as was KSS function score (88.40+/-17.483 vs 23.40+/-8.98;
P<0.0001). Pain measured on a visual analog scale was significantly lower after
than before surgery (1.96+/-1.24 vs 6.60+/-1.26; P<0.0001). ROM and KSS knee and
function scores were significantly lower on the operated than non-operated side
after surgery. For both types of PTR, only knee extensor muscle strength was
significantly lower on the operated than non-operated side and as compared with
healthy volunteer knees. CONCLUSIONS: Surgical repair of PTR with reinforcement
and an early rehabilitation program demonstrate good results after a long follow
up. However, chronic PTR may need longer or a different rehabilitation protocol
of the knee-extensor apparatus.
PMID- 27894877
TI - Relief learning requires a coincident activation of dopamine D1 and NMDA
receptors within the nucleus accumbens.
AB - Relief learning is the association of a stimulus with the offset of an aversive
event. Later, the now conditioned relief stimulus induces appetitive-like
behavioral changes. We previously demonstrated that the NMDA receptors within the
nucleus accumbens (NAC) are involved in relief learning. The NAC is also
important for reward learning and it has been shown that reward learning is
mediated by an interaction of accumbal dopamine and NMDA glutamate receptors.
Since conditioned relief has reward-like properties, we hypothesized that (a)
acquisition of relief learning requires the activation of dopamine D1 receptors
in the NAC, and (b) if D1 receptors are involved in this process as expected, a
concurrent dopamine D1 and NMDA receptor activation may mediate this learning.
The present study tested these hypotheses. Therefore, rats received intra-NAC
injections of the dopamine D1 receptor antagonist SCH23390 and the NMDA
antagonist AP5, either separately or together, at different time points of a
relief conditioning procedure. First, we showed that SCH23390 dose-dependently
blocked acquisition and the expression of conditioned relief. Next, we
demonstrated that co-injections of SCH23390 and AP5 into the NAC, at doses that
were ineffective when applied separately, blocked acquisition but not
consolidation or expression of relief learning. Notably, neither of the
injections affected the locomotor response of the animals to the aversive stimuli
suggesting that their perception is not changed. This data indicates that a co
activation of dopamine D1 and NMDA receptors in the NAC is required for
acquisition of relief learning.
PMID- 27894879
TI - Alternating Delays Achieve Polarization Transfer (ADAPT) to heteronuclei in PHIP
experiments.
AB - A new methodology for producing hyperpolarized 13C nuclei in small organic
systems via parahydrogen induced polarization (PHIP) is proposed: ADAPT
(Alternating Delays Achieve Polarization Transfer). The theoretical foundation of
the process is investigated in some detail and experimental examples
demonstrating the viability of the approach are provided as well. The number of
adjustable parameters is fewer than most of other conversion schemes. The
achieved theoretical heteronuclear polarization is close to unity for any
examined magnetic equivalence regime. The duration of the pulse sequence, which
was successfully implemented, can be shorter than other established methods
reducing possible relaxation losses. The conversion scheme is robust to B1
inhomogeneities, but more sensitive to off-resonance RF irradiation.
PMID- 27894880
TI - Lymphoma of the eyelid.
AB - Lymphoma of the eyelid constitutes 5% of ocular adnexal lymphoma. In previously
published cases, 56% of lymphomas of the eyelid are of B-cell origin and 44% are
of T-cell origin. The most frequent B-cell lymphomas are extranodal marginal zone
lymphoma (27 cases-14%) and diffuse large B-cell lymphoma (18 cases-9%). T-cell
lymphomas are most frequently mycosis fungoides (25 cases-13%), extranodal
natural killer/T-cell, nasal-type lymphoma (12 cases-6%), and primary cutaneous
anaplastic large-cell lymphoma (12 cases-6%). This distribution differs from the
distribution of ocular adnexal lymphoma and that of cutaneous lymphoma. The
majority of subtypes occur in elderly patients, except for lymphoblastic lymphoma
of B-cell and T-cell origin and Burkitt lymphoma, which occur in children and
adolescents. Several subtypes have a male predominance, including peripheral T
cell lymphoma and Burkitt lymphoma. Only lymphomatoid papulosis has a female
predominance. Signs of B-cell and T-cell lymphomas are tumor and swelling of the
eyelid. Ulceration and erythema occur frequently among patients with T-cell
lymphoma. Radiotherapy with or without surgery is the treatment of choice for low
grade, solitary lymphomas, whereas chemotherapy with or without adjuvant
treatment is the treatment of choice for high-grade or disseminated lymphomas.
The majority of subtypes, especially low-grade subtypes, have a good prognosis
with few recurrences or progression. Some subtypes, including mycosis fungoides,
have a poorer prognosis. Extranodal natural killer/T-cell lymphoma, nasal type
has an exceedingly poor prognosis.
PMID- 27894878
TI - Functionally conserved RNA-binding and protein-protein interaction properties of
LINE-ORF1p in an ancient clade of non-LTR retrotransposons of Entamoeba
histolytica.
AB - Retrotransposons are mobile genetic elements found in most organisms. Their
origin and evolution is not very well understood. Retrotransposons that lack long
terminal repeats (non-LTR) have been classified based on their reverse
transcriptase (RT) and endonuclease sequences into groups, of which R2 is the
most ancient. Its members contain a single open reading frame (ORF) while there
are two ORFs in the other groups, of which ORF2 contains the RT and endonuclease
sequences. It is thought that ORF1 was added later to the single-ORF-containing
elements, and codes for a protein with nucleic acid binding activity. We have
examined the non-LTR retrotransposons in Entamoeba histolytica, an early
branching parasitic protist, which belongs to the R2 group. However, unlike other
members of R2, E. histolytica contains two ORFs. Here we show that EhLINE1-ORF1p
is functionally related to the ORF1p found in the non-R2 groups. Its N-terminal
region has RNA-binding activity and its C-terminal has a coiled coil domain which
participates in protein-protein interaction. It lacks sequence-specificity of RNA
binding and binds to EhLINE1-RNA fragment and ribosomal RNA with comparable
affinities. Our study suggests that ORF1p could have evolved independently to
maintain functional conservation.
PMID- 27894881
TI - Comparative study of isolation, expansion and characterization of epithelial
cells.
AB - BACKGROUND AIMS: The human epithelial cells (EPCs) have been identified as the
essential element for the regeneration of skin construct for burns, wounds and
various tissue engineer-based products. METHODS: In this study, the isolation,
expansion and characterization of EPCs from various sources such as juvenile
foreskin (JSK), buccal mucosa (BM), penile skin (PS) and urothelium (UR) in serum
free and xeno-free EpiLife media were evaluated. RESULTS: The growth kinetics
study revealed that EPCs from JSK and BM had notably higher growth rates compared
with the others. Overall, the EPCs from all sources retained basic morphological
characteristics and the functional characteristics such as Pan Cytokeratin
(AE1/AE3). In addition, the cryopreservation stability of EPCs was accessed for
post-thaw viability and found to be greater than 80% at 1 year of storage, but
demonstrated reduced cell recovery (51%) at the second year in fetal bovine serum
free cryopreservation media. CONCLUSIONS: Our result suggests that the EPCs from
four cell sources can be grown in feeder-free, serum-free and xeno-free systems
using commercially available EpiLife medium without losing epithelial cell
characteristics even after passage 4. However, its suitability for clinical
application must be accessed by preclinical and clinical studies.
PMID- 27894882
TI - Comparing biomarker responses during thermal acclimation: A lethal vs non-lethal
approach in a tropical reef clownfish.
AB - Knowledge of thermal stress biology for most tropical fish species in reef
ecosystems under climate change is still quite limited. Thus, the objective of
this study was to measure the time-course changes of thermal stress biomarkers in
the commercially exploited coral reef fish Amphiprion ocellaris, during a
laboratory simulated event of increased temperature. Heat shock protein 70kDa
(Hsp70) and total ubiquitin (Ub) were determined in the muscle (lethal method)
and in the fin (non-lethal alternative method) under two temperature treatments
(control - 26 degrees C and elevated temperature - 30 degrees C) throughout one
month with weekly samplings. Results suggest that biomarker basal levels are
tissue-specific and influence the degree of response under temperature exposure.
Responses were highly inducible in the muscle but not in fin tissue, indicating
that the latter is not reliable for monitoring purposes. Thermal stress was
observed in the muscle after one week of exposure (both biomarkers increased
significantly) and Ub levels then decreased, suggesting the animals were able to
acclimate by maintaining high levels of Hsp70 and through an effective protein
turnover. In addition, the results show that mortality rates did not differ
between treatments. This indicates that A. ocellaris is capable of displaying a
plastic response to elevated temperature by adjusting the protein quality control
system to protect cell functions, without decreasing survival. Thus, this coral
reef fish species presents a significant acclimation potential under ocean
warming scenarios of +4 degrees C. Monitoring of thermal stress through a non
lethal method, fin-clipping, although desirable proved to be inadequate for this
species.
PMID- 27894883
TI - Incubation relative humidity induces renal morphological and physiological
remodeling in the embryo of the chicken (Gallus gallus domesticus).
AB - The metanephric kidneys of the chicken embryo, along with the chorioallantoic
membrane, process water and ions to maintain osmoregulatory homeostasis. We
hypothesized that changes in relative humidity (RH) and thus osmotic conditions
during embryogenesis would alter the developmental trajectory of embryonic kidney
function. White leghorn chicken eggs were incubated at one of 25-30% relative
humidity, 55-60% relative humidity, and 85-90% relative humidity. Embryos were
sampled at days 10, 12, 14, 16, and 18 to examine embryo and kidney mass,
glomerular characteristics, body fluid osmolalities, hematological properties,
and whole embryo oxygen consumption. Low and especially high RH elevated
mortality, which was reflected in a 10-20% lower embryo mass on D18. Low RH
altered several glomerular characteristics by day 18, including increased numbers
of glomeruli per kidney, increased glomerular perfusion, and increased total
glomerular volume, all indicating potentially increased functional kidney
capacity. Hematological variables and plasma and amniotic fluid osmolalities
remained within normal physiological values. However, the allantoic, amniotic and
cloacal fluids had a significant increase in osmolality at most developmental
points sampled. Embryonic oxygen consumption increased relative to control at
both low and high relative humidities on Day 18, reflecting the increased
metabolic costs of osmotic stress. Major differences in both renal structure and
performance associated with changes in incubation humidity occurred after
establishment of the metanephric kidney and persisted into late development, and
likely into the postnatal period. These data indicate that the avian embryo
deserves to be further investigated as a promising model for fetal programming of
osmoregulatory function, and renal remodeling during osmotic stress.
PMID- 27894884
TI - Modulation of the heat shock response is associated with acclimation to novel
temperatures but not adaptation to climatic variation in the ants Aphaenogaster
picea and A. rudis.
AB - Ecological diversification into thermally divergent habitats can push species
toward their physiological limits, requiring them to accommodate temperature
extremes through plastic or evolutionary changes that increase persistence under
the local thermal regime. One way to withstand thermal stress is to increase
production of heat shock proteins, either by maintaining higher baseline
abundance within cells or by increasing the magnitude of induction in response to
heat stress. We evaluated whether environmental variation was associated with
expression of three heat shock protein genes in two closely-related species of
woodland ant, Aphaenogaster picea and A. rudis. We compared adult workers from
colonies collected from 25 sites across their geographic ranges. Colonies were
maintained at two different laboratory temperatures, and tested for the
independent effects of environment, phylogeny, and acclimation temperature on
baseline and heat-induced gene expression. The annual maximum temperature at each
collection site (Tmax) was not a significant predictor of either baseline
expression or magnitude of induction of any of the heat shock protein genes
tested. A phylogenetic effect was detected only for basal expression of Hsp40,
which was lower in the most southern populations of A. rudis and higher in a mid
range population of possible hybrid ancestry. In contrast, a higher acclimation
temperature significantly increased baseline expression of Hsc70-4, and increased
induction of Hsp40 and Hsp83. Thus, physiological acclimation to temperature
variation appears to involve modulation of the heat shock response, whereas other
mechanisms are likely to be responsible for evolutionary shifts in thermal
performance associated with large-scale climate gradients.
PMID- 27894885
TI - Metabolic rates are elevated and influenced by maternal identity during the
early, yolk-dependent, post-hatching period in an estuarine turtle, the
diamondback terrapin (Malaclemys terrapin).
AB - Non-genetic maternal effects, operating through a female's physiology or
behavior, can influence offspring traits and performance. Here we examined
potential maternal influences on metabolic rates (MR) of offspring in an
estuarine turtle, the diamondback terrapin (Malaclemys terrapin). Females and
their eggs were collected from the field and the eggs incubated in the laboratory
for subsequent measurement of MR of females, late-stage embryos, newly-hatched
individuals that were nutritionally dependent on yolk, and older hatchlings that
had depleted their yolk reserves and thus were independent of energetic
contributions from the female. Female identity significantly affected MR of yolk
dependent hatchlings but, after yolk was depleted, MR of offspring converged and
no longer reflected the maternal influence. Offspring from different females also
differed in size, which influenced offspring MR and growth, but there was no
correlation between female MR or size and offspring traits. MR of the older, yolk
independent hatchlings was lower overall than yolk-dependent hatchlings but
correlated positively with growth rates and prior developmental rate (e.g.
negatively correlated with time to hatching). Unlike another turtle species
(snapping turtles), in which maternally-related differences in offspring MR were
retained after yolk depletion, the maternal influence on offspring MR in
diamondback terrapins is limited to early hatchling development and growth. The
transient nature of the maternal effect, which was present only during the period
that hatchlings were metabolizing yolk, suggests that variation among females in
the composition of yolk deposited in eggs could be responsible for the
differences observed in this study.
PMID- 27894886
TI - Utility of Urgent Computed Tomography Angiography in the Setting of
Intraparenchymal Brain Hemorrhage.
AB - BACKGROUND AND PURPOSE: Patients presenting with an intracerebral hemorrhage
(ICH) generally have an initial noncontrast computed tomography (NCCT) of the
brain. Computed tomography angiography (CTA) can help identify secondary causes
of ICH and detect a "spot sign." We hypothesized that performing an urgent CTA in
the setting of a presumed primary ICH has only limited utility and did not alter
urgent management. METHODS: This was a retrospective study of consecutive
patients presenting with a primary ICH identified from the Duke University Stroke
Registry from 2010 to 2013 who had an ICH detected on an initial NCCT. Patients
with hemorrhages related to tumor, hemorrhagic conversion of an ischemic stroke,
and known secondary causes were excluded. CTA within the first 10 hours of
presentation was considered "urgent." RESULTS: Of 246 patients meeting the
inclusion criteria, 53% had an urgent CTA. Those who underwent a CTA were younger
(61 +/- 1 versus 70 +/- 1 years, P < .0001) and more commonly had deep bleeds
(50% versus 45%, P = .048). CTA identified 12 aneurysms (10 incidental) and 2
arteriovenous malformations; 87% were normal. Urgent CTA was associated with a
change in management in 3 cases (2.2%); each had historic or other findings
suggestive of a secondary cause of hemorrhage and none led to urgent treatment
changes. CONCLUSIONS: In the absence of features suggesting a secondary cause,
the results of an urgent CTA did not alter the urgent management of a consecutive
series of patients with ICH. CTAs may be safely delayed until after the acute
period in these patients.
PMID- 27894887
TI - Ultrasound Tissue Pulsatility Imaging Suggests Impairment in Global Brain
Pulsatility and Small Vessels in Elderly Patients with Orthostatic Hypotension.
AB - BACKGROUND: Orthostatic hypotension (OH) is highly prevalent in the elderly, and
this population can be exposed to serious complications, including falls and
cognitive disorders, as well as overall mortality. However, the pathophysiology
of OH is still poorly understood, and innovative methods of cerebral blood flow
(CBF) assessment have been required to accurately investigate cerebrovascular
reactivity in OH. OBJECTIVES: We want to compare brain tissue pulsatility (BTP)
changes during an orthostatic challenge in elderly patients over 80 with and
without OH. MATERIALS AND METHODS: Forty-two subjects aged 80 and over were
recruited from the geriatric unit of the Hospital of Tours, France, and were
divided into two groups according to the result of an orthostatic challenge. The
noninclusion criteria were any general unstable medical condition incompatible
with orthostatic challenge, having no temporal acoustic window, severe cognitive
impairment (Mini Mental Status Examination <15), history of stroke, and legal
guardianship. We used the novel and highly sensitive ultrasound technique of
tissue pulsatility imaging to measure BTP changes in elderly patients with (n =
22) and without OH (n = 17) during an orthostatic challenge. RESULTS: We found
that the mean brain tissue pulsatility related to global intracranial
pulsatility, but not maximum brain tissue pulsatility related to large arteries
pulsatility, decreased significantly in OH patients, with a delay compared with
the immediate drop in peripheral blood pressure. CONCLUSION: Global pulsatile CBF
changes and small vessels pulsatility, rather than changes in only large
arteries, may be key mechanisms in OH to account for the links between OH and
cerebrovascular disorders.
PMID- 27894888
TI - Excessive Premature Atrial Complexes and the Risk of Recurrent Stroke or Death in
an Ischemic Stroke Population.
AB - BACKGROUND: Our aim was to investigate the association of premature atrial
complexes and the risk of recurrent stroke or death in patients with ischemic
stroke in sinus rhythm. METHODS: In a prospective cohort study, we used 24-hour
Holter recordings to evaluate premature atrial complexes in patients
consecutively admitted with ischemic strokes. Excessive premature atrial
complexes were defined as >14 premature atrial complexes per hour and 3 or more
runs of premature atrial complexes per 24 hours. During follow-up, 48-hour Holter
recordings were performed after 6 and 12 months. Among patients in sinus rhythm,
the association of excessive premature atrial complexes and the primary end point
of recurrent stroke or death were estimated in both crude and adjusted Cox
proportional hazards models. We further evaluated excessive premature atrial
complexes contra atrial fibrillation in relation to the primary end point.
RESULTS: Of the 256 patients included, 89 had atrial fibrillation. Of the
patients in sinus rhythm (n = 167), 31 had excessive premature atrial complexes.
During a median follow-up of 32 months, 50 patients (30% of patients in sinus
rhythm) had recurrent strokes (n = 20) or died (n = 30). In both crude and
adjusted models, excessive premature atrial complexes were associated with the
primary end point, but not with newly diagnosed atrial fibrillation. Compared
with patients in atrial fibrillation, those with excessive premature atrial
complexes had similarly high risks of the primary end point. CONCLUSIONS: In
patients with ischemic stroke and sinus rhythm, excessive premature atrial
complexes were associated with a higher risk of recurrent stroke or death.
PMID- 27894891
TI - Source analysis of auditory steady-state responses in acoustic and electric
hearing.
AB - Speech is a complex signal containing a broad variety of acoustic information.
For accurate speech reception, the listener must perceive modulations over a
range of envelope frequencies. Perception of these modulations is particularly
important for cochlear implant (CI) users, as all commercial devices use envelope
coding strategies. Prolonged deafness affects the auditory pathway. However,
little is known of how cochlear implantation affects the neural processing of
modulated stimuli. This study investigates and contrasts the neural processing of
envelope rate modulated signals in acoustic and CI listeners. Auditory steady
state responses (ASSRs) are used to study the neural processing of amplitude
modulated (AM) signals. A beamforming technique is applied to determine the
increase in neural activity relative to a control condition, with particular
attention paid to defining the accuracy and precision of this technique relative
to other tomographies. In a cohort of 44 acoustic listeners, the location,
activity and hemispheric lateralisation of ASSRs is characterised while
systematically varying the modulation rate (4, 10, 20, 40 and 80Hz) and
stimulation ear (right, left and bilateral). We demonstrate a complex pattern of
laterality depending on both modulation rate and stimulation ear that is
consistent with, and extends, existing literature. We present a novel extension
to the beamforming method which facilitates source analysis of electrically
evoked auditory steady-state responses (EASSRs). In a cohort of 5 right implanted
unilateral CI users, the neural activity is determined for the 40Hz rate and
compared to the acoustic cohort. Results indicate that CI users activate typical
thalamic locations for 40Hz stimuli. However, complementary to studies of
transient stimuli, the CI population has atypical hemispheric laterality,
preferentially activating the contralateral hemisphere.
PMID- 27894890
TI - Convergent individual differences in visual cortices, but not the amygdala across
standard amygdalar fMRI probe tasks.
AB - : The amygdala (AMG) has been repeatedly implicated in the processing of
threatening and negatively valenced stimuli and multiple fMRI paradigms have
reported personality, genetic, and psychopathological associations with
individual differences in AMG activation in these paradigms. Yet the
interchangeability of activations in these probes has not been established, thus
it remains unclear if we can interpret AMG responses on specific tasks as general
markers of its reactivity. In this study we aimed to assess if different tasks
that have been widely used within the Affective Neuroscience literature
consistently recruit the AMG. METHOD: Thirty-two young healthy subjects completed
four fMRI tasks that have all been previously shown to probe the AMG during
processing of threatening stimuli: the Threat Face Matching (TFM), the Cued
Aversive Picture (CAP), the Aversive and Erotica Pictures (AEP) and the Screaming
Lady paradigm (SLp) tasks. Contrasts testing response to aversive stimuli
relative to baseline or neutral stimuli were generated and correlations between
activations in the AMG were calculated across tasks were performed for ROIs of
the AMG. RESULTS: The TFM, CAP and AEP, but not the SLp, successfully recruit the
AMG, among other brain regions, especially when contrasts were against baseline
or nonsocial stimuli. Conjunction analysis across contrasts showed that visual
cortices (VisCtx) were also consistently recruited. Correlation analysis between
the extracted data for right and left AMG did not yield significant associations
across tasks. By contrast, the extracted signal in VisCtx showed significant
associations across tasks (range r=0.511-r=0.630). CONCLUSIONS: Three of the four
paradigms revealed significant AMG reactivity, but individual differences in the
magnitudes of AMG reactivity were not correlated across paradigms. By contrast,
VisCtx activation appears to be a better candidate than the AMG as a measure of
individual differences with convergent validity across negative emotion
processing paradigms.
PMID- 27894892
TI - Longitudinal functional brain imaging study in early course schizophrenia before
and after cognitive enhancement therapy.
AB - OBJECTIVE: Schizophrenia is characterized by impaired -social and non social
cognition both of which lead to functional deficits. These deficits may benefit
from cognitive remediation, but the neural underpinnings of such improvements
have not been clearly delineated. METHODS: We conducted a functional magnetic
resonance (fMRI) study in early course schizophrenia patients randomly assigned
to cognitive enhancement therapy (CET) or enriched supportive therapy (EST) and
treated for two years. Imaging data over three time points including fMRI blood
oxygen level dependent (BOLD) data were acquired during performance of a
cognitive control paradigm, the Preparing to Overcome Prepotency (POP) task, and
functional connectivity data, were analyzed. RESULTS: During the two years of
treatment, CET patients showed a continual increase in BOLD activity in the right
dorsolateral prefrontal cortex (DLPFC), whereas EST patients tended to show no
change in prefrontal brain function throughout treatment. Increases in right
DLPFC activity were modestly associated with improved neurocognition (beta = .14,
p = .041), but not social cognition. Functional connectivity analyses showed
reduced connectivity between the DLPFC and the anterior cingulate cortex (ACC) in
CET compared to EST over the two years of treatment, which was associated with
neurocognitive improvement. CONCLUSIONS: These findings suggest that CET leads to
enhanced neural activity in brain regions mediating cognitive control and
increased efficiency in prefrontal circuits; such changes may be related to the
observed therapeutic effects of CET on neurocognitive function.
PMID- 27894889
TI - Tradeoffs in pushing the spatial resolution of fMRI for the 7T Human Connectome
Project.
AB - Whole-brain functional magnetic resonance imaging (fMRI), in conjunction with
multiband acceleration, has played an important role in mapping the functional
connectivity throughout the entire brain with both high temporal and spatial
resolution. Ultrahigh magnetic field strengths (7T and above) allow functional
imaging with even higher functional contrast-to-noise ratios for improved spatial
resolution and specificity compared to traditional field strengths (1.5T and 3T).
High-resolution 7T fMRI, however, has primarily been constrained to smaller brain
regions given the amount of time it takes to acquire the number of slices
necessary for high resolution whole brain imaging. Here we evaluate a range of
whole-brain high-resolution resting state fMRI protocols (0.9, 1.25, 1.5, 1.6 and
2mm isotropic voxels) at 7T, obtained with both in-plane and slice acceleration
parallel imaging techniques to maintain the temporal resolution and brain
coverage typically acquired at 3T. Using the processing pipeline developed by the
Human Connectome Project, we demonstrate that high resolution images acquired at
7T provide increased functional contrast to noise ratios with significantly less
partial volume effects and more distinct spatial features, potentially allowing
for robust individual subject parcellations and descriptions of fine-scaled
patterns, such as visuotopic organization.
PMID- 27894893
TI - The blood brain barrier in Alzheimer's disease.
AB - Alzheimer's disease (AD) is the most common form of dementia, affecting millions
of people worldwide. One of the prominent causative factors of AD pathogenesis is
cerebral vascular dysfunction, which results in diminished cerebral perfusion.
Moreover, due to the loss of the protective function of the blood-brain barrier
(BBB), impaired clearance of excess neurotoxic amyloid beta (Abeta) occurs,
causing vascular perturbation and diminished cognitive functioning. The
relationship between the prevalence of AD and vascular risk factors is complex
and not fully understood. In this review we illustrate the vascular risk factors,
their effects on BBB function and their contributions to the onset of AD.
Additionally, we discuss the underlying factors that may lead to altered
neurovascular function and/or cerebral hypoperfusion in AD.
PMID- 27894894
TI - Junctional zone thickness in young nulliparous women according to menstrual cycle
and hormonal contraception use.
AB - This prospective study aims to determine the optimal menstrual phase and uterine
location to detect the thickest junctional zone by magnetic resonance imaging
(MRI). Healthy nulliparous women were subdivided according to their use of
hormonal contraception. Each women was investigated three times during their
menstrual cycle. Eighteen nulliparous non-users and 29 nulliparous users of
hormonal contraception (mean age 26.4 and 25.8 years, respectively) underwent a
pelvic MRI (1.5T) examination during the follicular, ovulatory and luteal phase.
The junctional zone thickness was measured at six locations in the uterine wall.
A significantly thinner junctional zone was observed at the anterior and
posterior wall of the midcorpus (P = 0.01 and P = 0.004 respectively) and fundus
(P = 0.009 and P = 0.023 respectively), in the contraception users compared with
the non-users. No differences in junctional zone thickness were noticed between
the menstrual phases and the uterine wall locations. The ratio of junctional zone
versus total myometrial thickness was also different between both groups and
between the assessed uterine locations. To conclude, any phase in the menstrual
cycle and location within the uterine wall was validated to determine the
junctional zone thickness on MRI, although the fundal location is preferred.
PMID- 27894895
TI - Molecular characterization and expression analysis of olive flounder
(Paralichthys olivaceus) phospholipase C gamma 1 and gamma 2.
AB - Phospholipase C gamma 1 and gamma 2 (PLCG1 and PLCG2) are influential in
modulating Ca2+ and diacylglycerol, second messengers involved in tyrosine kinase
dependent signaling, including growth factor activation. Here, we used RACE
(rapid amplification of cDNA ends) to clone cDNA encoding PLCG1 (PoPLCG1) and
PLCG2 (PoPLCG2) in the olive flounder (Paralichthys olivaceus). The respective
1313 and 1249 amino acid sequences share high identity with human PLCG1 and
PLCG2, and contain the following domains: pleckstrin homology (PH), EF-hand,
catalytic X and Y, Src homology 2 (SH2), Src homology 3 (SH3), and C2. Phylogenic
analysis and sequence comparison of PoPLCG1 and PoPLCG2 with other PLC isozymes
showed a close relationship between the two PLCGs, supported by structural
analysis. In addition, tissue expression analysis showed that PoPLCG1 was
expressed predominantly in the brain, eye, and heart, whereas PoPLCG2 was
expressed principally in gills, esophagus, spleen, and kidney. Following
stimulation with LPS and Poly I:C, PoPLCG expression was compared with the
expression of inflammatory cytokines IL-1beta, IL-6, and TNF-alpha via reverse
transcription-PCR and real-time quantitative PCR. Our results suggest that PoPLCG
isozymes perform a critical immune function in olive flounder, being active in
pathogen resistance and the inflammation process.
PMID- 27894896
TI - Systematic Review and Meta-analysis of Dual Versus Single Antiplatelet Therapy in
Carotid Interventions.
AB - BACKGROUND: The importance of antiplatelet therapy for the management and
prevention of ischaemic stroke cannot be overstated. Despite the established
guidelines, there is no clear consensus on how to manage antiplatelet therapy
during and after carotid interventions. OBJECTIVE: The objective was to undertake
a systematic literature review and perform a meta-analysis to assess the effects
of dual antiplatelet therapy in carotid endarterectomy (CEA) and stenting (CAS).
DATA SOURCES: Electronic information sources (MEDLINE, EMBASE, CINAHL, CENTRAL)
and bibliographic reference lists were searched to identify randomised controlled
trials (RCTs) and observational studies reporting comparative outcomes of dual
versus single antiplatelet therapy in CEA and CAS. METHODS: Primary outcomes were
mortality and stroke within 30 days of intervention. Secondary outcomes were
transient ischaemic attack (TIA), major bleeding, groin or neck haematoma, and
myocardial infarction (MI). Dichotomous outcome measures were reported using the
risk difference (RD) and 95% confidence interval (CI). Combined overall treatment
effects were calculated using fixed-effect or random-effects models. RESULTS:
Three RCTs and seven observational studies were identified reporting a total of
36,881 CEAs and 150 CAS procedures. In CEA, there were no differences in
stroke/TIA/death between single and dual antiplatelet therapy, but there was a
significant risk of major bleeding (RD, 0.00; 95% CI, 0.00-0.01; p = .0003) and
neck haematoma with dual therapy (RD, 0.04; 95% CI, 0.01-0.06; p = .001). In
addition, the rate of MI was higher in the dual therapy group than the single
therapy group (RD, 0.00; 95% CI, 0.00-0.01; p = .003). In CAS, there was no
difference in major bleeding or haematoma formation, but a significant difference
in TIA in favour of dual therapy was identified (RD -0.13, 95% CI, -0.22 to
0.05; p = .003). CONCLUSIONS: Dual antiplatelet therapy demonstrates advantages
over single therapy only in CAS, as indicated by a reduced risk of TIA. Dual
antiplatelet therapy was associated with an increased risk of bleeding
complications in patients undergoing CEA.
PMID- 27894897
TI - The Long-term Durability of Intra-operatively Placed Palmaz Stents for the
Treatment of Type Ia Endoleaks After EVAR of Abdominal Aortic Aneurysm.
AB - OBJECTIVE/BACKGROUND: The objective was to analyze the long-term durability of
intra-operatively placed Palmaz stents for type Ia endoleaks, and the evolution
of aneurysm neck morphology. METHODS: This was a retrospective cohort study
conducted at a tertiary referral centre. Patients treated between 1998 and 2012
were reviewed with regard to pre-, intra-, and post-operative data. Crude and
relative survival estimates were calculated, with the latter referring only to
patients with >= 3 months' follow-up. RESULTS: In total, 125 patients were
included (83 elective, 22 ruptures, 20 symptomatic). Nine patients died
perioperatively (two elective, seven acute). Median follow-up was 43 months
(range 15-72). Seven patients had late abdominal aortic aneurysm related deaths.
There were 51 re-interventions (seven type Ia endoleak related). Five year crude
primary, primary assisted, and secondary success rates were 55 +/- 5%, 66 +/- 5%,
and 70 +/- 5%, respectively. These crude rates were superior for elective
patients (p = .008, p = .031, and p = .037, respectively), but the relative rates
were not (p = .187, p = .640, p = .558, respectively). Primary and assisted
freedom from type Ia endoleak 5 years post-operatively were 84 +/- 4% and 89 +/-
3%, respectively. These rates were superior in elective patients (p = .066 and p
= .145, respectively), especially when relative rates were analysed (p = .025 and
p = .063, respectively). The visceral aortic diameter increased significantly
between the first and the last post-operative imaging in 15/91 (16%), 12/91
(13%), 34/91 (37%), and 30/91 (33%) patients at the levels of coeliac trunk,
superior mesenteric artery, lowest renal artery, and 9 mm distal to lowest renal
artery, respectively. CONCLUSION: Intra-operatively placed Palmaz stents confer
high long-term freedom from type Ia endoleak. Palmaz stents are an acceptable
intra-operative bailout tool in the acute setting, but should not be used to
extend elective infrarenal endovascular aneurysm repair to more demanding
anatomies.
PMID- 27894898
TI - Inflammatory Changes of the Thoraco-abdominal Aorta.
PMID- 27894900
TI - Neuroimaging somatosensory perception and masking.
AB - The specific cortical and subcortical regions involved in conscious perception
and masking are uncertain. This study sought to identify brain areas involved in
conscious perception of somatosensory stimuli during a masking task using
functional magnetic resonance (fMRI) to contrast perceived vs. non-perceived
targets. Electrical trains were delivered to the right index finger for targets
and to the left index finger for masks. Target intensities were adjusted to
compensate for threshold drift. Sham target trials were given in ~10% of the
trials, and target stimuli without masks were delivered in one of the five runs
(68 trials/run). When healthy dextral adult volunteers (n=15) perceived right
hand targets, greater left- than right-cerebral activations were seen with
similar patterns across the parietal cortex, thalamus, insula, claustrum, and
midbrain. When targets were not perceived, left/right cerebral activations were
similar overall. Directly comparing perceived vs. non-perceived stimuli with
similar intensities in the masking task revealed predominate activations
contralateral to masks. In contrast, activations were greater contralateral to
perceived targets if no masks were given or if masks were given but target
stimulus intensities were greater for perceived than non-perceived targets. The
novel aspects of this study include: 1) imaging of cortical and subcortical
activations in healthy humans related to somatosensory perception during a
masking task, 2) activations in the human thalamus and midbrain related to
perception of stimuli compared to matched non-perceived stimuli, and 3) similar
left/right cerebral activation patterns across cortical, thalamic and midbrain
structures suggesting interactions across all three levels during conscious
perception in humans.
PMID- 27894899
TI - Dietary flax seed oil and/or Vitamin E improve sperm parameters of cloned goats
following freezing-thawing.
AB - Semen cryopreservation is affected by individual differences and use of clones
animal from the same source is the main tool to eliminate genetic variation.
Among many nutrients that are necessary for fertility, essential fatty acids and
antioxidants are vital for production of healthy sperm by improving sperm
membrane integrity and protecting sperm from oxidative stress. The goal of the
current study was to investigate whether a flax seed oil or/and Vitamin E dietary
supplementation could improve semen quality of cloned bucks following semen
cryopreservation. Accordingly, eight adult cloned Bakhtiari bucks were divided
randomly into four groups. Bucks were offered a base diet of hay and concentrate.
The concentrate was enriched with flax seed oil, 30 gr/kg body weight/day (OIL),
Vitamin E (VIT), 3 gr/kg body weight/day, or combined flax seed oil and the
vitamin E (OIL-VIT). The concentrate with no supplements was considered as
control group (CONT). Both flax seed oil and Vitamin E supplements were added to
the total diet. The bucks were fed with their corresponding diets for a total of
9 weeks while sperm collection was carried out within 10-14 weeks. Ejaculates
were diluted with Andromed(r) and were frozen in liquid nitrogen. Sperm
parameters and reactive oxygen species (ROS) contents were evaluated following
freezing/thawing. According to the results of our study, dietary supplementation
with flax seed oil, or/and Vitamin E can improve sperm motility, vitality and
number of sperm with intact plasma membrane following freezing-thawing. But the
degree of improvement in these parameters was significantly higher when Flax seed
oil and vitamin E were co-supplemented.
PMID- 27894901
TI - Bilingualism yields language-specific plasticity in left hemisphere's circuitry
for learning to read in young children.
AB - How does bilingual exposure impact children's neural circuitry for learning to
read? Theories of bilingualism suggests that exposure to two languages may yield
a functional and neuroanatomical adaptation to support the learning of two
languages (Klein et al., 2014). To test the hypothesis that this neural
adaptation may vary as a function of structural and orthographic characteristics
of bilinguals' two languages, we compared Spanish-English and French-English
bilingual children, and English monolingual children, using functional Near
Infrared Spectroscopy neuroimaging (fNIRS, ages 6-10, N =26). Spanish offers
consistent sound-to-print correspondences ("phonologically transparent" or
"shallow"); such correspondences are more opaque in French and even more opaque
in English (which has both transparent and "phonologically opaque" or "deep"
correspondences). Consistent with our hypothesis, both French- and Spanish
English bilinguals showed hyperactivation in left posterior temporal regions
associated with direct sound-to-print phonological analyses and hypoactivation in
left frontal regions associated with assembled phonology analyses. Spanish, but
not French, bilinguals showed a similar effect when reading Irregular words. The
findings inform theories of bilingual and cross-linguistic literacy acquisition
by suggesting that structural characteristics of bilinguals' two languages and
their orthographies have a significant impact on children's neuro-cognitive
architecture for learning to read.
PMID- 27894902
TI - The feasibility of Forward-projected model-based Iterative Reconstruction
SoluTion (FIRST) for coronary 320-row computed tomography angiography: A pilot
study.
AB - BACKGROUND: We aimed to assess and compare the influence of Forward projected
model-based Iterative Reconstruction SoluTion (FIRST), a recently introduced full
iterative reconstruction method, on radiation doses and image quality with that
of Adaptive Iterative Dose Reduction (AIDR) 3D for 320-row cardiac computed
tomography (CT). METHODS: A total of 184 patients subjected to single-beat
reconstruction cardiac CT were retrospectively included. The first 89 patients
received standard radiation doses; their data were reconstructed using AIDR 3D,
whereas the last 95 patients received in average 20% reduction in tube current;
their data were reconstructed using FIRST. Subjective image quality (blooming,
image sharpness, image noise, and overall subjective image quality) were graded
using 3-, 5-, 4-, and 4-point scales (0-2, 1-5, 1-4, and 1-4), respectively; for
all items, the highest score indicated excellent image quality. Image noise and
signal-to-noise ratios at proximal segments were analyzed as objective measures
of image quality. RESULTS: FIRST reconstruction allowed 28% dose reduction
compared with AIDR 3D (1.88 vs. 2.61 mSv, p = 0.012) and yielded better
subjective image quality in terms of blooming, image sharpness, image noise, and
overall image quality (1.10 vs. 1.01, p = 0.0007; 3.02 vs. 2.74, p < 0.0001; 3.61
vs. 3.17, p < 0.0001; and 3.30 vs. 2.98, p < 0.0001, respectively). Although no
significant difference was observed in image noise, the signal-to-noise ratio was
significantly higher with FIRST (18.4 vs. 16.6, p = 0.0066). CONCLUSIONS: FIRST
allowed 28% dose reduction while improving image quality.
PMID- 27894903
TI - Structural basis of accurate replication beyond a bulky major benzo[a]pyrene
adduct by human DNA polymerase kappa.
AB - Human Y-family DNA polymerase kappa (polkappa) is specialized to bypass bulky
lesions in DNA in an error-free way, thus protecting cells from carcinogenic
bulky DNA adducts. Benzo[a]pyrene (BP) is one of the most ubiquitous polycyclic
aromatic hydrocarbons and an environmental carcinogen. BP covalently modifies DNA
and generates mutagenic, bulky adducts. The major BP adduct formed in cells is
10S (+)-trans-anti-BP-N2-dG adduct (BP-dG), which is associated with cancer. The
molecular mechanism of how polkappa replicates BP-dG accurately is not clear.
Here we report the structure of polkappa captured at the lesion-extension stage:
the enzyme is extending the primer strand after the base pair containing the BP
dG adduct in the template strand at the -1 position. Polkappa accommodates the BP
adduct in the nascent DNA's minor groove and keeps the adducted DNA helix in a B
form. Two water molecules cover the edge of the minor groove of the replicating
base pair (0 position), which is secured by the BP ring in the -1 position in a
5' orientation. The 5' oriented BP adduct keeps correct Watson-Crick base pairing
in the active site and promotes high fidelity replication. Our structural and
biochemical data reveal a unique molecular basis for accurate DNA replication
right after the bulky lesion BP-dG.
PMID- 27894904
TI - From niche to stigma-Headshops to prison: Exploring the rise and fall of
synthetic cannabinoid use among young adults.
AB - The aim of this paper will be to consider the rise and subsequent fall in NPS use
at national and local level with a focus on synthetic cannabinoid products in
Kent. We will examine the local practice and policy responses by Kent's Young
Persons' Drug and Alcohol Service towards a possible change in patterns of NPS
drug consumption. The county has seen an expansion in the number of Headshops and
we present local media coverage on NPS, and the Trading Standards and Kent Police
intervention Operation Lantern to regulate Headshops. Through quantitative and
qualitative data sets on socially vulnerable young people and prison populations
we explore young adults' perception of pleasure and harm in the use of NPS.
Emergent data suggests young adults are now assessing the differences between NPS
and more traditional illicit drugs, with this impacting on decision-making about
the substances being used. When 'legal highs' first appeared they were associated
with a more niche middle class demographic, 'psychonauts' and experimental users
interested in pursuing recreational drug diversity. We examine macro and micro
data to suggest that populations most likely to become involved in NPS use are
those with degrees of stigma linked to socially vulnerable young adults
suggesting that Spice is no longer a feature of middle class recreational drug
use.
PMID- 27894905
TI - Racemic alkaloids from the fungus Ganoderma cochlear.
AB - Seven pairs of new alkaloid enantiomers, ganocochlearines C-I (1, 3-8), and three
pairs of known alkaloids were isolated from the fruiting bodies of Ganoderma
cochlear. The chemical structures of new compounds were elucidated on the basis
of 1D and 2D NMR data. The absolute configurations of compounds 1, 3-10 were
assigned by ECD calculations. Biological activities of these isolates against
renal fibrosis were accessed in rat normal or diseased renal interstitial
fibroblast cells. Importantly, the plausible biosynthetic pathway for this class
of alkaloids was originally proposed.
PMID- 27894906
TI - Relationship between NR1I2 polymorphisms and inflammatory bowel disease risk: A
systematic review and meta-analysis.
AB - BACKGROUND AND OBJECTIVE: Inconsistent results regarding an association between
polymorphisms within the Homo sapiens nuclear receptor subfamily 1 group I member
2 (NR1I2) gene and susceptibility to inflammatory bowel disease (IBD) have been
reported. A systematic review and meta-analysis was thus undertaken to determine
whether NR1I2 gene polymorphisms are associated with an increased risk of IBD.
METHODS: Article retrieval was performed using on-line databases, such as PubMed,
Embase, CENTRAL, and WOS. After extracting eligible data, Mantel-Haenszel
statistics were applied to calculate the odds radio (OR), 95% confidence interval
(95% CI) and P value under a random or fixed-effects model. RESULTS: A total of
seven articles with 4410 IBD subjects and 4028 controls were included. Compared
with the control group, no significant increase in IBD susceptibility was
observed for the -25385C/T (OR=0.92, 95% CI=0.78~1.07, P=0.259), -24381A/C
(OR=0.96, 95% CI=0.87~1.06, P=0.378), +8055C/T (OR=1.06, 95% CI=0.97~1.15,
P=0.186), or +7635A/G (OR=0.96, 95% CI=0.87~1.05, P=0.348) polymorphisms within
the NR1I2 gene under the allele model. CONCLUSIONS: Our meta-analysis failed to
demonstrate an association between -25385C/T, -24381A/C, +8055C/T, or +7635A/G
polymorphisms within the NR1I2 gene and overall IBD risk. A larger sample size is
needed to validate our conclusion.
PMID- 27894908
TI - Implication of artemisinin nematocidal activity on experimental trichinellosis:
In vitro and in vivo studies.
AB - Benzimidazole drugs are used for treatment of trichinellosis, but they have a
limited effect against encapsulated larval stages of Trichinella spiralis. Hence,
there is a considerable interest in developing new anthelmintic drugs. Our aim is
to investigate the possible effect of artemisinin on T. spiralis in in vitro and
in vivo studies. T. spiralis worms were isolated from infected mice and
transferred to 3 culture media; group I: with no drugs, group II: contained
artemisinin and group III: contained mebendazole, then they were subjected to
electron microscopic study. An in vivo study was done where mice were divided
into three groups; group I: infected and untreated, group II: received
artemisinin and group III: received mebendazole. The efficacy of treatment was
assessed by adult and total larval counts, histopathological study of the small
intestinal and muscle tissues and immunohistochemical staining of cyclooxygenase
2 (COX-2) and vascular endothelial growth factor (VEGF) in muscles. Adult worm
teguments showed significant degeneration and destruction with both drugs. Also,
significant reduction of total adult and larval counts occurred in treated groups
in comparison to the control group. Histopathological examination of the small
intestine and muscles showed marked improvement with reduction in the
inflammatory infiltrates with both drugs. COX-2 and VEGF expressions were reduced
in both treated groups with more reduction in the artemisinin-treated group. This
study revealed that artemisinin has the potential to be an alternative drug
against trichinellosis.
PMID- 27894907
TI - Seroprevalence and spatial distribution of Toxoplasma gondii infection in cats,
dogs, pigs and equines of the Fernando de Noronha Island, Brazil.
AB - Little is known about toxoplasmosis in animals of the Fernando de Noronha Island,
Brazil. Therefore, we investigated the prevalence of Toxoplasma gondii infection
in the total population of pet cats (n=348), dogs (n=320), pigs (n=27), equines
(n=101), as well as a significant portion of the population of feral cats (n=247)
of the Island by Indirect Fluorescent Antibody Test. Anti-T. gondii IgG
antibodies were found in 71.26%, 54.74%, 48.75%, 51.85% and 22.7%, of the pet and
feral cats, dogs, pigs and equines, respectively, demonstrating a high prevalence
of T. gondii infection in the wild and domestic animals of the Island. The Kernel
intensity estimator showed a correlation between areas with high prevalence of
infection in cats and occurrence of infection in the other studied species. We
suggest that the island's health authorities should develop initiatives to reduce
the population of cats and alert the island's population about the risk of T.
gondii infection.
PMID- 27894909
TI - Characteristics of bystander cardiopulmonary resuscitation for paediatric out-of
hospital cardiac arrests: A national observational study from 2012 to 2014.
AB - OBJECTIVES: This study evaluated the associations between the provision of
bystander cardiopulmonary resuscitation (BCPR) and both the relationship of
bystanders with paediatric out-of-hospital cardiac arrest (OHCA) victims and the
community educational level. METHODS: This observational study was conducted
using the Korean national OHCA registry of paediatric OHCAs (<19years old)
between 2012 and 2014. The main factor was the relationship between the bystander
and the OHCA victim. The primary endpoint was the provision of BCPR. The
association between BCPR provision and community educational level was also
examined. Multivariable logistic regression and interaction analyses were
performed to determine whether community educational level affected BCPR
provision. RESULTS: Among the 1477 enrolled patients, 725 (49.1%) received BCPR.
Family members provided BCPR in 458 (57.4%) cases. The adjusted odds ratios and
corresponding 95% confidence intervals (AORs, 95% CIs) for the provision of BCPR
by family members or first responders compared with strangers were 1.75 (1.31
2.34) and 8.90 (5.00-15.84). The AORs for BCPR provision in communities with the
middle and lowest educational levels compared with the highest were 0.70 (0.53
0.92) and 1.11 (0.79-1.55). The interaction analysis showed that the AORs of
family members or first responders providing BCPR compared with strangers were
1.32 (0.79-2.19) and 5.90 (1.98-17.63), 1.98 (1.31-2.98) and 10.88 (4.20-28.16),
and 1.87 (1.18-2.96) and 9.89 (3.88-25.21) in communities with the lowest, middle
and highest educational levels, respectively. CONCLUSION: In paediatric OHCA
cases, family members were more likely than strangers to perform BCPR except in
communities with the lowest educational level.
PMID- 27894910
TI - Cervical cancer screening in women vaccinated against human papillomavirus
infection: Recommendations from a consensus conference.
AB - In Italy, the cohorts of women who were offered Human papillomavirus (HPV)
vaccination in 2007/08 will reach the age (25years) for cervical cancer (CC)
screening from 2017. The simultaneous shift from cytology-based screening to HPV
test-based screening gives the opportunity for unprecedented reorganisation of CC
prevention. The ONS (National Screening Monitoring Centre) Directive and the
GISCi (Italian Group for Cervical Screening) identified the consensus conference
as the most suitable method for addressing this topic. A summary of consensus
recommendations is reported here. The main objective was to define the best
screening methods in girls vaccinated against HPV and the knowledge required for
defining evidence-based screening strategies. A Jury made recommendations about
questions and proposals formulated by a panel of experts representative of
Italian scientific societies involved in CC prevention and based on systematic
reviews of literature and evidence. The Jury considered changing the screening
protocols for girls vaccinated in their twelfth year as appropriate. Tailored
screening protocols based on vaccination status could be replaced by "one size
fits all" protocols only when a herd immunity effect has been reached. Vaccinated
women should start screening at age 30, instead of 25, with HPV test.
Furthermore, there is a strong rationale for applying longer intervals for re
screening HPV negative women than the currently recommended 5years, but research
is needed to determine the optimal screening time points. For non-vaccinated
women and for women vaccinated in their fifteenth year or later, the current
protocol should be kept.
PMID- 27894911
TI - Personal and provider level factors influence participation to cervical cancer
screening: A retrospective register-based study of 1.3 million women in Norway.
AB - High coverage is essential for an effective screening programme. Here we present
screening barriers and facilitators among 1.3 million women aged 25-69years
eligible for screening within the Norwegian Cervical Cancer Screening Program
(NCCSP). We defined non-adherence as no screening test in 2008-2012. We divided
adherent women into those screened spontaneously, and those who had a smear after
receiving a reminder from the NCCSP. Explanatory variables were extracted from
several nationwide registers, and modelled by modified Poisson regression. In
total, 34% of women were non-adherent. 31% of native Norwegians were non
adherent, compared to 50% of immigrants. Immigrant status was a strong predictor
of non-adherence, but the vast majority of non-adherent women were still native
Norwegians. Higher non-adherence rates were associated with having a male general
practitioner (GP), a foreign GP, a young GP, and distance to the screening site.
Being unmarried, having no children, having lower socioeconomic position and
region of residence predicted non-adherence and, to a smaller extent, reminded
adherence to screening. In contrast, previous experience with cervical
abnormalities substantially increased adherence to screening. The population
based screening programme promotes equity by recruiting women who are less likely
to participate spontaneously. However, socioeconomic disparities were evident in
a country with a nationwide programme and a policy of equal access to health
care. Initiatives aimed at removing practical and financial barriers to equitable
screening delivery and at reducing the effect of sociodemographic attributes on
screening participation are needed.
PMID- 27894912
TI - Hearing thresholds at high frequency in patients with cystic fibrosis: a
systematic review.
AB - INTRODUCTION: High-frequency audiometry may contribute to the early detection of
hearing loss caused by ototoxic medications. Many ototoxic drugs are widely used
in the treatment of patients with cystic fibrosis. Early detection of hearing
loss should allow known harmful drugs to be identified before the damage affects
speech frequencies. The damage caused by ototoxicity is irreversible, resulting
in important social and psychological consequences. In children, hearing loss,
even when restricted to high frequencies, can affect the development of language.
OBJECTIVE: To investigate the efficacy and effectiveness of hearing monitoring
through high-frequency audiometry in pediatric patients with cystic fibrosis.
METHODS: Electronic databases PubMed, MedLine, Web of Science and LILACS were
searched, from January to November 2015. The selected studies included those in
which high-frequency audiometry was performed in patients with cystic fibrosis,
undergoing treatment with ototoxic drugs and published in Portuguese, English and
Spanish. The GRADE system was chosen for the evaluation of the methodological
quality of the articles. RESULTS: During the search process carried out from
January 2015 to November 2015, 512 publications were identified, of which 250
were found in PubMed, 118 in MedLine, 142 in Web of Science and 2 in LILACS. Of
these, nine articles were selected. CONCLUSION: The incidence of hearing loss was
identified at high frequencies in cystic fibrosis patients without hearing
complaints. It is assumed that high-frequency audiometry can be an early
diagnostic method to be recommended for hearing investigation of patients at risk
of ototoxicity.
PMID- 27894913
TI - The regulation of cellular apoptosis by the ROS-triggered PERK/EIF2alpha/chop
pathway plays a vital role in bisphenol A-induced male reproductive toxicity.
AB - Bisphenol A (2,2-bis(4-hydroxyphenyl)propane, BPA) is ubiquitous in the
environment, wildlife, and humans. Evidence from past studies suggests that BPA
is associated with decreased semen quality. However, the molecular basis for the
adverse effect of BPA on male reproductive toxicity remains unclear. We evaluated
the effect of BPA on mouse spermatocytes GC-2 cells and adult mice, and we
explored the potential mechanism of its action. The results showed that BPA
inhibited cell proliferation and increased the apoptosis rate. The testes from
BPA-treated mice showed fewer spermatogenic cells and sperm in the seminiferous
tubules. In addition, BPA caused reactive oxygen species (ROS) accumulation.
Previous study has verified that mitochondrion was the organelle affected by the
BPA-triggered ROS accumulation. We found that BPA induced damage to the
endoplasmic reticulum (ER) in addition to mitochondria, and most ER stress
related proteins were activated in cellular and animal models. Knocking down of
the PERK/EIF2alpha/chop pathway, one of the ER stress pathways, partially
recovered the BPA-induced cell apoptosis. In addition, an ROS scavenger
attenuated the expression of the PERK/EIF2alpha/chop pathway-related proteins.
Taken together, these data suggested that the ROS regulated PERK/EIF2alpha/chop
pathway played a vital role in BPA-induced male reproductive toxicity.
PMID- 27894915
TI - Postoperative temporal hollowing: Is there a surgical approach that prevents this
complication? A systematic review and anatomic illustration.
AB - BACKGROUND: Temporal hollowing is a common complication following surgical
dissection in the temporal region. Our objectives were to: (1) review and clarify
the temporal soft tissue relationships - supplemented by cadaveric dissection -
to better understand surgical approach variations and elucidate potential
etiologies of postoperative hollowing; (2) identify if there is any evidence to
support a surgical approach that prevents hollowing through a systematic review.
METHODS: Cadaveric dissection was performed on six hemi-heads. A systematic
review of the literature was undertaken to identify surgical approaches with a
decreased risk of postoperative hollowing. RESULTS: A total of 1212 articles were
reviewed; 19 of these met final inclusion criteria. Level I and II evidence
supports against the use of a dissection plane beneath the superficial layer of
the deep temporal fascia or through the intermediate temporal fat pad. Level II
evidence supports preservation of the temporalis muscle origin - no evidence is
available to support other temporalis resuspension techniques. For intracranial
exposure, refraining from temporal fat pad dissection (Level I Evidence) and use
of decreased access approaches such as the minipterional craniotomy (Level I
Evidence) appear to minimize temporal soft tissue atrophy. CONCLUSIONS: This
study highlights the significance of preservation of the temporal soft tissue
components to prevent hollowing. Preserving the temporalis origin and avoiding
dissection between the leaflets of the deep temporal fascia or through the
intermediate temporal fat pad appear to minimize this complication.
PMID- 27894914
TI - The unexpected teratogenicity of RXR antagonist UVI3003 via activation of
PPARgamma in Xenopus tropicalis.
AB - The RXR agonist (triphenyltin, TPT) and the RXR antagonist (UVI3003) both show
teratogenicity and, unexpectedly, induce similar malformations in Xenopus
tropicalis embryos. In the present study, we exposed X. tropicalis embryos to
UVI3003 in seven specific developmental windows and identified changes in gene
expression. We further measured the ability of UVI3003 to activate Xenopus
RXRalpha (xRXRalpha) and PPARgamma (xPPARgamma) in vitro and in vivo. We found
that UVI3003 activated xPPARgamma either in Cos7 cells (in vitro) or Xenopus
embryos (in vivo). UVI3003 did not significantly activate human or mouse
PPARgamma in vitro; therefore, the activation of Xenopus PPARgamma by UVI3003 is
novel. The ability of UVI3003 to activate xPPARgamma explains why UVI3003 and TPT
yield similar phenotypes in Xenopus embryos. Our results indicate that activating
PPARgamma leads to teratogenic effects in Xenopus embryos. More generally, we
infer that chemicals known to specifically modulate mammalian nuclear hormone
receptors cannot be assumed to have the same activity in non-mammalian species,
such as Xenopus. Rather they must be tested for activity and specificity on
receptors of the species in question to avoid making inappropriate conclusions.
PMID- 27894916
TI - Reliability of extended dorsal intercostal artery perforator propeller flaps for
reconstruction of large myelomeningocele defects.
AB - Reconstruction of large myelomeningocele defects using extended (elongated beyond
the lateral margin of the latissimus dorsi muscle) dorsal intercostal artery
perforator (DICAP) propeller flaps is not recommended by previous studies.
However, to provide tension-free and successful closure of a defect, the DICAP
propeller flaps must sometimes be elongated beyond this margin. Our experience
and results in this issue are discussed. In this article, reconstruction of 11
consecutive cases, with large myelomeningocele defects in which standard DICAP
propeller flaps were incapable to close the defect, was achieved using extended
DICAP propeller flaps between June 2013 and November 2015. At least two reliable
perforators of the neighboring intervertebral spaces are included to supply the
flap. Intramuscular dissection of perforators is performed to free the
perforators from the surrounding muscle and to gain pedicle length as much as
possible to prevent twisting and vascular compromise. All the flaps survived
completely except for one patient who had superficial skin necrosis on the most
distal part of the flap and had severe accompanying systemic disorders and died
on postoperative 14th day. In 7 of 11 patients, venous congestion was noted,
which resolved spontaneously. No hematoma or seroma formation was observed during
the postoperative follow-up period. Dissection of multiple DICAPs supplying flaps
enable us to harvest larger DICAP flaps possibly by providing better arterial
supply and venous drainage. We use microsurgical instruments and 4.3* loupe
magnification for pedicle dissection in this newborn population. This study shows
the reliability of extended DICAP propeller flaps when multiple perforators at
sixth or more cranial adjacent intercostal spaces are included in DICAP propeller
flaps.
PMID- 27894917
TI - Incidence of breast implant rupture in a 12-year retrospective cohort: Evidence
of quality discrepancy depending on the range.
AB - BACKGROUND & OBJECTIVE: The majority of studies assessing the rupture rate of
breast implants were performed by the breast implant manufacturing industry with
questionable independence. After repetitive removals of ruptured implants from
the same model, our team decided to assess the rupture rate and the estimated
risk thereof for most of the silicone gel-filled implants we have used since they
regained market approval in France in 2001. METHODS: Our study is a retrospective
cohort of 809 patients operated in our University Hospital from 2001 to 2013 for
cosmetic or reconstructive goals. We could track 1561 implants, 90% of them from
the same manufacturer, Allergan (Irvine, CA, USA). For each of those, we gathered
their exact reference, date of implantation, surgical approach, status, last
follow-up visit or the eventual date, and cause of removal. RESULTS: Of 225
explanted devices, only 27 were ruptured, all from the Allergan brand. Risks of
removal for rupture were estimated: 0.5% at 1000 days, 6% at 2000 days, and 14%
at 3000 days. Risks were significantly different between the models from this
same manufacturer. One of the range of macro-textured round implants showed risks
of removal for rupture of 33% at 3000 days compared to 6% for the anatomically
shaped range. CONCLUSIONS: These results suggest a qualitative discrepancy among
the different ranges of breast implants of a single manufacturer within the same
timeframe of implantation. To determine the in vivo lifespan of the implants that
we use more precisely and sooner, we suggest that each removed implant should be
analyzed for wear and tear, independently from the industry.
PMID- 27894918
TI - Laser speckle contrast imaging and Oxygen to See for assessing microcirculatory
liver blood flow changes following different volumes of hepatectomy.
AB - OBJECTIVE: Portal hyperperfusion after extended hepatectomy or small-for-size
liver transplantation may induce organ dysfunction and failure. This study was
designed to monitor and characterize the hepatic microcirculatory perfusion
following different volumes of hepatectomy in rats by using laser speckle
contrast image (LSCI) and Oxygen to See (O2C), a spectrometric device. METHODS:
The microcirculatory liver blood flow of the rats that underwent 68%, 85% and 90%
hepatectomy (68PH, 85PH and 90PH) was monitored with LSCI and O2C before and
following the hepatectomy. The portal venous flow (PVF) and hepatic arterial flow
(HAF) were measured with an ultrasonic flowmeter. Liver regeneration, liver
injury, histologic evaluation and gene expression were also assessed at 12h, 24h,
3d and 7d post hepatectomy. RESULTS: All the 68PH and 85PH rats survived, and 57%
of the 90PH rats survived. After hepatectomy, both PVF and HAF decreased
transiently, with the PVF of the 85PH and 90PH rats significantly lower than that
of the 68PH rats. In contrast, the PVF and HAF per gram of liver weight were
greatly increased after liver resection and were proportional to the volume of
resected liver. Correspondingly, the microcirculatory liver blood flow of the
68PH, 85PH and 90PH rats, as assessed by both LSCI and O2C, were increased after
hepatectomy, and the 90PH group was significantly higher than the 68PH and 85PH
groups. The hyperperfusion continued for approximately 3days and returned to
baseline following the completion of liver regeneration. The liver venous oxygen
saturation of the three groups decreased immediately after hepatectomy and
returned to baseline from 24h after hepatectomy. The 90PH rats also showed
delayed liver regeneration and the most severe liver injury, as reflected by
increased serum ALT, AST and TBIL levels, hepatocellular vacuolization, and
inflammatory and endothelial constriction gene expressions (TNF-alpha, IL-1beta,
MIP-1alpha, ET-1 and TM-1). CONCLUSION: Hepatic microcirculation hyperperfusion
resulting from major and extended liver resection could be assessed by LSCI and
O2C methods. The 90PH in rats led to extraordinary sinusoidal hyperperfusion,
severe endothelial injury and liver failure. Monitoring the changes of hepatic
microcirculation perfusion following extended hepatectomy or small-for-size liver
transplantation may help to analyze the extent of hyperperfusion.
PMID- 27894919
TI - Resveratrol attenuates MPP+-induced mitochondrial dysfunction and cell apoptosis
via AKT/GSK-3beta pathway in SN4741 cells.
AB - Oxidative stress and mitochondrial dysfunction play crucial role in the
dopaminergic neurons death, which is the pathological feature of Parkinson's
disease (PD). Resveratrol (Res), a polyphenol derived from grapes and
blueberries, has been reported to reduce oxidative stress injury and to restore
mitochondrial function. In this study, we aimed to explore the underlying
molecular mechanism of the beneficial effects of Res against MPP+- induced
mitochondrial dysfunction and cell apoptosis in SN4741 cells. The data showed
that Res significantly alleviated MPP+- induce cytotoxicity and restored MPP+-
induced mitochondrial dysfunction in SN4741 cells. Moreover, Res rescued MPP+-
induced a decline on the level of p-AKT, p-GSK-3betaand the ratio of Bcl-2/Bax,
and an elevation on the expression of Bax and caspase-3, 9. However, inhibition
GSK-3beta activity clearly abolished the protective effects of Res. Taken
together, these results suggest that Res attenuates MPP+- induced mitochondrial
dysfunction and cell apoptosis, and these protections may be achieved through
AKT/GSK-3beta pathway. These also indicate that Res could be a promising
therapeutic agent for PD.
PMID- 27894920
TI - Effects of repeated dizocilpine treatment on glutamatergic activity in the
prefrontal cortex in an animal model of schizophrenia: An in vivo proton magnetic
resonance spectroscopy study at 9.4T.
AB - Repeated exposure to dizocilpine (MK-801) can be used as a model of schizophrenia
that incorporates disease progression. Proton magnetic resonance spectroscopy (1H
MRS) has been widely used to investigate schizophrenia-related alterations in
glutamate (Glu). The purpose of this study was to investigate metabolic
alterations in the prefrontal cortex (PFC) in an animal model of schizophrenia by
using in vivo 1H MRS. Because of the spectral overlap of Glu and glutamine (Gln),
high-field 1H MRS with short echo time (TE) was used. A point-resolved
spectroscopy sequence was used to measure the levels of Glu and Gln, and the
brain metabolites in a volume of interest (22.5MUL) located in the PFC region of
rats (n=13) before and after 6days of MK-801 (0.5mg/kg) treatment. Analysis of
the spectra showed that the cross-contamination of Glu and Gln can be considered
to comparably low. No metabolic parameters were altered (p>0.05). However,
differences in Glu and N-acetylaspartate (NAA) levels between two times were
significantly correlated (p<0.01). The results showed both decreased (in 6 of the
13 rats) and increased (7 of the 13 rats) levels of Glu and NAA, which suggested
that these opposite metabolic alterations reflect two stage of disease
progression. The results suggest that high-field and short TE in vivo 1H MRS can
quantify Glu and Gln with reliably low level of cross-contamination and that
repeated exposure to MK-801 induces the progressive development of schizophrenia.
PMID- 27894921
TI - Alterations of awake EEG in idiopathic REM sleep behavior disorder without
cognitive impairment.
AB - The aim of this study was to find electroencephalographic (EEG) changes in
subjects with drug-naive idiopathic rapid eye movement sleep behavior disorder
(iRBD) who had no cognitive impairment. A total of 57 iRBD patients confirmed by
polysomnography (PSG) and 33 sex and age-matched healthy controls were included
and their waking EEG was recorded from five cortical regions for 15min. Power
spectral analyses by fast Fourier transforms were performed on EEG data. In PSG
data, the iRBD patients showed sleep disturbances of short total sleep time,
decreased sleep efficiency, increased sleep latency and frequent awakening
compared to controls. After adjusting for sleep parameters, the absolute alpha
power in frontal region in iRBD patients was higher than that in controls (1.2+/
0.3 vs. 0.9+/-0.3, p=0.037). Dominant occipital frequency (DOF) was lower in iRBD
patients than in controls after adjusting for the sleep covariates (9.2+/-0.3Hz
vs. 9.5+/-0.4Hz, F=8, p=0.006). iRBD patients without cognitive impairment also
showed EEG alteration in frontal and occipital cortex at wakefulness, which could
be an early marker of cerebral dysfunction in iRBD patients.
PMID- 27894922
TI - New preclinical model are required to discover neuroprotective compound in
Parkinson's disease.
PMID- 27894923
TI - Therapeutic implications of toll-like receptors in peripheral neuropathic pain.
AB - Neuropathic pain is a state of chronic pain arising after peripheral or central
nerve injury. These injuries can be mediated through the activation of various
cells (astrocytes, microglia and Schwann cells), as well as the dissolution of
distal axons. Recent studies have suggested that after nerve injury, Toll-like
receptors (TLRs) involved in Wallerian degeneration and generation of neuropathic
pain. Furthermore, these TLRs are responsible for the stimulation of astrocytes
and microglia that can cause induction of the proinflammatory mediators and
cytokines in the spinal cord, thereby leading to the generation and maintenance
of neuropathic pain. Indeed considering the prevalence of neuropathic pain and
suffering of the affected patients, insights into the diverse mechanism(s) of
activation of TLR signaling cascades may open novel avenues for the management of
this chronic condition. Moreover, existing therapies like antidepressants,
anticonvulsants, opiates and other analgesic are not sufficiently effective in
reducing the pain. In this review, we present substantial evidences highlighting
the diverse roles of TLRs and their signaling pathways involved in the
progression of neuropathic pain. Furthermore, an elaborate discussion on various
existing treatment regimens and future targets involving TLRs has also been
included.
PMID- 27894924
TI - Antidepressant-like effects exerted by the intranasal administration of a
glucagon-like peptide-2 derivative containing cell-penetrating peptides and a
penetration-accelerating sequence in mice.
AB - The intracerebroventicular (i.c.v.) administration of glucagon-like peptide-2
(GLP-2) to rodents was shown to have antidepressant-like effects in imipramine
resistant depression-model mice. In order to utilize GLP-2 as a clinical
treatment tool for depression, we herein focused on the intranasal delivery that
is non-invasive approach, because the i.c.v. administration is invasive and
impractical. In the present study, we prepared a GLP-2 derivative containing cell
penetrating peptides (CPPs) and a penetration accelerating sequence (PAS) (PAS
CPPs-GLP-2) for the intranasal (i.n.) administration. PAS-CPPs-GLP-2 (i.n.)
exhibited antidepressant-like effects in the forced-swim test (FST) and tail
suspension test (TST) in naive mice as well as adrenocorticotropic hormone (ACTH)
treated-mice. However, PAS-CPPs-GLP-2 (i.v.) and the GLP-2 derivative containing
CPPs without a PAS (CPPs-GLP-2) (i.n.) did not affect the immobility time in the
mouse FST. Moreover, fluorescein isothiocyanate (FITC)-labeled PAS-CPPs-GLP-2
(i.n.), but not FITC-labeled CPPs-GLP-2 (i.n.) was distributed through the mouse
brain after the FST session. These results suggest that PAS-CPPs-GLP-2 is
effective for i.n. delivery to the brain, and may be useful in the clinical
treatment of major depression.
PMID- 27894925
TI - Dihydroceramide desaturase inhibitors induce autophagy via dihydroceramide
dependent and independent mechanisms.
AB - BACKGROUND: Autophagy consists on the delivery of cytoplasmic material and
organelles to lysosomes for degradation. Research on autophagy is a growing field
because deciphering the basic mechanisms of autophagy is key to understanding its
role in health and disease, and to paving the way to discovering novel
therapeutic strategies. Studies with chemotherapeutic drugs and pharmacological
tools support a role for dihydroceramides as mediators of autophagy. However,
their effect on the autophagy outcome (cell survival or death) is more
controversial. METHODS: We have examined the capacity of structurally varied Des1
inhibitors to stimulate autophagy (LC3-II analysis), to increase dihydroceramides
(mass spectrometry) and to reduce cell viability (SRB) in T98G and U87MG
glioblastoma cells under different experimental conditions. RESULTS: The
compounds activity on autophagy induction took place concomitantly with
accumulation of dihydroceramides, which occurred by both stimulation of ceramide
synthesis de novo and reduction of Des1 activity. However, autophagy was also
induced by the test compounds after preincubation with myriocin and in cells with
a reduced capacity to produce dihydroceramides (U87DND). Autophagy inhibition
with 3-methyladenine in the de novo dihydroceramide synthesis competent U87MG
cells increased cytotoxicity, while genetic inhibition of autophagy in U87DND
cells, poorly efficient at synthesizing dihydroceramides, augmented resistance to
the test compounds. CONCLUSION: Dihydroceramide desaturase 1 inhibitors activate
autophagy via both dihydroceramide-dependent and independent pathways and the
balance between the two pathways influences the final cell fate. GENERAL
SIGNIFICANCE: The cells capacity to biosynthesize dihydroceramides must be taken
into account in proautophagic Des1 inhibitors-including therapies.
PMID- 27894926
TI - Introduction: Towards a better understanding of the science of RLS/WED.
PMID- 27894928
TI - Per-oral video cholangiopancreatoscopy with narrow-band imaging for the
evaluation of indeterminate pancreaticobiliary disease.
AB - BACKGROUND AND AIMS: Cholangiopancreatoscopy for evaluating pancreaticobiliary
pathology is currently limited by suboptimal optics. The aim of this study was to
characterize the operating characteristics of per-oral video
cholangiopancreatoscopy with narrow-band imaging (POVCP) findings in
indeterminate pancreaticobiliary disease and to describe their association with
neoplasia. METHODS: Data from consecutive patients undergoing POVCP for the
evaluation of indeterminate pancreaticobiliary disease at a single tertiary care
center were analyzed. Two experienced investigators had previously agreed on
POVCP findings and terminology that were documented in endoscopy reports.
Endoscopic procedural data from POVCPs performed between January 2006 and April
2015 and clinical data were abstracted from the endoscopic database and
electronic medical records. Study endpoints included tissue-proven neoplasia or
benign disease with >=1 year of follow-up. RESULTS: A total of 109 patients were
identified; 13 were excluded because of the presence of stone disease, known
pancreaticobiliary malignancy, or presumed benign disease with <=1 year of follow
up. Most patients (85%) underwent POVCP for biliary disease and 15% underwent
POVCP for a pancreatic cause. Tortuous and dilated vessels (P < .001),
infiltrative stricture (P < .001), polypoid mass (P = .003), and the presence of
fish-egg lesions (P = .04) were found to be significantly associated with
neoplasia. The overall POVCP impression had a high sensitivity (85%) and negative
predictive value (89%) in assessing for the presence of neoplasia. CONCLUSIONS:
Per-oral video cholangiopancreatoscopy is effective in the evaluation of
indeterminate pancreaticobiliary disease. Tortuous and dilated vessels,
infiltrative stricture, polypoid mass, and the presence of fish-egg lesions are
significantly associated with neoplasia.
PMID- 27894929
TI - Ultrathin disposable gastroscope for screening and surveillance of
gastroesophageal varices in patients with liver cirrhosis: a prospective
comparative study.
AB - BACKGROUND AND AIMS: This study aims to evaluate the role of unsedated, ultrathin
disposable gastroscopy (TDG) against conventional gastroscopy (CG) in the
screening and surveillance of gastroesophageal varices (GEVs) in patients with
liver cirrhosis. METHOD: Forty-eight patients (56.4 +/- 1.3 years; 38 male, 10
female) with liver cirrhosis referred for screening (n = 12) or surveillance (n =
36) of GEVs were prospectively enrolled. Unsedated gastroscopy was initially
performed with TDG, followed by CG with conscious sedation. The 2 gastroscopies
were performed by different endoscopists blinded to the results of the previous
examination. Video recordings of both gastroscopies were validated by an
independent investigator in a random, blinded fashion. Endpoints were accuracy
and interobserver agreement of detecting GEVs, safety, and potential cost saving.
RESULTS: CG identified GEVs in 26 (54%) patients, 10 of whom (21%) had high-risk
esophageal varices (HREV). Compared with CG, TDG had an accuracy of 92% for the
detection of all GEVs, which increased to 100% for high-risk GEVs. The
interobserver agreement for detecting all GEVs on TDG was 88% (kappa = 0.74).
This increased to 94% (kappa = 0.82) for high-risk GEVs. There were no serious
adverse events. CONCLUSIONS: Unsedated TDG is safe and has high diagnostic
accuracy and interobserver reliability for the detection of GEVs. The use of
clinic-based TDG would allow immediate determination of a follow-up plan, making
it attractive for variceal screening and surveillance programs. (Clinical trial
(ANZCTR) registration number: ACTRN12616001103459.).
PMID- 27894931
TI - The unique status of first-in-human studies: strengthening the social value
requirement.
AB - For clinical research to be ethical, risks need to be balanced by anticipated
benefits. This is challenging for first-in-human (FIH) studies as participants
are not expected to benefit directly, and risks are potentially high. We argue
that this differentiates FIH studies from other clinical trials to the extent
that they should be given unique status in international research ethics
guidelines. As there is a general positive attitude regarding the benefits of
science, it is important to establish a more systematic method to assess
anticipated social value to safeguard participants not only from enrolling in
risky, but also in futile trials. Here, we provide some of necessary steps needed
to assess the anticipated social value of the intervention.
PMID- 27894930
TI - Sex-dependent influence of chronic mild stress (CMS) on voluntary alcohol
consumption; study of neurobiological consequences.
AB - Alcohol use disorder and depression are highly comorbid, and both conditions
exhibit important sexual dimorphisms. Here, we aimed to investigate voluntary
alcohol consumption after 6weeks of chronic mild stress (CMS) in Wistar rats -
employed as an animal model of depression. Male and female rats were
investigated, and changes in several molecular markers were analysed in frontal
cortex (FCx) and hippocampal formation (HF). CMS induced depressive-like
responses in the forced swimming test - increased immobility time - in male and
female animals, without affecting anhedonia (sucrose preference test) nor motor
activity (holeboard); body weight gain and food intake were diminished only among
CMS males. Voluntary alcohol consumption was evaluated in a two-bottle choice
paradigm (ethanol 20% versus tap water) for 4 consecutive days; females exhibited
a higher preference for alcohol compared to male animals. In particular, alcohol
consumption was significantly higher among CMS females compared to CMS male
animals. Remarkably, similar changes in both male and female animals exposed to
CMS were observed regarding the expression levels of NCAM-140KDa (decrease), GFAP
and CB1R expression (increase) within the FCx as well as for HF PSD-95 levels
(increase). However, contrasting effects in males and females were reported in
relation to synaptophysin (SYN) protein levels within the FCx, HF CB1R expression
(a decrease among male animals but an increase in females); while the opposite
pattern was observed for NCAM-140KDa protein levels in the HF. A decrease in CB2R
expression was only observed in the HF of CMS-females. The present study suggests
that male and female animals might be differentially affected by CMS regarding
later voluntary alcohol consumption. In this initial approach, cortical SYN, and
NCAM-140KDa, CB1R and CB2R expression within the HF have arisen as potential
candidates to explain such sex differences in behaviour. However, the depression
alcoholism relationship still deserves further investigation.
PMID- 27894932
TI - Microbial stress: From molecules to systems (Sitges, November 2015).
AB - The meeting "Microbial Stress: from Molecules to Systems" - the third in this
series - was held in Sitges (Spain) in November 2015. The meeting offered the
opportunity for international scientists to share their viewpoints and recent
outcomes concerning microbial stress responses. Particular attention was given to
the characterisation of mechanisms triggered by stress, from detailed molecular
biology through whole organism systems biology up to the level of populations. A
deeper understanding of microbial responses to stress is indeed attainable only
considering the phenomenon as a whole. Exhaustive knowledge of the various stress
response systems, and of their interconnections, is important for different
applications, from the prevention and counteraction of bacterial infectious
diseases to the engineering of robust cell factories. The presentations covered
all of these aspects, enabling an active interaction among participants. It also
stimulated discussions and cross-fertilisation among disciplines, which was one
of the aims of the meeting. Moreover, since many stress response mechanisms are
broadly conserved, data obtained at the microbial scale may facilitate the
comprehension of complex phenomena, such as aging, evolution of neurological
diseases and cancer.
PMID- 27894933
TI - Parasitism, personality and cognition in fish.
AB - It is well established that parasites can have profound effects on the behaviour
of host organisms, and that individual differences in behaviour can influence
susceptibility to parasite infections. Recently, two major themes of research
have developed. First, there has been a growing interest in the proximate,
mechanistic processes underpinning parasite-associated behaviour change, and the
interactive roles of the neuro-, immune, and other physiological systems in
determining relationships between behaviour and infection susceptibility.
Secondly, as the study of behaviour has shifted away from one-off measurements of
single behaviours and towards a behavioural syndromes/personality framework,
research is starting to focus on the consequences of parasite infection for
temporal and contextual consistency of behaviour, and on the implications of
different personality types for infection susceptibility. In addition, there is
increasing interest in the potential for relationships between cognition and
personality to also have implications for host-parasite interactions. As models
well-suited to both the laboratory study of behaviour and experimental
parasitology, teleost fish have been used as hosts in many of these studies. In
this review we provide a broad overview of the range of mechanisms that
potentially generate links between fish behaviour, personality, and parasitism,
and illustrate these using examples drawn from the recent literature. In
addition, we examine the potential interactions between cognition, personality
and parasitism, and identify questions that may be usefully investigated with
fish models.
PMID- 27894934
TI - MiR-142 Is Required for Staphylococcus aureus Clearance at Skin Wound Sites via
Small GTPase-Mediated Regulation of the Neutrophil Actin Cytoskeleton.
AB - MicroRNAs (miRNAs) are small noncoding RNAs that regulate protein translation by
binding to complementary target mRNAs. We previously identified two mature
members of the miR-142 family, miR-142-5p and miR-142-3p, as inflammation-related
miRNAs with potential roles in wound healing. Here, we demonstrated that these
two miRNAs are prominently expressed in wound-infiltrated neutrophils and
macrophages and play central roles in wound healing. We generated miR-142-/- mice
using the exchangeable gene-trap method and showed that healing of Staphylococcus
aureus-infected skin wounds was significantly delayed in miR-142-/- mice compared
with that in wild-type mice. MiR-142-/- mice exhibited abnormal abscess formation
at S. aureus-infected skin wound sites and were also more susceptible to
horizontal transmission of wound infections. MiR-142-/- neutrophils showed
altered phagocytosis as a consequence of chemotactic behavior, including enhanced
F-actin assembly, disturbed cell polarity, and increased cell motility. We showed
that these changes were linked to cytoskeletal regulation, and that expression of
the small GTPases was markedly increased in miR-142-/- neutrophils. Collectively,
our data demonstrate that the miR-142 family is indispensable for protection
against S. aureus infection and its clearance at wound sites. MiR-142-3p and miR
142-5p play nonredundant roles in actin cytoskeleton regulation by controlling
small GTPase translation in neutrophils at wound sites.
PMID- 27894935
TI - Stage-specific differences in secretory profile of mesenchymal stromal cells
(MSCs) subjected to early- vs late-stage OA synovial fluid.
AB - OBJECTIVE: Although, mesenchymal stromal cells (MSCs) are being clinically
investigated for their use in osteoarthritis (OA), it is unclear whether their
postulated therapeutic properties are equally effective in the early- and late
stages of OA. In this study we investigated MSC cytokine secretion post-exposure
to synovial fluid (SF), obtained from early- vs late-stage knee OA patients to
justify a potential patient stratification strategy to maximize MSC-mediated
treatment effects. METHOD: Subjects were recruited and categorized into early-
[Kellgren-Lawrence (KL) grade I/II, n = 12] and late-stage (KL-III/IV, n = 12)
knee OA groups. SF samples were obtained, and their proteome was tested using
multiplex assays, after 3-days culture, with and without MSCs. SFs cultured
without MSCs were used as a baseline to identify MSC-secreted factors into SFs
cultured with MSCs. Linear mixed-effect models and non-parametric tests were used
to identify alterations in the MSC secretome during exposure to OA SF (3-days).
MSCs cultured for 3-days in 0.5% fetal bovine serum (FBS)-supplemented medium
were used to compare SF results with culture medium. RESULTS: Following exposure
to OA SF, the MSC secretome contained proteins that are involved in tissue
repair, angiogenesis, chemotaxis, matrix remodeling and the clotting process.
However, chemokine (C-X-C motif) ligand-8 (CXCL8; chemoattractant), interleukin-6
(IL6) and chemokine (C-C motif) ligand 2 (CCL2) were elevated in the MSC
secretome in response to early- vs late-stage OA SF. CONCLUSION: Early- vs late
stage OA SF samples elicit a differential MSC secretome response, arguing for
stratification of OA patients to maximize MSC-mediated therapeutic effects.
PMID- 27894937
TI - Cell cycle arrest in the jewel wasp Nasonia vitripennis in larval diapause.
AB - Insects enter diapause to synchronise their life cycle with biotic and abiotic
environmental conditions favourable for their development, reproduction, and
survival. One of the most noticeable characteristics of diapause is the blockage
of ontogeny. Although this blockage should occur with the cessation of cellular
proliferation, i.e. cell cycle arrest, it was confirmed only in a few insect
species and information on the molecular pathways involved in cell cycle arrest
is limited. In the present study, we investigated developmental and cell cycle
arrest in diapause larvae of the jewel wasp Nasonia vitripennis. Developmental
and cell cycle arrest occur in the early fourth instar larval stage of N.
vitripennis under short days. By entering diapause, the S fraction of the cell
cycle disappears and approximately 80% and 20% of cells arrest their cell cycle
in the G0/G1 and G2 phases, respectively. We further investigated expression of
cell cycle regulatory genes and some housekeeping genes to dissect molecular
mechanisms underlying the cell cycle arrest.
PMID- 27894936
TI - Identification and expression analyses of vitellogenin in Bactericera cockerelli
(Sulc).
AB - The potato psyllid, Bactericera cockerelli (Sulc) (Hemiptera: Triozidae), is a
phloem-feeding insect with preference for Solanaceae. This insect species is
vector of the pathogenic bacteria 'Candidatus Liberibacter solanacearum' the
causative agent of zebra chip, an important disease of commercial potatoes in
several countries worldwide. The recent classification of psyllids among the most
dangerous vectors has promoted their study, but still many biological processes
such as reproduction and vitellogenesis need to be investigated. As a first step
towards the elucidation of vitellogenesis in B. cockerelli, one candidate
vitellogenin transcript (6622 bases long) was identified and the expression of
the transcript and the protein were analyzed in virgin and mated females between
1 and 7days old. In virgin females, Vg expression increased up to 5days old;
while mating significantly up-regulated Vg expression in 5- and 7-day-old
females. To determine the role of juvenile hormone in B. cockerelli Vg
expression, topical applications of juvenile hormone III were performed on virgin
females, resulting in an up-regulation of Vg expression and an increase in the
number of mature oocytes present in female reproductive organs. Overall, this
study represents the first step to understand vitellogenesis of B. cockerelli and
it highlights the role of JH III in the hormonal regulation of Vg expression and
oocyte development.
PMID- 27894938
TI - Integrating Postoperative Feedback Into Workflow: Perceived Practices and
Barriers.
AB - OBJECTIVE: Previous studies have found that both resident and staff surgeons
highly value postoperative feedback; and that such feedback has high educational
value. However, little is known about how to consistently deliver this feedback.
Our aim was to understand how often surgical residents should receive feedback
and what barriers are preventing this from occurring. DESIGN: Surveys were
distributed to resident and attending surgeons. Questions focused on the current
frequency of postoperative feedback, desired frequency and methods of feedback,
and perceived barriers. Quantitative data were analyzed with descriptive
statistics, and text responses were examined using coding. SETTING: University
based general surgery department at a Midwestern institution. PARTICIPANTS:
General surgery residents (n = 23) and attending surgeons (n = 22) participated
in this study. RESULTS: Residents reported receiving and staff reported giving
feedback for procedure-specific performance after 25% versus 34% of cases,
general technical feedback after 36% versus 32%, and nontechnical performance
after 17% versus 18%. Both perceived procedure-specific and general technical
feedback should be given more than 80% of the time, and nontechnical feedback
should happen for nearly 60% of cases. Verbal feedback immediately after the
operation was rated as best practice. Both parties identified time, conflicting
responsibilities, lack of privacy, and discomfort with giving and receiving
meaningful feedback as barriers. CONCLUSIONS: Both resident and staff surgeons
agree that postoperative feedback is given far less often than it should. Future
work should study intraoperative and postoperative feedback to validate resident
and attending surgeons' perceptions such that interventions to improve and
facilitate this process can be developed.
PMID- 27894940
TI - Changes in the mRNA expression of structural proteins, hormone synthesis and
secretion from bovine placentome sections after DDT and DDE treatment.
AB - Disorders in the barrier function and secretory activity of the placenta can be
caused by xenobiotics (XB) present in the environment and their accumulation in
tissues of living organisms. Thus, the aim of this study was to investigate the
effect of 1,1,1-trichloro-2,2,-bis-4-chlorophenyl-ethane (DDT) and its metabolite
1,1-dichloro-2,2-bis-4-chlorophenyl-ethene (DDE) (for 24 or 48h) at doses of 1,
10 or 100ng/ml on the function of cow placentome sections in the second trimester
of pregnancy. DDT and DDE affected neither (P>0.05) the viability nor hypoxia
inducible factor 1 (HIF1alpha) mRNA expression of the sections. XB decreased
(P<0.05) connexin (Cx) 26, 32, 43 and placenta-specific 1 (PLAC-1) mRNA
expression but did not affect (P>0.05) keratin 8 (KRT8) mRNA expression. DDT and
DDE also reduced (P<0.05) prostaglandin F2alpha (PGF2alpha) synthase (PGFS) mRNA
expression, while DDT increased (P<0.05) prostaglandin E2 (PGE2) synthase (PGES)
mRNA expression. Neither cyclooxygenase 2 (COX-2) mRNA expression nor PGF2alpha
and PGE2 secretion were affected. Both DDT and DDE increased (P<0.05) neurophysin
I/oxytocin (NP1/OT) mRNA expression and oxytocin (OT), oestradiol (E2) and
progesterone (P4) secretion while DDT stimulated only 3beta-hydroxysteroid
dehydrogenase (3betaHSD) and cholesterol side-chain cleavage enzyme (CYP11A1)
mRNA expression (P<0.05). In summary, DDT and DDE impaired the barrier function
and secretory activity of the placenta. Thus, these compounds can disrupt
trophoblast invasion, myometrium contractility and gas/nutrient exchange
throughout pregnancy in cows.
PMID- 27894939
TI - Intranasal Cerebrolysin Attenuates Learning and Memory Impairments in D-galactose
Induced Senescence in Mice.
AB - Neurotrophic factors are currently being considered as pro-cognitive therapeutic
approaches for management of cognitive deficits. This study aims to evaluate the
effects of intranasal (i.n.) or intraperitoneal (i.p.) administration of
Cerebrolysin (CBL) (as a mixture of neurotrophic factors) on the d-galactose
induced oxidative stress, apoptosis and memory as well as learning impairment in
mice. For this purpose, CBL (1, 2.5, 5 ml/kg/i.p.) or (1 ml/kg/i.n.), were
administrated daily in d-galactose-received (100 mg/kg/subcutaneous (s.c.)) mice
model of aging for eight weeks. Spatial and recognition memories were assessed by
the Morris water maze and novel object recognition tasks. Brain and blood of
animals were analysed for oxidative stress biomarkers including malondialdehyde,
total antioxidant capacity, glutathione peroxidase and superoxide dismutase.
Apoptosis rate in the hippocampus was evaluated by TUNEL staining of brain
tissue. 5 ml/kg/i.p. dose of CBL increased the locomotor activity but, 1
ml/kg/i.p. dose didn't show detectable behavioural or molecular effects on aged
mice. Treatment with 2.5 ml/kg/i.p. and 1 ml/kg/i.n. doses attenuated d-galactose
impaired spatial and recognition memories. Results showed an obvious increase in
the antioxidant biomarkers and decrease in the malondialdehyde levels both in the
blood and brain of aged mice in 2.5 ml/kg/i.p. dose, and only in the brain in 1
ml/kg/i.n. dose of CBL. Anti-apoptotic effects also were seen in the same
dose/rout of CBL administration in aged animals. This study proves the usefulness
of i.n. CBL administration as a non-invasive and efficient method of drug
delivery to the brain to improve aging-induced oxidative stress, apoptosis and
learning as well as memory impairment.
PMID- 27894941
TI - One year of abaloparatide, a selective peptide activator of the PTH1 receptor,
increased bone mass and strength in ovariectomized rats.
AB - Abaloparatide is a novel 34 amino acid peptide selected to be a potent and
selective activator of the parathyroid hormone receptor 1 (PTHR1) signaling
pathway. The effects of 12months of abaloparatide treatment on bone mass, bone
strength and bone quality was assessed in osteopenic ovariectomized (OVX) rats.
SD rats were subjected to OVX or sham surgery at 6months of age and left
untreated for 3months to allow OVX-induced bone loss. Eighteen OVX rats were
sacrificed after this bone depletion period, and the remaining OVX rats received
daily s.c. injections of vehicle (n=18) or abaloparatide at 1, 5 or 25MUg/kg/d
(n=18/dose level) for 12months. Sham controls (n=18) received vehicle daily. Bone
changes were assessed by DXA and pQCT after 0, 3, 6 or 12months of treatment, and
destructive biomechanical testing was conducted at month 12 to assess bone
strength and bone quality. Abaloparatide dose-dependently increased bone mass at
the lumbar spine and at the proximal and diaphyseal regions of the tibia and
femur. pQCT revealed that increased cortical bone volume at the tibia was a
result of periosteal expansion and endocortical bone apposition. Abaloparatide
dose-dependently increased structural strength of L4-L5 vertebral bodies, the
femur diaphysis, and the femur neck. Increments in peak load for lumbar spine and
the femur diaphysis of abaloparatide-treated rats persisted even after adjusting
for treatment-related increments in BMC, and estimated material properties were
maintained or increased at the femur diaphysis with abaloparatide. The
abaloparatide groups also exhibited significant and positive correlations between
bone mass and bone strength at these sites. These data indicate that gains in
cortical and trabecular bone mass with abaloparatide are accompanied by and
correlated with improvements in bone strength, resulting in maintenance or
improvement in bone quality. Thus, this study demonstrated that long-term daily
administration of abaloparatide to osteopenic OVX rats led to dose-dependent
improvements in bone mass, geometry and strength.
PMID- 27894942
TI - Masson Hemangioma-An Unusual Cause of Thoracic Compressive Myelopathy.
AB - BACKGROUND: Masson hemangioma is a rare pathologic entity characterized by
intravascular papillary endothelial hyperplasia. Although benign, this hemangioma
grows to form an expansile compressing mass. This lesion is mostly seen in skin
and subcutaneous tissue. Occurrence in the central nervous system is rare. Still
rarer is a spinal location with only 5 case reports published to date in the
literature. CASE DESCRIPTION: A 32-year-old man presented with paraplegia
secondary to extradural compression at the T4-5 level. Histopathologic features
were consistent with intravascular papillary endothelial hyperplasia, also known
as Masson hemangioma. Differential diagnosis, management, and review of
literature are discussed in this report. CONCLUSIONS: This rare pathology should
be in the differential diagnosis when spinal cord compressive myelopathy is
encountered.
PMID- 27894927
TI - The dynamics of GABA signaling: Revelations from the circadian pacemaker in the
suprachiasmatic nucleus.
AB - Virtually every neuron within the suprachiasmatic nucleus (SCN) communicates via
GABAergic signaling. The extracellular levels of GABA within the SCN are
determined by a complex interaction of synthesis and transport, as well as
synaptic and non-synaptic release. The response to GABA is mediated by GABAA
receptors that respond to both phasic and tonic GABA release and that can produce
excitatory as well as inhibitory cellular responses. GABA also influences
circadian control through the exclusively inhibitory effects of GABAB receptors.
Both GABA and neuropeptide signaling occur within the SCN, although the
functional consequences of the interactions of these signals are not well
understood. This review considers the role of GABA in the circadian pacemaker, in
the mechanisms responsible for the generation of circadian rhythms, in the
ability of non-photic stimuli to reset the phase of the pacemaker, and in the
ability of the day-night cycle to entrain the pacemaker.
PMID- 27894943
TI - Acquired Chiari Malformation and Syringomyelia Secondary to Space-Occupying
Lesions: A Systematic Review.
AB - BACKGROUND: Acquired Chiari malformations (ACM) and associated syringomyelia
secondary to space-occupying lesions can cause neurologic deficits independent of
or in combination with the offending mass. Although type I Chiari malformations
are traditionally treated with posterior fossa decompression, optimal surgical
management of ACM and associated syringomyelia remains unclear. The purpose of
this study is to review the current literature surrounding the management of ACM.
METHODS: A systematic review was performed in accordance with the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses guidelines. Using the
relevant keywords, articles were identified through multiple databases from
inception to April 2016. Our primary outcome was postoperative resolution of
tonsillar herniation, syringomyelia, and clinical symptoms and signs. RESULTS:
Thirty studies (27 case reports and 3 case series), encompassing 44 patients,
were included in the review. Meningiomas (36%) and arachnoid cysts (32%) were the
lesions most commonly associated with ACM. Offending lesions were usually large
(85%) and almost all were found in the posterior fossa (89%). Syringomyelia was
present in 82% of cases. Overall, all but 1 patient had improvement or resolution
of their syringomyelia after surgery and none required a syrinx shunt. Rates of
tonsillar ascent, syrinx resolution, and neurologic recovery were similar in
patients who underwent lesion removal alone versus those who underwent posterior
fossa decompression and lesion removal. CONCLUSIONS: Space-occupying lesions in
the posterior fossa are a rare cause of ACM and syringomyelia. Surgical
management of the underlying lesion improves ACM and associated syringomyelia
without the need for syrinx shunting.
PMID- 27894944
TI - SUrface-PRojected FLuid-Attenuation-Inversion-Recovery Analysis: A Novel Tool for
Advanced Imaging of Epilepsy.
AB - OBJECTIVE: The objective of this pilot retrospective study is to describe the
SUrface-PRojected FLuid-Attenuation-Inversion-Recovery (SUPR-FLAIR) analysis, a
novel method mainly aimed at revealing cortical areas with subtle signal
hyperintensity. METHODS: Images from 101 healthy controls and 10 patients
suffering from drug-resistant partial epilepsy were retrospectively
postprocessed. The brain surface was reconstructed from a 3-dimensional (3D) T1
weighted fast field echo (T1W-FFE) magnetic resonance imaging (MRI) scan. A turbo
spin echo fluid attenuated inversion recovery axial scan was registered to the 3D
T1W-FFE scan, and its intensity values were normalized. The cortical intensity
signal was projected onto the brain surface, and surface-based analysis was
performed, comparing each patient against the 101 controls. The localizations of
the first positive lower P value cluster (PLPC) peak and the resection zone (RZ)
were compared. We studied 5 patients with focal cortical dysplasia (3 of them
with negative MRI) and 5 with hippocampal sclerosis. RESULTS: SUPR-FLAIR analysis
localized the first PLPC peak in the RZ in all cases. Because all patients have
been seizure free since surgery, it can be assumed that the epileptogenic zone
(EZ) was included in the RZ. Therefore, SUPR-FLAIR analysis correctly aligned
with the EZ, with 100% sensitivity. CONCLUSIONS: SUPR-FLAIR analysis is a
noninvasive technique that could be helpful for the definition of the EZ,
especially when MRI is negative. Its use could reduce the indications for
invasive electroencephalography or could provide essential data to refine the
strategy of intracerebral electrode implantation in the most challenging cases.
PMID- 27894945
TI - Successful Treatment of Refractory Status Epilepticus Using Anterior Thalamic
Nuclei Deep Brain Stimulation.
AB - BACKGROUND: Refractory status epilepticus (RSE) is considered a medical emergency
in neurology and is related to high mortality. We report a successfully treated
case of RSE using deep brain stimulation (DBS) at the anterior thalamic nuclei
(ATN) in a 17-year-old woman. RESULTS: This patient developed RSE as a result of
progressive seizure activity. RSE with generalized tonic-clonic seizures was
noted 2 weeks before admission. Video electroencephalography monitoring showed
continuous 3-Hz generalized spike-and-wave complexes with higher amplitude over
bilateral frontal. Four weeks after RSE onset, bilateral DBS of the ATN was
started. This treatment was immediately followed by the disappearance of tonic
clonic seizures and spike-and-wave complexes, suggesting resolution of the RSE.
Significant clinical improvement was noted 1 week after DBS implantation.
CONCLUSIONS: DBS at the ATN significantly improved both the
electroencephalography and clinical presentation in the patient with RSE. DBS at
the ATN should be considered as a possible treatment choice once a patient
develops RSE.
PMID- 27894947
TI - Strategies to identify natural antisense transcripts.
AB - Natural antisense transcripts, originally considered as transcriptional noises
arising from so-called "junk DNA", are recently recognized as important
modulators for gene regulation. They are prevalent in nearly all realms of life
and have been found to modulate gene expression positively or negatively. By
affecting almost all stages of gene expression range from pre-transcriptional,
transcriptional and post-transcriptional to translation, NATs are fundamentally
involved in various biological processes. However, compared to increasing huge
data from transcriptional analysis especially high-throughput sequencing
technologies (such as RNA-seq), limited functional NATs (around 70) are so far
reported, which hinder our advanced comprehensive understanding for this field.
Hence, efficient strategies for identifying NATs are urgently desired. In this
review, we discussed the current strategies for identifying NATs, with a focus on
the advantages, disadvantages, and applications of methods isolating functional
NATs. Moreover, publicly available databases for NATs were also discussed.
PMID- 27894946
TI - The emerging role of long non-coding RNA in gallbladder cancer pathogenesis.
AB - Gallbladder cancer (GBC) is the most common and aggressive form of biliary tract
carcinoma with an alarmingly low 5-year survival rate. Despite its high mortality
rate, the underlying mechanisms of GBC pathogenesis are not completely
understood. Recently, from a growing volume of literature, long non-coding RNAs
(lncRNAs) have emerged as key regulators of gene expression and appear to play
vital roles in many human cancers. To date, a number of lncRNAs have been
implicated in GBC, but their potential roles in GBC have not been systematically
examined. Thus, in this review, we critically discuss the emerging roles of
lncRNAs in GBC, and the pathways involved. Specifically, we note that some
lncRNAs show greater expression in T1 and T2 tumor stages compared to T3 and T4
tumor stages and that their dysregulation leads to alterations in cell cycle
progression and can cause an increase in GBC cell proliferation or apoptosis. In
addition, some lncRNAs control the epithelial-mesenchymal transition process,
while others take part in the regulation of ERK/MAPK and Ras cancer-associated
signaling pathways. We also present their potential utility in diagnosis,
prognosis, and/or treatment of GBC. The overall goal of this review is to
stimulate interest in the role of lncRNAs in GBC, which may open new avenues in
the determination of GBC pathogenesis and may lead to the development of new
preventive and therapeutic strategies for GBC.
PMID- 27894948
TI - Don't be rejected, how can we help authors, reviewers and editors?: Report of a
Symposium for Editors Publishers and Others with an Interest in Scientific
Publication, Held in Boston on Wednesday, 11 March 2015, during the Annual
Meeting of the International Association for Dental Research.
AB - This satellite symposium was the fifth in a series for editors, publishers,
reviewers and all those with an interest in scientific publishing. It was held on
Wednesday, 11 March 2015 at the IADR meeting in Boston, Massachusetts. The
symposium attracted more than 210 attendees. The symposium placed an emphasis on
strategies to ensure that papers are accepted by peer reviewed journals. The
speaker, representing the Journal of Dental Research gave a history of peer
review and explained how to access material to advise new authors. The speaker
from India outlined the problems that occur when there is no culture for dental
research and it is given a low priority in dental education. He outlined
remedies. The speaker from SAGE publications described the help that publishers
and editors can provide authors. The final speaker suggested that in developing
countries it was essential to create alliances with dental researchers in
developed countries and that local conferences to which external speakers were
invited, stimulated research both in terms of quantity and quality. A wide
ranging discussion then took place.
PMID- 27894950
TI - Untying the Gordian knot of pericardial diseases: A pragmatic approach.
AB - Pericardial disorders constitute a relatively common cause of heart disease.
Although acute pericarditis, especially the idiopathic forms that are the most
prevalent, is considered a benign disease overall, its short- and long-term
complications, namely, recurrent pericarditis, cardiac tamponade and constrictive
pericarditis, constitute a matter of concern in the medical community. In recent
years, several clinical trials contributed to redefining our traditional approach
to pericardial diseases. In this review, we provide the most recent evidence
concerning diagnosis, treatment modalities and short- and long-term prognosis of
the most common pericardial disorders.
PMID- 27894949
TI - Intravascular hemodynamics and coronary artery disease: New insights and clinical
implications.
AB - Intracoronary hemodynamics play a pivotal role in the initiation and progression
of the atherosclerotic process. Low pro-inflammatory endothelial shear stress
impacts vascular physiology and leads to the occurrence of coronary artery
disease and its implications.
PMID- 27894951
TI - A pilot study of CXCL8 levels in crystal proven gout patients during allopurinol
treatment and their association with cardiovascular disease.
AB - OBJECTIVES: Gout is associated with cardiovascular diseases, and systemic
inflammation has a role in this. CXCL8 (interleukin-8) levels were increased in
synovial fluid of gout patients, and in serum in gout patients irrespective of
their disease activity. We hypothesized that the well-known cardiovascular
protective effects of allopurinol could be related to effects of this drug on
CXCL8 levels. METHODS: Patients with a crystal proven gout diagnosis, who newly
started allopurinol treatment, were included in this prospective cohort study.
After evaluation at baseline for cardiovascular diseases, tophi, uric acid, CRP
and CXCL8 serum levels, patients were followed for changes in uric acid and CXCL8
levels. A subgroup analysis was performed in 10 patients with the longest follow
up period and at least 4 assessments of serum uric acid and CXCL8. RESULTS: Sixty
patients were included, and patients known with cardiovascular diseases at
baseline had significantly higher CXCL8 and uric acid levels (P<0.01). In the
whole group, median CXCL8 levels had not decreased after a median (IQR) follow-up
of 27 (12-44) weeks (P=0.66). In the subgroup analysis in 9 out of 10 patients,
CXCL8 levels showed a slight decrease, sometimes after an initial increase after
a median (IQR) follow-up of 51 (45-60) weeks. CONCLUSIONS: This pilot study
indicates that higher CXCL8 levels were associated cardiovascular diseases in
gout patients. Short-term use of allopurinol does not decrease CXCL8 levels in
gout patients, but longer use possibly does. Further studies are warranted to
establish the potential mechanisms of treatment and effects on CXCL8 levels.
PMID- 27894952
TI - Comparison of creatinine and cystatin C based eGFR in the estimation of
glomerular filtration rate in Indigenous Australians: The eGFR Study.
AB - BACKGROUND: The Chronic Kidney Disease Epidemiology Collaboration (CKD-EPI)
equation that combines creatinine and cystatin C is superior to equations that
include either measure alone in estimating glomerular filtration rate (GFR).
However, whether cystatin C can provide any additional benefits in estimating GFR
for Indigenous Australians, a population at high risk of end-stage kidney disease
(ESKD) is unknown. METHODS: Using a cross-sectional analysis from the eGFR Study
of 654 Indigenous Australians at high risk of ESKD, eGFR was calculated using the
CKD-EPI equations for serum creatinine (eGFRcr), cystatin C (eGFRcysC) and
combined creatinine and cystatin C (eGFRcysC+cr). Reference GFR (mGFR) was
determined using a non-isotopic iohexol plasma disappearance technique over 4h.
Performance of each equation to mGFR was assessed by calculating bias, % bias,
precision and accuracy for the total population, and according to age, sex,
kidney disease, diabetes, obesity and c-reactive protein. RESULTS: Data were
available for 542 participants (38% men, mean [sd] age 45 [14] years). Bias was
significantly greater for eGFRcysC (15.0mL/min/1.73m2; 95% CI 13.3-16.4, p<0.001)
and eGFRcysC+cr (10.3; 8.8-11.5, p<0.001) compared to eGFRcr (5.4; 3.0-7.2).
Accuracy was lower for eGFRcysC (80.3%; 76.7-83.5, p<0.001) but not for
eGFRcysC+cr (91.9; 89.3-94.0, p=0.29) compared to eGFRcr (90.0; 87.2-92.4).
Precision was comparable for all equations. The performance of eGFRcysC
deteriorated across increasing levels of c-reactive protein. CONCLUSION: Cystatin
C based eGFR equations may not perform well in populations with high levels of
chronic inflammation. CKD-EPI eGFR based on serum creatinine remains the
preferred equation in Indigenous Australians.
PMID- 27894953
TI - Biological variability of lipoprotein-associated phospholipase A2 activity in
healthy individuals.
AB - OBJECTIVES: Measuring lipoprotein-associated phospholipase A2 (Lp-PLA2) activity
can aid in identifying individuals at higher risk of coronary heart disease.
However, the biological variation of Lp-PLA2 activity and corresponding reference
change value (RCV) is unknown which limits interpretation of results. In this
study we aim to define the intra- and inter-individual variability of Lp-PLA2
activity in a healthy reference population. METHODS: A total of 24 healthy
individuals (22-47years of age) were prospectively collected at several time
points: daily for five days (after overnight fast), daily for three days (while
non-fasting), weekly for four weeks (after overnight fast), and monthly for
6months (after overnight fast). Intra-individual and inter-individual variability
was determined. The index of individuality (IoI) and reference change value (RCV)
were calculated for each time period. RESULTS: Variability in Lp-PLA2 activity
was not different in fasting versus non-fasting states and also did not change in
daily versus monthly testing. The RCV for monthly Lp-PLA2 activity was found to
be 17%. More than 90% of the variability was attributable to between person
differences while analytic variability comprised <9% of the variability and
within-person variability was low at <0.7%. The index of individuality for
monthly testing was 0.30 CONCLUSIONS: In a healthy population, Lp-PLA2 activity
displays low analytical and within-person variability and higher inter-individual
variability. The change required to differentiate a true change in patient status
was determined to be 17% for monthly measurements. The between individual
variability and corresponding RCV for the activity assay are lower than
previously reported results for the Lp-PLA2 mass assay.
PMID- 27894954
TI - Dual blocking of PI3K and mTOR signaling by NVP-BEZ235 inhibits proliferation in
cervical carcinoma cells and enhances therapeutic response.
AB - NVP-BEZ235 is a novel dual PI3K/mTOR inhibitor that shows dramatic effects on
many tumors, but its effects on cervical carcinoma cells are largely unknown. In
the present study, we investigated the effects of NVP-BEZ235 on the proliferation
and invasion of cervical carcinoma cells in vitro and clarified its mechanism of
action. In cellular settings with human cervical carcinoma cell lines, this
molecule effectively and specifically blocked dysfunctional PI3K/mTOR pathway
activation, suppressed cell growth in a time- and concentration-dependent manner,
led to G1 cell cycle arrest, and induced apoptosis. NVP-BEZ235 suppressed HeLa
cell invasiveness and metastasis by inhibiting the PI3K/Akt/MMP-2 pathway. We
further demonstrated that NVP-BEZ235 treatment in combination with cisplatin or
carboplatin induced a synergistic anti-tumoral response in cervical carcinoma
cells. These findings suggested that NVP-BEZ235 could regulate growth and
invasion of cervical carcinoma cells; thus it may provide a potential therapy for
cervical carcinoma.
PMID- 27894955
TI - Blocking preferential glucose uptake sensitizes liver tumor-initiating cells to
glucose restriction and sorafenib treatment.
AB - Cancer cells display altered metabolic phenotypes characterized by a high level
of glycolysis, even under normoxic conditions. Because of a high rate of
glycolytic flux and inadequate vascularization, tumor cells often suffer from
nutrient deficiency and require metabolic adaptations to address such stresses.
Although tumor-initiating cells (T-ICs) have been identified in various
malignancies, the cells' metabolic phenotypes remain elusive. In this study, we
observed that liver T-ICs preferentially survived under restricted glucose
treatment. These cell populations compete successfully for glucose uptake by
preferentially expressing glucose transporters (GLUT1 and GLUT3), whereas
inhibition of GLUT1 or GLUT3 abolished the survival advantage and suppressed the
tumorigenic potential of liver T-ICs. Among signaling pathways related to T-ICs,
IL-6/STAT3 was identified to be responsible for the elevation of glucose uptake
in liver T-ICs under glucose limitation. Further investigation revealed that IL-6
stimulation upregulated GLUT1 and GLUT3 expressions in CD133+ cells, particularly
during glucose deprivation. More importantly, inhibition of glucose uptake
sensitized liver T-ICs to sorafenib treatment and enhanced the therapeutic
efficacy in vivo. Our findings suggest that blocking IL-6/STAT3-mediated
preferential glucose uptake might be exploited for novel therapeutic targets
during hepatocellular carcinoma (HCC) progression.
PMID- 27894956
TI - Disulfiram induces anoikis and suppresses lung colonization in triple-negative
breast cancer via calpain activation.
AB - Triple-negative breast cancers (TNBC) often exhibit an aggressive phenotype.
Disulfiram (DSF) is an approved drug for the treatment of alcohol dependence, but
has also been shown to kill TNBC cells in a copper (Cu)-dependent manner. Exactly
how this occurs has not been clearly elucidated. We sought to investigate the
mechanisms responsible for DSF/Cu-dependent induction of apoptosis and
suppression of lung colonization by TNBC cells. DSF/Cu induced anoikis and
significantly suppressed cell migration and invasion with negative effects on
focal adhesions, coinciding with vimentin breakdown and calpain activation in
TNBC cells. In a xenograft tumor model, DSF suppressed tumor growth and lung
nodule growth, which was also associated with calpain activation. These findings
warrant further investigation of disulfiram as a potential treatment for
metastatic TNBC.
PMID- 27894958
TI - Poly (ADP-ribose) polymerase inhibitors selectively induce cytotoxicity in TCF3
HLF-positive leukemic cells.
AB - Poly (ADP-ribose) polymerase (PARP) is an indispensable component of the DNA
repair machinery. PARP inhibitors are used as cutting-edge treatments for
patients with homologous recombination repair (HRR)-defective breast cancers
harboring mutations in BRCA1 or BRCA2. Other tumors defective in HRR, including
some hematological malignancies, are predicted to be good candidates for
treatment with PARP inhibitors. Screening of leukemia-derived cell lines revealed
that lymphoid lineage-derived leukemia cell lines, except for those derived from
mature B cells and KMT2A (MLL)-rearranged B-cell precursors, were relatively
sensitive to PARP inhibitors. By contrast, acute myelogenous leukemia cell lines,
except for RUNX1-RUNXT1 (AML1-ETO)-positive lines, were relatively resistant.
Intriguingly, TCF3 (E2A)-HLF-positive leukemia was sensitive to PARP inhibitors.
TCF3-HLF expression suppressed HRR activity, suggesting that PARP inhibitor
treatment induced synthetic lethality. Furthermore, TCF3-HLF expression decreased
levels of MCPH1, which regulates the expression of BRCA1, resulting in
attenuation of HRR activity. The PARP inhibitor olaparib was also effective in an
in vivo xenograft model. Our results suggest a novel therapeutic approach for
treating refractory leukemia, particularly the TCF3-HLF-positive subtype.
PMID- 27894957
TI - DNA methylation profiling identifies PTRF/Cavin-1 as a novel tumor suppressor in
Ewing sarcoma when co-expressed with caveolin-1.
AB - Epigenetic modifications have been shown to be important in developmental tumors
as Ewing sarcoma. We profiled the DNA methylation status of 15 primary tumors, 7
cell lines, 10 healthy tissues and 4 human mesenchymal stem cells lines samples
using the Infinium Human Methylation 450K. Differential methylation analysis
between Ewing sarcoma and reference samples revealed 1166 hypermethylated and 864
hypomethylated CpG sites (Bonferroni p < 0.05, delta-beta-value with absolute
difference of >0.20) corresponding to 392 and 470 genes respectively. Gene
Ontology analysis of genes differentially methylated in Ewing sarcoma samples
showed a significant enrichment of developmental genes. Membrane and cell signal
genes were also enriched, among those, 11 were related to caveola formation. We
identified differential hypermethylation of CpGs located in the body and S-Shore
of the PTRF gene in Ewing sarcoma that correlated with its repressed
transcriptional state. Reintroduction of PTRF/Cavin-1 in Ewing sarcoma cells
revealed a role of this protein as a tumor suppressor. Restoration of caveolae in
the membrane of Ewing sarcoma cells, by exogenously reintroducing PTRF, disrupts
the MDM2/p53 complex, which consequently results in the activation of p53 and the
induction of apoptosis.
PMID- 27894960
TI - Cancer stem cells in osteosarcoma.
AB - Osteosarcoma is the most common primary bone tumour in children and adolescents
and advanced osteosarcoma patients with evidence of metastasis share a poor
prognosis. Osteosarcoma frequently gains resistance to standard therapies
highlighting the need for improved treatment regimens and identification of novel
therapeutic targets. Cancer stem cells (CSC) represent a sub-type of tumour cells
attributed to critical steps in cancer including tumour propagation, therapy
resistance, recurrence and in some cases metastasis. Recent published work
demonstrates evidence of cancer stem cell phenotypes in osteosarcoma with links
to drug resistance and tumorigenesis. In this review we will discuss the commonly
used isolation techniques for cancer stem cells in osteosarcoma as well as the
identified biochemical and molecular markers.
PMID- 27894961
TI - Low-dose norfloxacin and ciprofloxacin therapy worsen leptospirosis in hamster.
AB - Antibiotics play an important role in the treatment of leptospirosis. Many
antibiotics at appropriate concentrations improved the survival rate and
alleviated tissue injury, while, when dosing strategies fall below subtherapeutic
levels, worse therapeutic effects are seen. In the present study, we investigated
the efficacy of low-dose norfloxacin (10, 20 and 30 mg/kg) and ciprofloxacin (1,
2 and 5 mg/kg) against leptospirosis in a hamster model using Leptospira
interrogans serovar Icterohaemorrhagiae. The histopathology and bacterial loads
of target organs (liver, kidney and lung) were also studied by treatment with
norfloxacin at the dose of 10 mg/kg in this model. Using RT-PCR, the expression
of inflammatory factor IL-1beta and TNF-alpha was analyzed by comparing the
norfloxacin and untreated group. All untreated animals, serving as a negative
control, displayed 50% survival rate, while hamsters treated with norfloxacin at
the dose of 10 and 20 mg/kg and ciprofloxacin at the dose of 1 and 2 mg/kg showed
a lower survival rate than the untreated group. Furthermore, norfloxacin at the
dose of 10 mg/kg increased bacterial loads and aggravated tissue injury of target
organs. The delayed induction of IL-1beta and TNF-alpha was found in tissues of
norfloxacin group. Our study indicates an increased risk associated with low-dose
norfloxacin and ciprofloxacin in leptospirosis.
PMID- 27894959
TI - Inhibition of the apelin/apelin receptor axis decreases cholangiocarcinoma
growth.
AB - PURPOSE: Cholangiocarcinoma (CCA) is a malignancy of the biliary epithelium that
is associated with low five-year survival. The apelin receptor (APLNR), which is
activated by the apelin peptide, has not been studied in CCA. The purpose of this
study is to determine if inhibition of the apelin/APLNR axis can inhibit CCA
growth. METHODS: Immunohistochemistry, rtPCR, immunofluorescence, flow cytometry,
and ELISA was used to measure APLNR expression in human CCA cells and tissues. Mz
ChA-1 cells were treated with increasing concentrations of apelin and ML221, an
APLNR antagonist. Expression of proliferative and angiogenic genes were measured
via rtPCR. In vivo, Mz-ChA-1 cells were injected into the flanks of nu/nu mice,
which were treated with ML221 (150 MUg/kg) via tail vein injection. RESULTS:
Expression of the apelin/APLNR axis was increased in CCA. In vitro, CCA
proliferation and angiogenesis was inhibited by ML221 treatment. ML221 treatment
significantly decreased tumor growth in nu/nu mice. CONCLUSION: The apelin/APLNR
axis regulates CCA proliferation and angiogenesis. Inhibition of the apelin/APLNR
axis decreases tumor growth in our xenograft model. Targeting APLNR signaling has
the potential to serve as a novel, tumor directed therapy for CCA.
PMID- 27894963
TI - Longitudinal surveillance on antibiogram of important Gram-positive pathogens in
Southern China, 2001 to 2015.
AB - OBJECTIVES: A longitudinal surveillance aimed to investigate the antibiogram of
three genus of important Gram-positive pathogens in Southern China during 2001
2015. METHODS: A total of 3849 Staphylococcus, Enterococcus and Streptococcus
strains were isolated from Southern China during 2001-2015. Bacteria
identification was performed by colony morphology, Gram staining, the API
commercial kit and the Vitek 2 automated system. Antimicrobial susceptibility
testing was determined by disk diffusion method and MIC method. RESULTS: As
sampling site was concerned, 51.4% of Staphylococcus strains were isolated from
sputum, whereas urinary tract remained the dominant infection site among
Enterococcus and Streptococcus. According to the antimicrobial susceptibility,
three genus of important Gram-positive pathogens showed high resistance against
erythromycin, tetracycline, ciprofloxacin and clindamycin. Resistance rates to
penicillins (penicillin, oxacillin, ampicillin) were high as well, with the
exception of E. faecalis and Streptococcus. Overall, resistance rates against
methicillin (oxacillin) were 63.2% in S. aureus and 76.2% in coagulase-negative
Staphylococcus (CNS), along with continuous increases during the study. VRSA and
vancomycin-resistant coagulase-negative Staphylococcus only appeared in 2011
2015. Sight decline was obtained for the vancomycin resistance of E. faecalis,
while vancomycin-resistant E. faecium only appeared in 2011-2015, with its
intermediate rate decreasing. Significant decrease in penicillin-resistant
Streptococcus pneumonia (PRSP) was observed during studied period. Glycopeptide
antibiotic remained highly effective to Staphylococcus, Enterococcus and
Streptococcus (resistance rates <5%). CONCLUSIONS: Despite decline obtained for
some antibiotic agents resistance during 2001-2015, antimicrobial resistance
among Gram-positive pathogens still remained high in Southern China. This study
may aid in the guidance for appropriate therapeutic strategy of infections caused
by nosocomial pathogens.
PMID- 27894962
TI - Clinico-pathology and hemato-biochemistry responses in buffaloes infected with
Pasteurella multocida type B:2 immunogen outer membrane protein.
AB - The aim of this study was to investigate the clinico-pathology and haemato
biochemistry alterations in buffaloes inoculated with Pasteurella multocida type
B:2 immunogen outer membrane protein via subcutaneous and oral routes. Nine
buffalo heifers were divided equally into 3 treatment groups. Group 1 was
inoculated orally with 10 mL of phosphate buffer saline (PBS); Group 2 and 3 were
inoculated with 10 mL of outer membrane protein broth subcutaneously and orally
respectively. Group 2 buffaloes showed typical haemorrhagic septicaemia clinical
signs and were only able to survive for 72 h of the experiment. However, Group 3
buffaloes were able to survive throughout the stipulated time of 21 days of
experiment. There were significant differences (p < 0.05) in the rectal
temperature between the experimental and control group. In the hematology and
biochemistry findings, there were significant differences (p < 0.05) in packed
cell volume, mean corpuscular volume, mean corpuscular haemoglobin concentration,
leukocytes, band neutrophils, segmented neutrophils, lymphocytes, eosinophils,
basophils, gamma glutamyl transferase, total protein, and globulin between Group
2 and control group. In contrast, Group 3 and control group revealed significant
differences (p < 0.05) in erythrocytes, haemoglobin, mean corpuscular haemoglobin
concentration, segmented neutrophils, lymphocytes, monocytes, eosinophils,
basophils, thrombocytes, gamma glutamyl transferase, total protein, globulin, and
albumin:globulin ratio. In Group 2 buffaloes, there were gross lesions observed
in the lung, trachea, heart, liver, spleen, kidney and submandibulae lymph nodes.
In contrast, lesions were only observed in the lung, and liver of Group 3
buffaloes. There were significant differences (p < 0.05) in hemorrhage and
congestion; necrosis and degeneration; and inflammatory cells infiltration
between experimental groups and control group. However, there were no significant
differences (p > 0.05) in edema between groups except for the lung. This study
was a proof that oral route infection of Pasteurella multocida type B:2 immunogen
outer membrane protein can be used to stimulate host cell.
PMID- 27894964
TI - Approach to osteomyelitis treatment with antibiotic loaded PMMA.
AB - BACKGROUND: To reduce the incidence of osteomyelitis infection, local antibiotic
impregnated delivery systems are commonly used as a promising and effective
approach to deliver high antibiotic concentrations at the infection site.
OBJECTIVE: The objective of this review was to provide a literature review
regarding approach to osteomyelitis treatment with antibiotic loaded PMMA. STUDY
DESIGN: Literature study regarding osteomyelitis treatment with antibiotic loaded
carriers using key terms Antibiotic, osteomyelitis, biodegradable PMMA through
published articles. Hands searching of bibliographies of identified articles were
also undertaken. CONCLUSION: We concluded that Antibiotic-impregnated PMMA beads
are useful options for the treatment of osteomyelitis for prolonged drug therapy.
PMID- 27894965
TI - An application of mass spectrometry for quality control of biologicals: Highly
sensitive profiling of plasma residuals in human plasma-derived immunoglobulin.
AB - : Thromboembolic events (TEE) associated to trace amounts of plasmatic activated
coagulation factor XI (FXIa) in administrated immunoglobulin (Ig) have recently
raised concerns and hence there is a need for highly sensitive profiling of
residual plasma source proteins. This study aims to consider LC-ESI-QTOF data
dependent acquisition in combination with sample fractionation for this purpose.
Sample fractionation proved mandatory to enable identification of plasma
residuals. Two approaches were compared: Ig depletion with protein G - protein A
affinity chromatography and low-abundant protein enrichment with a combinatorial
peptide ligand library (ProteoMinerTM, Bio-Rad). The latter allowed a higher
number of identifications. Highly sensitive detection of prothrombotic FXIa was
assessed with confident identification of a 1ng/mg spike. Moreover, different
residuals compositions were profiled for various commercial Ig products. Using a
quantitative label free analysis, a TEE-positive Ig batch was distinguished from
other regular Ig products, with increased levels of FXIa but also other unique
proteins. This could have prevented the recently observed TEE problems with Ig.
The method is a convenient tool to better characterize Ig products after any
plasma pool or manufacture process change, gaining insights in the product
quality profile without any prior information required. BIOLOGICAL SIGNIFICANCE:
This study characterized residual plasma proteins in Ig products, using bottom-up
LC-MS/MS with conventional data-dependent acquisition, preceded by sample
fractionation. Without any prior information or target-specific development, >30
proteins were identified in a commercial Ig product. Quality control relevance
was demonstrated with the identification of FXIa spiked at 1ng/mg in Ig, which is
below the minimal thrombotic dose of 3ng/mg observed in an in vivo model.
Relative label-free quantitation highlighted significant differences in
normalized abundances of residual proteins between Ig products. A TEE-positive
batch was distinguished by unique profile of residual proteins, including FXIa
but also various blood stream-regulator proteins (fibrinogen, angiotensinogen,
antithrombin-III, complement component C8, ...). Those results emphasize that MS
screening is a relevant first-line test to prevent any undesired concentration of
plasma impurities after a plasma pool or manufacturing process change.
PMID- 27894966
TI - Quantitative proteomic changes during post myocardial infarction remodeling
reveals altered cardiac metabolism and Desmin aggregation in the infarct region.
AB - : Myocardial infarction is one of the leading causes of cardiac dysfunction,
failure and sudden death. Post infarction cardiac remodeling presents a poor
prognosis, with 30%-45% of patients developing heart failure, in a period of 5
25years. Oxidative stress has been labelled as the primary causative factor for
cardiac damage during infarction, however, the impact it may have during the
process of post infarction remodeling has not been well probed. In this study, we
have implemented iTRAQ proteomics to catalogue proteins and functional processes,
participating both temporally (early and late phases) and spatially (infarct and
remote zones), during post myocardial infarction remodeling of the heart as
functions of the differential oxidative stress manifest during the remodeling
process. Cardiac metabolism was the dominant network to be affected during
infarction and the remodeling time points considered in this study. A distinctive
expression pattern of cytoskeletal proteins was also observed with increased
remodeling time points. Further, it was found that the cytoskeletal protein
Desmin, aggregated in the infarct zone during the remodeling process, mediated by
the protease Calpain1. Taken together, all of these data in conjunction may lay
the foundation to understand the effects of oxidative stress on the remodeling
process and elaborate the mechanism behind the compromised cardiac function
observed during post myocardial infarction remodeling. SIGNIFICANCE: Oxidative
stress is the major driving force for cardiac damage during myocardial
infarction. However, the impact of oxidative stress on the process of post MI
remodeling in conducting the heart towards functional failure has not been well
explored. In this study, a spatial and temporal approach was taken to elaborate
the major proteins and cellular processes involved in post MI remodeling. Based
on level/ intensity of ROS, spatially, infarct and noninfarct zones were chosen
for analysis while on the temporal scale, early (30days) and late time points
(120days) post MI were included in the study. This design enabled us to delineate
the differential protein expression on a spectrum of maximum oxidative stress at
infarct zone during MI to minimum oxidative stress at noninfarct zone during late
time point post MI. The proteome profiles for each of the study groups when
comparatively analysed gave a holistic idea about the dominant cellular processes
involved in post MI remodeling such as cardiac metabolism, both for short term
and long term remodeling as well as unique processes such as Desmin mediated
cytoskeletal remodeling of the infarcted myocardium that are involved in the
compromise of cardiac function.
PMID- 27894967
TI - Role of Buffers in Protein Formulations.
AB - Buffers comprise an integral component of protein formulations. Not only do they
function to regulate shifts in pH, they also can stabilize proteins by a variety
of mechanisms. The ability of buffers to stabilize therapeutic proteins whether
in liquid formulations, frozen solutions, or the solid state is highlighted in
this review. Addition of buffers can result in increased conformational stability
of proteins, whether by ligand binding or by an excluded solute mechanism. In
addition, they can alter the colloidal stability of proteins and modulate
interfacial damage. Buffers can also lead to destabilization of proteins, and the
stability of buffers themselves is presented. Furthermore, the potential safety
and toxicity issues of buffers are discussed, with a special emphasis on the
influence of buffers on the perceived pain upon injection. Finally, the
interaction of buffers with other excipients is examined.
PMID- 27894968
TI - Crystallographic Structure, Intermolecular Packing Energetics, Crystal Morphology
and Surface Chemistry of Salmeterol Xinafoate (Form I).
AB - Single crystals of salmeterol xinafoate (form I), prepared from slow cooled
supersaturated propan-2-ol solutions, crystallize in a triclinic P1- symmetry
with 2 closely related independent salt pairs within the asymmetric unit, with an
approximately double-unit cell volume compared with the previously published
crystal structure. Synthonic analysis of the bulk intermolecular packing confirms
the similarity in packing energetics between the 2 salt pairs. The strongest
synthons, as expected, are dominated by coulombic interactions. Morphologic
prediction reveals a plate-like morphology, dominated by the {001}, {010}, and
{100} surfaces, consistent with experimentally grown crystals. Although surface
chemistry of the slow-growing {001} face comprises large sterically hindering
phenyl groups, although weaker coulombic interactions still prevail from the
alcohol group present on the phenyl and hydroxymethyl groups. The surface
chemistry of the faster growing {010} and {100} faces are dominated by the
significantly stronger cation/anion interactions occurring between the
carboxylate and protonated secondary ammonium ion groups. The importance of
understanding the cohesive and adhesive nature of the crystal surfaces of an
active pharmaceutical ingredient, with respect to their interaction with other
active pharmaceutical ingredient crystals and how that may affect formulation
design, is highlighted.
PMID- 27894969
TI - The types and aspects of front-of-pack food labelling schemes preferred by adults
and children.
AB - There is strong interest in front-of-pack labels (FoPLs) as a potential mechanism
for improving diets, and therefore health, at the population level. The present
study examined Australian consumers' preferences for different types and
attributes of FoPLs to provide additional insights into optimal methods of
presenting nutrition information on the front of food packets. Much research to
date has focused on two main types of FoPLs - those expressing daily intake
values for specific nutrients and those utilising 'traffic light' colour coding.
This study extends this work by: (i) including the new Health Star Rating system
recently introduced in Australia and New Zealand; (ii) allowing a large sample of
consumers to self-nominate the evaluation criteria they consider to be most
important in choosing between FoPLs; (iii) oversampling consumers of lower
socioeconomic status; and (iv) including children, who consume and purchase food
in their own right and also influence their parents' food purchase decisions. A
cross-sectional online survey of 2058 Australian consumers (1558 adults and 500
children) assessed preferences between a daily intake FoPL, a traffic light FoPL,
and the Health Star Rating FoPL. Across the whole sample and among all respondent
subgroups (males vs females; adults vs children; lower socioeconomic status vs
medium-high socioeconomic status; normal weight vs overweight/obese), the Health
Star Rating was the most preferred FoPL (44%) and the daily intake guide was the
least preferred (20%). The reasons most commonly provided by respondents to
explain their preference related to ease of use, interpretive content, and
salience. The findings suggest that a simple to use, interpretive, star-based
food label represents a population-based nutrition promotion strategy that is
considered helpful by a broad range of consumers.
PMID- 27894970
TI - Genome-wide characterization of the SiDof gene family in foxtail millet (Setaria
italica).
AB - Dof (DNA binding with one finger) proteins, which constitute a class of
transcription factors found exclusively in plants, are involved in numerous
physiological and biochemical reactions affecting growth and development. A
genome-wide analysis of SiDof genes was performed in this study. Thirty five
SiDof genes were identified and those genes were unevenly distributed across nine
chromosomes in the Seteria italica genome. Protein lengths, molecular weights,
and theoretical isoelectric points of SiDofs all vary greatly. Gene structure
analysis demonstrated that most SiDof genes lack introns. Phylogenetic analysis
of SiDof proteins and Dof proteins from Arabidopsis thaliana, rice, sorghum, and
Setaria viridis revealed six major groups. Analysis of RNA-Seq data indicated
that SiDof gene expression levels varied across roots, stems, leaves, and spike.
In addition, expression profiling of SiDof genes in response to stress suggested
that SiDof 7 and SiDof 15 are involved in drought stress signalling. Overall,
this study could provide novel information on SiDofs for further investigation in
foxtail millet.
PMID- 27894971
TI - Aqueous seed extract of Hunteria umbellata (K. Schum.) Hallier f. (Apocynaceae)
palliates hyperglycemia, insulin resistance, dyslipidemia, inflammation and
oxidative stress in high-fructose diet-induced metabolic syndrome in rats.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Hunteria umbellata is used in the management and
treatment of diabetes and obesity in Nigeria. This study evaluates the effect of
aqueous seed extract of Hunteria umbellata on insulin resistance, dyslipidemia,
inflammation and oxidative stress in high-fructose diet-induced metabolic
syndrome MATERIALS AND METHODS: Rats were randomized into seven groups (A-G).
Control (group A) and group C rats received control diet for nine weeks while
rats in groups B, D - G were placed on high-fructose diet for 9 weeks. In
addition to the diets, groups C - F rats orally received 400, 100, 200 and
400mg/kg body weight aqueous seed extract of Hunteria umbellata for 3 weeks
starting from 6th - 9th week. RESULTS: High-fructose diet (when compared to
control rats) mediated a significant (p<0.05) increase in body weight, body mass
index and abdominal circumference. Similarly, levels of blood glucose, insulin,
leptin, adiponectin and insulin resistance were increased. It also caused a
significant increase in the levels of cholesterol, triglycerides, low-density
lipoprotein cholesterol, very low-density lipoprotein cholesterol, atherogenic
index, cardiac index and coronary artery index while high-density lipoprotein
cholesterol was decreased significantly. Levels of proinflammatory factor, tumour
necrosis factor-alpha, interleukin-6 and 8 were also increased by the high
fructose diet. Moreover, it mediated decrease in activities of superoxide
dismutase, catalase, glutathione peroxidase, glutathione reductase, glucose 6
phosphate dehydrogenase and level of glutathione reduced. Conversely, levels of
malondialdehyde, conjugated dienes, lipid hydroperoxides, protein carbonyl and
fragmented DNA were elevated. Aqueous seed extract of Hunteria umbellata
significantly ameliorated the high fructose diet-mediated alterations.
CONCLUSIONS: From this study, it is concluded that aqueous seed extract of
Hunteria umbellata possesses hypoglycemic, hypolipidemic and antioxidants
abilities as evident from its capability to extenuate insulin resistance,
dyslipidemia, inflammation and oxidative stress in high-fructose diet-induced
metabolic syndrome rats.
PMID- 27894972
TI - Transcriptome inference and systems approaches to polypharmacology and drug
discovery in herbal medicine.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Herbal medicine is a concoction of numerous
chemical ingredients, and it exhibits polypharmacological effects to act on
multiple pharmacological targets, regulating different biological mechanisms and
treating a variety of diseases. Thus, this complexity is impossible to
deconvolute by the reductionist method of extracting one active ingredient acting
on one biological target. AIM OF THE STUDY: To dissect the polypharmacological
effects of herbal medicines and their underling pharmacological targets as well
as their corresponding active ingredients. MATERIALS AND METHODS: We propose a
system-biology strategy that combines omics and bioinformatical methodologies for
exploring the polypharmacology of herbal mixtures. The myocardial ischemia model
was induced by Ameroid constriction of the left anterior descending coronary in
Ba-Ma miniature pigs. RNA-seq analysis was utilized to find the differential
genes induced by myocardial ischemia in pigs treated with formula QSKL. A
transcriptome-based inference method was used to find the landmark drugs with
similar mechanisms to QSKL. RESULTS: Gene-level analysis of RNA-seq data in QSKL
treated cases versus control animals yields 279 differential genes. Transcriptome
based inference methods identified 80 landmark drugs that covered nearly all drug
classes. Then, based on the landmark drugs, 155 potential pharmacological targets
and 57 indications were identified for QSKL. CONCLUSION: Our results demonstrate
the power of a combined approach for exploring the pharmacological target and
chemical space of herbal medicines. We hope that our method could enhance our
understanding of the molecular mechanisms of herbal systems and further
accelerate the exploration of the value of traditional herbal medicine systems.
PMID- 27894973
TI - Is there nothing new under the sun? The influence of herbals and pharmacopoeias
on ethnobotanical traditions in Albacete (Spain).
AB - ETHNOPHARMACOLOGICAL RELEVANCE: This paper has two overarching aims: (1)
presenting the results of studying the Albacete tariff of medicines of 1526 and
(2) broadly analyzing the origin and influences of medicinal traditional
knowledge in the region of Albacete, Spain. We use historical and modern
literature that may have influenced this knowledge. Our primary goal was to
determine the ingredients used in the pharmacy in the 16th century CE in Albacete
through the analysis of the tariff, and our secondary goal was to investigate
until when ingredients and uses present in pharmacy and herbals persisted in
later periods. METHODS: The identity of medicines and ingredients was determined
by analyzing contemporary pharmacopoeias and classical pharmaceutical references.
We analyzed further 21 sources (manuscripts, herbals, and books of medicines,
pharmacopoeias, pharmacy inventories, and modern ethnobotanical records) for the
presence/absence of ingredients and complex formulations of the tariff. Using
factorial and cluster analysis and Bayesian inference applied to evolution models
(reversible-jump Markov chain Monte Carlo), we compared textual sources. Finally,
we analyzed the medicinal uses of the top 10 species in terms of frequency of
citation to assess the dependence of modern ethnobotanical records on Renaissance
pharmacy and herbals, and, ultimately, on Dioscorides. RESULTS: In Albacete 1526,
we determined 101 medicines (29 simple drugs and 72 compound medicines)
comprising 187 ingredients (85% botanical, 7.5% mineral, and 7.5% zoological
substances). All composed medicines appear standardized in the pharmacopoeias,
notably in the pharmacopoeia of Florence from 1498. However, most were no longer
in use by 1750 in the pharmacy, and were completely absent in popular herbal
medicine in Albacete 1995 as well as in Alta Valle del Reno (Italy) in 2014.
Among the ingredients present in different formulation are the flowers of Rosa
gallica, honey (Apis mellifera), the roots of Nardostachys jatamansi, and
Convolvulus scammonia, pistils of Crocus sativus, grapes and raisins (Vitis
vinifera), rhizomes of Zingiber officinale, bark of Cinnamomum verum, leaves and
fruits of Olea europaea, mastic generally of Pistacia lentiscus, and wood of
Santalum album. The statistical analysis of sources produces four well-separated
clusters (Renaissance Herbals and Pharmacopoeias, Ethnobotany and Folk Medicine,
Old phytotherapy, and Modern phytotherapy including Naturopathy) confirming our a
priori classification. The clade of Renaissance Herbals and Pharmacopoeias
appears separated from the rest in 97% of bootstrapped trees. Bayesian inference
produces a tree determined by an initial set of two well-distinct core groups of
ingredients: 64, locally used in Mediterranean Europe during centuries; and 45,
imported, used in pharmacy during centuries. Complexity reached its maximum in
Albacete 1526 and contemporary pharmacopoeias, gradually decreasing over time.
The analysis of medicinal uses of the top 10 ingredients showed low coincidence
between Dioscorides and different Renaissance herbals or medical treatises and of
all of them with ethnobotany in Albacete. CONCLUSIONS: Regarding our question: is
there something new under the sun? In some aspects, the answer is "No". The
contrast between expensive drugs, highly valued medicines, and unappreciated
local wild medicinal plants persists since the Salerno's school of medicine. Old
medicine in Mediterranean Europe, as reflected by Albacete 1526 tariff of
medicines, involved strict formulations and preferences for certain ingredients
despite other ingredients locally available but underappreciated. This confirms
the fact that any system of medicine does not get to use all available resources.
Ethnobiological records of materia medica, in rural areas of Albacete, describe
systems with a high degree of stability and resilience, where the use of local
resources, largely wild but also cultivated, is predominant in contrast with the
weight of imported exotic products in pharmacy.
PMID- 27894974
TI - Cell-cycle Progression-score Might Improve the Current Risk Assessment in Newly
Diagnosed Prostate Cancer Patients.
AB - OBJECTIVE: To assess whether cell-cycle progression (CCP)-score (Prolaris) can
improve the current risk assessment in newly diagnosed prostate cancer (PCa)
patients. CCP-score is a well-validated prognostic assay predictive of PCa death,
biochemical recurrence, and progression. METHODS: We evaluated CCP-score at
biopsy in 52 patients newly diagnosed with PCa who underwent radical
prostatectomy. CCP-score was calculated as average RNA expression of 31 CCP
genes, normalized to 15 housekeeping genes. The predictive ability of CCP-score
was assessed in univariate and multivariate analyses, and compared to that of Ki
67 levels and traditional clinical variables including prostate-specific antigen,
Gleason score, stage, and percentage of positive cores at biopsy. RESULTS: In
spite of an overall good accuracy in attributing the correct risk class, 7 high
risk and 13 intermediate-risk patients were misclassified by the Prolaris test.
On analysis of variance, mean CCP-score significantly differed across different
risk classes based on pathologic results (-1.2 in low risk, -0.444 in
intermediate risk, 0.208 in high risk). CCP-score was a significant predictor of
high-risk PCa both on univariate and multivariate analyses, after adjusting for
clinical variables. Combining CCP-score and the European Association of Urology
clinical risk assessment improved the accuracy of risk attribution by around 10%,
up to 87.8%. CCP-score was a significant predictor of biochemical recurrence, but
only on univariate analysis. CONCLUSION: The CCP-score might provide important
new information to risk assessment of newly diagnosed PCa in addition to
traditional clinical variables. A correct risk attribution is essential to tailor
the best treatment for each patient.
PMID- 27894975
TI - Re: Lopes et al.: "Zero-Ischemia" Laparoscopic-assisted Partial Nephrectomy for
the Management of Selected Children With Wilms Tumors Following Neoadjuvant
Chemotherapy. (Urology 2017;100:103-110).
PMID- 27894976
TI - Comparison of the Clinical Outcomes of Intracytoplasmic Sperm Injection Between
Spermatozoa Retrieved From Testicular Biopsy and From Ejaculate in
Cryptozoospermia Patients.
AB - OBJECTIVE: To compare the clinical outcomes of intracytoplasmic sperm injection
(ICSI) between spermatozoa retrieved from testicular biopsy and from ejaculate in
cryptozoospermia patients. MATERIALS AND METHODS: The clinical data of 285
cryptozoospermia patients who underwent ICSI treatment in our center during the
period from March 2009 to November 2013 were retrospectively analyzed. Within
them, ejaculated sperms were used in 214 cases (group 1), and testicular sperms
extracted by testicular sperm aspiration or conventional testis dissection sperm
extraction were used in 71 cases (group 2). Good-quality embryo, fertilization,
embryo implantation, pregnancy, and birth rates after ICSI were compared between
the 2 groups. RESULTS: Comparing the ejaculated sperm group with the testicular
sperm group, fertilization rates were 59.6% and 60.6%, good-quality embryo rates
were 36.8% and 46.1%, embryo implantation rates were 30.7% and 52.1%, pregnancy
rates were 33.3% and 53.6%, and birth rates were 27.1% and 44.6%, respectively.
CONCLUSION: Using testicular sperms can achieve higher rates of embryo
implantation, pregnancy, and birth compared with those using ejaculated sperms
for ICSI treatment in cryptozoospermia patients.
PMID- 27894977
TI - Granular Cell Tumor of the Bladder: A Rare Neoplasm Managed With Robotic Partial
Cystectomy Using Near-infrared Filter Guidance.
PMID- 27894978
TI - An Arterial Perihepatic Enhancement Caused by Spontaneous Bladder Rupture.
AB - Spontaneous bladder rupture (SBR) is a rare but life-threatening condition. Its
diagnosis is challenging; symptoms might present solely as ascites during onset
or with features of frank peritonitis. When SBR causes peritonitis, arterial
perihepatic enhancement can be detected on a contrast computed tomography scan.
SBR should be suspected when patients have acute abdominal pain and risk factors
including previous pelvic irradiation, urethral catheterization, and binge
alcohol drinking. We present the first case report of arterial perihepatic
enhancement due to SBR.
PMID- 27894979
TI - Comparison of White Light, Photodynamic Diagnosis, and Narrow-band Imaging in
Detection of Carcinoma In Situ or Flat Dysplasia at Transurethral Resection of
the Bladder: the DaBlaCa-8 Study.
AB - OBJECTIVE: To compare findings in NBI to findings in WL and PDD in a high-risk
patient population. MATERIALS AND METHODS: A total of 171 patients were included
in the study from 4 different urology departments in Denmark and Norway. Patients
were scheduled for a PDD-guided transurethral tumor resection or cystoscopy
guided biopsy in accordance with Danish guidelines, on the suspicion of primary
or concomitant CIS. All patients were examined with WL cystoscopy followed by
both NBI and PDD before biopsy. RESULTS: A total of 136 patients were biopsied
due to findings with suspicion of CIS in at least 1 modality (482 biopsies with a
mean of 3.5 biopsies per patient). Analysis at patient level showed that NBI and
PDD had a significantly higher sensitivity regarding identification of CIS and
dysplasia compared with WL (NBI: 95.7%, PDD: 95.7% vs WL: 65.2%, P < .05).
Specificity was not significantly different between the 3 methods (NBI: 52.0%,
PDD: 48.0%, and WL: 56.8%). When analyzed per biopsy, NBI and PDD had a
significantly higher sensitivity than WL (NBI: 72.7% and PDD: 78.2% vs WL: 52.7%,
P < .05), whereas the positive predictive values were not significantly different
(NBI: 23.7%, PDD: 22.2%, and WL: 19.0%). CONCLUSION: NBI was found to be a valid
alternative to PDD regarding diagnosis of CIS and flat dysplasia.
PMID- 27894981
TI - HIV prevalence among men who have sex with men in Istanbul.
AB - OBJECTIVES: The re-emergence of the HIV epidemic among men who have sex with men
(MSM) represents a serious health issue. This study aimed to assess the HIV
prevalence among MSM in a very low prevalence population of a large city.
METHODS: A public campaign to raise awareness of HIV infection and to provide
access to anonymous testing was conducted in places frequented by MSM and through
a mobile phone application. No identity information was requested from
individuals contacting the call centre, and anonymous and free HIV testing was
offered proactively. Those who agreed to have a test were provided a code number,
which was used in blood sampling procedures. RESULTS: Of 1200 subjects who
contacted the call centre, 197 consented to undergo HIV testing and visited the
laboratory to give a blood sample. Twenty-five subjects were found to have a
reactive ELISA result on two different occasions plus a positive Western blot
test result. Thus, the HIV prevalence in this group of MSM was 12.7%.
CONCLUSIONS: MSM remain a high risk group for HIV infection in a low prevalence
setting, and thus represent a key target population for diagnostic and
therapeutic interventions.
PMID- 27894982
TI - Multiple Opportunistic Infections in a Woman with GATA2 Mutation.
AB - GATA2 deficiency is a genetic disorder caused by inherited or sporadic
haploinsufficient mutations in the GATA2 gene. Patients have abnormalities in
hematopoiesis, lymphangiogenesis and immunity; encompassing a broad range of
clinical syndromes, mainly characterized by monocytopenia, B and NK cell
cytopenia, severe or recurrent infections, and a high risk of developing
myelodysplastic syndrome (MDS) and acute myeloid leukemia (AML). We report a case
of an Argentinean woman who presented with multiple opportunistic infections as
her first manifestation of GATA2 deficiency.
PMID- 27894983
TI - A case of clinical and microbiological failure of azithromycin therapy in
Salmonella enterica serotype Typhi despite low azithromycin MIC.
AB - Typhoid fever remains a serious problem in many developing countries. Due to
resistance to multiple first line drugs, azithromycin has evolved as an important
drug in the treatment of typhoid. While therapy with azithromycin is highly
effective, no clinically validated mean inhibitory concentration (MIC) break
points or disc diffusion cutoff guidelines are available so far. We describe an
Indian adult with clinical and microbiological failure to azithromycin despite
low azithromycin MIC.
PMID- 27894984
TI - A longitudinal analysis of methicillin-resistant and sensitive Staphylococcus
aureus incidence in respect to specimen source, patient location, and temperature
variation.
AB - OBJECTIVE: Seasonal variations in temperature exert strong selective pressure on
microorganism population dynamics and should be taken into account in
epidemiological studies. The objective of the present study was to characterize
the seasonal variation of staphylococcal infections in respect to patient
location, specimen source, month of year, and temperature variation. METHODS: A
retrospective longitudinal time-series analysis of methicillin-resistant and
methicillin-sensitive Staphylococcus aureus (MRSA and MSSA) was conducted in
northeastern Ohio over a 5-year period. Multivariable time-series analyses were
performed to detect the variations in the monthly incidence based on location of
patients (inpatient, outpatient, and nursing homes), source of specimen (wound,
respiratory tract, and urine), time of year (January-December), and temperature
variation (average monthly over 5 years). RESULTS: The results indicated a
gradual increase in both MRSA and MSSA infections, with outpatient cases
representing the majority of cases. If present, the seasonal nature of MRSA
infections varied based on specimen source and patient location, with wound
infections from outpatients more prevalent in warmer months, and respiratory
infections among inpatients more prevalent during colder months. CONCLUSIONS: The
current report provides a longitudinal analysis of staphylococcal epidemiology,
and in the process, identifies the seasonal nature of infections to be
multifactorial, depending on such variables as specimen source and patient
location. The seasonal nature of staphylococcal infections appears to be the
product of a complex interaction among host, pathogen, and environment.
PMID- 27894985
TI - Factors influencing treatment default among tuberculosis patients in a high
burden province of South Africa.
AB - OBJECTIVE: To determine and describe the factors influencing treatment default of
tuberculosis (TB) patients in the Free State Province of South Africa. METHODS: A
retrospective records review of pulmonary TB cases captured in the ETR.Net
electronic TB register between 2003 and 2012 was performed. Subjects were >15
years of age and had a recorded pre-treatment smear result. The demographic and
clinical characteristics of defaulters were described. Multivariate logistic
regression analysis was used to determine factors associated with treatment
default. The odds ratios (OR) together with their corresponding 95% confidence
intervals (CI) were estimated. Statistical significance was considered at 0.05.
RESULTS: A total of 7980 out of 110 349 (7.2%) cases defaulted treatment.
Significantly higher proportions of cases were male (8.3% vs. female: 5.8%;
p<0.001), <25 years old (9.1% vs. 25-34 years: 8.7%; 35-44 years: 7.0%; 45-54
years: 5.2%; 55-64 years: 4.4%; >64 years: 3.9%; p<0.001), undergoing TB
retreatment (11.0% vs. new cases: 6.3%; p<0.001), had a negative pre-treatment
sputum smear result (7.8% vs. positive smear results: 7.1%; p<0.001), were in the
first 2 months of treatment (95.5% vs. >2 months: 4.8%; p<0.001), and had unknown
HIV status (7.8% vs. HIV-positive: 7.0% and HIV-negative: 5.7%; p<0.001). After
controlling for potential confounders, multivariate analysis revealed a two-fold
increased risk of defaulting treatment when being retreated compared to being
treated for the first time for TB (adjusted OR (AOR) 2.0, 95% CI 1.85-2.25).
Female cases were 40% less likely to default treatment compared to their male
counterparts (AOR 0.6, 95% CI 0.51-0.71). Treatment default was less likely among
cases >24 years old compared to younger cases (25-34 years: AOR 0.8, 95% CI 0.77
0.87; 35-44 years: AOR 0.6, 95% CI 0.50-0.64; 45-54 years: AOR 0.4, 95% CI 0.32
0.49; 55-64 years: AOR 0.3, 95% CI 0.21-0.43; >64 years: AOR 0.3, 95% CI 0.19
0.35). Co-infected cases receiving antiretroviral therapy (ART) were 40% less
likely to default TB treatment relative to those whose ART status was unknown
(AOR 0.6, 95% CI 0.46-0.57). CONCLUSIONS: Salient factors influence TB patient
treatment default in the Free State Province. Therefore, the strengthening of
clinical and programmatic interventions for patients at high risk of treatment
default is recommended. In particular, ART provision to co-infected cases
facilitates TB treatment adherence and outcomes.
PMID- 27894980
TI - Natural killer cells in inflammatory heart disease.
AB - Despite of a multitude of excellent studies, the regulatory role of natural
killer (NK) cells in the pathogenesis of inflammatory cardiac disease is greatly
underappreciated. Clinical abnormalities in the numbers and functions of NK cells
are observed in myocarditis and inflammatory dilated cardiomyopathy (DCMi) as
well as in cardiac transplant rejection [1-6]. Because treatment of these
disorders remains largely symptomatic in nature, patients have little options for
targeted therapies [7,8]. However, blockade of NK cells and their receptors can
protect against inflammation and damage in animal models of cardiac injury and
inflammation. In these models, NK cells suppress the maturation and trafficking
of inflammatory cells, alter the local cytokine and chemokine environments, and
induce apoptosis in nearby resident and hematopoietic cells [1,9,10]. This review
will dissect each protective mechanism employed by NK cells and explore how their
properties might be exploited for their therapeutic potential.
PMID- 27894986
TI - Application of molecular genotyping to determine prevalence of HPV strains in Pap
smears of Kazakhstan women.
AB - OBJECTIVES: Human papillomavirus is the main causative agent for cervical cancer.
However, few data are available about HPV prevalence in Kazakhstan. The aims of
this study were to genotype HPV DNA in Pap smear samples of women to determine
prevalence of carcinogenic HPV types in Astana, Kazakhstan and to analyze the
association between HPV positivity and the cytology results of patient samples.
METHODS: Pap smear materials were obtained from 140 patients aged 18-59, who
visited the outpatient gynecological clinic. Microscopic examination was done to
detect dysplasia, and HPV genotyping was done using real-time multiplex PCR.
RESULTS: HPV testing showed that among 61 HPV positive patients, the most
prevalent types were 16 and 18. Microscopic examination showed that 79% of the
samples had normal cytology, while 13% had CIN grade I, 5% had CIN grade II, and
3% had CIN grade III. The analysis revealed that 12% of the samples had CIN
cytology and presence of HPV. Approximately 31% had HPV without cervical
dysplasia, while 8% of samples were CIN positive without HPV infection. A
statistically significant relationship between HPV 16 and HPV 33 positive samples
and CIN grade II and III was found. CONCLUSIONS: Overall, this study will help to
strengthen and guide health policy implementation of primary and secondary
cervical cancer prevention strategies in Kazakhstan.
PMID- 27894987
TI - A novel approach for dry powder coating of pellets with Ethylcellulose. Part I:
Evaluation of film formulation and process set up.
AB - An innovative dry powder coating technology was developed in a high-shear
granulator using ethylcellulose (E10) as polymer. Several solid plasticizers were
investigated with the aim of decreasing the polymer Tg at least to the highest
possible working temperature (80 degrees C). DSC analysis of physical mixtures of
E10 and plasticizers evidenced that lauric acid (LA) was the most effective
plasticizer. In order to reach the target temperature a liquid plasticizer, oleic
acid (OA), was introduced in the coating formulation. Free films were then
prepared and the target minimum film forming temperature (MFFT) was established
in the range 70-80 degrees C. Depending on the LA:OA weight ratio, Kollidon VA64
was included to decrease the LA recrystallization, while talc served as anti
sticking agent. Curing at the MFFT ensured the formation of homogeneous and
stable films with good stability on storage. The dry powder coating process of
placebo pellets was then developed, consisting of a combination of liquid
assisted and thermal adhesion methods. The best coating formulations in terms of
yields, coating efficiency (expressed as Relative Standard Deviation of the
weight applied) and low pellets aggregation were based on E10:LA:OA in a weight
ratio of 65:20:15 and 60:20:20. Moreover pellets remained stable after 1year of
storage (25 degrees C/60% R.H.).
PMID- 27894988
TI - Experimental observations and dissipative particle dynamic simulations on
microstructures of pH-sensitive polymer containing amorphous solid dispersions.
AB - Amorphous solid dispersion (ASD) technique is an effective strategy to increase
the dissolution rate of poorly soluble drugs. However, it is inherently unstable,
and the molecular basis for achieving kinetic stability is not well understood.
In this study, lacidipine-Eudragit_E_100 solid dispersions with 20% drug loading
were prepared using the solvent evaporation. Dissolution tested showed that ASD
had a significantly high rate, which was dependent on the pH of the medium. Based
on time-dependent measurement of supersaturation and particle size, inhibition of
crystal growth by Eudragit_E_100 differed at pH 1.2 and 6.8 to a great extent.
Dissipative particle dynamic (DPD) simulation revealed that at pH 1.2, the
swollen microstructures of the particles were associated with rapid drug release.
At pH 6.8, a compacted microstructure of small amorphous particle-aggregated
large particles was associated with slow dissolution. The DPD simulation provides
insight into the structural basis for experimental observations, and thus is a
useful tool to investigate the microstructures of ASD.
PMID- 27894989
TI - New pieces on genetic diversity and evolutionary history of hepatitis B virus:
Characterization of the novel subgenotype F6.
PMID- 27894990
TI - Microevolutionary analyses of Pythium insidiosum isolates of Brazil and Thailand
based on exo-1,3-beta-glucanase gene.
AB - Pythium insidiosum is an important oomycete due to its ability to infect humans
and animals. It causes pythiosis, a disease of difficult treatment that occurs
more frequently in humans in Thailand and in horses in Brazil. Since cell-wall
components are frequently related to host shifts, we decided here to use
sequences from the exo-1,3-beta-glucanase gene (exo1), which encodes an
immunodominant protein putatively involved in cell wall remodeling, to
investigate the microevolutionary relationships of Brazilian and Thai isolates of
P. insidiosum. After neutrality ratification, the phylogenetic analyses performed
through Maximum parsimony (MP), Neighbor-joining (NJ), Maximum likelihood (ML),
and Bayesian analysis (BA) strongly supported Thai isolates being paraphyletic in
relation to those from Brazil. The structure recovered by these analyses, as well
as by Spatial Analysis of Molecular Variance (SAMOVA), suggests the subdivision
of P. insidiosum into three clades or population groups, which are able to
explain almost 81% of the variation encountered for exo1. Moreover, the two
identified Thai clades were almost as strongly differentiated between each other,
as they were from the Brazilian clade, suggesting an ancient Asian subdivision.
The derived positioning in the phylogenetic tree, linked to the lower diversity
values and the recent expansion signs detected for the Brazilian clade, further
support this clade as derived in relation to the Asian populations. Thus,
although some patterns presented here are compatible with those recovered with
different molecular markers, exo1 was revealed to be a good marker for studying
evolution in Pythium, providing robust and strongly supported results with regard
to the patterns of origin and diversification of P. insidiosum.
PMID- 27894991
TI - Bats are rare reservoirs of Staphylococcus aureus complex in Gabon.
AB - The colonization of afro-tropical wildlife with Staphylococcus aureus and the
derived clade Staphylococcus schweitzeri remains largely unknown. A reservoir in
bats could be of importance since bats and humans share overlapping habitats. In
addition, bats are food sources in some African regions and can be the cause of
zoonotic diseases. Here, we present a cross-sectional survey employing pharyngeal
swabs of captured and released bats (n=133) in a forest area of Gabon. We
detected low colonization rates of S. aureus (4-6%) and S. schweitzeri (4%) in
two out of four species of fruit bats, namely Rousettus aegyptiacus and
Micropteropus pusillus, but not in insectivorous bats. Multilocus sequence typing
showed that S. aureus from Gabonese bats (ST2984, ST3259, ST3301, ST3302) were
distinct from major African human associated clones (ST15, ST121, ST152). S.
schweitzeri from bats (ST1697, ST1700) clustered with S. schweitzeri from other
species (bats, monkeys) from Nigeria and Cote d'Ivoire. In conclusion,
colonization rates of bats with S. aureus and S. schweitzeri were low in our
study. Phylogenetic analysis supports an intense geographical dispersal of S.
schweitzeri among different mammalian wildlife hosts.
PMID- 27894992
TI - Analysis of complete genome sequences of G9P[19] rotavirus strains from human and
piglet with diarrhea provides evidence for whole-genome interspecies transmission
of nonreassorted porcine rotavirus.
AB - Whole genomes of G9P[19] human (RVA/Human-wt/THA/CMH-S070-13/2013/G9P[19]) and
porcine (RVA/Pig-wt/THA/CMP-015-12/2012/G9P[19]) rotaviruses concurrently
detected in the same geographical area in northern Thailand were sequenced and
analyzed for their genetic relationships using bioinformatic tools. The complete
genome sequence of human rotavirus RVA/Human-wt/THA/CMH-S070-13/2013/G9P[19] was
most closely related to those of porcine rotavirus RVA/Pig-wt/THA/CMP-015
12/2012/G9P[19] and to those of porcine-like human and porcine rotaviruses
reference strains than to those of human rotavirus reference strains. The
genotype constellation of G9P[19] detected in human and piglet were identical and
displayed as the G9-P[19]-I5-R1-C1-M1-A8-N1-T1-E1-H1 genotypes with the
nucleotide sequence identities of VP7, VP4, VP6, VP1, VP2, VP3, NSP1, NSP2, NSP3,
NSP4, and NSP5 at 99.0%, 99.5%, 93.2%, 97.7%, 97.7%, 85.6%, 89.5%, 93.2%, 92.9%,
94.0%, and 98.1%, respectively. The findings indicate that human rotavirus strain
RVA/Human-wt/THA/CMH-S070-13/2013/G9P[19] containing the genome segments of
porcine genetic backbone is most likely a human rotavirus of porcine origin. Our
data provide an evidence of interspecies transmission and whole-genome
transmission of nonreassorted G9P[19] porcine RVA to human occurring in nature in
northern Thailand.
PMID- 27894993
TI - New adenoviruses from new primate hosts - growing diversity reveals taxonomic
weak points.
AB - The knowledge of the closest human relatives of human adenoviruses (AdVs) such as
adenoviruses found in nonhuman primates is still limited, despite the growing
importance of adenoviruses in vaccine development, gene and cancer therapy. We
examined 153 stool samples of 17 non-human primate species and detected
adenoviral DNA sequences of DNA polymerase (DPOL) gene in 54 samples (35%),
originating from 12 out of 17 primate species. We further sequenced 15 hexon gene
fragments and based on the phylogenetic analysis we propose two new provisional
species SAdV-H and SAdV-I. Our study shows extensive diversity of adenoviral
strains forming separate clades often from closely related host species from old
world monkeys suggesting the existence of new species of AdVs and shows the
necessity for clear ICTV guidelines for final establishment of so far provisional
AdV species.
PMID- 27894994
TI - A new genus of Anostomidae (Ostariophysi: Characiformes): Diversity, phylogeny
and biogeography based on cytogenetic, molecular and morphological data.
AB - A new genus of Anostomidae (Characiformes) is described to include ten valid
extant species previously classified in Leporinus or Hypomasticus and distributed
throughout most major river basins in South America: L. brinco, L. conirostris,
L. elongatus, H. garmani, L. macrocephalus, L. muyscorum, L. obtusidens, L.
piavussu, L. reinhardti, and L. trifasciatus. The monophyly of Megaleporinus is
well-supported in a phylogenetic analysis based on two mitochondrial and three
nuclear genes, as well as its sister group relationship to Abramites.
Megaleporinus is diagnosed by having the exclusive combination of three unicuspid
teeth on each premaxillary and dentary bone and a color pattern composed of one
to four dark midlateral blotches. Additional distinguishing features and possible
synapomorphies include a unique ZZ/ZW sex chromosome system confirmed for six
congeners and a drumming apparatus wherein the first rib is elongated and
associated with hypertrophied intercostal muscles, which was confirmed for three
congeners as exclusive to mature males. Furthermore, our study identified at
least four undescribed cryptic species, emphasizing the need for further
taxonomic work and genetic analyses. A time-calibrated phylogenetic and
biogeographical analysis of the new genus suggests that speciation in the proto
Amazon-Orinoco lineage was primarily driven by paleogeographic processes, such as
the formation of the Orinoco and Tocantins basins. Dispersal and diversification
of the genus in coastal basins draining the Eastern Brazilian Shield appears to
have been facilitated by connections between paleo-basins during low sea level
periods and headwater captures between coastal and inland watersheds. The present
contribution demonstrates the importance of integrating data from morphology, DNA
sequences and cytogenetics to advance the taxonomy and systematics of any complex
species group.
PMID- 27894995
TI - Short branches lead to systematic artifacts when BLAST searches are used as
surrogate for phylogenetic reconstruction.
AB - Long Branch Attraction (LBA) is a well-known artifact in phylogenetic
reconstruction when dealing with branch length heterogeneity. Here we show
another phenomenon, Short Branch Attraction (SBA), which occurs when BLAST
searches, a phenetic analysis, are used as a surrogate method for phylogenetic
analysis. This error also results from branch length heterogeneity, but this time
it is the short branches that are attracting. The SBA artifact is reciprocal and
can be returned 100% of the time when multiple branches differ in length by a
factor of more than two. SBA is an intended feature of BLAST searches, but
becomes an issue, when top scoring BLAST hit analyses are used to infer
Horizontal Gene Transfers (HGTs), assign taxonomic category with environmental
sequence data in phylotyping, or gather homologous sequences for building gene
families. SBA can lead researchers to believe that there has been a HGT event
when only vertical descent has occurred, cause slowly evolving taxa to be over
represented and quickly evolving taxa to be under-represented in phylotyping, or
systematically exclude quickly evolving taxa from analyses. SBA also contributes
to the changing results of top scoring BLAST hit analyses as the database grows,
because more slowly evolving taxa, or short branches, are added over time,
introducing more potential for SBA. SBA can be detected by examining reciprocal
best BLAST hits among a larger group of taxa, including the known closest
phylogenetic neighbors. Therefore, one should look for this phenomenon when
conducting best BLAST hit analyses as a surrogate method to identify HGTs, in
phylotyping, or when using BLAST to gather homologous sequences.
PMID- 27894996
TI - Are ocean currents too slow to counteract SAR11 evolution? A next-generation
sequencing, phylogeographic analysis.
AB - This work set out to shed light on the phylogeography of the SAR11 clade of
Alphaproteobacteria, which is probably the most abundant group of heterotrophic
bacteria on Earth. In particular, we assessed the degree to which empirical
evidence (environmental DNA sequences) supports the concept that SAR11 lineages
evolve faster than they are dispersed thus generating vicariant distributions, as
predicted by recent simulation efforts. We generated 16S rRNA gene sequences from
surface seawater collected at the South West Atlantic Ocean and combined these
data with previously published sequences from similar environments from
elsewhere. Altogether, these data consisted in about 1e6 reads, from which we
generated 355,306 high quality sequences of which 95,318 corresponded to SAR11.
Quantitative phylogeographic analyses supported the existence of a spatially
explicit distribution of SAR11 species and provided evidence in favor of the idea
that dispersal limitations significantly contribute to SAR11 radiation throughout
the world's oceans. Likewise, pairwise phylogenetic distances between the
communities studied here were significantly correlated with the genetic
divergences predicted by a previously proposed neutral model. As discussed in the
paper, these findings are compatible with the concept that the ocean surface
constitutes a homogeneous environment for SAR11, in agreement with previous
experimental data. We discuss the implications of this hypothesis in a global
change scenario. This is the first study combining high throughput sequencing and
phylogenic analysis to study bacterial phylogeography and reporting a distance
decay pattern of phylogenetic distances for bacteria.
PMID- 27894997
TI - Structural and evolutionary analyses reveal determinants of DNA binding
specificities of nucleoid-associated proteins HU and IHF.
AB - Nucleoid-associated proteins (NAPs) are chromosome-organizing factors, which
affect the transcriptional landscape of a bacterial cell. HU is an NAP, which
binds to DNA with a broad specificity while homologous IHF (Integration Host
Factor), binds DNA with moderately higher specificity. Specificity and
differential binding affinity of HU/IHF proteins towards their target binding
sites play a crucial role in their regulatory dynamics. Decades of biochemical
and genomic studies have been carried out for HU and IHF like proteins. Yet,
questions related to their DNA binding specificity, and differential ability to
bend DNA thus affecting the binding site length remained unanswered. In addition,
the problem has not been investigated from an evolutionary perspective. Our
phylogenetic analysis revealed three major clades belonging to HU, IHFalpha and
IHFbeta like proteins with reference to E. coli. We carried out a comparative
analysis of three-dimensional structures of HU/IHF proteins to gain insight into
the structural basis of clade division. The present study revealed three major
features which contribute to differential DNA binding specificity of HU/IHF
proteins, (I) conformational restriction of DNA binding residues due to salt
bridge formation, (II) the enrichment of alanine in the DNA binding site
increasing conformational space of flexible side chains in its vicinity and (III)
nature of DNA binding residue (Arg to Lys bias in different clades) which
interacts differentially to DNA bases. We observed an extended electropositive
surface at the DNA draping site for IHF clade proteins compared to HU, which
stabilizes the DNA bend. Differences in the dimer stabilization strategies
between HU and IHF were also observed. Our analysis reveals a comprehensive
evolutionary picture, which rationalizes the origin of multi-specificity of
HU/IHF proteins using sequence and structure-based determinants, which could also
be applied to understand differences in binding specificities of other nucleic
acid binding proteins.
PMID- 27894999
TI - Matched-Comparative Modeling of Normal and Diseased Human Airway Responses Using
a Microengineered Breathing Lung Chip.
AB - Smoking represents a major risk factor for chronic obstructive pulmonary disease
(COPD), but it is difficult to characterize smoke-induced injury responses under
physiological breathing conditions in humans due to patient-to-patient
variability. Here, we show that a small airway-on-a-chip device lined by living
human bronchiolar epithelium from normal or COPD patients can be connected to an
instrument that "breathes" whole cigarette smoke in and out of the chips to study
smoke-induced pathophysiology in vitro. This technology enables true matched
comparisons of biological responses by culturing cells from the same individual
with or without smoke exposure. These studies led to identification of ciliary
micropathologies, COPD-specific molecular signatures, and epithelial responses to
smoke generated by electronic cigarettes. The smoking airway-on-a-chip represents
a tool to study normal and disease-specific responses of the human lung to
inhaled smoke across molecular, cellular and tissue-level responses in an organ
relevant context.
PMID- 27894998
TI - Push-Pull and Feedback Mechanisms Can Align Signaling System Outputs with Inputs.
AB - Many cell signaling systems, including the yeast pheromone response system,
exhibit "dose-response alignment" (DoRA), in which output of one or more
downstream steps closely matches the fraction of occupied receptors. DoRA can
improve the fidelity of transmitted dose information. Here, we searched
systematically for biochemical network topologies that produced DoRA. Most
networks, including many containing feedback and feedforward loops, could not
produce DoRA. However, networks including "push-pull" mechanisms, in which the
active form of a signaling species stimulates downstream activity and the
nominally inactive form reduces downstream activity, enabled perfect DoRA.
Networks containing feedbacks enabled DoRA, but only if they also compared
feedback to input and adjusted output to match. Our results establish push-pull
as a non-feedback mechanism to align output with variable input and maximize
information transfer in signaling systems. They also suggest genetic approaches
to determine whether particular signaling systems use feedback or push-pull
control.
PMID- 27895000
TI - Severe Acute Otitis Media and Acute Mastoiditis in Adults.
AB - OBJECTIVE: To evaluate and compare clinical and microbiological findings in
adults hospitalized for acute otitis media (AOM) or mastoid infections (acute or
latent). MATERIALS AND METHODS: We retrospectively reviewed the medical records
of all adults (>=17 years old) hospitalized (between 2003 and 2012) at a tertiary
referral center for acute mastoid infections or AOM not responding to outpatient
medical treatment. RESULTS: Of the 160 patients in the study sample, 19% had an
infection caused by S. pyogenes, 14% by S. pneumoniae, and 11% by P. aeruginosa.
AOM was the most common infection (38%), whereas 33% had acute mastoiditis (AM),
18% had latent mastoiditis (LM), and 13% AM of a chronically infected ear (AMc).
In contrast to the other infections, P. aeruginosa (30%) and S. aureus (25%) were
most common in AMc. Otorrhea (83%), tympanic membrane perforation (57%), and
hearing problems (83%) were common in S. pyogenes infections. Patients with S.
pneumoniae had longer lengths of hospitalization than those with other bacterial
infections (7 vs. 4 days). Otorrhea (94%) and retroauricular symptoms were more
common in P. aeruginosa infections. Hearing symptoms were common (67%) but fever
(32%) and retroauricular symptoms were uncommon in AOM. Fever (44%) and mastoid
tenderness (65%) were common in AM. Patients with LM underwent the most
mastoidectomies (54%). Prior medical conditions, retroauricular symptoms,
otorrhea (90%), and post-infection problems were common in AMc. CONCLUSION: The
bacteriological etiology of hospitalized AOM more closely resembled those of LM
and AM than that of AMc. Adults hospitalized for AOM or AM required fewer
mastoidectomies than those hospitalized for LM or AMc.
PMID- 27895002
TI - Impression Material in the External and Middle Ear: an Overview of the Literature
and a Stepwise Approach for Removal.
AB - Here, we provide a literature overview of cases with protruding molding material
for earplugs or hearing aids and subsequent required treatment, including our own
cases. Patients at risk are those with impaired tympanic membranes or who
previously underwent otologic surgery. Symptoms such as otalgia, tinnitus, and
vertigo are alarming but do not always arise. In case of doubt, a CT scan is of
additive value to prepare for adequate surgical removal and to limit potential
damage. A stepwise approach for the clinician on how to address these challenging
cases is presented, based upon the literature and our own experience.
PMID- 27895004
TI - Predictors of Vascular Cognitive Impairment Poststroke in a Middle Eastern
(Bahrain) Cohort: A Proposed Case-Control Comparison.
AB - BACKGROUND: Poststroke dementia and cognitive impairment are associated with poor
long-term outcomes after stroke. The contribution of genetic factors such as the
presence of apolipoprotein (ApoE) E4 allele and its association with cognitive
impairment poststroke remains inconclusive, particularly in Middle Eastern
regions. OBJECTIVE: The aim of this study is to examine all correlates and
potential predictors of cognitive impairment including self-awareness and
regulation deficits in stroke patients and compare these functions with healthy
older adults from a Middle Eastern population. METHODS: A prospective stroke
sample of 200 patients (case group) and 100 healthy aging individuals (control
group) will be recruited from the largest medical complex in Bahrain. A
neuropsychological battery of cognitive assessments (global, executive, and
metacognition) will be conducted on all participants. Participants will be
categorized into 4 subgroups (nonvascular cognitive impairment, vascular
cognitive impairment with no dementia, vascular dementia, and mixed dementia)
using standardized cognitive assessment scores and the Diagnostic and Statistical
Manual of Mental Disorders, Fourth Edition, dementia criteria. Biomarkers will
include ApoE genotype, soluble form of receptor for advanced glycation end
products, neprilysin, beta-secretase 1, biochemistry, and hematology
measurements. RESULTS: The primary study outcome is to determine early risk
factors for cognitive impairment after stroke in a Bahraini cohort. The study has
received full ethical approval from the Bahrain Ministry of Health and from the
affiliated university. CONCLUSIONS: With increasing stroke incidence rates in the
Middle East, this research study will provide useful biological and
epidemiological data for future development and planning of health policies and
guidelines for stroke care within the Gulf region.
PMID- 27895003
TI - Effect on Nitrogen Balance, Thermogenesis, Body Composition, Satiety, and
Circulating Branched Chain Amino Acid Levels up to One Year after Surgery:
Protocol of a Randomized Controlled Trial on Dietary Protein During Surgical
Weight Loss.
AB - BACKGROUND: Bariatric surgery (BS), the most effective treatment for severe
obesity, typically results in 40-50 kg weight loss in the year following the
surgery. Beyond its action on protein metabolism, dietary protein intake (PI)
affects satiety, thermogenesis, energy efficiency, and body composition (BC).
However, the required amount of PI after surgical weight loss is not known. The
current daily PI recommendation for diet-induced weight loss is 0.8 g/kg ideal
body weight (IBW) per day, but whether this amount is sufficient to preserve fat
free mass during active surgical weight loss is unknown. OBJECTIVE: To evaluate
the effect of a 3-month dietary protein supplementation (PS) on nitrogen balance
(NB), BC, energy expenditure, and satiety in women undergoing either gastric
bypass or vertical sleeve gastrectomy. METHODS: In this randomized prospective
study, participants will be randomized to a high protein supplementation group
(1.2 g/kg IBW per day) or standard protein supplementation group (0.8 g/kg IBW
per day) based on current guidelines. Outcome measures including NB, BC,
circulating branched chain amino acids, and satiety, which will be assessed
presurgery, and at 3-months and 12-months postsurgery. RESULTS: To date, no
studies have examined the effect of dietary PS after BS. Current guidelines for
PI after surgery are based on weak evidence. CONCLUSIONS: The results of this
study will contribute to the development of evidence-based data regarding the
safe and optimal dietary PI and supplementation after BS. TRIAL REGISTRATION:
Clinicaltrials.gov NCT02269410; http://clinicaltrials.gov/ct2/show/NCT02269410
(Archived by WebCite at http://www.webcitation.org/6m2f2QLeg).
PMID- 27895007
TI - Needling depth at BL52 in 13 cadavers.
PMID- 27895005
TI - Qualitative Analysis of Cognitive Interviews With School Children: A Web-Based
Food Intake Questionnaire.
AB - BACKGROUND: The use of computers to administer dietary assessment questionnaires
has shown potential, particularly due to the variety of interactive features that
can attract and sustain children's attention. Cognitive interviews can help
researchers to gain insights into how children understand and elaborate their
response processes in this type of questionnaire. OBJECTIVE: To present the
cognitive interview results of children who answered the WebCAAFE, a Web-based
questionnaire, to obtain an in-depth understanding of children's response
processes. METHODS: Cognitive interviews were conducted with children (using a
pretested interview script). Analyses were carried out using thematic analysis
within a grounded theory framework of inductive coding. RESULTS: A total of 40
children participated in the study, and 4 themes were identified: (1) the meaning
of words, (2) understanding instructions, (3) ways to resolve possible problems,
and (4) suggestions for improving the questionnaire. Most children understood
questions that assessed nutritional intake over the past 24 hours, although the
structure of the questionnaire designed to facilitate recall of dietary intake
was not always fully understood. Younger children (7 and 8 years old) had more
difficulty relating the food images to mixed dishes and foods eaten with bread
(eg, jam, cheese). Children were able to provide suggestions for improving future
versions of the questionnaire. CONCLUSIONS: More attention should be paid to
children aged 8 years or below, as they had the greatest difficulty completing
the WebCAAFE.
PMID- 27895006
TI - Patients' Need for Tailored Comparative Health Care Information: A Qualitative
Study on Choosing a Hospital.
AB - BACKGROUND: The Internet is increasingly being used to provide patients with
information about the quality of care of different health care providers.
Although online comparative health care information is widely available
internationally, and patients have been shown to be interested in this
information, its effect on patients' decision making is still limited. OBJECTIVE:
This study aimed to explore patients' preferences regarding information
presentation and their values concerning tailored comparative health care
information. Meeting patients' information presentation needs might increase the
perceived relevance and use of the information. METHODS: A total of 38 people
participated in 4 focus groups. Comparative health care information about hip and
knee replacement surgery was used as a case example. One part of the interview
focused on patients' information presentation preferences, whereas the other part
focused on patients' values of tailored information (ie, showing reviews of
patients with comparable demographics). The qualitative data were transcribed
verbatim and analyzed using the constant comparative method. RESULTS: The
following themes were deduced from the transcripts: number of health care
providers to be presented, order in which providers are presented, relevancy of
tailoring patient reviews, and concerns about tailoring. Participants'
preferences differed concerning how many and in which order health care providers
must be presented. Most participants had no interest in patient reviews that were
shown for specific subgroups based on age, gender, or ethnicity. Concerns of
tailoring were related to the representativeness of results and the complexity of
information. A need for information about the medical specialist when choosing a
hospital was stressed by several participants. CONCLUSIONS: The preferences for
how comparative health care information should be presented differ between
people. "Information on demand" and information about the medical specialist
might be promising ways to increase the relevancy and use of online comparative
health care information. Future research should focus on how different groups of
people use comparative health care information for different health care choices
in real life.
PMID- 27895009
TI - IncP Plasmid Carrying Colistin Resistance Gene mcr-1 in Klebsiella pneumoniae
from Hospital Sewage.
AB - A Klebsiella pneumoniae strain of sequence type 313 (ST313) recovered from
hospital sewage was found carrying the plasmid-borne colistin resistance gene mcr
1, which was bracketed by two copies of the insertion sequence ISApl1 on a 57-kb
self-transmissible IncP-type plasmid of a new IncP-1 clade. The carriage of mcr-1
on a self-transmissible broad-host-range plasmid highlights that mcr-1 has the
potential to spread beyond the Enterobacteriaceae family.
PMID- 27895008
TI - De Novo Emergence of Genetically Resistant Mutants of Mycobacterium tuberculosis
from the Persistence Phase Cells Formed against Antituberculosis Drugs In Vitro.
AB - Bacterial persisters are a subpopulation of cells that can tolerate lethal
concentrations of antibiotics. However, the possibility of the emergence of
genetically resistant mutants from antibiotic persister cell populations, upon
continued exposure to lethal concentrations of antibiotics, remained unexplored.
In the present study, we found that Mycobacterium tuberculosis cells exposed
continuously to lethal concentrations of rifampin (RIF) or moxifloxacin (MXF) for
prolonged durations showed killing, RIF/MXF persistence, and regrowth phases. RIF
resistant or MXF-resistant mutants carrying clinically relevant mutations in the
rpoB or gyrA gene, respectively, were found to emerge at high frequency from the
RIF persistence phase population. A Luria-Delbruck fluctuation experiment using
RIF-exposed M. tuberculosis cells showed that the rpoB mutants were not
preexistent in the population but were formed de novo from the RIF persistence
phase population. The RIF persistence phase M. tuberculosis cells carried
elevated levels of hydroxyl radical that inflicted extensive genome-wide
mutations, generating RIF-resistant mutants. Consistent with the elevated levels
of hydroxyl radical-mediated genome-wide random mutagenesis, MXF-resistant M.
tuberculosis gyrA de novo mutants could be selected from the RIF persistence
phase cells. Thus, unlike previous studies, which showed emergence of genetically
resistant mutants upon exposure of bacteria for short durations to sublethal
concentrations of antibiotics, our study demonstrates that continuous prolonged
exposure of M. tuberculosis cells to lethal concentrations of an antibiotic
generates antibiotic persistence phase cells that form a reservoir for the
generation of genetically resistant mutants to the same antibiotic or another
antibiotic. These findings may have clinical significance in the emergence of
drug-resistant tubercle bacilli.
PMID- 27895010
TI - Mutations Associated with Decreased Susceptibility to Seven Antimicrobial
Families in Field and Laboratory-Derived Mycoplasma bovis Strains.
AB - The molecular mechanisms of resistance to fluoroquinolones, tetracyclines, an
aminocyclitol, macrolides, a lincosamide, a phenicol, and pleuromutilins were
investigated in Mycoplasma bovis For the identification of mutations responsible
for the high MICs of certain antibiotics, whole-genome sequencing of 35 M. bovis
field isolates and 36 laboratory-derived antibiotic-resistant mutants was
performed. In vitro resistant mutants were selected by serial passages of M.
bovis in broth medium containing subinhibitory concentrations of the antibiotics.
Mutations associated with high fluoroquinolones MICs were found at positions 244
to 260 and at positions 232 to 250 (according to Escherichia coli numbering) of
the quinolone resistance-determining regions of the gyrA and parC genes,
respectively. Alterations related to elevated tetracycline MICs were described at
positions 962 to 967, 1058, 1195, 1196, and 1199 of genes encoding the 16S rRNA
and forming the primary tetracycline binding site. Single transversion at
position 1192 of the rrs1 gene resulted in a spectinomycin MIC of 256 MUg/ml.
Mutations responsible for high macrolide, lincomycin, florfenicol, and
pleuromutilin antibiotic MICs were identified in genes encoding 23S rRNA.
Understanding antibiotic resistance mechanisms is an important tool for future
developments of genetic-based diagnostic assays for the rapid detection of
resistant M. bovis strains.
PMID- 27895011
TI - Using In Vitro Dynamic Models To Evaluate Fluoroquinolone Activity against
Emergence of Resistant Salmonella enterica Serovar Typhimurium.
AB - The objectives of this study were to determine pharmacokinetic/pharmacodynamic
(PK/PD) indices of fluoroquinolones that minimize the emergence of resistant
Salmonella enterica serovar Typhimurium (S Typhimurium) using in vitro dynamic
models and to establish mechanisms of resistance. Three fluoroquinolones,
difloxacin (DIF), enrofloxacin (ENR), and marbofloxacin (MAR), at five dose
levels and 3 days of treatment were simulated. Bacterial killing-regrowth
kinetics and emergence of resistant bacteria after antibacterial drug exposure
were quantified. PK/PD indices associated with different levels of antibacterial
activity were computed. Mechanisms of fluoroquinolone resistance were determined
by analyzing target mutations in the quinolone resistance-determining regions
(QRDRs) and by analyzing overexpression of efflux pumps. Maximum losses in
susceptibility of fluoroquinolone-exposed S Typhimurium occurred at a simulated
AUC/MIC ratio (area under the concentration-time curve over 24 h in the steady
state divided by the MIC) of 47 to 71. Target mutations in gyrA (S83F) and
overexpression of acrAB-tolC contributed to decreased susceptibility in
fluoroquinolone-exposed S Typhimurium. The current data suggest AUC/MIC
(AUC/mutant prevention concentration [MPC])-dependent selection of resistant
mutants of S Typhimurium, with AUC/MPC ratios of 69 (DIF), 62 (ENR), and 39 (MAR)
being protective against selection of resistant mutants. These values could not
be achieved in veterinary clinical areas under the current recommended
therapeutic doses of the fluoroquinolones, suggesting the need to reassess the
current dosing regimen to include both clinical efficacy and minimization of
emergence of resistant bacteria.
PMID- 27895012
TI - Multicenter Observational Study of Ceftaroline Fosamil for Methicillin-Resistant
Staphylococcus aureus Bloodstream Infections.
AB - Novel therapies for methicillin-resistant Staphylococcus aureus (MRSA)
bloodstream infection (BSI) are needed in the setting of reduced antibiotic
susceptibilities and therapeutic failure. Ceftaroline is a cephalosporin
antibiotic with MRSA activity. Although not FDA approved for MRSA BSI,
ceftaroline has generated much interest as a potential treatment option. However,
detailed descriptions of its use in this setting remain limited. To address this,
we conducted a retrospective, multicenter, observational study of adult patients
with MRSA BSI treated with at least 72 h of ceftaroline from 2011 to 2015. Safety
outcomes were examined in the overall cohort, while efficacy outcomes were
examined among patients who had not cleared their BSI prior to ceftaroline
initiation. Data were also stratified by ceftaroline monotherapy or combination
therapy. Predictors of clinical failure on ceftaroline treatment were also
sought. Overall, 211 patients were included in the safety population; Clostridium
difficile infection, rash, and neutropenia occurred in 6 patients (2.8%), 7
patients (3.3%), and 3 patients (1.4%), respectively. Clinical success was
observed in 86 (68.3%) of the 126 patients included in the efficacy population.
The monotherapy and combination therapy subgroups had similar proportions of
patients experiencing success (69.7 and 64.9%, respectively). The median BSI
durations post-ceftaroline treatment were 2 days (interquartile range, 1 to 4
days) for monotherapy and 3 days (interquartile range, 1.5 to 5 days) for
combination therapy. Higher acute physiology and chronic health evaluation II
scores and comorbid malignancy independently predicted treatment failure.
Ceftaroline appears effective for MRSA BSI as both monotherapy and combination
therapy. However, comparative studies are needed to further delineate the role of
ceftaroline in MRSA BSI treatment.
PMID- 27895013
TI - Frequent Cross-Resistance to Dapivirine in HIV-1 Subtype C-Infected Individuals
after First-Line Antiretroviral Therapy Failure in South Africa.
AB - A vaginal ring containing dapivirine (DPV) has shown moderate protective efficacy
against HIV-1 acquisition, but the activity of DPV against efavirenz (EFV)- and
nevirapine (NVP)-resistant viruses that could be transmitted is not well defined.
We investigated DPV cross-resistance of subtype C HIV-1 from individuals on
failing NVP- or EFV-containing antiretroviral therapy (ART) in South Africa.
Plasma samples were obtained from individuals with >10,000 copies of HIV RNA/ml
and with HIV-1 containing at least one non-nucleoside reverse transcriptase
(NNRTI) mutation. Susceptibility to NVP, EFV, and DPV in TZM-bl cells was
determined for recombinant HIV-1LAI containing bulk-amplified, plasma-derived,
full-length reverse transcriptase sequences. Fold change (FC) values were
calculated compared with a composite 50% inhibitory concentration (IC50) from 12
recombinant subtype C HIV-1LAI plasma-derived viruses from treatment-naive
individuals in South Africa. A total of 25/100 (25%) samples showed >500-FCs to
DPV compared to treatment-naive samples with IC50s exceeding the maximum DPV
concentration tested (132 ng/ml). A total of 66/100 (66%) samples displayed 3- to
306-FCs, with a median IC50 of 17.6 ng/ml. Only 9/100 (9%) samples were
susceptible to DPV (FC < 3). Mutations L100I and K103N were significantly more
frequent in samples with >500-fold resistance to DPV compared to samples with a
<=500-fold resistance. A total of 91% of samples with NNRTI-resistant HIV-1 from
individuals on failing first-line ART in South Africa exhibited >=3-fold cross
resistance to DPV. This level of resistance exceeds expected plasma
concentrations, but very high genital tract DPV concentrations from DPV ring use
could block viral replication. It is critically important to assess the frequency
of transmitted and selected DPV resistance in individuals using the DPV ring.
PMID- 27895014
TI - Ceftazidime-Avibactam as Salvage Therapy for Infections Caused by Carbapenem
Resistant Organisms.
AB - Ceftazidime-avibactam (CAZ-AVI) is a recently approved beta-lactam-beta-lactamase
inhibitor combination with the potential to treat serious infections caused by
carbapenem-resistant organisms. Few patients with such infections were included
in the CAZ-AVI clinical trials, and clinical experience is lacking. We present a
case series of patients with infections caused by carbapenem-resistant
Enterobacteriaceae (CRE) or Pseudomonas aeruginosa (CRPa) who were treated with
CAZ-AVI salvage therapy on a compassionate-use basis. Physicians who had
prescribed CAZ-AVI completed a case report form. We used descriptive statistics
to summarize patient characteristics and treatment outcomes. We used the Wilcoxon
rank sum test and Fisher's exact test to compare patients by treatment outcome.
The sample included 36 patients infected with CRE and two with CRPa. The most
common infections were intra-abdominal. Physicians categorized 60.5% of patients
as having life-threatening infections. All but two patients received other
antibiotics before CAZ-AVI, for a median of 13 days. The median duration of CAZ
AVI treatment was 16 days. Twenty-five patients (65.8%) concurrently received
other antibiotics to which their pathogen was nonresistant in vitro Twenty-eight
patients (73.7%, 95% confidence interval [CI], 56.9 to 86.6%) experienced
clinical and/or microbiological cure. Five patients (20.8%) with documented
microbiological cure died, whereas 10 patients (71.4%) with no documented
microbiological cure died (P = 0.01). In three-quarters of cases, CAZ-AVI (alone
or combined with other antibiotics) cured infections caused by carbapenem
resistant organisms, 95% of which had failed previous therapy. Microbiological
cure was associated with improved survival. CAZ-AVI shows promising clinical
results for infections for which treatment options are limited.
PMID- 27895015
TI - Protective Effects of Human and Mouse Soluble Scavenger-Like CD6 Lymphocyte
Receptor in a Lethal Model of Polymicrobial Sepsis.
AB - Sepsis still constitutes an unmet clinical need, which could benefit from novel
adjunctive strategies to conventional antibiotic therapy. The soluble form of the
scavenger-like human CD6 lymphocyte receptor (shCD6) binds to key pathogenic
components from Gram-positive and -negative bacteria and shows time- and dose
dependent efficacy in mouse models of monobacterial sepsis. The objective of the
present work was to demonstrate the effectiveness of infusing mouse and human
sCD6 by different systemic routes, either alone or as adjunctive therapy to gold
standard antibiotics, in a lethal model of polymicrobial sepsis. To this end,
C57BL/6 mice undergoing high-grade septic shock induced by cecal ligation and
puncture (CLP; >=90% lethality) were infused via the intraperitoneal (i.p.) or
intravenous (i.v.) route with shCD6 at different doses and time points, either
alone or in combination with imipenem/cilastatin (I/C) at a dose of 33 mg/kg of
body weight every 8 h. Significantly reduced mortality and proinflammatory
cytokine levels were observed by i.p. infusion of a single shCD6 dose (1.25
mg/kg) 1 h pre- or post-CLP. When using the i.v. route, mice survival was
significantly extended by starting shCD6 infusion at later time points post-CLP
(up to 6 h after CLP). Significant adjunctive effects on mouse survival were
observed by i.p. or i.v. infusion of shCD6 in combination with i.p. I/C post-CLP.
Similar results were obtained in mice expressing high sustained levels (5 to 10
MUg/ml) of mouse sCD6 in serum by means of transduction with hepatotropic adeno
associated virus (AAV). Taken together, the data support the conserved
antibacterial effects of human and mouse sCD6 and their use as adjunctive therapy
in experimental models of complex and severe polymicrobial sepsis.
PMID- 27895016
TI - Are Prophylactic and Therapeutic Target Concentrations Different?: the Case of
Lopinavir-Ritonavir or Lamivudine Administered to Infants for Prevention of
Mother-to-Child HIV-1 Transmission during Breastfeeding.
AB - The ANRS 12174 trial assessed the efficacy and tolerance of lopinavir (LPV)
ritonavir (LPV/r) prophylaxis versus those of lamivudine (3TC) prophylaxis
administered to breastfed infants whose HIV-infected mothers were not on
antiretroviral therapy. In this substudy, we assessed LPV/r and 3TC
pharmacokinetics to evaluate the percentage of infants with therapeutic plasma
concentrations and to discuss these data in the context of a prophylactic
treatment. Infants from the South African trial site underwent blood sampling for
pharmacokinetic study at weeks 6, 26, and 38 of life. We applied a Bayesian
approach to derive the 3TC and LPV pharmacokinetic parameters on the basis of
previously published pharmacokinetic models for HIV-infected children. We
analyzed 114 LPV and 180 3TC plasma concentrations from 69 infants and 92
infants, respectively. A total of 30 LPV and 20 3TC observations were considered
missing doses and discarded from the Bayesian analysis. The overall population
analysis showed that 30 to 40% of the infants did not reach therapeutic targets,
regardless of treatment group. The median LPV trough concentrations at weeks 6,
26, and 38 were 2.8 mg/liter (interquartile range [IQR], 1.7 to 4.4 mg/liter),
5.6 mg/liter (IQR, 3.2 to 7.7 mg/liter), and 3.4 mg/liter (IQR, 2.3 to 7.3
mg/liter), respectively. The median 3TC area under the curve from 0 to 12 h after
the last drug intake were 5.6 mg . h/liter (IQR, 4.1 to 7.8 mg . h/liter), 5.9 mg
. h/liter (IQR, 5.1 to 7.5 mg . h/liter), and 7.3 mg . h/liter (IQR, 4.9 to 8.5
mg . h/liter) at weeks 6, 26, and 38, respectively. Use of the therapeutic doses
recommended by the WHO would have resulted in a higher proportion of infants
achieving the targets. However, no HIV-1 infection was reported among these
infants. These results suggest that the prophylactic targets for both 3TC and LPV
may be lower than the therapeutic ones. For treatment, the WHO dosing guidelines
should be suitable to maintain values above the therapeutic pharmacokinetic
targets in most infants. (This study has been registered at ClinicalTrials.gov
under identifier NCT00640263.).
PMID- 27895017
TI - Molecular Investigation of Resistance to Second-Line Injectable Drugs in
Multidrug-Resistant Clinical Isolates of Mycobacterium tuberculosis in France.
AB - The second-line injectable drugs (SLID, i.e., amikacin, kanamycin, capreomycin)
are key drugs for the treatment of multidrug-resistant tuberculosis. Mutations in
rrs region 1400, tlyA, and eis promoter are associated with resistance to SLID,
to capreomycin, and to kanamycin, respectively. In this study, the sequencing
data of SLID resistance-associated genes were compared to the results of
phenotypic drug susceptibility testing by the proportion method for the SLID in
206 multidrug-resistant clinical isolates of Mycobacterium tuberculosis collected
in France. Among the 153 isolates susceptible to the 3 SLID, 145 showed no
mutation, 1 harbored T1404C and G1473A mutations in rrs, and 7 had an eis
promoter mutation. Among the 53 strains resistant to at least 1 of the SLID,
mutations in rrs accounted for resistance to amikacin, capreomycin, and kanamycin
for 81%, 75%, and 44% of the isolates, respectively, while mutations in eis
promoter were detected in 44% of the isolates resistant to kanamycin. In
contrast, no mutations in tlyA were observed in the isolates resistant to
capreomycin. The discrepancies observed between the genotypic (on the primary
culture) and phenotypic drug susceptibility testing were explained by (i)
resistance to SLID with MICs close to the critical concentration used for routine
DST and not detected by phenotypic testing (n = 8, 15% of SLID-resistant
strains), (ii) low-frequency heteroresistance not detected by sequencing of drug
resistance-associated genes on the primary culture (n = 8, 15% of SLID-resistant
strains), and (iii) other resistance mechanisms not yet characterized (n = 7, 13%
of SLID-resistant strains).
PMID- 27895018
TI - Occurrence of Diverse AbGRI1-Type Genomic Islands in Acinetobacter baumannii
Global Clone 2 Isolates from South Korea.
AB - In this study, we analyzed the frequency of the AbGRI1-type genomic island (GI)
and its association with genotypes. We obtained 130 Acinetobacter baumannii
isolates causing bloodstream infections from patients in South Korea.
Antimicrobial susceptibility testing and multilocus sequence typing were
performed. The presence of AbGRI1-type GIs and their structures were determined
by sequential PCR and sequencing. Ninety-eight isolates (75.3%) representing 14
sequence types (STs) belonged to clonal complex 208 (CC208), corresponding to
global clone 2 (GC2). AbGRI1-type GIs interrupted the comM gene in 107 isolates
(82.4%). Four types of GIs were identified: Tn6022 (50 isolates; 46.7%), AbaR4
(23 isolates; 21.5%), Tn6166 (10 isolates; 9.3%), and Tn6166/Tn2006 (24 isolates;
22.4%). In the 50 isolates with Tn6022, Tn2006 or Tn2008B, both containing ISAba1
blaOXA-23, was present in sites other than GIs in 3 or 28 isolates, respectively.
In the 10 isolates with Tn6166, Tn2008B was identified in one isolate. AbGRI1
type GIs were identified nearly exclusively in CC208 isolates, with the exception
of nine non-CC208 isolates (AbaR4 in eight ST229 isolates and Tn6022 in one
ST1244 isolate). Within CC208 isolates, there was evidence of frequent
recombination events, in both housekeeping genes and AbGRI1-type GIs,
contributing to genotype diversification and the emergence of carbapenem
resistance.
PMID- 27895019
TI - Nephrotoxicity during Vancomycin Therapy in Combination with Piperacillin
Tazobactam or Cefepime.
AB - Recent reports have demonstrated that vancomycin (VAN) may lead to an increase in
the incidence of acute kidney injury (AKI) when it is combined with
antipseudomonal beta-lactams. This study compared the incidence of AKI associated
with VAN plus piperacillin-tazobactam (TZP) or cefepime (FEP). This was a
retrospective, matched cohort study that was conducted at an academic medical
center between September 2010 and September 2014 and that included adult patients
without severe chronic or structural kidney disease, dialysis, pregnancy, cystic
fibrosis, or a hospital transfer receiving TZP-VAN or FEP-VAN for at least 48 h.
The primary outcome was the difference in the AKI incidence between the TZP-VAN
and FEP-VAN groups, evaluated using the risk, injury, failure, loss of kidney
function, and end-stage kidney disease (RIFLE) criteria. Patients in the two
groups were matched on the basis of age, sex, severity of illness, baseline
creatinine clearance, hypotension, number of nephrotoxicity risk factors, and
intravenous contrast exposure. In total, 4,193 patients met all inclusion
criteria (3,605 received TZP-VAN and 588 received FEP-VAN). The unadjusted AKI
incidence was 21.4% in patients receiving TZP-VAN, whereas it was 12.6% in
patients receiving FEP-VAN (P < 0.001). After the patients were matched, 1,633
patients receiving TZP-VAN and 578 patients receiving FEP-VAN were evaluated. The
AKI incidence remained higher in patients receiving TZP-VAN than in those
receiving FEP-VAN (21.4% versus 12.5%, P < 0.0001). This trend remained true for
all classifications of the RIFLE criteria. After controlling for remaining
confounders, TZP-VAN therapy was associated with 2.18 times the odds of AKI than
FEP-VAN therapy (95% confidence interval, 1.64 to 2.94 times) in logistic
regression. AKI was significantly more common in patients receiving vancomycin in
combination with piperacillin-tazobactam than in those receiving vancomycin in
combination with cefepime. This finding reinforces the need for the judicious use
of combination empirical antimicrobial therapy.
PMID- 27895020
TI - A Naturally Occurring Single Nucleotide Polymorphism in a Multicopy Plasmid
Produces a Reversible Increase in Antibiotic Resistance.
AB - ColE1 plasmids are small mobilizable replicons that play an important role in the
spread of antibiotic resistance in Pasteurellaceae In this study, we describe how
a natural single nucleotide polymorphism (SNP) near the origin of replication of
the ColE1-type plasmid pB1000 found in a Pasteurella multocida clinical isolate
generates two independent plasmid variants able to coexist in the same cell
simultaneously. Using the Haemophilus influenzae Rd KW20 strain as a model
system, we combined antibiotic susceptibility tests, quantitative PCRs,
competition assays, and experimental evolution to characterize the consequences
of the coexistence of the pB1000 plasmid variants. This coexistence produced an
increase of the total plasmid copy number (PCN) in the host bacteria, leading to
a rise in both the antibiotic resistance level and the metabolic burden produced
by pB1000. Using experimental evolution, we showed that in the presence of
ampicillin, the bacteria maintained both plasmid variants for 300 generations. In
the absence of antibiotics, on the other hand, the bacteria are capable of
reverting to the single-plasmid genotype via the loss of one of the plasmid
variants. Our results revealed how a single mutation in plasmid pB1000 provides
the bacterial host with a mechanism to increase the PCN and, consequently, the
ampicillin resistance level. Crucially, this mechanism can be rapidly reversed to
avoid the extra cost entailed by the increased PCN in the absence of antibiotics.
PMID- 27895022
TI - Inhibition of Plasmodium Liver Infection by Ivermectin.
AB - Avermectins are powerful endectocides with an established potential to reduce the
incidence of vector-borne diseases. Here, we show that several avermectins
inhibit the hepatic stage of Plasmodium infection in vitro Notably, ivermectin
potently inhibits liver infection in vivo by impairing parasite development
inside hepatocytes. This impairment has a clear impact on the ensuing blood stage
parasitemia, reducing disease severity and enhancing host survival. Ivermectin
has been proposed as a tool to control malaria transmission because of its
effects on the mosquito vector. Our study extends the effect of ivermectin to the
early stages of mammalian host infection and supports the inclusion of this
multipurpose drug in malaria control strategies.
PMID- 27895023
TI - Pleiotropic Effects of PCSK9 (Proprotein Convertase Subtilisin/Kexin Type 9)
Inhibitors?
PMID- 27895021
TI - In Vitro and In Vivo Activities of Sulfur-Containing Linear Bisphosphonates
against Apicomplexan Parasites.
AB - We tested a series of sulfur-containing linear bisphosphonates against Toxoplasma
gondii, the etiologic agent of toxoplasmosis. The most potent compound (compound
22; 1-[(n-decylsulfonyl)ethyl]-1,1-bisphosphonic acid) is a sulfone-containing
compound, which had a 50% effective concentration (EC50) of 0.11 +/- 0.02 MUM
against intracellular tachyzoites. The compound showed low toxicity when tested
in tissue culture with a selectivity index of >2,000. Compound 22 also showed
high activity in vivo in a toxoplasmosis mouse model. The compound inhibited the
Toxoplasma farnesyl diphosphate synthase (TgFPPS), but the concentration needed
to inhibit 50% of the enzymatic activity (IC50) was higher than the concentration
that inhibited 50% of growth. We tested compound 22 against two other
apicomplexan parasites, Plasmodium falciparum (EC50 of 0.6 +/- 0.01 MUM), the
agent of malaria, and Cryptosporidium parvum (EC50 of ~65 MUM), the agent of
cryptosporidiosis. Our results suggest that compound 22 is an excellent novel
compound that could lead to the development of potent agents against apicomplexan
parasites.
PMID- 27895025
TI - Medical Treatment of Aortic Stenosis.
AB - Untreated, severe, symptomatic aortic stenosis is associated with a dismal
prognosis. The only treatment shown to improve survival is aortic valve
replacement; however, before symptoms occur, aortic stenosis is preceded by a
silent, latent phase characterized by a slow progression at the molecular,
cellular, and tissue levels. In theory, specific medical therapy should halt
aortic stenosis progression, reduce its hemodynamic repercussions on left
ventricular function and remodeling, and improve clinical outcomes. In the
present report, we performed a systematic review of studies focusing on the
medical treatment of patients with aortic stenosis. Lipid-lowering therapy,
antihypertensive drugs, and anticalcific therapy have been the main drug classes
studied in this setting and are reviewed in depth. A critical appraisal of the
preclinical and clinical evidence is provided, and future research avenues are
presented.
PMID- 27895024
TI - The Role of Calcium/Calmodulin-Dependent Protein Kinase II Activation in
Hypertrophic Cardiomyopathy.
PMID- 27895026
TI - Impact of Body Mass Index on the Accuracy of N-Terminal Pro-Brain Natriuretic
Peptide and Brain Natriuretic Peptide for Predicting Outcomes in Patients With
Chronic Heart Failure and Reduced Ejection Fraction: Insights From the PARADIGM
HF Study (Prospective Comparison of ARNI With ACEI to Determine Impact on Global
Mortality and Morbidity in Heart Failure Trial).
PMID- 27895027
TI - Letter by Escobar et al Regarding Article, "On-Treatment Outcomes in Patients
With Worsening Renal Function With Rivaroxaban Compared With Warfarin: Insights
From ROCKET AF".
PMID- 27895028
TI - Response by Fordyce et al to Letter Regarding Article, "On-Treatment Outcomes in
Patients With Worsening Renal Function With Rivaroxaban Compared With Warfarin:
Insights From ROCKET AF".
PMID- 27895029
TI - Correction to: Recommended Dietary Pattern to Achieve Adherence to the American
Heart Association/American College of Cardiology (AHA/ACC) Guidelines: A
Scientific Statement From the American Heart Association.
PMID- 27895030
TI - We need clear health messages about exercise.
PMID- 27895031
TI - One in three with mild cognitive impairment has depression, review finds.
PMID- 27895032
TI - Molecular Pathways: Receptor Ectodomain Shedding in Treatment, Resistance, and
Monitoring of Cancer.
AB - Proteases known as sheddases cleave the extracellular domains of their substrates
from the cell surface. The A Disintegrin and Metalloproteinases ADAM10 and ADAM17
are among the most prominent sheddases, being widely expressed in many tissues,
frequently overexpressed in cancer, and promiscuously cleaving diverse
substrates. It is increasingly clear that the proteolytic shedding of
transmembrane receptors impacts pathophysiology and drug response. Receptor
substrates of sheddases include the cytokine receptors TNFR1 and IL6R; the Notch
receptors; type-I and -III TGFbeta receptors; receptor tyrosine kinases (RTK)
such as HER2, HER4, and VEGFR2; and, in particular, MET and TAM-family RTKs AXL
and Mer (MerTK). Activation of receptor shedding by mechanical cues, hypoxia,
radiation, and phosphosignaling offers insight into mechanisms of drug
resistance. This particularly holds for kinase inhibitors targeting BRAF (such as
vemurafenib and dabrafenib) and MEK (such as trametinib and cobimetinib), along
with direct sheddase inhibitors. Receptor proteolysis can be detected in patient
fluids and is especially relevant in melanoma, glioblastoma, lung cancer, and
triple-negative breast cancer where RTK substrates, MAPK signaling, and ADAMs are
frequently dysregulated. Translatable strategies to exploit receptor shedding
include combination kinase inhibitor regimens, recombinant decoy receptors based
on endogenous counterparts, and, potentially, immunotherapy. Clin Cancer Res;
23(3); 623-9. (c)2016 AACR.
PMID- 27895034
TI - Hospitals overwhelmed with patients after "thunderstorm asthma" hits Melbourne.
PMID- 27895036
TI - Doctor alleged to have performed "designer vagina" surgery won't be prosecuted.
PMID- 27895035
TI - MicroRNA-210 Enhances Fibrous Cap Stability in Advanced Atherosclerotic Lesions.
AB - RATIONALE: In the search for markers and modulators of vascular disease,
microRNAs (miRNAs) have emerged as potent therapeutic targets. OBJECTIVE: To
investigate miRNAs of clinical interest in patients with unstable carotid
stenosis at risk of stroke. METHODS AND RESULTS: Using patient material from the
BiKE (Biobank of Karolinska Endarterectomies), we profiled miRNA expression in
patients with stable versus unstable carotid plaque. A polymerase chain reaction
based miRNA array of plasma, sampled at the carotid lesion site, identified 8
deregulated miRNAs (miR-15b, miR-29c, miR-30c/d, miR-150, miR-191, miR-210, and
miR-500). miR-210 was the most significantly downregulated miRNA in local plasma
material. Laser capture microdissection and in situ hybridization revealed a
distinct localization of miR-210 in fibrous caps. We confirmed that miR-210
directly targets the tumor suppressor gene APC (adenomatous polyposis coli),
thereby affecting Wnt (Wingless-related integration site) signaling and
regulating smooth muscle cell survival, as well as differentiation in advanced
atherosclerotic lesions. Substantial changes in arterial miR-210 were detectable
in 2 rodent models of vascular remodeling and plaque rupture. Modulating miR-210
in vitro and in vivo improved fibrous cap stability with implications for
vascular disease. CONCLUSIONS: An unstable carotid plaque at risk of stroke is
characterized by low expression of miR-210. miR-210 contributes to stabilizing
carotid plaques through inhibition of APC, ensuring smooth muscle cell survival.
We present local delivery of miR-210 as a therapeutic approach for prevention of
atherothrombotic vascular events.
PMID- 27895037
TI - Margaret McCartney: Evidence in a post-truth world.
PMID- 27895033
TI - Molecular Pathways: Deciphering Mechanisms of Resistance to Macrophage-Targeted
Therapies.
AB - Tumor-associated macrophages (TAMs) are a major cellular component of numerous
tumor types. TAM-targeted therapies include depletion strategies, inhibiting
their effector functions or reprogramming toward an antitumorigenic phenotype,
with varying degrees of efficacy. Here, we review preclinical and clinical
strategies to target macrophages in cancer and discuss potential explanations for
why some strategies are effective while other approaches have shown limited
success. Clin Cancer Res; 23(4); 876-84. (c)2016 AACR.
PMID- 27895038
TI - The Fragility of Statistically Significant Findings From Randomized Trials in
Sports Surgery: A Systematic Survey.
AB - BACKGROUND: High-quality, evidence-based orthopaedic care relies on the
generation and translation of robust research evidence. The Fragility Index is a
novel method for evaluating the robustness of statistically significant findings
from randomized controlled trials (RCTs). It is defined as the minimum number of
patients in 1 arm of a trial that would have to change status from a nonevent to
an event to alter the results of the trial from statistically significant to
nonsignificant. PURPOSE: To calculate the Fragility Index of statistically
significant results from clinical trials in sports medicine and arthroscopic
surgery to characterize the robustness of the RCTs in these fields. METHODS: A
search was conducted in Medline, EMBASE, and PubMed for RCTs related to sports
medicine and arthroscopic surgery from January 1, 2005, to October 30, 2015. Two
reviewers independently assessed titles and abstracts for study eligibility,
performed data extraction, and assessed risk of bias. The Fragility Index was
calculated using the Fisher exact test for all statistically significant
dichotomous outcomes from parallel-group RCTs. Bivariate correlation was
performed to evaluate associations between the Fragility Index and trial
characteristics. RESULTS: A total of 48 RCTs were included. The median sample
size was 64 (interquartile range [IQR], 48.5-89.5), and the median total number
of outcome events was 19 (IQR, 10-27). The median Fragility Index was 2 (IQR, 1
2.8), meaning that changing 2 patients from a nonevent to an event in the
treatment arm changed the result to a statistically nonsignificant result, or P
>= .05. CONCLUSION: Most statistically significant RCTs in sports medicine and
arthroscopic surgery are not robust because their statistical significance can be
reversed by changing the outcome status on only a few patients in 1 treatment
group. Future work is required to determine whether routine reporting of the
Fragility Index enhances clinicians' ability to detect trial results that should
be viewed cautiously.
PMID- 27895039
TI - Region-Specific Effect of the Decellularized Meniscus Extracellular Matrix on
Mesenchymal Stem Cell-Based Meniscus Tissue Engineering.
AB - BACKGROUND: The meniscus is the most commonly injured knee structure, and
surgical repair is often ineffective. Tissue engineering-based repair or
regeneration may provide a needed solution. Decellularized, tissue-derived
extracellular matrices (ECMs) have received attention for their potential use as
tissue-engineered scaffolds. In considering meniscus-derived ECMs (mECMs) for
meniscus tissue engineering, it is noteworthy that the inner and outer regions of
the meniscus have different structural and biochemical features, potentially
directing the differentiation of cells toward region-specific phenotypes.
PURPOSE: To investigate the applicability of mECMs for meniscus tissue
engineering by specifically comparing region-dependent effects of mECMs on 3
dimensional constructs seeded with human bone marrow mesenchymal stem cells
(hBMSCs). STUDY DESIGN: Controlled laboratory study. METHODS: Bovine menisci were
divided into inner and outer halves and were minced, treated with Triton X-100
and DNase, and extracted with urea. Then, hBMSCs (1 * 106 cells/mL) were
encapsulated in a photo-cross-linked 10% polyethylene glycol diacrylate scaffold
containing mECMs (60 MUg/mL) derived from either the inner or outer meniscus,
with an ECM-free scaffold as a control. The cell-seeded constructs were cultured
with chondrogenic medium containing recombinant human transforming growth factor
beta3 (TGF-beta3) and were analyzed for expression of meniscus-associated genes
as well as for the collagen (hydroxyproline) and glycosaminoglycan content as a
function of time. RESULTS: Decellularization was verified by the absence of 4',6
diamidino-2-phenylindole (DAPI)-stained cell nuclei and a reduction in the DNA
content. Quantitative real-time polymerase chain reaction showed that collagen
type I expression was significantly higher in the outer mECM group than in the
other groups, while collagen type II and aggrecan expression was highest in the
inner mECM group. The collagen (hydroxyproline) content was highest in the outer
mECM group, while the glycosaminoglycan content was higher in both the inner and
outer mECM groups compared with the control group. CONCLUSION: These results
showed that the inner mECM enhances the fibrocartilaginous differentiation of
hBMSCs, while the outer mECM promotes a more fibroblastic phenotype. Our findings
support the feasibility of fabricating bioactive scaffolds using region-specific
mECM preparations for meniscus tissue engineering. CLINICAL RELEVANCE: This is
the first report to demonstrate the feasibility of applying region-specific mECMs
for the engineering of meniscus implants capable of reproducing the biphasic,
anatomic, and biochemical characteristics of the meniscus, features that should
contribute to the feasibility of their clinical application.
PMID- 27895040
TI - The role of non-invasive cardiovascular imaging in the assessment of
cardiovascular risk in rheumatoid arthritis: where we are and where we need to
be.
AB - This review assesses the risk assessment of cardiovascular disease (CVD) in
rheumatoid arthritis (RA) and how non-invasive imaging modalities may improve
risk stratification in future. RA is common and patients are at greater risk of
CVD than the general population. Cardiovascular (CV) risk stratification is
recommended in European guidelines for patients at high and very high CV risk in
order to commence preventative therapy. Ideally, such an assessment should be
carried out immediately after diagnosis and as part of ongoing long-term patient
care in order to improve patient outcomes. The risk profile in RA is different
from the general population and is not well estimated using conventional clinical
CVD risk algorithms, particularly in patients estimated as intermediate CVD risk.
Non-invasive imaging techniques may therefore play an important role in improving
risk assessment. However, there are currently very limited prognostic data
specific to patients with RA to guide clinicians in risk stratification using
these imaging techniques. RA is associated with increased risk of CV mortality,
mainly attributable to atherosclerotic disease, though in addition, RA is
associated with many other disease processes which further contribute to
increased CV mortality. There is reasonable evidence for using carotid ultrasound
in patients estimated to be at intermediate risk of CV mortality using clinical
CVD risk algorithms. Newer imaging techniques such as cardiovascular magnetic
resonance and CT offer the potential to improve risk stratification further;
however, longitudinal data with hard CVD outcomes are currently lacking.
PMID- 27895041
TI - Infections and the risk of incident giant cell arteritis: a population-based,
case-control study.
AB - OBJECTIVES: Alterations in the immune system and infections are suspected to
increase susceptibility to giant cell arteritis (GCA). Recently herpes zoster has
been directly implicated in the pathogenesis of GCA. We examined the association
between prior infections, in particular herpes zoster, and incident GCA in a
population-based cohort. METHODS: A nested case-control study was performed using
an electronic database from the UK. Cases with newly diagnosed GCA were
identified using a validated algorithm and compared with age-matched, sex-matched
and practice-matched controls. Conditional logistic regression was used to
examine the relationship between any infection or herpes zoster infection on the
development of GCA after adjusting for potential confounders; results were
expressed as incidence rate ratios (IRRs). RESULTS: There were 4559 cases of GCA
and 22 795 controls. Any prior infection and herpes zoster were associated with
incident GCA (IRR 1.26 (95% CI 1.16 to 1.36), p<0.01; and 1.17 (95% CI 1.04 to
1.32), p<0.01, respectively). A greater number of infections was associated with
a higher risk of developing GCA (IRR for 1, 2-4 and >=5 infections was 1.28, 1.60
and 2.18, respectively). CONCLUSIONS: Antecedent infections and, to a lesser
extent, herpes zoster infections are modestly associated with incident GCA. These
data provide population-level support for the hypothesis that long-standing
alterations of the immune system are associated with susceptibility to GCA and
suggest that herpes zoster is unlikely to play a major causal role in the
pathogenesis of GCA.
PMID- 27895042
TI - Prognostic Value of Cardiac Troponin T and Sex in Patients Undergoing Elective
Percutaneous Coronary Intervention.
AB - BACKGROUND: In patients with stable coronary artery disease undergoing elective
percutaneous coronary intervention, the prognostic value of high-sensitivity
cardiac troponin T (hs-cTnT) and the influence of sex remain poorly defined.
METHODS AND RESULTS: Consecutive patients with stable coronary artery disease who
underwent elective percutaneous coronary intervention were included. Primary
endpoint was all-cause mortality. Unadjusted hazard ratio (HR) in overall and sex
specific population and multivariable adjusted HR were calculated by using Cox
proportional hazard models. In a total of 5626 patients, elevated hs-cTnT levels,
more than the sex-specific 99th percentile upper reference limit of normal (URL),
were observed in 2221 patients (39%) at baseline. During follow-up (median, 14.5
months; 25th-75th percentiles, 6.4-27.2 months), 265 patients died. Mortality was
higher in patients with the sex-specific 99th percentile URL compared to those
with normal hs-cTnT (17.3% vs 3.4%; HR=6.10; 95% CI, 4.58-8.14; P<0.001). hs-cTnT
was an independent predictor of mortality in multivariable adjusted models. The C
statistic was significantly increased by adding hs-cTnT to the basic prediction
model for mortality (0.793-0.815; P<0.001). There was a significant interaction
between hs-cTnT and sex on mortality. Differences in all-cause mortality between
patients with more than the sex-specific 99th percentile URL and those with
normal hs-cTnT were numerically larger in male than female patients (male,
HR=6.45; 95% CI, 4.68-8.87, P<0.001; female, HR=4.29, 95% CI, 2.36-9.03;
P<0.001). CONCLUSIONS: In patients with stable coronary artery disease undergoing
elective percutaneous coronary intervention, preprocedural hs-cTnT was a strong
predictor of mortality in both men and women.
PMID- 27895043
TI - Impact of Alcohol Consumption on the Outcome of Catheter Ablation in Patients
With Paroxysmal Atrial Fibrillation.
AB - BACKGROUND: Although several studies have reported an association between atrial
fibrillation (AF) and alcohol, the impact of alcohol consumption on the outcome
after catheter ablation (CA) for AF has not been discussed. We aimed to elucidate
the effect of alcohol consumption on the outcome of CA for paroxysmal AF. METHODS
AND RESULTS: We examined 1361 consecutive patients with paroxysmal AF (mean age,
61+/-11 years, 334 women) who underwent CA, including 623 (45.8%) patients who
consumed alcohol. The clinical characteristics and outcomes of CA were compared
between patients who did and did not consume alcohol. No significant differences
were seen in the left atrial size, duration of AF history, and incidence of
nonpulmonary vein foci between 2 groups (P=NS). Although the AF recurrence-free
rate after the initial CA was higher in patients who did not consume alcohol
(261/623 [41.9%] versus 252/738 [34.1%]; mean follow-up, 44.4+/-30.7 months;
P=0.003), the outcome after the final CA was similar between 2 groups (patients
who consumed alcohol: 111/628 [17.7%] versus patients who did not consume
alcohol: 138/738 [18.7%]; mean follow-up, 53.1+/-25.8 months; P=0.67). The
frequency (hazard ratio 1.07 per 1 day/week increase, CI 1.00-1.15, P=0.04) of
alcohol consumption was significantly associated with AF recurrence after CA.
CONCLUSIONS: The frequency of alcohol consumption may be associated with AF
recurrence after the initial CA for paroxysmal AF, but it may not affect the
outcome after the final CA.
PMID- 27895044
TI - Polymorphisms in the GNAS Gene as Predictors of Ventricular Tachyarrhythmias and
Sudden Cardiac Death: Results From the DISCOVERY Trial and Oregon Sudden
Unexpected Death Study.
AB - BACKGROUND: Population-based studies suggest that genetic factors contribute to
sudden cardiac death (SCD). METHODS AND RESULTS: In the first part of the present
study (Diagnostic Data Influence on Disease Management and Relation of Genetic
Polymorphisms to Ventricular Tachy-arrhythmia in ICD Patients [DISCOVERY] trial)
Cox regression was done to determine if 7 single-nucleotide polymorphisms (SNPs)
in 3 genes coding G-protein subunits (GNB3, GNAQ, GNAS) were associated with
ventricular tachyarrhythmia (VT) in 1145 patients receiving an implantable
cardioverter-defibrillator (ICD). In the second part of the study, SNPs
significantly associated with VT were further investigated in 1335 subjects from
the Oregon SUDS, a community-based study analyzing causes of SCD. In the
DISCOVERY trial, genotypes of 2 SNPs in the GNAS gene were nominally significant
in the prospective screening and significantly associated with VT when viewed as
recessive traits in post hoc analyses (TT vs CC/CT in c.393C>T: HR 1.42 [CI 1.11
1.80], P=0.005; TT vs CC/CT in c.2273C>T: HR 1.57 [CI 1.18-2.09], P=0.002). TT
genotype in either SNP was associated with a HR of 1.58 (CI 1.26-1.99)
(P=0.0001). In the Oregon SUDS cohort significant evidence for association with
SCD was observed for GNAS c.393C>T under the additive (P=0.039, OR=1.21 [CI 1.05
1.45]) and recessive (P=0.01, OR=1.52 [CI 1.10-2.13]) genetic models.
CONCLUSIONS: GNAS harbors 2 SNPs that were associated with an increased risk for
VT in ICD patients, of which 1 was successfully replicated in a community-based
population of SCD cases. To the best of our knowledge, this is the first example
of a gene variant identified by ICD VT monitoring as a surrogate parameter for
SCD and also confirmed in the general population. CLINICAL TRIAL REGISTRATION:
URL: http://www.clinicaltrials.gov. Unique identifier: NCT00478933.
PMID- 27895045
TI - Cardiorespiratory Fitness and Highly Sensitive Cardiac Troponin Levels in a
Preventive Medicine Cohort.
AB - BACKGROUND: Cardiorespiratory fitness (CRF) and highly sensitive cardiac troponin
T (hs-cTnT) are associated with risk of all-cause and cardiovascular mortality as
well as incident heart failure. A link of low CRF to subclinical cardiac injury
may explain this association. We hypothesized that CRF would be inversely
associated with hs-cTnT measured in healthy adults over age 50. METHODS AND
RESULTS: We evaluated 2498 participants (24.7% female, mean age 58.7 years) from
the Cooper Center Longitudinal Study between August 2008 and January 2012. Plasma
specimens obtained shortly before CRF estimates by Balke treadmill testing were
used for hs-cTnT assays. CRF was grouped into low CRF (category 1), moderate CRF
(categories 2-3), and high CRF (categories 4-5). Multivariable logistic
regression was used to estimate the association of CRF with hs-cTnT. The
prevalence of measurable hs-cTnT (>=3 ng/L) was 78.5%. In multivariable analyses,
low-fit individuals were significantly more likely than high-fit individuals to
have elevated hs-cTnT (>=14 ng/L) (odds ratio 2.47, 95% CI 1.10-5.36).
CONCLUSIONS: In healthy older adults, CRF is inversely associated with hs-cTnT
level adjusted for other risk factors. Prospective studies are needed to evaluate
whether improving CRF is effective in preventing subclinical cardiac injury.
PMID- 27895046
TI - Gene silencing of Nox4 by CpG island methylation during hepatocarcinogenesis in
rats.
AB - The association between the downregulation of genes and DNA methylation in their
CpG islands has been extensively studied as a mechanism that favors
carcinogenesis. The objective of this study was to analyze the methylation of a
set of genes selected based on their microarray expression profiles during the
process of hepatocarcinogenesis. Rats were euthanized at: 24 h, 7, 11, 16 and 30
days and 5, 9, 12 and 18 months post-treatment. We evaluated the methylation
status in the CpG islands of four deregulated genes (Casp3, Cldn1, Pex11a and
Nox4) using methylation-sensitive high-resolution melting technology for the
samples obtained from different stages of hepatocarcinogenesis. We did not
observe methylation in Casp3, Cldn1 or Pex11a. However, Nox4 exhibited altered
methylation patterns, reaching a maximum of 10%, even during the early stages of
hepatocarcinogenesis. We observed downregulation of mRNA and protein of Nox4
(97.5% and 40%, respectively) after the first carcinogenic stimulus relative to
the untreated samples. Our results suggest that Nox4 downregulation is associated
with DNA methylation of the CpG island in its promoter. We propose that
methylation is a mechanism that can silence the expression of Nox4, which could
contribute to the acquisition of neoplastic characteristics during
hepatocarcinogenesis in rats.
PMID- 27895047
TI - Innovative approaches to establish and characterize primary cultures: an ex vivo
3D system and the zebrafish model.
AB - Patient-derived specimens are an invaluable resource to investigate tumor
biology. However, in vivo studies on primary cultures are often limited by the
small amount of material available, while conventional in vitro systems might
alter the features and behavior that characterize cancer cells. We present our
data obtained on primary dedifferentiated liposarcoma cells cultured in a 3D
scaffold-based system and injected into a zebrafish model. Primary cells were
characterized in vitro for their morphological features, sensitivity to drugs and
biomarker expression, and in vivo for their engraftment and invasiveness
abilities. The 3D culture showed a higher enrichment in cancer cells than the
standard monolayer culture and a better preservation of liposarcoma-associated
markers. We also successfully grafted primary cells into zebrafish, showing their
local migratory and invasive abilities. Our work provides proof of concept of the
ability of 3D cultures to maintain the original phenotype of ex vivo cells, and
highlights the potential of the zebrafish model to provide a versatile in vivo
system for studies with limited biological material. Such models could be used in
translational research studies for biomolecular analyses, drug screenings and
tumor aggressiveness assays.
PMID- 27895049
TI - Daily feeding and protein metabolism rhythms in Senegalese sole post-larvae.
AB - Fish hatcheries must adapt larval feeding protocols to feeding behavior and
metabolism patterns to obtain more efficient feed utilization. Fish larvae
exhibit daily ingesting rhythms rather than ingesting food continuously
throughout the day. The aim of this study was to determine the daily patterns of
feed intake, protein digestibility, protein retention and catabolism in
Senegalese sole post-larvae (Solea senegalensis; 33 days post-hatching) using 14C
labeled Artemia protein and incubation in metabolic chambers. Sole post-larvae
were fed at 09:00, 15:00, 21:00, 03:00 and 09:00+1 day; and those fed at 09:00,
21:00, 03:00 and 09:00+1 day showed significantly higher feed intake than post
larvae fed at 15:00 h (P=0.000). Digestibility and evacuation rate of ingested
protein did not change during the whole cycle (P=0.114); however, post-larvae fed
at 21:00 and 03:00 h showed the significantly highest protein retention
efficiency and lowest catabolism (P=0.002). Therefore, results confirm the
existence of daily rhythmicity in feeding activity and in the utilization of the
ingested nutrients in Senegalese sole post-larvae.
PMID- 27895048
TI - Parecoxib inhibits glioblastoma cell proliferation, migration and invasion by
upregulating miRNA-29c.
AB - Glioblastoma (GBM) is one of the most lethal brain cancers worldwide, and there
is an urgent need for development of novel therapeutic approaches. Parecoxib is a
well-known cyclooxygenase-2 (COX-2) inhibitor, and had already been developed for
postoperative analgesia with high efficacy and low adverse reaction. A recent
study has suggested that parecoxib potently enhances immunotherapeutic efficacy
of GBM, but its effects on GBM growth, migration and invasion have not previously
been studied. In the present study, MTT [3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide] and BrdU (5-bromo-2-deoxyuridine) incorporation
assays were used to evaluate the cell proliferation of GBM cells. Wound-healing
and transwell assays were preformed to analyze GBM cell migration and invasion,
respectively. The results suggested that parecoxib inhibits cell proliferation,
migration and invasion of GBM cells in a dose-dependent manner. RT-qPCR (real
time quantitative PCR) analysis demonstrated that miRNA-29c can be significantly
induced by parecoxib. Furthermore, our data suggests that a miRNA-29c inhibitor
can significantly attenuate parecoxib's effect on proliferation, migration and
invasion of GBM. In conclusion, the present study suggests that parecoxib
inhibits GBM cell proliferation, migration and invasion by upregulating miRNA
29c.
PMID- 27895050
TI - Social signals and aversive learning in honey bee drones and workers.
AB - The dissemination of information is a basic element of group cohesion. In honey
bees (Apis mellifera Linnaeus 1758), like in other social insects, the principal
method for colony-wide information exchange is communication via pheromones. This
medium of communication allows multiple individuals to conduct tasks critical to
colony survival. Social signaling also establishes conflict at the level of the
individual who must trade-off between attending to the immediate environment or
the social demand. In this study we examined this conflict by challenging highly
social worker honey bees, and less social male drone honey bees undergoing
aversive training by presenting them with a social stress signal (isopentyl
acetate, IPA). We utilized IPA exposure methods that caused lower learning
performance in appetitive learning in workers. Exposure to isopentyl acetate
(IPA) did not affect performance of drones and had a dose-specific effect on
worker response, with positive effects diminishing at higher IPA doses. The IPA
effects are specific because non-social cues, such as the odor cineole, improve
learning performance in drones, and social homing signals (geraniol) did not have
a discernible effect on drone or worker performance. We conclude that social
signals do generate conflict and that response to them is dependent on signal
relevance to the individual as well as the context. We discuss the effect of
social signal on learning both related to its social role and potential
evolutionary history.
PMID- 27895051
TI - Metabolism and antioxidant defense in the larval chironomid Tanytarsus
minutipalpus: adjustments to diel variations in the extreme conditions of Lake
Magadi.
AB - Insect larvae are reported to be a major component of the simple but highly
productive trophic web found in Lake Magadi (Kenya, Africa), which is considered
to be one of the most extreme aquatic environments on Earth. Previous studies
show that fish must display biochemical and physiological adjustments to thrive
under the extreme conditions of the lake. However, information for invertebrates
is lacking. In the present study, the occurrence of the larval chironomid
Tanytarsus minutipalpus is reported in Lake Magadi for the first time.
Additionally, changes in larval metabolism and antioxidant defense correlated
with diel variations in the extremely hostile environmental conditions of the
lake are described. Wide variations in water temperature (20.2-29.3 degrees C)
and dissolved oxygen content (3.2-18.6 mg O2 l-1) were observed at different
times of day, without significant change in water pH (10.0+/-0.03). Temperature
and dissolved oxygen were higher at 13:00 h (29.3+/-0.4 degrees C and 18.6+/-1.0
mg O2 l-1) and 19:00 h (29.3+/-0.8 degrees C and 16.2+/-1.6 mg O2 l-1) and lower
at 01:00 h (21.1+/-0.1 degrees C and 10.7+/-0.03 mg O2 l-1) and 07:00 h (20.2+/
0.4 degrees C and 3.2+/-0.7 mg O2 l-1). Significant and parallel increases in
parameters related to metabolism (cholinesterase, glucose, cholesterol, urea,
creatinine and hemoglobin) and the antioxidant system (SOD, GPx, GR, GSH and
GSSG) were observed in larvae collected at 13:00 h. In contrast, no significant
changes were observed in pro-oxidants (ROS and NO), TOSC and oxidative damage
parameters (LPO and DNA damage). Therefore, the observed increases in temperature
and dissolved O2 content in Lake Magadi were associated with changes in the
antioxidant system of T. minutipalpus larvae. Adjustments performed by the
chironomid larvae were efficient in maintaining body homeostasis, as well as
protecting biomolecules against oxidative damage, so that oxidative stress did
not occur. GSH-GSSG and GPx-GR systems appeared to play an essential role in the
adjustments displayed by the chironomid larvae during the diel changes in the
extreme conditions of Lake Magadi.
PMID- 27895052
TI - Evidence toads may modulate landing preparation without predicting impact time.
AB - Within anurans (frogs and toads), cane toads (Bufo marinus) perform particularly
controlled landings in which the forelimbs are exclusively used to decelerate and
stabilize the body after impact. Here we explore how toads achieve dynamic
stability across a wide range of landing conditions. Specifically, we suggest
that torques during landing could be reduced by aligning forelimbs with the
body's instantaneous velocity vector at impact (impact angle). To test whether
toad forelimb orientation varies with landing conditions, we used high-speed
video to collect forelimb and body kinematic data from six animals hopping off
platforms of different heights (0, 5 and 9 cm). We found that toads do align
forelimbs with the impact angle. Further, toads align forelimbs with the
instantaneous velocity vector well before landing and then track its changes
until touchdown. This suggests that toads may be prepared to land well before
they hit the ground rather than preparing for impact at a specific moment, and
that they may use a motor control strategy that allows them to perform controlled
landings without the need to predict impact time.
PMID- 27895054
TI - Passport to healthcare: NHS charges become the new normal for overseas patients.
PMID- 27895053
TI - Utilising polymorphisms to achieve allele-specific genome editing in zebrafish.
AB - The advent of genome editing has significantly altered genetic research,
including research using the zebrafish model. To better understand the
selectivity of the commonly used CRISPR/Cas9 system, we investigated single base
pair mismatches in target sites and examined how they affect genome editing in
the zebrafish model. Using two different zebrafish strains that have been deep
sequenced, CRISPR/Cas9 target sites containing polymorphisms between the two
strains were identified. These strains were crossed (creating heterozygotes at
polymorphic sites) and CRISPR/Cas9 complexes that perfectly complement one strain
injected. Sequencing of targeted sites showed biased, allele-specific editing for
the perfectly complementary sequence in the majority of cases (14/19). To test
utility, we examined whether phenotypes generated by F0 injection could be
internally controlled with such polymorphisms. Targeting of genes bmp7a and
chordin showed reduction in the frequency of phenotypes in injected
'heterozygotes' compared with injecting the strain with perfect complementarity.
Next, injecting CRISPR/Cas9 complexes targeting two separate sites created
deletions, but deletions were biased to selected chromosomes when one CRISPR/Cas9
target contained a polymorphism. Finally, integration of loxP sequences occurred
preferentially in alleles with perfect complementarity. These experiments
demonstrate that single nucleotide polymorphisms (SNPs) present throughout the
genome can be utilised to increase the efficiency of in cis genome editing using
CRISPR/Cas9 in the zebrafish model.
PMID- 27895056
TI - Funds are not reaching frontline services.
PMID- 27895055
TI - Role of agents for reversing the effects of target-specific oral anticoagulants.
AB - PURPOSE: The available clinical data on target-specific oral anticoagulant
(TSOAC) reversal agents that are currently in development or have been approved
by the Food and Drug Administration (FDA) are reviewed. SUMMARY: The development
of TSOACs such as dabigatran, rivaroxaban, edoxaban, and apixaban has presented
benefits and new challenges. One of the main challenges associated with the use
of TSOACs is the lack of suitable agent-specific reversal agents. Several
treatment options for the management of life-threatening bleeding events
associated with TSOAC use, such as fresh frozen plasma, prothrombin complex
concentrates, and recombinant coagulation factor VIIa, have been used, with
inconsistent results. Currently, two potential reversal agents for oral direct
factor Xa inhibitors (andexanet alfa and ciraparantag) are at various stages of
clinical development. Idarucizumab, a reversal agent for the oral direct thrombin
inhibitor dabigatran, was approved by FDA in October 2015. Idarucizumab and
andexanet alfa have been reported to produce anticoagulation reversal effects
within minutes of administration. Ciraparantag was demonstrated to decrease whole
blood clotting time to within 10% of baseline values in 10 minutes or less, with
a return to baseline hemostasis in 10-30 minutes. TSOAC reversal agents have been
generally well tolerated in clinical trials. CONCLUSION: Idarucizumab and other
TSOAC reversal agents, such as andexanet alfa and ciraparantag, present the
potential for consistent and effective treatment and management options when life
threatening or uncontrolled TSOAC-associated bleeding occurs or when emergency
surgery is warranted in patients using TSOACs.
PMID- 27895057
TI - Pfizer could face large fine over pricing of generic phenytoin.
PMID- 27895059
TI - Developed nations should set an example on accepting funds from infant formula
companies.
PMID- 27895060
TI - Human experience isn't multiple choice.
PMID- 27895061
TI - Five thousand dead and counting: the Philippines' bloody war on drugs.
PMID- 27895062
TI - Health professionals must be ethnographers.
PMID- 27895063
TI - Association of eating behaviours with diurnal preference and rotating shift work
in Japanese female nurses: a cross-sectional study.
AB - OBJECTIVES: Our study examines differences in eating behaviour between day
workers and rotating shift workers, and considers whether diurnal preference
could explain the differences. METHODS: Japanese female nurses were studied (39
day workers and 123 rotating shift workers, aged 21-63 years) using self
administered questionnaires. The questionnaires assessed eating behaviours,
diurnal preference and demographic characteristics. The questionnaire in the
Guidelines for the management of obesity disease issued by the Japan Society for
the Study of Obesity was used to obtain scores for the levels of obesity-related
eating behaviours, including cognition of constitution, motivation for eating,
eating as a diversion, feeling of satiety, eating style, meal contents and
temporal eating patterns. The Japanese version of the Morningness-Eveningness
(ME) questionnaire was used to measure self-rated preference for the degree to
which people prefer to be active in the morning or the evening (ME). RESULTS: The
scores for meal contents and temporal eating patterns in rotating shift workers
were significantly higher than those in day workers. The ME score of rotating
shift workers was significantly lower, indicating greater eveningness/less
morningness among rotating shift workers. Multivariate linear regression revealed
that the ME score was significantly negatively associated with temporal eating
patterns and showed a negative association with the score for meal contents at a
trend level, while current work shift was not significantly correlated with the
scores. CONCLUSIONS: These results suggest that eating behaviours for rotating
shift workers are associated with a more unbalanced diet and abnormal temporal
eating patterns and that the associations may be explained by diurnal preference
rather than by rotating shift work.
PMID- 27895064
TI - Risk of obstructive sleep apnoea in patients with rheumatoid arthritis: a
nationwide population-based retrospective cohort study.
AB - OBJECTIVE: Sleep disorders are prevalent medical disorders in patients with
rheumatoid arthritis (RA). However, whether patients with RA are at an increased
risk of developing obstructive sleep apnoea (OSA) is unclear. DESIGN: Using
population-based retrospective cohort study to examine the risk of OSA in
patients with RA. SETTING: We used claims data of the National Health Insurance
Research Database (NHIRD) of Taiwan. PARTICIPANTS: We identified a RA cohort with
33 418 patients newly diagnosed in 2000-2010 and a randomly selected non-RA
comparison cohort with 33 418 individuals frequency matched by sex, age and
diagnosis year. PRIMARY AND SECONDARY OUTCOME MEASURES: Incident OSA was
estimated by the end of 2011. The HRs of OSA were calculated using the Cox
proportional hazards regression analysis. RESULTS: The overall incidence rate of
OSA was 75% greater in the RA cohort than in the non-RA cohort (3.04 vs 1.73/10
000 person-years, p<0.001), with an adjusted HR (aHR) of 1.75 (95% CI 1.18 to
2.60). Stratified analyses by sex, age group and comorbidity revealed that the
incidence rates of OSA associated with RA were higher in all subgroups.
CONCLUSIONS: This population-based retrospective cohort study suggested that
patients with RA should be monitored for the risk of developing OSA.
PMID- 27895058
TI - Diagnosis and management of AML in adults: 2017 ELN recommendations from an
international expert panel.
AB - The first edition of the European LeukemiaNet (ELN) recommendations for diagnosis
and management of acute myeloid leukemia (AML) in adults, published in 2010, has
found broad acceptance by physicians and investigators caring for patients with
AML. Recent advances, for example, in the discovery of the genomic landscape of
the disease, in the development of assays for genetic testing and for detecting
minimal residual disease (MRD), as well as in the development of novel
antileukemic agents, prompted an international panel to provide updated evidence-
and expert opinion-based recommendations. The recommendations include a revised
version of the ELN genetic categories, a proposal for a response category based
on MRD status, and criteria for progressive disease.
PMID- 27895066
TI - Protocol of the Swiss Longitudinal Cohort Study (SWICOS) in rural Switzerland.
AB - INTRODUCTION: Increased longevity and consequent major changes in demographics
and population lifestyles necessitate new approaches to reduce the burden of
ageing-related diseases (including cardiovascular disease) and maintain an
optimal quality of life. This study aims to examine and longitudinally follow
health status and disease risk factors in a Swiss rural cohort, evaluating all
health-related research and practice disciplines to assure development of new
implementable and successful preventive strategies for healthy ageing. METHODS
AND OBJECTIVES: Small Swiss villages with low migration rates will be selected
for this study. 2 villages (Cama/Lostallo) have already been selected as initial
study sites. All residents (age >=6 years, no upper age limit) are eligible. The
target enrolment number per village is 300. Examinations and measurements
encompass medical history, anthropometry, cardiac and vascular health, pulmonary
function, physical performance, nutritional, mental and emotional status,
biochemical and molecular analyses. Follow-up examinations (identical to
baseline) will be performed after 5 and 10 years, and in 10-year intervals
thereafter. The major objective is to assess, and observe change in, health
status over time in a prospective manner. Secondary objectives are to: (1)
identify 'hidden' (asymptomatic and/or unrecognised) health problems which
enhance risk for chronic diseases; (2) identify barriers to accessing healthcare
and adapting health behaviours; (3) evaluate efficacy of present preventive
strategies and recommendations; (4) evaluate knowledge and attitude towards
ongoing health programmes and public health recommendations; (5) monitor change
and progress towards the national health objectives; (6) formulate new preventive
strategies and recommendations based on the findings and knowledge base of the
past 10 years; (7) formulate models for successful prevention of chronic diseases
and for healthy ageing. ETHICS AND DISSEMINATION: The Ethics Committee of
Nordwest-und Zentralschweiz approved this study (EKNZ 2014-209). It is registered
at ClinicalTrials.gov (NCT02282748). Findings will be disseminated through
scientific articles/presentations and public events.
PMID- 27895067
TI - Changes in objectively measured smoking in pregnancy by time and legislative
changes in Finland: a retrospective cohort study.
AB - OBJECTIVES: To study the changes in prevalence, characteristics and outcomes of
pregnant smokers over time and legislative changes. DESIGN AND SETTING:
Retrospective nationwide cohort. PARTICIPANTS: Our study consisted of 9627
randomly selected pregnancies from the Finnish Maternity Cohort (1987-2011), with
demographic characteristics and pregnancy and perinatal data obtained from the
Medical Birth Registry and early pregnancy serum samples analysed for cotinine
levels. Women were categorised based on their self-reported smoking status and
measured cotinine levels (with >=4.73 ng/mL deemed high). Data were stratified to
three time periods based on legislative changes in the Tobacco Act. PRIMARY AND
SECONDARY OUTCOME MEASURES: Prevalence of pregnant smokers and demographics, and
perinatal and pregnancy outcomes of pregnant smokers over time. RESULTS: Overall,
71.6% of women were non-smokers, 16.2% were active cigarette smokers, 7.7%
undisclosed smoking but had high cotinine levels and 4.5% were inactive cigarette
smokers. The prevalence of active cigarette smokers decreased from mid-1990s
onwards among women aged >=30 years, probably due to the ban of cigarette smoking
in most workplaces. We observed no changes in the prevalence of inactive smokers
or women who undisclosed smoking by time or legislative changes.Women who
undisclosed smoking had similar characteristics and perinatal outcomes as
inactive and active smokers. Compared with non-smokers, women who undisclosed
smoking were more likely to be young, unmarried, have a socioeconomic status
lower than white-collar worker and have a preterm birth. CONCLUSIONS: Women who
undisclosed smoking were very similar to pregnant cigarette smokers. We observed
a reduction in the prevalence of active pregnant cigarette smokers after the ban
of indoor smoking in workplaces and restaurants, mostly among women aged >=30
years.
PMID- 27895065
TI - Social determinants and maternal exposure to intimate partner violence of
obstetric patients with severe maternal morbidity in the intensive care unit: a
systematic review protocol.
AB - INTRODUCTION: Maternal mortality is a potentially preventable public health
issue. Maternal morbidity is increasingly of interest to aid the reduction of
maternal mortality. Obstetric patients admitted to the intensive care unit (ICU)
are an important part of the global burden of maternal morbidity. Social
determinants influence health outcomes of pregnant women. Additionally, intimate
partner violence has a great negative impact on women's health and pregnancy
outcome. However, little is known about the contextual and social aspects of
obstetric patients treated in the ICU. This study aimed to conduct a systematic
review of the social determinants and exposure to intimate partner violence of
obstetric patients admitted to an ICU. METHODS AND ANALYSIS: A systematic search
will be conducted in MEDLINE, CINAHL, ProQuest, LILACS and SciELO from 2000 to
2016. Studies published in English and Spanish will be identified in relation to
data reporting on social determinants of health and/or exposure to intimate
partner violence of obstetric women, treated in the ICU during pregnancy,
childbirth or within 42 days of the end of pregnancy. Two reviewers will
independently screen for study eligibility and data extraction. Risk of bias and
assessment of the quality of the included studies will be performed by using the
Critical Appraisal Skills Programme (CASP) checklist. Data will be analysed and
summarised using a narrative description of the available evidence across
studies. This systematic review protocol will be reported according to the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses Protocols
(PRISMA-P) guidelines. ETHICS AND DISSEMINATION: Since this systematic review
will be based on published studies, ethical approval is not required. Findings
will be presented at La Trobe University, in Conferences and Congresses, and
published in a peer-reviewed journal. TRIAL REGISTRATION NUMBER: CRD42016037492.
PMID- 27895068
TI - Impact of a dedicated cancer-associated thrombosis service on clinical outcomes:
a mixed-methods evaluation of a clinical improvement exercise.
AB - OBJECTIVES: Cancer-associated thrombosis (CAT) complex condition, which may
present to any healthcare professional and at any point during the cancer
journey. As such, patients may be managed by a number of specialties, resulting
in inconsistent practice and suboptimal care. We describe the development of a
dedicated CAT service and its evaluation. SETTING: Specialist cancer centre,
district general hospital and primary care. PARTICIPANTS: Patients with CAT and
their referring clinicians. INTERVENTION: A cross specialty team developed a
dedicated CAT service , including clear referral pathways, consistent access to
medicines, patient's information and a specialist clinic. PRIMARY AND SECONDARY
OUTCOME MEASURES: The service was evaluated using a mixed-methods evaluation ,
including audits of clinical practice, clinical outcomes, staff surveys and
qualitative interviewing of patients and healthcare professionals. RESULTS: Data
from 457 consecutive referrals over an 18-month period were evaluated. The CAT
service has led to an 88% increase in safe and consistent community prescribing
of low-molecular-weight heparin, with improved access to specialist advice and
information. Patients reported improved understanding of their condition,
enabling better self-management as well as better access to support and
information. Referring clinicians reported better care standards for their
patients with improved access to expertise and appropriate management.
CONCLUSIONS: A dedicated CAT service improves overall standards of care and is
viewed positively by patients and clinicians alike. Further health economic
evaluation would enhance the case for establishing this as the standard model of
care.
PMID- 27895070
TI - I Forgot: Memory and Medication Adherence in Heart Failure.
PMID- 27895071
TI - Stenting of Spontaneous Coronary Artery Dissection From a Pathological Point of
View.
PMID- 27895069
TI - Association Between Poorer Cognitive Function and Reduced Objectively Monitored
Medication Adherence in Patients With Heart Failure.
AB - BACKGROUND: Subclinical cognitive impairment is prevalent in heart failure (HF);
however, its role in important clinical outcomes, such as HF treatment adherence,
is unclear. Given the complex polypharmacy in HF treatment, cognitive deficits
may be important in predicting medication management. Thus, the objective of the
current study was to examine the impact of cognitive function on medication
adherence among community-dwelling patients with HF using objective assessments.
METHODS AND RESULTS: A prospective observational cohort design of 309 community
dwelling patients with HF (59.7% male, 68.7+/-9.7 years) and no history of
dementia or neurological disease. Cognition was assessed using a
neuropsychological battery at baseline. Medication adherence was objectively
measured for 21 days using an electronic pillbox. Regression analyses tested
whether attention, executive function, or memory predicted 21-day medication
adherence. In unadjusted analyses, lower scores on all 3 cognitive domains
predicted poorer medication adherence (beta=0.52-85; P=0.001-0.009). After
adjusting for demographic, clinical, and psychosocial variables, memory continued
to predict medication adherence (beta=0.51; P=0.008), whereas executive function
(beta=0.24; P=0.075) and attention were no longer a predictor (beta=0.34;
P=0.131). CONCLUSIONS: Poorer cognitive function, especially in regard to memory,
predicted reduced medication adherence among patients with HF and no history of
dementia. This effect remained after adjustment for factors known to predict
adherence, such as depressed mood, social support, and disease severity level.
Future studies should examine the link from cognitive impairment and medication
nonadherence to clinical outcomes (eg, hospitalization and mortality). CLINICAL
TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier:
NCT01461629.
PMID- 27895072
TI - UK government's autumn statement: no relief for NHS and social care in England.
PMID- 27895073
TI - Fertility authority must provide evidence for tests and treatments, say
researchers.
PMID- 27895074
TI - Patch testing in patients with anal itching.
PMID- 27895075
TI - Associations of specific types of sports and exercise with all-cause and
cardiovascular-disease mortality: a cohort study of 80 306 British adults.
AB - BACKGROUND/AIM: Evidence for the long-term health effects of specific sport
disciplines is scarce. Therefore, we examined the associations of six different
types of sport/exercise with all-cause and cardiovascular disease (CVD) mortality
risk in a large pooled Scottish and English population-based cohort. METHODS: Cox
proportional hazards regression was used to investigate the associations between
each exposure and all-cause and CVD mortality with adjustment for potential
confounders in 80 306 individuals (54% women; mean+/-SD age: 52+/-14 years).
RESULTS: Significant reductions in all-cause mortality were observed for
participation in cycling (HR=0.85, 95% CI 0.76 to 0.95), swimming (HR=0.72, 95%
CI 0.65 to 0.80), racquet sports (HR=0.53, 95% CI 0.40 to 0.69) and aerobics
(HR=0.73, 95% CI 0.63 to 0.85). No significant associations were found for
participation in football and running. A significant reduction in CVD mortality
was observed for participation in swimming (HR=0.59, 95% CI 0.46 to 0.75),
racquet sports (HR=0.44, 95% CI 0.24 to 0.83) and aerobics (HR=0.64, 95% CI 0.45
to 0.92), but there were no significant associations for cycling, running and
football. Variable dose-response patterns between the exposure and the outcomes
were found across the sport disciplines. CONCLUSIONS: These findings demonstrate
that participation in specific sports may have significant benefits for public
health. Future research should aim to further strengthen the sport-specific
epidemiological evidence base and understanding of how to promote greater sports
participation.
PMID- 27895076
TI - Mesothelial Cells Create a Novel Tissue Niche That Facilitates Gastric Cancer
Invasion.
AB - Peritoneal mesothelial cells (PMC) cover organ surfaces in the abdominal cavity.
In this study, lineage tracing revealed that the PMCs guide cancer cell invasion
in the gastric wall and in peritoneal metastatic lesions. Serosal PMCs covering
the stomach surface entered the gastric wall to create a novel niche that favored
gastric cancer cell invasion. PMC infiltration was induced by incorporation of
cancer cell-derived, Wnt3a-containing extracellular vesicles. Infiltrated PMCs in
turn promoted subserosal invasion of cancer cells. Mutual attraction between
cancer cells and PMCs accelerated tumor invasion in the gastric wall, and PMC-led
cancer cell invasion in disseminated tumors within the abdominal wall and
diaphragm. Addition of the carboxyl terminus of Dickkopf-1 attenuated directional
invasion of PMCs toward cancer cells both in vitro and in the gastric wall in
vivo PMCs were sensitive to the aldehyde dehydrogenase (ALDH) inhibitor
disulfiram (DSF), as ALDH activity is elevated in PMCs. Wnt3a upregulated ALDH,
and addition of DSF inhibited the invasive properties of PMCs, whereas DSF
pretreatment suppressed gastric infiltration of PMCs and subserosal invasion by
cancer cells. Our results suggest that stabilization of PMCs may become an
effective therapy for the prevention of local invasion and metastasis of gastric
cancer. Cancer Res; 77(3); 684-95. (c)2016 AACR.
PMID- 27895077
TI - Acquired male urethral diverticulum: a rare entity treated in a one-stage
procedure.
AB - Acquired male urethral diverticulum is a rare entity with most of the literature
revolving around case reports or small case series. Up to two-thirds of cases are
acquired, mostly as a result of trauma, stricture or infection. Infrequently,
some cases develop as a complication of urological procedures, or even penile
clamping. We present the case of an adult male with lower urinary tract symptoms,
recurrent urinary infections and a history of multiple surgeries to treat a
complicated perineal fistulae disease. With the help of imaging techniques, a
bulbar urethral diverticulum was discovered. Owing to the symptomatic nature of
the diverticulum, an open procedure was performed with excision and primary
urethral anastomosis. No urinary symptoms were reported and follow-up imaging and
flowmetry demonstrated very good functional outcome.
PMID- 27895078
TI - Why so blue? A case of neonatal cyanosis due to congenital methaemoglobinaemia
(HbM Iwate).
PMID- 27895080
TI - Unusual cause of pleuritic chest pain in a child.
AB - We present the case of a 5-year-old boy with hereditary multiple exostoses who
presented with left-sided pleuritic chest pain. A CT scan of the chest revealed
an intrathoracic exostosis in close association with the heart.
PMID- 27895079
TI - Anterior chamber exudation in chronic myeloid leukaemia.
AB - Anterior chamber leukaemic hypopyon is a rare occurrence in chronic myeloid
leukaemia. We discuss two cases marked by rapid exudation inside the anterior
chamber, which were subsequently diagnosed as chronic myeloid leukaemia. The
hypopyon in both the cases resolved on induction of chemotherapy.
PMID- 27895081
TI - Malignant melanoma of cervix.
AB - A 68-year-old woman presented with symptoms of bleeding per vaginum. On
examination, a growth was seen in the cervix, clinically considered to be
squamous cell carcinoma. The growth was confined to the cervix and did not
involve the parametria. However, on biopsy it was diagnosed as malignant
melanoma. She underwent surgery elsewhere and was advised chemotherapy as these
tumours are aggressive; however, she refused chemotherapy. She has been on
regular follow-up and has an ongoing survival and disease-free period of more
than 5 years. Primary cervical malignant melanomas are very rare as compared with
vulval and vaginal counterparts and should be considered in the histological
differential diagnosis of poorly differentiated malignant neoplasms involving
cervix. Moreover, it is important to rule out metastasis from common primary
sites such as skin, oesophagus, uveal tract and anorectal region before
considering diagnosis of primary cervical melanoma.
PMID- 27895083
TI - Locking plate external fixation and negative pressure wound therapy for treatment
of a primary infection in a closed clavicle fracture.
AB - Infection in a clavicle fracture is uncommon, but remains a challenging problem.
A paucity of soft tissue coverage often combined with significant displacement
and interfragmentary movement add complexity to an already difficult situation
for effective infection treatment. External fixation in principle offers a means
of achieving fracture stability, while the infection is being eradicated. We
present the case of a closed clavicle fracture, initially treated conservatively,
that presented 5 weeks later with infection. The fracture was definitively
treated with external fixation using a locking plate positioned superficially to
the skin, plus negative pressure wound therapy and subsequent secondary closure
and antibiotic therapy. This case illustrates a novel method of treatment in this
unusual presentation that was well tolerated by the patient and resulted in a
good clinical outcome.
PMID- 27895082
TI - VURD syndrome in an infant presenting with potentially fatal staphylococcal
urinary tract infection and septicaemia.
PMID- 27895084
TI - Prescription preferences in antipsychotics and attitude towards the
pharmaceutical industry in Belgium.
AB - OBJECTIVES: The number of antipsychotic prescriptions are increasing rapidly
worldwide, a trend which is mainly driven by the steep rise in second-generation
antipsychotic (SGA) prescriptions. However, the success of SGA, compared with the
older first-generation antipsychotics (FGAs), cannot be explained by evidence.
Several studies concluded on equal efficacy of FGA and SGA on positive, negative
and cognitive symptoms of schizophrenia. Next to that, the influence of the
pharmaceutical industry on prescription behaviour has drawn considerable
interest. Therefore, the relationship between antipsychotic prescription patterns
and exposure to information directly provided by pharmaceutical companies was
studied. METHODS: A cross-sectional online survey, addressing psychiatrists,
general practitioners (GPs) and trainees in Flanders, was carried out.
Respondents were questioned about their prescription behaviour, opinion about
efficacy of SGA versus FGA and the nature and frequency of their contact with the
pharmaceutical industry. Using Spearman's rank correlations and chi2 tests, the
relationship between different variables and group differences were examined.
RESULTS: Psychiatrists, GPs and trainees in Flanders clearly favour olanzapine
and risperidone, followed by quetiapine and aripiprazole above all other agents.
This behaviour is supported by the conviction that SGAs have superior efficacy
and a more benign side effect profile, compared with FGA. Frequent contact with
drug representatives is correlated with a preference of SGA over FGA. 41% of the
respondents acknowledge to be influenced by the pharmaceutical industry, which is
more than that previously reported.
PMID- 27895085
TI - Counterpoint: Potential Concerns Regarding the Use of Sex-Specific Cutpoints for
High-Sensitivity Troponin Assays.
PMID- 27895086
TI - Point: The Use of Sex-Specific Cutpoints for High-Sensitivity Cardiac Troponin
Assays.
PMID- 27895087
TI - Removing the waste bags: how p97 drives autophagy of lysosomes.
PMID- 27895088
TI - Hormonal alterations in PCOS and its influence on bone metabolism.
AB - According to the World Health Organization (WHO) polycystic ovary syndrome (PCOS)
occurs in 4-8% of women worldwide. The prevalence of PCOS in Indian adolescents
is 12.2% according to the Indian Council of Medical Research (ICMR). The National
Institute of Health has documented that it affects approximately 5 million women
of reproductive age in the United States. Hormonal imbalance is the
characteristic of many women with polycystic ovarian syndrome (PCOS). The
influence of various endocrine changes in PCOS women and their relevance to bone
remains to be documented. Hormones, which include gonadotrophin-releasing hormone
(GnRH), insulin, the leutinizing/follicle-stimulating hormone (LH/FSH) ratio,
androgens, estrogens, growth hormones (GH), cortisol, parathyroid hormone (PTH)
and calcitonin are disturbed in PCOS women. These hormones influence bone
metabolism in human subjects directly as well as indirectly. The imbalance in
these hormones results in increased prevalence of osteoporosis in PCOS women.
Limited evidence suggests that the drugs taken during the treatment of PCOS
increase the risk of bone fracture in PCOS patients through endocrine disruption.
This review is aimed at the identification of the relationship between bone
mineral density and hormonal changes in PCOS subjects and identifies potential
areas to study bone-related disorders in PCOS women.
PMID- 27895091
TI - The War on Women: And the Brave Ones Who Fight Back.
PMID- 27895089
TI - Oxidized high density lipoprotein induces macrophage apoptosis via toll-like
receptor 4-dependent CHOP pathway.
AB - Oxidized HDL (ox-HDL), unlike native HDL that exerts antiatherogenic effects,
plays a proatherogenic role. However, the underlying mechanisms are not
completely understood. This study was designed to explore the inductive effect of
ox-HDL on endoplasmic reticulum (ER) stress-CCAAT-enhancer-binding protein
homologous protein (CHOP)-mediated macrophage apoptosis and its upstream
mechanisms. Our results showed that ox-HDL could be ingested by macrophages,
causing intracellular lipid accumulation. As with tunicamycin (an ER stress
inducer), ox-HDL induced macrophage apoptosis with concomitant activation of ER
stress pathway, including nuclear translocation of activating transcription
factor 6, phosphorylation of protein kinase-like ER kinase and eukaryotic
translation initiation factor 2alpha, and upregulation of glucose-regulated
protein 78 and CHOP, which were inhibited by 4-phenylbutyric acid (PBA, an ER
stress inhibitor) and CHOP gene silencing. Additionally, diphenyleneiodonium
(DPI, an oxidative stress inhibitor), probucol (a reactive oxygen species
scavenger), and toll-like receptor 4 (TLR4) silencing reduced ox-HDL-induced
macrophage apoptosis, oxidative stress, and CHOP upregulation. Moreover, HDL
isolated from patients with metabolic syndrome induced macrophage apoptosis,
oxidative stress, and CHOP upregulation, which were blocked by PBA and DPI. These
data indicate that ox-HDL may activate ER stress-CHOP-induced apoptotic pathway
in macrophages via enhanced oxidative stress and that this pathway may be
mediated by TLR4.
PMID- 27895092
TI - Characterization of Runella slithyformis HD-Pnk, a Bifunctional DNA/RNA End
Healing Enzyme Composed of an N-Terminal 2',3'-Phosphoesterase HD Domain and a C
Terminal 5'-OH Polynucleotide Kinase Domain.
AB - 5'- and 3'-end-healing reactions are key steps in nucleic acid break repair in
which 5'-OH ends are phosphorylated by a polynucleotide kinase (Pnk) and 3'-PO4
or 2',3'-cyclic-PO4 ends are hydrolyzed by a phosphoesterase to generate the 5'
PO4 and 3'-OH termini required for sealing by classic polynucleotide ligases. End
healing and sealing enzymes are present in diverse bacterial taxa, often
organized as modular units within a single multifunctional polypeptide or as
subunits of a repair complex. Here we identify and characterize Runella
slithyformis HD-Pnk as a novel bifunctional end-healing enzyme composed of an N
terminal 2',3'-phosphoesterase HD domain and a C-terminal 5'-OH polynucleotide
kinase P-loop domain. HD-Pnk phosphorylates 5'-OH polynucleotides (9-mers or
longer) in the presence of magnesium and any nucleoside triphosphate donor. HD
Pnk dephosphorylates RNA 2',3'-cyclic phosphate, RNA 3'-phosphate, RNA 2'
phosphate, and DNA 3'-phosphate ends in the presence of a transition metal
cofactor, which can be nickel, copper, or cobalt. HD-Pnk homologs are present in
genera from 11 bacterial phyla and are often encoded in an operon with a putative
ATP-dependent polynucleotide ligase. IMPORTANCE The present study provides
insights regarding the diversity of nucleic acid repair strategies via the
characterization of Runella slithyformis HD-Pnk as the exemplar of a novel clade
of dual 5'- and 3'-end-healing enzymes that phosphorylate 5'-OH termini and
dephosphorylate 2',3'-cyclic-PO4, 3'-PO4, and 2'-PO4 ends. The distinctive
feature of HD-Pnk is its domain composition, i.e., a fusion of an N-terminal HD
phosphohydrolase module and a C-terminal P-loop polynucleotide kinase module.
Homologs of Runella HD-Pnk with the same domain composition, same domain order,
and similar polypeptide sizes are distributed widely among genera from 11
bacterial phyla.
PMID- 27895090
TI - Identification of roles for H264, H306, H439, and H635 in acid-dependent
lipoprotein release by the LDL receptor.
AB - Lipoproteins internalized by the LDL receptor (LDLR) are released from this
receptor in endosomes through a process that involves acid-dependent
conformational changes in the receptor ectodomain. How acidic pH promotes this
release process is not well understood. Here, we assessed roles for six histidine
residues for which either genetic or structural data suggested a possible role in
the acid-responsiveness of the LDLR. Using assays that measured conformational
change, acid-dependent lipoprotein release, LDLR recycling, and net lipoprotein
uptake, we show that H635 plays important roles in acid-dependent conformational
change and lipoprotein release, while H264, H306, and H439 play ancillary roles
in the response of the LDLR to acidic pH.
PMID- 27895093
TI - Stroke paradox with SGLT-2 inhibitors: a play of chance or a viscosity-mediated
reality?
AB - Diabetes mellitus is a major risk factor for cardiovascular morbidity and
mortality. Current therapeutic strategies have not provided constant beneficial
cardiovascular-related results. Sodium-glucose co-transporters 2 (SGLT-2)
inhibitors have emerged as a novel antidiabetic class of drugs that exert
favourable results in a variety of other cardiovascular risk factors too, such as
increased blood pressure and body weight. The Empagliflozin, Cardiovascular
Outcomes, and Mortality in Type 2 Diabetes (EMPA-REG OUTCOME) study was the first
trial that evaluated cardiovascular outcomes in patients with diabetes with the
use of empagliflozin, a member of this new class of drugs. Empagliflozin was
associated with remarkable reduction of cardiovascular morbidity and mortality
and all-cause death. On the contrary, stroke incidence was slightly increased,
although the result did not reach statistical significance. It could be assumed
that a drug providing such beneficial effects on cardiovascular outcomes, would
have also the same impact in stroke risk. This finding could theoretically be
attributed to 'play of chance'. However, an increase of haematocrit was observed
in EMPA-REG and other SGLT-2 inhibitors studies. Accumulating evidence suggests a
direct association between increased haematocrit and stroke risk. Could this
'stroke paradox' be a result of the increased haematocrit levels noted with SGLT
2 inhibitors? The aim of this review is to critically assess both possibilities,
given that increased stroke rates (if indeed true) should not be neglected and
unattended.
PMID- 27895094
TI - Crosstalk between microRNA-122 and FOX family genes in HepG2 cells.
AB - MicroRNA-122 (miR-122) is liver specific and plays an important role in
physiology as well as diseases including hepatocellular carcinoma (HCC).
Downregulation of miR-122 in HCC modulates apoptosis. Similarly, the putative
targets of miR-122, the forkhead box (FOX) family genes also play an important
role in the regulation of apoptosis. Hence, an interplay between miR-122 and FOX
family genes has been explored in this study. Initially, an augmentation of
apoptosis was noticed in HepG2 cells after transfection with miR-122. Further,
the predicted miR-122 targets, the FOX family genes ( FOXM1b, FOXP1, and FOXO4)
were selected via in silico analysis based on their role in apoptosis. We checked
the expression of all these genes at transcript level after the transfection of
miR-122 and found that the relative expression of FOXP1 and FOXM1b was
significantly downregulated (p < 0.005) and that of FOXO4 was upregulated (p <
0.005). Thus, the finding indicates deregulation of these FOX genes as a result
of miR-122 augmentation might be involved in the modulation of apoptosis.
PMID- 27895096
TI - ICRP and Radiological Protection in Medicine.
AB - Standards in relation to radiological protection in medicine are well-documented,
particularly with the recent update of the Basic Safety Standards. The principles
of justification and optimisation remain key, as dose limitation is not
applicable in medical practice. Appropriate justification relies on the
knowledge, experience and discretion of the relevant medical practitioners and
this may be overlooked in the race for diagnosis and treatment. One argument
would be further regulation of medical exposures, although it is difficult to see
how this could be imposed without denying patients essential investigations and
treatments. Another contentious issue is individual patient dose management with
the possible creation of a 'radiation passport'. Individual radiation
susceptibility is a topic that has attracted much attention, but how to manage
such persons, if identified, raises further questions. Communicating radiation
risks and benefits to patients appropriately needs to be addressed, including who
should be responsible for this, given accurate knowledge is a prerequisite.
Ethics in radiological protection is also being widely discussed and this in
relation to medical practice, which already involves numerous ethical issues, is
likely to be open to debate in the near future.
PMID- 27895097
TI - MASS MEDIA COMMUNICATION OF EMERGENCY ISSUES AND COUNTERMEASURES IN A NUCLEAR
ACCIDENT: FUKUSHIMA REPORTING IN EUROPEAN NEWSPAPERS.
AB - This paper presents the results of a large study of 1340 articles published by
two major newspapers in six European countries (Belgium, Italy, Norway, Slovenia,
Spain and Russia) in the first 2 months after the Fukushima Daiichi nuclear
disaster. The focus of the analysis is on the application and overall impact of
protective actions, both during the emergency phase and later, how the newspapers
describe those actions, which differences were apparent between countries and
what recommendations can be extracted in order to improve general communication
about these issues. A clear lesson is that, even under uncertainty and
recognising limitations, responsible authorities need to provide transparent,
clear and understandable information to the public and the mass media right from
the beginning of the early phase of any nuclear emergency. Clear, concise
messages should be given. Mass media could play a key role in reassuring the
public if the countermeasures are clearly explained.
PMID- 27895098
TI - Brain aluminium accumulation and oxidative stress in the presence of calcium
silicate dental cements.
AB - Mineral trioxide aggregate (MTA) is a calcium silicate dental cement used for
various applications in dentistry. This study was undertaken to test whether the
presence of three commercial brands of calcium silicate dental cements in the
dental extraction socket of rats would affect the brain aluminium (Al) levels and
oxidative stress parameters. Right upper incisor was extracted and polyethylene
tubes filled with MTA Angelus, MTA Fillapex or Theracal LC, or left empty for the
control group, were inserted into the extraction socket. Rats were killed 7, 30
or 60 days after operation. Brain tissues were obtained before killing. Al levels
were measured by atomic absorption spectrometry. Thiobarbituric acid reactive
substances (TBARS) levels, catalase (CAT), superoxide dismutase (SOD) and
glutathione peroxidase (GPx) activities were determined using spectrophotometry.
A transient peak was observed in brain Al level of MTA Angelus group on day 7,
while MTA Fillapex and Theracal LC groups reached highest brain Al level on day
60. Brain TBARS level, CAT, SOD and GPx activities transiently increased on day 7
and then returned to almost normal levels. This in vivo study for the first time
indicated that initial washout may have occurred in MTA Angelus, while element
leaching after the setting is complete may have taken place for MTA Fillapex and
Theracal LC. Moreover, oxidative stress was induced and antioxidant enzymes were
transiently upregulated. Further studies to search for oxidative neuronal damage
should be done to completely understand the possible toxic effects of calcium
silicate cements on the brain.
PMID- 27895095
TI - Calprotectin in rheumatic diseases.
AB - Calprotectin is a heterodimer formed by two proteins, S100A8 and S100A9, which
are mainly produced by activated monocytes and neutrophils in the circulation and
in inflamed tissues. The implication of calprotectin in the inflammatory process
has already been demonstrated, but its role in the pathogenesis, diagnosis, and
monitoring of rheumatic diseases has gained great attention in recent years.
Calprotectin, being stable at room temperature, is a candidate biomarker for the
follow-up of disease activity in many autoimmune disorders, where it can predict
response to treatment or disease relapse. There is evidence that a number of
immunomodulators, including TNF-alpha inhibitors, may reduce calprotectin
expression. S100A8 and S100A9 have a potential role as a target of treatment in
murine models of autoimmune disorders, since the direct or indirect blockade of
these proteins results in amelioration of the disease process. In this review, we
will go over the biologic functions of calprotectin which might be involved in
the etiology of rheumatic disorders. We will also report evidence of its
potential use as a disease biomarker. Impact statement Calprotectin is an acute
phase protein produced by monocytes and neutrophils in the circulation and
inflamed tissues. Calprotectin seems to be more sensitive than CRP, being able to
detect minimal residual inflammation and is a candidate biomarker in inflammatory
diseases. High serum levels are associated with some severe manifestations of
rheumatic diseases, such as glomerulonephritis and lung fibrosis. Calprotectin
levels in other fluids, such as saliva and synovial fluid, might be helpful in
the diagnosis of rheumatic diseases. Of interest is also the potential role of
calprotectin as a target of treatment.
PMID- 27895100
TI - Virtual environments in cancer care: Pilot-testing a three-dimensional web-based
platform as a tool for support in young cancer patients.
AB - Bringing virtual environments into cancer support may offer a particular
potential to engage patients and increase adherence to treatment. Developing and
pilot-testing an online real-time multi-user three-dimensional platform, this
study tested the use of an early prototype of the platform among adolescent and
young adult cancer patients. Data were collected with an online questionnaire and
using ethnographic methods of participant observation. The adolescent and young
adult patients tested basic features of the virtual environment and some
conducted brief in-world interactions with fellow patients during
hospitalization. They had no reservations about using the technology and shared
their ideas about its use. Our pilot test pointed to a number of areas of
development for virtual environment applications as potential platforms for
medical or behavioral interventions in cancer care. Overall, the results
demonstrate the need for high user involvement in the development of such
interventions and early testing of intervention designs.
PMID- 27895099
TI - New thiazacridine agents: Synthesis, physical and chemical characterization, and
in vitro anticancer evaluation.
AB - A series of new thiazacridine agents were synthesized and evaluated as antitumor
agents, in terms of not only their cytotoxicity but also their selectivity. The
cytotoxicity assay confirmed that all compounds showed cytotoxic activity and
selectivity. The new compound, 3-acridin-9-ylmethyl-5-(5-bromo-1 H-indol-3
ylmethylene)-thiazolidine-2,4-dione (LPSF/AA29 - 7a), proved to be the most
promising compound as it presents lower half-maximal inhibitory concentration
(IC50) values (ranging from 0.25 to 68.03 uM) depending on cell lineage. In HepG2
cells, the lowest IC50 value was exhibited by 3-acridin-9-ylmethyl-5-(4-piperidin
1-yl-benzylidene)-thiazolidine-2,4-dione (LPSF/AA36 - 7b; 46.95 uM). None of the
synthesized compounds showed cytotoxic activity against normal cells (IC50 > 100
uM). The mechanism of death induction and cell cycle effects was also evaluated.
Flow cytometric analysis revealed that the compounds LPSF/AA29 - 7a and LPSF/AA36
- 7b significantly increased the percentage of apoptotic cells and induced G2/M
arrest in the cell cycle progression. Therefore, these new thiazacridine
derivatives constitute promising antitumor agents whose cytotoxicity and
selectivity properties indicate they have potential to contribute to or serve as
a basis for the development of new cancer drugs in the future.
PMID- 27895101
TI - Interaction and common ground in dementia: Communication across linguistic and
cultural diversity in a residential dementia care setting.
AB - Previous research concerning bilingual people with a dementia disease has mainly
focused on the importance of sharing a spoken language with caregivers. While
acknowledging this, this article addresses the multidimensional character of
communication and interaction. As using spoken language is made difficult as a
consequence of the dementia disease, this multidimensionality becomes
particularly important. The article is based on a qualitative analysis of
ethnographic fieldwork at a dementia care facility. It presents ethnographic
examples of different communicative forms, with particular focus on bilingual
interactions. Interaction is understood as a collective and collaborative
activity. The text finds that a shared spoken language is advantageous, but is
not the only source of, nor a guarantee for, creating common ground and
understanding. Communicative resources other than spoken language are for example
body language, embodiment, artefacts and time. Furthermore, forms of
communication are not static but develop, change and are created over time.
Ability to communicate is thus not something that one has or has not, but is
situationally and collaboratively created. To facilitate this, time and
familiarity are central resources, and the results indicate the importance of
continuity in interpersonal relations.
PMID- 27895102
TI - Delaying and seeking care for obstructive sleep apnea: The role of gender,
family, and morality.
AB - Social scientists have proposed various theories as to when, why, and how people
come to seek medical care for multiple conditions. Yet, there is still little
empirical research to illustrate the pathways into and out of care, especially
for chronic illness. This article contributes to this body of work by exploring
individuals' reasons for delaying and seeking care for obstructive sleep apnea,
which despite being the most diagnosed sleep disorder, has been largely neglected
by social scientists. Drawing upon in-depth interviews with 65 Jewish-Israeli
obstructive sleep apnea patients, this study shows how intersections of gender,
family, and morality shape and are shaped by care-seeking behavior. The analysis
reveals that married men claim they do not do health, thereby reaffirming their
masculinity, but they maintain moral status because their wives do much of their
illness work for them. Unmarried men, however, claim to be more vigilant in their
pursuit of health and present it as one of their responsibilities. Women
acknowledge they have the double burden of protecting their own health as well as
that of their loved ones, and often feel that they are incapable of meeting these
social expectations. However, men and women are able to maintain moral status by
explaining their neglect of health as resulting from their attempts to care for
significant others. Finally, married women differ from men and unmarried women in
their motivations for seeking care. In keeping with their gender roles, married
women emphasize disturbance to others whereas men stress disturbance to self.
PMID- 27895103
TI - Impact of Donor Recipient Gender and Race Mismatch on Graft Outcomes in Patients
With End-Stage Liver Disease Undergoing Liver Transplantation.
AB - CONTEXT: The discrepancy between donor supply and organ demand increased the
possibility of gender and race mismatch between the donors and recipients.
However, the findings of their impact on graft and patient survival are outdated
and mixed. OBJECTIVE: To estimate the effects of gender and race mismatch on
graft survival and patient survival among adult patients (18 years and older)
with end-stage liver disease. DESIGN: A total of 38 768 patients undergoing liver
transplant between 2002 and 2011 were identified from United Network for Organ
Sharing database. Kaplan-Meier curves, log-rank tests, and Cox proportional
hazard regressions with backward elimination adopting a marginal approach with a
working independence assumption and stratification on recipient hepatitis C virus
status were used. MAIN OUTCOME MEASURES: Posttransplantation graft survival and
patient survival. RESULTS: Both gender mismatch (hazard ratio [HR]: 1.14, 95%
confidence interval [CI]: 1.09-1.12) and race mismatch (HR 1.08, 95%C: 1.04-1.12)
had significantly adverse effects on graft survival and patient survival after
controlling for other factors, especially among hepatitis C-positive female
recipients with male donors (HR 1.13, 95%CI 1.03-1.24), black recipients with
white donors (1.39, 1.29-1.49) or Hispanic donors (HR 1.48, 95%CI 1.27-1.72), and
these effects were even worse among hepatitis C-positive recipients. CONCLUSION:
Gender and race mismatch between donors and recipients adversely affected graft
survival and patient survival among adult patients with end-stage liver disease,
both independently and after the adjustment for other factors. Future research is
recommended to explore other factors such as new model for end-stage liver
disease sharing policy change and disparities in access to waiting-list or
transplantation.
PMID- 27895104
TI - Bin1 and CD2AP polarise the endocytic generation of beta-amyloid.
AB - The mechanisms driving pathological beta-amyloid (Abeta) generation in late-onset
Alzheimer's disease (AD) are unclear. Two late-onset AD risk factors, Bin1 and
CD2AP, are regulators of endocytic trafficking, but it is unclear how their
endocytic function regulates Abeta generation in neurons. We identify a novel
neuron-specific polarisation of Abeta generation controlled by Bin1 and CD2AP We
discover that Bin1 and CD2AP control Abeta generation in axonal and dendritic
early endosomes, respectively. Both Bin1 loss of function and CD2AP loss of
function raise Abeta generation by increasing APP and BACE1 convergence in early
endosomes, however via distinct sorting events. When Bin1 levels are reduced,
BACE1 is trapped in tubules of early endosomes and fails to recycle in axons.
When CD2AP levels are reduced, APP is trapped at the limiting membrane of early
endosomes and fails to be sorted for degradation in dendrites. Hence, Bin1 and
CD2AP keep APP and BACE1 apart in early endosomes by distinct mechanisms in axon
and dendrites. Individuals carrying variants of either factor would slowly
accumulate Abeta in neurons increasing the risk for late-onset AD.
PMID- 27895106
TI - Saliva exosomes from pancreatic tumor-bearing mice modulate NK cell phenotype and
antitumor cytotoxicity.
AB - Tumor exosomes are emerging as antitumor immunity regulators; however, their
effects on secondary exosome secretion by distal organs have not been explored.
We have previously demonstrated that suppression of exosomes at the distal tumor
site of pancreatic ductal adenocarcinoma (PDAC) ablated the development of
salivary biomarker profile. Here, we explore the function of salivary exosomes
from tumor-bearing mice in immune surveillance. We provide evidence that salivary
exosomes from mice with PDAC exhibit a suppressive effect that results in reduced
tumor-killing capacity by NK cells. Salivary exosomes from mice with PDAC where
pancreatic tumors were engineered to suppress exosome biogenesis failed to
suppress NK cell cytotoxic potential against tumor cells, as opposed to salivary
exosomes from mice with PDAC with normal tumor exosome biogenesis. These results
reveal an important and previously unknown mechanism of antitumor immune
regulation and provide new insights into our understanding of the alterations of
this biofluid during tumor development.-Katsiougiannis, S., Chia, D., Kim, Y.,
Singh, R. P., Wong, D. T. W. Saliva exosomes from pancreatic tumor-bearing mice
modulate NK cell phenotype and antitumor cytotoxicity.
PMID- 27895107
TI - Long noncoding RNA H19 accelerates tenogenic differentiation and promotes tendon
healing through targeting miR-29b-3p and activating TGF-beta1 signaling.
AB - Tendon injures are common orthopedic conditions, but tendon development and the
pathogenesis of tendon injures, such as tendinopathy, remain largely unknown and
have limited the development of clinical therapy. Studies on tenogenic
differentiation at the molecular level may help in developing novel therapeutic
strategies. As novel regulators, long noncoding RNAs (lncRNAs) have been found to
have widespread biological functions, and emerging evidence demonstrates that
lncRNAs may play important regulatory roles in cell differentiation and tissue
regeneration. In this study, we found that lncRNA H19 stimulated tenogenesis of
human tendon-derived stem cells. Stable overexpression of H19 significantly
accelerated TGF-beta1-induced tenogenic differentiation in vitro and accelerated
tendon healing in a mouse tendon defect model. H19 directly targeted miR-29b-3p,
which is considered to be a negative regulator of tenogenesis. Furthermore, miR
29b-3p directly suppressed the expression of TGF-beta1 and type I collagen,
thereby forming a novel regulatory feedback loop between H19 and TGF-beta1 to
mediate tenogenic differentiation. Our study demonstrated that H19 promotes
tenogenic differentiation both in vitro and in vivo by targeting miR-29b-3p and
activating TGF-beta1 signaling. Regulation of the TGF-beta1/H19/miR-29b-3p
regulatory loop may be a new strategy for treating tendon injury.-Lu, Y.-F., Liu,
Y., Fu, W.-M., Xu, J., Wang, B., Sun, Y.-X., Wu, T.-Y., Xu, L.-L, Chan, K.-M.,
Zhang, J.-F., Li, G. Long noncoding RNA H19 accelerates tenogenic differentiation
and promotes tendon healing through targeting miR-29b-3p and activating TGF-beta1
signaling.
PMID- 27895105
TI - Robot-assisted mechanical therapy attenuates stroke-induced limb skeletal muscle
injury.
AB - The efficacy and optimization of poststroke physical therapy paradigms is
challenged in part by a lack of objective tools available to researchers for
systematic preclinical testing. This work represents a maiden effort to develop a
robot-assisted mechanical therapy (RAMT) device to objectively address the
significance of mechanical physiotherapy on poststroke outcomes. Wistar rats were
subjected to right hemisphere middle-cerebral artery occlusion and reperfusion.
After 24 h, rats were split into control (RAMT-) or RAMT+ groups (30 min daily
RAMT over the stroke-affected gastrocnemius) and were followed up to poststroke d
14. RAMT+ increased perfusion 1.5-fold in stroke-affected gastrocnemius as
compared to RAMT- controls. Furthermore, RAMT+ rats demonstrated improved
poststroke track width (11% wider), stride length (21% longer), and travel
distance (61% greater), as objectively measured using software-automated testing
platforms. Stroke injury acutely increased myostatin (3-fold) and lowered brain
derived neurotrophic factor (BDNF) expression (0.6-fold) in the stroke-affected
gastrocnemius, as compared to the contralateral one. RAMT attenuated the stroke
induced increase in myostatin and increased BDNF expression in skeletal muscle.
Additional RAMT-sensitive myokine targets in skeletal muscle (IL-1ra and IP
10/CXCL10) were identified from a cytokine array. Taken together, outcomes
suggest stroke acutely influences signal transduction in hindlimb skeletal
muscle. Regimens based on mechanical therapy have the clear potential to protect
hindlimb function from such adverse influence.-Sen, C. K., Khanna, S., Harris,
H., Stewart, R., Balch, M., Heigel, M., Teplitsky, S., Gnyawali, S., Rink, C.
Robot-assisted mechanical therapy attenuates stroke-induced limb skeletal muscle
injury.
PMID- 27895108
TI - The effect of dietary fish oil on weight gain and insulin sensitivity is
dependent on APOE genotype in humanized targeted replacement mice.
AB - We investigated the independent and interactive impact of the common APOE
genotype and marine n-3 polyunsaturated fatty acids (PUFAs) on the development of
obesity and associated cardiometabolic dysfunction in a murine model. Human APOE3
and APOE4 targeted replacement mice were fed either a control high-fat diet (HFD)
or an HFD supplemented with 3% n-3 PUFAs from fish oil (HFD + FO) for 8 wk. We
established the impact of intervention on food intake, body weight, and visceral
adipose tissue (VAT) mass; plasma, lipids (cholesterol and triglycerides), liver
enzymes, and adipokines; glucose and insulin during an intraperitoneal glucose
tolerance test; and Glut4 and ApoE expression in VAT. HFD feeding induced more
weight gain and higher plasma lipids in APOE3 compared to APOE4 mice (P < 0.05),
along with a 2-fold higher insulin and impaired glucose tolerance. Supplementing
APOE3, but not APOE4, animals with dietary n-3 PUFAs decreased body-weight gain,
plasma lipids, and insulin (P < 0.05) and improved glucose tolerance, which was
associated with increased VAT Glut4 mRNA levels (P < 0.05). Our findings
demonstrate that an APOE3 genotype predisposes mice to develop obesity and its
metabolic complications, which was attenuated by n-3 PUFA supplementation.-Slim,
K. E., Vauzour, D., Tejera, N., Voshol, P. J., Cassidy, A., Minihane, A. M. The
effect of dietary fish oil on weight gain and insulin sensitivity is dependent on
APOE genotype in humanized targeted replacement mice.
PMID- 27895109
TI - Enhancers and super-enhancers have an equivalent regulatory role in embryonic
stem cells through regulation of single or multiple genes.
AB - Transcriptional enhancers are critical for maintaining cell-type-specific gene
expression and driving cell fate changes during development. Highly transcribed
genes are often associated with a cluster of individual enhancers such as those
found in locus control regions. Recently, these have been termed stretch
enhancers or super-enhancers, which have been predicted to regulate critical cell
identity genes. We employed a CRISPR/Cas9-mediated deletion approach to study the
function of several enhancer clusters (ECs) and isolated enhancers in mouse
embryonic stem (ES) cells. Our results reveal that the effect of deleting ECs,
also classified as ES cell super-enhancers, is highly variable, resulting in
target gene expression reductions ranging from 12% to as much as 92%. Partial
deletions of these ECs which removed only one enhancer or a subcluster of
enhancers revealed partially redundant control of the regulated gene by multiple
enhancers within the larger cluster. Many highly transcribed genes in ES cells
are not associated with a super-enhancer; furthermore, super-enhancer predictions
ignore 81% of the potentially active regulatory elements predicted by cobinding
of five or more pluripotency-associated transcription factors. Deletion of these
additional enhancer regions revealed their robust regulatory role in gene
transcription. In addition, select super-enhancers and enhancers were identified
that regulated clusters of paralogous genes. We conclude that, whereas robust
transcriptional output can be achieved by an isolated enhancer, clusters of
enhancers acting on a common target gene act in a partially redundant manner to
fine tune transcriptional output of their target genes.
PMID- 27895110
TI - Nucleosome occupancy as a novel chromatin parameter for replication origin
functions.
AB - Eukaryotic DNA replication initiates from multiple discrete sites in the genome,
termed origins of replication (origins). Prior to S phase, multiple origins are
poised to initiate replication by recruitment of the pre-replicative complex (pre
RC). For proper replication to occur, origin activation must be tightly
regulated. At the population level, each origin has a distinct firing time and
frequency of activation within S phase. Many studies have shown that chromatin
can strongly influence initiation of DNA replication. However, the chromatin
parameters that affect properties of origins have not been thoroughly
established. We found that nucleosome occupancy in G1 varies greatly around
origins across the S. cerevisiae genome, and nucleosome occupancy around origins
significantly correlates with the activation time and efficiency of origins, as
well as pre-RC formation. We further demonstrate that nucleosome occupancy around
origins in G1 is established during transition from G2/M to G1 in a pre-RC
dependent manner. Importantly, the diminished cell-cycle changes in nucleosome
occupancy around origins in the orc1-161 mutant are associated with an abnormal
global origin usage profile, suggesting that proper establishment of nucleosome
occupancy around origins is a critical step for regulation of global origin
activities. Our work thus establishes nucleosome occupancy as a novel and key
chromatin parameter for proper origin regulation.
PMID- 27895112
TI - Hepatic Dipeptidyl Peptidase-4 Controls Pharmacokinetics of Vildagliptin In Vivo.
AB - The main route of elimination of vildagliptin, which is an inhibitor of
dipeptidyl peptidase-4 (DPP-4), in humans is cyano group hydrolysis to produce a
carboxylic acid metabolite M20.7. Our in vitro study previously demonstrated that
DPP-4 itself greatly contributed to the hydrolysis of vildagliptin in mouse, rat,
and human livers. To investigate whether hepatic DPP-4 contributes to the
hydrolysis of vildagliptin in vivo, in the present study, we conducted in vivo
pharmacokinetics studies of vildagliptin in mice coadministered with vildagliptin
and sitagliptin, which is another DPP-4 inhibitor, and also in streptozotocin
(STZ)-induced diabetic mice. The area under the plasma concentration-time curve
(AUC) value of M20.7 in mice coadministered with vildagliptin and sitagliptin was
significantly lower than that in mice administered vildagliptin alone (P < 0.01).
Although plasma DPP-4 expression level was increased 1.9-fold, hepatic DPP-4
activity was decreased in STZ-induced diabetic mice. The AUC values of M20.7 in
STZ-induced diabetic mice were lower than those in control mice (P < 0.01).
Additionally, the AUC values of M20.7 significantly positively correlated with
hepatic DPP-4 activities in the individual mice (Rs = 0.943, P < 0.05). These
findings indicated that DPP-4 greatly contributed to the hydrolysis of
vildagliptin in vivo and that not plasma, but hepatic DPP-4 controlled
pharmacokinetics of vildagliptin. Furthermore, enzyme assays of 23 individual
human liver samples showed that there was a 3.6-fold interindividual variability
in vildagliptin-hydrolyzing activities. Predetermination of the interindividual
variability of hepatic vildagliptin-hydrolyzing activity might be useful for the
prediction of blood vildagliptin concentrations in vivo.
PMID- 27895111
TI - Discovery and genotyping of structural variation from long-read haploid genome
sequence data.
AB - In an effort to more fully understand the full spectrum of human genetic
variation, we generated deep single-molecule, real-time (SMRT) sequencing data
from two haploid human genomes. By using an assembly-based approach (SMRT-SV), we
systematically assessed each genome independently for structural variants (SVs)
and indels resolving the sequence structure of 461,553 genetic variants from 2 bp
to 28 kbp in length. We find that >89% of these variants have been missed as part
of analysis of the 1000 Genomes Project even after adjusting for more common
variants (MAF > 1%). We estimate that this theoretical human diploid differs by
as much as ~16 Mbp with respect to the human reference, with long-read sequencing
data providing a fivefold increase in sensitivity for genetic variants ranging in
size from 7 bp to 1 kbp compared with short-read sequence data. Although a large
fraction of genetic variants were not detected by short-read approaches, once the
alternate allele is sequence-resolved, we show that 61% of SVs can be genotyped
in short-read sequence data sets with high accuracy. Uncoupling discovery from
genotyping thus allows for the majority of this missed common variation to be
genotyped in the human population. Interestingly, when we repeat SV detection on
a pseudodiploid genome constructed in silico by merging the two haploids, we find
that ~59% of the heterozygous SVs are no longer detected by SMRT-SV. These
results indicate that haploid resolution of long-read sequencing data will
significantly increase sensitivity of SV detection.
PMID- 27895113
TI - Age-Dependent Absolute Abundance of Hepatic Carboxylesterases (CES1 and CES2) by
LC-MS/MS Proteomics: Application to PBPK Modeling of Oseltamivir In Vivo
Pharmacokinetics in Infants.
AB - The age-dependent absolute protein abundance of carboxylesterase (CES) 1 and CES2
in human liver was investigated and applied to predict infant pharmacokinetics
(PK) of oseltamivir. The CES absolute protein abundance was determined by liquid
chromatography-tandem mass spectrometry proteomics in human liver microsomal and
cytosolic fractions prepared from tissue samples obtained from 136 pediatric
donors and 35 adult donors. Two surrogate peptides per protein were selected for
the quantification of CES1 and CES2 protein abundance. Purified CES1 and CES2
protein standards were used as calibrators, and the heavy labeled peptides were
used as the internal standards. In hepatic microsomes, CES1 and CES2 abundance
(in picomoles per milligram total protein) increased approximately 5-fold (315.2
vs. 1664.4) and approximately 3-fold (59.8 vs. 174.1) from neonates to adults,
respectively. CES1 protein abundance in liver cytosol also showed age-dependent
maturation. Oseltamivir carboxylase activity was correlated with protein
abundance in pediatric and adult liver microsomes. The protein abundance data
were then used to model in vivo PK of oseltamivir in infants using pediatric
physiologically based PK modeling and incorporating the protein abundance-based
ontogeny function into the existing pediatric Simcyp model. The predicted
pediatric area under the curve, maximal plasma concentration, and time for
maximal plasma concentration values were below 2.1-fold of the clinically
observed values, respectively.
PMID- 27895114
TI - In Vitro and Clinical Evaluations of the Drug-Drug Interaction Potential of a
Metabotropic Glutamate 2/3 Receptor Agonist Prodrug with Intestinal Peptide
Transporter 1.
AB - Despite peptide transporter 1 (PEPT1) being responsible for the bioavailability
for a variety of drugs, there has been little study of its potential involvement
in drug-drug interactions. Pomaglumetad methionil, a metabotropic glutamate 2/3
receptor agonist prodrug, utilizes PEPT1 to enhance absorption and
bioavailability. In vitro studies were conducted to guide the decision to conduct
a clinical drug interaction study and to inform the clinical study design. In
vitro investigations determined the prodrug (LY2140023 monohydrate) is a
substrate of PEPT1 with Km value of approximately 30 uM, whereas the active
moiety (LY404039) is not a PEPT1 substrate. In addition, among the eight known
PEPT1 substrates evaluated in vitro, valacyclovir was the most potent inhibitor
(IC50 = 0.46 mM) of PEPT1-mediated uptake of the prodrug. Therefore, a clinical
drug interaction study was conducted to evaluate the potential interaction
between the prodrug and valacyclovir in healthy subjects. No effect of
coadministration was observed on the pharmacokinetics of the prodrug,
valacyclovir, or either of their active moieties. Although in vitro studies
showed potential for the prodrug and valacyclovir interaction via PEPT1, an in
vivo study showed no interaction between these two drugs. PEPT1 does not appear
to easily saturate because of its high capacity and expression in the intestine.
Thus, a clinical interaction at PEPT1 is unlikely even with a compound with high
affinity for the transporter.
PMID- 27895116
TI - Correctors and Potentiators Rescue Function of the Truncated W1282X-Cystic
Fibrosis Transmembrane Regulator (CFTR) Translation Product.
AB - W1282X is the fifth most common cystic fibrosis transmembrane regulator (CFTR)
mutation that causes cystic fibrosis. Here, we investigated the utility of a
small molecule corrector/potentiator strategy, as used for DeltaF508-CFTR, to
produce functional rescue of the truncated translation product of the W1282X
mutation, CFTR1281, without the need for read-through. In transfected cell
systems, certain potentiators and correctors, including VX-809 and VX-770,
increased CFTR1281 activity. To identify novel correctors and potentiators with
potentially greater efficacy on CFTR1281, functional screens were done of ~30,000
synthetic small molecules and drugs/nutraceuticals in CFTR1281-transfected cells.
Corrector scaffolds of 1-arylpyrazole-4-arylsulfonyl-piperazine and spiro
piperidine-quinazolinone classes were identified with up to ~5-fold greater
efficacy than VX-809, some of which were selective for CFTR1281, whereas others
also corrected DeltaF508-CFTR. Several novel potentiator scaffolds were
identified with efficacy comparable with VX-770; remarkably, a phenylsulfonamide
pyrrolopyridine acted synergistically with VX-770 to increase CFTR1281 function
~8-fold over that of VX-770 alone, normalizing CFTR1281 channel activity to that
of wild type CFTR. Corrector and potentiator combinations were tested in primary
cultures and conditionally reprogrammed cells generated from nasal brushings from
one W1282X homozygous subject. Although robust chloride conductance was seen with
correctors and potentiators in homozygous DeltaF508 cells, increased chloride
conductance was not found in W1282X cells despite the presence of adequate
transcript levels. Notwithstanding the negative data in W1282X cells from one
human subject, we speculate that corrector and potentiator combinations may have
therapeutic efficacy in cystic fibrosis caused by the W1282X mutation, although
additional studies are needed on human cells from W1282X subjects.
PMID- 27895115
TI - Shared Subunits of Tetrahymena Telomerase Holoenzyme and Replication Protein A
Have Different Functions in Different Cellular Complexes.
AB - In most eukaryotes, telomere maintenance relies on telomeric repeat synthesis by
a reverse transcriptase named telomerase. To synthesize telomeric repeats, the
catalytic subunit telomerase reverse transcriptase (TERT) uses the RNA subunit
(TER) as a template. In the ciliate Tetrahymena thermophila, the telomerase
holoenzyme consists of TER, TERT, and eight additional proteins, including the
telomeric repeat single-stranded DNA-binding protein Teb1 and its heterotrimer
partners Teb2 and Teb3. Teb1 is paralogous to the large subunit of the general
single-stranded DNA binding heterotrimer replication protein A (RPA). Little is
known about the function of Teb2 and Teb3, which are structurally homologous to
the RPA middle and small subunits, respectively. Here, epitope-tagging Teb2 and
Teb3 expressed at their endogenous gene loci enabled affinity purifications that
revealed that, unlike other Tetrahymena telomerase holoenzyme subunits, Teb2 and
Teb3 are not telomerase-specific. Teb2 and Teb3 assembled into other heterotrimer
complexes, which when recombinantly expressed had the general single-stranded DNA
binding activity of RPA complexes, unlike the telomere-specific DNA binding of
Teb1 or the TEB heterotrimer of Teb1, Teb2, and Teb3. TEB had no more DNA binding
affinity than Teb1 alone. In contrast, heterotrimers reconstituted with Teb2 and
Teb3 and two other Tetrahymena RPA large subunit paralogs had higher DNA binding
affinity than their large subunit alone. Teb1 and TEB, but not RPA, increased
telomerase processivity. We conclude that in the telomerase holoenzyme, instead
of binding DNA, Teb2 and Teb3 are Teb1 assembly factors. These findings
demonstrate that Tetrahymena telomerase holoenzyme and RPA complexes share
subunits and that RPA subunits have distinct functions in different heterotrimer
assemblies.
PMID- 27895117
TI - Examining Crosstalk among Transforming Growth Factor beta, Bone Morphogenetic
Protein, and Wnt Pathways.
AB - The integration of morphogenic signals by cells is not well understood. A growing
body of literature suggests increasingly complex coupling among classically
defined pathways. Given this apparent complexity, it is difficult to predict
where, when, or even whether crosstalk occurs. Here, we investigated pairs of
morphogenic pathways, previously reported to have multiple points of crosstalk,
which either do not share (TGFbeta and Wnt/beta-catenin) or share (TGFbeta and
bone morphogenetic protein (BMP)) core signaling components. Crosstalk was
measured by the ability of one morphogenic pathway to cross-activate core
transcription factors and/or target genes of another morphogenic pathway. In
contrast to previous studies, we found a surprising absence of crosstalk between
TGFbeta and Wnt/beta-catenin. Further, we did not observe expected cross-pathway
inhibition in between TGFbeta and BMP, despite the fact that both use (or could
compete) for the shared component SMAD4. Critical to our assays was a separation
of timescales, which helped separate crosstalk due to initial signal transduction
from subsequent post-transcriptional feedback events. Our study revealed fewer
(and different) inter-morphogenic pathway crosstalk connections than expected;
even pathways that share components can be insulated from one another.
PMID- 27895118
TI - Co-evolution of Two GTPases Enables Efficient Protein Targeting in an RNA-less
Chloroplast Signal Recognition Particle Pathway.
AB - The signal recognition particle (SRP) is an essential ribonucleoprotein particle
that mediates the co-translational targeting of newly synthesized proteins to
cellular membranes. The SRP RNA is a universally conserved component of SRP that
mediates key interactions between two GTPases in SRP and its receptor, thus
enabling rapid delivery of cargo to the target membrane. Notably, this essential
RNA is bypassed in the chloroplast (cp) SRP of green plants. Previously, we
showed that the cpSRP and cpSRP receptor GTPases (cpSRP54 and cpFtsY,
respectively) interact efficiently by themselves without the SRP RNA. Here, we
explore the molecular mechanism by which this is accomplished. Fluorescence
analyses showed that, in the absence of SRP RNA, the M-domain of cpSRP54 both
accelerates and stabilizes complex assembly between cpSRP54 and cpFtsY. Cross
linking coupled with mass spectrometry and mutational analyses identified a new
interaction between complementarily charged residues on the cpFtsY G-domain and
the vicinity of the cpSRP54 M-domain. These residues are specifically conserved
in plastids, and their evolution coincides with the loss of SRP RNA in green
plants. These results provide an example of how proteins replace the functions of
RNA during evolution.
PMID- 27895119
TI - Partial Agonist and Biased Signaling Properties of the Synthetic Enantiomers
J113863/UCB35625 at Chemokine Receptors CCR2 and CCR5.
AB - Biased agonism at G protein-coupled receptors constitutes a promising area of
research for the identification of new therapeutic molecules. In this study we
identified two novel biased ligands for the chemokine receptors CCR2 and CCR5 and
characterized their functional properties. We showed that J113863 and its
enantiomer UCB35625, initially identified as high affinity antagonists for CCR1
and CCR3, also bind with low affinity to the closely related receptors CCR2 and
CCR5. Binding of J113863 and UCB35625 to CCR2 or CCR5 resulted in the full or
partial activation of the three Gi proteins and the two Go isoforms. Unlike
chemokines, the compounds did not activate G12 Binding of J113863 to CCR2 or CCR5
also induced the recruitment of beta-arrestin 2, whereas UCB35625 did not.
UCB35625 induced the chemotaxis of L1.2 cells expressing CCR2 or CCR5. In
contrast, J113863 induced the migration of L1.2-CCR2 cells but antagonized the
chemokine-induced migration of L1.2-CCR5 cells. We also showed that replacing the
phenylalanine 3.33 in CCR5 TM3 by the corresponding histidine of CCR2 converts
J113863 from an antagonist for cell migration and a partial agonist in other
assays to a full agonist in all assays. Further analyses indicated that F3.33H
substitution strongly increased the activation of G proteins and beta-arrestin 2
by J113863. These results highlight the biased nature of the J113863 and UCB35625
that act either as antagonist, partial agonist, or full agonist according to the
receptor, the enantiomer, and the signaling pathway investigated.
PMID- 27895120
TI - Ankyrin G Expression Regulates Apical Delivery of the Epithelial Sodium Channel
(ENaC).
AB - The epithelial sodium channel (ENaC) is the limiting entry point for Na+
reabsorption in the distal kidney nephron and is regulated by numerous hormones,
including the mineralocorticoid hormone aldosterone. Previously we identified
ankyrin G (AnkG), a cytoskeletal protein involved in vesicular transport, as a
novel aldosterone-induced protein that can alter Na+ transport in mouse cortical
collecting duct cells. However, the mechanisms underlying AnkG regulation of Na+
transport were unknown. Here we report that AnkG expression directly regulates
Na+ transport by altering ENaC activity in the apical membrane. Increasing AnkG
expression increased ENaC activity while depleting AnkG reduced ENaC-mediated Na+
transport. These changes were due to a change in ENaC directly rather than
through alterations to the Na+ driving force created by Na+/K+-ATPase. Using a
constitutively open mutant of ENaC, we demonstrate that the augmentation of Na+
transport is caused predominantly by increasing the number of ENaCs at the
surface. To determine the mechanism of AnkG action on ENaC surface number,
changes in rates of internalization, recycling, and membrane delivery were
investigated. AnkG did not alter ENaC delivery to the membrane from biosynthetic
pathways or removal by endocytosis. However, AnkG did alter ENaC insertion from
constitutive recycling pathways. These findings provide a mechanism to account
for the role of AnkG in the regulation of Na+ transport in the distal kidney
nephron.
PMID- 27895121
TI - Neuronal mTORC1 Is Required for Maintaining the Nonreactive State of Astrocytes.
AB - Astrocytes respond to CNS insults through reactive astrogliosis, but the
underlying mechanisms are unclear. In this study, we show that inactivation of
mechanistic target of rapamycin complex (mTORC1) signaling in postnatal neurons
induces reactive astrogliosis in mice. Ablation of Raptor (an mTORC1-specific
component) in postmitotic neurons abolished mTORC1 activity and produced neurons
with smaller soma and fewer dendrites, resulting in microcephaly and aberrant
behavior in adult mice. Interestingly, extensive astrogliosis without significant
astrocyte proliferation and glial scar formation was observed in these mice. The
inhibition of neuronal mTORC1 may activate astrogliosis by reducing neuron
derived fibroblast growth factor 2 (FGF-2), which might trigger FGF receptor
signaling in astrocytes to maintain their nonreactive state, and FGF-2 injection
successfully prevented astrogliosis in Raptor knock-out mice. This study
demonstrates that neuronal mTORC1 inhibits reactive astrogliosis and plays an
important role in CNS pathologies.
PMID- 27895122
TI - Reciprocal Regulation of Target of Rapamycin Complex 1 and Potassium
Accumulation.
AB - The proper maintenance of potassium homeostasis is crucial for cell viability.
Among the major determinants of potassium uptake in the model organism
Saccharomyces cerevisiae are the Trk1 high affinity potassium transporter and the
functionally redundant Hal4 (Sat4) and Hal5 protein kinases. These kinases are
required for the plasma membrane accumulation of not only Trk1 but also several
nutrient permeases. Here, we show that overexpression of the target of rapamycin
complex 1 (TORC1) effector NPR1 improves hal4 hal5 growth defects by stabilizing
nutrient permeases at the plasma membrane. We subsequently found that internal
potassium levels and TORC1 activity are linked. Specifically, growth under
limiting potassium alters the activities of Npr1 and another TORC1 effector
kinase, Sch9; hal4 hal5 and trk1 trk2 mutants display hypersensitivity to
rapamycin, and reciprocally, TORC1 inhibition reduces potassium accumulation. Our
results demonstrate that in addition to carbon and nitrogen, TORC1 also responds
to and regulates potassium fluxes.
PMID- 27895123
TI - Addressing the Functional Determinants of FAK during Ciliogenesis in
Multiciliated Cells.
AB - We previously identified focal adhesion kinase (FAK) as an important regulator of
ciliogenesis in multiciliated cells. FAK and other focal adhesion (FA) proteins
associate with the basal bodies and their striated rootlets and form complexes
named ciliary adhesions (CAs). CAs display similarities with FAs but are
established in an integrin independent fashion and are responsible for anchoring
basal bodies to the actin cytoskeleton during ciliogenesis as well as in mature
multiciliated cells. FAK down-regulation leads to aberrant ciliogenesis due to
impaired association between the basal bodies and the actin cytoskeleton,
suggesting that FAK is an important regulator of the CA complex. However, the
mechanism through which FAK functions in the complex is not clear, and in this
study we examined the role of this protein in both ciliogenesis and ciliary
function. We show that localization of FAK at CAs depends on interactions taking
place at the amino-terminal (FERM) and carboxyl-terminal (FAT) domains and that
both domains are required for proper ciliogenesis and ciliary function.
Furthermore, we show that an interaction with another CA protein, paxillin, is
essential for correct localization of FAK in multiciliated cells. This
interaction is indispensable for both ciliogenesis and ciliary function. Finally,
we provide evidence that despite the fact that FAK is in the active, open
conformation at CAs, its kinase activity is dispensable for ciliogenesis and
ciliary function revealing that FAK plays a scaffolding role in multiciliated
cells. Overall these data show that the role of FAK at CAs displays similarities
but also important differences compared with its role at FAs.
PMID- 27895124
TI - Anionic Phospholipids and the Albino3 Translocase Activate Signal Recognition
Particle-Receptor Interaction during Light-harvesting Chlorophyll a/b-binding
Protein Targeting.
AB - The universally conserved signal recognition particle (SRP) co-translationally
delivers newly synthesized membrane and secretory proteins to the target cellular
membrane. The only exception is found in the chloroplast of green plants, where
the chloroplast SRP (cpSRP) post-translationally targets light-harvesting
chlorophyll a/b-binding proteins (LHCP) to the thylakoid membrane. The mechanism
and regulation of this post-translational mode of targeting by cpSRP remain
unclear. Using biochemical and biophysical methods, here we show that anionic
phospholipids activate the cpSRP receptor cpFtsY to promote rapid and stable
cpSRP54.cpFtsY complex assembly. Furthermore, the stromal domain of the Alb3
translocase binds with high affinity to and regulates GTP hydrolysis in the
cpSRP54.cpFtsY complex, suggesting that cpFtsY is primarily responsible for
initial recruitment of the targeting complex to Alb3. These results suggest a new
model for the sequential recruitment, remodeling, and unloading of the targeting
complex at membrane translocase sites in the post-translational cpSRP pathway.
PMID- 27895125
TI - Lineage-specific SoxR-mediated Regulation of an Endoribonuclease Protects Non
enteric Bacteria from Redox-active Compounds.
AB - Bacteria use redox-sensitive transcription factors to coordinate responses to
redox stress. The [2Fe-2S] cluster-containing transcription factor SoxR is
particularly tuned to protect cells against redox-active compounds (RACs). In
enteric bacteria, SoxR is paired with a second transcription factor, SoxS, that
activates downstream effectors. However, SoxS is absent in non-enteric bacteria,
raising questions as to how SoxR functions. Here, we first show that SoxR of
Acinetobacter oleivorans displayed similar activation profiles in response to
RACs as did its homolog from Escherichia coli but controlled a different set of
target genes, including sinE, which encodes an endoribonuclease. Expression, gel
mobility shift, and mutational analyses indicated that sinE is a direct target of
SoxR. Redox potentials and permeability of RACs determined optimal sinE
induction. Bioinformatics suggested that only a few gamma- and beta
proteobacteria might have SoxR-regulated sinE Purified SinE, in the presence of
Mg2+ ions, degrades rRNAs, thus inhibiting protein synthesis. Similarly,
pretreatment of cells with RACs demonstrated a role for SinE in promoting
persistence in the presence of antibiotics that inhibit protein synthesis. Our
data improve our understanding of the physiology of soil microorganisms by
suggesting that both non-enteric SoxR and its target SinE play protective roles
in the presence of RACs and antibiotics.
PMID- 27895127
TI - The Pathogenic Potential of Proteus mirabilis Is Enhanced by Other Uropathogens
during Polymicrobial Urinary Tract Infection.
AB - Urinary catheter use is prevalent in health care settings, and polymicrobial
colonization by urease-positive organisms, such as Proteus mirabilis and
Providencia stuartii, commonly occurs with long-term catheterization. We
previously demonstrated that coinfection with P. mirabilis and P. stuartii
increased overall urease activity in vitro and disease severity in a model of
urinary tract infection (UTI). In this study, we expanded these findings to a
murine model of catheter-associated UTI (CAUTI), delineated the contribution of
enhanced urease activity to coinfection pathogenesis, and screened for enhanced
urease activity with other common CAUTI pathogens. In the UTI model, mice
coinfected with the two species exhibited higher urine pH values, urolithiasis,
bacteremia, and more pronounced tissue damage and inflammation compared to the
findings for mice infected with a single species, despite having a similar
bacterial burden within the urinary tract. The presence of P. stuartii,
regardless of urease production by this organism, was sufficient to enhance P.
mirabilis urease activity and increase disease severity, and enhanced urease
activity was the predominant factor driving tissue damage and the dissemination
of both organisms to the bloodstream during coinfection. These findings were
largely recapitulated in the CAUTI model. Other uropathogens also enhanced P.
mirabilis urease activity in vitro, including recent clinical isolates of
Escherichia coli, Enterococcus faecalis, Klebsiella pneumoniae, and Pseudomonas
aeruginosa We therefore conclude that the underlying mechanism of enhanced urease
activity may represent a widespread target for limiting the detrimental
consequences of polymicrobial catheter colonization, particularly by P. mirabilis
and other urease-positive bacteria.
PMID- 27895126
TI - Versican Deficiency Significantly Reduces Lung Inflammatory Response Induced by
Polyinosine-Polycytidylic Acid Stimulation.
AB - Viral infection is an exacerbating factor contributing to chronic airway
diseases, such as asthma, via mechanisms that are still unclear. Polyinosine
polycytidylic acid (poly(I:C)), a Toll-like receptor 3 (TLR3) agonist used as a
mimetic to study viral infection, has been shown to elicit inflammatory responses
in lungs and to exacerbate pulmonary allergic reactions in animal models.
Previously, we have shown that poly(I:C) stimulates lung fibroblasts to
accumulate an extracellular matrix (ECM), enriched in hyaluronan (HA) and its
binding partner versican, which promotes monocyte adhesion. In the current study,
we aimed to determine the in vivo role of versican in mediating inflammatory
responses in poly(I:C)-induced lung inflammation using a tamoxifen-inducible
versican-deficient mouse model (Vcan-/- mice). In C57Bl/6 mice, poly(I:C)
instillation significantly increased accumulation of versican and HA, especially
in the perivascular and peribronchial regions, which were enriched in
infiltrating leukocytes. In contrast, versican-deficient (Vcan-/-) lungs did not
exhibit increases in versican or HA in these regions and had strikingly reduced
numbers of leukocytes in the bronchoalveolar lavage fluid and lower expression of
inflammatory chemokines and cytokines. Poly(I:C) stimulation of lung fibroblasts
isolated from control mice generated HA-enriched cable structures in the ECM,
providing a substrate for monocytic cells in vitro, whereas lung fibroblasts from
Vcan-/- mice did not. Moreover, increases in proinflammatory cytokine expression
were also greatly attenuated in the Vcan-/- lung fibroblasts. These findings
provide strong evidence that versican is a critical inflammatory mediator during
poly(I:C)-induced acute lung injury and, in association with HA, generates an ECM
that promotes leukocyte infiltration and adhesion.
PMID- 27895128
TI - Characterization of the Pathogenicity of Streptococcus intermedius TYG1620
Isolated from a Human Brain Abscess Based on the Complete Genome Sequence with
Transcriptome Analysis and Transposon Mutagenesis in a Murine Subcutaneous
Abscess Model.
AB - Streptococcus intermedius is known to cause periodontitis and pyogenic infections
in the brain and liver. Here we report the complete genome sequence of strain
TYG1620 (genome size, 2,006,877 bp; GC content, 37.6%; 2,020 predicted open
reading frames [ORFs]) isolated from a brain abscess in an infant. Comparative
analysis of S. intermedius genome sequences suggested that TYG1620 carries a
notable type VII secretion system (T7SS), two long repeat regions, and 19 ORFs
for cell wall-anchored proteins (CWAPs). To elucidate the genes responsible for
the pathogenicity of TYG1620, transcriptome analysis was performed in a murine
subcutaneous abscess model. The results suggest that the levels of expression of
small hypothetical proteins similar to phenol-soluble modulin beta1 (PSMbeta1), a
staphylococcal virulence factor, significantly increased in the abscess model. In
addition, an experiment in a murine subcutaneous abscess model with random
transposon (Tn) mutant attenuation suggested that Tn mutants with mutations in
212 ORFs in the Tn mutant library were attenuated in the murine abscess model
(629 ORFs were disrupted in total); the 212 ORFs are putatively essential for
abscess formation. Transcriptome analysis identified 37 ORFs, including paralogs
of the T7SS and a putative glucan-binding CWAP in long repeat regions, to be
upregulated and attenuated in vivo This study provides a comprehensive
characterization of S. intermedius pathogenicity based on the complete genome
sequence and a murine subcutaneous abscess model with transcriptome and Tn
mutagenesis, leading to the identification of pivotal targets for vaccines or
antimicrobial agents for the control of S. intermedius infections.
PMID- 27895129
TI - The Predicted Lytic Transglycosylase HpaH from Xanthomonas campestris pv.
vesicatoria Associates with the Type III Secretion System and Promotes Effector
Protein Translocation.
AB - The pathogenicity of the Gram-negative plant-pathogenic bacterium Xanthomonas
campestris pv. vesicatoria depends on a type III secretion (T3S) system, which
spans both bacterial membranes and translocates effector proteins into plant
cells. The assembly of the T3S system presumably involves the predicted lytic
transglycosylase (LT) HpaH, which is encoded adjacent to the T3S gene cluster.
Bacterial LTs degrade peptidoglycan and often promote the formation of membrane
spanning macromolecular protein complexes. In the present study, we show that
HpaH localizes to the bacterial periplasm and binds to peptidoglycan as well as
to components of the T3S system, including the predicted periplasmic inner rod
proteins HrpB1 and HrpB2 as well as the pilus protein HrpE. In vivo translocation
assays revealed that HpaH promotes the translocation of various effector proteins
and of early substrates of the T3S system, suggesting a general contribution of
HpaH to type III-dependent protein export. Mutant studies and the analysis of
reporter fusions showed that the N-terminal region of HpaH contributes to protein
function and is proteolytically cleaved. The N-terminally truncated HpaH cleavage
product is secreted into the extracellular milieu by a yet-unknown transport
pathway, which is independent of the T3S system.
PMID- 27895130
TI - MetQ of Neisseria gonorrhoeae Is a Surface-Expressed Antigen That Elicits
Bactericidal and Functional Blocking Antibodies.
AB - Neisseria gonorrhoeae, the causative agent of the sexually transmitted infection
(STI) gonorrhea, is a growing public health threat for which a vaccine is
urgently needed. We characterized the functional role of the gonococcal MetQ
protein, which is the methionine binding component of an ABC transporter system,
and assessed its potential as a candidate antigen for inclusion in a gonococcal
vaccine. MetQ has been found to be highly conserved in all strains investigated
to date, it is localized on the bacterial surface, and it binds l-methionine with
a high affinity. MetQ is also involved in gonococcal adherence to cervical
epithelial cells. Mutants lacking MetQ have impaired survival in human monocytes,
macrophages, and serum. Furthermore, antibodies raised against MetQ are
bactericidal and are able to block gonococcal adherence to epithelial cells.
These data suggest that MetQ elicits both bactericidal and functional blocking
antibodies and is a valid candidate antigen for additional investigation and
possible inclusion in a vaccine for prevention of gonorrhea.
PMID- 27895131
TI - The Plasmodium falciparum Cell-Traversal Protein for Ookinetes and Sporozoites as
a Candidate for Preerythrocytic and Transmission-Blocking Vaccines.
AB - Recent studies have shown that immune responses against the cell-traversal
protein for Plasmodium ookinetes and sporozoites (CelTOS) can inhibit parasite
infection. While these studies provide important evidence toward the development
of vaccines targeting this protein, it remains unknown whether these responses
could engage the Plasmodium falciparum CelTOS in vivo Using a newly developed
rodent malaria chimeric parasite expressing the P. falciparum CelTOS (PfCelTOS),
we evaluated the protective effect of in vivo immune responses elicited by
vaccination and assessed the neutralizing capacity of monoclonal antibodies
specific against PfCelTOS. Mice immunized with recombinant P. falciparum CelTOS
in combination with the glucopyranosyl lipid adjuvant-stable emulsion (GLA-SE) or
glucopyranosyl lipid adjuvant-liposome-QS21 (GLA-LSQ) adjuvant system
significantly inhibited sporozoite hepatocyte infection. Notably, monoclonal
antibodies against PfCelTOS strongly inhibited oocyst development of P.
falciparum and Plasmodium berghei expressing PfCelTOS in Anopheles gambiae
mosquitoes. Taken together, our results demonstrate that anti-CelTOS responses
elicited by vaccination or passive immunization can inhibit sporozoite and
ookinete infection and impair vector transmission.
PMID- 27895133
TI - Vancomycin and the Risk of AKI: Now Clearer than Mississippi Mud.
PMID- 27895132
TI - Protection against Streptococcus pneumoniae Invasive Pathogenesis by a Protein
Based Vaccine Is Achieved by Suppression of Nasopharyngeal Bacterial Density
during Influenza A Virus Coinfection.
AB - An increase in Streptococcus pneumoniae nasopharynx (NP) colonization density
during a viral coinfection initiates pathogenesis. To mimic natural S. pneumoniae
pathogenesis, we commensally colonized the NPs of adult C57BL/6 mice with S.
pneumoniae serotype (ST) 6A or 8 and then coinfected them with mouse-adapted H1N1
influenza A virus (PR/8/34). S. pneumoniae established effective commensal
colonization, and influenza virus coinfection caused S. pneumoniae NP density to
increase, resulting in bacteremia and mortality. We then studied histidine triad
protein D (PhtD), an S. pneumoniae adhesin vaccine candidate, for its ability to
prevent invasive S. pneumoniae disease in adult and infant mice. In adult mice,
the efficacy of PhtD vaccination was compared with that of PCV13. Vaccination
with PCV13 led to a greater reduction of S. pneumoniae NP density (>2.5 log
units) than PhtD vaccination (~1-log-unit reduction). However, no significant
difference was observed with regard to the prevention of S. pneumoniae
bacteremia, and there was no difference in mortality. Depletion of CD4+ T cells
in PhtD-vaccinated adult mice, but not PCV13-vaccinated mice, caused a loss of
vaccine-induced protection. In infant mice, passive transfer of antisera or CD4+
T cells from PhtD-vaccinated adult mice led to a nonsignificant reduction in NP
colonization density, whereas passive transfer of antisera and CD4+ T cells was
needed to cause a significant reduction in NP colonization density. For the first
time, these data show an outcome with regard to prevention of invasive S.
pneumoniae pathogenesis with a protein vaccine similar to that which occurs with
a glycoconjugate vaccine despite a less robust reduction in NP bacterial density.
PMID- 27895134
TI - Vancomycin and the Risk of AKI: A Systematic Review and Meta-Analysis.
AB - BACKGROUND AND OBJECTIVES: Vancomycin has been in use for more than half a
century, but whether it is truly nephrotoxic and to what extent are still highly
controversial. The objective of this study was to determine the risk of AKI
attributable to intravenous vancomycin. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: We conducted a systematic review of randomized, controlled trials
and cohort studies that compared patients treated with intravenous vancomycin
with a control group of patients given a comparator nonglycopeptide antibiotic
and in which kidney function or kidney injury outcomes were reported. PubMed and
Cochrane Library were searched from 1990 to September of 2015. Two reviewers
extracted data and assessed study risk of bias, and one reviewer adjudicated the
assessments. A meta-analysis was conducted on seven randomized, controlled trials
(total of 4033 patients). RESULTS: Moderate quality evidence suggested that
vancomycin treatment is associated with a higher risk of AKI, with a relative
risk of 2.45 (95% confidence interval, 1.69 to 3.55). The risk of kidney injury
was similar in patients treated for skin and soft tissue infections compared with
those treated for nosocomial pneumonia and other complicated infections. There
was an uncertain risk of reporting bias, because kidney function was not a
prespecified outcome in any of the trials. The preponderance of evidence was
judged to be indirect, because the majority of studies compared vancomycin
specifically with linezolid. CONCLUSIONS: Our findings suggest that there is a
measurable risk of AKI associated with vancomycin, but the strength of the
evidence is moderate. A randomized, controlled trial designed to study kidney
function as an outcome would be needed to draw unequivocal conclusions.
PMID- 27895135
TI - Dialysis Vintage and Outcomes after Kidney Transplantation: A Retrospective
Cohort Study.
AB - BACKGROUND AND OBJECTIVES: Historically, length of pretransplant dialysis was
associated with premature graft loss and mortality after kidney transplantation,
but with recent advancements in RRT it is unclear whether this negative
association still exists. DESIGN, SETTING, PARTICIPANTS, &MEASUREMENTS: This is a
retrospective cohort study evaluating 6979 first kidney allograft recipients from
the Austrian Registry transplanted between 1990 and 2013. Duration of
pretransplant dialysis treatment was used as categoric predictor classified by
tertiles of the distribution of time on dialysis. A separate category for pre
emptive transplantation was added and defined as kidney transplantation without
any dialysis preceding the transplant. Outcomes were death-censored graft loss,
all-cause mortality, and the composite of both. RESULTS: Median duration of
follow-up was 8.2 years, and 1866 graft losses and 2407 deaths occurred during
the study period. Pre-emptive transplantation was associated with a lower risk of
graft loss (hazard ratio, 0.76; 95% confidence interval, 0.59 to 0.98), but not
in subgroup analyses excluding living transplants and transplants performed since
2000. The association between dialysis duration and graft loss did not depend on
the year of transplantation (P=0.40) or donor source (P=0.92). Longer waiting
time on dialysis was not associated with a higher rate of graft loss, but the
rate of death was higher in patients on pretransplant dialysis for >1.5 years
(hazard ratio, 1.62; 95% confidence interval, 1.43 to 1.83) compared with
pretransplant dialysis for <1.5 years. CONCLUSIONS: Our findings support the
evidence that pre-emptive transplantation is associated with superior graft
survival compared with pretransplant dialysis, although this association was
weaker in transplants performed since 2000. However, our analysis shows that
length of dialysis was no longer associated with a higher rate of graft loss,
although longer waiting times on dialysis were still associated with a higher
rate of death.
PMID- 27895137
TI - Successful response to pegylated interferon alpha in a patient with recurrent
paraganglioma.
PMID- 27895138
TI - Urotensin II inhibitor eases neuropathic pain by suppressing the JNK/NF-kappaB
pathway.
AB - Urotensin II (U-II), a cyclic peptide originally isolated from the caudal
neurosecretory system of fishes, can produce proinflammatory effects through its
specific G protein-coupled receptor, GPR14. Neuropathic pain, a devastating
disease, is related to excessive inflammation in the spinal dorsal horn. However,
the relationship between U-II and neuropathic pain has not been reported. This
study was designed to investigate the effect of U-II antagonist on neuropathic
pain and to understand the associated mechanisms. We reported that U-II and its
receptor GPR14 were persistently upregulated and activated in the dorsal horn of
L4-6 spinal cord segments after chronic constriction injury (CCI) in rats.
Intrathecal injection of SB657510, a specific antagonist against U-II, reversed
CCI-induced thermal hyperalgesia and mechanical allodynia. Furthermore, we found
that SB657510 reduced the expression of phosphorylated c-Jun N-terminal kinase (p
JNK) and nuclear factor-kappaB (NF-kappaB) p65 as well as subsequent secretion of
interleukin-1beta (IL-1beta), IL-6 and tumor necrosis factor-alpha (TNF-alpha).
It was also showed that both the JNK inhibitor SP600125 and the NF-kappaB
inhibitor PDTC significantly attenuated thermal hyperalgesia and mechanical
allodynia in CCI rats. Our present research showed that U-II receptor antagonist
alleviated neuropathic pain possibly through the suppression of the JNK/NF-kappaB
pathway in CCI rats, which will contribute to the better understanding of
function of U-II and pathogenesis of neuropathic pain.
PMID- 27895136
TI - Recognition and Management of Resistant Hypertension.
AB - Despite improvements in hypertension awareness and treatment, 30%-60% of
hypertensive patients do not achieve BP targets and subsequently remain at risk
for target organ damage. This therapeutic gap is particularly important to
nephrologists, who frequently encounter treatment-resistant hypertension in
patients with CKD. Data are limited on how best to treat patients with CKD and
resistant hypertension, because patients with CKD have historically been excluded
from hypertension treatment trials. First, we propose a consistent definition of
resistant hypertension as BP levels confirmed by both in-office and out-of-office
measurements that exceed appropriate targets while the patient is receiving
treatment with at least three antihypertensive medications, including a diuretic,
at dosages optimized to provide maximum benefit in the absence of intolerable
side effects. Second, we recommend that each patient undergo a standardized,
stepwise evaluation to assess adherence to dietary and lifestyle modifications
and antihypertensive medications to identify and reduce barriers and discontinue
use of substances that may exacerbate hypertension. Patients in whom there is
high clinical suspicion should be evaluated for potential secondary causes of
hypertension. Evidence-based management of resistant hypertension is discussed
with special considerations of the differences in approach to patients with and
without CKD, including the specific roles of diuretics and mineralocorticoid
receptor antagonists and the current place of emerging therapies, such as renal
denervation and baroreceptor stimulation. We endorse use of such a systematic
approach to improve recognition and care for this vulnerable patient group that
is at high risk for future kidney and cardiovascular events.
PMID- 27895140
TI - Cathartic narratives for chaotic thinking.
PMID- 27895141
TI - Extracorporeal membrane oxygenation for the treatment of severe refractory
hantavirus cardiopulmonary syndrome.
PMID- 27895142
TI - Curb prescriptions to combat opioid crisis.
PMID- 27895139
TI - Human Spermatozoa Quantitative Proteomic Signature Classifies Normo- and
Asthenozoospermia.
AB - Scarcely understood defects lead to asthenozoospermia, which results in poor
fertility outcomes. Incomplete knowledge of these defects hinders the development
of new therapies and reliance on interventional therapies, such as in vitro
fertilization, increases. Sperm cells, being transcriptionally and
translationally silent, necessitate the proteomic approach to study the sperm
function. We have performed a differential proteomics analysis of human sperm and
seminal plasma and identified and quantified 667 proteins in sperm and 429
proteins in seminal plasma data set, which were used for further analysis.
Statistical and mathematical analysis combined with pathway analysis and self
organizing maps clustering and correlation was performed on the data set.It was
found that sperm proteomic signature combined with statistical analysis as
opposed to the seminal plasma proteomic signature can differentiate the
normozoospermic versus the asthenozoospermic sperm samples. This is despite the
results that some of the seminal plasma proteins have big fold changes among
classes but they fall short of statistical significance. S-Plot of the sperm
proteomic data set generated some high confidence targets, which might be
implicated in sperm motility pathways. These proteins also had the area under the
curve value of 0.9 or 1 in ROC curve analysis.Various pathways were either
enriched in these proteomic data sets by pathway analysis or they were searched
by their constituent proteins. Some of these pathways were axoneme activation and
focal adhesion assembly, glycolysis, gluconeogenesis, cellular response to stress
and nucleosome assembly among others. The mass spectrometric data is available
via ProteomeXchange with identifier PXD004098.
PMID- 27895144
TI - Should Canada focus on antimicrobial resistance?
PMID- 27895143
TI - Physicians combat opioid crisis.
PMID- 27895145
TI - Association between inflammatory biomarkers and all-cause, cardiovascular and
cancer-related mortality.
AB - BACKGROUND: The inflammatory biomarker alpha1-acid glycoprotein (AGP) was found
to have the strongest association with 5-year mortality in a recent study of 106
biomarkers. We examined whether AGP is a better biomarker of mortality risk than
the more widely used inflammatory biomarkers interleukin-6 (IL-6) and C-reactive
protein (CRP). METHODS: We analyzed data for 6545 men and women aged 45-69 (mean
55.7) years from the Whitehall II cohort study. We assayed AGP, IL-6 and CRP
levels from fasting serum samples collected in 1997-1999. Mortality followup was
until June 2015. Cox regression analysis was used to model associations of
inflammatory biomarkers with all-cause, cardiovascular and cancer-related
mortality. RESULTS: Over the mean follow-up of 16.7 years, 736 deaths occurred,
of which 181 were from cardiovascular disease and 347 from cancer. In the model
adjusted for all covariates (age, sex, socioeconomic status, body mass index,
health behaviours and chronic disease), AGP did not predict mortality beyond the
first 5 years of follow-up; over this period, IL-6 and CRP had stronger
associations with mortality. When we considered all covariates and biomarkers
simultaneously, AGP no longer predicted all-cause mortality over the entire
follow-up period (adjusted hazard ratio [HR] 0.99, 95% confidence interval [CI]
0.90-1.08). Only IL-6 predicted all-cause mortality (adjusted HR 1.22, 95% CI
1.12-1.33) and cancer-related mortality (adjusted HR 1.13, 95% CI 1.00-1.29) over
the entire follow-up period, whereas CRP predicted only cardiovascular mortality
(adjusted HR 1.30, 95% CI 1.06-1.61). INTERPRETATION: Our findings suggest that
AGP is not a better marker of short-or long-term mortality risk than the more
commonly used biomarkers IL-6 and CRP.
PMID- 27895146
TI - Improving diagnosis of pediatric central nervous system tumours: aiming for early
detection.
PMID- 27895147
TI - Inflammation, cardiovascular disease and cancer: moving toward predictive
medicine.
PMID- 27895148
TI - TrpC5 regulates differentiation through the Ca2+/Wnt5a signalling pathway in
colorectal cancer.
AB - Transient receptor potential channel 5 (TrpC5) is a member of the TrpC subgroup,
and it forms a receptor-activated, non-selective Ca2+ channel. The architecture
of the TrpC5 channel is poorly understood. In the present study, we report that
TrpC5 is a key factor in regulating differentiation in colorectal cancer (CRC).
Through a study of specimens from a large cohort of patients with CRC, we found
that TrpC5 was highly expressed and its cellular level correlated with tumour
grade. We showed further that up-regulated TrpC5 caused a robust rise in
intracellular calcium concentration [Ca2+]i, increased Wnt5a expression and the
nuclear translocation of beta-catenin, leading to a reduction in cancer
differentiation and an increase in cancer cell stemness. Notably, patients with
tumours that expressed high levels of TrpC5 showed significantly poorer disease
free and overall survival. Therefore, our findings suggest that TrpC5 is an
independent adverse prognostic factor for death in CRC, reducing differentiation
through the Ca2+/Wnt5a signalling pathway.
PMID- 27895149
TI - Psychotherapy for Adolescents With Attention-Deficit Hyperactivity Disorder: A
Pediatrician's Guide.
AB - Attention-deficit hyperactivity disorder (ADHD) presents with high levels of
inattention, impulsiveness, and hyperactivity. ADHD starts in childhood and
results in impairments that continue into adulthood. ADHD symptoms lead to
decreased functionality in various life domains and result in poor academics,
behavioral challenges, delayed independence, and strained relationships. Despite
advances in diagnosis and treatment, persistent residual symptoms are common,
highlighting the need for novel treatment strategies. This article aims to
provide a review of the psychotherapeutic interventions available for teens that
receive pharmacotherapy but continue to struggle with the residual symptoms of
ADHD that interfere with academic function, relationship formation, and
psychological development.
PMID- 27895150
TI - Effects of VA Facility Dog on Hospitalized Veterans Seen by a Palliative Care
Psychologist: An Innovative Approach to Impacting Stress Indicators.
AB - The United States is home to 23 million veterans. In many instances, veterans
with serious illness who seek healthcare at the VA receive care from a palliative
care service. Animal-assisted intervention (AAI) is gaining attention as a
therapeutic stress reducing modality; however, its effects have not been well
studied in veterans receiving palliative care in an acute care setting. A
crossover repeated-measures study was conducted to examine the effects of an
animal-assisted intervention (AAI) in the form of a therapy dog on stress
indicators in 25 veterans on the palliative care service at the VA Eastern
Colorado Healthcare System in Denver, CO. Veterans had a visit from a therapy dog
and the dog's handler, a clinical psychologist (experimental condition) and an
unstructured visit with the clinical psychologist alone (control condition).
Blood pressure, heart rate, and the salivary biomarkers cortisol, alpha-amylase,
and immunoglobulin A were collected before, after, and 30-minutes after both the
experimental and control conditions. Significant decreases in cortisol were found
when the before time period was compared to the 30-minutes after time period for
both the experimental ( p = 0.007) and control condition ( p = 0.036). A
significant decrease in HR was also found when the before time period was
compared to the 30-minutes after time period for both the experimental ( p =
0.0046) and control ( p = 0.0119) condition. Results of this study supported that
a VA facility dog paired with a palliative care psychologist had a measurable
impact on salivary cortisol levels and HR in veterans.
PMID- 27895151
TI - A High-Fat Diet Promotes Mammary Gland Myofibroblast Differentiation through
MicroRNA 140 Downregulation.
AB - Human breast adipose tissue is a heterogeneous cell population consisting of
mature white adipocytes, multipotent mesenchymal stem cells, committed progenitor
cells, fibroblasts, endothelial cells, and immune cells. Dependent on external
stimulation, adipose-derived stem cells differentiate along diverse lineages into
adipocytes, chondrocytes, osteoblasts, fibroblasts, and myofibroblasts. It is
currently not fully understood how a high-fat diet reprograms adipose-derived
stem cells into myofibroblasts. In our study, we used mouse models of a regular
diet and of high-fat-diet-induced obesity to investigate the role of dietary fat
on myofibroblast differentiation in the mammary stromal microenvironment. We
found that a high-fat diet promotes myofibroblast differentiation by decreasing
microRNA 140 (miR-140) expression in mammary adipose tissue through a novel
negative-feedback loop. Increased transforming growth factor beta1 (TGF-beta1) in
mammary adipose tissue in obese mice activates SMAD3 signaling, causing phospho
SMAD3 to bind to the miR-140 locus and inhibit miR-140 transcription. This
prevents miR-140 from targeting SMAD3 for degradation, resulting in amplified TGF
beta1/SMAD3 signaling and miR-140 downregulation-dependent myofibroblast
differentiation. Using tissue and coculture models, we found that myofibroblasts
and the fibrotic microenvironment created by myofibroblasts impact the stemness
and proliferation of normal ductal epithelial cells and early-stage breast cancer
invasion and stemness.
PMID- 27895152
TI - Spatiotemporal Uncoupling of MicroRNA-Mediated Translational Repression and
Target RNA Degradation Controls MicroRNP Recycling in Mammalian Cells.
AB - MicroRNA (miRNA)-mediated repression controls expression of more than half of
protein-coding genes in metazoan animals. Translation repression is associated
with target mRNA degradation initiated by decapping and deadenylation of the
repressed mRNAs. Earlier evidence suggests the endoplasmic reticulum (ER) as the
site where microRNPs (miRNPs) interact with their targets before translation
repression sets in, but the subcellular location of subsequent degradation of
miRNA-repressed messages is largely unidentified. Here, we explore the
subcellular distribution of essential components of degradation machineries of
miRNA-targeted mRNAs. We have noted that interaction of target mRNAs with AGO2
protein on the ER precedes the relocalization of repressed messages to
multivesicular bodies (MVBs). The repressed messages subsequently get
deadenylated, lose their interaction with AGO2, and become decapped. Blocking
maturation of endosomes to late endosome and MVBs by targeting the endosomal
protein HRS uncouples miRNA-mediated translation repression from target RNA
degradation. HRS is also targeted by the intracellular parasite Leishmania
donovani, which curtails the HRS level in infected cells to prevent uncoupling of
mRNA-AGO2 interaction, preventing degradation of translationally repressed
messages, and thus stops recycling of miRNPs preengaged in repression.
PMID- 27895153
TI - Ubiquitylation of Ku80 by RNF126 Promotes Completion of Nonhomologous End Joining
Mediated DNA Repair.
AB - Repair of damaged DNA is critical for maintenance of genetic information. In
eukaryotes, DNA double-strand breaks (DSBs) are recognized by the Ku70-Ku80
heterodimer, which then recruits proteins that mediate repair by nonhomologous
end joining (NHEJ). Prolonged retention of Ku70/80 at DSBs prevents completion of
repair, however, with ubiquitylation of Ku80 having been implicated in Ku70/80
dissociation from DNA. Here, we identify RNF126 as a ubiquitin ligase that is
recruited to DSBs and ubiquitylates Ku80, with UBE2D3 serving as an E2 enzyme.
Knockdown of RNF126 prevented Ku70/80 dissociation from DSBs and inhibited break
repair. Attenuation of Ku80 ubiquitylation by replacement of ubiquitylation site
lysines with arginine residues delayed Ku70/80 release from chromatin after DSB
induction by genotoxic insults. Together, our data indicate that RNF126 is a
novel regulator of NHEJ that promotes completion of DNA repair by ubiquitylating
Ku80 and releasing Ku70/80 from damaged DNA.
PMID- 27895154
TI - Kidney Tubular Ablation of Ocrl/Inpp5b Phenocopies Lowe Syndrome Tubulopathy.
AB - Lowe syndrome and Dent disease are two conditions that result from mutations of
the inositol 5-phosphatase oculocerebrorenal syndrome of Lowe (OCRL) and share
the feature of impaired kidney proximal tubule function. Genetic ablation of Ocrl
in mice failed to recapitulate the human phenotypes, possibly because of the
redundant functions of OCRL and its paralog type 2 inositol polyphosphate-5
phosphatase (INPP5B). Germline knockout of both paralogs in mice results in early
embryonic lethality. We report that kidney tubule-specific inactivation of Inpp5b
on a global Ocrl-knockout mouse background resulted in low molecular weight
proteinuria, phosphaturia, and acidemia. At the cellular level, we observed a
striking impairment of clathrin-dependent and -independent endocytosis in
proximal tubules, phenocopying what has been reported for Dent disease caused by
mutations in the gene encoding endosomal proton-chloride exchange transporter 5.
These results suggest that the functions of OCRL/INPP5B and proton-chloride
exchange transporter 5 converge on shared mechanisms, the impairment of which has
a dramatic effect on proximal tubule endocytosis.
PMID- 27895155
TI - Investigations of Glucocorticoid Action in GN.
AB - For several decades, glucocorticoids have been used empirically to treat rapid
progressive GN. It is commonly assumed that glucocorticoids act primarily by
dampening the immune response, but the mechanisms remain incompletely understood.
In this study, we inactivated the glucocorticoid receptor (GR) specifically in
kidney epithelial cells using Pax8-Cre/GRfl/fl mice. Pax8-Cre/GRfl/fl mice did
not exhibit an overt spontaneous phenotype. In mice treated with nephrotoxic
serum to induce crescentic nephritis (rapidly progressive GN), this genetic
inactivation of the GR in kidney epithelial cells exerted renal benefits,
including inhibition of albuminuria and cellular crescent formation, similar to
the renal benefits observed with high-dose prednisolone in control mice. However,
genetic inactivation of the GR in kidney epithelial cells did not induce the
immunosuppressive effects observed with prednisolone. In vitro, prednisolone and
the pharmacologic GR antagonist mifepristone each acted directly on primary
cultures of parietal epithelial cells, inhibiting cellular outgrowth and
proliferation. In wild-type mice, pharmacologic treatment with the GR antagonist
mifepristone also attenuated disease as effectively as high-dose prednisolone
without the systemic immunosuppressive effects. Collectively, these data show
that glucocorticoids act directly on activated glomerular parietal epithelial
cells in crescentic nephritis. Furthermore, we identified a novel therapeutic
approach in crescentic nephritis, that of glucocorticoid antagonism, which was at
least as effective as high-dose prednisolone with potentially fewer adverse
effects.
PMID- 27895156
TI - Sildenafil Prevents Podocyte Injury via PPAR-gamma-Mediated TRPC6 Inhibition.
AB - Transient receptor potential channel C6 (TRPC6) gain-of-function mutations and
increased TRPC6 expression in podocytes induce glomerular injury and proteinuria.
Sildenafil reduces TRPC6 expression and activity in nonrenal cell types, although
the mechanism is unknown. Peroxisome proliferator-activated receptor gamma (PPAR
gamma) is a downstream target of sildenafil in the cyclic guanosine monophosphate
(cGMP)-activated protein kinase G (PKG) axis. PPAR-gamma agonists, like
pioglitazone, appear antiproteinuric. We hypothesized that sildenafil inhibits
TRPC6 expression in podocytes through PPAR-gamma-dependent mechanisms, thereby
counteracting podocyte injury and proteinuria. Treatment with sildenafil, the
cGMP derivative 8-bromoguanosine 3',5'-cyclic monophosphate sodium salt (8-Br
cGMP), or pioglitazone dose-dependently downregulated podocyte injury-induced
TRPC6 expression in vitro Knockdown or application of antagonists of PKG or PPAR
gamma enhanced TRPC6 expression in podocytes and counteracted effects of
sildenafil and 8-Br-cGMP. We observed similar effects on TRPC6 promoter activity
and TRPC6-dependent calcium influx. Chromatin immunoprecipitation showed PPAR
gamma binding to the TRPC6 promoter. Sildenafil or pioglitazone treatment
prevented proteinuria and the increased TRPC6 expression in rats with adriamycin
induced nephropathy and mice with hyperglycemia-induced renal injury. Rats
receiving PPAR-gamma antagonists displayed proteinuria and increased podocyte
TRPC6 expression, as did podocyte-specific PPAR-gamma knockout mice, which were
more sensitive to adriamycin and not protected by sildenafil. Thus, sildenafil
ameliorates podocyte injury and prevents proteinuria through cGMP- and PKG
dependent binding of PPAR-gamma to the TRPC6 promoter, which inhibits TRPC6
promoter activity, expression, and activity. Because sildenafil is approved for
clinical use, our results suggest that additional clinical study of its
antiproteinuric effect in glomerular disease is warranted.
PMID- 27895157
TI - Beneficial Effects of Myo-Inositol Oxygenase Deficiency in Cisplatin-Induced AKI.
AB - Overexpression of the proximal tubular enzyme myo-inositol oxygenase (MIOX)
induces oxidant stress in vitro However, the relevance of MIOX to tubular
pathobiology remains enigmatic. To investigate the role of MIOX in cisplatin
induced tubular AKI, we generated conditional MIOX-overexpressing transgenic
(MIOX-TG) mice and MIOX-knockout (MIOX-/-) mice with tubule-specific MIOX
overexpression or knockout, respectively. Compared with cisplatin-treated wild
type (WT) mice, cisplatin-treated MIOX-TG mice had even greater increases in
urea, creatinine, and KIM-1 levels and more tubular injury and apoptosis, but
these effects were attenuated in cisplatin-treated MIOX-/- mice. Similarly, MIOX
TG mice had the highest and MIOX-/- mice had the lowest renal levels of Bax,
cleaved caspase-3, and NADPH oxidase-4 expression and reactive oxygen species
(ROS) generation after cisplatin treatment. In vitro, cisplatin dose-dependently
increased ROS generation in LLC-PK1 cells. Furthermore, MIOX overexpression in
these cells accentuated cisplatin-induced ROS generation and perturbations in the
ratio of GSH to oxidized GSH, whereas MIOX-siRNA or N-acetyl cysteine treatment
attenuated these effects. Additionally, the cisplatin-induced enhancement of p53
activation, NF-kappaB binding to DNA, and NF-kappaB nuclear translocation in WT
mice was exacerbated in MIOX-TG mice but absent in MIOX-/- mice. In vitro, MIOX
siRNA or NAC treatment reduced the dose-dependent increase in p53 expression
induced by cisplatin. We also observed a remarkable influx of inflammatory cells
and upregulation of cytokines in kidneys of cisplatin-treated MIOX-TG mice.
Finally, analysis of genomic DNA in WT mice revealed cisplatin-induced
hypomethylation of the MIOX promoter. These data suggest that MIOX overexpression
exacerbates, whereas MIOX gene disruption protects against, cisplatin-induced
AKI.
PMID- 27895161
TI - The E Loop of the Transmitter Binding Site Is a Key Determinant of the Modulatory
Effects of Physostigmine on Neuronal Nicotinic alpha4beta2 Receptors.
AB - Physostigmine is a well known inhibitor of acetylcholinesterase, which can also
activate, potentiate, and inhibit acetylcholine receptors, including neuronal
nicotinic receptors comprising alpha4 and beta2 subunits. We have found that the
two stoichiometric forms of this receptor differ in the effects of physostigmine.
The form containing three copies of alpha4 and two of beta2 was potentiated at
low concentrations of acetylcholine chloride (ACh) and physostigmine, whereas the
form containing two copies of alpha4 and three of beta2 was inhibited. Chimeric
constructs of subunits indicated that the presence of inhibition or potentiation
depended on the source of the extracellular ligand binding domain of the subunit.
Further sets of chimeric constructs demonstrated that a portion of the ACh
binding domain, the E loop, is a key determinant. Transferring the E loop from
the beta2 subunit to the alpha4 subunit resulted in strong inhibition, whereas
the reciprocal transfer reduced inhibition. To control the number and position of
the incorporated chimeric subunits, we expressed chimeric constructs with subunit
dimers. Surprisingly, incorporation of a subunit with an altered E loop had
similar effects whether it contributed either to an intersubunit interface
containing a canonical ACh binding site or to an alternative interface. The
observation that the alpha4 E loop is involved suggests that physostigmine
interacts with regions of subunits that contribute to the ACh binding site,
whereas the lack of interface specificity indicates that interaction with a
particular ACh binding site is not the critical factor.
PMID- 27895163
TI - G-Protein-Coupled Receptor Kinase 2 as a Potential Modulator of the Hallmarks of
Cancer.
AB - Malignant features-such as sustained proliferation, refractoriness to growth
suppressors, resistance to cell death or aberrant motility, and metastasis-can be
triggered by a variety of mutations and signaling adaptations. Signaling nodes
can act as cancer-associated factors by cooperating with oncogene-governed
pathways or participating in compensatory transduction networks to strengthen
tumor properties. G-protein-coupled receptor kinase 2 (GRK2) is arising as one of
such nodes. Via its complex network of connections with other cellular proteins,
GRK2 contributes to the modulation of basic cellular functions-such as cell
proliferation, survival, or motility-and is involved in metabolic homeostasis,
inflammation, or angiogenic processes. Moreover, altered GRK2 levels are starting
to be reported in different tumoral contexts and shown to promote breast
tumorigenesis or to trigger the tumoral angiogenic switch. The ability to
modulate several of the hallmarks of cancer puts forward GRK2 as an oncomodifier,
able to modulate carcinogenesis in a cell-type specific way.
PMID- 27895162
TI - Cannabinoid Receptor Interacting Protein 1a Competition with beta-Arrestin for
CB1 Receptor Binding Sites.
AB - Cannabinoid receptor interacting protein 1a (CRIP1a) is a CB1 receptor (CB1R)
distal C-terminal-associated protein that alters CB1R interactions with G
proteins. We tested the hypothesis that CRIP1a is capable of also altering CB1R
interactions with beta-arrestin proteins that interact with the CB1R at the C
terminus. Coimmunoprecipitation studies indicated that CB1R associates in
complexes with either CRIP1a or beta-arrestin, but CRIP1a and beta-arrestin fail
to coimmunoprecipitate with each other. This suggests a competition for CRIP1a
and beta-arrestin binding to the CB1R, which we hypothesized could attenuate the
action of beta-arrestin to mediate CB1R internalization. We determined that
agonist-mediated reduction of the density of cell surface endogenously expressed
CB1Rs was clathrin and dynamin dependent and could be modeled as agonist-induced
aggregation of transiently expressed GFP-CB1R. CRIP1a overexpression attenuated
CP55940-mediated GFP-CB1R as well as endogenous beta-arrestin redistribution to
punctae, and conversely, CRIP1a knockdown augmented beta-arrestin redistribution
to punctae. Peptides mimicking the CB1R C-terminus could bind to both CRIP1a in
cell extracts as well as purified recombinant CRIP1a. Affinity pull-down studies
revealed that phosphorylation at threonine-468 of a CB1R distal C-terminus 14-mer
peptide reduced CB1R-CRIP1a association. Coimmunoprecipitation of CB1R protein
complexes demonstrated that central or distal C-terminal peptides competed for
the CB1R association with CRIP1a, but that a phosphorylated central C-terminal
peptide competed for association with beta-arrestin 1, and phosphorylated central
or distal C-terminal peptides competed for association with beta-arrestin 2.
Thus, CRIP1a can compete with beta-arrestins for interaction with C-terminal CB1R
domains that could affect agonist-driven, beta-arrestin-mediated internalization
of the CB1R.
PMID- 27895164
TI - MYSM1-dependent checkpoints in B cell lineage differentiation and B cell-mediated
immune response.
AB - MYSM1 is a chromatin-binding histone deubiquitinase. MYSM1 mutations in humans
result in lymphopenia whereas loss of Mysm1 in mice causes severe hematopoietic
abnormalities, including an early arrest in B cell development. However, it
remains unknown whether MYSM1 is required at later checkpoints in B cell
development or for B cell-mediated immune responses. We analyzed conditional
mouse models Mysm1fl/flTg.mb1-cre, Mysm1fl/flTg.CD19-cre, and Mysm1fl/flTg.CD21
cre with inactivation of Mysm1 at prepro-B, pre-B, and follicular B cell stages
of development. We show that loss of Mysm1 at the prepro-B cell stage in
Mysm1fl/flTg.mb1-cre mice results in impaired B cell differentiation, with an ~2
fold reduction in B cell numbers in the lymphoid organs. Mysm1fl/flTg.mb1-cre B
cells also showed increased expression of activation markers and impaired
survival and proliferation. In contrast, Mysm1 was largely dispensable from the
pre-B cell stage onward, with Mysm1fl/flTg.CD19-cre and Mysm1fl/flTg.CD21-cre
mice showing no alterations in B cell numbers and largely normal responses to
stimulation. MYSM1, therefore, has an essential role in B cell lineage
specification but is dispensable at later stages of development. Importantly,
MYSM1 activity at the prepro-B cell stage of development is important for the
normal programming of B cell responses to stimulation once they complete their
maturation process.
PMID- 27895165
TI - Rats with a missense mutation in Atm display neuroinflammation and
neurodegeneration subsequent to accumulation of cytosolic DNA following
unrepaired DNA damage.
AB - Mutations in the ataxia-telangiectasia (A-T)-mutated (ATM) gene give rise to the
human genetic disorder A-T, characterized by immunodeficiency, cancer
predisposition, and neurodegeneration. Whereas a series of animal models
recapitulate much of the A-T phenotype, they fail to present with ataxia or
neurodegeneration. We describe here the generation of an Atm missense mutant
[amino acid change of leucine (L) to proline (P) at position 2262 (L2262P)] rat
by intracytoplasmic injection (ICSI) of mutant sperm into oocytes. Atm-mutant
rats (AtmL2262P/L2262P ) expressed low levels of ATM protein, suggesting a
destabilizing effect of the mutation, and had a significantly reduced lifespan
compared with Atm+/+ Whereas these rats did not show cerebellar atrophy, they
succumbed to hind-limb paralysis (45%), and the remainder developed tumors.
Closer examination revealed the presence of both dsDNA and ssDNA in the cytoplasm
of cells in the hippocampus, cerebellum, and spinal cord of AtmL2262P/L2262P
rats. Significantly increased levels of IFN-beta and IL-1beta in all 3 tissues
were indicative of DNA damage induction of the type 1 IFN response. This was
further supported by NF-kappaB activation, as evidenced by p65 phosphorylation
(P65) and translocation to the nucleus in the spinal cord and parahippocampus.
Other evidence of neuroinflammation in the brain and spinal cord was the loss of
motor neurons and the presence of increased activation of microglia. These data
provide support for a proinflammatory phenotype that is manifested in the Atm
mutant rat as hind-limb paralysis. This mutant represents a useful model to
investigate the importance of neuroinflammation in A-T.
PMID- 27895166
TI - T cell-rich lymphoid infiltrates with large B cells: a review of key entities and
diagnostic approach.
AB - Accurate diagnostic interpretation of a lymphoid population composed
predominantly of small T cells, together with smaller numbers of large B cells,
with or without a nodular architecture, is a common problem faced by the
histopathologist. The differential diagnosis of this histological pattern is
wide, ranging from reactive conditions such as drug reactions and viral
infections, through borderline entities such as immunodeficiency-related
lymphoproliferative disorders to lymphomas. The latter includes entities where
the large B cells are primarily neoplastic (classical and nodular lymphocyte
predominant Hodgkin lymphomas and T cell/histiocyte-rich large B cell lymphoma)
as well as T cell lymphomas such as angioimmunoblastic T cell lymphoma where the
large B cells represent an epiphenomenon and may or may not be neoplastic.
Several rare variants of these conditions, and the fact that treatment can
significantly modify appearances, add to the diagnostic difficulty of these
pathological entities. Unlike monomorphic lymphoid infiltrates, the histological
pattern of T cell-rich proliferation with large B cells requires close evaluation
of the inter-relationship between B cells and T cells, follicular dendritic cells
and sometimes other inflammatory cells. Epstein-Barr virus plays a key role in
several of these scenarios, and interpreting not only its presence but also its
distribution within cellular subgroups is essential to accurate diagnosis and the
avoidance of some important diagnostic pitfalls. An understanding of normal
immunoarchitecture and lymphoid maturational pathways is also fundamental to
resolving these cases, as is a knowledge of their common patterns of spread,
which facilitates correlation with clinical and radiological findings.
PMID- 27895167
TI - PGI2 Controls Pulmonary NK Cells That Prevent Airway Sensitization to House Dust
Mite Allergen.
AB - In allergic asthma, inhalation of airborne allergens such as the house dust mite
(HDM) effectively activates both innate and adaptive immunity in the lung mucosa.
To determine the role of the eicosanoid PGI2 and its receptor IP during allergic
airway sensitization, HDM responses in mice lacking a functional IP receptor
(i.e., PGI2 IP receptor-deficient [IP-/-]) were compared with wild type (WT)
mice. Surprisingly, IP-/- mice had increased numbers of pulmonary CD3
NK1.1+Ly49b+ NK cells producing IFN-gamma that was inversely associated with the
number of type 2 innate lymphoid cells (ILC2s) expressing IL-33Ralpha and IL-13
compared with WT animals. This phenomenon was associated with elevated CX3CL1
levels in the airways of IP-/- mice and treatment with a neutralizing Ab to
CX3CL1 reduced IFN-gamma production by the lung NK cells. Remarkably, IP-/- mice
were less responsive to HDM challenge than WT counterparts because intranasal
instillation of the allergen induced markedly reduced levels of airway
eosinophils, CD4+ lymphocyte infiltration, and mucus production, as well as
depressed levels of CCL2 chemokine and Th2 cytokines. NK cells were responsible
for such attenuated responses because depletion of NK1.1+ cells in IP-/- mice
restored both the HDM-induced lung inflammation and ILC2 numbers, whereas
transfer of CD3-NK1.1+ NK cells into the airways of WT hosts suppressed the
inflammatory response. Collectively, these data demonstrate a hitherto unknown
role for PGI2 in regulating the number and properties of NK cells resident in
lung tissue and reveal a role for NK cells in limiting lung tissue ILC2s and
preventing allergic inflammatory responses to inhaled HDM allergen.
PMID- 27895168
TI - CX3CR1+ Cell-Mediated Salmonella Exclusion Protects the Intestinal Mucosa during
the Initial Stage of Infection.
AB - During Salmonella Typhimurium infection, intestinal CX3CR1+ cells can either
extend transepithelial cellular processes to sample luminal bacteria or, very
early after infection, migrate into the intestinal lumen to capture bacteria.
However, until now, the biological relevance of the intraluminal migration of
CX3CR1+ cells remained to be determined. We addressed this by using a combination
of mouse strains differing in their ability to carry out CX3CR1-mediated sampling
and intraluminal migration. We observed that the number of S. Typhimurium
traversing the epithelium did not differ between sampling-competent/migration
competent C57BL/6 and sampling-deficient/migration-competent BALB/c mice. In
contrast, in sampling-deficient/migration-deficient CX3CR1-/- mice the numbers of
S. Typhimurium penetrating the epithelium were significantly higher. However, in
these mice the number of invading S. Typhimurium was significantly reduced after
the adoptive transfer of CX3CR1+ cells directly into the intestinal lumen,
consistent with intraluminal CX3CR1+ cells preventing S. Typhimurium from
infecting the host. This interpretation was also supported by a higher bacterial
fecal load in CX3CR1+/gfp compared with CX3CR1gfp/gfp mice following oral
infection. Furthermore, by using real-time in vivo imaging we observed that
CX3CR1+ cells migrated into the lumen moving through paracellular channels within
the epithelium. Also, we reported that the absence of CX3CR1-mediated sampling
did not affect Ab responses to a noninvasive S. Typhimurium strain that
specifically targeted the CX3CR1-mediated entry route. These data showed that the
rapidly deployed CX3CR1+ cell-based mechanism of immune exclusion is a defense
mechanism against pathogens that complements the mucous and secretory IgA Ab
mediated system in the protection of intestinal mucosal surface.
PMID- 27895170
TI - Prevention of Vgamma9Vdelta2 T Cell Activation by a Vgamma9Vdelta2 TCR Nanobody.
AB - Vgamma9Vdelta2 T cell activation plays an important role in antitumor and
antimicrobial immune responses. However, there are conditions in which
Vgamma9Vdelta2 T cell activation can be considered inappropriate for the host.
Patients treated with aminobisphosphonates for hypercalcemia or metastatic bone
disease often present with a debilitating acute phase response as a result of
Vgamma9Vdelta2 T cell activation. To date, no agents are available that can
clinically inhibit Vgamma9Vdelta2 T cell activation. In this study, we describe
the identification of a single domain Ab fragment directed to the TCR of
Vgamma9Vdelta2 T cells with neutralizing properties. This variable domain of an H
chain-only Ab (VHH or nanobody) significantly inhibited both phosphoantigen
dependent and -independent activation of Vgamma9Vdelta2 T cells and, importantly,
strongly reduced the production of inflammatory cytokines upon stimulation with
aminobisphosphonate-treated cells. Additionally, in silico modeling suggests that
the neutralizing VHH binds the same residues on the Vgamma9Vdelta2 TCR as the
Vgamma9Vdelta2 T cell Ag-presenting transmembrane protein butyrophilin 3A1,
providing information on critical residues involved in this interaction. The
neutralizing Vgamma9Vdelta2 TCR VHH identified in this study might provide a
novel approach to inhibit the unintentional Vgamma9Vdelta2 T cell activation as a
consequence of aminobisphosphonate administration.
PMID- 27895169
TI - Critical Role of LTB4/BLT1 in IL-23-Induced Synovial Inflammation and
Osteoclastogenesis via NF-kappaB.
AB - IL-23 activates the synthesis and production of leukotriene B4 (LTB4) in myeloid
cells, which modulate inflammatory arthritis. In this study we investigated the
role of LTB4 and its receptor LTB4R1 (BLT1) in synovial inflammation and
osteoclast differentiation. Specifically, we used IL-23 in vivo gene transfer to
induce arthritis in mice and showed that elevated serum LTB4 and synovial
expression of 5-lipoxygenase correlated with increased disease severity by
histological evaluation and paw swelling compared with GFP gene transfer
controls. To further investigate the effect of the LTB4 pathway in bone loss, we
performed osteoclast differentiation assays by stimulating with M-CSF and
receptor activator of NF-kappaB ligand bone marrow cells derived from BLT1+/+
and/or BLT1-/- mice and used quantitative PCR for gene expression analysis in
terminally differentiated osteoclasts. Deficiency in BLT1 resulted in the
upregulation of osteoclast-related genes and an increase in the formation of
giant, multinucleated TRAP+ cells capable of F-actin ring formation.
Additionally, BLT1 deficiency showed an increase of phosphorylated NF-kappaB and
phosphorylated IkappaB levels in osteoclasts. We also performed real-time calcium
imaging to study the effect of BLT1 deficiency in receptor activator of NF-kappa
B ligand-induced activation of intracellular calcium flux in vitro. Our data show
that LTB4 and its receptor BLT1 exacerbate synovial inflammation in vivo and bone
resorption in vitro, suggesting that LTB4 and BLT1 could be effectively targeted
for the treatment of musculoskeletal diseases.
PMID- 27895171
TI - Impairment of CCR6+ and CXCR3+ Th Cell Migration in HIV-1 Infection Is Rescued by
Modulating Actin Polymerization.
AB - CD4+ T cell repopulation of the gut is rarely achieved in HIV-1-infected
individuals who are receiving clinically effective antiretroviral therapy.
Alterations in the integrity of the mucosal barrier have been indicated as a
cause for chronic immune activation and disease progression. In this study, we
present evidence that persistent immune activation causes impairment of
lymphocytes to respond to chemotactic stimuli, thus preventing their trafficking
from the blood stream to peripheral organs. CCR6+ and CXCR3+ Th cells accumulate
in the blood of aviremic HIV-1-infected patients on long-term antiretroviral
therapy, and their frequency in the circulation positively correlates to levels
of soluble CD14 in plasma, a marker of chronic immune activation. Th cells show
an impaired response to chemotactic stimuli both in humans and in the pathogenic
model of SIV infection, and this defect is due to hyperactivation of cofilin and
inefficient actin polymerization. Taking advantage of a murine model of chronic
immune activation, we demonstrate that cytoskeleton remodeling, induced by
okadaic acid, restores lymphocyte migration in response to chemokines, both in
vitro and in vivo. This study calls for novel pharmacological approaches in those
pathological conditions characterized by persistent immune activation and loss of
trafficking of T cell subsets to niches that sustain their maturation and
activities.
PMID- 27895172
TI - Pulmonary Dendritic Cell Subsets Shape the Respiratory Syncytial Virus-Specific
CD8+ T Cell Immunodominance Hierarchy in Neonates.
AB - Young infants are generally more susceptible to viral infections and experience
more severe disease than do adults. CD8+ T cells are important for viral
clearance, and although often ineffective in neonates they can be protective when
adequately stimulated. Using a murine CB6F1/J hybrid model of respiratory
syncytial virus (RSV) infection, we previously demonstrated that the CD8+ T cell
immunodominance hierarchy to two RSV-derived epitopes, KdM282-90 and DbM187-195,
was determined by the age at infection. To determine whether age-dependent RSV
specific CD8+ T cell responses could be modified through enhanced innate
signaling, we used TLR4 or TLR9 agonist treatment at the time of infection, which
remarkably changed the neonatal codominant response to an adult-like KdM282-90
CD8+ T cell immunodominant response. This shift was associated with an increase
in the number of conventional dendritic cells, CD11b+ and CD103+ dendritic cells,
in the lung-draining lymph node, as well as increased expression of the
costimulatory molecule CD86. The magnitude of the KdM282-90 CD8+ T cell response
in TLR agonist-treated neonates could be blocked with Abs against CD80 and CD86.
These studies demonstrate the age-dependent function of conventional dendritic
cells, their role in determining immunodominance hierarchy, and epitope-specific
CD8+ T cell requirements for costimulation, all of which influence the immune
response magnitude. The unique impact of TLR agonists on neonatal T cell
responses is important to consider for RSV vaccines designed for young infants.
PMID- 27895173
TI - Human Regulatory T Cells Mediate Transcriptional Modulation of Dendritic Cell
Function.
AB - Regulatory T cells (Treg) attenuate dendritic cell (DC) maturation and
stimulatory function. Current knowledge on the functional impact of semimature DC
is limited to CD4+ T cell proliferation and cytokine production. Little is known
about the molecular basis underpinning the functional effects of Treg-treated DC
(Treg-DC). We present novel evidence that Treg-DC skewed CD4+ naive T cell
polarization toward a regulatory phenotype and impaired CD8+ T cell allo-reactive
responses, including their ability to induce target tissue damage in a unique in
vitro human graft-versus-host disease skin explant model. Microarray analysis
clustered Treg-DC as a discrete population from mature-DC and immature-DC, with
51 and 93 genes that were significantly over- or underexpressed, respectively,
compared with mature-DC. Quantitative real-time PCR analysis revealed an
intermediate expression level of CD38, CD83, CD80 and CD86 mRNA in Treg-DC, lower
than mature-DC, higher than immature-DC. We also observed an attenuation of NF
kappaB pathway, an upstream regulator of the aforementioned genes, concomitant
with reduced expression of two NF-kappaB-signaling related genes RELB and
NFkappaBIZ, in the Treg-DC, together with an increased expression of Wnt5a, a
negative regulator of DC differentiation. We further confirmed that the Treg-DC
mediated skewed CD4+ naive T cell polarization resulted from decreased IL-12
secretion by Treg-DC, which may be post-transcriptionally modulated by decreased
expression of microRNA-155 in Treg-DC. To our knowledge, this is the first study
demonstrating a transcriptional modulation of DC function by human Treg,
partially via attenuation of the NF-kappaB signaling pathway and upregulation of
Wnt5a, suggesting Treg may interfere with DC reprogramming during maturation,
thereby modulating DC function.
PMID- 27895174
TI - Human Lung Fibroblasts Present Bacterial Antigens to Autologous Lung Th Cells.
AB - Lung fibroblasts are key structural cells that reside in the submucosa where they
are in contact with large numbers of CD4+ Th cells. During severe viral infection
and chronic inflammation, the submucosa is susceptible to bacterial invasion by
lung microbiota such as nontypeable Haemophilus influenzae (NTHi). Given their
proximity in tissue, we hypothesized that human lung fibroblasts play an
important role in modulating Th cell responses to NTHi. We demonstrate that
fibroblasts express the critical CD4+ T cell Ag-presentation molecule HLA-DR
within the human lung, and that this expression can be recapitulated in vitro in
response to IFN-gamma. Furthermore, we observed that cultured lung fibroblasts
could internalize live NTHi. Although unable to express CD80 and CD86 in response
to stimulation, fibroblasts expressed the costimulatory molecules 4-1BBL, OX-40L,
and CD70, all of which are related to memory T cell activation and maintenance.
CD4+ T cells isolated from the lung were predominantly (mean 97.5%) CD45RO+
memory cells. Finally, cultured fibroblasts activated IFN-gamma and IL-17A
cytokine production by autologous, NTHi-specific lung CD4+ T cells, and cytokine
production was inhibited by a HLA-DR blocking Ab. These results indicate a novel
role for human lung fibroblasts in contributing to responses against bacterial
infection through activation of bacteria-specific CD4+ T cells.
PMID- 27895175
TI - Platelets Mediate Host Defense against Staphylococcus aureus through Direct
Bactericidal Activity and by Enhancing Macrophage Activities.
AB - Platelets are the chief effector cells in hemostasis. However, recent evidence
suggests they have multiple roles in host defense against infection. Reports by
us and others showed that platelets functionally contribute to protection against
Staphylococcus aureus infection. In the current study, the capacity of mouse
platelets to participate in host defense against S. aureus infection was
determined by assessing two possibilities. First, we determined the ability of
platelets to kill S. aureus directly; and, second, we tested the possibility that
platelets enhance macrophage phagocytosis and intracellular killing of S. aureus
In this study we report evidence in support of both mechanisms. Platelets
effectively killed two different strains of S. aureus. A clinical isolate of
methicillin-resistant S. aureus was killed by platelets (>40% killing in 2 h) in
a thrombin-dependent manner whereas a methicillin-sensitive strain was killed to
equal extent but did not require thrombin. Interestingly, thrombin-stimulated
platelets also significantly enhanced peritoneal macrophage phagocytosis of both
methicillin-resistant S. aureus and methicillin-sensitive S. aureus by >70%, and
restricted intracellular growth by >40%. Enhancement of macrophage anti-S. aureus
activities is independent of contact with platelets but is mediated through
releasable products, namely IL-1beta. These data confirm our hypothesis that
platelets participate in host defense against S. aureus both through direct
killing of S. aureus and enhancing the antimicrobial function of macrophages in
protection against S. aureus infection.
PMID- 27895177
TI - OX40 Cooperates with ICOS To Amplify Follicular Th Cell Development and Germinal
Center Reactions during Infection.
AB - Cognate interactions between T follicular helper (Tfh) cells and B cells are
essential for promoting protective Ab responses. Whereas costimulatory receptors
such as ICOS are accepted as being important for the induction of Tfh cell fate
decision, other molecules may play key roles in amplifying or maintaining the Tfh
phenotype. In this study, with vaccinia virus infection in mice, we show that
OX40 was expressed on Tfh cells that accumulated at the T/B borders in the white
pulp of the spleen and that OX40-dependent signals directly shaped the magnitude
and quality of the their response to viral Ags. OX40 deficiency in Tfh cells
profoundly impaired the acquisition of germinal center (GC) B cell phenotype,
plasma cell generation, and virus-specific Ab responses. Most significantly, we
found that sustained interactions between OX40 and its ligand, OX40L, beyond the
time of initial encounter with dendritic cells were required for the persistence
of high numbers of Tfh and GC B cells. Interestingly, OX40 was coexpressed with
ICOS on Tfh cells in and around the GC, and ICOS-ICOSL interactions were
similarly crucial at late times for maintenance of the Tfh and GC B cells. Thus,
OX40 and ICOS act in a cooperative, nonredundant manner to maximize and prolong
the Tfh response that is generated after acute virus infection.
PMID- 27895176
TI - The Syk-NFAT-IL-2 Pathway in Dendritic Cells Is Required for Optimal Sterile
Immunity Elicited by Alum Adjuvants.
AB - Despite a long history and extensive usage of insoluble aluminum salts (alum) as
vaccine adjuvants, the molecular mechanisms underpinning Ag-specific immunity
upon vaccination remain unclear. Dendritic cells (DCs) are crucial initiators of
immune responses, but little is known about the molecular pathways used by DCs to
sense alum and, in turn, activate T and B cells. In this article, we show that
alum adjuvanticity requires IL-2 specifically released by DCs, even when T cell
secretion of IL-2 is intact. We demonstrate that alum, as well as other sterile
particulates, such as uric acid crystals, induces DCs to produce IL-2 following
initiation of actin-mediated phagocytosis that leads to Src and Syk kinase
activation, Ca2+ mobilization, and calcineurin-dependent activation of NFAT, the
master transcription factor regulating IL-2 expression. Using chimeric mice, we
show that DC-derived IL-2 is required for maximal Ag-specific proliferation of
CD4+ T cells and optimal humoral responses following alum-adjuvanted
immunization. These data identify DC-derived IL-2 as a key mediator of alum
adjuvanticity in vivo and the Src-Syk pathway as a potential leverage point in
the rational design of novel adjuvants.
PMID- 27895178
TI - Conserved Region C Functions To Regulate PD-1 Expression and Subsequent CD8 T
Cell Memory.
AB - Expression of programmed death 1 (PD-1) on CD8 T cells promotes T cell exhaustion
during chronic Ag exposure. During acute infections, PD-1 is transiently
expressed and has the potential to modulate CD8 T cell memory formation.
Conserved region C (CR-C), a promoter proximal cis-regulatory element that is
critical to PD-1 expression in vitro, responds to NFATc1, FoxO1, and/or NF-kappaB
signaling pathways. Here, a CR-C knockout mouse was established to determine its
role on PD-1 expression and the corresponding effects on T cell function in vivo.
Deletion of CR-C decreased PD-1 expression on CD4 T cells and Ag-specific CD8 T
cells during acute and chronic lymphocytic choriomeningitis virus challenges, but
did not affect the ability to clear an infection. Following acute lymphocytic
choriomeningitis virus infection, memory CD8 T cells in the CR-C knockout mouse
were formed in greater numbers, were more functional, and were more effective at
responding to a melanoma tumor than wild-type memory cells. These data implicate
a critical role for CR-C in governing PD-1 expression, and a subsequent role in
guiding CD8 T cell differentiation. The data suggest the possibility that
titrating PD-1 expression during CD8 T cell activation could have important
ramifications in vaccine development and clinical care.
PMID- 27895179
TI - Thymic Dendritic Cell Subsets Display Distinct Efficiencies and Mechanisms of
Intercellular MHC Transfer.
AB - Thymic dendritic cells (DC) delete self-antigen-specific thymocytes, and drive
development of Foxp3-expressing immunoregulatory T cells. Unlike medullary thymic
epithelial cells, which express and present peripheral self-antigen, DC must
acquire self-antigen to mediate thymic negative selection. One such mechanism
entails the transfer of surface MHC-self peptide complexes from medullary thymic
epithelial cells to thymic DC. Despite the importance of thymic DC cross-dressing
in negative selection, the factors that regulate the process and the capacity of
different thymic DC subsets to acquire MHC and stimulate thymocytes are poorly
understood. In this study intercellular MHC transfer by thymic DC subsets was
investigated using an MHC-mismatch-based in vitro system. Thymic conventional DC
(cDC) subsets signal regulatory protein alpha (SIRPalpha+) and CD8alpha+ readily
acquired MHC class I and II from thymic epithelial cells but plasmacytoid DC were
less efficient. Intercellular MHC transfer was donor-cell specific; thymic DC
readily acquired MHC from TEC plus thymic or splenic DC, whereas thymic or
splenic B cells were poor donors. Furthermore DC origin influenced cross
dressing; thymic versus splenic DC exhibited an increased capacity to capture TEC
derived MHC, which correlated with direct expression of EpCAM by DC. Despite
similar capacities to acquire MHC-peptide complexes, thymic CD8alpha+ cDC
elicited increased T cell stimulation relative to SIRPalpha+ cDC. DC cross
dressing was cell-contact dependent and unaffected by lipid raft disruption of
donor TEC. Furthermore, blocking PI3K signaling reduced MHC acquisition by thymic
CD8alpha+ cDC and plasmacytoid DC but not SIRPalpha+ cDC. These findings
demonstrate that multiple parameters influence the efficiency of and distinct
mechanisms drive intercellular MHC transfer by thymic DC subsets.
PMID- 27895182
TI - Recognizing the Top 25 Peer Reviewers for the Journal of Virology.
PMID- 27895180
TI - Regulatory T Cells Promote Myositis and Muscle Damage in Toxoplasma gondii
Infection.
AB - The coordination of macrophage polarization is essential for the robust
regenerative potential of skeletal muscle. Repair begins with a phase mediated by
inflammatory monocytes (IM) and proinflammatory macrophages (M1), followed by
polarization to a proregenerative macrophage (M2) phenotype. Recently, regulatory
T cells (Tregs) were described as necessary for this M1 to M2 transition. We
report that chronic infection with the protozoan parasite Toxoplasma gondii
causes a nonresolving Th1 myositis with prolonged tissue damage associated with
persistent M1 accumulation. Surprisingly, Treg ablation during chronic infection
rescues macrophage homeostasis and skeletal muscle fiber regeneration, showing
that Tregs can directly contribute to muscle damage. This study provides evidence
that the tissue environment established by the parasite could lead to a
paradoxical pathogenic role for Tregs. As such, these findings should be
considered when tailoring therapies directed at Tregs in inflammatory settings.
PMID- 27895185
TI - Correction for Abrisch et al., Infection by Herpes Simplex Virus 1 Causes Near
Complete Loss of RNA Polymerase II Occupancy on the Host Cell Genome.
PMID- 27895186
TI - Correction for Eduardo-Correia et al., ISG15 Is Counteracted by Vaccinia Virus E3
Protein and Controls the Proinflammatory Response against Viral Infection.
PMID- 27895181
TI - Complement Protein C1q Enhances Macrophage Foam Cell Survival and Efferocytosis.
AB - In the atherosclerotic lesion, macrophages ingest high levels of damaged modified
low-density lipoproteins (LDLs), generating macrophage foam cells. Foam cells
undergo apoptosis and, if not efficiently cleared by efferocytosis, can undergo
secondary necrosis, leading to plaque instability and rupture. As a component of
the innate immune complement cascade, C1q recognizes and opsonizes modified forms
of LDL, such as oxidized or acetylated LDL, and promotes ingestion by macrophages
in vitro. C1q was shown to be protective in an atherosclerosis model in vivo.
Therefore, this study aimed to investigate whether ingestion of modified LDL in
the presence of C1q alters macrophage foam cell survival or function. In an
unbiased transcriptome analysis, C1q was shown to modulate expression of clusters
of genes involved in cell death and apoptosis pathways in human monocyte-derived
macrophages ingesting modified LDL; this was validated by quantitative PCR in
human and murine macrophages. C1q downregulated levels and activity of active
caspase-3 and PARP-1 in human and mouse macrophages during ingestion of modified
LDL. This led to a measurable increase in survival and decrease in cell death, as
measured by alamarBlue and propidium iodide assays, respectively. C1q
opsonization also increased phagocytosis and efferocytosis in macrophage foam
cells. These data suggest that C1q promotes macrophage survival during ingestion
of excess cholesterol, as well as improves foam cell efferocytic function. This
may be important in slowing disease progression and provides insight into the
protective role of C1q in early atherosclerosis.
PMID- 27895187
TI - Correction for Royo et al., Differential Induction of Apoptosis, Interferon
Signaling, and Phagocytosis in Macrophages Infected with a Panel of Attenuated
and Nonattenuated Poxviruses.
PMID- 27895188
TI - Antisocial Alcoholism in Parents of Adolescents and Young Adults With Childhood
ADHD.
AB - OBJECTIVE: Test the hypothesis that alcoholism, including antisocial alcoholism,
is more prevalent among mothers and fathers of children with versus without ADHD.
METHOD: Mothers (312 ADHD group, 235 non-ADHD group) and fathers (291 ADHD group,
227 non-ADHD group) in the Pittsburgh ADHD Longitudinal Study were interviewed
along with their adolescent and young adult offspring. RESULTS: Maternal and
paternal alcoholism, with and without comorbid antisociality, was more prevalent
in the ADHD group. Paternal alcoholism without antisociality was only marginally
higher for probands after controlling for paternal ADHD. Offspring conduct
disorder comorbidity was associated with parental antisociality but not parental
antisocial alcoholism. CONCLUSION: Our findings that 44% of proband fathers and
25% of proband mothers experienced alcohol problems with or without antisociality
are further evidence of increased alcoholism prevalence in families affected by
ADHD. Maternal alcoholism and antisociality are prominent contributors to this
family-level vulnerability. These findings indicate the need to assess long-term
offspring outcomes as a function of parental alcohol and externalizing
comorbidities, and perhaps other indicators of parental alcoholism phenotype, as
familial vulnerability unfolds across development.
PMID- 27895189
TI - How to do it: bedside ultrasound to assist lumbar puncture.
AB - For many neurologists, lumbar puncture is the only practical procedure that they
undertake on a regular basis. Although anaesthetists and emergency physicians
routinely employ ultrasound to assist lumbar puncture, neurologists do not. In
this article, we outline the technique that we use for an ultrasound-assisted
lumbar puncture, together with the evidence base that suggests that ultrasound
has significant benefits. We aim to raise awareness of a method that can make
lumbar puncture more likely to succeed and to be more comfortable for the
patient.
PMID- 27895191
TI - Putative Mechanism of Salt-Dependent Neurogenic Hypertension: Cell-Autonomous
Activation of Organum Vasculosum Laminae Terminalis Neurons by Hypernatremia.
PMID- 27895190
TI - Caveolin-1 Deletion Prevents Hypertensive Vascular Remodeling Induced by
Angiotensin II.
AB - It has been proposed that membrane microdomains, caveolae, in vascular cells are
critical for signal transduction and downstream functions induced by angiotensin
II (AngII). We have tested our hypothesis that caveolin-1 (Cav1), a major
structural protein of vascular caveolae, plays a critical role in the development
of vascular remodeling by AngII via regulation of epidermal growth factor
receptor and vascular endothelial adhesion molecule-1. Cav1-/- and control Cav+/+
mice were infused with AngII for 2 weeks to induce vascular remodeling and
hypertension. On AngII infusion, histological assessments demonstrated medial
hypertrophy and perivascular fibrosis of aorta and coronary and renal arteries in
Cav1+/+ mice compared with sham-operated Cav1+/+ mice. AngII-infused Cav1+/+ mice
also showed a phenotype of cardiac hypertrophy with increased heart weight to
body weight ratio compared with control Cav1+/+ mice. In contrast, Cav1-/- mice
infused with AngII showed attenuation of vascular remodeling but not cardiac
hypertrophy. Similar levels of AngII-induced hypertension were found in both
Cav1+/+ and Cav1-/- mice as assessed by telemetry. In Cav1+/+ mice, AngII
enhanced tyrosine-phosphorylated epidermal growth factor receptor staining in the
aorta, which was attenuated in Cav1-/- mice infused with AngII. Enhanced Cav1 and
vascular endothelial adhesion molecule-1 expression was also observed in aorta
from AngII-infused Cav1+/+ mice but not in Cav1-/- aorta. Experiments with
vascular cells further provided a potential mechanism for our in vivo findings.
These data suggest that Cav1, and presumably caveolae, in vascular smooth muscle
and the endothelium plays a critical role in vascular remodeling and inflammation
independent of blood pressure or cardiac hypertrophy regulation.
PMID- 27895192
TI - Development of Left Ventricular Hypertrophy in Treated Hypertensive Outpatients:
The Campania Salute Network.
AB - : There is little information on left ventricular (LV) hypertrophy (LVH)
development during antihypertensive treatment. We evaluate incident LVH in a
treated hypertensive cohort, the Campania Salute Network registry. We analyzed
prospectively 4290 hypertensives (aged 50.3+/-11.1 years, 40% women) with at
least 1-year follow-up, without LVH at baseline. Incident LVH was defined as the
first detection of echocardiographic LV mass index >=47 in women or >=50 g/m2.7
in men. During a median 48-month follow-up, 915 patients (21.3%) developed LVH.
They were older, more frequently women, and obese (P<0.0001), with initial higher
fasting glucose, diastolic and systolic blood pressure, LV mass index, lower
heart rate and glomerular filtration rate, longer hypertension history and follow
up, and higher average systolic blood pressure during follow-up (all P<0.05),
despite a more frequent treatment with Ca++-channel blockers and diuretics (both
P<0.02). At multivariable Cox regression, incident LVH was independently
associated with older age, female sex, obesity, higher average systolic blood
pressure during follow-up, and initial greater LV mass index (all P<0.02). By
categorizing patients according to obesity and sex, obesity independently
increased the risk for incident LVH in both sexes (obese versus nonobese men:
hazard ratio, 1.34; confidence interval, 1.05-1.72; P=0.019; and obese versus
nonobese women: hazard ratio, 1.34; confidence interval, 1.08-1.66; P=0.007).
Despite more aggressive antihypertensive therapy, 21% of hypertensive patients
develop clear-cut LVH. After adjusting for confounders, risk of incident LVH is
particular relevant among women and is further increased by the presence of
obesity. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique
identifier: NCT02211365.
PMID- 27895194
TI - Systematic Characterization and Prediction of Human Hypertension Genes.
AB - Hypertension is a major cardiovascular risk factor and accounts for a large part
of cardiovascular mortality. In this work, we analyzed the properties of
hypertension genes and found that when compared with genes not yet known to be
involved in hypertension regulation, known hypertension genes display
distinguishing features: (1) hypertension genes tend to be located at network
center; (2) hypertension genes tend to interact with each other; and (3)
hypertension genes tend to enrich in certain biological processes and show
certain phenotypes. Based on these features, we developed a machine-learning
algorithm to predict new hypertension genes. One hundred and seventy-seven
candidates were predicted with a posterior probability >0.9. Evidence supporting
17 of the predictions has been found.
PMID- 27895193
TI - Organum Vasculosum of the Lamina Terminalis Detects NaCl to Elevate Sympathetic
Nerve Activity and Blood Pressure.
AB - High-salt diet elevates NaCl concentrations in the cerebrospinal fluid to
increase sympathetic nerve activity (SNA) in salt-sensitive hypertension. The
organum vasculosum of the lamina terminalis (OVLT) resides along the rostral wall
of the third ventricle, lacks a complete blood-brain barrier, and plays a pivotal
role in body fluid homeostasis. Therefore, the present study used a multifaceted
approach to examine whether OVLT neurons of Sprague-Dawley rats are intrinsically
sensitive to changes in extracellular NaCl concentrations and mediate the
sympathoexcitatory responses to central NaCl loading. Using in vitro whole-cell
recordings, step-wise increases in extracellular NaCl concentrations (2.5-10
mmol/L) produced concentration-dependent excitation of OVLT neurons.
Additionally, these excitatory responses were intrinsic to OVLT neurons because
hypertonic NaCl evoked inward currents, despite pharmacological synaptic
blockade. In vivo single-unit recordings demonstrate that the majority of OVLT
neurons (72%, 13/19) display concentration-dependent increases in neuronal
discharge to intracarotid (50 MUL/15 s) or intracerebroventricular infusion (5
MUL/10 minutes) of hypertonic NaCl. Microinjection of hypertonic NaCl (30 nL/60
s) into the OVLT, but not adjacent areas, increased lumbar SNA, adrenal SNA, and
arterial blood pressure in a concentration-dependent manner. Renal SNA decreased
and splanchnic SNA remained unaffected. Finally, local inhibition of OVLT neurons
with the GABAA receptor agonist muscimol (24 nL/10 s) significantly attenuated
the sympathoexcitatory and pressor responses to intracerebroventricular infusion
of 0.5 mol/L or 1.0 mol/L NaCl. Collectively, these findings indicate that OVLT
neurons detect changes in extracellular NaCl concentrations to selectively alter
SNA and raise arterial blood pressure.
PMID- 27895195
TI - A 'Scottish Poor Law of Lunacy'? Poor Law, Lunacy Law and Scotland's parochial
asylums.
AB - Scotland's parochial asylums are unfamiliar institutional spaces. Representing
the concrete manifestation of the collision between two spheres of legislation,
the Poor Law and the Lunacy Law, six such asylums were constructed in the latter
half of the nineteenth century. These sites expressed the enduring mandate of the
Scottish Poor Law 1845 over the domain of 'madness'. They were institutions whose
very existence was fashioned at the directive of the local arm of the Poor Law,
the parochial board, and they constituted a continuing 'Scottish Poor Law of
Lunacy'. Their origins and operation significantly subverted the intentions and
objectives of the Lunacy Act 1857, the aim of which had been to institute a
public district asylum network with nationwide coverage.
PMID- 27895196
TI - From asylum to action in Scotland: the emergence of the Scottish Union of Mental
Patients, 1971-2.
AB - By analysing a collection of documents authored by Thomas Ritchie, founder of the
Scottish Union of Mental Patients (SUMP), this study recounts the emergence of
mental patient unionism at Hartwood Hospital, North Lanarkshire, Scotland. The
discourse and action employed by Ritchie and SUMP are understood and situated in
relation to intended audiences, social and material conditions of the asylum
space, and transformations in cultures beyond the asylum, including nascent
industrial strife, social liberalism, civil rights, the London 'underground' and
counter-cultures.
PMID- 27895197
TI - The Effect of ACE I/D Polymorphisms Alone and With Concomitant Risk Factors on
Coronary Artery Disease.
AB - BACKGROUND: Coronary artery disease (CAD), also known as atherosclerotic heart
disease, is a leading cause of mortality and morbidity throughout the world. The
role of insertion/deletion (I/D) polymorphisms of the angiotensin-converting
enzyme (ACE) gene in the etiology of CAD remains to be more completely clarified.
The aim of this study was to determine the role of the ACE I/D polymorphism in
patients with CAD and to study the association together with traditional risk
factors in assessing the risk of CAD. METHODS: Our study population included 145
Tunisian patients with symptomatic CAD and a control group of 300 people matched
for age and sex. All participants in the study were genotyped for the ACE I/D
polymorphisms obtained by polymerase chain reaction amplification on genomic DNA.
RESULTS: Our analysis showed that the ACE D allele frequency ( P < 10-3; odds
ratio [OR] = 5.2; 95% confidence interval [CI] = 3.6-7.6) and DD genotype ( P <
10-3; OR = 6.8; 95% CI = 4.4-10) are significantly more prevalent among patients
with CAD than in controls and may be predisposing to CAD. We further found that
the risk of CAD is greatly potentiated by several concomitant risk factors
(smoking, diabetes, hypertension, dyslipidemia, and a family history of CAD).
CONCLUSION: The ACE D allele may be predictive in individuals who may be at risk
of developing CAD. Further investigations of these polymorphisms and their
possible synergisms with traditional risk factors for CAD could help to ascertain
better predictability for CAD susceptibility.
PMID- 27895198
TI - Autism and reactive attachment/disinhibited social engagement disorders: Co
occurrence and differentiation.
AB - DSM-5 ( Diagnostic and Statistical Manual of Mental Disorders, 5th edition)
Reactive Attachment Disorder (RAD) and Disinhibited Social Engagement Disorder
(DSED) are rare disorders sharing social difficulties with autism. The DSM-5 and
ICD-10 (International Classification of Diseases, 10th revsion) state that
RAD/DSED should not be diagnosed in children with autism. The purpose of our
study is to determine whether children can meet criteria for both autism and
RAD/DSED and to identify specific symptoms discriminating the disorders. Subjects
were 486 children with autism and no RAD/DSED and 20 with RAD/DSED, 4-17 years of
age. In total, 13 children with RAD/DSED met criteria for autism. Using the
Checklist for Autism Spectrum Disorder (CASD), there was no overlap in total
scores between the RAD/DSED with autism group (score range = 15-27) versus the
RAD/DSED without autism group (range = 7-10 ). The autism with and without
RAD/DSED groups did not differ in CASD scores. Nine of the CASD autism symptoms
were found only in the autism with and without RAD/DSED groups. Our study
demonstrates that children can meet criteria for both autism and RAD/DSED and
that the disorders are easily differentiated by the presence of specific autism
symptoms. Autism is a neurogenetic disorder, and RAD/DSED results from severe
social-emotional maltreatment. Given the different etiologies, there is no reason
why a child cannot have both disorders.
PMID- 27895200
TI - Demographics, Mechanisms of Injury, and Concurrent Injuries Associated With
Calcaneus Fractures: A Study of 14 516 Patients in the American College of
Surgeons National Trauma Data Bank.
AB - BACKGROUND: This study uses the American College of Surgeons National Trauma Data
Bank (NTDB) to update the field on the demographics, injury mechanisms, and
concurrent injuries among a national sample of patients admitted to the hospital
department with calcaneus fractures. METHODS: Patients with calcaneus fractures
in the NTDB during 2011-2012 were identified and assessed. RESULTS: A total of 14
516 patients with calcaneus fractures were included. The most common comorbidity
was hypertension (18%), and more than 90% of fractures occurred via traffic
accident (49%) or fall (43%). A total of 11 137 patients had concurrent injuries.
Associated lower extremity fractures had the highest incidence and occurred in
61% of patients (of which the most common were other foot and ankle fractures).
Concurrent spine fractures occurred in 23% of patients (of which the most common
were lumbar spine fractures). Concurrent nonorthopaedic injuries included head
injuries in 18% of patients and thoracic organ injuries in 15% of patients.
CONCLUSION: This national sample indicates that associated injuries occur in more
than three quarters calcaneus fracture patients. The most common associated
fractures are in close proximity to the calcaneus. Although the well-defined
association of calcaneus fractures with lumbar spine fractures was identified,
the data presented highlight additional strong associations of calcaneus
fractures with other orthopaedic and nonorthopaedic injuries. LEVELS OF EVIDENCE:
Prognostic, Level III: Retrospective review of a prospectively collected cohort.
PMID- 27895201
TI - Intraorbital wooden foreign body detected by computed tomography and magnetic
resonance imaging.
AB - To identify and localize an intraorbital wooden foreign body is often a
challenging radiological issue; delayed diagnosis can lead to serious adverse
complications. Preliminary radiographic interpretations are often integrated with
computed tomography and magnetic resonance, which play a crucial role in reaching
the correct definitive diagnosis. We report on a 40 years old male complaining of
pain in the right orbit referred to our hospital for evaluation of eyeball pain
and double vision with an unclear clinical history. Computed tomography and
magnetic resonance scans supposed the presence of an abscess caused by a foreign
intraorbital body, confirmed by surgical findings.
PMID- 27895202
TI - The LBD12-1 Transcription Factor Suppresses Apical Meristem Size by Repressing
Argonaute 10 Expression.
AB - The shoot apical meristem (SAM) consists of a population of multipotent cells
that generates all aerial structures and regenerates itself. SAM maintenance and
lateral organ development are regulated by several complex signaling pathways, in
which the Argonaute gene-mediated pathway plays a key role. One Argonaute gene,
AGO10, functions as a microRNA locker that attenuates miR165/166 activity and
positively regulates shoot apical meristem development, but little is known about
when and how AGO10 is regulated at the transcriptional level. In this work, we
showed that transgenic rice plants overexpressing LBD12-1, an LBD family
transcription factor, exhibited stunted growth, twisted leaves, abnormal anthers,
and reduced SAM size. Further research revealed that LBD12-1 directly binds to
the promoter region and represses the expression of AGO10. Overexpression of
AGO10 in an LBD12-1 overexpression background rescued the growth defect phenotype
of LBD12-1-overexpressing plants. The expression of LBD12-1 and its binding
ability to the AGO10 promoter is induced by stress. lbd12-1 loss-of-function
mutants showed similar phenotypes and SAM size to the wild type under normal
conditions, but lbd12-1 had a larger SAM under salt stress. Our findings provide
novel insights into the regulatory mechanism of AGO10 by which SAM size is
controlled under stress conditions.
PMID- 27895203
TI - A Palmitic Acid Elongase Affects Eicosapentaenoic Acid and Plastidial
Monogalactosyldiacylglycerol Levels in Nannochloropsis.
AB - Nannochloropsis species are oleaginous eukaryotes containing a plastid limited by
four membranes, deriving from a secondary endosymbiosis. In Nannochloropsis,
thylakoid lipids, including monogalactosyldiacylglycerol (MGDG), are enriched in
eicosapentaenoic acid (EPA). The need for EPA in MGDG is not understood. Fatty
acids are de novo synthesized in the stroma, then converted into very-long-chain
polyunsaturated fatty acids (FAs) at the endoplasmic reticulum (ER). The
production of MGDG relies therefore on an EPA supply from the ER to the plastid,
following an unknown process. We identified seven elongases and five desaturases
possibly involved in EPA production in Nannochloropsis gaditana Among the six
heterokont-specific saturated FA elongases possibly acting upstream in this
pathway, we characterized the highly expressed isoform Delta0-ELO1 Heterologous
expression in yeast (Saccharomyces cerevisiae) showed that NgDelta0-ELO1 could
elongate palmitic acid. Nannochloropsis Delta0-elo1 mutants exhibited a reduced
EPA level and a specific decrease in MGDG In NgDelta0-elo1 lines, the impairment
of photosynthesis is consistent with a role of EPA-rich MGDG in nonphotochemical
quenching control, possibly providing an appropriate MGDG platform for the
xanthophyll cycle. Concomitantly with MGDG decrease, the level of triacylglycerol
(TAG) containing medium chain FAs increased. In Nannochloropsis, part of EPA used
for MGDG production is therefore biosynthesized by a channeled process initiated
at the elongation step of palmitic acid by Delta0-ELO1, thus acting as a
committing enzyme for galactolipid production. Based on the MGDG/TAG balance
controlled by Delta0-ELO1, this study also provides novel prospects for the
engineering of oleaginous microalgae for biotechnological applications.
PMID- 27895204
TI - Two h-Type Thioredoxins Interact with the E2 Ubiquitin Conjugase PHO2 to Fine
Tune Phosphate Homeostasis in Rice.
AB - Phosphate overaccumulator2 (PHO2) encodes a ubiquitin-conjugating E2 enzyme that
is a major negative regulator of the inorganic phosphate (Pi)-starvation response
signaling pathway. A yeast two-hybrid (Y2H) screen in rice (Oryza sativa; Os)
using OsPHO2 as bait revealed an interaction between OsPHO2 and two h-type
thioredoxins, OsTrxh1 and OsTrxh4. These interactions were confirmed in vivo
using bimolecular fluorescence complementation (BiFC) of OsPHO2 and OsTrxh1/h4 in
rice protoplasts and by in vitro pull-down assays with 6His-tagged OsTrxh1/h4 and
GST-tagged OsPHO2. Y2H assays revealed that amino acid Cys-445 of OsPHO2 and an N
terminal Cys in the "WCGPC" motif of Trxhs were required for the interaction.
Split-ubiquitin Y2H analyses and BiFC assays in rice protoplasts confirmed the
interaction of OsPHO2 with PHOSPHATE TRANSPORTER TRAFFIC FACILITATOR1 (OsPHF1),
and PHOSPHATE1;2 (OsPHO1;2) in the endoplasmic reticulum and Golgi membrane
system, where OsPHO2 mediates the degradation of OsPHF1 in both tobacco
(Nicotiana benthamiana) leaves and rice seedlings. Characterization of rice pho2
complemented lines, transformed with an endogenous genomic OsPHO2 or OsPHO2C445S
(a constitutively reduced form) fragment, indicated that OsPHO2C445S restored Pi
concentration in rice to statistically significant lower levels compared to
native OsPHO2 Moreover, the suppression of OsTrxh1 (knockdown and knockout)
resulted in slightly higher Pi concentration than that of wild-type Nipponbare in
leaves. These results demonstrate that OsPHO2 is under redox control by
thioredoxins, which fine-tune its activity and link Pi homeostasis with redox
balance in rice.
PMID- 27895205
TI - Hybridization in Plants: Old Ideas, New Techniques.
AB - Hybridization has played an important role in the evolution of many lineages.
With the growing availability of genomic tools and advancements in genomic
analyses, it is becoming increasingly clear that gene flow between divergent taxa
can generate new phenotypic diversity, allow for adaptation to novel
environments, and contribute to speciation. Hybridization can have immediate
phenotypic consequences through the expression of hybrid vigor. On longer
evolutionary time scales, hybridization can lead to local adaption through the
introgression of novel alleles and transgressive segregation and, in some cases,
result in the formation of new hybrid species. Studying both the abundance and
the evolutionary consequences of hybridization has deep historical roots in plant
biology. Many of the hypotheses concerning how and why hybridization contributes
to biological diversity currently being investigated were first proposed tens and
even hundreds of years ago. In this Update, we discuss how new advancements in
genomic and genetic tools are revolutionizing our ability to document the
occurrence of and investigate the outcomes of hybridization in plants.
PMID- 27895206
TI - Endosperm and Imprinting, Inextricably Linked.
PMID- 27895209
TI - Holistic Nursing Simulation: A Concept Analysis.
AB - Simulation as a technology and holistic nursing care as a philosophy are two
components within nursing programs that have merged during the process of
knowledge and skill acquisition in the care of the patients as whole beings.
Simulation provides opportunities to apply knowledge and skill through the use of
simulators, standardized patients, and virtual settings. Concerns with simulation
have been raised regarding the integration of the nursing process and recognizing
the totality of the human being. Though simulation is useful as a technology, the
nursing profession places importance on patient care, drawing on knowledge,
theories, and expertise to administer patient care. There is a need to promptly
and comprehensively define the concept of holistic nursing simulation to provide
consistency and a basis for quality application within nursing curricula. This
concept analysis uses Walker and Avant's approach to define holistic nursing
simulation by defining antecedents, consequences, and empirical referents. The
concept of holism and the practice of holistic nursing incorporated into
simulation require an analysis of the concept of holistic nursing simulation by
developing a language and model to provide direction for educators in design and
development of holistic nursing simulation.
PMID- 27895210
TI - "Dr Google" will see you now - time trends in online searches on headache.
PMID- 27895208
TI - Diurnal Solar Energy Conversion and Photoprotection in Rice Canopies.
AB - Genetic improvement of photosynthetic performance of cereal crops and increasing
the efficiency with which solar radiation is converted into biomass has recently
become a major focus for crop physiologists and breeders. The pulse amplitude
modulated chlorophyll fluorescence technique (PAM) allows quantitative leaf level
monitoring of the utilization of energy for photochemical light conversion and
photoprotection in natural environments, potentially over the entire crop
lifecycle. Here, the diurnal relationship between electron transport rate (ETR)
and irradiance was measured in five cultivars of rice (Oryza sativa) in canopy
conditions with PAM fluorescence under natural solar radiation. This relationship
differed substantially from that observed for conventional short term light
response curves measured under controlled actinic light with the same leaves.
This difference was characterized by a reduced curvature factor when curve
fitting was used to model this diurnal response. The engagement of
photoprotective processes in chloroplast electron transport in leaves under
canopy solar radiation was shown to be a major contributor to this difference.
Genotypic variation in the irradiance at which energy flux into photoprotective
dissipation became greater than ETR was observed. Cultivars capable of higher ETR
at midrange light intensities were shown to produce greater leaf area over time,
estimated by noninvasive imaging.
PMID- 27895219
TI - Primer in Genetics and Genomics, Article 1: DNA, Genes, and Chromosomes.
AB - Precision medicine refers to the practice of determining a patient's unique
genetic, biomarker, and other characteristics for the purpose of improving his or
her clinical outcomes. Not all patients with the same clinical diagnosis respond
equally to identical treatment regimens. By examining patients at the molecular
level, health-care providers will be better able to apply the most effective
therapies that each individual requires. To understand precision medicine, nurses
must have a solid understanding of genomics and proteomics. The purpose of this
article is to (1) provide a historical review of what and how we have learned
about the genome, particularly in the past century, (2) explain the processes
whereby genetic information in cellular DNA is transcribed to messenger RNA and
translated to protein, and (3) introduce genetic and epigenetic mechanisms that
regulate gene expression.
PMID- 27895211
TI - Improvement in aerobic capacity during cardiac rehabilitation in coronary artery
disease patients: Is there a role for autonomic adaptations?
AB - Background It has been previously shown in patients with heart failure that
exercise-based rehabilitation programmes may improve functional capacity and
autonomic response. The aim of this study was to investigate this issue further
by evaluating whether an association exists between autonomic adaptations and
improvements of aerobic capacity in a general population of coronary artery
disease patients undergoing cardiac rehabilitation. Methods Ninety consecutive
patients (age 60 +/- 11 years) attended a rehabilitation programme of moderate
continuous training (25 +/- 8 sessions, 2-3 sessions/week). Functional capacity
expressed as oxygen uptake (peak VO2) and autonomic function expressed as
chronotropic response and heart rate recovery were evaluated by cardiopulmonary
exercise tests before and after the rehabilitation programme. According to the
expected mean increase in functional capacity, coronary artery disease patients
were divided into two groups: those who improved peak VO2 by more than 2.6
ml/kg/min (R group) and those who did not (NR group). Effects of the
rehabilitation programme were compared in R and NR groups. Results The number and
intensity of exercise sessions did not differ between R ( N = 39) and NR ( N =
51) groups. However, only R patients improved chronotropic response (R: from 45.1
+/- 16.9% to 72.7 +/- 34.1%, P < 0.01; NR: from 49.3 +/- 18.6% to 48.2 +/- 36.5%,
P = NS) and heart rate recovery (R: from 16.9 +/- 7.0 bpm to 21.0 +/- 8.7 bpm, P
< 0.01; NR: from 15.2 +/- 9.9 bpm to 15.8 +/- 8.5 bpm, P = NS). After training
both chronotropic response and heart rate recovery were significantly higher in R
than NR patients. Conclusions The improvement in aerobic capacity of coronary
artery disease patients following exercise-based cardiac rehabilitation
programmes is associated with positive adaptations of autonomic function.
PMID- 27895218
TI - A New Approach to Identify High Burnout Medical Staffs by Kernel K-Means Cluster
Analysis in a Regional Teaching Hospital in Taiwan.
AB - This study uses kernel k-means cluster analysis to identify medical staffs with
high burnout. The data collected in October to November 2014 are from the
emotional exhaustion dimension of the Chinese version of Safety Attitudes
Questionnaire in a regional teaching hospital in Taiwan. The number of effective
questionnaires including the entire staffs such as physicians, nurses,
technicians, pharmacists, medical administrators, and respiratory therapists is
680. The results show that 8 clusters are generated by kernel k-means method.
Employees in clusters 1, 4, and 5 are relatively in good conditions, whereas
employees in clusters 2, 3, 6, 7, and 8 need to be closely monitored from time to
time because they have relatively higher degree of burnout. When employees with
higher degree of burnout are identified, the hospital management can take actions
to improve the resilience, reduce the potential medical errors, and, eventually,
enhance the patient safety. This study also suggests that the hospital management
needs to keep track of medical staffs' fatigue conditions and provide timely
assistance for burnout recovery through employee assistance programs, mindfulness
based stress reduction programs, positivity currency buildup, and forming
appreciative inquiry groups.
PMID- 27895221
TI - CORRECTION.
PMID- 27895220
TI - Patient perspectives on the barriers associated with medication adherence to oral
chemotherapy.
AB - Purpose Appropriate use of oral chemotherapy is a challenge for patients and
clinicians. The purpose of this study was to analyze cancer patients' use of oral
chemotherapies and identify opportunities to improve adherence. Methods We
developed a 30-question survey to address frequency and reasons for
reducing/skipping doses; sources of information for oral chemotherapy use;
perceived importance of food-drug effects; and ease of understanding labeling
directions. Results Ninety-three patients taking oral chemotherapies with chronic
myeloid leukemia, renal cell carcinoma, breast cancer, and colorectal cancer
completed the survey. This was a well-educated population with 69% (n = 62)
having completed some college; 51% (n = 47) female and 59% (n = 54) older than 50
years of age. Thirty percent of patients reported forgetting to take their oral
chemotherapy at least "sometimes". Younger patients (<50 vs. >=50, p = 0.002),
shorter treatment duration (<6 vs. >=6 months p = 0.03), or with chronic myeloid
leukemia (vs. other diagnoses, p = 0.015) forget to take their oral chemotherapy
at higher rates. Twenty-three percent (n = 21) indicated they intentionally
skipped their oral chemotherapies and 38% (n = 8) of those did not inform their
physicians. Forty-one percent (n = 28) taking drugs with significant food-drug
effects did not think about their last meal before taking their oral chemotherapy
and 80% (n = 55) did not understand the potential interactions. Additionally, 39%
(n = 36/92) never looked at labeling and 15% (n = 14/91) had difficulty
understanding label directions. Conclusion There are three main barriers
associated with appropriate use of oral chemotherapies: misunderstanding about
the timing of drug with food; stopping drug without informing physicians; and
difficulty understanding labeling directions. A multipronged approach is needed
to optimize communication of directions for optimal oral chemotherapy use.
PMID- 27895207
TI - Signaling with Ions: The Keystone for Apical Cell Growth and Morphogenesis in
Pollen Tubes.
PMID- 27895222
TI - CORRECTION.
PMID- 27895223
TI - RETRACTION.
PMID- 27895224
TI - NKD Transcription Factors Are Central Regulators of Maize Endosperm Development.
AB - NAKED ENDOSPERM1 (NKD1) and NKD2 are duplicate INDETERMINATE DOMAIN (IDD)
transcription factors important for maize (Zea mays) endosperm development. RNA
seq analysis of the nkd1 nkd2 mutant endosperm revealed that NKD1 and NKD2
influence 6.4% of the transcriptome in developing aleurone and 6.7% in starchy
endosperm. Processes regulated by NKD1 and NKD2 include gene expression,
epigenetic functions, cell growth and division, hormone pathways, and resource
reserve deposition. The NKD1 and NKD2 proteins bind a consensus DNA sequence of
TTGTCGT with slightly different properties. This motif was enriched in the
promoters of gene transcripts differentially expressed (DE) in mutant endosperm.
DE genes with a NKD binding motif in the 5' promoter region were considered as
likely direct targets of NKD1 and NKD2 regulation, and these putative direct
target genes were notably enriched for storage proteins. Transcription assays
demonstrate that NKD1 and NKD2 can directly regulate gene transcription,
including activation of opaque2 and viviparous1 promoters. NKD2 functions as a
negative regulator of nkd1 transcription, consistent with previously reported
feedback regulation. NKD1 and NKD2 can homo- and heterodimerize through their ID
domains. These analyses implicate NKD1 and NKD2 as central regulators of gene
expression in developing maize endosperm.
PMID- 27895225
TI - Loss of Inositol Phosphorylceramide Sphingolipid Mannosylation Induces Plant
Immune Responses and Reduces Cellulose Content in Arabidopsis.
AB - Glycosylinositol phosphorylceramides (GIPCs) are a class of glycosylated
sphingolipids found in plants, fungi, and protozoa. These lipids are abundant in
the plant plasma membrane, forming ~25% of total plasma membrane lipids. Little
is known about the function of the glycosylated headgroup, but two recent studies
have indicated that they play a key role in plant signaling and defense. Here, we
show that a member of glycosyltransferase family 64, previously named ECTOPICALLY
PARTING CELLS1, is likely a Golgi-localized GIPC-specific mannosyl-transferase,
which we renamed GIPC MANNOSYL-TRANSFERASE1 (GMT1). Sphingolipid analysis
revealed that the Arabidopsis thaliana gmt1 mutant almost completely lacks
mannose-carrying GIPCs. Heterologous expression of GMT1 in Saccharomyces
cerevisiae and tobacco (Nicotiana tabacum) cv Bright Yellow 2 resulted in the
production of non-native mannosylated GIPCs. gmt1 displays a severe dwarfed
phenotype and a constitutive hypersensitive response characterized by elevated
salicylic acid and hydrogen peroxide levels, similar to that we previously
reported for the Golgi-localized, GIPC-specific, GDP-Man transporter GONST1
(Mortimer et al., 2013). Unexpectedly, we show that gmt1 cell walls have a
reduction in cellulose content, although other matrix polysaccharides are
unchanged.
PMID- 27895226
TI - The Plastoglobule-Localized Metallopeptidase PGM48 Is a Positive Regulator of
Senescence in Arabidopsis thaliana.
AB - Plastoglobuli (PG) are thylakoid-associated monolayer lipid particles with a
specific proteome of ~30 PG core proteins and isoprenoid and neutral lipids.
During senescence, PGs increase in size, reflecting their role in dismantling
thylakoid membranes. Here, we show that the only PG-localized peptidase PGM48
positively regulates leaf senescence. We discovered that PGM48 is a member of the
M48 peptidase family with PGM48 homologs, forming a clade (M48D) only found in
photosynthetic organisms. Unlike the M48A, B, and C clades, members of M48D have
no transmembrane domains, consistent with their unique subcellular location in
the PG. In vitro assays showed Zn-dependent proteolytic activity and substrate
cleavage upstream of hydrophobic residues. Overexpression of PGM48 accelerated
natural leaf senescence, whereas suppression delayed senescence. Quantitative
proteomics of PG from senescing rosettes of PGM48 overexpression lines showed a
dramatically reduced level of CAROTENOID CLEAVAGE ENZYME4 (CCD4) and
significantly increased levels of the senescence-induced ABC1 KINASE7 (ABC1K7)
and PHYTYL ESTER SYNTHASE1 (PES1). Yeast two-hybrid experiments identified PG
core proteins ABC1K3, PES1, and CCD4 as PGM48 interactors, whereas several other
PG-localized proteins and chlorophyll degradation enzymes did not interact. We
discuss mechanisms through which PGM48 could possibly accelerate the senescence
process.
PMID- 27895228
TI - Differences in Durability, Dislodgement, and Other Complications With Use of Low
Profile Nonballoon Gastrostomy Tubes in Children.
AB - BACKGROUND: Nonballoon low-profile gastrostomy tubes (GTs) are used for enteral
nutrition support in a subset of pediatric patients with feeding difficulties
when use of balloon GTs is problematic. Different nonballoon low-profile tube
types are available, but comparative studies are lacking. MATERIALS AND METHODS:
This was a retrospective cohort study comparing complications and outcomes
between different low-profile nonballoon GTs at a pediatric tertiary care center
over 10 years. RESULTS: We identified 43 patients with 160 tube placement
procedures, including 93 (58%) BARD tubes (type A) and 67 (42%) Mini-ONE tubes
(type B). Accidental tube dislodgment occurred exclusively with type B (33% vs
0%, P < .0001) with dislodgment occurring at a median of 54 days after placement.
Type A GTs were more likely to be changed due to leakage (47% vs 8%, P < .0001).
Minor gastrostomy site bleeding was more likely to be seen with type A tube
changes (46% vs 7%, P < .0001). Patient sedation or site dilation was rarely
needed in either group. Time to tube change was longer in the type B GTs (BARD) (
P = .016) with a median tube survival in the type A and type B groups at 432 and
284 days, respectively, with a hazard ratio of 1.89 (95% confidence interval, 1.2
2.99), but once confounders were accounted for, the effect of tube type was no
longer statistically significant. CONCLUSION: Our study shows that differences
exist with use of various low-profile nonballoon GTs. This should be taken into
consideration when counseling families about the most appropriate tube type for
their children.
PMID- 27895227
TI - Phosphorylation of ARF2 Relieves Its Repression of Transcription of the K+
Transporter Gene HAK5 in Response to Low Potassium Stress.
AB - Potassium (K+) plays crucial roles in plant growth and development. In natural
environments, K+ availability in soils is relatively low and fluctuating.
Transcriptional regulation of K+ transporter genes is one of the most important
mechanisms in the plant's response to K+ deficiency. In this study, we
demonstrated that the transcription factor ARF2 (Auxin Response Factor 2)
modulates the expression of the K+ transporter gene HAK5 (High Affinity K+
transporter 5) in Arabidopsis thaliana The arf2 mutant plants showed a tolerant
phenotype similar to the HAK5-overexpressing lines on low-K+ medium, whose
primary root lengths were longer than those of wild-type plants. High-affinity K+
uptake was significantly increased in these plants. ARF2-overexpressing lines and
the hak5 mutant were both sensitive to low-K+ stress. Disruption of HAK5 in the
arf2 mutant abolished the low-K+-tolerant phenotype of arf2 As a transcriptional
repressor, ARF2 directly bound to the HAK5 promoter and repressed HAK5 expression
under K+ sufficient conditions. ARF2 can be phosphorylated after low-K+
treatment, which abolished its DNA binding activity to the HAK5 promoter and
relieved the inhibition on HAK5 transcription. Therefore, HAK5 transcript could
be induced, and HAK5-mediated high-affinity K+ uptake was enhanced under K+
deficient conditions. The presented results demonstrate that ARF2 plays important
roles in the response to external K+ supply in Arabidopsis and regulates HAK5
transcription accordingly.
PMID- 27895231
TI - A year of guidelines: What have we learnt?
PMID- 27895229
TI - Influence of PECAM-1 ligand interactions on PECAM-1-dependent cell motility and
filopodia extension.
AB - Platelet endothelial cell adhesion molecule (PECAM-1) has been implicated in
angiogenesis through processes that involve stimulation of endothelial cell
motility. Previous studies suggest that PECAM-1 tyrosine phosphorylation mediates
the recruitment and then activation of the tyrosine phosphatase SHP-2, which in
turn promotes the turnover of focal adhesions and the extension of filopodia,
processes critical to cell motility. While these studies have implicated PECAM-1
dependent signaling in PECAM-1-mediated cell motility, the involvement of PECAM-1
ligand binding in cell migration is undefined. Therefore to investigate the role
of PECAM-1 binding interactions in cell motility, mutants of PECAM-1 were
generated in which either homophilic or heparin/glycosaminoglycan (GAG)-mediated
heterophilic binding had been disabled and then expressed in an endothelial cell
surrogate. We found that the ability of PECAM-1 to stimulate cell migration,
promote filopodia formation and trigger Cdc42 activation were lost if PECAM-1
dependent homophilic or heparin/GAG-dependent heterophilic ligand binding was
disabled. We further observed that PECAM-1 concentrated at the tips of extended
filopodia, an activity that was diminished if homophilic, but not heparin/GAG
mediated heterophilic binding had been disrupted. Similar patterns of activities
were seen in mouse endothelial cells treated with antibodies that specifically
block PECAM-1-dependent homophilic or heterophilic adhesion. Together these data
provide evidence for the differential involvement of PECAM-1-ligand interactions
in PECAM-1-dependent motility and the extension of filopodia.
PMID- 27895232
TI - An erudite encounter with: Guy Goodwin.
PMID- 27895230
TI - An arginase-1 SNP that protects against the development of pulmonary hypertension
in bronchopulmonary dysplasia enhances NO-mediated apoptosis in lymphocytes.
AB - Arginase and nitric oxide synthase (NOS) share a common substrate, l-arginine,
and have opposing effects on vascular remodeling. Arginase is the first step in
polyamine and proline synthesis necessary for cellular proliferation, while NO
produced from NOS promotes apoptosis. Previously, we identified a single
nucleotide polymorphism (SNP) in the arginase-1 (ARG1) gene, rs2781666 (T-allele)
that was associated with a decreased risk for developing pulmonary hypertension
(PH) in a cohort of infants with bronchopulmonary dysplasia (BPD). In this study,
we utilized lymphocytes from neonates (the only readily available cells from
these patients expressing the two genotypes of interest) with either the
rs2781666 SNP (TT) or wild type (GG) to test the hypothesis that the protection
of the ARG1 SNP against the development of PH in BPD would involve augmented NO
production leading to more apoptosis. Lymphocytes were stimulated with IL-4, IL
13, and phorbol myristate acetate (PMA). We found that TT lymphocytes had similar
levels of arginase I and arginase II expression, but there was a tendency for
lower urea production (a surrogate marker of arginase activity), than in the GG
lymphocytes. The TT lymphocytes also had significantly greater NO production than
did GG lymphocytes despite no differences in iNOS expression between genotypes.
Furthermore, the TT lymphocytes had lower numbers of viable cells, and higher
levels of cleaved caspase-3 than did GG lymphocytes. Inhibiting NOS activity
using Nomega-Nitro-l-arginine methyl ester hydrochloride (l-NAME) significantly
decreased cleaved caspase-3 levels in the TT lymphocytes. These data demonstrate
that the TT genotype results in greater levels of NO production leading to more
apoptosis, which is consistent with the concept that BPD patients with the TT
genotype are protected against the development of PH by producing greater basal
levels of endogenous NO.
PMID- 27895233
TI - Movies in Mind: Tamasha Will the 'authentic self' please stand up?
PMID- 27895234
TI - Ultraviolet Raman Wide-Field Hyperspectral Imaging Spectrometer for Standoff
Trace Explosive Detection.
AB - We constructed the first deep ultraviolet (UV) Raman standoff wide-field imaging
spectrometer. Our novel deep UV imaging spectrometer utilizes a photonic crystal
to select Raman spectral regions for detection. The photonic crystal is composed
of highly charged, monodisperse 35.5 +/- 2.9 nm silica nanoparticles that self
assemble in solution to produce a face centered cubic crystalline colloidal array
that Bragg diffracts a narrow ~1.0 nm full width at half-maximum (FWHM) UV
spectral region. We utilize this photonic crystal to select and image two
different spectral regions containing resonance Raman bands of pentaerythritol
tetranitrate (PETN) and NH4NO3 (AN). These two deep UV Raman spectral regions
diffracted were selected by angle tuning the photonic crystal. We utilized this
imaging spectrometer to measure 229 nm excited UV Raman images containing ~10
1000 ug/cm2 samples of solid PETN and AN on aluminum surfaces at 2.3 m standoff
distances. We estimate detection limits of ~1 ug/cm2 for PETN and AN films under
these experimental conditions.
PMID- 27895235
TI - Benzodiazepine Initiation and Dose Escalation.
AB - BACKGROUND: Benzodiazepines (BZDs) place patients at a significant risk of
falling. The current literature does not address if this risk is increased during
initiation or dose escalations of BZDs. OBJECTIVE: To determine if initiation or
dose escalations of BZD regimens are associated with an increased risk of falls
in hospitalized patients compared with patients maintained on their home dose or
who had their dose decreased from baseline. METHODS: This retrospective case
control study evaluated hospitalized patients aged 45 years or older who received
a BZD. Patients who did not fall were collected in a 3:1 ratio to patients who
fell. Comparisons were made between BZD regimens prior to admission and those 48
hours prior to the index date. The date of fall served as the index date for
patients who fell, and the median time-to-fall served as the index date for all
other patients. RESULTS: A total of 132 patients were included in the study (33
falls and 99 without a fall). No significant differences were noted in
demographics, baseline mobility, or past medical history. Patients who fell had a
significantly longer median length of stay (15 vs 10 days; P = 0.025).
Additionally, patients who fell were more likely to have had their BZD regimen
initiated or dose escalated compared with patients who did not fall (63.6% vs
41.4%; P = 0.043). CONCLUSIONS: The risk of falling while on a BZD is increased
on initiation and dose escalations. Hospitals should ensure judicious use of BZDs
in inpatients to reduce the risk of falls.
PMID- 27895236
TI - Single-Tablet Regimens for the Treatment of HIV-1 Infection.
AB - OBJECTIVE: To review the pharmacokinetics, safety, drug-drug interactions, and
advantages and disadvantages of currently available single-tablet regimens (STRs)
for HIV-1 infection. DATA SOURCES: A search using PubMed was conducted (up to
September 2016) using the following keywords: single tablet regimen AND HIV.
Additionally, a PubMed search was conducted for each individual STR using the
generic names of the agents. Articles were evaluated for content, and additional
references were identified from a review of literature citations. STUDY SELECTION
AND DATA EXTRACTION: Studies included were predominantly phase III clinical
trials with the exception of tenofovir alafenamide because phase I and phase II
trials were also relevant for this new antiretroviral agent. DATA SYNTHESIS: Six
STRs are currently available for the treatment of HIV-1. Each agent has unique
pharmacokinetic, safety, and drug-drug interaction profiles that result in
distinct advantages and disadvantages. Three of these agents are first-line
recommended therapies per national guidelines because of high virological
efficacy and tolerability. CONCLUSIONS: STRs have significantly advanced HIV
management by minimizing pill burden and improving patient compliance. It is
important to consider the nuances of each STR in regard to renal and hepatic
function, drug interactions, and tolerability, to ensure safe and effective use.
PMID- 27895237
TI - Emotional Labor: Links to Depression and Work-Related Musculoskeletal Disorders
in Call Center Workers.
AB - Little evidence links emotional labor to either psychological or physical health.
This study determined whether the two types of emotional labor (i.e., surface vs.
deep acting) were significantly associated with depressive symptoms and work
related musculoskeletal disorders in call center workers. A cross-sectional study
was conducted with 274 workers recruited from a call center in Seoul, South
Korea. In adjusted regression models, levels of surface, but not deep, acting
were significantly and positively associated with depressive symptoms. Higher
surface acting levels were significantly and positively associated with low back
pain; higher deep acting levels were significantly and inversely associated with
low back pain. Study findings could inform occupational health nurses as they
delineate differentiated strategies, according to the nature of surface and deep
acting, to promote psychological and physical health in call center workers.
PMID- 27895238
TI - Combined Modality Intervention for ADHD With Comorbid Reading Disorders: A Proof
of Concept Study.
AB - To evaluate the relative efficacy of two reading programs with and without
adjunctive stimulant medication for children with attention-deficit/hyperactivity
disorder and comorbid reading disorder (ADHD+RD). Sixty-five children (7-11 years
in age) were assigned randomly to one of three intensive remedial academic
programs (phonologically or strategy-based reading instruction, or general
academic strategy and social skills training) in combination with either
immediate-release methylphenidate or placebo. Multiple-blind procedures were used
for medication/placebo, given twice daily. Children received 35 hours of
instruction in 10 weeks, taught by a trained teacher in a separate school
classroom, in small matched groups of 2 to 3. Children's behavior and reading
abilities were assessed before and after intervention. Stimulant medication
produced expected beneficial effects on hyperactive/impulsive behavioral symptoms
(reported by classroom teachers) but none on reading. Children receiving a
reading program showed greater gains than controls on multiple standardized
measures of reading and related skills (regardless of medication status). Small
sample sizes precluded interpretation of possible potentiating effects of
stimulant medication on reading skills taught in particular reading programs.
Intensive reading instruction, regardless of treatment with stimulant medication,
may be efficacious in improving reading problems in children with ADHD+RD and
warrants further investigation in a large-scale study.
PMID- 27895239
TI - Making Space for Spatial Proportions.
AB - The three target articles presented in this special issue converged on an
emerging theme: the importance of spatial proportional reasoning. They suggest
that the ability to map between symbolic fractions (like 1/5) and nonsymbolic,
spatial representations of their sizes or magnitudes may be especially important
for building robust fractions knowledge. In this commentary, we first reflect
upon where these findings stand in a larger theoretical context, largely borrowed
from mathematics education research. Next, we emphasize parallels between this
work and emerging work suggesting that nonsymbolic proportional reasoning may
provide an intuitive foundation for understanding fraction magnitudes. Finally,
we end by exploring some open questions that suggest specific future directions
in this burgeoning area.
PMID- 27895240
TI - Soaring Prescription Drug Prices.
PMID- 27895241
TI - Secular pattern of aneurismal rupture with the lunar cycle and season.
AB - Background The lunar cycle and seasons may be associated with rates of rupture of
intracranial aneurysms, but the literature is mixed. Studies of the association
between the lunar cycle and rates of aneurysm rupture used the eight qualitative
moon phases. The purpose of this study was to assess any association of aneurysm
rupture with the lunar cycle and with the season. Materials and methods We
retrospectively reviewed all cases of subarachnoid haemorrhage secondary to
ruptured intracranial aneurysm treated with endovascular coiling in our
institution over a 10-year period. We included only cases with a known rupture
date. We used the degree of illumination of the moon to quantitatively code the
lunar cycle. Results A total of 212 cases were included in our analyses. The odds
of aneurysm rupture were significantly greater ( p < 0.001) when the moon was
least (new moon) and most (full moon) illuminated, as compared to the middle of
the lunar cycle. The odds of rupture tended to be higher ( p = 0.059) in the
summer, compared to autumn. Conclusions The odds of aneurysm rupture were greater
when the moon was least illuminated (new moon) and most illuminated (full moon),
compared to the middle of the lunar cycle.
PMID- 27895243
TI - Contrast-less stent placement for vertebral artery origin stenosis.
AB - We performed stent placement under intravascular ultrasound (IVUS), without the
use of contrast medium, in a male patient in his 70s who had vertebral artery
origin stenosis and decreased renal function. Satisfactory dilatation was
achieved without complications, and the patient remained asymptomatic at 2 years
of follow-up. We now report the details of this procedure. Stent placement under
IVUS guidance may be useful in patients in whom contrast medium is
contraindicated.
PMID- 27895242
TI - Availability of endovascular therapies for cerebrovascular disease at primary
stroke centers.
AB - Background Endovascular therapies (EVTs) are useful for treating cerebrovascular
disease. There are few data about the availability of such services at primary
stroke centers (PSCs). Our hypothesis was that some of these services may be
available at some PSCs. Methods We conducted an internet-based survey of
hospitals certified as PSCs by the Joint Commission. The survey inquired about
EVTs such as intra-arterial (IA) lytics, IA mechanical clot removal, coiling of
aneurysms, and cervical arterial stenting, physician training, coverage models,
hospital type, and outcomes. Chi-square analyses were used to detect differences
between academic and community PSCs. Results Data were available from 352 PSCs,
of which 75% were community hospitals, 23% academic medical centers, and 80% were
non-profit; almost half (48%) see 300 or more patients annually with ischemic
stroke. A majority (60%) provided some or all EVTs on site, while 29% had none on
site and no plans to add them. Among the respondents offering EVTs, 95% offered
stenting of neck vessels, 86% IA lytics, 80% IA mechanical, and 74% aneurysm
coiling. The majority (>55%) that did offer such services provided them 24/7/365.
Most endovascular coverage was provided by interventional neuroradiologists
(60%), fellowship trained endovascular neurosurgeons (42%), and interventional
radiologists (41%). The majority of hospitals (81%) did not participate in an
audited national registry. Conclusions A variety of EVT services are offered at
many PSCs by interventionalists with diverse types of training. The availability
of such services is clinically relevant now with the proven efficacy of
mechanical thrombectomy for ischemic stroke.
PMID- 27895245
TI - Acute hippocampal and chronic diffuse white matter involvement in severe methanol
intoxication.
PMID- 27895246
TI - A case of congenital anosmia.
PMID- 27895247
TI - A dual concurrent mechanism explains trigeminal neuralgia in patients with
multiple sclerosis.
PMID- 27895248
TI - Neurologic signs and symptoms frequently manifest in acute HIV infection.
PMID- 27895249
TI - Mystery Case: CSF-1R mutation is a cause of intracranial cerebral calcifications,
cysts, and leukoencephalopathy.
PMID- 27895250
TI - Clinical Reasoning: A 70-year-old woman with acute-onset weakness and progressive
hemiataxia.
PMID- 27895252
TI - Teaching NeuroImages: Idiopathic hypertrophic pachymeningitis.
PMID- 27895251
TI - Teaching NeuroImages: Orbital infarction syndrome from giant cell arteritis.
PMID- 27895253
TI - Diagnosis of attention-deficit/hyperactivity disorder.
PMID- 27895254
TI - Can Eosinophil Count, Platelet Count, and Mean Platelet Volume Be a Positive
Predictive Factor in Penile Arteriogenic Erectile Dysfunction Etiopathogenesis?
AB - Blood count parameters of patients referring with erectile dysfunction (ED) were
examined in this study and it was investigated whether eosinophil count (EC),
platelet count (PC), and mean platelet volume values among the suspected
predictive parameters which may play a role in especially penile arteriogenic ED
etiopathogenesis had a contribution on pathogenesis. Patients referring with ED
complaint were evaluated. Depending on the medical story, ED degree was
determined by measuring International Index of Erectile Function. Penile Doppler
ultrasonography was taken in patients suspected to have vasculogenic ED.
According to penile Doppler ultrasonography result, patients with arterial
deficiency were included in the penile arteriogenic ED group and the patients
with normal results were included in the nonvasculogenic ED group. A total of 36
patients participated in the study from the penile arteriogenic ED group and 32
patients from the nonvasculogenic ED group. Compared with the nonvasculogenic ED
group, the penile arteriogenic ED group's low International Index of Erectile
Function score, high EC, mean platelet volume and PC values were detected to be
statistically significant ( p < .001, p = .021, p = .018, p = .034,
respectively). No statistically significant difference was observed among the two
groups when age, white blood cells, red blood cells, and hemoglobin values were
considered. Pansystolic volume velocities were detected as statistically
significantly low compared with the nonvasculogenic ED group in the measurements
made in 5th, 10th, 15th, and 20th minutes on the right and left sides in the
penile arteriogenic ED group. High MPV value and PC is a significant predictive
factor for penile arteriogenic ED and vasculogenic ED and high EC is specifically
predictive of arteriogenic ED.
PMID- 27895256
TI - A Sr2+-metal-organic framework with high chemical stability: synthesis, crystal
structure and photoluminescence property.
AB - Metal-organic frameworks (MOFs) are typically built by assembly of metal centres
and organic linkers, and have emerged as promising crystalline materials in a
variety of fields. However, the stability of MOFs is a key limitation for their
practical applications. Herein, we report a novel Sr 2+: -MOF
[Sr4(Tdada)2(H2O)3(DMF)2] (denoted as NKU- 105: , NKU = Nankai University;
H4Tdada = 5,5'-((thiophene-2,5-dicar bonyl)bis(azanediyl))diisophthalic acid; DMF
= N,N-dimethylformamide) featuring an open square channel of about 6 A along the
c-axis. Notably, NKU- 105: exhibits much outstanding chemical stability against
common organic solvents, boiling water, acids and bases, relative to most MOF
materials. Furthermore, NKU- 105: is an environment-friendly luminescent material
with a bright cyan emission.This article is part of the themed issue
'Coordination polymers and metal-organic frameworks: materials by design'.
PMID- 27895255
TI - Flue-gas and direct-air capture of CO2 by porous metal-organic materials.
AB - Sequestration of CO2, either from gas mixtures or directly from air (direct air
capture), is a technological goal important to large-scale industrial processes
such as gas purification and the mitigation of carbon emissions. Previously, we
investigated five porous materials, three porous metal-organic materials (MOMs),
a benchmark inorganic material, ZEOLITE 13X: and a chemisorbent, TEPA-SBA-15: ,
for their ability to adsorb CO2 directly from air and from simulated flue-gas. In
this contribution, a further 10 physisorbent materials that exhibit strong
interactions with CO2 have been evaluated by temperature-programmed desorption
for their potential utility in carbon capture applications: four hybrid
ultramicroporous materials, SIFSIX-3-CU: , DICRO-3-NI-I: , SIFSIX-2-CU-I: and
MOOFOUR-1-NI: ; five microporous MOMs, DMOF-1: , ZIF-8: , MIL-101: , UIO-66: and
UIO-66-NH2: ; an ultramicroporous MOM, NI-4-PYC: The performance of these MOMs
was found to be negatively impacted by moisture. Overall, we demonstrate that the
incorporation of strong electrostatics from inorganic moieties combined with
ultramicropores offers improved CO2 capture performance from even moist gas
mixtures but not enough to compete with chemisorbents.This article is part of the
themed issue 'Coordination polymers and metal-organic frameworks: materials by
design'.
PMID- 27895257
TI - The modulator driven polymorphism of Zr(IV) based metal-organic frameworks.
AB - The reaction of ZrCl4 and 2,5-thiophenedicarboxylic acid (H2tdc) in the presence
of trifluoroacetic acid (Htfa) as modulator results in the formation of the new
metal-organic framework (MOF) named DUT-126 (DUT = Dresden University of
Technology). The nature and concentration of modulators are found to be decisive
synthetic parameters affecting the topology of the formed product. DUT-126 ( HBR:
) extends the series of polymorphs differing in topology, namely DUT-67 ( REO: ),
DUT-68 ( BON: ) and DUT-69 ( BCT: ) to four, where DUT-67 and DUT-68 show the
same eight-connected secondary building units as in DUT-126. In DUT-126, linker
molecules have a peculiar orientation, resulting in HBR: topology, which is
described for the first time in this work for MOFs. DUT-126 contains three pore
types, including two micropores surrounding mesoporous channels. DUT-126 is
stable against hydrolysis and features permanent porosity with a specific surface
area of 1297 m2 g-1 and a total pore volume of 0.48 cm3 g-1, calculated from the
nitrogen physisorption isotherm measured at 77 K.This article is part of the
themed issue 'Coordination polymers and metal-organic frameworks: materials by
design'.
PMID- 27895258
TI - X-ray crystallographic insights into post-synthetic metalation products in a
metal-organic framework.
AB - Post-synthetic modification of metal-organic frameworks (MOFs) facilitates a
strategic transformation of potentially inert frameworks into functionalized
materials, tailoring them for specific applications. In particular, the post
synthetic incorporation of transition-metal complexes within MOFs, a process
known as 'metalation', is a particularly promising avenue towards functionalizing
MOFs. Herein, we describe the post-synthetic metalation of a microporous MOF with
various transition-metal nitrates. The parent framework, 1: , contains free
nitrogen donor chelation sites, which readily coordinate metal complexes in a
single-crystal to single-crystal transformation which, remarkably, can be readily
monitored by X-ray crystallography. The presence of an open void surrounding the
chelation site in 1: prompted us to investigate the effect of the MOF pore
environment on included metal complexes, particularly examining whether void
space would induce changes in the coordination sphere of chelated complexes
reminiscent of those found in the solution state. To test this hypothesis, we
systematically metalated 1: with first-row transition-metal nitrates and
elucidated the coordination environment of the respective transition-metal
complexes using X-ray crystallography. Comparison of the coordination sphere
parameters of coordinated transition-metal complexes in 1: against equivalent
solid- and solution-state species suggests that the void space in 1: does not
markedly influence the coordination sphere of chelated species but we show
notably different post-synthetic metalation outcomes when different solvents are
used.This article is part of the themed issue 'Coordination polymers and metal
organic frameworks: materials by design'.
PMID- 27895259
TI - Arene guest selectivity and pore flexibility in a metal-organic framework with
semi-fluorinated channel walls.
AB - A metal-organic framework (MOF) with one-dimensional channels of approximately
hexagonal cross-section [Ag2(O2CCF2CF2CO2)(TMP)] 1: (TMP =2,3,5,6
tetramethylpyrazine) has been synthesized with MeOH filling the channels in its
as-synthesized form as [Ag2(O2CCF2CF2CO2)(TMP)].n(MeOH) 1-MEOH: (n = 1.625 by X
ray crystallography). The two types of ligand connect columns of Ag(I) centres in
an alternating manner, both around the channels and along their length, leading
to an alternating arrangement of hydrocarbon (C-H) and fluorocarbon (C-F) groups
lining the channel walls, with the former groups projecting further into the
channel than the latter. MeOH solvent in the channels can be exchanged for a
variety of arene guests, ranging from xylenes to tetrafluorobenzene, as confirmed
by gas chromatography, 1H nuclear magnetic resonance (NMR) spectroscopy,
thermogravimetric analysis and 13C cross-polarization magic angle spinning NMR
spectroscopy. Alkane and perfluoroalkane guests, however, do not enter the
channels. Although exhibiting some stability under a nitrogen atmosphere,
sufficient to enable crystal structure determination, the evacuated MOF 1: is
unstable for periods of more than minutes under ambient conditions or upon
heating, whereupon it undergoes an irreversible solid-state transformation to a
non-porous polymorph 2: , which comprises Ag2(O2CCF2CF2CO2) coordination layers
that are pillared by TMP ligands. This transformation has been followed in situ
by powder X-ray diffraction and shown to proceed via a crystalline
intermediate.This article is part of the themed issue 'Coordination polymers and
metal-organic frameworks: materials by design'.
PMID- 27895260
TI - Coordination polymers and metal-organic frameworks: materials by design.
PMID- 27895261
TI - Photochemistry of framework-supported M(diimine)(CO)3X complexes in three
dimensional lithium carboxylate metal-organic frameworks: monitoring the effect
of framework cations.
AB - The structures and photochemical behaviour of two new metal-organic frameworks
(MOFs) are reported. Reaction of Re(2,2'-bipy-5,5'-dicarboxylic acid)(CO)3Cl or
Mn(2,2'-bipy-5,5'-dicarboxylic acid)(CO)3Br with LiCl or LiBr, respectively,
produces single crystals of {Li2(DMF)2 [(2,2'-bipy-5,5'
dicarboxylate)Re(CO)3Cl]}n ( RELI: ) or {Li2(DMF)2[(2,2'-bipy-5,5'
dicarboxylate)Mn(CO)3Br]}n ( MNLI: ). The structures formed by the two MOFs
comprise one-dimensional chains of carboxylate-bridged Li(I) cations that are
cross-linked by units of Re(2,2'-bipy-5,5'-dicarboxylate)(CO)3Cl ( RELI: ) or
Mn(2,2'-bipy-5,5'- dicarboxylate)(CO)3Br ( MNLI: ). The photophysical and
photochemical behaviour of both RELI: and MNLI: are probed. The rhenium
containing MOF, RELI: , exhibits luminescence and the excited state behaviour, as
established by time-resolved infrared measurements, is closer in behaviour to
that of unsubstituted [Re(bipy)(CO)3Cl] rather than a related MOF where the Li(I)
cations are replaced by Mn(II) cations. These observations are further supported
by density functional theory calculations. Upon excitation MNLI: forms a
dicarbonyl species which rapidly recombines with the dissociated CO, in a fashion
consistent with the majority of the photoejected CO not escaping the MOF
channels.This article is part of the themed issue 'Coordination polymers and
metal-organic frameworks: materials by design'.
PMID- 27895262
TI - Gas adsorption and structural diversity in a family of Cu(II) pyridyl
isophthalate metal-organic framework materials.
AB - A family of Cu(II)-based metal-organic frameworks (MOFs) has been synthesized
using three pyridyl-isophthalate ligands, H2L1 (4'-(pyridin-4-yl)biphenyl-3,5
dicarboxylic acid), H2L2 (4''-(pyridin-4-yl)-1,1':4',1''-terphenyl-3,5
dicarboxylic acid) and H2L3 (5-[4-(pyridin-4-yl)naphthalen-1-yl]benzene-1,3
dicarboxylic acid). Although in each case the pyridyl-isophthalate ligands adopt
the same pseudo-octahedral [Cu2(O2CR)4N2] paddlewheel coordination modes, the
resulting frameworks are structurally diverse, particularly in the case of the
complex of Cu(II) with H2L3, which leads to three distinct supramolecular
isomers, each derived from Kagome and square nets. In contrast to [Cu(L2)] and
the isomers of [Cu(L3)], [Cu(L1)] exhibits permanent porosity. Thus, the gas
adsorption properties of [Cu(L1)] were investigated with N2, CO2 and H2, and the
material exhibits an isosteric heat of adsorption competitive with leading MOF
sorbents for CO2 [Cu(L1)] displays high H2 adsorption, with the density in the
pores approaching that of liquid H2This article is part of the themed issue
'Coordination polymers and metal-organic frameworks: materials by design'.
PMID- 27895263
TI - Editorial.
PMID- 27895265
TI - Gut Homeostasis, Microbial Dysbiosis, and Opioids.
AB - Gut homeostasis plays an important role in maintaining animal and human health.
The disruption of gut homeostasis has been shown to be associated with multiple
diseases. The mutually beneficial relationship between the gut microbiota and the
host has been demonstrated to maintain homeostasis of the mucosal immunity and
preserve the integrity of the gut epithelial barrier. Currently, rapid progress
in the understanding of the host-microbial interaction has redefined
toxicological pathology of opioids and their pharmacokinetics. However, it is
unclear how opioids modulate the gut microbiome and metabolome. Our study,
showing opioid modulation of gut homeostasis in mice, suggests that medical
interventions to ameliorate the consequences of drug use/abuse will provide
potential therapeutic and diagnostic strategies for opioid-modulated intestinal
infections. The study of morphine's modulation of the gut microbiome and
metabolome will shed light on the toxicological pathology of opioids and its role
in the susceptibility to infectious diseases.
PMID- 27895266
TI - A simple method to estimate the time-dependent receiver operating characteristic
curve and the area under the curve with right censored data.
AB - The time-dependent receiver operating characteristic curve is often used to study
the diagnostic accuracy of a single continuous biomarker, measured at baseline,
on the onset of a disease condition when the disease onset may occur at different
times during the follow-up and hence may be right censored. Due to right
censoring, the true disease onset status prior to the pre-specified time horizon
may be unknown for some patients, which causes difficulty in calculating the time
dependent sensitivity and specificity. We propose to estimate the time-dependent
sensitivity and specificity by weighting the censored data by the conditional
probability of disease onset prior to the time horizon given the biomarker, the
observed time to event, and the censoring indicator, with the weights calculated
nonparametrically through a kernel regression on time to event. With this
nonparametric weighting adjustment, we derive a novel, closed-form formula to
calculate the area under the time-dependent receiver operating characteristic
curve. We demonstrate through numerical study and theoretical arguments that the
proposed method is insensitive to misspecification of the kernel bandwidth,
produces unbiased and efficient estimators of time-dependent sensitivity and
specificity, the area under the curve, and other estimands from the receiver
operating characteristic curve, and outperforms several other published methods
currently implemented in R packages.
PMID- 27895267
TI - Prototype Positive Control Wells for Malaria Rapid Diagnostic Tests: Prospective
Evaluation of Implementation Among Health Workers in Lao People's Democratic
Republic and Uganda.
AB - Rapid diagnostic tests (RDTs) are widely used for malaria diagnosis, but lack of
quality control at point of care restricts trust in test results. Prototype
positive control wells (PCW) containing recombinant malaria antigens have been
developed to identify poor-quality RDT lots. This study assessed community and
facility health workers' (HW) ability to use PCWs to detect degraded RDTs, the
impact of PCW availability on RDT use and prescribing, and preferred strategies
for implementation in Lao People's Democratic Republic (Laos) and Uganda. A total
of 557 HWs participated in Laos (267) and Uganda (290). After training, most (88%
to >= 99%) participants correctly performed the six key individual PCW steps;
performance was generally maintained during the 6-month study period. Nearly all
(97%) reported a correct action based on PCW use at routine work sites. In
Uganda, where data for 127,775 individual patients were available, PCW
introduction in health facilities was followed by a decrease in antimalarial
prescribing for RDT-negative patients >= 5 years of age (4.7-1.9%); among
community-based HWs, the decrease was 12.2% (P < 0.05) for all patients.
Qualitative data revealed PCWs as a way to confirm RDT quality and restore
confidence in RDT results. HWs in malaria-endemic areas are able to use prototype
PCWs for quality control of malaria RDTs. PCW availability can improve HWs'
confidence in RDT results, and benefit malaria diagnostic programs. Lessons
learned from this study may be valuable for introduction of other point-of-care
diagnostic and quality-control tools. Future work should evaluate longer term
impacts of PCWs on patient management.
PMID- 27895268
TI - Credit with Health Education in Benin: A Cluster Randomized Trial Examining
Impacts on Knowledge and Behavior.
AB - We evaluate whether health education integrated into microcredit lending groups
reduces health risks by improving health knowledge and self-reported behaviors
among urban and rural borrowers in eastern Benin. In 2007, we randomly assigned
138 villages in the Plateau region of Benin to one of four variations of a group
liability credit product, varying lending groups' gender composition and/or
inclusion of health education using a 2 * 2 design. Women in villages receiving
health education, regardless of gender composition of the groups, showed improved
knowledge of malaria and of human immunodeficiency virus/acquired
immunodeficiency syndrome (HIV/AIDS), but not of childhood illness danger signs.
No significant changes in health behavior were observed except an increase in
HIV/AIDS prevention behavior, a result predominantly driven by an increase in
respondents' self-reported ability to procure a condom, likely an indicator of
increased perceived access rather than improved preventative behavior. Women in
villages assigned to mixed-gender groups had significantly lower levels of social
capital, compared with villages assigned to female-only groups. This suggests
there may be an important trade-off to consider for interventions seeking
improved health outcomes and social capital through provision of services to
mixed-gender groups. Although bundling health education with microcredit can
expand health education coverage and lower service-delivery costs, the approach
may not be sufficient to improve health behaviors.
PMID- 27895264
TI - Sex Differences in Human and Animal Toxicology.
AB - Sex, the states of being female or male, potentially interacts with all
xenobiotic exposures, both inadvertent and deliberate, and influences their
toxicokinetics (TK), toxicodynamics, and outcomes. Sex differences occur in
behavior, exposure, anatomy, physiology, biochemistry, and genetics, accounting
for female-male differences in responses to environmental chemicals, diet, and
pharmaceuticals, including adverse drug reactions (ADRs). Often viewed as an
annoying confounder, researchers have studied only one sex, adjusted for sex, or
ignored it. Occupational epidemiology, the basis for understanding many toxic
effects in humans, usually excluded women. Likewise, Food and Drug Administration
rules excluded women of childbearing age from drug studies for many years. Aside
from sex-specific organs, sex differences and sex * age interactions occur for a
wide range of disease states as well as hormone-influenced conditions and drug
distribution. Women have more ADRs than men; the classic sex hormone paradigm
(gonadectomy and replacement) reveals significant interaction of sex and TK
including absorption, distribution, metabolisms, and elimination. Studies should
be designed to detect sex differences, describe the mechanisms, and interpret
these in a broad social, clinical, and evolutionary context with phenomena that
do not differ. Sex matters, but how much of a difference is needed to matter
remains challenging.
PMID- 27895269
TI - Stability of Dihydroartemisinin-Piperaquine Tablet Halves During Prolonged
Storage Under Tropical Conditions.
AB - Dihydroartemisinin-piperaquine (DP) is recommended for the treatment of
uncomplicated malaria, used in efforts to contain artemisinin resistance, and
increasingly considered for mass drug administration. Because of the narrow
therapeutic dose range and available tablet strengths, the manufacturers and
World Health Organization recommended regimens involve breaking tablets into
halves to accurately dose children according to body weight. Use of tablet
fractions in programmatic settings under tropical conditions requires a highly
stable product; however, the stability of DP tablet fractions is unknown. We aged
full and half DP (Eurartesim(r)) tablets in a stability chamber at 30 degrees C
and 70% humidity level. The active pharmaceutical ingredients dihydroartemisinin
and piperaquine remained at >= 95% over the 3 months' period of ageing in light
and darkness. These findings are reassuring for DP, but highlight the need to
assess drug stability under real-life settings during the drug development
process, particularly for key drugs of global disease control programs.
PMID- 27895270
TI - Dicrocoelium dendriticum: An Unusual Parasitological Diagnosis in a Reference
International Health Unit.
AB - Finding Dicrocoelium dendriticum eggs in human feces is exceptional and there are
few prevalence data available. True infection occurs after accidental ingestion
of ants containing metacercariae and spurious infection through the consumption
of infected animal liver. Differential diagnosis between true and pseudo
infections is performed through stool examination after a diet free of liver. In
addition, microscopy can help to differentiate the type of infection. We report
six cases, all from sub-Saharan Africa, detection of this fluke at the Tropical
Medicine Unit Vall d'Hebron-Drassanes (Barcelona, Spain). Dicrocoelium
dendriticum transit eggs were visualized in five cases and there were no
subsequent visualizations after diet, which reinforces that all these cases were
false parasitism. In one case, few embryonated eggs were observed and the patient
was treated for a possible true parasitism. There is a need to investigate the
prevalence of D. dendriticum in our country focusing on the distinction between
true and spurious infections.
PMID- 27895272
TI - Dengue Infection in a Human Immunodeficiency Virus-1 Positive Patient Chronically
Infected with Hepatitis B Virus in Western Mexico.
AB - Human immunodeficiency virus (HIV) and dengue coinfection has not been
extensively studied. We report herein a case of dengue serotype 1 infection in an
HIV-1-positive patient coinfected with hepatitis B virus (HBV) in Colima State,
Mexico. CD4+ cells and HIV-1 viremia remained at normal levels, and no severe
complications were observed during this multiple viral infection. The alanine
transaminase and aspartate transaminase values were elevated before and during
dengue infection. Surprisingly, these parameters were significantly reduced 2
months later. Because of the lack of evidence regarding this multiple viral
interaction, further research is required to understand the biologic and clinical
course of dengue infection in HIV-1/HBV coinfected patients, especially in
tropical regions where dengue virus transmission is highly active.
PMID- 27895273
TI - Results from the Workshop "Problem Formulation for the Use of Gene Drive in
Mosquitoes".
AB - Reducing the incidence of malaria has been a public health priority for nearly a
century. New technologies and associated vector control strategies play an
important role in the prospect of sustained reductions. The development of the
CRISPR/Cas9 gene editing system has generated new possibilities for the use of
gene-drive constructs to reduce or alter vector populations to reduce malaria
incidence. However, before these technologies can be developed and exploited, it
will be necessary to understand and assess the likelihood of any potential harms
to humans or the environment. To begin this process, the Foundation for the
National Institutes of Health and the International Life Sciences Institute
Research Foundation organized an expert workshop to consider the potential risks
related to the use of gene drives in Anopheles gambiae for malaria control in
Africa. The resulting discussion yielded a series of consensus points that are
reported here.
PMID- 27895271
TI - Examination of Antibody Responses as a Measure of Exposure to Malaria in the
Indigenous Batwa and Their Non-Indigenous Neighbors in Southwestern Uganda.
AB - Understanding variations in malaria transmission and exposure is critical to
identify populations at risk and enable better targeting of interventions. The
indigenous Batwa of southwestern Uganda have a disproportionate burden of malaria
infection compared with their non-indigenous neighbors. To better understand the
individual- and community-level determinants of malaria exposure, a
seroepidemiological study was conducted in 10 local council cells in Kanungu
District, Uganda, in April 2014. The Batwa had twice the odds of being
seropositive to two Plasmodium falciparum-specific antigens, apical membrane
antigen-1 and merozoite surface protein-119, compared with the non-indigenous
Bakiga (odds ratio = 2.08, 95% confidence interval = 1.51-2.88). This trend was
found irrespective of altitude level and after controlling for cell location.
Seroconversion rates in the Batwa were more than twice those observed in the
Bakiga. For the Batwa, multiple factors may be associated with higher exposure to
malaria and antibody levels relative to their non-indigenous neighbors.
PMID- 27895274
TI - Disease Severity Prediction by Spirometry in Adults with Visceral Leishmaniasis
from Minas Gerais, Brazil.
AB - Visceral leishmaniasis (VL) is associated with interstitial pneumonitis according
to histology and radiology reports. However, studies to address the functional
impact on respiratory function in patients are lacking. We assessed pulmonary
function using noninvasive spirometry in a cross-sectional study of hospitalized
adult VL patients from Minas Gerais, Brazil, without unrelated lung conditions or
acute infections. Lung conditions were graded as normal, restrictive,
obstructive, or mixed patterns, according to Brazilian consensus standards for
spirometry. To control for regional patterns of lung function, we compared
spirometry of patients with regional paired controls. Spirometry detected
abnormal lung function in most VL patients (70%, 14/20), usually showing a
restrictive pattern, in contrast to regional controls and the standards for
normal tests. Alterations in spirometry measurements correlated with
hypoalbuminemia, the only laboratory value indicative of severity of parasitic
disease. Abnormalities did not correlate with unrelated factors such as smoking
or occupation. Clinical data including pulmonary symptoms and duration of therapy
were also unrelated to abnormal spirometry findings. We conclude that the
severity of VL is correlated with a restrictive pattern of lung function
according to spirometry, suggesting that there may be interstitial lung
involvement in VL. Further studies should address whether spirometry could serve
as an index of disease severity in the management of VL.
PMID- 27895275
TI - Sequence-Independent, Single-Primer Amplification Next-Generation Sequencing of
Hantaan Virus Cell Culture-Based Isolates.
AB - Hantaan virus (HTNV), identified in the striped field mouse (Apodemus agrarius),
belongs to the genus Hantavirus of the family Bunyaviridae and contains
tripartite RNA genomes, small (S), medium (M), and large (L) segments. HTNV is a
major causative for hemorrhagic fever with renal syndrome (HFRS) with fatality
rates ranging from 1% to 15% in the Republic of Korea (ROK) and China. Defining
of HTNV whole-genome sequences and isolation of the infectious particle play a
critical role in the characterization and preventive and therapeutic strategies
of hantavirus outbreaks. Next-generation sequencing (NGS) provides an advanced
tool for massive genomic sequencing of viruses. However, the isolation of viral
infectious particles is a huge obstacle to investigate and develop anti-virals
for hantaviruses. Here, we report 12 HTNV isolates from lung tissues of the
striped field mouse in the highly HFRS-endemic areas. Sequence-independent,
single-primer amplification (SISPA) NGS was attempted to recover the genomic
sequences of HTNV isolates. The nucleotide sequence of HTNV S, M, and L segments
were covered up to 99.4-100%, 97.5-100%, and 95.6-99.8%, respectively, based on
the full length of the prototype HTNV 76-118. The whole-genome sequencing of HTNV
isolates was accomplished by additional reverse transcription polymerase chain
reaction (RT-PCR) and rapid amplification cDNA ends (RACE) PCR. In conclusion,
this study will lead to the attempt and usage of SISPA NGS technologies to
delineate the whole-genome sequence of hantaviruses, providing a new era of viral
genomics for the surveillance, trace, and disease risk management of HFRS
incidents.
PMID- 27895276
TI - Rubella Immunity in Pregnant Native Taiwanese and Immigrants from Asian
Countries.
AB - Vaccination is considered the most effective method to prevent rubella spread and
congenital rubella syndrome (CRS). The aim of the present study was to
investigate the rubella immunity among native and immigrant pregnant women in
Taiwan. From 2000 to 2014, a total of 16,879 pregnant women who received routine
prenatal examinations were recruited in this study. The rubella IgG antibodies
were assayed using a microparticle enzyme immunoassay or chemiluminescent
microparticle immunoassay. Subjects were categorized by nationality and
subcategorized by specific periods of time for comparison. The rubella
susceptibility was 12.7% in total, 11.1% in Taiwanese and 20.3% in immigrant
population from 2000 to 2014. Among the immigrant women, those from Vietnam had
the highest susceptibility (22.3%) and those from Thailand had the lowest
susceptibility (3.8%). The immigrant women from Vietnam and China showed a
significantly higher susceptibility compared with the native Taiwanese women in
which the odds ratio was 2.30 (95% confidence interval [CI]: 2.04-2.60), 1.96
(95% CI: 1.59-2.41), respectively (P < 0.001). It meant that immigrants from
Vietnam and China had a higher likelihood of rubella susceptibility and related
CRS sequela than native women. From 2000-2004 to 2010-2014 cohort, there was no
obvious change in rubella susceptibility in native women, which varied between
10.0% and 11.9%. However, there was a decreasing trend of rubella susceptibility
in the immigrant women overall, from 24.5% to 11.5% (P < 0.001). To eliminate
congenital rubella in Taiwan, additional catch-up immunization strategies are
needed.
PMID- 27895277
TI - Triatoma infestans Calreticulin: Gene Cloning and Expression of a Main Domain
That Interacts with the Host Complement System.
AB - Triatoma infestans is an important hematophagous vector of Chagas disease, a
neglected chronic illness affecting approximately 6 million people in Latin
America. Hematophagous insects possess several molecules in their saliva that
counteract host defensive responses. Calreticulin (CRT), a multifunctional
protein secreted in saliva, contributes to the feeding process in some insects.
Human CRT (HuCRT) and Trypanosoma cruzi CRT (TcCRT) inhibit the classical pathway
of complement activation, mainly by interacting through their central S domain
with complement component C1. In previous studies, we have detected CRT in
salivary gland extracts from T. infestans We have called this molecule TiCRT.
Given that the S domain is responsible for C1 binding, we have tested its role in
the classical pathway of complement activation in vertebrate blood. We have
cloned and characterized the complete nucleotide sequence of CRT from T.
infestans, and expressed its S domain. As expected, this S domain binds to human
C1 and, as a consequence, it inhibits the classical pathway of complement, at its
earliest stage of activation, namely the generation of C4b. Possibly, the
presence of TiCRT in the salivary gland represents an evolutionary adaptation in
hematophagous insects to control a potential activation of complement proteins,
present in the massive blood meal that they ingest, with deleterious consequences
at least on the anterior digestive tract of these insects.
PMID- 27895278
TI - Paracoccidioidomycosis in Brazilian Patients With and Without Human
Immunodeficiency Virus Infection.
AB - Paracoccidioidomycosis (PCM) is endemic to Latin America, where 10 million people
may be infected with Paracoccidioides brasiliensis/Paracoccidioides lutzii and
1,600,000 individuals live with human immunodeficiency virus (HIV) infection. An
epidemiological overlapping of these infections occurred early in acquired
immunodeficiency syndrome era with nearly 180 published cases. This study
presents epidemiological, clinical, and outcome profiles for 31 PCM patients with
HIV infection diagnosed in a teaching hospital in Brazil, and includes an update
of previously reported cases. Medical records were reviewed and data compared
with 64 PCM patients without HIV infection. Of the 31 PCM patients with HIV
infection, 23 (74.1%) were male, with a median age of 36.7 years, whereas of the
64 PCM, 45 (70.3%) were male, with a median age of 35.1 years. Both groups
presented similar proportions for smoking and alcoholism. PCM patients with HIV
infection presented more fever, weight loss, and the acute clinical form than the
PCM patients who had more mucosal and respiratory involvement characterizing the
chronic form. Most PCM patients with HIV infection exhibited overlapping symptoms
from both clinical forms with median symptom duration of 4.5 months compared with
8.3 months for the PCM control. Patients received sulfonamides and/or
itraconazole for a median of 15.7 and 16.7 months for PCM/HIV-infected and PCM,
respectively. Relapses occurred more in PCM (12 [30%]) than PCM/HIV-infected (4
[14.8%]) patients, whose mortality rate was higher (10 [32.8%]) than PCM patients
(8 [20%]). The cases of PCM/HIV infection confirm that HIV can interact with some
endemic diseases without increasing their frequency, while changing their natural
history, clinical presentation, and outcome. The data presented here are in
agreement with those observed in other studies.
PMID- 27895281
TI - Transition to circular economy requires reliable statistical quantification and
control of uncertainty and variability in waste.
PMID- 27895279
TI - Evaluation of Immunoglobulin G Responses to Plasmodium falciparum and Plasmodium
vivax in Malian School Children Using Multiplex Bead Assay.
AB - Malaria serology through assaying for IgG against Plasmodium spp. antigens
provides evidence into the infection history for an individual. The multiplex
bead assay (MBA) allows for detection of IgG against multiple Plasmodium spp.,
and can be especially useful in many regions where Plasmodium falciparum is of
primary clinical focus, but other species are co-endemic. Dried blood spots were
collected from 805 Malian children attending 42 elementary schools in the regions
of Mopti, Sikasso, Koulikoro, and Bamako capital district, and IgG assayed by
MBA. As southern Mali is known to be holoendemic for P. falciparum, merozoite
surface protein 1 19-kDa subunit (MSP-142) and apical membrane antigen 1 (AMA-1)
antigens were included for serology against this parasite. Responses to these
antigens both provided high estimates for lifetime exposure, with 730 (90%)
children with IgG antibodies for MSP-142, 737 (91%) for AMA-1, and 773 (96%)
positive for either or both. Also included was the antigen Plasmodium vivax MSP
119, against which 140 (17.4%) children were found to have antibodies. Increases
in antibody titers with older age were clearly seen with the P. falciparum
antigens, but not with the P. vivax antigen, likely indicating more of a
sporadic, rather than sustained transmission for this species. The MBA provides
effective opportunities to evaluate malaria transmission through serological
analysis for multiple Plasmodium species.
PMID- 27895282
TI - Liberalization in the Danish waste sector: an institutional perspective.
AB - The push for creating a more competitive and liberalized system for traditional
public services, including waste management, has been on the European agenda
since the late 1980s. In 2008, changes were made in EU waste legislation allowing
source-separated industrial/commercial waste that is suitable for incineration to
be traded within the European market. This change has had broad implications for
the Danish waste sector, which is characterized by institutionalized municipal
control with all streams of waste and municipal ownership of the major treatment
facilities allowing the municipal sector to integrate combustible waste in local
heat and power generation. This article, applying an institutional approach, maps
the institutions and actors of the Danish waste sector and analyses how the
regulatory as well as normative pressure to liberalize has been met and partly
neutralized in the institutional and political context. The new Danish regulation
of 2010 has thus accommodated the specific requirement for liberalization, but in
fact only represents a very small step towards a market-based waste management
system. On the one hand, by only liberalizing industrial/commercial waste, the
Danish Government chose to retain the main features of the established waste
system favouring municipal control and hence the institutionalized principles of
decentralized enforcement of environmental legislation as well as welfare state
considerations. On the other hand, this has led to a technological and financial
deadlock, particularly when it comes to reaching the recycling targets of EU,
which calls for further adjustments of the Danish waste sector.
PMID- 27895283
TI - Food waste prevention in Athens, Greece: The effect of family characteristics.
AB - Food waste is a stream that becomes increasingly important in terms of its
prevention potential. There is a large number of behaviours that can be
associated with food waste generation and the efforts towards food waste
prevention. A questionnaire study was carried in order to study consumer
behaviour related to food provision and wastage in Greece. Proper practices of
the respondents that can prevent the generation of food waste were investigated
using nine behavioural scales, which were defined on the basis of similar studies
in other countries. A structured questionnaire was utilised in order to test
those behaviours against the socio-demographic characteristics of respondents.
The results of the study indicate that in terms of inferential statistical
analysis, among the numerous variables examined, those that enhance food waste
prevention are the involvement of the respondent in cooking, the annoyance
towards food waste generation and the education level.
PMID- 27895280
TI - Effectiveness of Albendazole for Hookworm Varies Widely by Community and
Correlates with Nutritional Factors: A Cross-Sectional Study of School-Age
Children in Ghana.
AB - Mass drug administration (MDA) targeting school-age children is recommended by
the World Health Organization for the global control of soil-transmitted helminth
(STH) infections. Although considered safe and cost-effective to deliver,
benzimidazole anthelminthics are variably effective against the three most common
STHs, and widespread use has raised concern about the potential for emerging
resistance. To identify factors mediating response to albendazole, we conducted a
cross-sectional study of hookworm infection in the Kintampo North Municipality of
Ghana in 2011. Among 140 school-age children residing in five contiguous
communities, the hookworm prevalence was 59% (82/140). The overall cure rate
following administration of single-dose albendazole (400 mg) was 35% (27/76),
with a community-wide fecal egg reduction rate (ERR) of 61% (95% confidence
interval: 51.8-71.1). Significant disparities were observed in albendazole
effectiveness by community, with a cure rate as low as 0% (N = 24) in Jato Akuraa
and ERRs ranging from 53% to 95% across the five study sites. Individual host
factors associated with response to deworming treatment included time since last
meal, pretreatment blood hemoglobin level, and mid-upper arm circumference. These
data demonstrate significant community-level variation in the effectiveness of
albendazole, even among populations living in close proximity. Identification of
host factors that influence response to albendazole, most notably the timing of
drug administration and nutritional factors, creates an opportunity to enhance
the effectiveness of deworming through targeted interventions. These findings
also demonstrate the importance of measuring anthelminthic response as part of
the monitoring and evaluation of community-based deworming programs.
PMID- 27895284
TI - Spatial and temporal dynamics of agricultural residue resources in the last 30
years in China.
AB - The availability and distribution of biomass resources are important for the
development of the bioenergy industry in a region. Biomass resources are abundant
in China; however, the raw material is severely deficient, which makes the
Chinese bioenergy industry an embarrassment and a contradiction. Unclear reserves
and distribution and changing trends of biomass resources are the reason for this
situation. A collection coefficient model of Chinese agricultural residue
resources was established and the spatial and temporal pattern dynamics of
agricultural residue resources in the last 30 years were analyzed. The results
show that agricultural residue resources increased in stages from 1978 to 2011,
including a rapid increase from 1978 to 1999, a significant fall from 2000 to
2004, and a slow increase from 2004 to 2011. Crops straw and livestock manure are
the main ingredients of agricultural residue resources with proportions of 53-59%
and 31-38%, respectively. However, the former has gradually decreased, while the
latter is increasing. This mainly resulted from the strategic reorganization of
the Chinese agriculture structure and the rapid development of large-scale
livestock breeding and agricultural mechanization. Large regional differences
existed in Chinese agricultural residue resources, and three distribution types
formed, including resource-rich areas in North China, Northeast and Inner
Mongolia, resource-limited areas in Central and Southwest China, and resource
poor areas along Northwest and Southeast coasts. This pattern is a reverse of the
distributions of climatic conditions, water resources, economic development,
human resources, and technological levels. Finally, it can be predicted that
livestock manure and biomass conversion technology at low temperature will play
increasingly significant roles in bioenergy industry development.
PMID- 27895285
TI - Application of stability indicators for the assessment of the degradation of
residual household waste before landfilling.
AB - In France, domestic waste production is estimated at c 350 kg year-1 per person
and landfilling still represents a predominant way of municipal solid waste
management, with 39% of waste dumped in 2007. Waste characterization campaigns
were conducted at different stages of a mechanical-biological treatment process
in order to evaluate its efficiency. Waste samples were sorted by size (>100 mm,
<100-20 mm and <20 mm) in order to assess the opportunity for mechanical and
aerobic degradation and to reduce the volume of waste landfilled. The monitoring
of parameter characteristics of waste stabilization highlighted a decrease in
both organic matter and oxidizable organic matter, and an increase in fine
particles <20 mm. The respirometric index after 4 days performed on waste samples
from the pre-treatment stage showed a decrease in the biological activity
compared with the samples taken upstream in the process. The biochemical methane
potential of the waste is above the German limit of acceptance in landfills. This
intermediate phase of stabilization is confirmed by the results of the leaching
tests and hydrophobic fractionation.
PMID- 27895286
TI - Pyrolysis of poppy capsule pulp for bio-oil production.
AB - The feasibility of biofuel production via the pyrolysis of poppy capsule pulp,
the main waste product of Afyon Alkoloid Factory, was investigated. The poppy
capsule pulp was shown to have a high volatile matter content (ca. 76%).
Pyrolysis experiments were carried out in the temperature range 400-550 degrees C
(heating rate 18 degrees C min-1 and holding time 20 min) under a nitrogen
atmosphere. The chemical components of the bio-oil were characterized by Fourier
transform infrared spectrometry and gas chromatography-mass spectrometry. The
effects of pyrolysis temperature on the production efficiency and the calorific
value of the bio-oil were investigated. The maximum bio-oil yield and its
calorific value at 500 degrees C were 23.6% and 31.6 MJ kg-1, respectively. The
latter value is close to that of many petroleum fractions. This high-energy bio
oil is therefore a clean fuel precursor and can be upgraded into higher quality
fuels.
PMID- 27895289
TI - Management, prevention and treatment of canine leishmaniosis in north-eastern
Spain: an online questionnaire-based survey in the province of Girona with
special emphasis on new preventive methods (CaniLeish vaccine and domperidone).
AB - Knowledge of how canine leishmaniosis (CanL) is being managed clinically and its
epidemiology is very important, since dogs are the main reservoir of human
leishmaniosis. This study reports the results obtained through a questionnaire
based survey of veterinary practitioners in Girona province, a recognised, but
non-documented endemic area in north-eastern Spain. The primary objective was to
obtain data on the clinical management of CanL, focusing particularly on new
preventive methods and therapeutic tools. The results show an extensive routine
management of CanL cases and a widespread use of the CaniLeish (Virbac) vaccine
and domperidone (Leisguard, Esteve). Adverse reactions were detected by a vast
majority of the vaccine users (82 per cent), the most frequent being local
reactions, apathy, fever and gastroenteritis. All the respondents had treated
confirmed cases, and the therapeutic protocol most used was the combination of
meglumine antimoniate (Glucantime, Merial) and allopurinol (Zyloric,
GlaxoSmithKline).
PMID- 27895288
TI - Some biological activities of pigments extracted from Micrococcus roseus (PTCC
1411) and Rhodotorula glutinis (PTCC 5257).
AB - The importance of replacing synthetic pigments with natural types is increasing
day by day in the food industry due to the harmful effects of some synthetic
pigments. Microorganisms are a major source of natural pigments, which nowadays
have attracted the attention of researchers. In this study, carotenoid pigments
were produced by Micrococcus roseus and Rhodotorula glutinis, and some of their
biological properties such as antimicrobial, antioxidant, anticancer, and anti
inflammatory activities were evaluated. Given the results, bacteria, especially
gram-positive bacteria, had higher sensitivity to the pigments extracted from M.
roseus (PEM) and R. glutinis (PER) compared to molds so that Bacillus cereus and
Alternaria citri had the highest and the lowest sensitivity, respectively. PER
showed a higher antioxidant activity compared with PEM in the various methods of
measuring antioxidant activity. In vitro and in vivo anti-tumor-promoting
activities of PER were measured significantly more than PEM (P <0.05). Both
pigment extracts remarkably inhibited the 12-O-tetradecanoylphorbol-13-acetate
(TPA)-induced inflammation, so that ID50 (50% inhibitory dose) of PEM and PER
were 0.22 and 0.09 mg/ear, respectively.
PMID- 27895287
TI - Sudden sensorineural hearing loss: Is there a connection with inner ear
electrolytic disorders? A literature review.
AB - Electrolytic disorders of the inner ear represent a model that could be
implicated in partially explaining the pathogenesis of sudden sensorineural
hearing loss (SSNHL). Different types of electrolytes and different inner-ear
loci are involved in cochlear homeostasis physiologically, to ensure the
maintenance of an ion-balanced cochlear environment allowing a normal hair cell
function. It has been hypothesized that a sudden loss of endocochlear potential,
due to a rapid disruption of the inner ear fluid osmolality, could be responsible
for a deterioration of the hearing function caused by damaged hair cells. The aim
of this paper was to review the current literature and identify sources which
might validate/fortify the hypothesis that inner ear electrolytic disorders have
a role in the etiopathogenesis of SSNHL. The data in the literature underline the
importance of ionic homeostasis in the inner ear, but they do not support a
direct link between SSNHL and electrolyte disorders/imbalances. There is marginal
evidence from otoacoustic emissions research that an indirect link might be
present.
PMID- 27895291
TI - Fewer Statistical Tests ... or Better Ones?
PMID- 27895290
TI - Comparison of phenotypic and genotypic profiles among caprine and ovine
Mycoplasma ovipneumoniae strains.
AB - Mycoplasma ovipneumoniae (Movp) is considered to be one of the most important
mycoplasmas causing respiratory disease in small ruminants. Most epidemiologic
and characterisation studies have been conducted on strains collected from sheep.
Information on the presence and characteristics of Movp in healthy and pneumonic
goats is limited. Phenotypic or genotypic differences between sheep and goat
isolates have never been studied. The objective of our study was to characterise
and compare the similarities and differences between caprine and ovine Movp
strains isolated from affected and asymptomatic animals in order to elucidate
phenotypic and genotypic variability. Four different techniques were used on a
set of 23 Movp isolates. These included SDS-PAGE, Western blotting, random
amplified polymorphic DNA and the heat shock protein 70 gene sequence-based
method. A high degree of phenotypic and genotypic heterogeneity among Movp
strains was demonstrated in this study. Our results demonstrated differences
between goat and sheep strains, revealing not only a link between strains and
host ruminant species, but by geographical origin as well. However, the finding
of immunodominant antigens of molecular masses 36, 38, 40 and 70 kDa (+/-3 kDa)
in Movp isolates from sheep and goats foretells their potential use in the
development of serological diagnostic tests and vaccines.
PMID- 27895292
TI - Attention-Deficit Hyperactivity Disorder-Like Traits and Distractibility in the
Visual Periphery.
AB - We examined the performance of nonclinical subjects with high and low levels of
self-reported attention-deficit hyperactivity disorder (ADHD)-like traits in a
novel distractibility paradigm with far peripheral visual distractors, the likely
origin of many distractors in everyday life. Subjects were tested on a Sustained
Attention to Response Task with distractors appearing before some of the target
or nontarget stimuli. When the distractors appeared 80 ms before the targets or
nontargets, participants with high levels of ADHD-like traits were less affected
in their reaction times than those with lower levels. Reducing the distractor
target or nontarget interval to 10 ms removed the reaction time advantage for the
high group. We suggest that at 80 ms, the distractors were cueing the arrival of
the target or nontarget, and that those with high levels of ADHD-like traits were
more sensitive to the cues. Increased sensitivity to stimuli in the visual
periphery is consistent with hyperresponsiveness at the level of the superior
colliculus.
PMID- 27895293
TI - The Journal, the editor and the way ahead.
PMID- 27895295
TI - Abstracts from the Scottish Paediatric Society St Andrew's Day Paediatric
Symposium: Royal College of Physicians of Edinburgh, Edinburgh, Friday 27
November 2015.
PMID- 27895294
TI - ... Is The 9:1 contract legal?
PMID- 27895297
TI - Power of an Adaptive Trial Design for Endovascular Stroke Studies: Simulations
Using IMS (Interventional Management of Stroke) III Data.
AB - BACKGROUND AND PURPOSE: Adaptive trial designs that allow enrichment of the study
population through subgroup selection can increase the chance of a positive trial
when there is a differential treatment effect among patient subgroups. The goal
of this study is to illustrate the potential benefit of adaptive subgroup
selection in endovascular stroke studies. METHODS: We simulated the performance
of a trial design with adaptive subgroup selection and compared it with that of a
traditional design. Outcome data were based on 90-day modified Rankin Scale
scores, observed in IMS III (Interventional Management of Stroke III), among
patients with a vessel occlusion on baseline computed tomographic angiography
(n=382). Patients were categorized based on 2 methods: (1) according to location
of the arterial occlusive lesion and onset-to-randomization time and (2)
according to onset-to-randomization time alone. The power to demonstrate a
treatment benefit was based on 10 000 trial simulations for each design. RESULTS:
The treatment effect was relatively homogeneous across categories when patients
were categorized based on arterial occlusive lesion and time. Consequently, the
adaptive design had similar power (47%) compared with the fixed trial design
(45%). There was a differential treatment effect when patients were categorized
based on time alone, resulting in greater power with the adaptive design (82%)
than with the fixed design (57%). CONCLUSIONS: These simulations, based on real
world patient data, indicate that adaptive subgroup selection has merit in
endovascular stroke trials as it substantially increases power when the treatment
effect differs among subgroups in a predicted pattern.
PMID- 27895298
TI - Effect of Right Insular Involvement on Death and Functional Outcome After Acute
Ischemic Stroke in the IST-3 Trial (Third International Stroke Trial).
AB - BACKGROUND AND PURPOSE: In patients with acute ischemic stroke, whether
involvement of the insular cortex influences outcome is controversial. Much of
the apparent adverse outcome may relate to such strokes usually being severe. We
examined the influence of right and left insular involvement on stroke outcomes
among patients from the IST-3 study (Third International Stroke Trial) who had
visible ischemic stroke on neuroimaging. METHODS: We used multiple logistic
regression to compare outcomes of left versus right insular and noninsular
strokes across strata of stroke severity, on death, proportion dead or dependent,
and level of disability (ordinalized Oxford Handicap Score) at 6 months, with
adjustment for the effects of age, lesion size, and presence of atrial
fibrillation. RESULTS: Of 3035 patients recruited, 2099 had visible ischemic
strokes limited to a single hemisphere on computed tomography/magnetic resonance
scans. Of these, 566 and 714 had infarction of right and left insula. Six months
after randomization, right insular involvement was associated with increased odds
of death when compared with noninsular strokes on the left side (adjusted odds
ratio, 1.83; 95% confidence interval, 1.33-2.52), whereas the adjusted odds ratio
comparing mortality after insular versus noninsular strokes on the left side was
not significant. Among mild/moderate strokes, outcomes for right insular
involvement were worse than for left insular, but among more severe strokes, the
difference in outcomes was less substantial. CONCLUSIONS: We found an association
between right insular involvement and higher odds of death and worse functional
outcome. The difference between right- and left-sided insular lesions on outcomes
seemed to be most evident for mild/moderate strokes. CLINICAL TRIAL REGISTRATION:
URL: http://www.isrctn.com. Unique identifier: ISRCTN25765518.
PMID- 27895299
TI - Optimal Computed Tomographic Perfusion Scan Duration for Assessment of Acute
Stroke Lesion Volumes.
AB - BACKGROUND AND PURPOSE: The minimal scan duration needed to obtain reliable
lesion volumes with computed tomographic perfusion (CTP) has not been well
established in the literature. METHODS: We retrospectively assessed the impact of
gradual truncation of the scan duration on acute ischemic lesion volume
measurements. For each scan, we identified its optimal scan time, defined as the
shortest scan duration that yields measurements of the ischemic lesion volumes
similar to those obtained with longer scanning, and the relative height of the
fitted venous output function at its optimal scan time. RESULTS: We analyzed 70
computed tomographic perfusion scans of acute stroke patients. An optimal scan
time could not be determined in 11 scans (16%). For the other 59 scans, the
median optimal scan time was 32.7 seconds (90th percentile 52.6 seconds; 100th
percentile 68.9 seconds), and the median relative height of the fitted venous
output function at the optimal scan times was 0.39 (90th percentile 0.02; 100th
percentile 0.00). On the basis of a linear model, the optimal scan time was T0
plus 1.6 times the width of the venous output function (P<0.001; R2=0.49).
CONCLUSIONS: This study shows how the optimal duration of a computed tomographic
perfusion scan relates to the arrival time and width of the contrast bolus. This
knowledge can be used to optimize computed tomographic perfusion scan protocols
and to determine whether a scan is of sufficient duration. Provided a baseline
(T0) of 10 seconds, a total scan duration of 60 to 70 seconds, which includes the
entire downslope of the venous output function in most patients, is recommended.
PMID- 27895301
TI - Acute Blood Pressure Management in Intracerebral Hemorrhage: Equipoise Resists an
Attack.
PMID- 27895300
TI - THSD1 (Thrombospondin Type 1 Domain Containing Protein 1) Mutation in the
Pathogenesis of Intracranial Aneurysm and Subarachnoid Hemorrhage.
AB - BACKGROUND AND PURPOSE: A ruptured intracranial aneurysm (IA) is the leading
cause of a subarachnoid hemorrhage. This study seeks to define a specific gene
whose mutation leads to disease. METHODS: More than 500 IA probands and 100
affected families were enrolled and clinically characterized. Whole exome
sequencing was performed on a large family, revealing a segregating THSD1
(thrombospondin type 1 domain containing protein 1) mutation. THSD1 was sequenced
in other probands and controls. Thsd1 loss-of-function studies in zebrafish and
mice were used for in vivo analyses and functional studies performed using an in
vitro endothelial cell model. RESULTS: A nonsense mutation in THSD1 was
identified that segregated with the 9 affected (3 suffered subarachnoid
hemorrhage and 6 had unruptured IA) and was absent in 13 unaffected family
members (LOD score 4.69). Targeted THSD1 sequencing identified mutations in 8 of
507 unrelated IA probands, including 3 who had suffered subarachnoid hemorrhage
(1.6% [95% confidence interval, 0.8%-3.1%]). These THSD1 mutations/rare variants
were highly enriched in our IA patient cohort relative to 89 040 chromosomes in
Exome Aggregation Consortium (ExAC) database (P<0.0001). In zebrafish and mice,
Thsd1 loss-of-function caused cerebral bleeding (which localized to the
subarachnoid space in mice) and increased mortality. Mechanistically, THSD1 loss
impaired endothelial cell focal adhesion to the basement membrane. These adhesion
defects could be rescued by expression of wild-type THSD1 but not THSD1 mutants
identified in IA patients. CONCLUSIONS: This report identifies THSD1 mutations in
familial and sporadic IA patients and shows that THSD1 loss results in cerebral
bleeding in 2 animal models. This finding provides new insight into IA and
subarachnoid hemorrhage pathogenesis and provides new understanding of THSD1
function, which includes endothelial cell to extracellular matrix adhesion.
PMID- 27895302
TI - Self-Management Programs for Quality of Life in People With Stroke.
PMID- 27895303
TI - Letter by Clark Regarding Article, "Clinical Outcomes of Transplanted Modified
Bone Marrow-Derived Mesenchymal Stem Cells in Stroke: A Phase 1/2a Study".
PMID- 27895304
TI - Response by Steinberg et al to Letter Regarding Article, "Clinical Outcomes of
Transplanted Modified Bone Marrow-Derived Mesenchymal Stem Cells in Stroke: A
Phase 1/2A Study".
PMID- 27895305
TI - Correction.
PMID- 27895306
TI - Correction.
PMID- 27895307
TI - Correction.
PMID- 27895308
TI - Epigenetic inhibition of miR-663b by long non-coding RNA HOTAIR promotes
pancreatic cancer cell proliferation via up-regulation of insulin-like growth
factor 2.
AB - Pancreatic cancer is one of the most deadly cancers with a poor prognosis.
Although microRNAs are involving in the carcinogenesis and development of
pancreatic cancer, little information is known regarding the role of miR-663b in
pancreatic cancer. In this study, the expression of miR-663b in pancreatic cancer
cells was down-regulated by hypermethylation in its putative promoter region, and
overexpression of miR-663b repressed cell proliferation, invasion and migration,
and induced apoptosis in pancreatic cancer cells. Bioinformatics analysis,
luciferase report assay and rescue experiments showed that insulin-like growth
factor 2 (IGF2) was a direct target of miR-663b. Results from clinical samples
showed that the expression level of miR-663b correlated with the pathological
grading, and the expression of miR-663b was down-regulated and was inversely
correlated with IGF2 expression level in pancreatic cancer tissues. More
importantly, the long non-coding RNA, HOX transcript antisense RNA (HOTAIR), was
up-regulated in both pancreatic cancer cells and tissues, and HOTAIR suppressed
the expression of miR-663b in pancreatic cancer by histone modification on
H3K4me3 and H3K27me3 on miR-663b promoter. Further in vivo studies demonstrated
that the stable overexpression of miR-663b or knock-down of HOTAIR inhibited
tumor growth and was associated with IGF2 expression. In summary, our studies
demonstrated that miR-663b is epigenetically repressed by HOTAIR and exerts its
tumor-suppressive function via targeting IGF2 in pancreatic cancer.
PMID- 27895311
TI - Aging of hippocampal neurogenesis and soy isoflavone.
PMID- 27895310
TI - TGFbeta1 overexpression is associated with improved survival and low tumor cell
proliferation in patients with early-stage pancreatic ductal adenocarcinoma.
AB - The role of transforming growth factor beta-type-1 (TGFbeta1) in pancreatic
ductal adenocarcinoma (PDAC) progression is stage-dependent. We hypothesized that
TGFbeta1 expression is associated with survival and proliferation markers in
patients with early-stage PDAC. We acquired clinicopathologic, treatment, and
mRNA expression data from The Cancer Genome Atlas data set for 106 patients
identified with stage I/II PDAC who underwent pancreaticoduodenectomy. Patients
were categorized as high expression when mRNA expression was >=75th percentile
for each gene. Average log2 mRNA expression of TGFbeta1 in patients with high
expression was 11.6 +/- 0.2 and 10.5 +/- 0.6 in patients with low expression
(P<0.001). Low TGFbeta1 expression is associated with shorter median survival
compared with high TGFbeta1 expression (17 versus at least 60 months; P=0.005).
Patients with tumors demonstrating high MKI67 (the gene encoding Ki-67)
expression have shorter median survival versus those with lowerMKI67 expression
(16 versus 20 months; P=0.026). TGFbeta1 and MKI67 are inversely associated
(P=0.009). On multivariate analysis, improved survival is associated with
TGFbeta1 overexpression (P=0.017), adjuvant chemotherapy (P=0.001), and adjuvant
radiotherapy (P=0.017), whereas positive surgical margins are associated with
worse survival (P=0.002). In patients who undergo pancreaticoduodenectomy for
PDAC, high TGFbeta1 expression may counteract the worse survival associated with
high proliferation.
PMID- 27895309
TI - Hepatocyte specific expression of an oncogenic variant of beta-catenin results in
cholestatic liver disease.
AB - BACKGROUND: The Wnt/beta-catenin signaling pathway plays a crucial role in
embryonic development, tissue homeostasis, wound healing and malignant
transformation in different organs including the liver. The consequences of
continuous beta-catenin signaling in hepatocytes remain elusive. RESULTS: Livers
of Ctnnb1CA hep mice were characterized by disturbed liver architecture,
proliferating cholangiocytes and biliary type of fibrosis. Serum ALT and bile
acid levels were significantly increased in Ctnnb1CA hep mice. The primary bile
acid synthesis enzyme Cyp7a1 was increased whereas Cyp27 and Cyp8b1 were reduced
in Ctnnb1CA hep mice. Expression of compensatory bile acid transporters including
Abcb1, Abcb4, Abcc2 and Abcc4 were significantly increased in Ctnnb1CA hep mice
while Ntcp was reduced. Accompanying changes of bile acid transporters favoring
excretion of bile acids were observed in intestine and kidneys of Ctnnb1CA hep
mice. Additionally, disturbed bile acid regulation through the FXR-FGF15-FGFR4
pathway was observed in mice with activated beta-catenin. MATERIALS AND METHODS:
Mice with a loxP-flanked exon 3 of the Ctnnb1 gene were crossed to Albumin-Cre
mice to obtain mice with hepatocyte-specific expression of a dominant stable form
of beta-catenin (Ctnnb1CA hep mice). Ctnnb1CA hep mice were analyzed by
histology, serum biochemistry and mRNA profiling. CONCLUSIONS: Expression of a
dominant stable form of beta-catenin in hepatocytes results in severe cholestasis
and biliary type fibrosis.
PMID- 27895312
TI - Activation of endoplasmic reticulum stress and the extrinsic apoptotic pathway in
human lung cancer cells by the new synthetic flavonoid, LZ-205.
AB - It has been shown that flavonoids have anti-tumor activity. In this study, LZ
205, a newly synthesized flavonoid, was found to be effective in inducing
apoptosis in human lung cancer cells in vivo and in vitro. Mechanistically, LZ
205 triggers reactive oxygen species (ROS)-induced endoplasmic reticulum (ER)
stress and unfolded protein response, which could be reversed by silencing CHOP,
a mediator of the ER stress-associated apoptosis. In addition, LZ-205-induced
apoptosis is accompanied by the activation of both the mitochondrial apoptotic
and extrinsic pathways, followed by decreased mitochondrial membrane potential
(DeltaPsim) and the alteration of the expression of mitochondria-related pro- and
anti-apoptotic proteins. LZ-205 exhibits a potential antitumor effect in BALB/c
nude mice bearing H460 tumor with low systemic toxicity. In summary, both the ROS
mediated ER stress pathway and the exogenous apoptotic pathway are involved in LZ
205-induced apoptosis in vitro and in vivo. Our data show a therapeutic potential
of LZ-205 for the treatment of lung cancer.
PMID- 27895314
TI - Quantitative assessment of background parenchymal enhancement in breast magnetic
resonance images predicts the risk of breast cancer.
AB - The objective of this study was to evaluate the association betweenthe
quantitative assessment of background parenchymal enhancement rate (BPER) and
breast cancer. From 14,033 consecutive patients who underwent breast MRI in our
center, we randomly selected 101 normal controls. Then, we selected 101 women
with benign breast lesions and 101 women with breast cancer who were matched for
age and menstruation status. We evaluated BPER at early (2 minutes), medium (4
minutes) and late (6 minutes) enhanced time phases of breast MRI for quantitative
assessment. Odds ratios (ORs) for risk of breast cancer were calculated using the
receiver operating curve. The BPER increased in a time-dependent manner after
enhancement in both premenopausal and postmenopausal women. Premenopausal women
had higher BPER than postmenopausal women at early, medium and late enhanced
phases. In the normal population, the OR for probability of breast cancer for
premenopausal women with high BPER was 4.1 (95% CI: 1.7-9.7) and 4.6 (95% CI: 1.7
12.0) for postmenopausal women. The OR of breast cancer morbidity in
premenopausal women with high BPER was 2.6 (95% CI: 1.1-6.4) and 2.8 (95% CI: 1.2
6.1) for postmenopausal women. The BPER was found to be a predictive factor of
breast cancer morbidity. Different time phases should be used to assess BPER in
premenopausal and postmenopausal women.
PMID- 27895313
TI - Repurposing the anti-malarial drug dihydroartemisinin suppresses metastasis of
non-small-cell lung cancer via inhibiting NF-kappaB/GLUT1 axis.
AB - Non-small-cell lung cancer (NSCLC) is an aggressive malignancy and long-term
survival remains unsatisfactory for patients with metastatic and recurrent
disease. Repurposing the anti-malarial drug dihydroartemisinin (DHA) has been
proved to possess potent antitumor effect on various cancers. However, the
effects of DHA in preventing the invasion of NSCLC cells have not been studied.
In the present study, we determined the inhibitory effects of DHA on invasion and
migration and the possible mechanisms involved using A549 and H1975 cells. DHA
inhibited in vitro migration and invasion of NSCLC cells even in low
concentration with little cytotoxicity. Additionally, low concentration DHA also
inhibited Warburg effect in NSCLC cells. Mechanically, DHA negatively regulates
NF-kappaB signaling to inhibit the GLUT1 translocation. Blocking the NF-kappaB
signaling largely abolishes the inhibitory effects of DHA on the translocation of
GLUT1 to the plasma membrane and the Warburg effect. Furthermore, GLUT1 knockdown
significantly decreased the inhibition of invasion, and migration by DHA. Our
results suggested that DHA can inhibit metastasis of NSCLC by targeting glucose
metabolism via inhibiting NF-kappaB signaling pathway and DHA may deserve further
investigation in NSCLC treatment.
PMID- 27895315
TI - Identification of aberrantly expressed glycans in gastric cancer by integrated
lectin microarray and mass spectrometric analyses.
AB - Cancer progression is usually associated with alterations of glycan expression
patterns. Little is known regarding global glycomics in gastric cancer, the most
common type of epithelial cancer. We integrated lectin microarray and mass
spectrometry (MS) methods to profile glycan expression in three gastric cancer
cell lines (SGC-7901, HGC-27, and MGC-803) and one normal gastric epithelial cell
line (GES-1). Significantly altered glycans were confirmed by lectin staining and
MALDI-TOF/TOF-MS. The three cancer cell lines showed increased levels of core
fucosylated N-glycans, GalNAcalpha-Ser/Thr (Tn antigen), and Sia2-6Galbeta1
4GlcNAc N-glycans, but reduced levels of biantennary N-glycans, Galbeta1
3GalNAcalpha-Ser/Thr (T antigen), and (GlcNAc)n N-glycans. Lectin histochemistry
was used to validate aberrant expression of four representative glycans (core
fucosylation, Sia2-6Galbeta1-4GlcNAc, biantennary N-glycans, T antigen,
recognized respectively by lectins LCA, SNA, PHA-E+L, and ACA) in clinical
gastric cancer samples. Lower binding capacity for ACA was correlated with
significantly poorer patient prognosis. Our findings indicate for the first time
that glycans recognized by LCA, ACA, and PHA-E+L are aberrantly expressed in
gastric cancer, and suggest that ACA is a potential prognostic factor for gastric
cancer.
PMID- 27895316
TI - Investigating cytokinesis failure as a strategy in cancer therapy.
AB - Effective therapeutics exploit common characteristics shared amongst cancers. As
many cancers present chromosomal instability (CIN), one possible approach to
treat these cancers could be to increase their CIN above a threshold that would
affect their viability. Here, we investigated whether causing polyploidy by
cytokinesis failure could represent a useful approach. We show that cytokinesis
failure caused by depletion of Citron kinase (CIT-K) dramatically decreased cell
proliferation in breast, cervical and colorectal cancer cells. CIT-K depletion
activated the Hippo tumor suppressor pathway in normal, but not in cancer cells,
indicating that cancer cells have evolved mechanisms to bypass this control. CIT
K depleted cancer cells died via apoptosis in a caspase 7 dependent manner and,
consistent with this, p53-deficient HCT116 colon carcinoma cells failed to induce
apoptosis after cytokinesis failure. However, other p53-mutated cancer cells were
able to initiate apoptosis, indicating that cytokinesis failure can trigger
apoptosis through a p53-independent mechanism. Finally, we found that actively
dividing and, in some cases, polyploid cancer cells were more susceptible to CIT
K depletion. In sum, our findings indicate that inducing cytokinesis failure
could be a promising anti-cancer therapeutic approach for a wide range of
cancers, especially those characterized by fast cell proliferation and
polyploidy.
PMID- 27895317
TI - IL-4, a direct target of miR-340/429, is involved in radiation-induced aggressive
tumor behavior in human carcinoma cells.
AB - Radiotherapy induces the production of cytokines, thereby increasing aggressive
tumor behavior. This radiation effect results in the failure of radiotherapy and
increases the mortality rate in patients. We found that interleukin-4 (IL-4) and
IL-4Ralpha (IL-4 receptor) are highly expressed in various human cancer cells
subsequent to radiation treatment. In addition, IL-4 is highly overexpressed in
metastatic carcinoma tissues compared with infiltrating carcinoma tissues. High
expression of IL-4 in patients with cancer is strongly correlated with poor
survival. The results of this study suggest that radiation-induced IL-4
contributes to tumor progression and metastasis. Radiation-induced IL-4 was
associated with tumorigenicity and metastasis. IL-4 expression was downregulated
by miR-340 and miR-429, which were decreased by ionizing radiation (IR).
Radiation-regulated miR-340/429-IL4 signaling increased tumorigenesis and
metastasis by inducing the production of Sox2, Vimentin, VEGF, Ang2, and MMP-2/9
via activating JAK, JNK, beta-catenin, and Stat6 in vitro and in vivo. Our study
presents a conceptual advance in our understanding of the modification of tumor
microenvironment by radiation and suggests that combining radiotherapy with
genetic therapy to inhibit IL-4 may be a promising strategy for preventing post
radiation recurrence and metastasis in patients.
PMID- 27895319
TI - Activation of chronic toxoplasmosis by transportation stress in a mouse model.
AB - Toxoplasma gondii is an obligate intracellular parasite infecting 25% of the
world population and enormous number of animals. It can exist in two forms in
intermediate hosts: the fast replicating tachyzoites responsible for acute
infection and the slowly replicating bradyzoites responsible for life-long
chronic infection. The interconversion between tachyzoites and bradyzoites plays
critical roles in the transmission and pathogenesis of T. gondii. However, the
molecular mechanisms that govern the interconversion are largely unknown. In this
study, we established a chronic infection model in mice and examined the impact
of transportation stress on the status of chronic infection. Our results
demonstrated that, treating chronically infected mice with conditions mimicking
transportation stress reduced the levels of several key cytokines that restrict
the infection at chronic stage. Increased expression of the tachyzoite specific
gene SAG1 (surface antigen 1) was detected in brain cysts of stress treated mice,
indicating activation and conversion of bradyzoites to tachyzoites. Using this
model, we identified fifteen toxoplasmic proteins that had significant abundance
changes during stress induced cysts reactivation. These proteins serve as a basis
for further investigation of the mechanisms governing bradyzoite conversion.
PMID- 27895318
TI - Whole genome DNA methylation: beyond genes silencing.
AB - The combination of DNA bisulfite treatment with high-throughput sequencing
technologies has enabled investigation of genome-wide DNA methylation at near
base pair level resolution, far beyond that of the kilobase-long canonical CpG
islands that initially revealed the biological relevance of this covalent DNA
modification. The latest high-resolution studies have revealed a role for very
punctual DNA methylation in chromatin plasticity, gene regulation and splicing.
Here, we aim to outline the major biological consequences of DNA methylation
recently discovered. We also discuss the necessity of tuning DNA methylation
resolution into an adequate scale to ease the integration of the methylome
information with other chromatin features and transcription events such as gene
expression, nucleosome positioning, transcription factors binding dynamic, gene
splicing and genomic imprinting. Finally, our review sheds light on DNA
methylation heterogeneity in cell population and the different approaches used
for its assessment, including the contribution of single cell DNA analysis
technology.
PMID- 27895320
TI - Clinical Experience with Pancreas Graft Rescue From Severe Thrombus After
Simultaneous Pancreas-Kidney Transplantation by Early Detection with Doppler
Ultrasound: A Case Report.
AB - BACKGROUND Graft thrombosis is the main cause of early graft loss after
transplantation. In Japan, pancreases available for transplantation are
frequently from marginal donors due to diverse backgrounds in the population.
However, marginal tissues increase the risk of early thrombosis in the graft.
CASE REPORT Here, we describe a 41-year-old woman with type 1 diabetes mellitus
who underwent a simultaneous pancreas-kidney transplantation. The pancreas was
retrieved from a 34-year-old man who had experienced severe hemodynamic
instability. The pancreaticoduodenal graft was implanted in the recipient iliac
fossa with enteric drainage. Although the patient had not shown any physical
signs or alterations in substances that might indicate functional loss of the
pancreas graft, a Doppler ultrasound analysis detected a major thrombus in the
pancreas graft on day 7 after surgery. A thrombectomy was performed with a
radiological emergent intervention. After percutaneous direct thrombolysis, the
patient received adjuvant thrombolytic therapy. Thereafter, the postoperative
course was uneventful and the pancreas graft remained functional. CONCLUSIONS
Early detection and treatment of thromboses are required to avoid graft failure
and graft pancreatectomy. This case study demonstrates that early detection of
severe thrombus with Doppler ultrasound in a grafted pancreas did not increase
the risk of graft failure.
PMID- 27895321
TI - Is Nasal Polyposis Related to Levels of Serum Vitamin D and Vitamin D Receptor
Gene Expression?
AB - BACKGROUND Nasal polyposis (NP) is the most frequent cause of nasal masses.
Despite considerable research on the subject, its etiology has not been fully
elucidated, and effective treatment methods have not been developed. Some
etiological factors causing low or high expression of genes in genetically
predisposed individuals may play a role in the pathogenesis of the disease. The
purpose of this study was to assess the relation between levels of vitamin D
receptor (VDR) gene expression and serum vitamin D with NP. MATERIAL AND METHODS
The study included 46 subjects with NP (NP group) and 40 volunteers (control
group). Nasal polyp tissue samples were taken from the NP group and nasal mucosa
samples were taken from the control group. Levels of VDR gene expression in the
tissue samples were assessed using the real-time polymerase chain reaction (RT
PCR) method. RESULTS Mean serum 25(OH)D levels were 13.38+/-14.08 ng/ml in the NP
group and 10.57+/-6.44 ng/ml in the control group (p=0.249). VDR gene expression
was present in 17.5% of the NP group and 3.3% of the control group, and the
difference between the 2 groups was statistically significant (likelihood ratio
chi2=3.887; p=0.049). CONCLUSIONS This is the first study to assess levels of VDR
gene expression in subjects with NP. Our results suggest that VDR gene expression
may be associated with the pathogenesis or progression of NP.
PMID- 27895324
TI - Molecular genetic heterogeneity in undifferentiated endometrial carcinomas.
PMID- 27895322
TI - Gestational vitamin D deficiency and autism-related traits: the Generation R
Study.
AB - There is intense interest in identifying modifiable risk factors associated with
autism-spectrum disorders (ASD). Autism-related traits, which can be assessed in
a continuous fashion, share risk factors with ASD, and thus can serve as
informative phenotypes in population-based cohort studies. Based on the growing
body of research linking gestational vitamin D deficiency with altered brain
development, this common exposure is a candidate modifiable risk factor for ASD
and autism-related traits. The association between gestational vitamin D
deficiency and a continuous measure of autism-related traits at ~6 years (Social
Responsiveness Scale; SRS) was determined in a large population-based cohort of
mothers and their children (n=4229). 25-hydroxyvitamin D (25OHD) was assessed
from maternal mid-gestation sera and from neonatal sera (collected from cord
blood). Vitamin D deficiency was defined as 25OHD concentrations less than 25
nmol l-1. Compared with the 25OHD sufficient group (25OHD>50 nmol l-1), those who
were 25OHD deficient had significantly higher (more abnormal) SRS scores (mid
gestation n=2866, beta=0.06, P<0.001; cord blood n=1712, beta=0.03, P=0.01). The
findings persisted (a) when we restricted the models to offspring with European
ancestry, (b) when we adjusted for sample structure using genetic data, (c) when
25OHD was entered as a continuous measure in the models and (d) when we corrected
for the effect of season of blood sampling. Gestational vitamin D deficiency was
associated with autism-related traits in a large population-based sample. Because
gestational vitamin D deficiency is readily preventable with safe, cheap and
accessible supplements, this candidate risk factor warrants closer scrutiny.
PMID- 27895326
TI - Carole Ho.
PMID- 27895327
TI - Anticancer drugs: Breaking up a pro-survival interaction.
PMID- 27895323
TI - Elevated CYP2C19 expression is associated with depressive symptoms and
hippocampal homeostasis impairment.
AB - The polymorphic CYP2C19 enzyme metabolizes psychoactive compounds and is
expressed in the adult liver and fetal brain. Previously, we demonstrated that
the absence of CYP2C19 is associated with lower levels of depressive symptoms in
1472 Swedes. Conversely, transgenic mice carrying the human CYP2C19 gene (2C19TG)
have shown an anxious phenotype and decrease in hippocampal volume and adult
neurogenesis. The aims of this study were to: (1) examine whether the 2C19TG
findings could be translated to humans, (2) evaluate the usefulness of the 2C19TG
strain as a tool for preclinical screening of new antidepressants and (3) provide
an insight into the molecular underpinnings of the 2C19TG phenotype. In humans,
we found that the absence of CYP2C19 was associated with a bilateral hippocampal
volume increase in two independent healthy cohorts (N=386 and 1032) and a lower
prevalence of major depressive disorder and depression severity in African
Americans (N=3848). Moreover, genetically determined high CYP2C19 enzymatic
capacity was associated with higher suicidality in depressed suicide attempters
(N=209). 2C19TG mice showed high stress sensitivity, impaired hippocampal Bdnf
homeostasis in stress, and more despair-like behavior in the forced swim test
(FST). After the treatment with citalopram and 5-HT1A receptor agonist 8OH-DPAT,
the reduction in immobility time in the FST was more pronounced in 2C19TG mice
compared with WTs. Conversely, in the 2C19TG hippocampus, metabolic turnover of
serotonin was reduced, whereas ERK1/2 and GSK3beta phosphorylation was increased.
Altogether, this study indicates that elevated CYP2C19 expression is associated
with depressive symptoms, reduced hippocampal volume and impairment of
hippocampal serotonin and BDNF homeostasis.
PMID- 27895328
TI - Regulatory watch: Challenges in drug development for central nervous system
disorders: a European Medicines Agency perspective.
PMID- 27895329
TI - Cancer immunology community seeks better end points.
PMID- 27895330
TI - FDA approves antitoxin antibody.
PMID- 27895332
TI - EMA opens its data vaults.
PMID- 27895331
TI - Paring down the placebo response.
PMID- 27895333
TI - Anticancer drugs: Exploiting a weakness in colorectal cancers.
PMID- 27895334
TI - Biologics: Engineering T cells for customized therapeutic responses.
PMID- 27895335
TI - PCSK9 pipeline.
PMID- 27895336
TI - Access to medicines report cards.
PMID- 27895337
TI - Equivalence of BCSH and WHO diagnostic criteria for ET.
PMID- 27895338
TI - Response to Harrison et al. 'Clinically relevant differences between BCSH and WHO
diagnostic criteria for ET'.
PMID- 27895339
TI - Breast reconstruction at The Aga Khan University - A 10 year audit.
AB - Considering the high incidence of breast cancer and the subsequent need for a
mastectomy, the number of patients having breast reconstruction remains
relatively low the world over. Most studies from the west show that anywhere
between 5% to around 50% of women proceed to reconstruction following a complete
mastectomy. There is a great paucity of literature on the subject in Pakistan.
Anecdotal and unofficial accounts would suggest that less than a fraction of 1%
of women in Pakistan undergo reconstruction following a mastectomy.We reviewed
our cases retrospectively over a 10 year study period from January 2005 to
December 2014 with the objective to assess our results and to learn from them as
well as to attempt to raise the profile of this important reconstructive
manoeuver. Our numbers are low when compared internationally. However on a
national level it would seem that the numbers currently exceed most institutions
in the country. The overall results appear to be acceptable though the low
numbers preclude definitive conclusions.
PMID- 27895340
TI - Is Grown Up Congenital Heart (GUCH) disease different in a developing country?
AB - In the current era grown up congenital heart disease (GUCH) patients undergoing
surgical interventions are increasing. Most of the interventions in the developed
countries are either complex or redo-operations in patients who had previously
undergone repair, palliation or correction. However, in the developing countries
most of the interventions are primary and corrective. This descriptive
retrospective study comprised GUCH patients who underwent surgical intervention
for congenital heart disease (CHD) at Aga Khan University Hospital, Karachi, from
January 2006 to December 2015. A total of 195 patients had been treated
surgically with a mean age of 31.0+/-13.5 years. Majority of the patients
underwent surgical interventions for closure of atrial 109(55.3%) and ventricular
51(26.2%) septal defect. The most common complications were prolonged ventilation
16(8.1%). Overall mortality was 4(2.1%). GUCH in our practice is for primary
procedure with simple diagnosis that should have been treated before reaching
adulthood as is done in the developed countries.
PMID- 27895341
TI - Bringing efficiency into practice: A quality improvement initiative to reduce
operating room turnaround time.
AB - Operating room (OR) turnaround time (TAT) is the minimal essential time required
for cleaning of OR and preparation for the next case. The TAT inversely affects
OR efficiency. Several factors related to personnel, equipment and scheduling
have been identified as causes of increased TAT. We conducted the study to
identify factors that affect OR TAT and to propose recommendations for its
reduction. The retrospective study, conducted at Aga Khan University Hospital,
Karachi, comprised TAT records related to March 2014. Of the 88 cases, 22(25%)
showed a delay. Upon Pareto analysis it was found that in 8(36.6%) cases there
was a delay of 70% related to scheduling of OR list and 5(22.7%) related to
movement of patients from wards to OR. As such, improvement in these two broad
areas can take care of majority of delays. We also recommend documentation of all
processes as part of continuous improvement.
PMID- 27895342
TI - Innovations in cardiovascular care: Historical perspective, contemporary
practice, recent trends and future directions.
AB - Cardiovascular diseases continue to be a major cause of mortality and morbidity
in the world population. First open heart procedure was performed by Gibbon in
1953, since then many advancements have been introduced to the field of cardiac
surgery. Minimally invasive techniques were introduced, which include minimally
invasive coronary artery bypass grafting (CABG), off-pump technique, minimally
invasive valve surgery or transcatheter techniques to implant stentless or
sutureless valves. The hybrid strategy to address coronary disease combines
catheterisation procedures with standard surgical techniques. Cardiac imaging has
also progressed to provide three-dimensional images of the heart, enabling
surgeons to plan procedures with greater accuracy. Left ventricular assist
devices can be used in patients suffering from cardiogenic shock or awaiting
heart transplantation. Total artificial heart can be used for biventricular
mechanical support. As technology becomes increasingly used for patient
management, the future surgeon needs to be trained in minimally invasive surgical
techniques.
PMID- 27895343
TI - Cervical mediastinoscopy in the diagnosis of lymphadenopathy in South Asia.
AB - We planned to determine the clinical utility and safety of mediastinoscopy in the
pathologic diagnosis of mediastinal lymphadenopathy and to determine disease
patterns in a tertiary care setting in Karachi. The retrospective review
comprised record of patients who underwent mediastinoscopy over 25 years between
July, 1990 and August, 2015. Of the 122 patients, records were complete for
88(72%). Mean age was 42.5+/-12.9 years and 55(62.5%) were male. Overall,
60(68.2%) patients had benign inflammatory diseases; 25 (28.4%) had lymphoma or
lung cancer which was accurately staged; 26(29.5%) had tuberculosis; 13(14.8%)
sarcoidosis; and 11 (12.5%) had concomitant tuberculosis and sarcoidosis. No
mortality or significant morbidity was recorded. Mediastinoscopy was useful in
staging bronchogenic carcinoma and influenced the management in this series. It
was found to be accurate in the diagnosis of other malignant as well as benign
mediastinal pathologies, all of which were treatable.
PMID- 27895344
TI - Peri-operative determinants of prolonged CICU stay after coronary artery bypass
graft surgery in elderly at a private tertiary care hospital: A case control
study.
AB - OBJECTIVE: To explore peri-operative risk factors associated with prolonged stay
in cardiac intensive care unit among patients undergoing isolated coronary artery
bypass grafting. Methods: This retrospective case control study was conducted at
the Aga Khan University Hospital, Karachi, comprised medical records of patients
who had undergone cardiothoracic revascularisation surgery from January 2006 to
December 2013. The patients were grouped into cases and controls at a ratio of
1:2 on the basis of length of stay at cardiac intensive care unit, i.e. >72 hours
and <72 hours. Independent risk factors for prolonged cardiac intensive care
unitstay were evaluated. SPSS 20 was used for data analysis. Results: Of the 999
patients, 333(33.3%) were cases and 666(66.6%) were controls. The mean age of
cases was 62.5+/-9.7 years and that of controls was 60.8+/-9.6 years (p=0.007).
The number of males was 280(84.1%) among the cases and 489(73.4%)among the
controls. Adjusted odds ratio and 95% confidence interval for age and male gender
were 1.02 (1.0,1.03) and [1.90 (1.32,2.74)]; diabetics were at high risk of
staying longer [1.51 (1.13,2.02)]; previous cardiovascular interventions [1.65
(1.05,2.59)], intra-aortic balloon pump insertion [1.45 (1.01,2.08)], initial
ventilation time and post-operative bleeding tamponade were independently
associated with prolonged cardiac intensive care unit stay [1.01 (1.00, 1.01)]
and [1.9 (1.13,3.2)], respectively. The risk of dying among the cases was three
times more after adjusting for all covariates in the model [3.1 (1.52,6.31)].
Conclusion: Advanced age, male gender, diabetes, previous cardiovascular
interventions, post-operative intra-aortic balloon pump insertion, initial
ventilation support and post-op bleeding tamponade were found to be the
independent risk factors for prolonged cardiac intensive care unit stay.
PMID- 27895345
TI - A review of the failed cases of dental implants at a university hospital in
Karachi, Pakistan.
AB - The retrospective study was conducted to assess the dental implants that
experienced failure. It was conducted at the dental clinic of the Aga Khan
University Hospital, Karachi, and comprised record of dental implants from July
2010 to June 2015. Variables such as patient age, gender and systemic status,
length and diameter of implants, bone grafting, type of definitive prosthesis
(crown or bridge or overdenture), nature of retention (cement versus screw
retained), loading strategy (immediate versus delayed loading), etc. were
analysed. Of the 220 implants placed, 6(2.7%) failed to integrate (as revealed by
torque test) at the beginning of prosthetic phase. Besides, 1(0.5%) implant
failed after six months of function. The 7(3.2%) failed cases had common
variables like deficient bone volume in maxilla (or placement of bone graft), non
submerged placement protocol, early loading with prosthesis and the presence of
diabetes.
PMID- 27895346
TI - Association between hypodontia and Angles malocclusion.
AB - This study was planned to determine the prevalence of hypodontia in permanent
dentition and to test whether an association was present between hypodontia and
Angle's malocclusion. The retrospective study was conducted at a tertiary care
hospital, Karachi, and comprised record of all patients visiting the orthodontic
clinics of the hospital from 2005 to 2015. Orthodontic records of 790(79%)
subjects, including 189(23.9%) males and 601(76.1%) females, were reviewed. Their
mean age was 17 +/- 5.06 years. A tooth was considered missing if no evidence of
tooth germ was observed on orthopantomograms and dental casts. The total sample
was distributed into three groups on the basis of Angle's classification. Chi
square test was applied to determine an association between hypodontia and
Angle's malocclusion. Tooth agenesis was observed in 34(4.3%) and a statistically
significant difference was found between the genders (p=0.005). A positive
association was found between hypodontia and malocclusion groups. Higher
frequency of missing teeth was seen in Class III malocclusion which indicates a
great need for orthodontic treatment as it has a psychosocial impact on the
quality of life.
PMID- 27895347
TI - Comparison of fracture and deformation in the rotary endodontic instruments:
Protaper versus K-3 system.
AB - This experimental study was done on extracted human teeth to compare the fracture
and deformation of the two rotary endodontic files system namely K-3 and
Protapers. It was conducted at the dental clinics of the Aga Khan University
Hospital, Karachi, A log of file deformation or fracture during root canal
preparation was kept. The location of fracture was noted along with the identity
of the canal in which fracture took place. The fracture in the two rotary systems
was compared. SPSS 20 was used for data analysis. Of the 172(80.4%) teeth
possessing more than 15 degrees of curvature, fracture occurred in 7(4.1%) cases
and deformation in 10(5.8%). Of the 42(19.6%) teeth possessing less than 15
degrees of curvature, fracture occurred in none of them while deformation was
seen in 1(2.4%). There was no difference in K-3 and Protaper files with respect
to file deformation and fracture. Most of the fractures occurred in mesiobuccal
canals of maxillary molars, n=3(21.4%). The likelihood of file fracture increased
5.65-fold when the same file was used more than 3 times. Irrespective of the
rotary system, apical third of the root canal space was the most common site for
file fracture.
PMID- 27895348
TI - A 6-year Evaluation of 223 Tapered Dental Implants and associated prosthesis in
92 patients at a University Hospital.
AB - The aim of the retrospective study was to assess the clinical and radiographic
outcome of the dental implant surgery and prosthetics. It was conducted at the
Aga Khan University Hospital, Karachi, and comprised of medical charts and
radiographic records of patients visiting between 2010 and 2015. Variables such
as implant dimensions, final prosthesis, method of retention, loading protocol
and patient factors were analysed. A total of 223 implants (143(64.1%) in maxilla
and 80(35.9%) in mandible) were placed in 92 subjects (50(54.3%) males and
42(45.7%) females). All implants were Zimmer tapered screw-vent. Length of
108(48.4%) implants was 11.5mm and diameter of 84(37.7%) implants was 4.7mm.
Besides, 6(2.7%) implants failed to osseointegrate, whereas 1(0.4%) implant
failed at 12 months of loading. Among the 216(96.9%) successful implants,
140(64.8%) served as bridge abutments, 72(33.3%) were single crown abutments and
4(1.9%) were overdenture abutments. Also, 37(17.1%) implants were immediately
loaded. The six-year survival rate of implants was 96.9%.
PMID- 27895349
TI - Prevalence of musculoskeletal disorders among dentists in teaching hospitals in
Karachi, Pakistan.
AB - Work-related musculoskeletal pain is common among healthcare professionals,
including dentists. This cross-sectional study was conducted to determine the
prevalence of work-related musculoskeletal disorders (MSDs) among dentists. This
study was carried out from January to April 2016 at various teaching hospitals of
Karachi, and comprised 230 dentists. A self-administered questionnaire, adapted
from a validated Nordic questionnaire, was distributed among the participants.
Descriptive statistics were computed and associations of interest were analysed
using chi-square test. Of the 230 forms, 182(79.11%) were included after leaving
out the incomplete ones. The overall prevalence of MSDs was 138(75.8%). The most
frequent reasons for MSDs were lack of rest 21(15.2%) and having static postures
for more than half-an-hour per procedure 38(27.5%).
PMID- 27895350
TI - Age estimation of a sample of Pakistani population using Coronal Pulp Cavity
Index in molars and premolars on Orthopantomogram.
AB - The aim of the present study was to assess the validity of tooth-coronal index
(TCI) in the age estimation. This retrospective charts review was conducted at
the Aga Khan University Hospital, Karachi from January 2016 to March 2016, and
comprised 315 teeth of 80 individuals. The teeth were analysed on
Orthopantomogram. TCI was calculated for unrestored mandibular premolars and
molars. Pearson???'s correlation was applied to assess correlation between
chronological age and TCI. Besides, 30(37.5%) subjects were male and 50(62.5%)
female, and 140(44.4%) teeth were of male subjects and 175(55.6%) were of
females. The mean correlation coefficient between chronological age and TCI was
0.27. The highest negative correlation was observed for tooth No.47 in males (r=
0.72) whereas among females the highest negative correlation was noted for tooth
No.36 (r=-0.61).There was very weak correlation between age and TCI of a tooth.
Therefore, TCI index could not be predictably used for age estimation in the
studied population.
PMID- 27895351
TI - Frequency of Sensorineural hearing loss in chronic suppurative otitis media.
AB - Chronic suppurative otitis media (CSOM) is defined as chronic otorrhea (i.e.,
lasting > 6-12 weeks) through a perforated tympanic membrane. It is generally
associated with some degree of conductive hearing loss. However, recurrent ear
infections due to perforated eardrum result in absorption of toxins and
macromolecules into the cochlea leading to sensorineural hearing loss (SNHL). We
planned to determine the frequency of sensorineural hearing loss in chronic
suppurative otitis media. A descriptive cross-sectional study was conducted at
Aga Kgan University Hospital, Karachi, from October 2013 to March 2014. Average
threshold of speech frequencies was calculated via pure tone audiogram for both
diseased and normal contralateral ear.A mean of >25db in diseased ear was
labelled as positive case for SNHL. SNHL was reported in 64(52%) patients and the
frequency was found to increase with increasing duration. Patients with CSOM
should be counselled regarding the risk of developing SNHL if left untreated.
PMID- 27895352
TI - Effect of intra-abdominal pressure on post-laparoscopic cholecystectomy shoulder
tip pain: A randomized control trial.
AB - OBJECTIVE: To compare the effect of intra-abdominal pressure on postoperative
shoulder-tip pain in laparoscopic cholecystectomy. METHODS: This was a randomized
control study, conducted at Lady Reading Hospital Peshawar from January to August
2013 on160 patients, randomized to two groups i.e. the low pressure (LPLC) and
the standard pressure group (SPLC) where the intra abdominal pressures were kept
10mmHg and above 10mmHg during surgery respectively. The age, gender, weight,
duration of surgery, postoperative pain and frequency of analgesic administration
in first 24 hours recorded and analyzed using Statistical Package for Social
Sciences v20.0. Frequency and percentages were calculated for categorical while
mean +/- SD was calculated for continuous variables. P-value of <0.05 was
considered significant. RESULTS: The mean operative times in group A and B were
27.84+/-6.078 vs. 28.51+/-7.45 minutes (p-value=0.625) respectively. Overall, the
shoulder tip pain was reported in 25 (15%) patients. The frequencies in group A
and B were 6 (7.5%) vs. 19 (23.8%) respectively (p-value = 0.005). The mean
intensity of pain on VAS was 0.28+/-0.90 vs. 1.31+/-2.38 in the two groups
respectively (p-value of 0.001). The mean number of analgesic administration in
the first 24 hours was 2.24+/-0.48 in Group A vs.2.41+/-0.52 in Group B (p-value
= 0.02) respectively. CONCLUSIONS: Our study shows that low intra-abdominal
pressure results in reduced frequency of post-operative shoulder tip pain without
any prolongation of duration of surgery.
PMID- 27895353
TI - Bacterobilia in acute cholecystitis: Bile cultures' isolates, antibiotic
sensitivities and antibiotic usage. A study on a Pakistani population.
AB - Acute cholecystitis is one of the most common acute surgical conditions.
Laparoscopic cholecystectomy remains the mainstay of treatment. In patients
managed non-operatively, antibiotics play an important role in the treatment of
cholecystitis. The current retrospective observational study was conducted at a
tertiary care hospital in Karachi, and comprised medical records of patients
admitted between 2008 and 2014with acute cholecystitis and in whom bile cultures
were obtained. Of the 509 patients with a mean age of 51.15 +/- 13.4years, early
laparoscopic cholecystectomy (within 72hours) was performed on 473(92.9%) cases,
while the rest underwent percutaneous cholecystostomy. Bile cultureswere positive
in 171(33.6%) patients. Predominantly gram-negative organisms were isolated among
a total of 137(27%), with E.coli 63(46%) being the most commonly isolated
organism. Of the gram-positive organism, enterococcus 11(8%) was the most common.
Antibiotic sensitivities were determined.Based on our findings gram-negative
coverage alone should be sufficient in our segment of the population.
PMID- 27895354
TI - Nosocomial infections in the ICU: Pens and spectacles as fomites.
AB - Nosocomial infections are a major cause of morbidity and mortality. Non-medical
objects known as fomites may have a role in their genesis. We investigated the
significance of writing pens and spectacles as fomites. The study was conducted
at Aga Khan University Hospital, Karachi, from July 2013 to September 2013.
Cultures were taken from pens and/or spectacles of resident nurses, doctors and
nursing assistants in intensive care unit (ICU). Organisms important in ICU
nosocomial infections were targeted. Seven rounds of sampling over 3 weeks led to
55 pen and 5 spectacle samples. Growth was seen in 3(5.5%) pen samples and 1(20%)
spectacle sample. Two (3.6%) pen cultures grew acinetobacter, 1)1.8%) grew
candida and acinetobacter, and i spectacle culture grew vancomycin-resistant
enterococcus faecium (VRE). Two out of the 4 (50%) personnel managing all ICU
beds had growth. During the study, one or more ICU patients had infection with
the same organisms. Pens and spectacles may be responsible for the spread of
organisms like acinetobacter and VRE. Personnel managing multiple beds are more
likely to carry contaminated fomites.
PMID- 27895355
TI - Prediction of asialoglycoprotein receptors by correlated liver function
parameters before hepatectomy.
AB - Flow cytometric analysis of asialoglycoprotein receptor (ASGPR) levels on the
surface of hepatocytes, which were obtained from the liver specimens of patients
that received hepatectomy, were used as predictors of liver dysfunction after
major hepatectomy for primary hepatocellular carcinoma (HCC) in Chinese patients,
based on our previous study which confirmed the value of ASGPR levels on the
surface of hepatocytes in evaluating the liver reserve function. The current
study was planned to establish a conversion formula for the value of ASGPR with
correlated liver function parameters. It was conducted from January 1, 2014, to
June 30, 2015, at Beijing DiTan Hospital, Beijing, China, and comprised 55
patients having undergone major hepatectomy. The outcomes of hepatectomy were
compared with ASGPR levels and preoperative liver function parameters. A multiple
linear regression model was used to identify the converted ASGPR value. The
calculated ASGPR level was derived as: 80.695 + 0.002 * cholinesterases (CHE)
(IU/L) - 0.620 * indocyanine green retention rate at 15 min (ICGR15)(%) - 0.655 *
total bilirubin (TB) (umol/L). Receiver-operator characteristic curve analysis
showed that the sensitivity and specificity of the ASGPR value <68.18% were 100%
and 77.3% respectively for predicting liver dysfunction after hepatectomy. The
converted ASGPR value may be reliable index for hepatic functional reserve in
patients undergoing hepatectomy.
PMID- 27895356
TI - The power peripherally inserted central catheter is superior to a central venous
catheter in management of patients with esophageal variceal bleeding undergoing
devascularization.
AB - Peripherally-inserted central catheters (PICC) have a greater retention time and
less complications compared to central venous catheters (CVC). The study was
conducted from From January of 2014 to December 2015 at Beijing DiTan Hospital,
Beijing, China, and comprised 70 patients undergoing devascularisation. Of the
total, 36(51.4%) patients underwent placement of PICC (Group A), while 34(48.6%)
underwent had CVC (Group B). Venous catheterisation was successful in all
patients. The median duration of venous catheterization in Group A was greater
than that in Group B (p=0.002). Catheter-associated complications did not differ
between the groups (p=0.46). The level of blood platelet (PLT) count, Prothrombin
activity (PTA) and white blood cell (WBC) count before venous catheterisation
were independent risk factors for bleeding at the puncture site and catheter
related infections. A Power PICC may be a better choice than a CVC in patients
undergoing devascularisation requiring catheterisation. For patients with a lower
PLT count, a decreased PTA, or a decreased WBC, venous catheterisation should be
performed with caution.
PMID- 27895358
TI - Paediatric traumatic brain injury: Presentation, prognostic indicators and
Outcome analysis from a tertiary care center in a developing country.
AB - Traumatic brain injury (TBI) is the leading cause of morbidity and mortality in
children worldwide. This study was conducted to report the presentation,
management, outcomes and prognostic indicators in a large series of patients from
a tertiary care centre in a developing country. It is a review of prospectively
collected data of paediatric patients with TBI admitted at our centre between
July 2010 and December 2013. A total of 291 patients with a mean age of 7.2+/-5.0
years were dichotomised into survivors and non-survivors, and variables were
compared between the two groups. The mean post-resuscitation Glasgow coma scale
(GCS) score was 11.6+/-3.9, mean Marshall Score was 2.26+/-0.95 and the mean
revised trauma score at presentation was 10.58+/-1.7. Younger age, lower GCS
score after resuscitation, lower revised trauma score, absent cisterns on
imaging, associated subarachnoid haemorrhage (SAH) and intraventricular
haemorrhage (IVH) and a lower Marshall score were associated with higher
mortality.
PMID- 27895357
TI - Better outcome of splenectomy in younger patients suffering from chronic immune
thrombocytopenia (ITP).
AB - Immune thrombocytopenia purpura (ITP) may need splenectomy after failure of
medical treatment. The aim of this study was to explore the outcome of
splenectomy in chronic ITP and to point out factors which can predict better
response to splenectomy. This retrospective chart review was conducted at the Aga
Khan University Hospital, Karachi, and comprised adult patients who underwent
splenectomy for ITP from October 2005 to December 2015. Of the 51 patients,
37(72.5%) were females and 14(27.5%) were males. The overall median age was 32
years (interquartile range: 18-65 years). Complete response was seen in 43(84.3%)
patients, 2(4%) had response and 6(11.7%) had no response. Relapse rate of ITP at
1 year was 4(8.8%). Multivariate analysis showed that failure rate of splenectomy
in the 41(80.4%) patients aged <50 years was 3(7%) as opposed to 3(30%) in the
10(19.6%) patients aged >50 years (p=0.04). Splenectomy was found to be a safe
and effective option for treatment of ITP. Young age at the time of surgery was
associated with good response to surgery.
PMID- 27895359
TI - Awake craniotomy for brain tumours in Pakistan: An initial case series from a
developing country.
AB - Awake craniotomy offers safe resection of brain tumours in eloquent area. Aga
Khan University Hospital, Karachi, recently started the programme in Pakistan,
and the current study was planned to assess our experience of the first 16
procedures. The retrospective study comprised all such procedures done from
November 2015 to May 2016. Pre-operative and post-operative variables were
analysed. Of the 16 patients, 11(68.75%) were males and 5(31.25%) were females.
The overall median age was 37 years (interquartile range[IQR]: 23-62 years). The
most common presenting complaint was seizures 8(50%), followed by headache6(38%).
The common pathologies operated include oligodendroglioma and glioblastoma. Pre
operative mean Karnofsky Performance Status score was 76+/-10, which increased to
96+/-7 post-operatively at discharge. Besides, 2(12.5%) intra-operative
complications were observed, i.e. seizure and brain oedema, in the series. The
study had median operative time of 176 minutes (IQR: 115-352) and median length
of stay of 4 days (IQR: 3-7).Awake craniotomy was highly effective in maintaining
post-operative functionality of the patient following glioma resection. It was
also associated with shorter hospital course and so lower cost of management.
PMID- 27895360
TI - Paediatric External Ventricular Drains: Experience from a tertiary care hospital
of a Developing Country.
AB - The aim of the study was to describe indications and complications of external
ventricular drain (EVD) placement in children aged between 1 month and 16 years.
This retrospective chart review was conducted at the Aga Khan University
Hospital, Karachi, and comprised all children who underwent EVD placement from
January 2007 to December 2014. Of the 177 patients identified, 117(66%) were
males 60(34%) were girls. The overall mean age was 5.4+/-5.2 years. The median
Glasgow Coma Scale score on presentation and discharge was 13 (interquartile
range [IQR]: 7) and 15 (IQR: 4), respectively. Major diagnosis included
intracranial tumour 60(34%), bacterial meningitis 34(19%), tuberculous meningitis
33(18.6%), and haemorrhage 23(13%). Clinical indications for EVD insertion were
acute hydrocephalus secondary to infection 64(36.2%), tumour 54(30.5%), and
haemorrhage 23(13%) ventriculoperitoneal shunt malfunction or infection 25(14.1%)
and traumatic brain injury 11(6.2%). Complications were observed in 47(26%)
patients including infections 25(14.1%), malfunction 11(6.2%), haemorrhage
6(3.4%), misplacement 3(1.7%) and obstruction 2(1.1%). Staphylococcus was the
main organism isolated. Moreover, 25(14%) patients expired. Acute hydrocephalus
was the major indication and EVD infection was the major complication.
PMID- 27895361
TI - Neurosurgery training in Pakistan: Follow-up survey and critical analysis of
National Training Programmes.
AB - This cross-sectional nation-wide survey was planned to assess the current status
of neurosurgery residency training in Pakistan and to compare it with the results
of a previous study. The duration of study was from July to December 2015. It
comprised of neurosurgery trainees enrolled with the College of Physicians and
Surgeons of Pakistan (CPSP). In this study, 43 trainees from 13 centres were
included. The mean duration of training acquired by participants was 2.8+/-1.9
years. The mean work hours were 73.8+/-21.9 per week. Moreover, 28(65%) trainees
had access to at least one neurosurgery journal while 29(67%) did not have any
indexed publication. Besides, 2(15.4%) centres did not have internet facility and
more than half did not have a regular morbidity and mortality meeting. Training
facilities were highly variable in different institutes. When compared with
previous study, little improvement occurred during the previous six years. We
recommend a uniform academic curriculum and standardisation of training
facilities among different institutes.
PMID- 27895362
TI - A review of scleral buckle procedures performed at a tertiary care center in
Karachi, Pakistan.
AB - This retrospective study was done to evaluate the visual and anatomic outcomes of
scleral buckling for the repair of rhegmatogenous retinal detachment (RRD). All
scleral buckle procedures performed at the Aga Khan University Hospital, Karachi,
from May 1999 to April 2012 were included. A total of 75 eyes of 72 patients were
studied. The mean age of patients at surgery was 33.0+/-17.2 years. The mean
logarithm of the minimum angle of resolution (logMAR) visual acuity (VA) was
0.9+/-0.8 pre-operatively and 0.5+/-0.6 at 1 year (p=0.018). At baseline, 27(36%)
eyes had a best corrected visual acuity (BCVA) of 20/50 or better, while at 1
year after surgery 47(63.5%) eyes had BCVA of 20/50 or better. Retina had
successfully attached after first attempt in 70(93.3%) cases. Scleral buckling
for the repair of RRD resulted in a high anatomical success rate as well as
significant improvement in visual acuity.
PMID- 27895363
TI - A systematic review of epidemiological literature on the eye health of
marginalized fishing populations.
AB - A systematic review was conducted in December 2013 to examine the extent to which
health research has been focused on the eye health issues of fishing communities.
We searched multiple databases to identify relevant citations, using a
combination of Medical Subject Headings (MeSH) and text words representing eye
health, fishing populations and measures of disease frequency. The search yielded
only 4 studies, described in 5 articles. Three studies (one each in Turkey, Egypt
and Spain) provided data on self-reported eye problems in fishermen or fishery
workers, with prevalence ranging from 38% to 81%. There was only one study in the
literature that objectively assessed the burden and causes of vision impairment
and blindness in fishing communities. None of the studies examined availability,
accessibility, acceptability and quality of eye care services. We conclude that
marginalized fishing communities are almost non-existent in eye health
literature. Eye health needs of these and other marginalized populations must be
identified and addressed in post-2015 health and development agenda.
PMID- 27895364
TI - A 10-year review of indications for penetrating keratoplasty in a tertiary care
setting in Karachi Pakistan.
AB - The retrospective study was conducted to determine the indications and outomes of
penetrating keratoplasty(PKP) in a tertiary care setting in Pakistan. All eyes
that had undergone PKP between January 2005 and December 2014 at the Aga Khan
University Hospital, Karachi, were included.Data were collected on the
indications of PKP, graft survival (graft clarity at final follow-up), andbest
corrected visual acuity (BCVA).Kaplan-Meier?'s method was usedto analyse graft
survival.Out of 437 eyes, 383(87%) were for visual purpose. Trauma-related
corneal scarring 113(26.2%) was the leading cause of PKP, followed by keratoconus
74(17.1%), redo graft 56(13%), infection-related corneal opacity 46(10.6%),
corneal dystrophy 44(10.2%) and bullous keratopathy 36(8.3%). The probability of
graft survival at 30 months was 90% for keratoconusversus 75% for the non
keratoconus grafts. Most of the PKPs in this case series were due to preventable
causes. Our long-term PKP results were favourable, with a graft survival
ratecomparable to those of other centres.
PMID- 27895365
TI - Indications of enucleations and eviscerations performed at a tertiary eye care
unit: A case series.
AB - The retrospective study was planned to look at the indications of eye
enucleations and eviscerations, and comprised all such procedures done at the Aga
Khan University Hospital, Karachi, from January 2006 to December 2015. Data was
collected regarding age at the time of procedure, gender, procedure type,
indication for enucleation or evisceration, type of implant if used and
complications. Of the 66 eyes of 65 individuals, 47 (71.2%) underwent
evisceration and 19 (28.8%) enucleation. The mean age at the time of the
procedures was 37.86+/-23.09 years. The indication for enucleation or
evisceration were keratitis 14(21.2%), introcular tumours 13(19.7%), trauma
10(15.2%), endophthalmitis 10(15.2%), cosmesis 10(15.2%) and painful blind eye
9(13.6%). Only 5(7.5%) procedures had postoperative complications such as wound
dehiscence, infected socket, loose suture, or stich abscess. Most of causes of
enucleation and evisceration in this case series could have prevented through the
application of evidence-based safety practices.
PMID- 27895366
TI - Intra-operative implantation errors during Austin Moore Hemiarthroplasty.
AB - Austin Moore hemiarthroplasty is an established treatment in elderly patients
with neck of femur fractures. Being commonly performed, it is also associated
with several technical errors of implantation which results in complications and
failure requiring revision surgery. This retrospective pre- and post-operative
radiographic study to determine the frequency of technical errors was conducted
at the Indus Hospital, Karachi, and comprised data of 50 patients who underwent
Austin Moore hemiarthroplasty between January and November 2016. Of the total,
29(58%%) patients had no error of implantation. Overhanging of prosthesis was
observed in 21(42%) patients, followed by inadequate length of the neck remnant
in 18(36%). Moreover, 8(16%) patients sustained intra-operative periprosthetic
fractures managed with cerclage wire. Also, 33(66%) patients had a Dorr type
Afemur morphologic pattern. Hemiarthroplasty was found to be a technically
demanding procedure associated with avoidable intra-operative implantation errors
by proper preoperative planning, careful patient selection, proper training of
surgeons, hence avoiding failure.
PMID- 27895367
TI - Two incision mini open carpal tunnel release- a minimally invasive alternative to
endoscopic release.
AB - Surgical techniques for carpal tunnel release are constantly evolving to reduce
complications. This retrospective study was planned to identify the outcome and
complications associated with a new operating technique for release of carpal
tunnel using two incisions. It was conducted at the Aga Khan University Hospital,
Karachi, and comprised patients undergoing surgical release of carpal tunnel
syndrome (CTS) between January 2011 and December 2014. Of the 54
patients,38(70.4%) cases were of right-sided CTS. The mean operating time was
12.5+/-4.9 minutes. Complete relief from symptoms was observed in all the
patients and the only complication noted was superficial infection in 2(3.7%)
patients.The outcomes and complications associated with this technique were
comparable with other standard techniques. No major complication (e.g.
neurovascular injury) was reported, which showed that this technique was safe and
had no additional risks. Therefore, it can be used as an alternative to
endoscopic release which is expensive and requires special training and
equipment.
PMID- 27895368
TI - Does obesity affects early infection after total knee arthroplasty. A comparison
of obese vs non obese patients.
AB - Surgical site infection (SSI) is a disastrous complication after total knee
arthroplasty (TKA) which can cause prosthesis loosening and may end up in
septicaemia. The incidence of infection reported to be in the range of 0.3-12.4%
for primary TKA. Significantly higher infection rate is found in the morbidly
obese patients. The current study compared the immediate rate of post-total knee
replacement wound infection in the obese versus non-obese population. The cross
sectional study was conducted at Aga Khan University Hospital (AKUH) and
comprised patients undergoing TKA for primary knee osteoarthritis. Surgical
outcome was measured by the Southampton wound infection score at 1-month follow
up. Out of 142 enrolled patients with a mean age of 67.8+/-56 years, infection
was confirmed in 3(2.11%) patients who needed surgical management. All the
patients who developed SSIs were females.
PMID- 27895369
TI - Improvement in quality of lifesix months after primary total hip arthroplasty in
a Pakistani population.
AB - This prospective, cohort study was carried out to assess the improvement in
quality of life of patients undergoing elective primary total hip arthroplasty
(THA). It was conducted at the orthopaedic department of the Aga Khan University
Hospital, Karachi, from June 2014 to May 2015, and comprised patients who had
undergone THA. A total of 89 patients having a mean age of 41.5+/-12.0 years with
a baseline core outcomes measure index (COMI)-hip score of > 3.5 were included. A
decrease in COMI-hip score by >3 points six months post-operatively was
considered improvement in quality of life. Patient satisfaction with restriction
to squatting was assessed separately. The mean reduction in COMI-hip was 4.9+/
1.3 with 83(93%) patients experiencing significant improvement in quality of
life. Age >50 years and American Society of Anaesthesiologists (ASA) level >III
was significantly associated with no improvement in quality of life. Most
patients were satisfied with their disability to squat irrespective of COMI-hip
score. THA was found to be associated with significant improvement in quality of
life and COMI-hip score was applicable in our population despite its inability to
assess disability with restriction in squatting.
PMID- 27895370
TI - Lower red cell transfusion rates with use of tranexamic acid in single-stage
bilateral total knee arthroplasty: A retrospective audit.
AB - Bilateral total knee arthroplasty (BTKA) patients may require blood transfusion
which has its risks. Anti-fibrinolytic drugs such as aprotinin, aminocaproic acid
and tranexamic acid (TXA) have reduced transfusion requirements in major surgery.
This retrospective audit was performed to assess effectiveness of TXA in reducing
blood transfusion rate in single-stage sequential BTKA cases operated by a single
surgeon. Records of 91 patients given TXA and 80 controls who were operated
before 2012 and not given TXA were reviewed. TXA was given 15mg/kg intravenously
(IV) before tourniquet deflation and 3 hours postoperatively.Blood transfusion
was done in 9(10%) patients in the TXA group compared to 20(25%)in the control
group (p<0.01). One (1.25%) patient in the control group had non-fatal pulmonary
embolism.TXA appeared to be effective in decreasing post-operative blood loss and
requirement for blood transfusion after single-stage BTKA.
PMID- 27895372
TI - Diagnostic value of sinus tract culture versus intraoperative bone culture in
patients with chronic osteomyelitis.
AB - To compare the organisms isolated from the sinus tract culture and intraoperative
bone culture in patients with chronic osteomyelitis. This cross sectional
prospective study was conducted from February 2015 to March 2016. Ninety
consecutive patients were enrolled in study (n=90). Inclusion criteria were
patients with either sex, any age, chronic osteomyelitis having discharging
sinus. Sample from sinus tracts and bone were taken through standardized aseptic
technique and organism were cultured. There were 62 males and 28 females, male to
female ratio 3:1, mean age was 40 years. 23 patients had primary osteomyelitis
and 67 had secondary osteomyelitis. 68 patients had orthopaedic implant
infections. In 61/90 (67%) patients the same organism was isolated on both sinus
tract and intraoperative cultures.In our scenario we found that within the
limitations of this study, there was a high ratio of agreement between the sinus
tract and intraoperative cultures. We conclude that if used judiciously, sinus
tract cultures can yield accurate results in the majority of cases.
PMID- 27895371
TI - Rotational Mal-Alignment after Reamed Intramedullary Nailing for tibial shaft
fracture.
AB - Intra-medullary (IM) nailing is standard of care for unstable tibial shaft
fractures. Malrotation is very common but it is under-recognised, inpart because
of variation in normal anatomy and partly due to difficulty in accurately
assessing rotation. This study was planned to evaluate the frequency of
rotational mal-alignment after reamed tibia IMnailing. This cross-sectional study
was conducted at Aga khan University Hospital, Karachi, and comprised patients
with tibia shaft fractures managed with IMnailing from January to December 2014.
All the patients were assessed intra-operatively for rotational alignment using
the knee and ankle fluoroscopic images. There were 81 patients with a mean age of
38+/-16.9 years. There were 64(79%) male patients. Overall the incidence of
malrotation was in 20(24.7%) cases. Rotational mal-alignment is one of the
preventable complications after IMnailing which can be assesed intra-operatively
under fluoroscope.
PMID- 27895373
TI - Percutaneous Ilio-sacral Screw Fixation for Unstable Pelvic Ring Injuries.
AB - This prospective interventional study was planned to determine the clinical and
radiological outcome of percutaneous iliosacral screw fixation for unstable
pelvic ring injuries. It was conducted from March 2008 to June 2014 at the
Department of Orthopaedic Surgery Combined Military Hospital (CMH) at Lahore,
Multan, Rawalpindi and Muzaffarabad. It comprised 50 patients with unstable
pelvic ring injuries. Visual analogue scale (VAS), Majeed pelvic score and
Lindahl criteria were used for functional and radiological outcomes assessment.
The mean age of the patients was 47.82+/-8.94 years. Moreover, 33(66%)
participants were males and 17(34%) were females. The mean pre-operative Majeed
and VAS scores were 38.98+/-6.28 and 9.04+/-0.67, respectively. Operating time
and blood loss were reduced significantly. VAS score for post-operative pain was
3.82+/-1.26 at 1 month and decreased further to 2.68+/-1.30 at 12 months post
operatively (p<0.001). Radiological outcome and the post-operative Majeed scores
were good to excellent in 43(86%) cases. Thus Percutaneous iliosacral screw
fixation of unstable pelvic ring injuries resulted in excellent radiological and
functional outcome with significantly decreased post-operative VAS score for SI
joint pain.
PMID- 27895374
TI - Pediatric laparoscopic surgery; initial experience from Pakistan; first 100 cases
in single center.
AB - In a developing country like Pakistan, laparoscopic surgeries are not considered
favourable by many, possibly because of high costs or a lack of expertise. It is
an established fact that laparoscopic surgery offers better surgical treatments
with a shorter hospital stay and fewer complications. The current retrospective
study was conducted at a tertiary care hospital in Karachi and comprised of
laparoscopy cases performed by a single surgeon from March 2012 to September of
2014. A total of 100laparoscopic surgeries were performed; mostly appendectomies
49(49%) and undescended testes (UDTs) 34(34%). Overall, there were 70(70%) male
patients. The mean age of the patients was 7.1 years and standard deviation (SD)
of 2. Four (4%) patients had cellulitis. Laparoscopy paediatric surgery offered
advantages of fewer wound-associated complications, less incisional pain, a
shorter recovery time, and improved cosmesis.
PMID- 27895375
TI - Clinical profile, treatment and survival outcomes of peadiatric germ cell
tumours: A Pakistani perspective.
AB - Germ Cell Tumours (GCTs) are rare tumours. Generally 80% are benign and 20%
malignant with a bimodal age distribution. The retrospective study was conducted
at Shaukat Khanum Cancer Hospital, Lahore, Pakistan, and comprised all paediatric
patients below 18 years of age who received treatment for histology-proven GCT
from 2006 to 2014. Of the 207 patients, 98(42.3%) were males and 109(52.7%) were
females. The most common GCT was yolk sac tumour in 90(43.5%) children followed
by mixed GCT in 40(19.3%) and dysgerminoma in 34(16.4%). Gonads were most
commonly involved in 165(79.7%) patients with metastasis in 24(11.6%) at
presentation and recurrence in 26(12.5%) patients. Overall, 133(64.3%) patients
are well and followed up at regular intervals and 55(26.5%) have been lost to
follow-up with an expected overall 5-year median survival of 45%. Despite the
distinct clinical profile of paediatric GCT, survival can be improved by early
diagnosis, regimented treatment according to set guidelines, protocols and by
improving follow-up.
PMID- 27895376
TI - Versatility of the Anterolateral Thigh Flap: An AKUH experience.
AB - Anterolateral thigh flap is used for reconstruction of various soft tissue
defects. We planned the study to evaluate the versatility of the anterolateral
thigh flap as it may be used for reconstruction of head and neck, torso and
extremities with minimal donor-site morbidity. The retrospective study was
conducted at Aga Khan University Hospital, Karachi, and comprised date from
October 2012 to December 2015. Of the 75 patients in which anterolateral thigh
flap was used for reconstruction, 6(8%) flaps did not survive. The overall flap
survival was 69(92%). Anterolateral thigh flap was versatile enough to provide
soft tissue coverage to defects of various soft tissue and bulk requirements.
Adequate outcomes were achieved pertaining to the reconstruction of the soft
tissue defects. Anterolateral thigh flap can be used to reconstruct soft tissue
defects almost anywhere and almost of any complexity.
PMID- 27895377
TI - Frequency and predictors of recurrence of bladder tumour on first check
cystoscopy - a tertiary care hospital experience.
AB - OBJECTIVE: To determine the frequency and predictors of non-muscle invasive
bladder tumour recurrence on first-check cystoscopy after transurethral resection
of bladder tumour. METHODS: This cross-sectional study was conducted at the Aga
Khan University Hospital, Karachi, from April to November 2014, and comprised
patients with a suspected newly-diagnosed urothelial cancer. Patients with non
muscle invasive disease with complete resection of all visible lesions along with
deep biopsy from the tumour base were included. Patients received standard
adjuvant intravesical therapy according to their risk stratification and
underwent a white-light check cystoscopy at 3 months to look for tumour
recurrence. Association between clinico-pathological variables and recurrence at
first cystoscopy was determined. SPSS 20 was used for data analysis. RESULTS: The
mean age of 84 patients at presentation was 63.3+/-12.5 years (range: 36-89
years). There were 75(89%) men and 9(11%) women. On initial transurethral
resection, the size of tumour was less than 3cm in 32(38%) participants and equal
to or above 3cm in 52(62%). Single tumour was found in 51(61%) subjects and
multiple tumours in 33(39%). None of the resected tumours was primary carcinoma
in situ and 35(42%) tumours were of high grade. The overall recurrence rate at
first cystoscopy was 28(33.3%). Larger tumour, higher grade and tumour
multifocality were factors associated with recurrence at check cystoscopy (p<0.05
each). Patients?' age, gender, smoking status and tumour stage did not correlate
with early recurrence (p>0.05 each). CONCLUSIONS: The number, size and grade of
the tumour strongly correlated with recurrence at check cystoscopy.
PMID- 27895378
TI - Intravesical Foreign Body: Tertiary care center experience from Pakistan.
AB - Foreign body in bladder is relatively uncommon condition with variable
presentations. Literature is limited to case reports and small series from
region. Therefore, we planned this study to review our experience regarding
intravesical foreign body. This retrospective study was conducted at the Aga Khan
University Hospital, Karachi, and comprised 14 patients having intravesical
foreign bodies from March 1989 to March 2013. Demographics, presentation, mode of
insertion, type of foreign body and management were noted. Of the 11(78.6%)
patients included in the study, 10(90.9%) patients were male and 1(9.1%) was
female. The mean age was 51+/- 20 years. In 5(45.5%) patients, foreign bodies
reached bladder by iatrogenic route followed by self-insertion in 4(36.4%)
patients. Retrieved foreign bodes included piece of Foley?'s catheter, electric
wire, ureteric stent, plastic material, double-J stent pusher, Endo
gastrointestinal (GI) staples, sticks of broom and bomb shrapnel. All patients
were managed successfully with endoscopic removal. However, 5(45.5%) patients
required additional urological procedures. This could represent a urologic
challenge. Careful history and symptoms could lead to further investigations.
PMID- 27895379
TI - Functional outcomes after successful lower extremity arterial injuries repair.
AB - The study was conducted to measure functional outcome of patients who had
successful lower extremity arterial reconstruction after vascular injury at a
tertiary care centre in Karachi over 5 years from January 2008 to December 2013.
In this descriptive cohort study, the functional outcomes were assessed with
lower extremity functional scale (LEFS). The mean age the 75 patients in the
study was 32+/-11 years. Limb salvage was successful in 72(96%) cases. The most
common injured artery was superficial femoral artery 30(40%) followed by
popliteal artery 19(25%). The most common method of repair was end-to-end using
either polytetrafluoroethylene (PTFE) graft 43(57%) or vein interposition
grafting 19(25%). Two (2.66%) patients with delayed presentation had secondary
amputation. One (1.33%) patient had graft infection. Acceptable good functional
outcome was noted with a mean LEFS of 72+/-8.
PMID- 27895380
TI - The Age-Related Macular Degeneration Complex: Linking Epidemiology and
Histopathology Using the Minnesota Grading System (The Inaugural Frederick C.
Blodi Lecture).
AB - PURPOSE: To describe the histopathologic findings of the four stages of age
related macular degeneration (AMD) as defined by the Age-Related Eye Disease
Study (AREDS) using the Minnesota grading system (MGS). CLINICAL RELEVANCE: There
are no animal models for AMD. Eye banks enable access to human tissue with AMD.
The level of AMD (grades 1 through 4) as defined by AREDS is determined ex vivo
using the MGS. The AREDS has the largest collection to date of prospectively
gathered data on the natural history of AMD. Since the MGS uses the same clinical
criteria as AREDS, the addition of histopathologic findings of graded tissue
confirms important pathophysiology at each stage of AMD. METHODS: Four eye bank
eyes were graded according to the MGS. Only the right eyes were dissected for
phenotype grading. The fellow (left) eyes were fixed for histopathologic study.
The eyes were serially sectioned (7 MUm) through the macula. Individual slides
were examined, and a two-dimensional reconstruction of the topography of the
macula was created for each donor. Selected, unstained slides were used for
immunohistochemical staining. In one donor, portions of tissue were obtained for
transmission electron microscopic (TEM) processing. RESULTS: Donor 1 had a rare
hard, nodular druse (MGS1). Donor 2 had intermediate confluent drusen (MGS2).
Donor 3 had numerous intermediate drusen (MGS3) in the right eye. Histopathology
of the fellow left showed basal laminar deposits (BLamD), soft drusen, and an
area of occult choroidal neovascularization underlying the retinal pigment
epithelium (RPE) with endothelial cells (CD31-positive). Donor 4 also had MGS 3
along with reticular pseudodrusen (RPD). Histologic and TEM examination
demonstrated diffuse BLamD, thickening of Bruch's membrane, hard drusen, and
focal nodules underlying the RPE that corresponded to the RPD. EM examination
demonstrated both BLamD and electron-dense material located just external to the
elastic layer of Bruch's membrane. CONCLUSION: Eye bank eyes graded using the MGS
serve as an important link to the phenotypic and epidemiologic data from the
AREDS. Thus, the MGS serves as a system to study the histopathology at each stage
of AMD to better understand the relevant pathophysiologic changes in disease
progression.
PMID- 27895381
TI - Reactive oxygen species induce neurite degeneration before induction of cell
death.
AB - Reactive oxygen species (ROS) induce neuronal cell death in a time- and
concentration-dependent manner. Treatment of cultured cells with a low
concentration of hydrogen peroxide induces neurite degeneration, but not cell
death. Neurites (axons and dendrites) are vulnerable to ROS. Neurite degeneration
(shrinkage, accumulation, and fragmentation) has been found in neurodegenerative
disorders, such as Alzheimer's disease, Parkinson's disease, and Huntington's
disease. However, the mechanism of ROS-related neurite degeneration is not fully
understood. Many studies have demonstrated the relationship between mitochondrial
dysfunction and microtubule destabilization. These dysfunctions are deeply
related to changes in calcium homeostasis and ROS production in neurites.
Treatment with antioxidant substances, such as vitamin E, prevents neurite
degeneration in cultured cells. This review describes the possibility that ROS
induces neurite degeneration before the induction of cell death.
PMID- 27895382
TI - Non-invasive measurement of melanin-derived radicals in living mouse tail using X
band EPR.
AB - The aim of this experiment is to measure in vivo generation of melanin-derived
radicals non-invasively, as a quantifiable index of radio-biological effect.
Melanin-derived radicals in a living intact mouse tail tip were non-invasively
measured in very simple way using an X-band electron paramagnetic resonance
spectrometer. Colored mouse strains, C57BL/6NCr, BDF1, and C3H/He, have clear EPR
signal corresponding to melanin-derived radicals in the tail tip; however, albino
mouse strains, BALB/cCr, ddY, ICR, have no EPR signals. An X-ray fraction of 2
Gy/day (1 Gy/min) was repeatedly irradiated to a C3H/He mouse tail skin every
Monday to Friday for 4 weeks. In comparison to before starting irradiation, the
C3H/He mouse tail skin became darker, like a suntan. The melanin-derived radicals
in C3H/He mouse tail skin were increased in association with X-ray fractions.
Melanin-derived radicals in mouse tail skin can be readily and chronologically
measurable by using X-band EPR spectrometer, and can be a marker for a
radiobiological effect in the skin.
PMID- 27895383
TI - Preparation and antioxidant/pro-oxidant activities of 3-monosubstituted 5
hydroxyoxindole derivatives.
AB - Antioxidant treatments have been expected to be a novel therapeutics for various
oxidative stress-mediated disorders. Our previous study revealed that 5
hydroxyoxindole and its 3-phenacyl-3-hydroxy derivatives showed excellent
antioxidant activities such as 1,1-diphenyl-2-picrylhydrazyl (DPPH) radical
scavenging activity and lipid-peroxidation inhibitory activity. However, the DPPH
radical scavenging activity of the 3,3-disubstituted derivatives was lower than
that of the original 5-hydroxyoxindole. In the present study, we synthesized
novel 3-monosubstituted 5-hydroxyoxindole derivatives that exhibited stronger
DPPH radical scavenging activities and lipid peroxidation-inhibitory activities
than the 3,3-disubstituted 5-hydroxyoxindoles. Moreover, the 3-monosubstituted 5
hydroxyoxindole derivatives showed neither an iron-mediated pro-oxidant effect
nor a remarkable cytotoxicity against HL-60 cell lines except some of the highly
lipophilic compounds. These results indicate that 3-monosubstituted 5
hydroxyoxindoles can be used as a promising antioxidant scaffold for drug
discovery.
PMID- 27895384
TI - Exendin-4 induces extracellular-superoxide dismutase through histone H3
acetylation in human retinal endothelial cells.
AB - Extracellular-superoxide dismutase (genetic name SOD3) is a secreted anti
oxidative enzyme, and its presence in vascular walls may play an important role
in protecting the vascular system against oxidative stress. Oxidative stress has
been implicated in the pathogenesis of diabetic retinopathy; therefore, increases
in extracellular-superoxide dismutase have been suggested to inhibit the
progression of diabetic retinopathy. Incretin-based drugs such as glucagon-like
peptide-1 receptor agonists are used in the treatment of type 2 diabetes.
Glucagon-like peptide-1 receptor agonists are expected to function as
extrapancreatic agents because the glucagon-like peptide-1 receptor is expressed
not only in pancreatic tissues, but also in many other tissue types. We herein
demonstrated that exendin-4, a glucagon-like peptide-1 receptor agonist, induced
the expression of extracellular-superoxide dismutase in human retinal
microvascular endothelial cells through epigenetic regulation. The results of the
present study demonstrated that exendin-4 induced the expression of extracellular
superoxide dismutase through histone H3 acetylation at the SOD3 proximal promoter
region. Moreover, plasma extracellular-superoxide dismutase concentrations in
diabetic patients were elevated by incretin-based therapies. Therefore, incretin
based therapies may exert direct extrapancreatic effects in order to protect
blood vessels by enhancing anti-oxidative activity.
PMID- 27895385
TI - Involvement of reactive oxygen species derived from mitochondria in neuronal
injury elicited by methylmercury.
AB - Methylmercury induces oxidative stress and subsequent neuronal injury. However,
the mechanism by which methylmercury elicits reactive oxygen species (ROS)
production remains under debate. In this study, we investigated the involvement
of mitochondrial ROS in methylmercury-induced neuronal cell injury using human
neuroblastoma SH-SY5Y-derived rho0 cells, which have a deletion of mitochondrial
DNA and thus decreased respiratory activity. SH-SY5Y cells were cultured for 60
days in the presence of ethidium bromide to produce rho0 cells. Our rho0 cells
showed decreases in the cytochrome c oxidase expression and activity as well as
oxygen consumption compared with original SH-SY5Y cells. Methylmercury at a
concentration of 1 uM induced cell death with oxidative stress in original SH
SY5Y cells, but not rho0 cells, indicating that rho0 cells are resistant to
methylmercury-induced oxidative stress. rho0 cells also showed tolerance against
hydrogen peroxide and superoxide anion, suggesting that rho0 cells are resistant
to total ROS. These data indicate that mitochondrial ROS are clearly involved in
oxidative stress and subsequent cell death induced by methylmercury. Considering
that the dominant mechanism of ROS generation elicited by methylmercury is due to
direct antioxidant enzyme inhibition, mitochondria might play a role in
amplifying ROS in methylmercury-induced neurotoxicity.
PMID- 27895386
TI - Addition of strawberries to the usual diet increases postprandial but not fasting
non-urate plasma antioxidant activity in healthy subjects.
AB - Strawberries can augment plasma antioxidant activity, but this may be confounded
by selection of methods, time of blood sampling and concomitant dietary
restrictions. We examined the effect of strawberry consumption on ferric reducing
ability (FRAP) and 2,2-diphenyl-1-picrylhydrazyl (DPPH) radical scavenging
activity (DPPH-test) of native and non-urate plasma in healthy subjects on their
usual diet. Eleven subjects consumed strawberries (500 g daily) for 9 days.
Fasting and 3-h postprandial plasma and 24-h urine collection were obtained
before, during and after strawberry course for FRAP, DPPH-test and polyphenols
determination. Fifteen subjects served as a control in respect to plasma
antioxidant activity changes and effect of 300 mg of oral ascorbate. First, 5th
and 9th strawberry dose increased 3-h postprandial DPPH-test by 17.4, 17.6 and
12.6%, and FRAP by 15.5, 25.6 and 21.4% in comparison to fasting values in non
urate plasma (p<0.05). In native plasma only a trend was observed to higher
postprandial values for both tests. Strawberries increased urinary urolithin A
and 4-hydroxyhippuric acid whereas plasma polyphenols were stable. No changes of
FRAP and DPPH-test were noted in controls and after ascorbate intake.
Strawberries transiently increased non-urate plasma antioxidant activity but this
cannot be attributed to direct antioxidant effect of polyphenols and ascorbate.
PMID- 27895387
TI - Retention of acetylcarnitine in chronic kidney disease causes insulin resistance
in skeletal muscle.
AB - Insulin resistance occurs frequently in patients with chronic kidney disease.
However, the mechanisms of insulin resistance associated with chronic kidney
disease are unclear. It is known that an increase in the mitochondrial acetyl-CoA
(AcCoA)/CoA ratio causes insulin resistance in skeletal muscle, and this ratio is
regulated by carnitine acetyltransferase that exchanges acetyl moiety between CoA
and carnitine. Because excess acetyl moiety of AcCoA is excreted in urine as
acetylcarnitine, we hypothesized that retention of acetylcarnitine might be a
cause of insulin resistance in chronic kidney disease patients. Serum
acetylcarnitine concentrations were measured in chronic kidney disease patients,
and were significantly increased with reduction of renal function. The effects of
excess extracellular acetylcarnitine on insulin resistance were studied in
cultured skeletal muscle cells (C2C12 and human myotubes), and insulin-dependent
glucose uptake was significantly and dose-dependently inhibited by addition of
acetylcarnitine. The added acetylcarnitine was converted to carnitine via reverse
carnitine acetyltransferase reaction, and thus the AcCoA concentration and
AcCoA/CoA ratio in mitochondria were significantly elevated. The results suggest
that increased serum acetylcarnitine in CKD patients causes AcCoA accumulation in
mitochondria by stimulating reverse carnitine acetyltransferase reaction, which
leads to insulin resistance in skeletal muscle.
PMID- 27895388
TI - Acetate alters expression of genes involved in beige adipogenesis in 3T3-L1 cells
and obese KK-Ay mice.
AB - The induction of beige adipogenesis within white adipose tissue, known as
"browning", has received attention as a novel potential anti-obesity strategy.
The expression of some characteristic genes including PR domain containing 16 is
induced during the browning process. Although acetate has been reported to
suppress weight gain in both rodents and humans, its potential effects on beige
adipogenesis in white adipose tissue have not been fully characterized. We
examined the effects of acetate treatment on 3T3-L1 cells and in obese diabetic
KK-Ay mice. The mRNA expression levels of genes involved in beige adipocyte
differentiation and genes selectively expressed in beige adipocytes were
significantly elevated in both 3T3-L1 cells incubated with 1.0 mM acetate and the
visceral white adipose tissue from mice treated with 0.6% acetate for 16 weeks.
In KK-Ay mice, acetate reduced the food efficiency ratio and increased the whole
body oxygen consumption rate. Additionally, reduction of adipocyte size and
uncoupling protein 1-positive adipocytes and interstitial areas with multilocular
adipocytes appeared in the visceral white adipose tissue of acetate-treated mice,
suggesting that acetate induced initial changes of "browning". In conclusion,
acetate alters the expression of genes involved in beige adipogenesis and might
represent a potential therapeutic agent to combat obesity.
PMID- 27895389
TI - Comparisons of dietary intake in Japanese with non-alcoholic fatty liver disease
and type 2 diabetes mellitus.
AB - Non-alcoholic fatty liver disease (NAFLD) is a multifactorial disease that
involves a complex interaction between genetics, diet, and lifestyle, all of
which combine to form the NAFLD phenotype. In Japan, medical nutrition therapy
for NAFLD has not yet been established, so NAFLD patients are instructed in the
dietary modifications used for type 2 diabetes mellitus (T2DM). Because points of
difference may exist in the effects of dietary choices on NAFLD and T2DM, the
present study aimed to compare and assess the dietary intake of Japanese
individuals with NAFLD and T2DM. This cross-sectional study involved 219 patients
(77 NAFLD subjects; 33 males, 44 females; 142 T2DM subjects: 76 males, 66
females) aged 40-79 years. Dietary intake was assessed using a validated self
administered diet history questionnaire. Among the results, the most notable in
NAFLD patients relative to T2DM patients were: 1) the low intake of vegetables
that can reduce the overall energy density; 2) the high consumption of fruits and
confectionery containing simple carbohydrates such as fructose; and 3) BMI may be
higher. We demonstrated differences in dietary selection between the two groups.
NAFLD patients were more likely to have dietary habits that promote fat
accumulation in the body.
PMID- 27895390
TI - Maslinic acid in olive fruit alleviates mild knee joint pain and improves quality
of life by promoting weight loss in the elderly.
AB - Consumption of olives (Olea europaea L.) is associated with a low incidence of
inflammation-related diseases. Olive fruit is rich in bioactive pentacyclic
triterpenoids, mainly maslinic acid. This study, a randomized, double-blind, and
placebo-controlled trial, examined the effects of an orally administered maslinic
acid supplement, olive fruit extract, on 20 middle-aged and elderly volunteers
with mild knee joint pain. Each subject (58 +/- 7 years) received either olive
fruit extract, containing 50 mg maslinic acid (n = 12), or placebo (n = 8) daily
for 12 weeks and evaluated for pain and physical functions as primary outcome
measures. Secondary outcome measures included body composition and inflammatory
biomarkers in serum. Although both groups exhibited improved pain visual analogue
scale score and quality of life after supplementation, symptoms were better in
the maslinic acid group than in the placebo group. After 12 weeks, maslinic acid
group exhibited significant decrease in body weight and body mass index
suggesting that maslinic acid affected the weight of volunteers with mild knee
joint pain. Therefore, olive products containing maslinic acid may be useful as a
new preventive and therapeutic food ingredient for arthritic diseases. Since this
clinical study is a preliminary study, it was not registered in a publicly
accessible database.
PMID- 27895391
TI - Characteristics of gastric cancer detected within 1 year after successful
eradication of Helicobacter pylori.
AB - Gastric cancers are sometimes diagnosed in patients who have successfully
undergone Helicobacter pylori (H. pylori) eradication. We analyzed the
clinicopathological features of gastric cancers detected after eradication to
clarify their characteristics. We reviewed 31 patients with 34 cases of gastric
cancer detected after successful H. pylori eradication. Clinicopathological
characteristics analyzed included interval since eradication, interval since last
endoscopy, tumor size, and depth of invasion. Patients were classified into two
groups: early detection (<1 year since eradication) and delayed detection (>=1
year since eradication). The interval since last endoscopy was significantly
shorter in the early detection group than in the delayed detection group.
However, gastric cancers were significantly larger and more invasive in the early
detection group than in the delayed detection group. In conclusion, diligent
endoscopy is necessary during the first year after successful H. pylori
eradication. (The University Hospital Medical Information Network clinical trial
registration number is UMIN000018541.).
PMID- 27895392
TI - Determination of the adequate dosage of rebamipide, a gastric mucoprotective
drug, to prevent low-dose aspirin-induced gastrointestinal mucosal injury.
AB - Small intestinal mucosal injury caused by low-dose aspirin is a common cause of
obscure gastrointestinal bleeding. We aimed to investigate the protective effects
and optimal dose of rebamipide for low-dose aspirin-induced gastrointestinal
mucosal injury. In this prospective randomized trial, 45 healthy volunteers (aged
20-65 years) were included and divided into three groups. The groups received
enteric-coated aspirin 100 mg (low-dose aspirin) plus omeprazole 10 mg (Group A:
proton pump inhibitor group), low-dose aspirin plus rebamipide 300 mg (Group B:
standard-dose group), or low-dose aspirin plus rebamipide 900 mg (Group C: high
dose group). Esophagogastroduodenoscopy and video capsule endoscopy were
performed, and the fecal occult blood reaction and fecal calprotectin levels were
measured before and two weeks after drug administration. Although the fecal
calprotectin levels increased significantly in Group A, they did not increase in
Groups B and C. The esophagogastroduodenoscopic and video capsule endoscopic
findings and the fecal occult blood test findings did not differ significantly
among the three groups. In conclusion, standard-dose rebamipide is sufficient for
preventing mucosal injury of the small intestine induced by low-dose aspirin,
indicating that high-dose rebamipide is not necessary.
PMID- 27895393
TI - Emerging role of obeticholic acid in the management of nonalcoholic fatty liver
disease.
AB - Nonalcoholic fatty liver disease (NAFLD) is the commonest chronic liver disease
and its prevalence is increasing driven by the pandemic of obesity and type 2
diabetes mellitus. NAFLD can progress to cirrhosis and is associated with
increased risk for cardiovascular disease and hepatocellular cancer. Diet and
exercise are limited by suboptimal long-term adherence in patients with NAFLD. On
the other hand, current pharmacological treatment of NAFLD has limited efficacy
and unfavorable safety profile. In this context, obeticholic acid (OCA), a
selective agonist of the farnesoid X receptors, might represent a useful option
in these patients. Preclinical studies suggest that OCA improves hepatic
steatosis, inflammation and fibrosis. A proof-of-concept study and the
randomized, placebo-controlled Farnesoid X Receptor Ligand Obeticholic Acid in
non-alcoholic steatohepatitis Treatment (FLINT) trial also showed improvements in
liver histology in patients with NAFLD who received OCA. Weight loss and
reduction in blood pressure were also observed. However, the effects of OCA on
insulin resistance are conflicting and the lipid profile is adversely affected by
this agent. In addition, pruritus is frequently observed during treatment with
OCA and might lead to treatment discontinuation. However, given the limitations
of existing treatments for NAFLD, OCA might represent a useful therapeutic option
in selected patients with NAFLD.
PMID- 27895394
TI - Concise review: Interferon-free treatment of hepatitis C virus-associated
cirrhosis and liver graft infection.
AB - Chronic hepatitis C is a major reason for development of cirrhosis and
hepatocellular carcinoma and a leading cause for liver transplantation. The
development of direct-acting antiviral agents lead to (pegylated) interferon-alfa
free antiviral therapy regimens with a remarkable increase in sustained virologic
response (SVR) rates and opened therapeutic options for patients with advanced
cirrhosis and liver graft recipients. This concise review gives an overview about
most current prospective trials and cohort analyses for treatment of patients
with liver cirrhosis and liver graft recipients. In patients with compensated
cirrhosis Child-Pugh-Turcotte (CTP) class A, all approved agents are safe and SVR
rates do not significantly differ from patients without cirrhosis in general. In
patients with decompensated cirrhosis CTP class B or C, daclastasvir, ledipasvir,
velpatasvir, and sofosbuvir are approved, and SVR rates higher than 90% can be
achieved. Especially for patients with a model of end stage liver disease score
higher than 15 and therefore eligible for liver transplantation, data is scarce.
Reported SVR rates in patients with cirrhosis CTP class C are lower compared to
patients with a less severe liver disease. In liver transplant recipients with a
maximum of CTP class A, SVR rates are comparable to patients without LT. Patients
with decompensated graft cirrhosis should be treated on an individual basis.
PMID- 27895397
TI - Th17 involvement in nonalcoholic fatty liver disease progression to non-alcoholic
steatohepatitis.
AB - The nonalcoholic fatty liver disease (NAFLD) is the hepatic manifestation of the
metabolic syndrome. NAFLD encompasses a wide histological spectrum ranging from
benign simple steatosis to non-alcoholic steatohepatitis (NASH). Sustained
inflammation in the liver is critical in this process. Hepatic macrophages,
including liver resident macropaghes (Kupffer cells), monocytes infiltrating the
injured liver, as well as specific lymphocytes subsets play a pivotal role in the
initiation and perpetuation of the inflammatory response, with a major
deleterious impact on the progression of fatty liver to fibrosis. During the last
years, Th17 cells have been involved in the development of inflammation not only
in liver but also in other organs, such as adipose tissue or lung.
Differentiation of a naive T cell into a Th17 cell leads to pro-inflammatory
cytokine and chemokine production with subsequent myeloid cell recruitment to the
inflamed tissue. Th17 response can be mitigated by T regulatory cells that
secrete anti-inflammatory cytokines. Both T cell subsets need TGF-beta for their
differentiation and a characteristic plasticity in their phenotype may render
them new therapeutic targets. In this review, we discuss the role of the Th17
pathway in NAFLD progression to NASH and to liver fibrosis analyzing different
animal models of liver injury and human studies.
PMID- 27895395
TI - Mesenchymal stromal cell-based therapy: Regulatory and translational aspects in
gastroenterology.
AB - The past decade has witnessed an outstanding scientific production focused
towards the possible clinical applications of mesenchymal stromal cells (MSCs) in
autoimmune and chronic inflammatory diseases. This raised the need of novel
standards to adequately address quality, efficacy and safety issues of this
advanced therapy. The development of a streamlined regulation is currently
hampered by the complexity of analyzing dynamic biological entities rather than
chemicals. Although numerous pieces of evidence show efficacy in reducing
intestinal inflammation, some inconsistencies between the mechanisms of action of
rodent vs human MSCs suggest caution before assigning translational value to
preclinical studies. Preliminary evidence from clinical trials showed efficacy of
MSCs in the treatment of fistulizing Crohn's disease (CD), and preparations of
heterologous MSCs for CD treatment are currently tested in ongoing clinical
trials. However, safety issues, especially in long-term treatment, still require
solid clinical data. In this regard, standardized guidelines for appropriate
dosing and methods of infusion could enhance the likelihood to predict more
accurately the number of responders and the duration of remission periods. In
addition, elucidating MSC mechanisms of action could lead to novel and more
reliable formulations such as those derived from the MSCs themselves (e.g.,
supernatants).
PMID- 27895398
TI - Dysregulation of innate immunity in ulcerative colitis patients who fail anti
tumor necrosis factor therapy.
AB - AIM: To study the innate immune function in ulcerative colitis (UC) patients who
fail to respond to anti-tumor necrosis factor (TNF) therapy. METHODS: Effects of
anti-TNF therapy, inflammation and medications on innate immune function were
assessed by measuring peripheral blood mononuclear cell (PBMC) cytokine
expression from 18 inflammatory bowel disease patients pre- and 3 mo post-anti
TNF therapy. Toll-like receptor (TLR) expression and cytokine production post TLR
stimulation was assessed in UC "responders" (n = 12) and "non-responders" (n =
12) and compared to healthy controls (n = 12). Erythrocyte sedimentation rate
(ESR) and C-reactive protein (CRP) levels were measured in blood to assess
disease severity/activity and inflammation. Pro-inflammatory (TNF, IL-1beta, IL
6), immuno-regulatory (IL-10), Th1 (IL-12, IFNgamma) and Th2 (IL-9, IL-13, IL
17A) cytokine expression was measured with enzyme-linked immunosorbent assay
while TLR cellular composition and intracellular signalling was assessed with
FACS. RESULTS: Prior to anti-TNF therapy, responders and non-responders had
similar level of disease severity and activity. PBMC's ability to respond to TLR
stimulation was not affected by TNF therapy, patient's severity of the disease
and inflammation or their medication use. At baseline, non-responders had
elevated innate but not adaptive immune responses compared to responders (P <
0.05). Following TLR stimulation, non-responders had consistently reduced innate
cytokine responses to all TLRs compared to healthy controls (P < 0.01) and
diminished TNF (P < 0.001) and IL-1beta (P < 0.01) production compared to
responders. This innate immune dysfunction was associated with reduced number of
circulating plasmacytoid dendritic cells (pDCs) (P < 0.01) but increased number
of CD4+ regulatory T cells (Tregs) (P = 0.03) as well as intracellular
accumulation of IRAK4 in non-responders following TLR-2, -4 and -7 activation (P
< 0.001). CONCLUSION: Reduced innate immunity in non-responders may explain
reduced efficacy to anti-TNF therapy. These serological markers may prove useful
in predicting the outcome of costly anti-TNF therapy.
PMID- 27895399
TI - Altered pattern of tumor necrosis factor-alpha production in peripheral blood
monocytes from Crohn's disease.
AB - AIM: To evaluate the inflammatory state in Crohn's disease (CD) patients and
correlate it with genetic background and microbial spreading. METHODS: By means
of flow cytometry, production of tumor necrosis factor-alpha (TNF-alpha) was
measured in peripheral blood monocytes from patients suffering from CD,
ulcerative colitis (UC) and in healthy subjects after stimulation of the NOD2 and
TLR pathways. CD patients were genotyped for the three most common NOD2 variants
(R702W, G908R and L1007Pfs*2) and basal production of TNF-alpha was correlated to
NOD2 genotype. Also, production of TNF-alpha was correlated to plasmatic levels
of LPS Binding Protein (LBP), soluble (s) CD14 and to the activity state of the
disease. RESULTS: The patients with CD were characterized by a significantly
higher monocyte basal expression of TNF-alpha compared with healthy subjects and
UC patients, and after stimulation with Pam3CSK4 (ligand of TLR2/1) and MDP-L18
(ligand of NOD2) this difference was maintained, while other microbial stimuli
(LPS, ligand of TLR4 and PolyI:C, ligand of TLR3) induced massive activation in
CD monocytes as well as in UC and in healthy control cells. There was no
significant difference in the production of TNF-alpha between patients who
carried CD-associated heterozygous or homozygous variants in NOD2 and patients
with wild type NOD2 genotype. Although serum LBP levels have been shown to
correlate positively with the state of activity of the disease, TNF-alpha
production did not show a clear correlation with either LBP or sCD14 levels in
plasma. Moreover, no clear correlation was seen between TNF-alpha production and
activity indices in either CD or UC. CONCLUSION: Peripheral monocytes from CD
express higher basal and stimulated TNF-alpha than controls, regardless of NOD2
genotype and without a clear correlation with disease activity.
PMID- 27895400
TI - Esophagogastric anastomosis in rats: Improved healing by BPC 157 and L-arginine,
aggravated by L-NAME.
AB - AIM: To cure typically life-threatening esophagogastric anastomosis in rats,
lacking anastomosis healing and sphincter function rescue, in particular.
METHODS: Because we assume esophagogastric fistulas represent a particular NO
system disability, we attempt to identify the benefits of anti-ulcer stable
gastric pentadecapeptide BPC 157, which was in trials for ulcerative colitis and
currently for multiple sclerosis, in rats with esophagocutaneous fistulas.
Previously, BPC 157 therapies have promoted the healing of intestinal anastomosis
and fistulas, and esophagitis and gastric lesions, along with rescued sphincter
function. Additionally, BPC 157 particularly interacts with the NO-system. In the
4 d after esophagogastric anastomosis creation, rats received medication (/kg
intraperitoneally once daily: BPC 157 (10 MUg, 10 ng), L-NAME (5 mg), or L
arginine (100 mg) alone and/or combined or BPC 157 (10 MUg, 10 ng) in drinking
water). For rats underwent esophagogastric anastomosis, daily assessment included
progressive stomach damage (sum of the longest diameters, mm), esophagitis
(scored 0-5), weak anastomosis (mL H2O before leak), low pressure in esophagus at
anastomosis and in the pyloric sphincter (cm H2O), progressive weight loss (g)
and mortality. Immediate effect assessed blood vessels disappearance (scored 0-5)
at the stomach surface immediately after anastomosis creation. RESULTS: BPC 157
(all regimens) fully counteracted the perilous disease course from the very
beginning (i.e., with the BPC 157 bath, blood vessels remained present at the
gastric surface after anastomosis creation) and eliminated mortality.
Additionally, BPC 157 treatment in combination with L-NAME nullified any effect
of L-NAME that otherwise intensified the regular course. Consistently, with
worsening (with L-NAME administration) and amelioration (with L-arginine), either
L-arginine amelioration prevails (attenuated esophageal and gastric lesions) or
they counteract each other (L-NAME + L-arginine); with the addition of BPC 157 (L
NAME + L-arginine + BPC 157), there was a marked beneficial effect. BPC 157
treatment for esophagogastric anastomosis, along with NOS-blocker L-NAME and/or
NOS substrate L-arginine, demonstrated an innate NO-system disability (as
observed with L-arginine effectiveness). BPC 157 distinctively affected
corresponding events: worsening (obtained with L-NAME administration that was
counteracted); or amelioration (L-arginine + BPC 157-rats correspond to BPC 157
rats). CONCLUSION: Innate NO-system disability for esophagogastric anastomoses,
including L-NAME-worsening, suggests that these effects could be corrected by L
arginine and almost completely eliminated by BPC 157 therapy.
PMID- 27895402
TI - Effect of airplane transport of donor livers on post-liver transplantation
survival.
AB - AIM: To evaluate the effect of long haul airplane transport of donor livers on
post-transplant outcomes. METHODS: A retrospective cohort study of patients who
received a liver transplantation was performed in Perth, Australia from 1992 to
2012. Donor and recipient characteristics information were extracted from Western
Australian liver transplantation service database. Patients were followed up for
a mean of six years. Patient and graft survival were evaluated and compared
between patients who received a local donor liver and those who received an
airplane transported donor liver. Predictors of survival were determined by
univariate and multivariate analysis using cox regression. RESULTS: One hundred
and ninety-three patients received a local donor liver and 93 patients received
an airplane transported donor liver. Airplane transported livers had a
significantly lower alanine transaminase (mean: 45 U/L vs 84 U/L, P = 0.035),
higher donor risk index (mean: 1.88 vs 1.42, P < 0.001) and longer cold ischemic
time (CIT) (mean: 10.1 h vs 6.4 h, P < 0.001). There was a weak correlation
between CIT and transport distance (r2 = 0.29, P < 0.001). Mean follow up was six
years and 93 patients had graft failure. Multivariate analysis found only
airplane transport retained significance for graft loss (HR = 1.92, 95%CI: 1.16
3.17). One year graft survival was 0.88 for those with a local liver and was 0.71
for those with an airplane transported liver. One year graft loss was due to
primary graft non-function or associated with preservation injury in 20.8% of
recipients of an airplane transported liver compared with 4.6% in those with a
local liver (P = 0.027). CONCLUSION: Airplane transport of donor livers was
independently associated with reduced graft survival following liver
transplantation.
PMID- 27895401
TI - HER2-induced metastasis is mediated by AKT/JNK/EMT signaling pathway in gastric
cancer.
AB - AIM: To investigated the relationships between HER2, c-Jun N-terminal kinase
(JNK) and protein kinase B (AKT) with respect to metastatic potential of HER2
positive gastric cancer (GC) cells. METHODS: Immunohistochemistry was performed
on tissue array slides containing 423 human GC specimens. Using HER2-positve GC
cell lines SNU-216 and NCI-N87, HER2 expression was silenced by RNA interference,
and the activations of JNK and AKT were suppressed by SP600125 and LY294002,
respectively. Transwell assay, Western blot, semi-quantitative reverse
transcription-polymerase chain reaction and immunofluorescence staining were used
in cell culture experiments. RESULTS: In GC specimens, HER2, JNK, and AKT
activations were positively correlated with each other. In vitro analysis
revealed a positive regulatory feedback loop between HER2 and JNK in GC cell
lines and the role of JNK as a downstream effector of AKT in the HER2/AKT
signaling pathway. JNK inhibition suppressed migratory capacity through reversing
EMT and dual inhibition of JNK and AKT induced a more profound effect on cancer
cell motility. CONCLUSION: HER2, JNK and AKT in human GC specimens are positively
associated with each other. JNK and AKT, downstream effectors of HER2, co
operatively contribute to the metastatic potential of HER2-positive GC cells.
Thus, targeting of these two molecules in combination with HER2 downregulation
may be a good approach to combat HER2-positive GC.
PMID- 27895403
TI - First-line endoscopic treatment with over-the-scope clips significantly improves
the primary failure and rebleeding rates in high-risk gastrointestinal bleeding:
A single-center experience with 100 cases.
AB - AIM: To evaluate rebleeding, primary failure (PF) and mortality of patients in
whom over-the-scope clips (OTSCs) were used as first-line and second-line
endoscopic treatment (FLET, SLET) of upper and lower gastrointestinal bleeding
(UGIB, LGIB). METHODS: A retrospective analysis of a prospectively collected
database identified all patients with UGIB and LGIB in a tertiary endoscopic
referral center of the University of Freiburg, Germany, from 04-2012 to 05-2016
(n = 93) who underwent FLET and SLET with OTSCs. The complete Rockall risk scores
were calculated from patients with UGIB. The scores were categorized as < or >= 7
and were compared with the original Rockall data. Differences between FLET and
SLET were calculated. Univariate and multivariate analysis were performed to
evaluate the factors that influenced rebleeding after OTSC placement. RESULTS:
Primary hemostasis and clinical success of bleeding lesions (without rebleeding)
was achieved in 88/100 (88%) and 78/100 (78%), respectively. PF was significantly
lower when OTSCs were applied as FLET compared to SLET (4.9% vs 23%, P = 0.008).
In multivariate analysis, patients who had OTSC placement as SLET had a
significantly higher rebleeding risk compared to those who had FLET (OR 5.3; P =
0.008). Patients with Rockall risk scores >= 7 had a significantly higher in
hospital mortality compared to those with scores < 7 (35% vs 10%, P = 0.034). No
significant differences were observed in patients with scores < or >= 7 in
rebleeding and rebleeding-associated mortality. CONCLUSION: Our data show for the
first time that FLET with OTSC might be the best predictor to successfully
prevent rebleeding of gastrointestinal bleeding compared to SLET. The type of
treatment determines the success of primary hemostasis or primary failure.
PMID- 27895396
TI - Genetic alterations in hepatocellular carcinoma: An update.
AB - Hepatocellular carcinoma (HCC) is one of the leading causes of cancer-related
deaths worldwide. Although recent advances in therapeutic approaches for treating
HCC have improved the prognoses of patients with HCC, this cancer is still
associated with a poor survival rate mainly due to late diagnosis. Therefore, a
diagnosis must be made sufficiently early to perform curative and effective
treatments. There is a need for a deeper understanding of the molecular
mechanisms underlying the initiation and progression of HCC because these
mechanisms are critical for making early diagnoses and developing novel
therapeutic strategies. Over the past decade, much progress has been made in
elucidating the molecular mechanisms underlying hepatocarcinogenesis. In
particular, recent advances in next-generation sequencing technologies have
revealed numerous genetic alterations, including recurrently mutated genes and
dysregulated signaling pathways in HCC. A better understanding of the genetic
alterations in HCC could contribute to identifying potential driver mutations and
discovering novel therapeutic targets in the future. In this article, we
summarize the current advances in research on the genetic alterations, including
genomic instability, single-nucleotide polymorphisms, somatic mutations and
deregulated signaling pathways, implicated in the initiation and progression of
HCC. We also attempt to elucidate some of the genetic mechanisms that contribute
to making early diagnoses of and developing molecularly targeted therapies for
HCC.
PMID- 27895405
TI - Impact of IL28B and OAS gene family polymorphisms on interferon treatment
response in Caucasian children chronically infected with hepatitis B virus.
AB - AIM: To investigate the impact of IL28B and OAS gene polymorphisms on interferon
treatment responses in children with chronic hepatitis B. METHODS: We enrolled 52
children (between the ages of 4 and 18) with hepatitis B e antigen-negative
chronic hepatitis B (CHB), who were treated with pegylated interferon alfa for 48
wk. Single nucleotide polymorphisms in the OAS1 (rs1131476), OAS2 (rs1293747),
OAS3 (rs2072136), OASL (rs10849829) and IL28B (rs12979860, rs12980275 and
rs8099917) genes were studied to examine their associations with responses to IFN
treatment in paediatric patients. We adopted two criteria for the therapeutic
response, achieving an hepatitis B virus (HBV) DNA level < 2000 IU/mL and
normalization of ALT activity (< 40 IU/L). To perform the analyses, we compared
the patients in terms of achieving a partial response (PR) and a complete
response (CR) upon measurement at the 24-wk post-treatment follow-up. RESULTS:
The PR and CR rates were 80.8% and 42.3%, respectively. Factors such as age,
gender and liver histology had no impact on the type of response (partial or
complete). A statistically significant relationship between higher baseline HBV
DNA and ALT activity levels and lower rates of PR and CR was shown (P < 0.05).
The allele association analysis revealed that only the IL-28B rs12979860 (C vs T)
and IL28B rs12980275 (A vs G) markers significantly affected the achievement of
PR (P = 0.021, OR = 3.3, 95%CI: 1.2-9.2 and P = 0.014, OR = 3.7, 95%CI: 1.3-10.1,
respectively). However, in the genotype analysis, only IL-28B rs12980275 was
significantly associated with PR (AA vs AG-GG, P = 0.014, OR = 10.9, 95%CI: 1.3
93.9). The association analysis for CR showed that the TT genotype of IL28B
rs12979860 was present only in the no-CR group (P = 0.033) and the AA genotype of
OASL rs10849829 was significantly more frequent in the no-CR group (P = 0.044, OR
= 0.26, 95%CI: 0.07-0.88). The haplotype analysis revealed significant
associations between PR and CR and OAS haplotype (P = 0.0002 and P = 0.001,
respectively), but no association with IL28B haplotype was observed. CONCLUSION:
IL28B and OAS polymorphisms are associated with different clinical outcomes in
CHB children treated with interferon.
PMID- 27895406
TI - Simplified criteria for diagnosing superficial esophageal squamous neoplasms
using Narrow Band Imaging magnifying endoscopy.
AB - AIM: To simplify the diagnostic criteria for superficial esophageal squamous cell
carcinoma (SESCC) on Narrow Band Imaging combined with magnifying endoscopy (NBI
ME). METHODS: This study was based on the post-hoc analysis of a randomized
controlled trial. We performed NBI-ME for 147 patients with present or a history
of squamous cell carcinoma in the head and neck, or esophagus between January
2009 and June 2011. Two expert endoscopists detected 89 lesions that were
suspicious for SESCC lesions, which had been prospectively evaluated for the
following 6 NBI-ME findings in real time: "intervascular background coloration";
"proliferation of intrapapillary capillary loops (IPCL)"; and "dilation",
"tortuosity", "change in caliber", and "various shapes (VS)" of IPCLs (i.e.,
Inoue's tetrad criteria). The histologic examination of specimens was defined as
the gold standard for diagnosis. A stepwise logistic regression analysis was used
to identify candidates for the simplified criteria from among the 6 NBI-ME
findings for diagnosing SESCCs. We evaluated diagnostic performance of the
simplified criteria compared with that of Inoue's criteria. RESULTS: Fifty-four
lesions (65%) were histologically diagnosed as SESCCs and the others as low-grade
intraepithelial neoplasia or inflammation. In the univariate analysis,
proliferation, tortuosity, change in caliber, and VS were significantly
associated with SESCC (P < 0.01). The combination of VS and proliferation was
statistically extracted from the 6 NBI-ME findings by using the stepwise logistic
regression model. We defined the combination of VS and proliferation as
simplified dyad criteria for SESCC. The areas under the curve of the simplified
dyad criteria and Inoue's tetrad criteria were 0.70 and 0.73, respectively. No
significant difference was shown between them. The sensitivity, specificity, and
accuracy of diagnosis for SESCC were 77.8%, 57.1%, 69.7% and 51.9%, 80.0%, 62.9%
for the simplified dyad criteria and Inoue's tetrad criteria, respectively.
CONCLUSION: The combination of proliferation and VS may serve as simplified
criteria for the diagnosis of SESCC using NBI-ME.
PMID- 27895407
TI - Assessment of scoring systems for acute-on-chronic liver failure at predicting
short-term mortality in patients with alcoholic hepatitis.
AB - AIM: To assess the performance of proposed scores specific for acute-on-chronic
liver failure in predicting short-term mortality among patients with alcoholic
hepatitis. METHODS: We retrospectively collected data from 264 patients with
clinically diagnosed alcoholic hepatitis from January to December 2013 at 21
academic hospitals in Korea. The performance for predicting short-term mortality
was calculated for Chronic Liver Failure-Sequential Organ Failure Assessment
(CLIF-SOFA), CLIF Consortium Organ Failure score (CLIF-C OFs), Maddrey's
discriminant function (DF), age, bilirubin, international normalized ratio and
creatinine score (ABIC), Glasgow Alcoholic Hepatitis Score (GAHS), model for end
stage liver disease (MELD), and MELD-Na. RESULTS: Of 264 patients, 32 (12%)
patients died within 28 d. The area under receiver operating characteristic curve
of CLIF-SOFA, CLIF-C OFs, DF, ABIC, GAHS, MELD, and MELD-Na was 0.86 (0.81-0.90),
0.89 (0.84-0.92), 0.79 (0.74-0.84), 0.78 (0.72-0.83), 0.81 (0.76-0.86), 0.83
(0.78-0.88), and 0.83 (0.78-0.88), respectively, for 28-d mortality. The
performance of CLIF-SOFA had no statistically significant differences for 28-d
mortality. The performance of CLIF-C OFs was superior to that of DF, ABIC, and
GAHS, while comparable to that of MELD and MELD-Na in predicting 28-d mortality.
A CLIF-SOFA score of 8 had 78.1% sensitivity and 79.7% specificity, and CLIF-C
OFs of 10 had 68.8% sensitivity and 91.4% specificity for predicting 28-d
mortality. CONCLUSION: CLIF-SOFA and CLIF-C OF scores performed well, with
comparable predictive ability for short-term mortality compared to the commonly
used scoring systems in patients with alcoholic hepatitis.
PMID- 27895404
TI - Presepsin teardown - pitfalls of biomarkers in the diagnosis and prognosis of
bacterial infection in cirrhosis.
AB - AIM: To evaluate the diagnostic and prognostic value of presepsin in cirrhosis
associated bacterial infections. METHODS: Two hundred and sixteen patients with
cirrhosis were enrolled. At admission, the presence of bacterial infections and
level of plasma presepsin, serum C-reactive protein (CRP) and procalcitonin (PCT)
were evaluated. Patients were followed for three months to assess the possible
association between presepsin level and short-term mortality. RESULTS: Present
34.7 of patients had bacterial infection. Presepsin levels were significantly
higher in patients with infection than without (median, 1002 pg/mL vs 477 pg/mL,
P < 0.001), increasing with the severity of infection [organ failure (OF): Yes vs
No, 2358 pg/mL vs 710 pg/mL, P < 0.001]. Diagnostic accuracy of presepsin for
severe infections was similar to PCT and superior to CRP (AUC-ROC: 0.85, 0.85 and
0.66, respectively, P = NS for presepsin vs PCT and P < 0.01 for presepsin vs
CRP). At the optimal cut-off value of presepsin > 1206 pg/mL sensitivity,
specificity, positive predictive values and negative predictive values were as
follows: 87.5%, 74.5%, 61.8% and 92.7%. The accuracy of presepsin, however,
decreased in advanced stage of the disease or in the presence of renal failure,
most probably because of the significantly elevated presepsin levels in non
infected patients. 28-d mortality rate was higher among patients with > 1277
pg/mL compared to those with <= 1277 pg/mL (46.9% vs 11.6%, P < 0.001). In a
binary logistic regression analysis, however, only PCT (OR = 1.81, 95%CI: 1.09
3.01, P = 0.022) but neither presepsin nor CRP were independent risk factor for
28-d mortality after adjusting with MELD score and leukocyte count. CONCLUSION:
Presepsin is a valuable new biomarker for defining severe infections in
cirrhosis, proving same efficacy as PCT. However, it is not a useful marker of
short-term mortality.
PMID- 27895409
TI - Two cases of pancreatic ductal adenocarcinoma with intrapancreatic metastasis.
AB - There are no standardized diagnostic criteria for intrapancreatic metastasis of
pancreatic ductal adenocarcinoma (PDAC). Here, we report two cases of patients
with PDAC who were pathologically diagnosed as harboring intrapancreatic
metastasis. In both cases, the main lesions were located in the pancreatic body,
and no other lesion was detected preoperatively. The patients were diagnosed with
pancreatic body cancers and distal pancreatectomy was performed. Pathological
findings revealed microscopic cancer nests, which had connections to neither the
main lesion nor the premalignant lesion in the pancreatic tail parenchyma. In
both cases, the histological type of the daughter lesion was quite similar to
that of the main lesion. Hence, we diagnosed the daughter lesions as metastatic
foci in the pancreas. Although intrapancreatic metastasis of PDAC has been
regarded as a poor prognostic factor, few reports of intrapancreatic metastasis
are available. This article reports two such cases and provides a review of the
literature.
PMID- 27895408
TI - Molecular detection of Helicobacter pylori antibiotic resistance in stool vs
biopsy samples.
AB - AIM: To compare (1) demographics in urea breath test (UBT) vs endoscopy patients;
and (2) the molecular detection of antibiotic resistance in stool vs biopsy
samples. METHODS: Six hundred and sixteen adult patients undergoing endoscopy or
a UBT were prospectively recruited to the study. The GenoType HelicoDR assay was
used to detect Helicobacter pylori (H. pylori) and antibiotic resistance using
biopsy and/or stool samples from CLO-positive endoscopy patients and stool
samples from UBT-positive patients. RESULTS: Infection rates were significantly
higher in patients referred for a UBT than endoscopy (overall rates: 33% vs 19%;
treatment-naive patients: 33% vs 14.7%, respectively). H. pylori-infected UBT
patients were younger than H. pylori-infected endoscopy patients (41.4 vs 48.4
years, respectively, P < 0.005), with a higher percentage of H. pylori-infected
males in the endoscopy-compared to the UBT-cohort (52.6% vs 33.3%, P = 0.03). The
GenoType HelicoDR assay was more accurate at detecting H. pylori infection using
biopsy samples than stool samples [98.2% (n = 54/55) vs 80.3% (n =53/66), P <
0.005]. Subset analysis using stool and biopsy samples from CLO-positive
endoscopy patients revealed a higher detection rate of resistance-associated
mutations using stool samples compared to biopsies. The concordance rates between
stool and biopsy samples for the detection of H. pylori DNA, clarithromycin and
fluoroquinolone resistance were just 85%, 53% and 35%, respectively. CONCLUSION:
Differences between endoscopy and UBT patients provide a rationale for non
invasive detection of H. pylori antibiotic resistance. However, the GenoType
HelicoDR assay is an unsuitable approach.
PMID- 27895410
TI - Collision tumor of hepatocellular carcinoma and neuroendocrine carcinoma
involving the liver: Case report and review of the literature.
AB - Primary hepatic neuroendocrine carcinoma (NEC) with concurrent occurrence of
hepatocellular carcinoma (HCC) of the liver is very rare. Only 8 cases have been
reported in the literature. Concurrent occurrence of HCC and NEC in the liver is
classified as combined type or collision type by histological distributional
patterns; only 2 cases have been reported. Herein, we report a case of collision
type concurrent occurrence of HCC and NEC, in which primary hepatic NEC was in
only a small portion of the nodule, which is different from the 2 previously
reported cases. A 72-year-old male with chronic hepatitis C was admitted to our
hospital for a hepatic mass detected by liver computed tomography (CT) at another
clinic. Because the nodule was in hepatic segment 3 and had proper radiologic
findings for diagnosis of HCC, including enhancement in the arterial phase and
wash-out in the portal and delay phases, the patient was treated with
laparoscopic left lateral sectionectomy. The pathology demonstrated that the
nodule was 2.5 cm and was moderately differentiated HCC. However, a 3 mm-sized
focal neuroendocrine carcinoma was also detected on the capsule of the nodule.
The tumor was concluded to be a collision type with HCC and primary hepatic NEC.
After the surgery, for follow-up, the patient underwent a liver CT every 3 mo.
Five multiple nodules were found in the right hepatic lobe on the follow-up liver
CT 6 mo post-operatively. As the features of the nodules in the liver CT and MRI
were different from that of HCC, a liver biopsy was performed. Intrahepatic
recurrent NEC was proven after the liver biopsy, which showed the same pathologic
features with the specimen obtained 6 mo ago. Palliative chemotherapy with a
combination of etoposide and cisplatin has been administered for 4 months,
showing partial response.
PMID- 27895411
TI - Reoperation in an adult female with "right-sided" Hirschsprung's disease
complicated by refractory hypertension and cough.
AB - Hirschsprung's disease (HD) is an intestinal malformation caused by the innate
absence of ganglion cells in the neural plexus of the colorectal wall, and is
most common in male infants. It is rare in adult, and is usually left-sided.
Herein we reported based on the CARE guidelines a case of a 47-year-old adult
female suffering from "right-sided" HD complicated by refractory hypertension and
cough. The patient with a history of cesarean section and with digestive
unfitness (abdominal pain, distention, and constipation) only since 20 years old
had recurrence of HD after initial surgery due to the incomplete removal of the
HD-affected bowel based on a diagnosis of "chronic ileus", leading to the relapse
of the digestive symptoms and the emergence of some intractable circulatory and
respiratory complications which could be hardly controlled by conservative
treatment. During the long interval before coming to our department for help, she
had been re-hospitalized for several times with various misdiagnoses and supplied
merely with symptomatic treatment which could only achieve temporary symptomatic
relief. At her admission to our department, the imaging examinations strongly
indicated recurrent HD which was further supported by pathological examinations,
and right hemi-colectomy was performed to remove the remnant aganglionic
intestinal segment. Intraoperative and postoperative pathology supported the
completeness of the definitive resection. Post-operation, the patient's bowel
motility significantly improved, and interestingly, the complications
disappeared. For adult patients with long-term constipation combined with cough
and hypertension, rare diseases like HD which requires definite surgery and which
could be "right-sided" should not be overlooked. It is vital to diagnose and cure
HD patients in childhood. Through the comparison of the two surgeries, it is
noteworthy that for diagnosed HD, sufficient removal of the non-functional
intestine confirmed by intraoperative pathology is essential.
PMID- 27895412
TI - Metabolic imaging for guidance of curative treatment of isolated pelvic
implantation metastasis after resection of spontaneously ruptured hepatocellular
carcinoma: A case report.
AB - Spontaneous rupture of hepatocellular carcinoma (HCC) is a life-threatening
complication and its prognosis is significantly poor because of the high
recurrence rate after initial hepatectomy. Resection of isolated extrahepatic
metastasis of HCC has been advocated to obtain a possibility of long-term
survival. However, it is a challenge for clinicians to detect implantation
metastasis of spontaneously ruptured HCC. Accurate re-staging plays the most
important role in making a decision on isolated metastasis resection. 18F
fluorodeoxyglucose (18F-FDG) positron emission tomography/computed tomography
(PET/CT) is useful in detecting intra-abdominal implantation metastasis from a
variety of malignancies and shows superior accuracy to conventional imaging
modalities in determining the location of metastasis. We present one patient with
a new isolated pelvic implantation metastasis detected by 18F-FDG PET/CT and
pathologically confirmed by PET/CT-guided percutaneous biopsy, who had a history
of resection of spontaneously ruptured HCC two years ago. The patient's condition
was stable at the 6-mo follow-up after resection of the isolated pelvic
metastasis.
PMID- 27895413
TI - Hepatic epithelioid hemangioendothelioma: Dilemma and challenges in the
preoperative diagnosis.
AB - Hepatic epithelioid hemangioendothelioma (HEHE) is a rare category of vascular
tumor with uncertain malignant potential. It commonly presents nonspecific and
variable clinical manifestations, ranging from asymptomatic to hepatic failure.
In addition, laboratory measurements and imaging features also lack specificity
in the diagnosis of HEHE. The aim of the present study is to highlight the
dilemma and challenges in the preoperative diagnosis of HEHE, and to enhance
awareness of the range of hepatobiliary surgery available in patients with
multiple hepatic nodular lesions on imaging. In these patients, HEHE should at
least be considered in the differential diagnosis.
PMID- 27895414
TI - Hepatic encephalopathy: Ever closer to its big bang.
AB - Hepatic encephalopathy (HE) is a neuropsychiatric disorder that commonly
complicates the course of patients with liver disease. Despite the fact that the
syndrome was probably first recognized hundreds of years ago, the exact
pathogenesis still remains unclear. Minimal hepatic encephalopathy (MHE) is the
earliest form of HE and is estimated to affect more that 75% of patients with
liver cirrhosis. It is characterized by cognitive impairment predominantly
attention, reactiveness and integrative function with very subtle clinical
manifestations. The development of MHE is associated with worsen in driving
skills, daily activities and the increase of overall mortality. Skeletal muscle
has the ability to shift from ammonia producer to ammonia detoxifying organ. Due
to its large size, becomes the main ammonia detoxifying organ in case of chronic
liver failure and muscular glutamine-synthase becomes important due to the
failing liver and brain metabolic activity. Gut is the major glutamine consumer
and ammonia producer organ in the body. Hepatocellular dysfunction due to liver
disease, results in an impaired clearance of ammonium and in its inter-organ
trafficking. Intestinal bacteria, can also represent an extra source of ammonia
production and in cirrhosis, small intestinal bacterial overgrowth and symbiosis
can be observed. In the study of HE, to get close to MHE is to get closer to its
big bang; and from here, to travel less transited roads such as skeletal muscle
and intestine, is to go even closer. The aim of this editorial is to expose this
road for further and deeper work.
PMID- 27895416
TI - Practice guidelines for the pathological diagnosis of primary liver cancer: 2015
update.
AB - In 2010, a panel of Chinese pathologists reported the first expert consensus for
the pathological diagnosis of primary liver cancers to address the many
contradictions and inconsistencies in the pathological characteristics and
diagnostic criteria for PLC. Since then considerable clinicopathological studies
have been conducted globally, prompting us to update the practice guidelines for
the pathological diagnosis of PLC. In April 18, 2014, a Guideline Committee
consisting of 40 specialists from seven Chinese Societies (including Chinese
Society of Liver Cancer, Chinese Anti-Cancer Association; Liver Cancer Study
Group, Chinese Society of Hepatology, Chinese Medical Association; Chinese
Society of Pathology, Chinese Anti-Cancer Association; Digestive Disease Group,
Chinese Society of Pathology, Chinese Medical Association; Chinese Society of
Surgery, Chinese Medical Association; Chinese Society of Clinical Oncology,
Chinese Anti-Cancer Association; Pathological Group of Hepatobiliary Tumor and
Liver Transplantation, Chinese Society of Pathology, Chinese Medical Association)
was created for the formulation of the first guidelines for the standardization
of the pathological diagnosis of PLC, mainly focusing on the following topics:
gross specimen sampling, concepts and diagnostic criteria of small hepatocellular
carcinoma (SHCC), microvascular invasion (MVI), satellite nodules, and
immunohistochemical and molecular diagnosis. The present updated guidelines are
reflective of current clinicopathological studies, and include a novel 7-point
baseline sampling protocol, which stipulate that at least four tissue specimens
should be sampled at the junction of the tumor and adjacent liver tissues in a
1:1 ratio at the 12, 3, 6 and 9 o'clock reference positions. For the purposes of
molecular pathological examination, at least one specimen should be sampled at
the intratumoral zone, but more specimens should be sampled for tumors harboring
different textures or colors. Specimens should be sampled at both adjacent and
distant peritumoral liver tissues or the tumor margin in order to observe MVI,
satellite nodules and dysplastic foci/nodules distributed throughout the
background liver tissues. Complete sampling of whole SHCC <= 3 cm should be
performed to assess its biological behavior, and in clinical practice,
therapeutic borders should be also preserved, even in SHCC. The diagnostic
criteria of MVI and satellite nodules, immunohistochemical panels, as well as
molecular diagnostic principles, such as clonal typing, for recurrent HCC and
multinodule HCC were also proposed and recommended. The standardized process of
pathological examination is aimed at ensuring the accuracy of pathological PLC
diagnoses as well as providing a valuable frame of reference for the clinical
assessment of tumor invasive potential, the risk of postoperative recurrence,
long-term survival, and the development of individualized treatment regimens. The
updated guidelines could ensure the accuracy of pathological diagnoses of PLC,
and provide a valuable frame of reference for its clinical assessment.
PMID- 27895418
TI - Molecular mechanism of action of anti-tumor necrosis factor antibodies in
inflammatory bowel diseases.
AB - Anti-tumor necrosis factor (TNF) antibodies are successfully used in the therapy
of inflammatory bowel diseases (IBD). However, the molecular mechanism of action
of these agents is still a matter of debate. Apart from neutralization of TNF,
influence on the intestinal barrier function, induction of apoptosis in mucosal
immune cells, formation of regulatory macrophages as well as other immune
modulating properties have been discussed as central features. Nevertheless,
clinically effective anti-TNF antibodies were shown to differ in their mode-of
action in vivo and in vitro. Furthermore, the anti-TNF agent etanercept is
effective in the treatment of rheumatoid arthritis but failed to induce clinical
response in Crohn's disease patients, suggesting different contributions of TNF
in the pathogenesis of these inflammatory diseases. In the following, we will
review different aspects regarding the mechanism of action of anti-TNF agents in
general and analyze comparatively different effects of each anti-TNF agent such
as TNF neutralization, modulation of the immune system, reverse signaling and
induction of apoptosis. We discuss the relevance of the membrane-bound form of
TNF compared to the soluble form for the immunopathogenesis of IBD. Furthermore,
we review reports that could lead to personalized medicine approaches regarding
treatment with anti-TNF antibodies in chronic intestinal inflammation, by
predicting response to therapy.
PMID- 27895417
TI - Glycoproteins and glycoproteomics in pancreatic cancer.
AB - Aberrations in protein glycosylation and polysaccharides play a pivotal role in
pancreatic tumorigenesis, influencing cancer progression, metastasis, immuno
response and chemoresistance. Abnormal expression in sugar moieties can impact
the function of various glycoproteins, including mucins, surface receptors,
adhesive proteins, proteoglycans, as well as their effectors and binding ligands,
resulting in an increase in pancreatic cancer invasiveness and a cancer-favored
microenvironment. Recent advance in glycoproteomics, glycomics and other chemical
biology techniques have been employed to better understand the complex mechanism
of glycosylation events and how they orchestrate molecular activities in
genomics, proteomics and metabolomics implicated in pancreatic adenocarcinoma. A
variety of strategies have been demonstrated targeting protein glycosylation and
polysaccharides for diagnostic and therapeutic development.
PMID- 27895420
TI - Clinical relevance of endoscopic assessment of inflammation in ulcerative
colitis: Can endoscopic evaluation predict outcomes?
AB - Ulcerative colitis (UC) is a chronic inflammatory bowel condition characterised
by a relapsing and remitting course. Symptom control has been the traditional
mainstay of medical treatment. It is well known that histological inflammatory
activity persists despite adequate symptom control and absence of endoscopic
inflammation. Current evidence suggests that presence of histological
inflammation poses a greater risk of disease relapse and subsequent colorectal
cancer risk. New endoscopic technologies hold promise for developing endoscopic
markers of mucosal inflammation. Achieving endoscopic and histological remission
appears be the future aim of medical treatments for UC. This review article aims
to evaluate the use of endoscopy as a tool in assessment of mucosal inflammation
UC and its correlation with disease outcomes.
PMID- 27895415
TI - Factoring the intestinal microbiome into the pathogenesis of autoimmune
hepatitis.
AB - The intestinal microbiome is a reservoir of microbial antigens and activated
immune cells. The aims of this review were to describe the role of the intestinal
microbiome in generating innate and adaptive immune responses, indicate how these
responses contribute to the development of systemic immune-mediated diseases, and
encourage investigations that improve the understanding and management of
autoimmune hepatitis. Alterations in the composition of the intestinal microflora
(dysbiosis) can disrupt intestinal and systemic immune tolerances for commensal
bacteria. Toll-like receptors within the intestine can recognize microbe
associated molecular patterns and shape subsets of T helper lymphocytes that may
cross-react with host antigens (molecular mimicry). Activated gut-derived
lymphocytes can migrate to lymph nodes, and gut-derived microbial antigens can
translocate to extra-intestinal sites. Inflammasomes can form within hepatocytes
and hepatic stellate cells, and they can drive the pro-inflammatory, immune
mediated, and fibrotic responses. Diet, designer probiotics, vitamin supplements,
re-colonization methods, antibiotics, drugs that decrease intestinal
permeability, and molecular interventions that block signaling pathways may
emerge as adjunctive regimens that complement conventional immunosuppressive
management. In conclusion, investigations of the intestinal microbiome are
warranted in autoimmune hepatitis and promise to clarify pathogenic mechanisms
and suggest alternative management strategies.
PMID- 27895421
TI - Carbon monoxide contributes to the constipating effects of granisetron in rat
colon.
AB - AIM: To investigate the mechanisms underlying the potential contribution of the
heme oxygenase/carbon monoxide (HO/CO) pathway in the constipating effects of
granisetron. METHODS: For in vivo studies, gastrointestinal motility was
evaluated in male rats acutely treated with granisetron [25, 50, 75
MUg/kg/subcutaneous (sc)], zinc protoporphyrin IX [ZnPPIX, 50
MUg/kg/intraperitoneal (ip)] and hemin (50 MUmol/L/kg/ip), alone or in
combination. For in vitro studies, the contractile neurogenic response to
electrical field stimulation (EFS, 3, 5, 10 Hz, 14 V, 1 ms, pulse trains lasting
10 s), as well as the contractile myogenic response to acetylcholine (ACh, 0.1
100 MUmol/L) were evaluated on colon specimens incubated with granisetron (3
MUmol/L, 15 min), ZnPPIX (10 MUmol/L, 60 min) or CO-releasing molecule-3 (CORM-3,
100, 200, 400 MUmol/L) alone or in combination. These experiments were performed
under co-treatment with or without atropine (3 MUmol/L, a muscarinic receptor
antagonist) or NG-nitro-L-Arginine (L-NNA, 100 MUmol/L, a nitric oxide synthase
inhibitor). RESULTS: Administration of granisetron (50, 75 MUg/kg) in vivo
significantly increased the time to first defecation (P = 0.045 vs vehicle
treated rats), clearly suggesting a constipating effect of this drug. Although
administration of ZnPPIX or hemin alone had no effect on this gastrointestinal
motility parameter, ZnPPIX co-administered with granisetron abolished the
granisetron-induced constipation. On the other hand, co-administration of hemin
and granisetron did not modify the increased constipation observed under
granisetron alone. When administered in vitro, granisetron alone (3 MUmol/L) did
not significantly modify the colon's contractile response to either EFS or ACh.
Incubation with ZnPPIX alone (10 MUmol/L) significantly reduced the colon's
contractile response to EFS (P = 0.016) but had no effect on contractile response
to ACh. Co-administration of ZnPPIX and atropine (3 MUmol/L) abolished the ZnPPIX
mediated decrease in contractile response to EFS. Conversely, incubation with
CORM-3 (400 MUmol/L) alone increased both the contractile response to EFS at 10
Hz (10 Hz: 71.02 +/- 19.16 vs 116.25 +/- 53.70, P = 0.01) and the contractile
response to ACh (100 MUmol/L) (P = 0.012). Co-administration of atropine
abolished the CORM-3-mediated effects on the EFS-mediated response. When
granisetron was co-incubated in vitro with ZnPPIX, the ZnPPIX-mediated decrease
in colon contractile response to EFS was lost. On the other hand, co-incubation
of granisetron and CORM-3 (400 MUmol/L) further increased the colon's contractile
response to EFS (at 5 Hz: P = 0.007; at 10 Hz: P = 0.001) and to ACh (ACh 10
MUmol/L: P = 0.001; ACh 100 MUmol/L: P = 0.001) elicited by CORM-3 alone. L-NNA
co-administered with granisetron and CORM-3 abolished the potentiating effect of
CORM-3 on granisetron on both the EFS-induced and ACh-induced contractile
response. CONCLUSION: Taken together, findings from in vivo and in vitro studies
suggest that the HO/CO pathway is involved in the constipating effects of
granisetron.
PMID- 27895419
TI - Acute kidney injury and post-reperfusion syndrome in liver transplantation.
AB - In the past decades liver transplantation (LT) has become the treatment of choice
for patients with end stage liver disease (ESLD). The chronic shortage of
cadaveric organs for transplantation led to the utilization of a greater number
of marginal donors such as older donors or donors after circulatory death (DCD).
The improved survival of transplanted patients has increased the frequency of
long-term complications, in particular chronic kidney disease (CKD). Acute kidney
injury (AKI) post-LT has been recently recognized as an important risk factor for
the occurrence of de novo CKD in the long-term outcome. The onset of AKI post-LT
is multifactorial, with pre-LT risk factors involved, including higher Model for
End-stage Liver Disease score, more sever ESLD and pre-existing renal
dysfunction, either with intra-operative conditions, in particular ischaemia
reperfusion injury responsible for post-reperfusion syndrome (PRS) that can
influence recipient's morbidity and mortality. Post-reperfusion syndrome-induced
AKI is an important complication post-LT that characterizes kidney involvement
caused by PRS with mechanisms not clearly understood and implication on graft and
patient survival. Since pre-LT risk factors may influence intra-operative events
responsible for PRS-induced AKI, we aim to consider all the relevant aspects
involved in PRS-induced AKI in the setting of LT and to identify all studies that
better clarified the specific mechanisms linking PRS and AKI. A PubMed search was
conducted using the terms liver transplantation AND acute kidney injury; liver
transplantation AND post-reperfusion syndrome; acute kidney injury AND post
reperfusion syndrome; acute kidney injury AND DCD AND liver transplantation. Five
hundred seventy four articles were retrieved on PubMed search. Results were
limited to title/abstract of English-language articles published between 2000 and
2015. Twenty-three studies were identified that specifically evaluated incidence,
risk factors and outcome for patients developing PRS-induced AKI in liver
transplantation. In order to identify intra-operative risk factors/mechanisms
specifically involved in PRS-induced AKI, avoiding confounding factors, we have
limited our study to "acute kidney injury AND DCD AND liver transplantation".
Accordingly, three out of five studies were selected for our purpose.
PMID- 27895422
TI - Genetic polymorphism in CD14 gene, a co-receptor of TLR4 associated with non
alcoholic fatty liver disease.
AB - AIM: To evaluate the pathogenic role of toll-like receptor (TLR) gene
polymorphisms in patients with non-alcoholic fatty liver disease (NAFLD).
METHODS: Two hundred and fifty subjects (NAFLD = 200, healthy volunteers = 50)
underwent polymerase chain reaction and restriction fragment length polymorphism
to assess one polymorphism in the toll-like receptor 2 (TLR2) gene (A753G), two
polymorphisms in the TLR4 gene (TLR4 Asp299Gly and Thr399Ile allele), and two
polymorphisms in the cluster of differentiation 14 (CD14) (C-159T and C-550T)
gene, a co-receptor of TLR4. Association of TLR gene polymorphisms with NAFLD and
its severity was evaluated by genetic models of association. RESULTS: On both
multiplicative and recessive models of gene polymorphism association, there was
significant association of CD14 C (-159) T polymorphism with NAFLD; patients with
TT genotype had a 2.6 fold increased risk of developing NAFLD in comparison to CC
genotype. There was no association of TLR2 Arg753Gln, TLR4 Asp299Gly, Thr399Ile,
and CD14 C (-550) T polymorphisms with NAFLD. None of the TLR gene polymorphisms
had an association with histological severity of NAFLD. CONCLUSION: Patients with
CD14 C (-159) T gene polymorphism, a co-receptor of TLR4, have an increased risk
of NAFLD development.
PMID- 27895424
TI - IRF5 regulates lung macrophages M2 polarization during severe acute pancreatitis
in vitro.
AB - AIM: To investigate the role of interferon regulatory factor 5 (IRF5) in
reversing polarization of lung macrophages during severe acute pancreatitis (SAP)
in vitro. METHODS: A mouse SAP model was established by intraperitoneal (ip)
injections of 20 MUg/kg body weight caerulein. Pathological changes in the lung
were observed by hematoxylin and eosin staining. Lung macrophages were isolated
from bronchoalveolar lavage fluid. The quantity and purity of lung macrophages
were detected by fluorescence-activated cell sorting and evaluated by real-time
polymerase chain reaction (RT-PCR). They were treated with IL-4/IRF5 specific
siRNA (IRF5 siRNA) to reverse their polarization and were evaluated by detecting
markers expression of M1/M2 using RT-PCR. RESULTS: SAP associated acute lung
injury (ALI) was induced successfully by ip injections of caerulein, which was
confirmed by histopathology. Lung macrophages expressed high levels of IRF5 as M1
phenotype during the early acute pancreatitis stages. Reduction of IRF5
expression by IRF5 siRNA reversed the action of macrophages from M1 to M2
phenotype in vitro. The expressions of M1 markers, including IRF5 (S + IRF5 siRNA
vs S + PBS, 0.013 +/- 0.01 vs 0.054 +/- 0.047, P < 0.01), TNF-alpha (S + IRF5
siRNA vs S + PBS, 0.0003 +/- 0.0002 vs 0.019 +/- 0.018, P < 0.001), iNOS (S +
IRF5 siRNA vs S + PBS, 0.0003 +/- 0.0002 vs 0.026 +/- 0.018, P < 0.001) and IL-12
(S + IRF5 siRNA vs S + PBS, 0.000005 +/- 0.00004 vs 0.024 +/- 0.016, P < 0.001),
were decreased. In contrast, the expressions of M2 markers, including IL-10 (S +
IRF5 siRNA vs S + PBS, 0.060 +/- 0.055 vs 0.0230 +/- 0.018, P < 0.01) and Arg-1
(S + IRF5 siRNA vs S + PBS, 0.910 +/- 0.788 vs 0.0036 +/- 0.0025, P < 0.001),
were increased. IRF5 siRNA could reverse the lung macrophage polarization more
effectively than IL-4. CONCLUSION: Treatment with IRF5 siRNA can reverse the
pancreatitis-induced activation of lung macrophages from M1 phenotype to M2
phenotype in SAP associated with ALI.
PMID- 27895423
TI - Increased CD4+CD45RA-FoxP3low cells alter the balance between Treg and Th17 cells
in colitis mice.
AB - AIM: To investigate the role of regulatory T cell (Treg) subsets in the balance
between Treg and T helper 17 (Th17) cells in various tissues from mice with
dextran sulfate sodium-induced colitis. METHODS: Treg cells, Treg cell subsets,
Th17 cells, and CD4+CD25+FoxP3+IL-17+ cells from the lamina propria of colon
(LPC) and other ulcerative colitis (UC) mouse tissues were evaluated by flow
cytometry. Forkhead box protein 3 (FoxP3), interleukin 17A (IL-17A), and RORC
mRNA levels were assessed by real-time PCR, while interleukin-10 (IL-10) and IL
17A levels were detected with a Cytometric Beads Array. RESULTS: In peripheral
blood monocytes (PBMC), mesenteric lymph node (MLN), lamina propria of jejunum
(LPJ) and LPC from UC mice, Treg cell numbers were increased (P < 0.05), and
FoxP3 and IL-10 mRNA levels were decreased. Th17 cell numbers were also increased
in PBMC and LPC, as were IL-17A levels in PBMC, LPJ, and serum. The number of FrI
subset cells (CD4+CD45RA+FoxP3low) was increased in the spleen, MLN, LPJ, and
LPC. FrII subset cells (CD4+CD45RA-FoxP3high) were decreased among PBMC, MLN,
LPJ, and LPC, but the number of FrIII cells (CD4+CD45RA-FoxP3low) and
CD4+CD25+FoxP3+IL-17A+ cells was increased. FoxP3 mRNA levels in CD4+CD45RA
FoxP3low cells decreased in PBMC, MLN, LPJ, and LPC in UC mice, while IL-17A and
RORC mRNA increased. In UC mice the distribution of Treg, Th17 cells, CD4+CD45RA
FoxP3high, and CD4+CD45RA-FoxP3low cells was higher in LPC relative to other
tissues. CONCLUSION: Increased numbers of CD4+CD45RA-FoxP3low cells may cause an
imbalance between Treg and Th17 cells that is mainly localized to the LPC rather
than secondary lymphoid tissues.
PMID- 27895426
TI - Influence of night duty on endoscopic therapy for bile duct stones.
AB - AIM: To examine the influence of night duty (ND) on endoscopic therapy for
biliary duct stones. METHODS: The subjects consisted of 133 patients who received
initial endoscopic therapy for biliary duct stones performed by eight
endoscopists after they had been on (ND group, n = 34 patients) or not [day duty
(DD) group, n = 99 patients]. Patient characteristics (age, gender, history of
abdominal surgery, transverse diameter of the largest stone, number of stones),
years of experience of the endoscopists, endoscopic procedures [sphincterotomy,
papillary balloon dilation (EPBD), papillary large balloon dilation (EPLBD)], and
outcomes of initial endoscopy (procedure time; rate of stone removal by the first
endoscopist; procedure success rate by the first endoscopist: removal of stones
or endoscopic retrograde biliary drainage; rate of final stone removal; final
procedure success rate; complications; hospitalization after the procedure) were
compared retrospectively between the two groups. History of abdominal surgery and
treatment outcomes were also compared between the groups for each of the four
endoscopists who performed most of the procedures in the ND group. RESULTS: There
were no significant differences regarding the number of treatments performed by
each endoscopist or the years of experience between the ND and DD groups. The
frequency of endoscopic retrograde cholangiopancreatography procedures did not
differ significantly between the groups. There were also no significant
differences regarding patient characteristics: age, gender, history of abdominal
surgery (ND 7: Billroth II 4, R-Y 3; DD 18: double tract reconstruction 1,
Billroth I 3, Billroth II 6, R-Y 7, duodenoduodenostomy for annular pancreas 1),
transverse diameter of largest stone, and number of stones between the two
groups. Among the treatment procedures, the endoscopic sphincterotomy and EPBD
rates did not differ significantly between the groups. However, EPLBD was
performed more frequently in the ND group [47.1% (16/34) vs 19.2% (19/99)].
Regarding outcomes, there were no significant differences in the rate of stone
removal, procedure success rate, complications (ND: pancreatitis 1; DD:
pancreatitis 6, duodenal bleeding 1, decreased blood pressure 1, hypoxia 2), or
hospitalization after the procedure. However, the procedure time was
significantly longer in the ND group (71.5 +/- 44.7 vs 54.2 +/- 28.8). Among the
four endoscopists, there were no significant differences in patient history of
abdominal surgery, removal of stones, or procedure success rate. However, the
procedure time for one endoscopist was significantly longer in the ND group.
CONCLUSION: The time required for endoscopic therapy for bile duct stones might
be influenced by ND.
PMID- 27895425
TI - Folfirinox in elderly patients with pancreatic or colorectal cancer-tolerance and
efficacy.
AB - AIM: To study the tolerance and the efficiency of FOLFIRINOX in elderly patients
diagnosed with colorectal or pancreatic cancer. METHODS: This retrospective study
included elderly patients aged over 70 years of age treated at Georges-Francois
Leclerc Center by FOLFIRINOX for histological proved colorectal or pancreatic
cancer between January 2009 and January 2015. Chemotheapy regimen consisted of
oxaliplatin (85 mg/m2 in over 120 min) followed by leucovorin (400 mg/m2 in over
120 min), with the addition, after 30 min of irinotecan (180 mg/m2 in over 90
min) then 5 fluorouracil (5FU) (400 mg/m2 administred intravenous bolus),
followed by 5FU (2400 mg/m2 intraveinous infusion over 46 h) repeated every 2 wk.
Geriatric parameters were recorded at the beginning. Toxicities were evaluated
with the Common Terminology Criteria for Adverse Events 4.03. Tumor response was
evaluated by CT scan. Treatment continued until disease progression, unacceptable
toxicities or patient refusal. RESULTS: Fifty-two patients aged from 70 to 87
years were treated by FOLFIRINOX, 34 had colorectal cancer and 18 had pancreatic
cancer. Most of them were in good general condition, 82.7% had a 0-1 performance
status and 61.5% had a Charlson Comorbidity Index < 10. The most frequent severe
toxicities were neutropenia (17 patients, n = 32.7%) and diarrhea (35 patients n
= 67.3%); 10 of the case of neutropenia and 5 of diarrhea registered a grade 4
toxicity. Thirty-nine patients (75%) initially received an adapted dose of
chemotherapy. The dosage was adjusted for 26% of patients during the course of
treatment. Tumor response evaluated by RECIST criteria showed a controlled
disease for 25 patients (48.1%), a stable disease for 13 and a partial response
for 12 patients. Time under treatment was higher for colorectal cancer with a
median time of 2.44 mo (95%CI: 1.61-3.25). Overall survival was 43.88 mo for
colorectal cancer and 12.51 mo for pancreatic cancer. In univariate or
multivariate analysis, none of geriatric parameters were linked to overall
survival. Only the type of tumor (pancreatic/colorectal) was linked in both
analysis. CONCLUSION: For people over 70 years old, FOLFIRINOX regimen seems to
induce manageable toxicities but similar, even higher, median survival rates
compared to younger people.
PMID- 27895427
TI - Irritable bowel syndrome evaluation using computed tomography colonography.
AB - AIM: To evaluate the morphology of the colon in patients with irritable bowel
syndrome (IBS) by using computed tomography colonography (CTC). METHODS: Twelve
patients with diarrhea type IBS (IBS-D), 13 patients with constipation type IBS
(IBS-C), 12 patients with functional constipation (FC) and 14 control patients
underwent colonoscopy following CTC. The lengths of the rectosigmoid colon,
transverse colon and the total colon were measured. The diameters of the rectum,
sigmoid colon, descending colon, transverse colon, and ascending colon were
measured. RESULTS: The mean length of the total colon was 156.5 cm in group C,
158.9 cm in group IBS-D, 172.0 cm in group IBS-C, and 188.8 cm in group FC. The
total colon in group FC was significantly longer than that in group C (P < 0.05).
The mean length of the rectosigmoid colon was 56.2 cm, 55.9 cm, 63.6cm, and 77.4
cm (NS). The mean length of the transverse colon was 49.9 cm, 43.1 cm, 57.0 cm,
and 55.0 cm. The transverse colon in group IBS-D was significantly shorter than
that in group IBS-C (P < 0.01) and that in group FC (P = 0.02). The mean diameter
of the sigmoid colon was 4.0 cm, 3.3 cm, 4.2 cm, and 4.3 cm (NS). The mean
diameter of the descending colon was 3.6 cm, 3.1 cm, 3.8 cm, and 4.3 cm. The
descending colon diameter in group IBS-D was significantly less than that in
group IBS-C (P = 0.03) and that in group FC (P < 0.001). The descending colon
diameter in group FC was significantly greater than that in group C (P = 0.04).
The mean diameter of the transverse colon was 4.4 cm, 3.3 cm, 4.2 cm, and 5.0 cm
(NS). CONCLUSION: CT colonography might contribute the clarification of subtypes
of IBS.
PMID- 27895429
TI - Potential model for differential diagnosis between Crohn's disease and primary
intestinal lymphoma.
AB - AIM: To evaluate the usefulness of different parameters to differentiate Crohn's
disease (CD) from primary intestinal lymphoma (PIL). METHODS: The medical records
of 85 patients with CD and 56 patients with PIL were reviewed retrospectively.
Demographic, clinical, laboratory, endoscopic, and computed tomographic
enterography (CTE) parameters were collected. The univariate value of each
parameter was analyzed. A differentiation model was established by pooling all
the valuable parameters. Diagnostic efficacy was analyzed, and a receiver
operating characteristic (ROC) curve was plotted. RESULTS: The demographic and
clinical parameters that showed significant values for differentiating CD from
PIL included age of onset, symptom duration, presence of diarrhea, abdominal
mass, and perianal lesions (P < 0.05). Elevated lactate dehydrogenase and serum
beta2-microglobulin levels suggested a PIL diagnosis (P < 0.05). The endoscopic
parameters that showed significant values for differentiating CD from PIL
included multiple-site lesions, longitudinal ulcer, irregular ulcer, and
intraluminal proliferative mass (P < 0.05). The CTE parameters that were useful
in the identification of the two conditions included involvement of <= 3
segments, circular thickening of the bowel wall, wall thickness > 8 mm,
aneurysmal dilation, stricture with proximal dilation, "comb sign", mass showing
the "sandwich sign", and intussusceptions (P < 0.05). The sensitivity,
specificity, accuracy, positive predictive value, and negative predictive value
of the differentiation model were 91.8%, 96.4%, 93.6%, 97.5%, and 88.5%,
respectively. The cutoff value was 0.5. The area under the ROC curve was 0.989.
CONCLUSION: The differentiation model that integrated the various parameters
together may yield a high diagnostic efficacy in the differential diagnosis
between CD and PIL.
PMID- 27895430
TI - Full-thickness myotomy is associated with higher rate of postoperative
gastroesophageal reflux disease.
AB - AIM: To compare long-term occurrence of gastroesophageal reflux disease (GERD)
between two different types of peroral endoscopic myotomy (POEM) for achalasia.
METHODS: We included all patients with achalasia who underwent POEM at our
hospital from August 2011 to October 2012 and had complete GERD evaluation with
>= 3 years of follow-up. They were divided into circular or full-thickness
myotomy groups according to the depth of myotomy. Demographics, Eckardt score,
manometry results, 24-h pH monitoring, and GERD symptoms were recorded and
compared between the two groups. RESULTS: We studied 56 patients (32 circular
myotomy and 24 full-thickness myotomy) with complete GERD evaluation. There was
no significant difference between the two groups in terms of treatment success
(defined as Eckardt score <= 3), postoperative Eckardt score, mean basal lower
esophageal sphincter pressure, and 4-s integrated relaxation pressure (4sIRP).
Postoperative abnormal esophageal acid exposure was found in 25 patients (44.6%).
A total of 13 patients (23.2%) had GERD symptoms and 12 had esophagitis (21.4%).
Clinically relevant GERD (abnormal esophageal acid exposure associated with GERD
symptoms and/or esophagitis) was diagnosed in 13 patients (23.2%). Multivariate
analysis revealed that full-thickness myotomy and low level of postoperative
4sIRP were predictive factors for clinically relevant GERD. CONCLUSION: Efficacy
and manometry are comparable between achalasia patients treated with circular or
full-thickness myotomy. But patients with full-thickness myotomy and low
postoperative 4sIRP have more GERD.
PMID- 27895428
TI - Lymphovascular invasion in more than one-quarter of small rectal neuroendocrine
tumors.
AB - AIM: To identify the frequency, clinicopathological risk factors, and prognostic
significance of lymphovascular invasion (LVI) in endoscopically resected small
rectal neuroendocrine tumors (NETs). METHODS: Between June 2005 and December
2015, 104 cases of endoscopically resected small (<= 1 cm) rectal NET specimens
at Hallym University Sacred Heart Hospital in Korea were retrospectively
evaluated. We compared the detected rate of LVI in small rectal NET specimens by
two methods: hematoxylin and eosin (H&E) and ancillary immunohistochemical
staining (D2-40 and Elastica van Gieson); in addition, LVI detection rate
difference between endoscopic procedures were also evaluated. Patient
characteristics, prognosis and endoscopic resection results were reviewed by
medical charts. RESULTS: We observed LVI rates of 25.0% and 27.9% through H&E and
ancillary immunohistochemical staining. The concordance rate between H&E and
ancillary studies was 81.7% for detection of LVI, which showed statistically
strong agreement between two methods (kappa = 0.531, P < 0.001). Two endoscopic
methods were studied, including endoscopic submucosal resection with a ligation
device and endoscopic submucosal dissection, and no statistically significant
difference in the LVI detection rate was detected between the two (26.3% and
26.8%, P = 0.955). LVI was associated with large tumor size (> 5 mm, P = 0.007),
tumor grade 2 (P = 0.006). Among those factors, tumor grade 2 was the only
independent predictive factor for the presence of LVI (HR = 4.195, 95%CI: 1.321
12.692, P = 0.015). No recurrence was observed over 28.8 mo regardless of the
presence of LVI. CONCLUSION: LVI may be present in a high percentage of small
rectal NETs, which may not be associated with short-term prognosis.
PMID- 27895431
TI - Occult hepatitis B virus infection is not associated with disease progression of
chronic hepatitis C virus infection.
AB - AIM: To clarify the prevalence of occult hepatitis B virus (HBV) infection (OBI)
and the association between OBI and liver disease progression, defined as
development of liver cirrhosis or hepatocellular carcinoma (HCC), worsening of
Child-Pugh class, or mortality in cases of chronic hepatitis C virus (HCV)
infection. METHODS: This prospective cohort study enrolled 174 patients with
chronic HCV infection (chronic hepatitis, n = 83; cirrhosis, n = 47; HCC, n =
44), and evaluated disease progression during a mean follow-up of 38.7 mo. OBI
was defined as HBV DNA positivity in 2 or more different viral genomic regions by
nested polymerase chain reaction using 4 sets of primers in the S, C, P and X
open reading frame of the HBV genome. RESULTS: The overall OBI prevalence in
chronic HCV patients at enrollment was 18.4%, with 16.9%, 25.5% and 13.6% in the
chronic hepatitis C, liver cirrhosis and HCC groups, respectively (P = 0.845).
During follow-up, 52 patients showed disease progression, which was independently
associated with aspartate aminotransferase > 40 IU/L, Child-Pugh score and
sustained virologic response (SVR), but not with OBI positivity. In 136 patients
who were not in the SVR state during the study period, OBI positivity was
associated with neither disease progression, nor HCC development. CONCLUSION: The
prevalence of OBI in chronic HCV patients was 18.4%, and OBI was not associated
with disease progression in South Koreans.
PMID- 27895432
TI - Prevalence of depression and anxiety in patients with chronic digestive system
diseases: A multicenter epidemiological study.
AB - AIM: To investigate the prevalence of depression and anxiety in patients with
chronic digestive system diseases. METHODS: A total of 1736 patients with chronic
digestive system diseases were included in this cross-sectional study, including
871 outpatients and 865 in-patients. A self-designed General Information for
Patients of the Department of Gastroenterology of General Hospitals questionnaire
was used to collect each patient's general information, which included
demographic data (including age, sex, marital status, and education) and disease
characteristics (including major diseases, disease duration, principal symptoms,
chronic pain, sleep disorder, and limited daily activities). RESULTS: The overall
detection rate was 31.11% (540/1736) for depression symptoms alone, 27.02%
(469/1736) for anxiety symptoms alone, 20.68% (359/1736) for both depression and
anxiety symptoms, and 37.44% (650/1736) for either depression or anxiety
symptoms. Subjects aged 70 years or above had the highest detection rate of
depression (44.06%) and anxiety symptoms (33.33%). chi2 trend test showed: the
higher the body mass index (BMI), the lower the detection rate of depression and
anxiety symptoms (chi2trend = 13.697, P < 0.001; chi2trend = 9.082, P = 0.003);
the more severe the limited daily activities, the higher the detection rate of
depression and anxiety symptoms (chi2trend = 130.455, P < 0.001, chi2trend =
108.528, P < 0.001); and the poorer the sleep quality, the higher the detection
rate of depression and anxiety symptoms (chi2trend = 85.759, P < 0.001; chi2trend
= 51.969, P < 0.001). Patients with digestive system tumors had the highest
detection rate of depression (57.55%) and anxiety (55.19%), followed by patients
with liver cirrhosis (41.35% and 48.08%). Depression and anxiety symptoms were
also high in subjects with comorbid hypertension and coronary heart disease.
CONCLUSION: Depression and anxiety occur in patients with tumors, liver
cirrhosis, functional dyspepsia, and chronic viral hepatitis. Elderly,
divorced/widowed, poor sleep quality, and lower BMI are associated with higher
risk of depression and anxiety.
PMID- 27895434
TI - BAY 41-2272 Treatment Improves Acetylcholine-Induced Aortic Relaxation in L-NAME
Hypertensive Rats.
AB - Hypertension, an emerging problem of recent era, and many pathophysiological
factors are participating to produce the disease. Nitric oxide (NO) is an
important constituent to ameliorate hypertensive condition. Inhibition of
endogenous NO synthase by L-NG-Nitroarginine methyl ester (L-NAME) was
responsible for generating hypertension in rats. BAY 41-2272 (5-cyclopropyl-2-[1
(2-fluoro-benzyl)-1H-pyrazolo[3,4-b]pyridine-3-yl]-pyrimidin-4-ylamine), a
soluble guanylyl cyclase activator, restricts rise of blood pressure and shows
cardioprotective activity. The aim of the present study was to analyze effect of
short-term BAY 41-2272 treatment on blood pressure and vascular function. Male
Wistar rats were randomly divided into three groups such as control (group-A),
hypertensive (group-B), and BAY 41-2272-treated hypertensive (group-C) rats.
Normal saline was administered intramuscularly to control rats for last 3 days
(days 40, 41, and 42) of total 42 days treatment, whereas rats of group-B and
group-C were treated with L-NAME hydrochloride in drinking water at 50 mg/kg body
weight daily for 42 days. Also, normal saline and BAY 41-2272 were administered
for last 3 days at two different dosages at 1 and 3 mg/kg body weight/day
intramuscularly to group-B and group-C rats, respectively. Administration of BAY
41-2272 for 3 days was not sufficient enough to decrease mean arterial pressure
of hypertensive rats significantly. BAY at both the treatment dosages
significantly ameliorate acetylcholine-induced maximal aortic relaxation compared
with BAY-untreated hypertensive rats. Findings of the present study indicate that
even shorter period of BAY 41-2272 treatment (3 days) improves vascular
relaxation.
PMID- 27895433
TI - Complete response with sorafenib and transcatheter arterial chemoembolization in
unresectable hepatocellular carcinoma.
AB - Patients with advanced hepatocellular carcinoma (HCC) showing portal vein tumor
thrombosis (PVTT) have an extremely poor prognosis. According to treatment
guidelines, the only option for HCC patients with PVTT is sorafenib chemotherapy.
However, in Asia, various treatments have been attempted and possible
prolongation of overall survival has been repeatedly reported. We herein report
the first case of a patient with an initially unresectable advanced HCC with PVTT
who underwent curative hepatectomy after sorafenib and transcatheter arterial
chemoembolization (TACE) showing complete histological response. Two months after
induction with sorafenib, a significant decrease in serum alpha-fetoprotein level
was observed and computed tomography imaging showed a significant decrease in
tumor size. Because of remaining PVTT, TACE and curative resection were
performed. The combination of sorafenib and TACE may be an effective treatment
for HCC patients with PVTT.
PMID- 27895435
TI - Optimal MRI interval for detection of asymptomatic recurrence in surgically
treated early cervical cancer by use of a mathematical model.
AB - INTRODUCTION: Applications of mathematical modeling may provide an insight into
the timing of surveillance modalities. We aimed to determine the optimal magnetic
resonance imaging (MRI) interval for the detection of surgically treated early
cervical cancer asymptomatic recurrence by using a mathematical model for
volumetric tumor growth time. METHODS: We assumed that tumor volume increases by
a factor equal to the basis of natural logarithms (e~2.718) at constant time
intervals. Using a mathematical formula, the tumor volume (V) was converted to
diameter (D), which could be expressed as a function of time (t), given an
initial diameter Di (corresponding to initial volume Vi) and a constant DT, where
DT is the time required for volumetric tumor growth by a factor (e). Three
different DTs were used for demonstration of the model, i.e. 20, 100 and 400
days. RESULTS: Assuming complete surgical clearance, a worst-case scenario for a
20-day DT indicated that a 20 MUm cervical tumor would need at least 12 months to
reach 10 mm in diameter, which would be detected with an annual surveillance
interval MRI. Over a 5-year (60 months) follow-up, nearly five surveillance MRIs
would be required if the threshold of 10 mm was desired. For a 100-day DT over a
5-year (60 months) follow-up, a single only MRI would be required, if the
threshold of 10 mm was desired. In the case of an indolent tumor (DT is 400
days), the model would not recommend a surveillance MRI to detect asymptomatic
recurrence. A positive linear association between optimal MRI intervals and
volumetric tumor DTs was demonstrated. CONCLUSION: In the absence of evidence, we
postulate annual MRI scanning is probably the shortest interval, which can be
clinically useful for optimization of routine surveillance follow-up protocols in
surgically treated early cervical cancer. This mathematical model requires proper
verification in prospective clinical studies. Hippokratia 2016, 20(1): 4-8.
PMID- 27895436
TI - Rising incidence of thyroid cancer in Serbia.
AB - BACKGROUND: In the past decade, the incidence of thyroid cancer (TC) has shown a
stable increase, for both sexes, in many parts of the world at a rate faster than
for any other type of malignancy. The aim of our study was to analyze and report
changes in TC incidence in Serbia, as well as to evaluate potential reasons for
these changes. So far, the incidence of TC in Serbia has not been reported.
MATERIAL AND METHODS: This is a retrospective descriptive epidemiological study
of TC data from the Cancer Register for Serbia for a ten year period, from 1999
to 2008. Crude rates (CR), age-specific rates (ASR), age-adjusted rates (AAR),
linear trends and average annual percentage changes (AAPC) were calculated and
analyzed. RESULTS: TC incidence increased substantially for both genders with the
highest increase in 2007 for the age group 50-59 (females 14.2, males 10.3). TC
was three times more common in females (CR 4.7:1.5). The AAR for females ranged
1.9-4.8 (3.3, 95% CI 2.6-4.0), for males 1.0-2.6 (1.0, 95% CI 0.8-1.2) and for
both sexes combined 1.4-3.2 (2.2, 95% CI 1.7-2.6). The incidence trend for males
showed an increase (y =0.05x + 0.70, p =0.058). It was highly statistically
significant for females (y =0.31x + 1.61, p <0.001) and both genders combined (y
=0.18x + 1.18, p <0.001). AAPC was highest for ages 20-29 and 30-39, for females
(+25.2%) and males (+17.3%), respectively. CONCLUSIONS: We found a substantial
increase in TC incidence in Serbia for both genders. The highest increase in TC
incidence was found in females aged 20 to 29 years while the highest incidence
was found in the age group 50 to 59.
PMID- 27895437
TI - Isotretinoin increased carotid intima-media thickness in acne patients.
AB - BACKGROUND: Isotretinoin (Iso) in acne treatment may cause dyslipidemia and
increase in liver enzymes. Moreover, its effect on lipid and glucose metabolism
may induce atherosclerotic complications. The aim of this study was to evaluate
carotid intima-media thickness (CIMT), osteopontin (OPN), lipid, high sensitive C
reaktive protein (hs-CRP) levels, and insulin resistance (HOMA-IR) in acne
patients before and after Iso treatment. MATERIALS: Twenty-one acne patients were
treated with Iso (0.5-0.8 mg/kg) for four months. Blood tests for lipid profile,
fasting glucose, liver enzymes, OPN, HOMA-IR, hs-CRP and CIMT measurements were
performed before and after Iso treatment. Serum levels of OPN and, hs-CRP were
measured by ELISA and particle-enhanced turbidimetric immunoassay respectively.
RESULTS: Iso treatment significantly increased lipid levels, CIMT (0.60-0.74 mm;
p ?0.001); whereas it non-significantly increased HOMA-IR (0.91-1.87; p =0.70),
OPN (4.32-5.44 ng/ml; p =0.27), and hs-CRP (0.08-0.09 mg/dl; p =0.88) levels.
There was no correlation between OPN and CIMT (p =0.77). CONCLUSION: Isotretinoin
treatment for four months significantly increased CIMT in acne patients.
Hippokratia 2016, 20(1): 14-18.
PMID- 27895438
TI - The quality of death certification practice in Greece.
AB - BACKGROUND: Death certification represents an excellent source for mortality
statistics and appropriate public health surveillance. Errors in reporting the
cause of death impede the development of national health policies and,
accordingly, allocation of resources. The aim of this study was to determine the
frequency of errors in the cause of death and to identify factors that may be
associated with inaccuracies in death certificates. METHODS: A cross-sectional
study of all natural death certifications in a defined Greek region was conducted
over the period 2006-2010. Specific criteria for major and minor errors were
adopted for the evaluation of death certificates. RESULTS: A total of 5,828 death
certificates due to natural causes were identified. Major errors were found in
64.6 % of them with almost every death certificate having a minor error. Major
error rate did not differ per year (p =0.65). Most commonly encountered major
errors were a non-acceptable cause of death (31.2 %) and an incorrect sequencing
(16.8 %). Factors affecting their frequency were the age of the deceased (older
than 80 years, p =0.025), the area of certificate completion (rural and semi
urban, p <0.001) and doctor's grade (consultant, p <0.026). CONCLUSIONS: High
rate of recording errors at death certification influences the accuracy of the
cause of death in a defined region in Southern Greece. Due to their impact on
mortality statistics and health policies, standard practices of death
certification should be established. Coordinated educational interventions are
expected to play a significant role on this. Hippokratia 2016, 20(1): 19-25.
PMID- 27895440
TI - Is there a direct correlation between the duration and the treatment of type 2
diabetes mellitus and hearing loss?
AB - AIM: The aim of the study was to determine the impact of the duration of diabetes
and the control of glycemia on the auditory function of patients with type 2
diabetes mellitus (T2DM). MATERIALS AND METHODS: This prospective study included
80 patients with T2DM (divided depending on when T2DM was diagnosed, and also
according to the control of glycemia), and 50 healthy subjects. RESULTS: The
hearing threshold in T2DM patients was statistically significantly higher for
1,000 Hz, 2,000 Hz, 4,000 Hz and 8,000 Hz. Absolute latencies of brainstem
auditory evoked potentials (BAEP) revealed significant differences between
average absolute latencies for waves I, III and V, as well as inter-wave
latencies I-V and I-III (p <0.001). A statistically significant difference was
noted in the presence of transitory otoacoustic emissions (TEOAE) (p <0.001). In
T2DM patients with poor glycemic control, where the glycated hemoglobin (HbA1c)
is above 7%, the hearing threshold levels were statistically significantly higher
in both ears at 8,000 Hz and at 2,000 Hz in the right ear, and the absolute
latency of wave V was prolonged in the right ear. There was no evidence that the
duration of diabetes significantly affected the auditory threshold, absolute and
inter-wave BAEP latencies. CONCLUSION: The patients with T2DM displayed an
increased hearing threshold, qualitative changes in BAEP and the absence of
TEOAE. The duration of poorly-controlled glycemia had a greater effect on the
patients' auditory function than the duration of T2DM. Hippokratia 2016, 20(1):
32-37.
PMID- 27895439
TI - Comparison of two different molecular weight intra-articular injections of
hyaluronic acid for the treatment of knee osteoarthritis.
AB - BACKGROUND: Knee osteoarthritis (OA) is an incurable joint disorder, representing
a major public health issue. Among options for symptom control,
viscosupplementation with hyaluronic acid (HA) had established usefulness in pain
and function improvement of the knee. However, it is not clear which form of HA
yields better results. MATERIAL AND METHODS: We compared two HA preparations with
high (HMW) or low molecular weight (LMW) in terms of pain control and function
improvement using the Western Ontario and McMaster Universities Osteoarthritis
Index (WOMAC) and the visual analog scale (VAS) score in patients with knee OA.
During 2013, 80 patients were enrolled in this prospective, double-blind,
randomized study. Each patient received a weekly injection of either preparation
with a total of five injections for the LMW group and three for the HMW group.
They were evaluated at baseline, five weeks, three months and one year after
treatment. RESULTS: In both groups, HA treatment resulted in significant
improvement in pain and function that begun immediately after treatment and
lasted for one year. However when compared with each other, HMW and LMW groups
were comparable in mean WOMAC, and VAS score at each time point. Neither
preparation can interrupt disease progression as radiological findings remained
constant during follow-up. CONCLUSIONS: Intra-articular injections using HMW or
LMW HA can improve stiffness, joint function and pain in patients suffering from
knee OA. However, no clear benefit seems to exist between the two preparations
and neither can slow disease progression. Hippokratia 2016, 20(1): 26-31.
PMID- 27895442
TI - Translation of the Medical Fear Survey to Serbian: psychometric properties.
AB - BACKGROUND: Medical Fear Survey (MFS) is an instrument designed for measuring
fear of medical and related treatments. OBJECTIVE: Aim of the present study was
MFS translation into Serbian, measurement of its psychometric properties and MFS
validation using other Blood-injury-injections and related stimuli instruments
that have been translated from English into Serbian. METHOD: After obtaining
permission from the author of the original MFS, double forward translation from
English to Serbian and backward translation to English were conducted in ten
steps, according to International Society for Pharmacoeconomics and Outcomes
Research (ISPOR) guidelines. Reliability, factorial analysis and concurrent
validation of Serbian version of MFS were conducted on a sample of 485 medical or
pharmacy students at University of Kragujevac, Serbia. RESULTS: Serbian version
of MFS showed high internal consistency with a Cronbach's alpha 0.968 and good
temporal stability after testing-and-retesting (Spearman's correlation
coefficient 0.838, and intraclass correlation coefficient 0.877). Factorial
analysis confirmed the same five factors demonstrated in the original English
version: fear of mutilated bodies (10 items), fear of blood (11 items), fear of
injections and blood draws (9 items), fear of sharp objects (10 items), and fear
of medical examinations and physical symptoms (10 items). The total score of MFS
correlated significantly with the total scores of Injection Phobia Scale-Anxiety
(Spearman's correlation coefficient 0.391, p <0.001), Blood/Injection Fear Scale
(Spearman's correlation coefficient 0.502, p <0.001) and Medical Avoidance Survey
(Spearman's correlation coefficient 0.396, p <0.001). CONCLUSIONS: Serbian
version of the 50-item MFS showed similar psychometric properties as the original
English version of this scale, with the same factorial structure. It could be
used for measurement of fear of medical and related treatments in Serbian socio
cultural milieu, preferably self-administered. Hippokratia 2016, 20(1): 44-49.
PMID- 27895441
TI - A retrospective analysis of postoperative patients admitted to the intensive care
unit.
AB - BACKGROUND: The aim of this retrospective study was to evaluate postoperative
patients admitted to the intensive care unit (ICU) and to describe their
characteristics and outcomes. METHODS: We performed a retrospective chart review
of 1,756 postoperative patients admitted to the ICU of a tertiary referral
hospital from January 2008 to December 2012. For each patient we recorded:
demographic data, reason for admission to the ICU, duration of mechanical
ventilation, elective versus emergency surgery, type of anaesthesia, American
Society of Anesthesiologists (ASA) physical status, Acute Physiology and Chronic
Health Evaluation (APACHE) II score, Glasgow Coma Score (GCS), and outcome.
RESULTS: During the study period, the rate of postoperative ICU admission
increased each year, and the number of ICU beds was increased in order to perform
a greater number of elective surgical procedures for patients who required
postoperative ICU care. In 2008, 20.80 % of the patients were postoperatively
admitted to the ICU; 58.97 % were in 2012. The mean ratio of five years was 46.97
%. Median age was 63 (1-94) years, and 57.4 % of the patients were male. The most
common reasons for admission were major surgery (41.90 %) and comorbidities
(34.10 %). Mortality rates were higher in patients that underwent emergency
surgery, received general anesthesia, were operated on by a general surgeon, or
had low GCS scores coupled with high ASA or APACHE II scores. CONCLUSIONS: The
postoperative patients who had metabolic or hemodynamic instability, high ASA or
APACHE II scores, and low GCS had higher mortality rates despite ICU care.
Hippokratia 2016, 20(1): 38-43.
PMID- 27895443
TI - The validation and inter-rater reliability of the Serbian translation of the
Richmond agitation and sedation scale in post anesthesia care unit patients.
AB - BACKGROUND: Targeted light sedation is recommended because it shortens the time
of mechanical ventilation and the length of stay in an intensive care unit (ICU).
However, there is no validated scale for sedation and agitation in ICU in the
Serbian speaking area. The aim of the current study was to validate, verify the
reliability and enable the application of the Richmond Agitation and Sedation
Scale (RASS) in the Serbian speaking area. METHODS: In this prospective cohort
study, RASS was applied to 301 adult patients hospitalized in surgical ICUs by
two different research team members. We tested RASS for inter-rater reliability
by the correlation between them. The scale was validated by comparison to Glasgow
Coma Scale (GCS) scores which was applied by the third investigator. Interrater
agreement was measured using weighted kappa (k) and for correlation Spearman's
test was used. RESULTS: The inter-rater reliability of the scale was high (k
?0.7). The degree of correlation between the RASS and the GCS during all five
days of observation was high (?0.7 for both investigators, the fifth day). In all
the cases, Spearman's correlation coefficient was highly significant (p ?0.01).
CONCLUSIONS: The Serbian translation of the RASS is a reliable and valid
instrument for the assessment of the levels of sedation and agitation with
patients in ICU. Hippokratia 2016, 20(1): 50-54.
PMID- 27895444
TI - Relationship of hemoxygenase-1 and prolidase enzyme activity with oxidative
stress in papillary thyroid cancer.
AB - AIM: Recent studies associate thyroid cancer with oxidative stress. We aim to
clarify the relation between papillary thyroid cancer, oxidative stress,
hemoxygenase-1, prolidase enzymes and investigate the availability of these
enzymes as markers for diagnosis, success of treatment, and follow-up. METHODS:
Thirty-one patients with papillary thyroid carcinoma and 25 healthy control
subjects were included in this study. Hemoxygenase-1, prolidase (oxidant stress
indicator), malondialdehyde, protein carbonyl, and superoxide dismutase (an
indicator of antioxidant defense system) were measured pre-operatively and 30
days after thyroidectomy. RESULTS: There was a significant decrease in serum
levels of malondialdehyde and superoxide dismutase (p <0.001 for both) after
thyroidectomy in papillary thyroid carcinoma group. In addition, there was a
significant difference in the postoperative serum levels of prolidase,
malondialdehyde, protein carbonyl, and superoxide dismutase between papillary
thyroid carcinoma and control groups (p =0.024, p <0.001, p =0.002, and p =0.016,
respectively) beside significant difference of malondialdehyde, protein carbonyl,
hemoxygenase-1, and superoxide dismutase pre-operative serum levels (p <0.001, p
=0.003, p =0.006, and p =0.025, respectively). CONCLUSION: When the
unquestionable role of oxidative stress in the pathogenesis of cancer is
considered, in the future it is expected to associate parametric changes in the
serum of patients caused by oxidative stress to papillary thyroid cancer.
Hippokratia 2016, 20(1): 55-59.
PMID- 27895445
TI - Amblyopic-related frontal changes in an orientation discrimination task: a
research of P3a event-related potentials in anisometropic amblyopia.
AB - BACKGROUND: Amblyopic deficits in the primary and secondary visual cortex have
been demonstrated broadly. However, the cognitive process at late stage
originating in higher brain area in amblyopes hasn't been studied yet. The aim of
this study was to investigate the late cognitive process at the frontal lobe in
anisometropic amblyopes of a distinct degree, using visual event-related
potential (ERP) techniques. METHODS: Thirteen severe anisometropic amblyopes, 14
mild-to-moderate anisometropic amblyopes, and 13 control subjects participated in
this study. Oddball paradigm (three stimuli: target, novel, and non-target
stimuli) of low spatial frequency (1 cycle per degree, CPD) was used to elicit
brain ERP waves. Reaction time, accuracy, latency, and amplitude of P3a waves
evoked by novel stimuli at Fz electrode (the central electrode at frontal lobe),
were analyzed statistically. RESULTS: Neither accuracy nor reaction time showed
significant difference among the three groups. The latency of N200 wave showed no
significant difference. The latency of P3a wave was delayed in the amblyopes
compared with healthy controls, but there was no significant difference between
severe and mild-to-moderate amblyopes. P3a amplitude in mild-to-moderate
amblyopes was significantly higher than in controls and severe amblyopes.
CONCLUSIONS: Our findings indicated that the cognitive process in anisometropic
amblyopes was impaired, and the compensative effect of P3a amplitude was shown in
mild-to-moderate amblyopes. P3a visual ERP could become a useful tool to
investigate cognitive processing in amblyopes. Hippokratia 2016, 20(1): 60-66.
PMID- 27895446
TI - A case of fatal sepsis due to multidrug-resistant Corynebacterium striatum.
AB - BACKGROUND: Although non-diphtheria corynebacteria have traditionally been
regarded as avirulent members of human bacterial flora, their pathogenic
potential is increasingly recognized in our time. Reasons for this include the
prolonged survival of severely ill and immunocompromised patients, the
development of more invasive diagnostic and therapeutic procedures and the sub
optimal use of antibiotics that disrupts normal microbial balance and favors
superinfections. CASE REPORT: We present a rare case of sepsis due to multidrug
resistant Corynebacterium striatum in a 76-year-old host. The patient suffered
from myelodysplastic syndrome and was on corticosteroid treatment due to atopic
dermatitis. He had, also, a recent hospital admission and received a course of
broad-spectrum antibiotics due to lower respiratory infection. The patient
responded neither to empiric nor to targeted treatment and finally succumbed.
CONCLUSION: Diphtheroids are emerging pathogens capable of causing severe
opportunistic infections. Their multidrugresistant nature renders treatment
problematic and poses a threat to the currently established antimicrobial
stewardship programs. Hippokratia 2016, 20(1): 67-69.
PMID- 27895447
TI - Intrauterine device migration to the urinary bladder causing sexual dysfunction:
a case report.
AB - BACKGROUND: Intravesical migration represents an uncommon complication of
intrauterine device (IUD) insertion. We present the case of an IUD that migrated
to the urinary bladder, causing significant sexual complaints. CASE REPORT: A 38
year-old woman presented with complaints of gradually evolving dyspareunia and
recurrent urinary tract infections during the past 12 months. She reported an IUD
insertion 18 months before. Further detailed evaluation revealed disorders in all
sexual domains. Imaging and cystoscopy detected the presence of IUD in the
urinary bladder. Under anesthesia, the IUD was removed out of the bladder without
any complications. In her follow-up evaluation after six months, her sexual
function was significantly improved and she had no urinary symptoms. CONCLUSION:
Sexual difficulties in a woman with an IUD should raise the suspicion of device
dislodgement or dislocation. Hippokratia 2016, 20(1): 70-72.
PMID- 27895448
TI - Neonatal acute kidney injury following Valsartan exposure in utero: report of two
cases.
AB - BACKGROUND: Maternal sartan intake during pregnancy has been associated with
several fetal/neonatal complications related to disturbed renal development.
Description of cases: We present two cases of neonatal acute kidney injury (AKI)
following valsartan administration during pregnancy and provide evidence for the
use of novel AKI biomarkers in these neonates. The first case was a female
neonate, delivered at 32+4 weeks of gestation after maternal valsartan intake
from 24 to 32 gestational weeks. In the second case, ultrasound examination
revealed a growth-restricted fetus with severe oligohydramnios following maternal
valsartan intake during the first 29 gestational weeks. In the absence of any
improvement in amniotic fluid, the neonate was born at 31+5 weeks. In both cases,
AKI was documented after birth, but renal function progressively recovered. Urine
cystatin-C and neutrophil gelatinase-associated lipocalin were found abnormally
increased during the first week of life. CONCLUSION: Sartan use during pregnancy
is associated with the development of neonatal AKI. Novel urine biomarkers may be
used to document renal injury. Hippokratia 2016, 20(1): 73-75.
PMID- 27895449
TI - CADASIL presenting with spontaneous intracerebral hemorrhage: report of a case
and description of the first family in Northern Greece.
AB - INTRODUCTION: CADASIL (cerebral autosomal dominant arteriopathy with subcortical
infarcts and leukoencephalopathy), the most common inherited cause of stroke and
dementia in adults, has been described in six Greek families. Common presenting
manifestations include migraine with aura, brain ischemia, mood disorders and
cognitive decline. Spontaneous intracerebral hemorrhage (SICH) rarely occurs in
CADASIL and only exceptionally as the first clinical manifestation. CASE
DESCRIPTION: We have previously reported the sixth Greek family with CADASIL and
in particular, two brothers in whom the genetic testing documented a classic
mutation of the NOTCH3 gene (Arg169Cys). In this report, we describe the 30-year
old son of the second brother, who suffered a thalamic SICH as the presenting
manifestation of CADASIL, in the absence of arterial hypertension or antiplatelet
drug use. CONCLUSION: Patients with acute subcortical infarcts,
leukoencephalopathy, a history of migraine, mood disorders, and dementia, should
always raise the suspicion of CADASIL. However, a SICH, even in the absence of
classical risk factors for hemorrhage, is possible and should not exclude the
diagnosis of CADACIL. Hippokratia 2016, 20(1): 76-79.
PMID- 27895450
TI - Dermatofibrosarcoma protuberans: a case report and review of the literature.
AB - BACKGROUND: Dermatofibrosarcoma protuberans (DFSP) represents less than 0.1% of
all tumors, but it is considered the most common skin sarcoma. Wide local
excision (=5 cm) has been largely replaced by Mohs micrographic surgery; however,
recurrence is not rare. Description of the case: A 35-year-old man presented with
a large tumor on the upper side of his back and underwent local excision with the
possible preoperative diagnosis of lipoma. Upon histological examination, the
diagnosis of DFSP was made, and the patient underwent wide local excision with
skin flap reconstruction and was referred for adjuvant radiotherapy.On twenty
months follow-up, no recurrence has been observed. CONCLUSION: DFSP is the most
common cutaneous sarcoma. It originates in the dermis and tends to infiltrate
underlying structures, including muscles, tendons, fascia and bone. In our case,
the tumor was confined to the skin and subcutaneous tissue, however, our patient
underwent adjuvant radiotherapy to avoid a possible relapse that would infiltrate
deeper structures. Long-term follow-up is strongly recommended. Hippokratia 2016,
20(1): 80-83.
PMID- 27895451
TI - Non-cardiogenic pulmonary edema, rhabdomyolysis and myocardial injury following
heroin inhalation: a case report.
AB - BACKGROUND: Heroin use by non-injecting routes of administration (snorting,
swallowing, "chasing the dragon") is considered to be safer but is not risk-free
for fatal overdose or serious side effects. We report the case of an adolescent
who was transferred unconscious to the emergency department after heroin
inhalation. Description of the case: A 17-year-old male was transferred to the
emergency department unconscious (Glasgow coma scale: 6/15) after heroin
inhalation. He was treated with non-rebreather mask and intravenous infusion of
naloxone with gradual improvement of consciousness and arterial blood gasses. The
chest computed tomography showed signs of acute respiratory distress syndrome.
Laboratory exams on the second day of hospitalization showed elevated creatine
kinase (CK) and troponin-I levels while his electrocardiography (ECG) showed J
point elevation in V1, V2, and V3 precordial leads. On the second day of
hospitalization the pulmonary infiltrates were not present in his chest X-ray
while on the eighth day, troponin-I and CK levels were normalized without dynamic
ECG changes and the patient was discharged uneventfully. CONCLUSION: Heroin
inhalation may cause severe complications, such as non-cardiogenic pulmonary
edema, rhabdomyolysis or myocardial injury. Hippokratia 2016, 20(1): 84-87.
PMID- 27895452
TI - Laparoscopically assisted treatment of transverse testicular ectopia with
persistent Mullerian duct syndrome: a case report and review of the literature.
AB - BACKGROUND: Transverse testicular ectopia coexisting with persistent Mullerian
duct syndrome is a rare malformation and evidence regarding the optimal treatment
of these patients is still unclear. Description of the case: We present the case
of a 4-month-old boy in whom laparoscopy was utilized for the surgical correction
of transverse testicular ectopia and excision of Mullerian remnants. CONCLUSION:
Based on current literature and the presented case, we support that laparoscopy
is a feasible and safe procedure in patients with transverse testicular ectopia
and persistent Mullerian duct syndrome. Hippokratia 2016, 20(1): 88-89.
PMID- 27895453
TI - A case of a living-related kidney transplantation after ex-vivo repair of the
donor renal artery aneurysm.
AB - BACKGROUND: Kidney transplantation is the definite surgical treatment for end
stage renal disease. Shortage of organs and the increasing number of patients
with end-stage renal disease has led to an expansion of the selection criteria
promoting the use of organs from marginal donors. Use of kidneys with renal
artery aneurysm (RAA) is one such example. Description of the case: We report a
case of living-related kidney transplantation from a 46-year-old female donor
with unilateral RAA to her 68-year-old father. The pre-operative donor's
assessment with a computed tomography angiogram revealed a saccular aneurysm of
the left renal artery. The transplant team proceeded to the left nephrectomy,
surgical ex vivo repair of the aneurysm and transplantation of this kidney to the
recipient, with the total ischemic time of 130 minutes. At revascularization,
there was no anastomotic leak with good perfusion of the organ and normal
postoperative kidney function. CONCLUSION: RAA is a rare renal anatomical
abnormality with unproven clinical significance. Advanced microvascular surgical
techniques can be used to repair the aneurysm with subsequent successful use for
transplantation. Hippokratia 2016, 20(1): 90-92.
PMID- 27895454
TI - Diagnosis of type IV-A congenital choledochal cyst in a 73-year-old man.
PMID- 27895455
TI - Soluble triggering receptor expressed on myelocytes-1 compared to procalcitonin
in patients with infectious and autoimmune systemic inflammatory response
syndrome.
PMID- 27895456
TI - Acute necrotizing pancreatitis after chemoembolization for hepatocellular.
PMID- 27895457
TI - Tracheo-innominate artery fistula: surgical primary closure of the artery defect,
a successful treatment of a potential catastrophe.
PMID- 27895458
TI - Clinical and imaging evaluation of the response to intravenous steroids in
patients with Graves' orbitopathy and analysis on who requires additional
therapy.
AB - OBJECTIVE: The aim of this study was to evaluate the safety and efficacy of an
individualized steroid regimen in patients with moderate-to-severe Graves'
orbitopathy (GO) by monitoring clinical and imaging parameters. METHODS: In
total, 47 patients with active, moderate-to-severe GO were enrolled in this
study. All the patients received the proposed treatment regimen by European Group
on GO of 4.5 g of intravenous (IV) methylprednisolone for 12 weeks. At the end of
the IV treatment, patients with persistent active GO (Group 1) who were assessed
by clinical examination and orbital imaging with short tau inversion recovery
sequence magnetic resonance imaging (STIR MRI) received additional treatment with
oral prednisolone, and those with inactive GO (Group 2) received no further
treatment. RESULTS: Of the 42 patients who completed the study, 22 (52.4%)
patients formed Group 1 and 20 (47.6%) patients Group 2. At the 12th week, the
overall response to IV treatment was 76.2%, and clinical activity score (CAS)
improvement was 69%. At the 24th week, the overall response was 92.8%, and CAS
improvement was 97.6%, without statistically significant difference in CAS and
total eye score between these two groups (P=0.157 and P=0.856, respectively).
Ophthalmic manifestations were improved, being absent or minimal in 78.6% of
patients at the 24th week follow-up. Recurrence of disease activity occurred in
9.5% of patients up to 24 weeks after the completion of treatment, and major
adverse events occurred in 6.4% of patients. CONCLUSION: In patients with
moderate-to-severe GO, IV steroid treatment, followed by oral treatment, when
needed, is an effective regimen with low rates of adverse events and recurrences.
STIR MRI is a significant tool for recognizing patients who need additional
steroid treatment.
PMID- 27895460
TI - Preoperative optical coherence tomography visualization of epiretinal membranes
enhances surgical strategies.
AB - PURPOSE: The aim of this study was to evaluate the usefulness of preoperative
optical coherence tomography (OCT) findings in patients with macular epiretinal
membrane (ERM) for the planning of surgical strategy. PATIENTS AND METHODS: One
hundred twenty-three eyes of 121 patients (49 men, 72 women; mean age, 66 years)
with an idiopathic ERM were enrolled. All patients underwent an ophthalmic
examination including indirect ophthalmoscopy and OCT (Cirrus HD-OCT 4000 and/or
5000). OCT images obtained using model 4000 were transferred to the model 5000
system for vitreoretinal interface (VRI) analysis. The retinal thickness in each
area, occurrence rate, and locations of the edges and partial detachments of the
ERMs were evaluated using OCT. RESULTS: OCT detected identifiable edges in 61
(50%) eyes and partial detachments in 116 (94%) of the 123 eyes. The edges and
partial detachments were seen more frequently in the inferior macula. VRI
analysis also detected the edges and partial detachments. Excluding the central
area, the superior quadrant was the thickest in patients with an ERM. CONCLUSION:
Preoperatively acquired OCT images are useful for planning surgical strategies
and performing the surgeries smoothly.
PMID- 27895459
TI - Amaurosis fugax - delay between symptoms and surgery by specialty.
AB - PURPOSE: To describe the time course of management of patients with amaurosis
fugax and analyze differences in management by different specialties. METHODS:
Patients diagnosed with amaurosis fugax and subjected to carotid ultrasound in
2004-2010 at the Sahlgrenska University Hospital, Gothenburg, Sweden (n=302) were
included in this retrospective cohort study, and data were collected from medical
records. RESULTS: The prevalence of significant carotid stenosis was 18.9%, and
14.2% were subjected to carotid endarterectomy. A trend of longer delay for
surgery was noted for patients first consulting a general practitioner (P=0.069)
as compared to hospital-based specialties. For 46.3% of the patients, an
ophthalmologist was their first medical contact. No significant difference in
time interval to endarterectomy was seen between ophthalmologists and
neurologists/internists. Only 31.8% of the patients with significant carotid
stenosis had carotid endarterectomy within 2 weeks from the debut of symptoms,
and this proportion was smaller for patients residing outside the Gothenburg city
area (P=0.038). CONCLUSION: Initially consulting an ophthalmologist does not
delay the time to ultrasound or carotid endarterectomy. The overall time from
symptoms to surgery is longer than recommended for a majority of the patients,
especially for patients from rural areas and for patients initially consulting a
general practitioner.
PMID- 27895461
TI - Sildenafil potentiates the antitumor activity of cisplatin by induction of
apoptosis and inhibition of proliferation and angiogenesis.
AB - Sildenafil is the first phosphodiesterase-5 inhibitor used for the treatment of
erectile dysfunction. However, recent studies have been suggesting an antitumor
effect of sildenafil. The current study assessed the aforementioned activity of
sildenafil in vivo and in vitro in solid-tumor-bearing mice and in a human cell
line MCF-7, respectively. Moreover, we investigated the impact of sildenafil on
cisplatin antitumor activity. The solid tumor was induced by inoculation of
Ehrlich ascites carcinoma cells in female mice. The tumor-bearing mice were
assigned randomly to control (saline), sildenafil (sildenafil 5 mg/kg/d, PO daily
for 15 days), cisplatin (cisplatin 7.5 mg/kg, IP once on the 12th day of Ehrlich
ascites carcinoma inoculation), and combination therapy (cisplatin and
sildenafil) groups. The tumor volume was measured at the end of the treatment
period along with the following parameters: angiogenin, vascular endothelial
growth factor, tumor necrosis factor-alpha, Ki-67, caspase-3, DNA-flow cytometry
analysis, and histopathological examination. The study results showed that
sildenafil has significantly decreased the tumor volume by 30.4%, angiogenin and
tumor necrosis factor-alpha contents, as well as vascular endothelial growth
factor expression. Additionally, caspase-3 level significantly increased with
sildenafil treatment, whereas Ki-67 expression failed to show any significant
changes. Furthermore, the cell cycle analysis revealed that sildenafil was
capable of improving the category of tumor activity from moderate to low
proliferative. Sildenafil induced necrosis in the tumor. Moreover, the drug of
interest showed cytotoxic activity against MCF-7 in vitro as well as potentiated
cisplatin antitumor activity in vivo and in vitro. These findings shed light on
the antitumor activity of sildenafil and its possible impact on potentiating the
antitumor effect of conventional chemotherapeutic agents such as cisplatin. These
effects might be related to antiangiogenic, antiproliferative, and apoptotic
activities of sildenafil.
PMID- 27895462
TI - Effects of resveratrol on P-glycoprotein and cytochrome P450 3A in vitro and on
pharmacokinetics of oral saquinavir in rats.
AB - BACKGROUND: The intestinal cytochrome P450 3A (CYP 3A) and P-glycoprotein (P-gp)
present a barrier to the oral absorption of saquinavir (SQV). Resveratrol (RESV)
has been indicated to have modulatory effects on P-gp and CYP 3A. Therefore, this
study was to investigate the effects of RESV on P-gp and CYP 3A activities in
vitro and in vivo on oral SQV pharmacokinetics in rats. METHODS: In vitro,
intestinal microsomes were used to evaluate RESV effect on CYP 3A-mediated
metabolism of SQV; MDR1-expressing Madin-Darby canine kidney (MDCKII-MDR1) cells
were employed to assess the impact of RESV on P-gp-mediated efflux of SQV. In
vivo effects were studied using 10 rats randomly assigned to receive oral SQV (30
mg/kg) with or without RESV (20 mg/kg). Serial blood samples were obtained over
the following 24 h. Concentrations of SQV in samples were ascertained using high
performance liquid chromatography-tandem mass spectrometry analysis. RESULTS:
RESV (1-100 MUM) enhanced residual SQV (% of control) in a dose-dependent manner
after incubation with intestinal microsomes. RESV (1-100 MUM) reduced the
accumulation of SQV in MDCKII-MDR1 cells in a concentration-dependent manner. A
double peaking phenomenon was observed in the plasma SQV profiles in rats. The
first peak of plasma SQV concentration was increased, but the second peak was
reduced by coadministration with RESV. The mean AUC0-infinity of SQV was slightly
decreased, with no statistical significance probably due to the high individual
variation. CONCLUSION: RESV can alter the plasma SQV concentration profiles,
shorten the Tmax of SQV. RESV might also cause a slight decrease tendency in the
SQV bioavailability in rats.
PMID- 27895463
TI - Lentivirus-mediated knockdown of NLK inhibits small-cell lung cancer growth and
metastasis.
AB - Nemo-like kinase (NLK), an evolutionarily conserved serine/threonine kinase, has
been recognized as a critical regulator of various cancers. In this study, we
investigated the role of NLK in human small-cell lung cancer (SCLC), which is the
most aggressive form of lung cancer. NLK expression was evaluated by quantitative
real-time polymerase chain reaction in 20 paired fresh SCLC tissue samples and
found to be noticeably elevated in tumor tissues. Lentivirus-mediated RNAi
efficiently suppressed NLK expression in NCI-H446 cells, resulting in a
significant reduction in cell viability and proliferation in vitro. Moreover,
knockdown of NLK led to cell cycle arrest at the S-phase via suppression of
Cyclin A, CDK2, and CDC25A, which could contribute to cell growth inhibition.
Furthermore, knockdown of NLK decreased the migration of NCI-H446 cells and
downregulated matrix metalloproteinase 9. Treatment with NLK short hairpin RNA
significantly reduced SCLC tumor growth in vivo. In conclusion, this study
suggests that NLK plays an important role in the growth and metastasis of SCLC
and may serve as a potential therapeutic target for the treatment of SCLC.
PMID- 27895464
TI - Selexipag in the treatment of pulmonary arterial hypertension: design,
development, and therapy.
AB - Pulmonary arterial hypertension is characterized by abnormalities in the small
pulmonary arteries including increased vasoconstriction, vascular remodeling,
proliferation of smooth muscle cells, and in situ thrombosis. Selexipag, a novel,
oral prostacyclin receptor agonist, has been shown to improve hemodynamics in a
phase II clinical trial and reduce clinical worsening in a large phase III
clinical trial involving patients with pulmonary arterial hypertension. In this
paper, we describe the prostacyclin signaling pathway, currently available oral
prostanoid medications, and the development and clinical use of selexipag.
PMID- 27895465
TI - Oncolytic adenovirus expressing interleukin-18 improves antitumor activity of
dacarbazine for malignant melanoma.
AB - Conditionally replicating adenoviruses have emerged as novel therapeutic agents
for cancer. This study aimed to evaluate synergistic antitumor activity of
replication-competent adenovirus armed with interleukin (IL)-18 (ZD55-IL-18) and
dacarbazine (DTIC) against melanoma. Melanoma A375 cells or nude mouse tumor
xenografts were treated with ZD55-IL-18 alone or together with DTIC. The results
showed that ZD55-IL-18 competently replicated in A375 cells and expressed IL-18,
and these were not affected by DTIC. ZD55-IL-18 enhanced the cytotoxicity of DTIC
accompanied by increased apoptosis. Moreover, ZD55-IL-18 and DTIC synergistically
inhibited the growth but promoted the apoptosis of A375 xenografts and inhibited
vascular endothelial growth factor expression and lung metastasis in xenografts
of nude mice. In conclusion, this is the first study to show synergistic
anticancer activity of ZD55-IL-18 and DTIC for malignant melanoma. Our results
provide evidence that chemo-gene-viro therapeutic approach has greater potential
for malignant cancers than conventional chemotherapy or gene therapy.
PMID- 27895466
TI - Pharmacokinetics, pharmacodynamics and safety of CKD-519, a CETP inhibitor, in
healthy subjects.
AB - CKD-519 is a selective and potent cholesteryl ester transfer protein (CETP)
inhibitor being developed for the treatment of dyslipidemia to raise high-density
lipoprotein cholesterol. We investigated the safety, tolerability,
pharmacokinetics, and pharmacodynamics of single doses of CKD-519 in healthy
adult subjects. A randomized, double-blinded, placebo-controlled, single
ascending dose study was performed. Eight healthy subjects were enrolled in each
CKD-519 dose group (25, 50, 100, 200, or 400 mg) and randomized to CKD-519 (n=6)
or matching placebo (n=2). CKD-519 reached the maximum plasma concentration
(Cmax) at 5-6 h post-dose, and had a long terminal half-life ranging between 40
70 h. The area under the plasma concentration-time curve (AUC) and Cmax increased
with the dose, however, Cmax and AUC normalized by dose decreased with each
incremental dose. CETP activity decreased with dose, and the maximum decrease
(63%-83%) was observed at 6-8 h post-dose. A sigmoid Emax model best described
the relationship between CKD-519 plasma concentrations and CETP activity with an
EC50 of 17.3 ng/mL. Overall, 11 adverse events (AEs) were observed. All AEs were
mild or moderate in intensity, and resolved without any complications. There were
no clinically significant effects on blood pressure. In conclusion, single doses
of CKD-519 up to 400 mg were well tolerated and showed potent inhibition of CETP
activity.
PMID- 27895468
TI - Increased localized delivery of piroxicam by cationic nanoparticles after intra
articular injection.
AB - Piroxicam (PRX), a potent nonsteroidal anti-inflammatory drug, is prescribed to
relieve postoperative and/or chronic joint pain. However, its oral administration
often results in serious gastrointestinal adverse effects including duodenal
ulceration. Thus, a novel cationic nanoparticle (NP) was explored to minimize the
systemic exposure and increase the retention time of PRX in the joint after intra
articular (IA) injection, by forming micrometer-sized electrostatic clusters with
endogenous hyaluronic acid (HA) in the synovial cavity. PRX-loaded NPs consisting
of poly(lactic-co-glycolic acid), Eudragit RL, and polyvinyl alcohol were
constructed with the following characteristics: particle size of 220 nm, zeta
potential of 11.5 mV in phosphate-buffered saline, and loading amount of 4.0%
(w/w) of PRX. In optical and hyperspectral observations, the cationic NPs formed
more than 50 MUm-sized aggregates with HA, which was larger than the
intercellular gaps between synoviocytes. In an in vivo pharmacokinetic study in
rats, area under the plasma concentration-time curve (AUC0-24 h) and maximum
plasma concentration (Cmax) of PRX after IA injection of the cationic NPs were
<70% (P<0.05) and 60% (P<0.05), respectively, compared to those obtained from
drug solution. Moreover, the drug concentration in joint tissue 24 h after dosing
with the cationic NPs was 3.2-fold (P<0.05) and 1.8-fold (P<0.05) higher than
that from drug solution and neutrally charged NPs, respectively. Therefore, we
recommend the IA cationic NP therapy as an effective alternative to traditional
oral therapy with PRX, as it increases drug retention selectively in the joint.
PMID- 27895470
TI - Validity of the Control Preferences Scale in patients with emotional disorders.
AB - BACKGROUND: The Control Preferences Scale (CPS) is the most frequently used
measure of patients' preferred roles in treatment decisions. The aim of this
study was to provide data about the validity of CPS in psychiatric care of
patients with emotional disorders. METHODS: The original CPS was translated to
Spanish using the process of cross-cultural adaptation of self-reported measures
as the methodological model for Spanish translation. The final version was tested
in a convenience sample of 621 consecutive psychiatric outpatients (461
depressive and 160 anxiety disorders) that also completed the Shared Decision
Making Questionnaire, the Multidimensional Health Locus of Control Scale, the
Drug Attitude Inventory, and a questionnaire including sociodemographic and
clinical variables. RESULTS: CPS showed a moderate internal consistency and a
good convergent validity. Patients with collaborative and passive preferences
expressed a greater reliance on psychotropics. Patients preferring a
collaborative role self-reported greater perception of involvement in decision
making about their treatment. Patients preferring a passive role showed a greater
external health locus of control. The most common preferred role was the
collaborative-passive. Older patients and those under longer treatments preferred
a passive role, while patients with higher levels of education preferred a
collaborative role. CONCLUSION: The CPS is a valid measure of the amount of
control that psychiatric outpatients with emotional disorders want to assume in
the process of making decisions about their treatment.
PMID- 27895467
TI - Dietary arginine silicate inositol complex inhibits periodontal tissue loss in
rats with ligature-induced periodontitis.
AB - The purpose of this study was to induce experimental periodontitis in rats
previously fed diets containing arginine silicate inositol (ASI) complex and
examine the biochemical, immunological, and radiological effects. Fifty two 8
week-old female Sprague Dawley rats were equally divided into four groups. The
control group included those fed a standard rat diet with no operation performed
during the experiment. The periodontitis, ASI I, and ASI II groups were subjected
to experimental periodontitis induction for 11 days after being fed a standard
rat diet alone, a diet containing 1.81 g/kg ASI complex, or a diet containing
3.62 g/kg ASI complex, respectively, for 8 weeks. Throughout the 11-day duration
of periodontitis induction, all rats were fed standard feed. The rats were
euthanized on the eleventh day, and their tissue and blood samples were
collected. In the periodontitis group, elevated tissue destruction parameters and
reduced tissue formation parameters were found, as compared to the ASI groups.
Levels of enzymes, cytokines, and mediators associated with periodontal tissue
destruction were lower in rats fed a diet containing ASI complex after
experimental periodontitis. These results indicate that ASI complex could be an
alternative agent for host modulation.
PMID- 27895469
TI - Comparison of bile salt/phosphatidylcholine mixed micelles in solubilization to
sterols and stability.
AB - Androst-3beta,5alpha,6beta-triol (Triol) is a promising neuroprotective agent,
but its poor solubility restricts its development into parenteral preparations.
In this study, Triol is significantly solubilized by bile
salt/phosphatidylcholine mixed micelles (BS/PC-MM). All BS/PC-MM systems are
tested to remarkably improve the drug solubility with various stabilities after
drug loading. Among them, the sodium glycocholate (SGC)/egg phosphatidylcholine
(EPC) system with 2:1 ratio in weight and the total concentration of SGC and EPC
of 100 mg/mL is proved to produce stable mixed micelles with high drug loading.
It is found that the stability of drug-loaded mixed micelles is quite different,
which might be related to the change in critical micelle concentration (CMC)
after incorporating drugs. SGC/EPC and SGC/soya phosphatidylcholine (SPC) remain
transparent under accelerated conditions and manifest a decreased CMC (dropping
from 0.105 to 0.056 mg/mL and from 0.067 to 0.024 mg/mL, respectively). In
contrast, swine bile acid-sodium salt (SBA-Na)/PC and sodium deoxycholate
(SDC)/PC are accompanied by drug precipitation and reached the maximum CMC on the
first and the third days, respectively. Interestingly, the variation of CMC under
accelerated testing conditions highly matches the drug-precipitating event in the
primary stability experiment. In brief, the bile salt/phosphatidylcholine system
exists as a potential strategy of improving sterol drug solubility. CMC variation
under accelerated testing conditions might be a simple and easy method to predict
the stability of drug-loaded mixed micelles.
PMID- 27895471
TI - Adherence, satisfaction and preferences for treatment in patients with psoriasis
in the European Union: a systematic review of the literature.
AB - BACKGROUND AND OBJECTIVE: Adherence to treatment in patients with psoriasis is
often poor. An investigation of patient preferences and satisfaction with
treatment may be important, based on the expected correlation with therapy
compliance. This paper aims to examine and describe the current literature on
patient preferences, satisfaction and adherence to treatment for psoriasis in the
European Union (EU). METHODS: Electronic searches were conducted using PubMed,
ISI Web of Knowledge, Scopus, Spanish databases and Google Scholar. European
studies published in English or Spanish between January 1, 2009 and December 31,
2014 regarding patient-reported outcomes in psoriatic patients were included.
Studies conducted in non-EU countries, letters to the editor, editorials,
experts' opinions, case studies, congress proceedings, publications that did not
differentiate between patients with psoriasis and psoriatic arthritis or studies
related to specific treatment were excluded. RESULTS: A total of 1,769 titles
were identified, of which 1,636 were excluded as they were duplicates or did not
provide any relevant information. After a full-text reading and application of
the inclusion/exclusion criteria, 46 publications were included. This paper will
describe publications on adherence (n=4), preferences (n=5) and satisfaction with
treatment (n=7). Results related to health-related quality of life articles
(n=30) have been published elsewhere. Adherence rates are generally low in
psoriasis patients regardless of the type of treatment, severity of disease or
methods used to measure adherence. Biologic therapy is associated with greater
clinical improvement. There is a direct association between physician
recommendations, patient preferences and several domains of treatment
satisfaction. CONCLUSION: The results of this review support the conclusion that
adherence rates in patients with psoriasis are suboptimal and highlight the need
to improve patient compliance and satisfaction with treatment. Patients'
preferences should be taken into account in the treatment decision-making process
in order to improve patients' clinical outcomes by ensuring satisfaction and
adherence.
PMID- 27895473
TI - Calf-raise senior: a new test for assessment of plantar flexor muscle strength in
older adults: protocol, validity, and reliability.
AB - PURPOSE: This study aimed to develop a new field test protocol with a
standardized measurement of strength and power in plantar flexor muscles targeted
to functionally independent older adults, the calf-raise senior (CRS) test, and
also evaluate its reliability and validity. PATIENTS AND METHODS: Forty-one
subjects aged 65 years and older of both sexes participated in five different
cross-sectional studies: 1) pilot (n=12); 2) inter- and intrarater agreement
(n=12); 3) construct (n=41); 4) criterion validity (n=33); and 5) test-retest
reliability (n=41). Different motion parameters were compared in order to define
a specifically designed protocol for seniors. Two raters evaluated each
participant twice, and the results of the same individual were compared between
raters and participants to assess the interrater and intrarater agreement. The
validity and reliability studies involved three testing sessions that lasted 2
weeks, including a battery of functional fitness tests, CRS test in two
occasions, accelerometry, and strength assessments in an isokinetic dynamometer.
RESULTS: The CRS test presented an excellent test-retest reliability (intraclass
correlation coefficient [ICC] =0.90, standard error of measurement =2.0) and
interrater reliability (ICC =0.93-0.96), as well as a good intrarater agreement
(ICC =0.79-0.84). Participants with better results in the CRS test were younger
and presented higher levels of physical activity and functional fitness. A
significant association between test results and all strength parameters
(isometric, r=0.87, r2=0.75; isokinetic, r=0.86, r2=0.74; and rate of force
development, r=0.77, r2=0.59) was shown. CONCLUSION: This study was successful in
demonstrating that the CRS test can meet the scientific criteria of validity and
reliability. The test can be a good indicator of ankle strength in older adults
and proved to discriminate significantly between individuals with improved
functionality and levels of physical activity.
PMID- 27895472
TI - Safety and effectiveness of daily teriparatide for osteoporosis in patients with
severe stages of chronic kidney disease: post hoc analysis of a postmarketing
observational study.
AB - Teriparatide (recombinant 1-34 N-terminal sequence of human parathyroid hormone)
for the treatment of osteoporosis should be prescribed with caution in patients
with severe stages of chronic kidney disease (CKD). However, in clinical
settings, physicians and surgeons who treat such patients have few available
options. We sought to further explore the safety and effectiveness of
teriparatide for the treatment of osteoporosis in Japanese patients with severe
stages of CKD. This was a post hoc analysis of a postmarketing surveillance study
that included patients with osteoporosis at high risk of fracture and stage 4 or
5 CKD. Patients received subcutaneous teriparatide 20 MUg daily for up to 24
months. Safety profiles were assessed by physician-reported adverse drug
reactions (ADRs). Effectiveness was assessed by measuring bone formation (via
procollagen type 1 N-terminal propeptide [P1NP]), bone mineral density (BMD), and
the incidence of clinical vertebral or nonvertebral fragility fractures. A total
of 33 patients with severe stages of CKD (stage 4, n=30; stage 5, n=3) were
included. All patients were female, and 81.8% had a history of previous fracture.
No serious ADRs were recorded; a total of 4 ADRs were recorded for 4 of 33
patients. Increases in BMD and P1NP levels were observed both overall and in most
individual patients. New fractures occurred in 1 patient with stage 5 CKD, but
not in patients with stage 4 CKD. In this post hoc analysis conducted in Japan,
teriparatide appeared to be effective for the treatment of osteoporosis in
elderly female patients with severe stages of CKD, and no new safety concerns
were observed.
PMID- 27895474
TI - Efficacy of L-carnitine supplementation on frailty status and its biomarkers,
nutritional status, and physical and cognitive function among prefrail older
adults: a double-blind, randomized, placebo-controlled clinical trial.
AB - BACKGROUND: Frailty is a biological syndrome of decreased reserve and resistance
to stressors due to decline in multiple physiological systems. Amino acid
deficiency, including L-carnitine, has been proposed to be associated with its
pathophysiology. Nevertheless, the efficacy of L-carnitine supplementation on
frailty status has not been documented. Thus, this study aimed to determine the
effect of 10-week L-carnitine supplement (1.5 g/day) on frailty status and its
biomarkers and also physical function, cognition, and nutritional status among
prefrail older adults in Klang Valley, Malaysia. METHODOLOGY: This study is a
randomized, double-blind, placebo-controlled clinical trial conducted among 50
prefrail subjects randomized into two groups (26 in L-carnitine group and 24 in
placebo group). Outcome measures include frailty status using Fried criteria and
Frailty Index accumulation of deficit, selected frailty biomarkers (interleukin
6, tumor necrosis factor-alpha, and insulin-like growth factor-1), physical
function, cognitive function, nutritional status and biochemical profile.
RESULTS: The results indicated that the mean scores of Frailty Index score and
hand grip test were significantly improved in subjects supplemented with L
carnitine (P<0.05 for both parameters) as compared to no change in the placebo
group. Based on Fried criteria, four subjects (three from the L-carnitine group
and one from the control group) transited from prefrail status to robust after
the intervention. CONCLUSION: L-carnitine supplementation has a favorable effect
on the functional status and fatigue in prefrail older adults.
PMID- 27895475
TI - Transcranial direct current stimulation improves the QT variability index and
autonomic cardiac control in healthy subjects older than 60 years.
AB - BACKGROUND: Noninvasive brain stimulation technique is an interesting tool to
investigate the causal relation between cortical functioning and autonomic
nervous system (ANS) responses. OBJECTIVE: The objective of this report is to
evaluate whether anodal transcranial direct current stimulation (tDCS) over the
temporal cortex influences short-period temporal ventricular repolarization
dispersion and cardiovascular ANS control in elderly subjects. SUBJECTS AND
METHODS: In 50 healthy subjects (29 subjects younger than 60 years and 21
subjects older than 60 years) matched for gender, short-period RR and systolic
blood pressure spectral variability, QT variability index (QTVI), and noninvasive
hemodynamic data were obtained during anodal tDCS or sham stimulation. RESULTS:
In the older group, the QTVI, low-frequency (LF) power expressed in normalized
units, the ratio between LF and high-frequency (HF) power, and systemic
peripheral resistances decreased, whereas HF power expressed in normalized units
and alpha HF power increased during the active compared to the sham condition
(P<0.05). CONCLUSION: In healthy subjects older than 60 years, tDCS elicits
cardiovascular and autonomic changes. Particularly, it improves temporal
ventricular repolarization dispersion, reduces sinus sympathetic activity and
systemic peripheral resistance, and increases vagal sinus activity and baroreflex
sensitivity.
PMID- 27895477
TI - Noninvasive monitoring of early antiangiogenic therapy response in human
nasopharyngeal carcinoma xenograft model using MRI with RGD-conjugated ultrasmall
superparamagnetic iron oxide nanoparticles.
AB - PURPOSE: Arginine-glycine-aspartic acid (RGD)-based nanoprobes allow specific
imaging of integrin alphavbeta3, a protein overexpressed during angiogenesis.
Therefore, this study applied a novel RGD-coupled, polyacrylic acid (PAA)-coated
ultrasmall superparamagnetic iron oxide (USPIO) (referred to as RGD-PAA-USPIO) in
order to detect tumor angiogenesis and assess the early response to
antiangiogenic treatment in human nasopharyngeal carcinoma (NPC) xenograft model
by magnetic resonance imaging (MRI). MATERIALS AND METHODS: The binding
specificity of RGD-PAA-USPIO with human umbilical vein endothelial cells (HUVECs)
was confirmed by Prussian blue staining and transmission electron microscopy in
vitro. The tumor targeting of RGD-PAA-USPIO was evaluated in the NPC xenograft
model. Later, mice bearing NPC underwent MRI at baseline and after 4 and 14 days
of consecutive treatment with Endostar or phosphate-buffered saline (n=10 per
group). RESULTS: The specific uptake of the RGD-PAA-USPIO nanoparticles was
mainly dependent on the interaction between RGD and integrin alphavbeta3 of
HUVECs. The tumor targeting of RGD-PAA-USPIO was observed in the NPC xenograft
model. Moreover, the T2 relaxation time of mice in the Endostar-treated group
decreased significantly compared with those in the control group both on days 4
and 14, consistent with the immunofluorescence results of CD31 and CD61 (P<0.05).
CONCLUSION: This study demonstrated that the magnetic resonance molecular
nanoprobes, RGD-PAA-USPIOs, allow noninvasive in vivo imaging of tumor
angiogenesis and assessment of the early response to antiangiogenic treatment in
NPC xenograft model, favoring its potential clinical translation.
PMID- 27895476
TI - Community-based exercise training for people with chronic respiratory and chronic
cardiac disease: a mixed-methods evaluation.
AB - BACKGROUND: Poor uptake and adherence are problematic for hospital-based
pulmonary and heart failure rehabilitation programs, often because of access
difficulties. The aims of this mixed-methods study were to determine the
feasibility of a supervised exercise training program in a community gymnasium in
people with chronic respiratory and chronic cardiac disease, to explore the
experiences of participants and physiotherapists and to determine if a community
venue improved access and adherence to rehabilitation. METHODS: Adults with
chronic respiratory and/or chronic cardiac disease referred to a hospital-based
pulmonary and heart failure rehabilitation program were screened to determine
their suitability to exercise in a community venue. Eligible patients were
offered the opportunity to attend supervised exercise training for 8 weeks in a
community gymnasium. Semi-structured interviews were conducted with participants
and physiotherapists at the completion of the program. RESULTS: Thirty-one people
with chronic respiratory and chronic cardiac disease (34% males, mean [standard
deviation] age 72 [10] years) commenced the community-based exercise training
program. Twenty-two (71%) completed the program. All participants who completed
the program, and the physiotherapists delivering the program, were highly
satisfied, with reports of the community venue being well-equipped, convenient,
and easily accessible. Using a community gymnasium promoted a sense of normality
and instilled confidence in some to continue exercising at a similar venue post
rehabilitation. However, factors such as cost and lack of motivation continue to
be barriers. CONCLUSION: The convenience and accessibility of a community venue
for rehabilitation contributed to high levels of satisfaction and a positive
experience for people with chronic respiratory and chronic cardiac disease and
physiotherapists.
PMID- 27895478
TI - Biosynthesis, characterization, and evaluation of bioactivities of leaf extract
mediated biocompatible silver nanoparticles from an early tracheophyte, Pteris
tripartita Sw.
AB - The objective of the study was to characterize silver nanoparticles (Ag-NPs) and
their bioactivities in early tracheophytes (Pteridophyta). Aqueous leaf extract
of a critically endangered fern, Pteris tripartita Sw., was used for one-step
green synthesis of Ag-NPs. The biosynthesized Ag-NPs were characterized using
ultraviolet-visible spectroscopy, Fourier transform infrared spectroscopy,
scanning electron microscopy, energy-dispersive X-ray spectroscopy, X-ray
diffraction, and high-resolution transmission electron microscopy.
Morphologically, the Ag-NPs showed hexagonal, spherical, and rod-shaped
structures. Size distributions of Ag-NPs, calculated using Scherrer's formula,
showed an average size of 32 nm. Ag-NPs were studied for in vitro antioxidant,
antimicrobial, and in vivo anti-inflammatory activities. Ag-NPs exhibited
significant anti-inflammatory activity in carrageenan-induced paw volume tests
performed in female Wistar albino rats. Furthermore, Ag-NPs showed significant
antimicrobial activity against 12 different microorganisms in three different
assays (disk diffusion, time course growth, and minimum inhibitory
concentration). This study reports that colloidal Ag-NPs can be synthesized by
simple, nonhazardous methods, and that biosynthesized Ag-NPs have significant
therapeutic properties.
PMID- 27895479
TI - Cationic PEGylated liposomes incorporating an antimicrobial peptide tilapia
hepcidin 2-3: an adjuvant of epirubicin to overcome multidrug resistance in
cervical cancer cells.
AB - Antimicrobial peptides (AMPs) have been recently evaluated as a new generation of
adjuvants in cancer chemotherapy. In this study, we designed PEGylated liposomes
encapsulating epirubicin as an antineoplastic agent and tilapia hepcidin 2-3, an
AMP, as a multidrug resistance (MDR) transporter suppressor and an
apoptosis/autophagy modulator in human cervical cancer HeLa cells. Cotreatment of
HeLa cells with PEGylated liposomal formulation of epirubicin and hepcidin 2-3
significantly increased the cytotoxicity of epirubicin. The liposomal
formulations of epirubicin and/or hepcidin 2-3 were found to noticeably escalate
the intracellular H2O2 and O2- levels of cancer cells. Furthermore, these
treatments considerably reduced the mRNA expressions of MDR protein 1, MDR
associated protein (MRP) 1, and MRP2. The addition of hepcidin 2-3 in liposomes
was shown to markedly enhance the intracellular epirubicin uptake and mainly
localized into the nucleus. Moreover, this formulation was also found to trigger
apoptosis and autophagy in HeLa cells, as validated by significant increases in
the expressions of cleaved poly ADP ribose polymerase, caspase-3, caspase-9, and
light chain 3 (LC3)-II, as well as a decrease in mitochondrial membrane
potential. The apoptosis induction was also confirmed by the rise in sub-G1 phase
of cell cycle assay and apoptosis percentage of annexin V/propidium iodide assay.
We found that liposomal epirubicin and hepcidin 2-3 augmented the accumulation of
GFP-LC3 puncta as amplified by chloroquine, implying the involvement of
autophagy. Interestingly, the partial inhibition of necroptosis and the
epithelial-mesenchymal transition by this combination was also verified.
Altogether, our results provide evidence that coincubation with PEGylated
liposomes of hepcidin 2-3 and epirubicin caused programmed cell death in cervical
cancer cells through modulation of multiple signaling pathways, including MDR
transporters, apoptosis, autophagy, and/or necroptosis. Thus, this formulation
may provide a new platform for the combined treatment of traditional chemotherapy
and hepcidin 2-3 as a new adjuvant for effective MDR reversal.
PMID- 27895481
TI - Polyethyleneimine-coated quantum dots for miRNA delivery and its enhanced
suppression in HepG2 cells.
AB - Quantum dots (QDs) have been intensively investigated for bioimaging, drug
delivery, and labeling probes because of their unique optical properties. In this
study, CdSe/ZnS QDs-based nonviral vectors with the dual functions of delivering
miR-26a plasmid and bioimaging were formulated by capping the surface of CdSe/ZnS
QDs with polyethyleneimine (PEI). The PEI-coated QDs were capable of condensing
miR-26a expression vector into nanocomplexes that can emit strong red
luminescence when loaded with CdSe/ZnS QDs. Further results showed that PEI
modified nanoparticles (NPs) could transfect miR-26a plasmid into HepG2 cells in
vitro. Meanwhile, imaging of living cells could be achieved based on the CdSe/ZnS
QDs. Further study suggested that miR-26a transfection up-regulated miR-26a
expression, induced cycle arrest, and triggered proliferation inhibition in HepG2
cells. The results indicated that PEI-coated QD NPs possess the capability of
bioimaging and gene delivery and could be a promising vehicle with the
engineering of QD NPs for gene therapy in the future.
PMID- 27895480
TI - Synthesis of three-arm block copolymer poly(lactic-co-glycolic acid)
poly(ethylene glycol) with oxalyl chloride and its application in hydrophobic
drug delivery.
AB - PURPOSE: Synthesis of star-shaped block copolymer with oxalyl chloride and
preparation of micelles to assess the prospect for drug-carrier applications.
MATERIALS AND METHODS: Three-arm star block copolymers of poly(lactic-co-glycolic
acid) (3S-PLGA)-polyethylene glycol (PEG) were synthesized by ring-opening
polymerization, then PEG as the hydrophilic block was linked to the terminal
hydroxyl of 3S-PLGA with oxalyl chloride. Fourier-transform infrared (FT-IR)
spectroscopy, gel-permeation chromatography (GPC), hydrogen nuclear magnetic
resonance (1H-NMR) spectra, and differential scanning calorimetry were employed
to identify the structure and properties of 3S-PLGA-PEG. Rapamycin (RPM)-loaded
micelles were prepared by solvent evaporation, and pyrene was used as the
fluorescence probe to detect the critical micelle concentration of the copolymer.
The particle size, distribution, and zeta-potential of the micelles were
determined by dynamic light scattering, and the morphology of the RPM-loaded
micelles was analyzed by transmission electron microscopy. High-performance
liquid chromatography was conducted to analyze encapsulation efficiency and drug
loading capacity, as well as the release behavior of RPM-loaded micelles. The
biocompatibility of material and the cytostatic effect of RPM-loaded micelles
were investigated by Cell Counting Kit 8 assay. RESULTS: FT-IR, GPC, and 1H-NMR
suggested that 3S-PLGA-PEG was successfully synthesized. The RPM-loaded micelles
prepared with the 3S-PLGA-PEG possessed good properties. The micelles had good
average diameter and encapsulation efficiency. For in vitro release, RPM was
released slowly from 3S-PLGA-PEG micelles, showing that 3S-PLGA-PEG-RPM exhibited
a better and longer antiproliferative effect than free RPM. CONCLUSION: In this
study, we first used oxalyl chloride as the linker to synthesize 3S-PLGA-PEG
successfully, and compared with reported literature, this method shortened the
reaction procedure and improved the reaction yield. The micelles prepared with
this material proved suitable for drug-carrier application.
PMID- 27895482
TI - Nanoparticle-based strategy for personalized B-cell lymphoma therapy.
AB - B-cell lymphoma is associated with incomplete response to treatment, and the
development of effective strategies targeting this disease remains challenging. A
new personalized B-cell lymphoma therapy, based on a site-specific receptor
mediated drug delivery system, was developed in this study. Specifically, natural
silica-based nanoparticles (diatomite) were modified to actively target the
antiapoptotic factor B-cell lymphoma/leukemia 2 (Bcl2) with small interfering RNA
(siRNA). An idiotype-specific peptide (Id-peptide) specifically recognized by the
hypervariable region of surface immunoglobulin B-cell receptor was exploited as a
homing device to ensure specific targeting of lymphoma cells. Specific
nanoparticle uptake, driven by the Id-peptide, was evaluated by flow cytometry
and confocal microscopy and was increased by approximately threefold in target
cells compared with nonspecific myeloma cells and when a random control peptide
was used instead of Id-peptide. The specific internalization efficiency was
increased by fourfold when siRNA was also added to the modified nanoparticles.
The modified diatomite particles were not cytotoxic and their effectiveness in
downregulation of gene expression was explored using siRNA targeting Bcl2 and
evaluated by quantitative real-time polymerase chain reaction and Western blot
analyses. The resulting gene silencing observed is of significant biological
importance and opens new possibilities for the personalized treatment of
lymphomas.
PMID- 27895483
TI - Improved proliferation of antigen-specific cytolytic T lymphocytes using a
multimodal nanovaccine.
AB - The present study investigated the immunoenhancing property of our newly designed
nanovaccine, that is, its ability to induce antigen-specific immunity. This study
also evaluated the synergistic effect of a novel compound PBS-44, an alpha
galactosylceramide analog, in boosting the immune response induced by our
nanovaccine. The nanovaccine was prepared by encapsulating ovalbumin (ova) and an
adjuvant within the poly(lactic-co-glycolic acid) nanoparticles. Quantitative
analysis of our study data showed that the encapsulated vaccine was physically
and biologically stable; the core content of our nanovaccine was found to be
released steadily and slowly, and nearly 90% of the core content was slowly
released over the course of 25 days. The in vivo immunization studies exhibited
that the nanovaccine induced stronger and longer immune responses compared to its
soluble counterpart. Similarly, intranasal inhalation of the nanovaccine induced
more robust antigen-specific CD8+ T cell response than intraperitoneal injection
of nanovaccine.
PMID- 27895484
TI - The effect of dexamethasone/cell-penetrating peptide nanoparticles on gene
delivery for inner ear therapy.
AB - Dexamethasone (Dex)-loaded PHEA-g-C18-Arg8 (PCA) nanoparticles (PCA/Dex) were
developed for the delivery of genes to determine the synergistic effect of Dex on
gene expression. The cationic PCA nanoparticles were self-assembled to create
cationic micelles containing an octadecylamine (C18) core with Dex and an
arginine 8 (Arg8) peptide shell for electrostatic complexation with nucleic acids
(connexin 26 [Cx26] siRNA, green fluorescent protein [GFP] DNA or brain-derived
neurotrophic factor [BDNF] pDNA). The PCA/Dex nanoparticles conjugated with Arg8,
a cell-penetrating peptide that enhances permeability through a round window
membrane in the inner ear for gene delivery, exhibited high uptake efficiency in
HEI-OC1 cells. This potential carrier co-delivering Dex and the gene into inner
ear cells has a diameter of 120-140 nm and a zeta potential of 20-25 mV.
Different types of genes were complexed with the Dex-loaded PCA nanoparticle
(PCA/Dex/gene) for gene expression to induce additional anti-inflammatory
effects. PCA/Dex showed mildly increased expression of GFP and lower mRNA
expression of inflammatory cytokines (IL1b, IL12, and INFr) than did Dex-free PCA
nanoparticles and Lipofectamine(r) reagent in HEI-OC1 cells. In addition, after
loading Cx26 siRNA onto the surface of PCA/Dex, Cx26 gene expression was
downregulated according to real-time polymerase chain reaction for 24 h, compared
with that using Lipofectamine reagent. After loading BDNF DNA into PCA/Dex,
increased expression of BDNF was observed for 30 h, and its signaling pathway
resulted in an increase in phosphorylation of Akt, observed by Western blotting.
Thus, Dex within PCA/Dex/gene nanoparticles created an anti-inflammatory effect
and enhanced gene expression.
PMID- 27895485
TI - ADHD and Present Hedonism: time perspective as a potential diagnostic and
therapeutic tool.
AB - The article draws primarily from the behavioral findings (mainly psychiatric and
psychological observations) and points out the important relationships between
attention-deficit/hyperactivity disorder (ADHD) symptoms and time orientation.
Specifically, the authors argue that there is a significant overlap between the
symptoms of ADHD and Present Hedonism. Present Hedonism is defined by Zimbardo's
time perspective theory and assessed by Zimbardo Time Perspective Inventory.
Developmental data on Present Hedonism of males and females in the Czech
population sample (N=2201) are also presented. The hypothesis of relationship
between ADHD and Present Hedonism is mainly derived from the prevalence of
addictive behavior (mainly excessive Internet use, alcohol abuse, craving for
sweets, fatty foods, and fast foods), deficits in social learning, and increased
aggressiveness both in ADHD and in the population scoring high on Present
Hedonism in the Zimbardo Time Perspective Inventory. We conclude that Zimbardo's
time perspective offers both: 1) a potential diagnostic tool - the Zimbardo Time
Perspective Inventory, particularly its Present Hedonism scale, and 2) a
promising preventive and/or therapeutic approach by the Time Perspective Therapy.
Time Perspective Therapy has so far been used mainly to treat past negative
trauma (most notably, posttraumatic stress disorder); however, it also has value
as a potential therapeutic tool for possible behavioral compensation of ADHD.
PMID- 27895486
TI - Factors associated with vitamin D status of low-income, hospitalized psychiatric
patients: results of a retrospective study.
AB - BACKGROUND: Recent evidence has indicated a potential role of vitamin D3 in a
range of neuropsychiatric outcomes, as well as on cognitive function, but
conflicting data have left that role uncertain. Understanding potential
associations of vitamin D status with psychiatric illness will allow clinicians
to better assess therapeutic options. Few studies have examined vitamin D status
among a racially diverse group of psychiatric patients who have been
hospitalized, and none has done so in the southern US where socioeconomic
inequality is high. METHODS: In this retrospective study, medical records from
113 patients hospitalized for psychiatric illness were retrieved and analyzed.
Vitamin D status in this population was estimated, along with any patterns of
association between deficiency and risk factors. RESULTS: The vast majority of
patients hospitalized for psychiatric illness in this biracial, low-income sample
had either insufficient or deficient vitamin D levels. African-American patients
had lower levels of vitamin D than Caucasian patients. DISCUSSION: Our findings
demonstrate that hospitalized psychiatric patients are at increased risk for
vitamin D deficiency and in particular low-income, African-American populations.
These results suggest that vitamin D should be assessed and therapy considered at
the initiation of psychiatric hospitalizations.
PMID- 27895489
TI - Health provider experiences with galactagogues to support breastfeeding: a cross
sectional survey.
AB - BACKGROUND: Exclusive breastfeeding for infants up to 6 months is widely
recommended, yet breastfeeding rates are relatively low in the US. The most
common reason women stop breastfeeding early is a perceived insufficiency of
milk. Galactagogues are herbal and pharmaceutical products that can help increase
milk supply; however, data on their efficacy and safety is limited. Lactation
consultants, obstetricians, and other health providers are an important point of
contact for breastfeeding women experiencing challenges with lactation. This
study explored providers' perceptions, experiences, and practices in relation to
galactagogue recommendation. METHOD: A cross-sectional survey was conducted among
a convenience sample of English-speaking health providers in the US who counsel
breastfeeding women and their infants. RESULTS: More than 70% of respondents
reported to recommend galactagogues. The most frequently recommended galactagogue
was fenugreek with respondents indicating that they recommend it either 'always'
(8.5%) or 'most of the time' (14.9%) and 'sometimes' (46.8%). More than 80% of
the respondents indicated that galactagogues were useful for their clients and
only one-third reported side effects. Reasons for refraining from recommending
galactagogues were insufficient evidence of its efficacy and safety. Respondents
reported a wide variety of sources of information used for their own education
about galactagogues. DISCUSSION: Despite little evidence regarding safety and
efficacy, some galactagogues are widely recommended and often perceived to be
useful. However, concerns about their efficacy and safety remain. In order to
assure both providers and users about safety and efficacy, more robust studies as
well as better pharmacovigilance systems are needed.
PMID- 27895487
TI - Combination therapy with lercanidipine and enalapril in the management of the
hypertensive patient: an update of the evidence.
AB - Hypertension is an important risk factor for premature death as it increases the
probability of stroke, myocardial infarction, and heart failure. Antihypertensive
drugs can decrease cardiovascular (CV) morbidity and mortality. The majority of
hypertensive patients need more than one antihypertensive agent to attain blood
pressure (BP) targets. Monotherapy can effectively reduce BP only in 20%-40% of
patients. Multiple mechanisms including increased peripheral vascular resistance,
increased cardiac work, and hypervolemia are involved in the pathogenesis of
hypertension. Targeting multiple pathways may more potently reduce BP. Increasing
the dose of a single agent in many cases does not provide the expected BP
lowering effect because the underlying mechanism of the BP increase is either
different or already corrected with the lower dose. Moreover, drugs acting on
different pathways may have synergistic effects and thus better control
hypertension. It is well known that diuretics enhance the actions of renin
angiotensin aldosterone system and activate it as a feedback to the reduced
circulated blood volume. The addition of a renin-angiotensin aldosterone system
blocker to a diuretic may more effectively reduce BP because the system is
upregulated. Reducing the maximal dose of an agent may also reduce possible side
effects if they are dose dependent. The increased prevalence of peripheral edema
with higher doses of calcium channel blockers (CCBs) is reduced when renin
angiotensin aldosterone system blockers are added to CCBs through vein dilation.
The effectiveness of the combination of enalapril with lercanidipine in reducing
BP, the safety profile, and the use of the combination of angiotensin-converting
enzyme inhibitors with CCBs in clinical trials with excellent CV hard end point
outcomes make this combination a promising therapy in the treatment of
hypertension.
PMID- 27895490
TI - Paxillin functions as an oncogene in human gliomas by promoting cell migration
and invasion.
AB - BACKGROUND: Paxillin is implicated in tumorigenesis, progression and aggressive
phenotypes of various malignancies, highlighting its functions in cellular
adhesion, migration and survival. However, the roles of paxillin in human gliomas
remain unclear. The aim of this study was to evaluate the clinical implication of
paxillin expression in patients with gliomas and its biological function in
glioma cells. PATIENTS AND METHODS: Expression levels of paxillin gene and
protein, respectively, were detected by quantitative real-time reverse
transcription polymerase chain reaction, Western blot and immunohistochemistry
analyses in 120 pairs of glioma and matched nontumorous brain tissues. The
associations between paxillin expression and various histopathological features
of glioma patients were also statistically evaluated. Then, the functions of
paxillin in cell migration and invasion of glioma cell lines were determined by
transwell assays in vitro. RESULTS: The expression levels of both paxillin gene
and protein in glioma tissues were markedly higher than those in matched
nontumorous brain tissues. Notably, paxillin overexpression was significantly
associated with the grade of malignancy (P<0.05). Moreover, the enforced
expression of paxillin promoted the migration and invasion of glioma cells, while
the loss of paxillin expression efficiently suppressed cell migration and
invasion of glioma cell lines. CONCLUSION: Our data suggest that paxillin may
function as an oncogene and its overexpression may be closely correlated with
tumor progression of human gliomas by modulating tumor cell motility, implying
the potential of paxillin as a new therapeutic target for glioma intervention.
PMID- 27895491
TI - Comparison of internal target volumes defined on 3-dimensional, 4-dimensonal, and
cone-beam CT images of non-small-cell lung cancer.
AB - PURPOSE: The purpose of this study was to compare the positional and volumetric
differences of internal target volumes defined on three-dimensional computed
tomography (3DCT), four-dimensional CT (4DCT), and cone-beam CT (CBCT) images of
non-small-cell lung cancer (NSCLC). MATERIALS AND METHODS: Thirty-one patients
with NSCLC sequentially underwent 3DCT and 4DCT simulation scans of the thorax
during free breathing. The first CBCT was performed and registered to the
planning CT using the bony anatomy registration during radiotherapy. The gross
tumor volumes were contoured on the basis of 3DCT, maximum intensity projection
(MIP) of 4DCT, and CBCT. CTV3D (clinical target volume), internal target volumes,
ITVMIP and ITVCBCT, were defined with a 7 mm margin accounting for microscopic
disease. ITV10 mm and ITV5 mm were defined on the basis of CTV3D: ITV10 mm with a
5 mm margin in left-right (LR), anterior-posterior (AP) directions and 10 mm in
cranial-caudal (CC) direction; ITV5 mm with an isotropic internal margin (IM) of
5 mm. The differences in the position, size, Dice's similarity coefficient (DSC)
and inclusion relation of different volumes were evaluated. RESULTS: The median
size ratios of ITV10 mm, ITV5 mm, and ITVMIP to ITVCBCT were 2.33, 1.88, and
1.03, respectively, for tumors in the upper lobe and 2.13, 1.76, and 1.1,
respectively, for tumors in the middle-lower lobe. The median DSCs of ITV10 mm,
ITV5 mm, ITVMIP, and ITVCBCT were 0.6, 0.66, and 0.83 for all patients. The
median percentages of ITVCBCT not included in ITV10 mm, ITV5 mm, and ITVMIP were
0.1%, 1.63%, and 15.21%, respectively, while the median percentages of ITV10 mm,
ITV5 mm, and ITVMIP not included in ITVCBCT were 57.08%, 48.89%, and 20.04%,
respectively. CONCLUSION: The use of the individual ITV derived from 4DCT merely
based on bony registration in radiotherapy may result in a target miss. The ITVs
derived from 3DCT with isotropic margins have a good coverage of the ITV from
CBCT, but the use of those would result in a high proportion of normal tissue
being irradiated unnecessarily.
PMID- 27895492
TI - MicroRNA-29a plays a suppressive role in non-small cell lung cancer cells via
targeting LASP1.
AB - MicroRNA (miR)-29a has been implicated in non-small cell lung cancer (NSCLC), but
the mechanism remains largely unclear. LASP1, a cAMP- and cGMP-dependent
signaling protein, was recently found to promote proliferation and aggressiveness
in NSCLC. However, the regulatory mechanism of LASP1 expression in NSCLC, as well
as the relationship between LASP1 and miR-29a, has never been previously studied.
In this study, we found that miR-29a was remarkably downregulated and low
expression of miR-29a was associated with the malignant progression of NSCLC.
Moreover, the expression of LASP1 was markedly increased in NSCLC tissues and
cell lines. Bioinformatics analysis and luciferase reporter assay data further
identified LASP1 as a target gene of miR-29a, and the expression of LASP1 was
negatively mediated by miR-29a at the post-transcriptional level in NSCLC cells.
Overexpression of miR-29a reduced the proliferation, migration, and invasion of
NSCLC cells, just as the effects of LASP1 knockdown. Moreover, overexpression of
LASP1 attenuated the suppressive effect of miR-29a on the malignant phenotypes of
NSCLC cells. In addition, upregulation of miR-29a decreased the growth of A549
cells in nude mice and protected the animals from tumor-induced death. Therefore,
we demonstrate that miR-29a plays a suppressive role in NSCLC via targeting
LASP1, suggesting that the miR-29a/LASP1 axis may become a promising therapeutic
target for NSCLC.
PMID- 27895493
TI - CT-diagnosed severe skull base bone destruction predicts distant bone metastasis
in early N-stage nasopharyngeal carcinoma.
AB - Bone metastasis is the most frequent type of distant metastasis in nasopharyngeal
carcinoma (NPC). In this study, we investigated the correlation between the skull
base bone destruction and the distant bone metastasis in patients with NPC. A
total of 449 cases with NPC who were diagnosed and had definitive radiotherapy
from 2001 to 2006 were enrolled in this study. The skull base bone destruction
was diagnosed by computed tomography (CT) in all cases, and 191 patients also
underwent magnetic resonance imaging scan. Kaplan-Meier method was adopted to
perform the univariate analysis; Cox regression model was used to perform
multivariate analysis to determine whether the skull base bone destruction when
diagnosed by CT was an independent impact factor of the distant bone metastases.
The group with skull base bone destruction had a distant bone metastases rate of
9.0% (14/155), whereas the group without skull base bone destruction had rate of
4.1% (12/294). The multivariate analysis showed that the skull base bone
destruction, when diagnosed by CT, was an independent impact factor of the
distant bone metastases-free survival in the early N-staging cases, but was not
an independent impact factor when diagnosed by MRI. The skull base bone
destruction diagnosed by CT in patients with NPC had predictive value for the
distant bone metastases, especially for the early N-staging cases.
PMID- 27895488
TI - Advances in the management of heart failure: the role of ivabradine.
AB - A high resting heart rate (>=70-75 b.p.m.) is a risk factor for patients with
heart failure (HF) with reduced ejection fraction (EF), probably in the sense of
accelerated atherosclerosis, with an increased morbidity and mortality. Beta
blockers not only reduce heart rate but also have negative inotropic and blood
pressure-lowering effects, and therefore, in many patients, they cannot be given
in the recommended dose. Ivabradine specifically inhibits the pacemaker current
(funny current, If) of the sinoatrial node cells, resulting in therapeutic heart
rate lowering without any negative inotropic and blood pressure-lowering effect.
According to the European Society of Cardiology guidelines, ivabradine should be
considered to reduce the risk of HF hospitalization and cardiovascular death in
symptomatic patients with a reduced left ventricular EF <=35% and sinus rhythm
>=70 b.p.m. despite treatment with an evidence-based dose of beta-blocker or a
dose below the recommended dose (recommendation class "IIa" = weight of
evidence/opinion is in favor of usefulness/efficacy: "should be considered";
level of evidence "B" = data derived from a single randomized clinical trial or
large nonrandomized studies). Using a heart rate cutoff of >= 75 b.p.m., as
licensed by the European Medicines Agency, treatment with ivabradine 5-7.5 mg
b.i.d. reduces cardiovascular mortality by 17%, HF mortality by 39% and HF
hospitalization rate by 30%. A high resting heart rate is not only a risk factor
in HF with reduced EF but also at least a risk marker in HF with preserved EF, in
acute HF and also in special forms of HF. In this review, we discuss the proven
role of ivabradine in the validated indication "HF with reduced EF" together with
interesting preliminary findings, and the potential role of ivabradine in
further, specific forms of HF.
PMID- 27895494
TI - ERCC1 and XRCC1 but not XPA single nucleotide polymorphisms correlate with
response to chemotherapy in endometrial carcinoma.
AB - Our study aimed to investigate the correlation between single nucleotide
polymorphisms of ERCC1/XRCC1/XPA genes and postoperative chemotherapy efficacy
and prognosis of endometrial carcinoma. Our study included 108 patients with
endometrial carcinoma and 100 healthy participants. ERCC1 rs11615/XRCC1
rs25487/XPA rs1800975 gene polymorphisms were detected by polymerase chain
reaction-restriction fragment length polymorphism. Then the chemotherapy efficacy
and toxic effects of the patients were assessed. The genotype and allele
frequency of ERCC1 rs11615/XRCC1 rs25487 in the case group were significantly
different from that in the control group (all P<0.05). The patients with AA + GA
in ERCC1 rs11615 had an increased risk of endometrial carcinoma than those with
GG, and the risk of endometrial carcinoma for patients with AA + GA was also
higher in comparison with patients with GG genotype in XRCC1 rs25487 (all
P<0.05). GG on both ERCC1 rs11615/XRCC1 rs25487 had a higher effective rate of
chemotherapy than GA + AA (all P<0.05). ERCC1 rs11615/XRCC1 rs25487 gene
polymorphisms were linked with toxic effects in liver, kidney, and nervous
system. ERCC1 rs11615/XRCC1 rs25487, muscular invasion, and tumor stage were
independent risk factors for the prognosis of endometrial carcinoma (all P<0.05).
However, no significant associations were observed between XPA rs1800975
polymorphism and chemotherapy efficacy and prognosis of endometrial carcinoma
(all P>0.05). These results indicated that ERCC1 and XRCC1 but not XPA
polymorphisms correlate with response to chemotherapy in endometrial carcinoma.
PMID- 27895495
TI - Predictive values of diffusion-weighted imaging and perfusion-weighted imaging in
evaluating the efficacy of transcatheter arterial chemoembolization for
hepatocellular carcinoma.
AB - This study explored the predictive values of diffusion-weighted imaging (DWI) and
perfusion-weighted imaging (PWI) in evaluating the efficacy of transcatheter
arterial chemoembolization (TACE) for patients with hepatocellular carcinoma
(HCC). A total of 118 HCC patients treated with TACE were selected from April
2013 to November 2015. T1-weighted imaging (T1WI)/T2-weighted imaging (T2WI),
DWI, and PWI were performed on all patients before and after TACE. Efficacy was
evaluated according to modified Response Evaluation Criteria in Solid Tumors 1.1.
Receiver operating characteristic curve was used to evaluate the diagnostic power
of quantitative DWI and PWI parameters in evaluating the efficacy of TACE for HCC
patients. Among the 118 HCC patients, there were 17 cases (14.4%) with complete
response, 50 cases (42.4%) with partial response, 28 cases (23.7%) with stable
disease, and 23 cases (19.5%) with progressive disease. There were 67 patients in
the effective group (complete response + partial response) and 51 patients in the
ineffective group (stable disease + progressive disease). Before TACE, there were
significant differences in maximum tumor diameter (MTD), apparent diffusion
coefficient (ADC), slow ADC (Dslow), fast ADC (Dfast), transfer constant of
vessel at the maximum level (Ktrans), and rate constant of backflux (Kep) between
the effective and ineffective groups (all P<0.05). After TACE, the effective
group exhibited lower MTD, Dfast, and Kep and higher ADC and Dslow than the
ineffective group (all P<0.05). Tumor regression rate negatively correlated with
MTD, Ktrans, Kep, and Dfast but positively correlated with ADC and Dslow.
Receiver operating characteristic curve analysis suggested that the area under
the curve of ADC, Dslow, Dfast, Ktrans, and Kep were 0.869, 0.833, 0.812, 0.802,
and 0.809, respectively. In conclusion, these results suggest that quantitative
DWI and PWI parameters might be useful in evaluating the efficacy of TACE in the
treatment of HCC patients.
PMID- 27895496
TI - Identification of lncRNA FAM83H-AS1 as a novel prognostic marker in luminal
subtype breast cancer.
AB - BACKGROUND: Luminal subtype breast cancer accounts for a predominant number of
breast cancers. Considering the heterogeneity of the disease, it is urgent to
develop novel biomarkers to improve risk stratification and optimize therapy
choices. Long non-coding RNA (lncRNA) represents an emerging and understudied
class of transcripts that play a significant role in cancer biology. Growing
knowledge of cancer-associated lncRNAs contributes to the development of
molecular markers for prognosis evaluation and gene therapy. MATERIALS AND
METHODS: Three pairs of primary luminal subtype breast cancer tissues and
adjacent non-cancerous tissues were collected and sequenced. EBseq algorithm was
used to identify differentially expressed lncRNAs. RNA sequencing data from The
Cancer Genome Atlas (TCGA) database were used to validate the robustness of our
RNA-seq results. Kaplan-Meier and Cox regression analyses were utilized to assess
the association between the lncRNAs and overall survival of patients in TCGA
cohort. RESULTS: A total of 796 lncRNAs were significantly dysregulated in
luminal subtype breast cancer, including 436 upregulated and 360 downregulated
lncRNAs. Among them, FAM83H antisense RNA 1 (FAM83H-AS1) was the most upregulated
lncRNA, whereas GSN antisense RNA 1 (GSN-AS1) was the most downregulated lncRNA.
Moreover, we proved that the high expression level of FAM83H-AS1 indicated
unfavorable prognosis not only in luminal subtype breast cancer but also in all
subtype breast cancers. To the best of our knowledge, this is the first report
indicating that FAM83H-AS1 was involved in luminal subtype breast cancer and was
an independent prognostic indicator. CONCLUSION: Our study provides a rich
resource to the research community for further identifying lncRNAs with
diagnostic and therapeutic potentials and exploring biological function of
lncRNAs in luminal subtype breast cancer.
PMID- 27895497
TI - MicroRNA-9 suppresses the growth, migration, and invasion of malignant melanoma
cells via targeting NRP1.
AB - MicroRNAs (miRs) are a class of small noncoding RNAs that negatively regulate the
gene expression by directly binding to the 3' untranslated region of their target
mRNA, thus resulting in mRNA degradation or translational repression. miR-9 has
recently been demonstrated to play a role in the development and progression of
malignant melanoma (MM), but the regulatory mechanism of miR-9 in the malignant
phenotypes of MM still remains largely unknown. In this study, a total of 73
pairs of MM tissues and adjacent normal tissues were collected. Real-time reverse
transcription polymerase chain reaction and Western blot were used to detect the
mRNA and protein expression of miR-9. MTT assay, wound healing assay, and
transwell assay were conducted to determine the cell proliferation, migration,
and invasion. Luciferase reporter assay was used to determine the targeting
relationship between miR-9 and NRP1. Our data demonstrated that miR-9 expression
was significantly downregulated in MM tissues compared with that in adjacent
normal tissues. The decreased miR-9 level was significantly associated with the
tumor stage and metastasis of MM. We also found that the expression level of miR
9 was decreased in MM cell lines (G361, B16, A375, and HME1) compared with normal
skin HACAT cells. Ectopic expression of miR-9 led to a significant decrease in
the ability of proliferation, migration, and invasion in A375 cells. NRP1 was
further identified as a direct target gene of miR-9, and the protein expression
of NRP1 was negatively regulated by miR-9 in A375 cells. Furthermore,
overexpression of NRP1 reversed the suppressive effects of miR-9 on the malignant
phenotypes of A375 cells. In vivo study revealed that miR-9 overexpression
decreased the tumor growth, while overexpression of NRP1 increased MM growth. In
summary, our findings suggest that the miR-9/NRP1 axis may serve as a potential
target for the treatment of MM.
PMID- 27895498
TI - Meta-analysis of outcomes of patients with stage IV colorectal cancer managed
with chemotherapy/radiochemotherapy with and without primary tumor resection.
AB - BACKGROUND: Colorectal cancer is the third leading cause of death worldwide.
Currently, novel chemotherapeutic agents are first-line therapy for unresectable
stage IV colorectal cancer, while benefits of noncurative primary tumor resection
in advanced disease remain debatable. OBJECTIVE: This meta-analysis evaluated
outcomes of patients with unresectable stage IV colorectal cancer receiving
systemic chemotherapy with or without primary tumor resection. MATERIALS AND
METHODS: A database search of PubMed and Cochrane Library databases identified
167 studies that were screened for relevance. After 119 were excluded, 48 were
assessed for eligibility and 26 were included for meta-analysis, including 24
retrospective studies, one prospective study, and one randomized, controlled
trial. Extracted data included patient demographics (age, sex), clinical data
(tumor stage, metastasis), targeted therapy agents, and surgical data
(with/without tumor resection). Patients' overall and progression-free survival
was compared between groups with/without primary tumor resection. RESULTS: The 26
studies included 43,903 patients with colorectal cancer, with 29,639 receiving
chemotherapy/radiotherapy plus primary tumor resection, and 14,264 managed
medically with chemotherapy/chemoradiotherapy alone without primary tumor
resection. Patients receiving primary tumor resection plus
chemotherapy/radiotherapy had longer overall survival (hazard ratio [HR 0.59],
95% confidence interval [CI] 0.51-0.68; P<0.001), with significant differences in
overall survival between patients with and without primary tumor resection (HR
0.58, 95% CI 0.49-0.68; P<0.001). Longer overall survival was also found among
patients receiving primary tumor resection who were treated with
bevacizumab/cetuximab targeted therapy agents (HR 0.63, 95% CI 0.46-0.86;
P=0.003). Patients from three studies who received primary tumor resection had
longer progression-free survival (HR 0.73, 95% CI 0.58-0.91; P=0.005). Results
are limited by retrospective data, inconsistent complications data, and
publication bias. CONCLUSION: Study results support primary tumor resection in
stage IV colorectal cancer, but significant biases in studies suggest that
randomized trials are warranted to confirm findings.
PMID- 27895499
TI - Lrig1 is a positive prognostic marker in hepatocellular carcinoma.
AB - BACKGROUND: The prevalence of hepatocellular carcinoma (HCC) is increasing
worldwide. As a consequence, there is an urgent need for identifying molecular
markers of HCC development and progression. Recently, several studies have
suggested that the Lrig1 may have prognostic implications in various cancer
types, but its clinical value in HCC is not well evaluated. MATERIALS AND
METHODS: In this study, the expression level of Lrig1 was examined in 133 HCC
tissues and adjacent normal tissues by immunohistochemistry. Furthermore,
potential associations between Lrig1 expression and the carcinoma clinical
parameters were investigated, including recurrence and survival rate. We silenced
the Lrig1 in the normal liver cell line (LO2) and liver cancer cell line (Hep-G2)
in vitro by the small interference RNA and detected its influence on
proliferation, migration, and invasion. RESULTS: The expression of Lrig1 was
significantly downregulated in liver cancer tissues and cell lines, and its
expression levels were related to tumor size, tumor-node-metastasis staging and
tumor recurrence. Furthermore, analysis of 6-year survival of 133 HCC patients
showed that those with stronger Lrig1 expression had significantly longer overall
survival time than those with weaker Lrig1 expression. In addition, decreased
expression of Lrig1 in vitro promoted the growth, migration, or invasion of
normal liver cells and cancer cells. CONCLUSION: Our findings demonstrate that
Lrig1 could serve as a potential marker in the prognosis of patients with HCC. We
also revealed that Lrig1 might be involved in the metastatic progression of liver
cancer. However, its clinical value should be further investigated in the future.
PMID- 27895500
TI - Efficacy and safety of talimogene laherparepvec versus granulocyte-macrophage
colony-stimulating factor in patients with stage IIIB/C and IVM1a melanoma:
subanalysis of the Phase III OPTiM trial.
AB - OBJECTIVES: Talimogene laherparepvec is the first oncolytic immunotherapy to
receive approval in Europe, the USA and Australia. In the randomized, open-label
Phase III OPTiM trial (NCT00769704), talimogene laherparepvec significantly
improved durable response rate (DRR) versus granulocyte-macrophage colony
stimulating factor (GM-CSF) in 436 patients with unresectable stage IIIB-IVM1c
melanoma. The median overall survival (OS) was longer versus GM-CSF in patients
with earlier-stage melanoma (IIIB-IVM1a). Here, we report a detailed subgroup
analysis of the OPTiM study in patients with IIIB-IVM1a disease. PATIENTS AND
METHODS: The patients were randomized (2:1 ratio) to intralesional talimogene
laherparepvec or subcutaneous GM-CSF and were evaluated for DRR, overall response
rate (ORR), OS, safety, benefit-risk and numbers needed to treat. Descriptive
statistics were used for subgroup comparisons. RESULTS: Among 249 evaluated
patients with stage IIIB-IVM1a melanoma, DRR was higher with talimogene
laherparepvec compared with GM-CSF (25.2% versus 1.2%; P<0.0001). ORR was also
higher in the talimogene laherparepvec arm (40.5% versus 2.3%; P<0.0001), and 27
patients in the talimogene laherparepvec arm had a complete response, compared
with none in GM-CSF-treated patients. The incidence rates of exposure-adjusted
adverse events (AE) and serious AEs were similar with both treatments.
CONCLUSION: The subgroup of patients with stage IIIB, IIIC and IVM1a melanoma
(57.1% of the OPTiM intent-to-treat population) derived greater benefit in DRR
and ORR from talimogene laherparepvec compared with GM-CSF. Talimogene
laherparepvec was well tolerated.
PMID- 27895501
TI - A FISH-based method for assessment of HER-2 amplification status in breast cancer
circulating tumor cells following CellSearch isolation.
AB - INTRODUCTION: Amplification of the HER-2/neu (HER-2) proto-oncogene occurs in 10%
15% of primary breast cancer, leading to an activated HER-2 receptor, augmenting
growth of cancer cells. Tumor classification is determined in primary tumor
tissue and metastatic biopsies. However, malignant cells tend to alter their
phenotype during disease progression. Circulating tumor cell (CTC) analysis may
serve as an alternative to repeated biopsies. The Food and Drug Administration
approved CellSearch system allows determination of the HER-2 protein, but not of
the HER-2 gene. The aim of this study was to optimize a fluorescence in situ
hybridization (FISH)-based method to quantitatively determine HER-2 amplification
in breast cancer CTCs following CellSearch-based isolation and verify the method
in patient samples. METHODS: Using healthy donor blood spiked with human
epidermal growth factor receptor 2 (HER-2)-positive breast cancer cell lines,
SKBr-3 and BT-474, and a corresponding negative control (the HER-2-negative MCF-7
cell line), an in vitro CTC model system was designed. Following isolation in the
CellSearch system, CTC samples were further enriched and fixed on microscope
slides. Immunocytochemical staining with cytokeratin and 4',6-diamidino-2'
phenylindole dihydrochloride identified CTCs under a fluorescence microscope. A
FISH-based procedure was optimized by applying the HER2 IQFISH pharmDx assay for
assessment of HER-2 amplification status in breast cancer CTCs. RESULTS: A method
for defining the presence of HER-2 amplification in single breast cancer CTCs
after CellSearch isolation was established using cell lines as positive and
negative controls. The method was validated in blood from breast cancer patients
showing that one out of six patients acquired CTC HER-2 amplification during
treatment against metastatic disease. CONCLUSION: HER-2 amplification status of
CTCs can be determined following CellSearch isolation and further enrichment.
FISH is superior to protein assessment of HER-2 status in predicting response to
HER-2-targeted immunotherapy in breast cancer patients. This assay has the
potential of identifying patients with a shift in HER-2 status who may benefit
from treatment adjustments.
PMID- 27895503
TI - Methotrexate induces DNA damage and inhibits homologous recombination repair in
choriocarcinoma cells.
AB - OBJECTIVE: The objective of this study was to investigate the mechanism of
sensitivity to methotrexate (MTX) in human choriocarcinoma cells regarding DNA
damage response. METHODS: Two choriocarcinoma cancer cell lines, JAR and JEG-3,
were utilized in this study. An MTX-sensitive osteosarcoma cell line MG63, an MTX
resistant epithelial ovarian cancer cell line A2780 and an MTX-resistant cervical
adenocarcinoma cell line Hela served as controls. Cell viability assay was
carried out to assess MTX sensitivity of cell lines. MTX-induced DNA damage was
evaluated by comet assay. Quantitative reverse transcription polymerase chain
reaction was used to detect the mRNA levels of BRCA1, BRCA2, RAD51 and RAD52. The
protein levels of gammaH2AX, RAD 51 and p53 were analyzed by Western blot.
RESULTS: Remarkable DNA strand breaks were observed in MTX-sensitive cell lines
(JAR, JEG-3 and MG63) but not in MTX-resistant cancer cells (A2780 and Hela)
after 48 h of MTX treatment. Only in the choriocarcinoma cells, the expression of
homologous recombination (HR) repair gene RAD51 was dramatically suppressed by
MTX in a dose- and time-dependent manner, accompanied with the increase in p53.
CONCLUSION: The MTX-induced DNA strand breaks accompanied by deficiencies in HR
repair may contribute to the hypersensitivity to chemotherapy in choriocarcinoma.
PMID- 27895502
TI - Human papillomavirus as a potential risk factor for gastric cancer: a meta
analysis of 1,917 cases.
AB - BACKGROUND: Human papillomaviruses (HPVs) are causally associated with the
tumorigenesis of several classes of cancers. However, the prevalence of HPV in
gastric cancer (GC) has not yet been systematically reviewed. Hence, a meta
analysis was conducted to estimate the HPV prevalence in patients with GC, and
its potential etiologic significance was assessed. METHODS: The pooled HPV
prevalence and 95% confidence intervals (CIs) were estimated among all GC
patients. Heterogeneity was described by using the I2 statistic. Sources of
heterogeneity were explored by meta-regression and stratified analyses. The meta
influence was applied to evaluate the influence of a single study on the pooled
estimates. Odds ratios (ORs) and 95% CIs were computed for case-control studies.
For research providing clinicopathological parameters of age, sex, pathological,
differentiated, and clinical stages, and HPV subtypes, the corresponding pooled
ORs and 95% CIs were also calculated. RESULTS: Thirty studies were included in
the current meta-analysis, involving 1,917 patients with GC and 576 controls. The
pooled HPV prevalence was 28.0% (95% CI: 23.2%, 32.7%) among all the patients
with GC, and the I2 was 96.9% (P<0.001). A pooled OR of 7.388 (95% CI: 3.876,
14.082) was achieved based on 15 case-control studies (I2=56.7%, P=0.004).
Moreover, the HPV prevalence was significantly higher in patients from China than
in those from non-Chinese regions (31% vs 9%, I2=95.0%, P<0.001). The pooled
prevalence of HPV16 was 21% in GC tissues, and the pooled prevalence of HPV18 was
7% with an OR of 3.314 (95% CI =1.617, 6.792). HPV16 was 3 times more frequently
detected than HPV18. CONCLUSION: HPV could play a potential role in the
pathogenesis of GC. A causal relationship can be confirmed only by detecting HPV
in the cells of GC precursor lesions (gastric dysplasia or adenoma). In addition,
this study might be beneficial for expounding the potential etiologic
significance of molecular mechanism of gastric tumorigenesis and providing
opinions regarding precautionary measures.
PMID- 27895504
TI - Deferred radiotherapy and upfront procarbazine-ACNU-vincristine administration
for 1p19q codeleted oligodendroglial tumors are associated with favorable outcome
without compromising patient performance, regardless of WHO grade.
AB - Recently updated phase III trials revealed the favorable effect of add-on
procarbazine-lomustine-vincristine chemotherapy (CT) to radiotherapy (RT) in
treating anaplastic oligodendrogliomas with 1p19q codeletion (codel). However,
the underlying rationality of deferring RT and upfront CT administration for
these tumors is yet to be elucidated. Here, we retrospectively analyzed the long
term outcome of our case series with oligodendroglial tumors treated with
deferred RT and upfront procarbazine+nimustine+vincristine (PAV) in the
introduction administration. We enrolled 36 patients with newly diagnosed
oligodendroglial tumors (17, grade II and 19, grade III) treated during 1999-2012
and followed up for a median period of 69.0 months. Their clinical and genetic
prognostic factors were analyzed, and progression-free survival, overall survival
(OS), and deterioration-free survival (DFS) were evaluated. Regardless of the WHO
grade, the 25 patients with 1p19q codel tumors never received RT initially, and
of these 25, 23 received PAV treatment upfront. The 75% OS of patients with 1p19q
codel tumor was 135.3 months (did not reach the median OS), indicating a
favorable outcome. Multivariate analysis revealed that IDH mutation and 1p19q,
not WHO grade, are independent prognostic factors; furthermore, IDH and 1p19q
status stratified the cohort into 3 groups with significantly different OS. The
DFS explained the prolonged survival without declining performance in patients
with both grade II and III 1p19q codel tumors. Deferred RT and upfront PAV
treatment for 1p19q codel oligodendrogliomas were associated with favorable
outcomes without compromising performance status, regardless of WHO grade.
PMID- 27895505
TI - Evaluating increased resource use in fibromyalgia using electronic health
records.
AB - OBJECTIVE: The management of fibromyalgia (FM), a chronic musculoskeletal
disease, remains challenging, and patients with FM are often characterized by
high health care resource utilization. This study sought to explore potential
drivers of all-cause health care resource utilization and other factors
associated with high resource use, using a large electronic health records (EHR)
database to explore data from patients diagnosed with FM. METHODS: This was a
retrospective analysis of de-identified EHR data from the Humedica database.
Adults (>=18 years) with FM were identified based on >=2 International
Classification of Diseases, Ninth Revision codes for FM (729.1) >=30 days apart
between January 1, 2008 and December 31, 2012 and were required to have evidence
of >=12 months continuous care pre- and post-index; first FM diagnosis was the
index event; 12-month pre- and post-index reporting periods. Multivariable
analysis evaluated relationships between variables and resource utilization.
RESULTS: Patients were predominantly female (81.4%), Caucasian (87.7%), with a
mean (standard deviation) age of 54.4 (14.8) years. The highest health care
resource utilization was observed for the categories of "medication orders" and
"physician office visits," with 12-month post-index means of 21.2 (21.5) drug
orders/patient and 15.1 (18.1) office visits/patient; the latter accounted for
73.3% of all health care visits. Opioids were the most common prescription
medication, 44.3% of all patients. The chance of high resource use was
significantly increased (P<0.001) 26% among African-Americans vs Caucasians and
for patients with specific comorbid conditions ranging from 6% (musculoskeletal
pain or depression/bipolar disorder) to 21% (congestive heart failure). Factors
significantly associated with increased medications ordered included being female
(P<0.001) and specific comorbid conditions (P<0.05). CONCLUSION: Physician office
visits and pharmacotherapy orders were key drivers of all-cause health care
utilization, with demographic factors, opioid use, and specific comorbidities
associated with resource intensity. Health systems and providers may find their
EHRs to be a useful tool for identifying and managing resource-intensive FM
patients.
PMID- 27895506
TI - Patient-reported financial barriers to adherence to treatment in neurology.
AB - OBJECTIVE: Many effective medical therapies are available for treating
neurological diseases, but these therapies tend to be expensive and adherence is
critical to their effectiveness. We used patient-reported data to examine the
frequency and determinants of financial barriers to medication adherence among
individuals treated for neurological disorders. PATIENTS AND METHODS: Patients
completed cross-sectional surveys on iPads as part of routine outpatient care in
a neurology clinic. Survey responses from a 3-month period were collected and
merged with administrative sources of demographic and clinical information (eg,
insurance type). We explored the association between patient characteristics and
patient-reported failure to refill prescription medication due to cost in the
previous 12 months, termed here as "nonadherence". RESULTS: The population
studied comprised 6075 adults who were presented between July and September 2015
for outpatient neurology appointments. The mean age of participants was 56
(standard deviation: 18) years, and 1613 (54%) were females. The patients who
participated in the surveys (2992, 49%) were comparable to nonparticipants with
respect to gender and ethnicity but more often identified English as their
preferred language (94% vs 6%, p<0.01). Among respondents, 9.8% (n=265) reported
nonadherence that varied by condition. These patients were more frequently
Hispanic (16.7% vs 9.8% white, p=0.01), living alone (13.9% vs 8.9% cohabitating,
p<0.01), and preferred a language other than English (15.3% vs 9.4%, p=0.02).
CONCLUSION: Overall, the magnitude of financial barriers to medication adherence
appears to vary across neurological conditions and demographic characteristics.
PMID- 27895507
TI - Fc gamma receptors: glycobiology and therapeutic prospects.
AB - Therapeutic antibodies hold great promise for the treatment of cancer and
autoimmune diseases, and developments in antibody-drug conjugates and bispecific
antibodies continue to enhance treatment options for patients. Immunoglobulin
(Ig) G antibodies are proteins with complex modifications, which have a
significant impact on their function. The most important of these modifications
is glycosylation, the addition of conserved glycans to the antibody Fc region,
which is critical for its interaction with the immune system and induction of
effector activities such as antibody-dependent cell cytotoxicity, complement
activation and phagocytosis. Communication of IgG antibodies with the immune
system is controlled and mediated by Fc gamma receptors (FcgammaRs), membrane
bound proteins, which relay the information sensed and gathered by antibodies to
the immune system. These receptors are also glycoproteins and provide a link
between the innate and adaptive immune systems. Recent information suggests that
this receptor glycan modification is also important for the interaction with
antibodies and downstream immune response. In this study, the current knowledge
on FcgammaR glycosylation is discussed, and some insight into its role and
influence on the interaction properties with IgG, particularly in the context of
biotherapeutics, is provided. For the purpose of this study, other Fc receptors
such as FcalphaR, FcepsilonR or FcRn are not discussed extensively, as IgG-based
antibodies are currently the only therapeutic antibody-based products on the
market. In addition, FcgammaRs as therapeutics and therapeutic targets are
discussed, and insight into and comment on the therapeutic aspects of receptor
glycosylation are provided.
PMID- 27895508
TI - Multi-ethnic differences in HbA1c, blood pressure, and low-density-lipid
cholesterol control among South Africans living with type 2 diabetes, after a 4
year follow-up.
AB - PURPOSE: Our study set out to examine if disparities in control of glycated
hemoglobin (HbA1c), blood pressure (BP), and low-density-lipoprotein cholesterol
(LDL-C) existed among an urban multi-ethnic cohort of South Africans, living with
type 2 diabetes mellitus (T2DM). PATIENTS AND METHODS: This longitudinal,
retrospective study consisted of 261 men and women with previously diagnosed T2DM
who attended Charlotte Maxeke Johannesburg Academic Hospital, South Africa across
two time periods 2009 and 2013. Demographic and clinical data were extracted from
consecutive medical records. The primary outcome was to determine achievements in
HbA1c, BP, and LDL-C among ethnic groups using evidence-based goals. RESULTS: The
mean age of the cohort was 64 (+/-10.6) years, females represented 55%, and the
self-reported diabetes duration was 16 (+/-10.6) years as at 2013. Black Africans
(42.9%, n=112 of 261) were more likely to reach the HbA1c target (<7%) and less
likely to have had retinopathy, nephropathy, or cardiovascular disease. Over two
thirds of mixed-ancestry patients attained the BP target (<140/80 mmHg), while
90.2% of Caucasians achieved LDL-C goals (<2.5 mmol/L). Overall, across the
ethnic groups studied, we found that HbA1c control deteriorated over time,
although BP levels remained the same and LDL-C levels drastically improved.
CONCLUSION: There was poor control of HbA1c, BP, and LDL-C across all ethnic
groups. Although a minority achieved recommended targets, some ethnic groups
appeared to have worse control than others. Timely aggressive actions in
particularly high-risk ethnic groups will prevent/delay the complications
commonly associated with T2DM.
PMID- 27895509
TI - Effects of fentanyl on pain and motor behaviors following a collagenase-induced
intracerebral hemorrhage in rats.
AB - PURPOSE: Intracerebral hemorrhage (IH) and cephalalgia are common consequences of
traumatic brain injury. One of the primary obstacles for patient recovery is the
paucity of treatments to support an appropriate analgesic protocol. The present
study aimed to assess pain and motor behaviors following different doses of
fentanyl on a rat model of IH. METHODS: Twenty-one male Sprague Dawley rats
underwent a stereotaxic surgery to produce a collagenase-induced IH in the right
caudoputamen nucleus. The control group (n=6) received saline subcutaneously
(SC), and experimental groups received either 5 (n=6), 10 (n=6), or 20 (n=3)
ug/kg of fentanyl SC, 2 hours following surgery and on 2 subsequent days. Only 3
animals received 20 ug/kg because this dose caused catalepsy for 15-20 minutes
following the injection. The rat grimace scale, a neurological examination,
balance beam test, and rotarod test were performed for 5 consecutive days
postoperatively to evaluate pain and motor performance. At the end of the
experimentation, the brains were evaluated to determine hematoma volume, and the
number of reactive astrocytes and necrotic neurons. RESULTS: When compared to
controls, the grimace scale showed that 5 ug/kg fentanyl significantly alleviated
pain on day 2 only (P<0.01) and that 10 ug/kg alleviated pain on days 1 (P<0.01),
2 (P<0.001), and 3 (P<0.01). For the rotarod test, only the 10 ug/kg group showed
significant decreases in performance on days 5 (P<0.05) and 6 (P<0.02). The
neurological examination was not significantly different between the groups, but
only the hopping test showed poor recuperation for the 5 and 10 ug/kg fentanyl
group when compared to saline (P<0.01). No differences were found between the
groups for the balance beam test, the histopathological results. CONCLUSION:
Fentanyl, at a dose of 10 ug/kg SC, provides substantial analgesia following a
collagenase-induced IH in rats; however, it can alter motor performance following
analgesic treatments.
PMID- 27895510
TI - The psychological profile of women presenting to a multidisciplinary clinic for
chronic pelvic pain: high levels of psychological dysfunction and implications
for practice.
AB - OBJECTIVE: Chronic pelvic pain (CPP) is widely acknowledged as a common problem
with significant consequences for those diagnosed with this condition. There is a
lack of studies with good sample size that provide a comprehensive psychological
profile of women presenting to specialist chronic pain clinics. Therefore, the
objective of this study was to describe the psychological profile of a
representative sample of women presenting with CPP at a tertiary referral center.
DESIGN: This was a cross-sectional study. Women were asked to complete a
questionnaire assessing symptoms of anxiety and depression, pain severity and
interference, pain self-efficacy and catastrophizing beliefs, and sexual
functioning. METHODS: One-hundred and seventy-five women with CPP were recruited
when they attended their initial assessment at a specialist CPP clinic of the
Royal Women's Hospital, a public hospital in Melbourne, Australia. RESULTS: Over
75% of the participants had experienced pain for longer than 2 years. Fifty-three
percent of women experienced either moderate or severe anxiety, and 26.7%
experienced moderate-to-severe depression. There were strong correlations between
depressive symptoms and pain interference, pain catastrophizing and self-efficacy
beliefs. CONCLUSION: Our findings confirm previous evidence for high levels of
psychological distress and functional impairment associated with this condition,
and extend these findings by including measures that are highly relevant to
treatment planning, such as thinking styles and pain self-efficacy. Therefore,
treatment of this complex condition needs to be holistic, and a multidisciplinary
approach is likely to be the best way to achieve this.
PMID- 27895511
TI - Words that describe chronic musculoskeletal pain: implications for assessing pain
quality across cultures.
AB - BACKGROUND: People from different cultures who speak different languages may
experience pain differently. This possible variability has important implications
for evaluating the validity of pain quality measures that are directly translated
into different languages without cultural adaptations. The aim of this study was
to evaluate the impact of language and culture on the validity of pain quality
measures by comparing the words that individuals with chronic pain from Nepal use
to describe their pain with those used by patients from the USA. METHODS: A total
of 101 individuals with chronic musculoskeletal pain in Nepal were asked to
describe their pain. The rates of the different pain descriptor domains and
phrases used by the Nepali sample were then compared to the published rates of
descriptors used by patients from the USA. The content validity of commonly used
measures for assessing pain quality was then evaluated. RESULTS: While there was
some similarity between patients from Nepal and the USA in how they describe
pain, there were also important differences, especially in how pain quality was
described. For example, many patients from Nepal used metaphors to describe their
pain. Also, the patients from Nepal often used a category of pain descriptor -
which describes a physical state - not used by patients from the USA. Only the
original McGill Pain Questionnaire was found to have content validity for
assessing pain quality in patients from Nepal, although other existing pain
quality measures could be adapted to be content valid by adding one or two
additional descriptors, depending on the measure in question. CONCLUSION: The
findings indicate that direct translations of measures that are developed using
samples of patients from one country or culture are not necessarily content valid
for use in other countries or cultures; some adaptations may be required in order
for such measures to be most useful in new language and culture.
PMID- 27895512
TI - Are another 5 years of adjuvant aromatase inhibitor therapy needed?
PMID- 27895513
TI - Tumor suppressor ING4 inhibits estrogen receptor activity in breast cancer cells.
AB - Resistance to antiestrogen therapy remains a significant problem in breast
cancer. Low expression of inhibitor of growth 4 (ING4) in primary tumors has been
correlated with increased rates of recurrence in estrogen receptor-positive (ER+)
breast cancer patients, suggesting a role for ING4 in ER signaling. This study
provides evidence that ING4 inhibits ER activity. ING4 overexpression increased
the sensitivity of T47D and MCF7 ER+ breast cancer cells to hormone deprivation.
ING4 attenuated maximal estrogen-dependent cell growth without affecting the dose
response of estrogen. These results indicated that ING4 functions as a
noncompetitive inhibitor of estrogen signaling and may inhibit estrogen
independent ER activity. Supportive of this, treatment with fulvestrant but not
tamoxifen rendered T47D cells sensitive to hormone deprivation as did ING4
overexpression. ING4 did not affect nuclear ERalpha protein expression, but
repressed selective ER-target gene transcription. Taken together, these results
demonstrated that ING4 inhibited estrogen-independent ER activity, suggesting
that ING4-low breast tumors recur faster due to estrogen-independent ER activity
that renders tamoxifen less effective. This study puts forth fulvestrant as a
proposed therapy choice for patients with ING4-low ER+ breast tumors.
PMID- 27895514
TI - Success rate in preterm uterine contraction inhibition with tocolytic agents in a
tertiary care center.
AB - OBJECTIVE: This study aims to assess the success rate of inhibiting preterm
uterine contraction with tocolytic agents to delay delivery for at least 48 hours
and risk factors of failure inhibition. MATERIALS AND METHODS: Between January
2013 and July 2014, medical records of all singleton pregnant women between 24
0/7 and 33 6/7 weeks of gestation with the diagnosis of preterm labor (with
cervical dilatation) or threatened preterm labor (without cervical dilatation)
who received tocolytic agents were reviewed. The success rate of preterm uterine
contraction inhibition was accounted in patients with 48 hours delayed delivery.
The risk factors of the inhibition failure and neonatal outcomes were also
investigated in this study. RESULTS: Among 424 pregnant women diagnosed of
preterm labor or threatened preterm labor, 103 singleton pregnant women met the
study criteria. Overall success rate of preterm uterine contraction inhibition to
prolong pregnancy for at least 48 hours was 86.4% (95% confidence interval [CI]:
78.3, 92.3). However, the success rate among the threatened preterm labor group
was 93.8% (95% CI: 88.3, 99.1) while the preterm labor group was 60.9% (95% CI:
39.3, 82.4). The significant factor associated with inhibition failure was
preterm labor (adjusted odds ratio 7.22; 95% CI: 1.99, 26.20). CONCLUSION: The
success rate of preterm uterine contraction inhibition with tocolytic agents to
delay delivery for at least 48 hours was high in threatened preterm labor and low
in preterm labor. A significant risk factor for inhibition failure was the
preterm uterine contraction with cervical change.
PMID- 27895515
TI - Excellent response of infantile orbital hemangioma to propranolol.
AB - Infantile hemangiomas are the most common vascular neoplasm that present in
infancy, with more than half affecting the head and neck region. Periocularly,
hemangiomas may be complicated by visual loss through induction of strabismal,
deprivational, or anisometropic astigmatism. We report a case of a 5-year-old
girl who presented with orbital hemangioma with potential risk of visual loss who
had excellent response to propranolol.
PMID- 27895516
TI - A prospective, observational study comparing the PK/PD relationships of generic
Meropenem (Mercide(r)) to the innovator brand in critically ill patients.
AB - INTRODUCTION: Clinicians' skepticism, fueled by evidence of inferiority of some
multisource generic antimicrobial products, results in the underutilization of
more cost-effective generics, especially in critically ill patients. The aim of
this observational study was to demonstrate equivalence between the generic or
comparator brand of meropenem (Mercide(r)) and the leading innovator brand
(Meronem(r)) by means of an ex vivo technique whereby antimicrobial activity is
used to estimate plasma concentration of the active moiety. METHODS: Patients
from different high care and intensive care units were recruited for observation
when prescribed either of the meropenem brands under investigation. Blood samples
were collected over 6 hours after a 30 minute infusion of the different brands.
Meropenem concentration curves were established against United States
Pharmacopeia standard meropenem (Sigma-Aldrich) by using standard laboratory
techniques for culture of Klebsiella pneumoniae. Patients' plasma samples were
tested ex vivo, using a disc diffusion assay, to confirm antimicrobial activity
and estimate plasma concentrations of the two brands. RESULTS: Both brands of
meropenem demonstrated similar curves in donor plasma when concentrations in
vials were confirmed. Patient-specific serum concentrations were determined from
zones of inhibition against a standard laboratory Klebsiella strain ex vivo,
confirming at least similar in vivo concentrations as the concentration curves
(90% confidence interval) overlapped; however, the upper limit of the area under
the curve for the ratio comparator/innovator exceeded the 1.25-point estimate,
i.e., 4% higher for comparator meropenem. CONCLUSION: This observational, in
practice study demonstrates similar ex vivo activity and in vivo plasma
concentration time curves for the products under observation. Assay sensitivity
is also confirmed. Current registration status of generic small molecules is in
place. The products are therefore clinically interchangeable based on
registration status as well as bioassay results, demonstrating sufficient overlap
for clinical comfort. The slightly higher observed comparator meropenem
concentration (4%) is still clinically acceptable due to the large therapeutic
index and should ally fears of inferiority.
PMID- 27895517
TI - Influence of curcumin on the pharmacodynamics and pharmacokinetics of gliclazide
in animal models.
AB - PURPOSE: Patients suffering from obesity-related diseases use multiple
prescription drugs to control their condition, and it is therefore essential to
determine the safety and efficacy of any combination. Gliclazide is one of the
most commonly used drug of choice for treatment of type 2 diabetes, and curcumin
is a widely used herbal supplement to counter obesity condition. The objective of
this study was to investigate the effect of oral administration of curcumin on
pharmacodynamics and pharmacokinetics of gliclazide in rats and rabbits to
further evaluate the safety and effectiveness of this combination. METHODS:
Influence of curcumin on the activity of gliclazide was determined by conducting
single- and multiple-dose interaction studies in rats (normal and diabetic) and
rabbits. Blood samples collected at predetermined time intervals from
experimental animals were used for the estimation of glucose and insulin levels
by using automated clinical chemistry analyzer and radioimmunoassay method,
respectively. The insulin resistance and beta-cell function were determined by
homeostasis model assessment. Additionally, serum gliclazide levels in rabbits
were analyzed by high-performance liquid chromatography. RESULTS: Gliclazide
showed peak reduction in blood glucose levels at 2 and 8 hours in rats and at 3
hours in rabbits. This activity of gliclazide was not altered by single-dose
treatment with curcumin. However, in multiple-dose interaction studies, samples
analyzed from all time points showed subtle but significantly greater reduction
in percent blood glucose ranging from 23.38% to 42.36% in normal rats, 27.63% to
42.27% in diabetic rats, and 16.50% to 37.88% in rabbits. The pharmacokinetics of
gliclazide was not altered by single- or multiple-dose curcumin treatments in
rabbits. CONCLUSION: The interaction of curcumin with gliclazide up on multiple
dose treatment was pharmacodynamic in nature, indicating the need for periodic
monitoring of glucose levels and dose adjustment as necessary when this
combination is prescribed to obese patients.
PMID- 27895518
TI - Single-leg squats can predict leg alignment in dancers performing ballet
movements in "turnout".
AB - The physical assessments used in dance injury surveillance programs are often
adapted from the sports and exercise domain. Bespoke physical assessments may be
required for dance, particularly when ballet movements involve "turning out" or
external rotation of the legs beyond that typically used in sports. This study
evaluated the ability of the traditional single-leg squat to predict the leg
alignment of dancers performing ballet movements with turnout. Three-dimensional
kinematic data of dancers performing the single-leg squat and five ballet
movements were recorded and analyzed. Reduction of the three-dimensional data
into a one-dimensional variable incorporating the ankle, knee, and hip joint
center positions provided the strongest predictive model between the single-leg
squat and the ballet movements. The single-leg squat can predict leg alignment in
dancers performing ballet movements, even in "turned out" postures. Clinicians
should pay careful attention to observational positioning and rating criteria
when assessing dancers performing the single-leg squat.
PMID- 27895519
TI - Portal hypertension: an uncommon clinical manifestation of Takayasu arteritis in
a 9-year-old child.
AB - Takayasu arteritis (TA) is the third most common childhood vasculitis and its
clinical manifestations depend on the arteries involved. We report a case of a 9
year-old boy with multiple aneurysms in carotid and iliac arteries, subclavian
and coronary arteries, and abdominal aorta. At the age of 7 years, he presented
with recurrent fever and hepatosplenomegaly. An angio-computed tomography scan
showed aneurysms in the left subclavian artery, abdominal aorta, and both
proximal iliac arteries. He was diagnosed with TA and was treated with
corticosteroids, aspirin, and enalapril. One year later, he was admitted to Dr
Roberto Gilbert Children's Hospital because of intracranial hemorrhage.
Angiography revealed enlargement of aneurysms enlargement and new aneurysms. He
also developed portal hypertension. Treatment with intravenous corticosteroids,
azathioprine, and monthly intravenous cyclophosphamide was begun. After 6 months
of no improvement, infliximab was begun. The aim of this article was to report
the concurrence of coronary involvement and portal vein hypertension in pediatric
TA because there were scarce reports on this matter.
PMID- 27895520
TI - Effectiveness of social work intervention with a systematic approach to improve
general health in opioid addicts in addiction treatment centers.
AB - PURPOSE: This study takes a systematic approach to investigate the effect of
social work intervention aimed at increasing general health among opioid addicts
in addiction treatment centers. PATIENTS AND METHODS: This is an experimental
plan (pretest to posttest with a control group); the study sample included 60
patients with drug dependencies undergoing treatment in addiction treatment
centers. These patients were randomly assigned as case (30) and control (30)
groups. The case group was subjected to intervention over ten sessions, whereas
the control group received no intervention. Both groups then passed through a
posttest, while a follow-up was conducted after 4 months. Data were obtained via
a General Health Questionnaire. RESULTS: A covariance analysis test and
independent and dependent t-test results indicated that a social work
intervention adopting systematic approach was effective in increasing the general
health of drug-addicted patients under treatment. CONCLUSION: Thus, the nature of
the presence of social workers in addiction treatment centers has been effective
and can have a significant influence by reducing anxiety and insomnia and somatic
symptoms, improving patients' self-understanding and self-recognition, and
enhancing social functioning.
PMID- 27895521
TI - Impact of a medical university on laparoscopic surgery in a service-oriented
public hospital in the Caribbean.
AB - INTRODUCTION: The Caribbean lags behind global trends for volume and complexity
of laparoscopic operations. In an attempt to promote laparoscopy at a single
facility, a partnership was formed between the University of the West Indies
(UWI) and the Port of Spain General Hospital in Trinidad and Tobago. This study
seeks to document the effect of this partnership on laparoscopic practice.
MATERIALS AND METHODS: In this partnership, the UWI took the bold step of
volunteering to staff a surgical team if the Ministry of Health provided the
necessary legislative changes. On August 1, 2013, a UWI team was introduced with
a mandate to optimize teaching and promote laparoscopic surgery. The UWI team had
a similar staff complement to the existing service-oriented teams. There was no
immediate investment in equipment, hospital beds, ICU beds, or operating room
space. Therefore, the new team was introduced with limited change in existing
conditions, resources, and equipment. RESULTS: There were 252 laparoscopic
operations performed over the study period. After introduction of the UWI team,
there was an increase in the mean number of unselected laparoscopic operations
(3.17 vs 10.83 cases per month; P<0.001; 95% confidence interval [95% CI] -8.5 to
-6.84; standard error of the difference [SED] 0.408), the mean number of basic
laparoscopic operations (3.17 vs 6.94 cases per month; P<0.0001; 95% CI -4.096 to
-3.444; SED 0.165), the mean number of advanced laparoscopic operations (0 vs
3.89; P<0.0001), the number of teams undertaking unselected laparoscopic
operations (2 vs 5), and the number of teams independently performing advanced
laparoscopic operations (0 vs 4). CONCLUSION: At this facility, we have
demonstrated a significant increase in laparoscopic case volume and complexity
when partnerships were formed between the UWI and this service-oriented hospital.
Continued cross-fertilization and distribution of skill sets across the surgical
community can reasonably be expected. We also identified maneuvers that can be
used as a template to build laparoscopic services in other service-oriented
hospitals in developing nations.
PMID- 27895522
TI - Effects of tai chi chuan on anxiety and sleep quality in young adults: lessons
from a randomized controlled feasibility study.
AB - OBJECTIVE: To determine feasibility and estimate the effect of a 10-week tai chi
chuan (TCC) intervention on anxiety and sleep quality in young adults.
PARTICIPANTS: Seventy-five adults (18-40 years) from a predominately
undergraduate midsized university. METHODS: This was an assessor blinded,
randomized feasibility trial, and participants were randomized into one of three
groups: 10 weeks of TCC meeting 2 times per week, 10 weeks of TCC with a DVD of
the curriculum, and control group receiving a handout on anxiety management.
Anxiety and sleep quality were assessed 4 times: baseline, 4 weeks, 10 weeks
(immediate post-intervention), and 2 months post-intervention. Retention was
defined as a participant attending the baseline assessment and at least one other
assessment. Adherence to the intervention was set a priori as attendance at 80%
of the TCC classes. RESULTS: Eighty-five percent of participants were retained
during the intervention and 70% completed the 2 month follow-up assessments. To
increase statistical power, the two TCC groups were combined in the analyses of
anxiety and sleep quality measures. No significant changes in anxiety were found
in the control group, while levels of anxiety decreased significantly over time
in the two TCC groups. Sleep quality scores improved across time for all three
groups, but adherent TCC participants reported greater improvement than control
participants. CONCLUSION: TCC may be an effective nonpharmaceutical means of
improving anxiety and poor sleep quality in young adults.
PMID- 27895523
TI - Refinement of the Long-Term Conditions Questionnaire (LTCQ): patient and expert
stakeholder opinion.
AB - PURPOSE: It is a key UK government priority to assess and improve outcomes in
people with long-term conditions (LTCs). We are developing a new patient-reported
outcome measure, the Long-Term Conditions Questionnaire (LTCQ), for use among
people with single or multiple LTCs. This study aimed to refine candidate LTCQ
items that had previously been informed through literature reviews, interviews
with professional stakeholders, and interviews with people with LTCs. MATERIALS
AND METHODS: Cognitive interviews (n=32) with people living with LTCs and
consultations with professional stakeholders (n=13) and public representatives
(n=5) were conducted to assess the suitability of 23 candidate items. Items were
tested for content and comprehensibility and underwent a translatability
assessment. RESULTS: Four rounds of revisions took place, due to amendments to
item structure, improvements to item clarity, item duplication, and
recommendations for future translations. Twenty items were confirmed as relevant
to living with LTCs and understandable to patients and professionals. CONCLUSION:
This study supports the content validity of the LTCQ items among people with LTCs
and professional stakeholders. The final items are suitable to enter the next
stage of psychometric refinement.
PMID- 27895525
TI - A survey of the spider family Nesticidae (Arachnida, Araneae) in Asia and
Madagascar, with the description of forty-three new species.
AB - Forty-three new species of Nesticidae are described from China, Indonesia,
Philippines, Singapore, Thailand, Vietnam and Madagascar, and two new junior
synonyms are suggested. A new genus, Speleoticusgen. n., is described with
Nesticus navicellatus Liu & Li, 2013 as the type species, and four species are
transferfed from Nesticus, i.e., Speleoticus globosus (Liu & Li, 2013), comb. n.,
Speleoticus libo (Chen & Zhu, 2005), comb. n., Speleoticus navicellatus (Liu &
Li, 2015), comb. n. and Speleoticus uenoi (Yaginuma, 1972), comb. n. The new
species described in this paper belong to four genera and are: Hamus cornutussp.
n. (??), Hamus kangdingensissp. n. (?), Hamus luzonsp. n. (?), Hamus
mangunensissp. n. (?), Nescina kohisp. n. (??), Nesticella baiseensissp. n. (??),
Nesticella baobabsp. n. (?), Nesticella caecasp. n. (??), Nesticella chongqingsp.
n. (?), Nesticella dazhuangensissp. n. (??), Nesticella fuliangensissp. n. (??),
Nesticella gazuidasp. n. (?), Nesticella gongshanensissp. n. (?), Nesticella
griswoldisp. n. (??), Nesticella hongheensissp. n. (??), Nesticella
huomachongensissp. n. (??), Nesticella jingposp. n. (?), Nesticella
kaohsiungensissp. n. (??), Nesticella lisusp. n. (??), Nesticella liuzhaiensissp.
n. (?), Nesticella nandanensissp. n. (??), Nesticella phamisp. n. (??),
Nesticella potalasp. n. (?), Nesticella qiaoqiensissp. n. (?), Nesticella
qiongensissp. n. (??), Nesticella robustasp. n. (??), Nesticella rongtangensissp.
n. (?), Nesticella sanchaheensissp. n. (??), Nesticella sulawesisp. n. (?),
Nesticella sumatranasp. n. (?), Nesticella tibetanasp. n. (??), Nesticella
vanlangsp. n. (?), Nesticella wanzaiensissp. n. (??), Nesticella xiongmaosp. n.
(??), Nesticella xixiasp. n. (??), Nesticella yanbeiensissp. n. (??), Nesticella
yaosp. n. (?), Nesticella zhiyuanisp. n. (??), Pseudonesticus dafangensissp. n.
(??), Pseudonesticus miaosp. n. (??), Pseudonesticus spinosussp. n. (??),
Pseudonesticus wumengensissp. n. (?), Pseudonesticus ziyunensissp. n. (??).
Nesticella inthanoni (Lehtinen & Saaristo, 1980), syn. n. is synonymised with
Nesticella mollicula (Thorell, 1898); Nesticella taiwan Tso & Yoshida, 2000, syn.
n. is synonymised with Nesticella odonta (Chen, 1984). The female of Nesticella
connectens Wunderlich, 1995, so far unknown, is described and recorded from
Thailand. Nesticidae are reported from Madagascar for the first time. Nesticella
nepalensis (Hubert, 1973) is recorded for the first time from China. Types of
Nesticella odonta (Chen, 1984), Nesticella songi Chen & Zhu, 2004 and Nesticella
yui Wunderlich & Song, 1995 are re-examined and photographed. The entire genus
Nesticella is reviewed, and four species groups are recognised. DNA barcodes of
the new species are obtained to confirm their correct identifications.
PMID- 27895524
TI - Characteristics of social drinkers with and without a hangover after heavy
alcohol consumption.
AB - BACKGROUND: A number of social drinkers claim that they do not experience next
day hangovers despite consuming large quantities of alcohol. The aim of this
study was to investigate the characteristics of drinkers who claim to be hangover
immune and compare them with drinkers who do report having hangovers. METHODS: A
total of 36 social drinkers participated in a naturalistic study consisting of a
hangover day (alcohol consumed) and a control day (no alcohol consumed). Data
were collected on alcohol consumption, demographics, sleep, next-day adverse
effects, and mood. Data from drinkers with a hangover (N=18) were compared with
data from drinkers who claim to be hangover immune (N=18). RESULTS: Drinkers with
a hangover reported drowsiness-related symptoms, symptoms related to reduced
cognitive functioning, and classic hangover symptoms such as headache, nausea,
dizziness, weakness, and stomach pain. Corresponding mood changes comprised
increased feelings of depression, anger-hostility, fatigue, and reduced vigor
activity. In contrast, hangover-immune drinkers reported relatively few hangover
symptoms, with only mild corresponding severity scores. The reported symptoms
were limited to drowsiness-related symptoms such as sleepiness and being tired.
The classic hangover symptoms were usually not reported by these drinkers.
CONCLUSION: In contrast to drinkers with a hangover, for those who claim to be
hangover immune, next-day adverse effects of alcohol consumption are limited to a
mild increase in drowsiness-related symptoms.
PMID- 27895526
TI - Development of a simple and specific direct competitive ELISA for the
determination of artesunate using an anti-artesunate polyclonal antiserum.
AB - BACKGROUND: Since artesunate (ART) became a vital component of artemisinin (ARM)
based combination therapies for the treatment for malaria, counterfeit ART drugs
have spread in regions of Southeast Asia and Africa. The consumption of
counterfeit ART drugs has resulted in the death of many patients. Thus,
evaluating the quality of ART drugs is needed. There are several methods for
quantitating the ART content in tablets, the most common being a high-performance
liquid chromatography. However, that method is hampered by the need for expensive
equipment and a rather time-consuming process of extraction. By contrast, enzyme
linked immunosorbent assays (ELISAs) are faster and much less expensive, and they
require less sample preparation than the above method. The objective of the
present study was to establish a simple and specific direct competitive ELISA for
the determination of ART concentrations using an anti-ART polyclonal antibody
(pAb). RESULTS: Anti-ART pAb was raised in mice, and ART-horseradish peroxidase
(HRP) conjugate was produced. A direct competitive ELISA was performed by
simultaneously incubating ART and the ART-HRP conjugate with the anti-ART pAb
over a second antibody. Subsequently, the enzyme activity of the remaining ART
HRP conjugate was measured. The intra- and inter-assay coefficients of variation
of the ELISA were less than 10 % in the range of 0.3 to 30 ng/ml with a detection
limit of 0.1 ng/ml. The cross-reactivities of the anti-ART pAb with ARM and
dihydroartemisinin were 0.12 and 0.04 %, respectively, and those with other
antimalarial drugs were negligible. Furthermore, the recovery of 10 or 50 ng/ml
ART added to the drug tablet solutions containing an expected amount of 10 ng/ml
was estimated by the ELISA. The recovery of the ART amount ranged between 98 and
106 %, with coefficient variations of less than 7.0 %. CONCLUSIONS: The present
ELISA is a simple and specific method for the determination of ART
concentrations. Thus, this ELISA can be used to identify ART counterfeits and
substandard drugs and to quantify the ART drugs.
PMID- 27895527
TI - Phase II Trial of Angiotensin-(1-7) for the Treatment of Patients with Metastatic
Sarcoma.
AB - Background. Angiotensin-(1-7) [Ang-(1-7)] is an endogenous antiangiogenic hormone
with anticancer activity. In a phase I study of Ang-(1-7), two of three patients
with metastatic sarcoma experienced disease stabilization. This phase II study
examined clinical and biomarker outcomes for patients with metastatic sarcoma.
Methods. Ang-(1-7) was administered by subcutaneous injection at a dose of 20 mg
daily. If excessive toxicities occurred in the first cohort, a dose deescalation
cohort was allowed. Blood samples were obtained to measure changes in biomarkers.
Results. Treatment was well-tolerated and the dose deescalation cohort was not
required. Plasma PlGF concentrations following treatment were not statistically
significantly changed. A significant increase in plasma Ang-(1-7) was observed at
4 hours after injection. The median progression-free survival was 2.7 months (95%
CI; 1.4 to 4.1 months), and the median overall survival was 10.2 months (95% CI;
5.3 to 18.3 months). Two patients with vascular sarcomas demonstrated prolonged
disease stabilization of 10 months (hemangiopericytoma) and 19 months
(epithelioid hemangioendothelioma). Conclusions. Ang-(1-7) at a dose of 20 mg
daily was well-tolerated. This prospective phase II study failed to confirm the
PlGF biomarker effect identified in the prior phase I study. Prolonged disease
stabilization in hemangiopericytoma and epithelioid hemangioendothelioma may
warrant further investigation.
PMID- 27895528
TI - Nurses' perceptions of a pressure ulcer prevention care bundle: a qualitative
descriptive study.
AB - BACKGROUND: Pressure ulcer prevention is a critical patient safety indicator for
acute care hospitals. An innovative pressure ulcer prevention care bundle
targeting patient participation in their care was recently tested in a cluster
randomised trial in eight Australian hospitals. Understanding nurses'
perspectives of such an intervention is imperative when interpreting results and
translating evidence into practice. As part of a process evaluation for the main
trial, this study assessed nurses' perceptions of the usefulness and impact of a
pressure ulcer prevention care bundle intervention on clinical practice. METHODS:
This qualitative descriptive study involved semi-structured interviews with
nursing staff at four Australian hospitals that were intervention sites for a
cluster randomised trial testing a pressure ulcer prevention care bundle. Four to
five participants were purposively sampled at each site. A trained interviewer
used a semi-structured interview guide to question participants about their
perceptions of the care bundle. Interviews were digitally recorded, transcribed
and analysed using thematic analysis. RESULTS: Eighteen nurses from four
hospitals participated in the study. Nurses' perceptions of the intervention are
described in five themes: 1) Awareness of the pressure ulcer prevention care
bundle and its similarity to current practice; 2) Improving awareness,
communication and participation with the pressure ulcer prevention care bundle;
3) Appreciating the positive aspects of patient participation in care; 4)
Perceived barriers to engaging patients in the pressure ulcer prevention care
bundle; and 5) Partnering with nursing staff to facilitate pressure ulcer
prevention care bundle implementation. CONCLUSIONS: Overall, nurses found the
care bundle feasible and acceptable. They identified a number of benefits from
the bundle, including improved communication, awareness and participation in
pressure ulcer prevention care among patients and staff. However, nurses thought
the care bundle was not appropriate or effective for all patients, such as those
who were cognitively impaired. Perceived enablers to implementation of the bundle
included facilitation through effective communication and dissemination of
evidence about the care bundle; strong leadership and ability to influence staff
behaviour; and simplicity of the care bundle.
PMID- 27895529
TI - Assessing nursing staff's competences in mobility support in nursing-home care:
development and psychometric testing of the Kinaesthetics Competence (KC)
observation instrument.
AB - BACKGROUND: Between 75 and 89% of residents living in long-term care facilities
have limited mobility. Nurses as well as other licensed and unlicensed personnel
directly involved in resident care are in a key position to promote and maintain
the mobility of care-dependent persons. This requires a certain level of
competence. Kinaesthetics is a training concept used to increase nursing staff's
interaction and movement support skills for assisting care-dependent persons in
their daily activities. This study aims to develop and test an observation
instrument for assessing nursing staff's competences in kinaesthetics. METHODS:
The Kinaesthetics Competence (KC) observation instrument was developed between
January and June 2015 based on a literature review, a concept analysis and expert
meetings (18). The pilot instrument was evaluated with two expert panels (n = 5,
n = 4) regarding content validity, usability and inter-rater agreement. Content
validity was assessed by determining the content validity index (CVI). The final
instrument was tested in a cross-sectional study in three nursing homes in the
German-speaking part of Switzerland between July 2015 and February 2016. In this
study nursing staff (n = 48) was filmed during mobilization situations. Based on
this video data two observers independently assessed nursing staff's competences
in kinaesthetics with the KC observation instrument. Inter-rater reliability and
inter-rater agreement was evaluated using the intra-class correlation coefficient
(ICC) and percentage of agreement. Construct validity was assessed by a
discriminating power analysis. Internal consistency was evaluated using
Cronbach's alpha coefficient and item analysis. RESULTS: The final version of the
KC observation instrument comprised of four domains (interaction, movement
support of the person, nurses' movement, environment) and 12 items. The final
instrument showed an excellent content validity index of 1.0. Video sequences
from 40 persons were analysed. Inter-rater reliability for the whole scale was
good (ICC 0.73) and the percentage of inter-rater agreement was 53.6% on average.
Cronbach's alpha coefficient for the whole instrument was 0.97 and item-total
correlations ranged from 0.76 to 0.90. The construct validity of the instrument
was supported by a significant discrimination of the instrument between nursing
staff with no or basic and with advanced kinaesthetics training for the total
score and 3 of 4 subscales. CONCLUSIONS: The KC observation instrument showed
good preliminary psychometric properties and can be used to assess nursing
staff's competences in mobility care based on the principles of kinaesthetics.
PMID- 27895530
TI - Alpha-synuclein contributes to malignant progression of human meningioma via the
Akt/mTOR pathway.
AB - BACKGROUND: The aim of this study is to explore the expression of alpha-synuclein
(alpha-synuclein) in benign, atypical, and anaplastic meningiomas and determine
its role in the malignant progression of meningiomas. METHODS: Expression of
alpha-synuclein was measured in 44 meningioma samples by real-time PCR analysis.
The effects of overexpression or knockdown of alpha-synuclein on meningioma cell
growth, invasiveness, and tumorigenicity were determined. RESULTS: Atypical and
anaplastic meningiomas displayed significantly greater levels of alpha-synuclein
mRNA, relative to benign tumors. Depletion of alpha-synuclein decreased cell
proliferation and colony formation and promoted apoptosis in IOMM-Lee meningioma
cells, whereas overexpression of alpha-synuclein facilitated cell proliferation
and colony formation in CH-157MN meningioma cells. Silencing of alpha-synuclein
attenuated IOMM-Lee cell migration and invasion. In contrast, ectopic expression
of alpha-synuclein increased the invasiveness of CH-157MN cells. In vivo studies
further demonstrated that downregulation of alpha-synuclein significantly
retarded meningioma growth in nude mice. At the molecular level, the
phosphorylation levels of Akt, mTOR, p70S6K and 4EBP were significantly decreased
in alpha-synuclein-depleted IOMM-Lee cells. CONCLUSIONS: In conclusion, alpha
synuclein upregulation contributes to aggressive phenotypes of meningiomas via
the Akt/mTOR pathway and thus represents a potential therapeutic target for
malignant meningiomas.
PMID- 27895532
TI - CD163 macrophage and erythrocyte contents in aspirated deep vein thrombus are
associated with the time after onset: a pilot study.
AB - BACKGROUND: Thrombolytic therapy is effective in selected patients with deep vein
thrombosis (DVT). Therefore, identification of a marker that reflects the age of
thrombus is of particular concern. This pilot study aimed to identify a marker
that reflects the time after onset in human aspirated DVT. METHODS: We
histologically and immunohistochemically analyzed 16 aspirated thrombi. The times
from onset to aspiration ranged from 5 to 60 days (median of 13 days). Paraffin
sections were stained with hematoxylin and eosin and antibodies for fibrin,
glycophorin A, integrin alpha2bbeta3, macrophage markers (CD68, CD163, and
CD206), CD34, and smooth muscle actin (SMA). RESULTS: All thrombi were
immunopositive for glycophorin A, fibrin, integrin alpha2bbeta3, CD68, CD163, and
CD206, and contained granulocytes. Almost all of the thrombi had small foci of
CD34- or SMA-immunopositive areas. CD68- and CD163-immunopositive cell numbers
were positively correlated with the time after onset, while the glycophorin A
immunopositive area was negatively correlated with the time after onset. In
double immunohistochemistry, CD163-positive cells existed predominantly among the
CD68-immunopositive macrophage population. CD163-positive macrophages were
closely localized with glycophorin A, CD34, or SMA-positive cell-rich areas.
CONCLUSIONS: These findings indicate that CD163 macrophage and erythrocyte
contents could be markers for evaluation of the age of thrombus in DVT.
Additionally, CD163 macrophages might play a role in organization of the process
of venous thrombus.
PMID- 27895531
TI - Follicular fluid biomarkers for human in vitro fertilization outcome: Proof of
principle.
AB - BACKGROUND: Human follicular fluid (FF) is a unique biological fluid in which the
oocyte develops in vivo, and presents an optimal source for non-invasive
biochemical predictors. Oocyte quality directly influences the embryo development
and hence, may be used as a predictor of embryo quality. Peptide profiling of FF
and its potential use as a biomarker for oocyte quality has never been reported.
METHODS: This study screened FF for peptide biomarkers that predict the outcome
of in vitro fertilization (IVF). Potential biomarkers were discovered by
investigating 2 training datasets, consisting both of 17 samples and validating
on an independent experiment containing 32 samples. Peptide profiles were
acquired by nano-scale liquid chromatography coupled to tandem mass spectrometry
(nano LC-MS/MS). RESULTS: From the training datasets 53 peptides were found as
potential biomarker candidates, predicting the fertilization outcome of 24 out of
the 32 validation samples blindly (81.3% sensitivity, 68.8% specificity, AUC =
0.86). Seven potential biomarker peptides were identified. They were derived
from: insulin-like growth factor binding protein-5, alpha-2-antiplasmin,
complement component 3, inter-alpha-trypsin inhibitor heavy chain H1, serum
albumin, protein diaphanous homolog 1 and plastin-3. CONCLUSIONS: The MS-based
comprehensive peptidomic approach carried out in this study, established a novel
panel of potential biomarkers that present a promising predictive accuracy rate
in fertilization outcome, and indicates FF as an interesting biomarker resource
to improve IVF clinic routine.
PMID- 27895533
TI - Type 2 diabetes detection and management among insured adults.
AB - BACKGROUND: The Centers for Disease Control and Prevention estimates that 28.9
million adults had diabetes in 2012 in the US, though many patients are
undiagnosed or not managing their condition. This study provides US national and
state estimates of insured adults with type 2 diabetes who are diagnosed,
receiving exams and medication, managing glycemic levels, with diabetes
complications, and their health expenditures. Such information can be used for
benchmarking and to identify gaps in diabetes detection and management. METHODS:
The study combines analysis of survey data with medical claims analysis for the
commercially insured, Medicare, and Medicaid populations to estimate the number
of adults with diagnosed type 2 diabetes and undiagnosed diabetes by insurance
type, age, and sex. Medical claims analysis used the 2012 de-identified Normative
Health Information database covering a nationally representative commercially
insured population, the 2011 Medicare 5% Sample, and the 2008 Medicaid Mini-Max.
RESULTS: Among insured adults in 2012, approximately 16.9 million had diagnosed
type 2 diabetes, 1.45 million had diagnosed type 1 diabetes, and 6.9 million had
undiagnosed diabetes. Of those with diagnosed type 2, approximately 13.0 million
(77%) received diabetes medication-ranging from 70% in New Jersey to 82% in Utah.
Suboptimal percentages had claims indicating recommended exams were performed. Of
those receiving diabetes medication, 43% (5.6 million) had medical claims
indicating poorly controlled diabetes-ranging from 29% with poor control in
Minnesota and Iowa to 53% in Texas. Poor control was correlated with higher
prevalence of neurological complications (+14%), renal complications (+14%), and
peripheral vascular disease (+11%). Patients with poor control averaged $4,860
higher average annual health care expenditures-ranging from $6,680 for
commercially insured patients to $4,360 for Medicaid and $3,430 for Medicare
patients. CONCLUSIONS: This study highlights the large number of insured adults
with undiagnosed type 2 diabetes by insurance type and state. Furthermore, this
study sheds light on other gaps in diabetes care quality among patients with
diagnosed diabetes and corresponding poorly controlled diabetes. These findings
underscore the need for improvements in data collection and diabetes screening
and management, along with policies that support these improvements.
PMID- 27895535
TI - William C. Pederson, MD.
PMID- 27895536
TI - Pediatric Plastic Surgical Procedures.
PMID- 27895534
TI - An Automated Perifusion System for Modifying Cell Culture Conditions over Time.
AB - BACKGROUND: Cells are continuously exposed to changes in their environment.
Endocrine systems, in particular, communicate by rhythms and feedback loops. In
this study, we developed an automated system to produce such conditions for
cultured cells in a precisely timed manner. We utilized a programmable pair of
syringe pumps for inflow and a peristaltic pump for outflow to create rhythmic
pulses at 5-min intervals in solutions that mimic the endogenous patterns of
insulin produced by pancreatic islets as a test case. RESULTS: This perifusion
system was first tested by measuring trypan blue absorbance, which was
intermittently added and washed out at 3:3 and 2:3 min (in:out). Absorbance
corresponded with patterns of trypan blue delivery. We then created patterns of
forced oscillations in islets by intermittently switching between solutions
containing 28 millimolar (mM) glucose (producing high levels of intracellular
calcium ([Ca2+]i) and insulin secretion) and 28 mM glucose + calcium-channel
blocker nifedipine (producing low levels of [Ca2+]i and insulin secretion).
Forced perifusion effects were monitored by fura-2 AM fluorescence measurements
of [Ca2+]i. Islets showed uniform oscillations in [Ca2+]i at time intervals
consistent with the perifusion pattern, mimicking endogenous pulsatility.
CONCLUSIONS: This study highlights a valuable method to modify the environment of
the cell culture over a period of hours to days.
PMID- 27895537
TI - Tissue Expansion in Children.
AB - Tissue expansion is a well-established surgical method that has been creatively
applied to the management of many congenital and acquired pediatric conditions,
including the removal of giant congenital melanocytic nevi and the separation of
conjoined twins. Careful preoperative planning and patient follow-up are required
to achieve the proper results and minimize complications. Special considerations
for pediatric patients are present during each step of the process, from patient
selection to postoperative care. The complication rate in tissue expansion
remains high, though measures can be taken to reduce and effectively manage these
complications.
PMID- 27895539
TI - Practical Considerations in Pediatric Surgery.
AB - The care of pediatric patients requires special considerations that are often not
addressed in the literature. Relatively straightforward tasks such as clinical
evaluation, antibiotic use, splinting, wound closure, and care of simple burns
become complicated in the pediatric population for several reasons. The authors
seek to demystify some of these topics using the senior author's years of
clinical experience treating pediatric patients by giving practical advice and
general considerations when treating children.
PMID- 27895538
TI - Syndactyly Release.
AB - Syndactyly is one of the most common congenital hand anomalies treated by
pediatric plastic surgeons. Established principles of syndactyly separation
dictate the timing and order of syndactyly release, with the goals of surgery
being the creation of an anatomically normal webspace, tension-free closure of
soft tissue, and return of function to the fingers. Numerous surgical methods
have been described, many of which involve the use of local flaps to reconstruct
the commissure and full-thickness skin grafts for coverage of raw areas.
Recently, reconstructive techniques without the use of skin grafts have been
devised, which work well for certain indications. Special considerations are
described for complete, complex, and syndromic syndactylies. Outcomes for simple
syndactyly release are typically good when surgical principles are followed,
whereas complex syndactyly release tends to have less-favorable outcomes and more
complications.
PMID- 27895540
TI - Midline Craniofacial Masses in Children.
AB - Nasal dermoids, encephaloceles, and gliomas are rare congenital lesions that
result from improper embryologic development. The differentiation between them
and a firm understanding of their pathology is necessary to avoid unnecessary
complications. In view of their potential intracranial connection, prompt
diagnosis and treatment are paramount. The authors review the embryology,
diagnoses, radiologic work-up, surgical management, and complications of these
midline craniofacial masses in children.
PMID- 27895541
TI - The Duplicated Thumb: A Review.
AB - Preaxial polydactyly is a common, often sporadic, congenital anomaly that must be
addressed surgically early in life. Ideally, the surgeon seeks to accomplish
three goals: construction of a thumb that is adequate in size, preservation of
pinch function, and reconstruction of all components in one procedure. Although
each case is unique, several classification systems attempt to describe the
various types. In this article, the authors discuss the various classification
systems, procedures, and outcomes after surgery for pediatric thumb duplication.
PMID- 27895542
TI - Effect of tart cherry juice on recovery and next day performance in well-trained
Water Polo players.
AB - BACKGROUND: Tart Montmorency cherries contain high concentrations of
phytochemicals and anthocyanins, which have recently been linked to improved
athletic recovery and subsequent performance. To date however, previous work
reporting promising results has focused on land-based endurance sports, with any
potential benefits to team sports remaining unknown. As such, this investigation
set-out to examine the effect of supplemental tart cherry juice (CJ) on recovery
and next day athletic performance in highly-trained water-based team sport
athletes over seven days. METHODS: In a randomised, double-blind, repeated
measures, crossover design, nine male Water Polo athletes were supplemented with
CJ or a placebo equivalent (PLA) for six consecutive days. Prior to, and at the
completion of the supplementation period, water-based performance testing was
conducted. On day 6, participants also undertook a fatiguing simulated team game
activity. Venous blood samples were collected (Pre-exercise: day 1, 6 and 7; Post
exercise: day 6) to investigate markers of inflammation [Interleukin-6 (IL-6); C
reactive protein (CRP)] and oxidative stress [Uric Acid (UA); F2-Isoprostane (F2
IsoP)]. A daily diary was also completed (total quality of recovery, delayed
onset muscle soreness) as a measure of perceptual recovery. RESULTS: In both
conditions, day 6 post-exercise IL-6 was significantly higher than pre-exercise
and day 7 (p < 0.05); CRP was greater on day 7 as compared to day 6 pre- and post
exercise (p < 0.05); F2-IsoP was significantly lower on day 7 as compared to day
1 and day 6 (p < 0.05); UA remained unchanged (p > 0.05). No differences were
found for any performance or recovery measures. CONCLUSIONS: The lack of
difference observed in the blood markers between groups may reflect the
intermittent, non-weight bearing demands of Water Polo, with such activity
possibly unable to create a substantial inflammatory response or oxidative stress
(over 7 days) to impede performance; thereby negating any potential beneficial
effects associated with CJ supplementation. TRIAL REGISTRATION: This trial was
registered with the Australian and New Zealand Clinical Trials Registry (ANZCTR).
Registration number: ACTRN12616001080415. Date registered: 11/08/2016,
retrospectively registered.
PMID- 27895543
TI - Proteome analysis of excretory-secretory proteins of Entamoeba histolytica
HM1:IMSS via LC-ESI-MS/MS and LC-MALDI-TOF/TOF.
AB - BACKGROUND: Excretory-secretory (ES) proteins of E. histolytica are thought to
play important roles in the host invasion, metabolism, and defence. Elucidation
of the types and functions of E. histolytica ES proteins can further our
understanding of the disease pathogenesis. Thus, the aim of this study is to use
proteomics approach to better understand the complex ES proteins of the protozoa.
METHODS: E. histolytica ES proteins were prepared by culturing the trophozoites
in protein-free medium. The ES proteins were identified using two mass
spectrometry tools, namely, LC-ESI-MS/MS and LC-MALDI-TOF/TOF. The identified
proteins were then classified according to their biological processes, molecular
functions, and cellular components using the Panther classification system
(PantherDB). RESULTS: A complementary list of 219 proteins was identified; this
comprised 201 proteins detected by LC-ESI-MS/MS and 107 proteins by LC-MALDI
TOF/TOF. Of the 219 proteins, 89 were identified by both mass-spectrometry
systems, while 112 and 18 proteins were detected exclusively by LC-ESI-MS/MS and
LC-MALDI-TOF/TOF respectively. Biological protein functional analysis using
PantherDB showed that 27% of the proteins were involved in metabolic processes.
Using molecular functional and cellular component analyses, 35% of the proteins
were found to be involved in catalytic activity, and 21% were associated with the
cell parts. CONCLUSION: This study showed that complementary use of LC-ESI-MS/MS
and LC-MALDI-TOF/TOF has improved the identification of ES proteins. The results
have increased our understanding of the types of proteins excreted/secreted by
the amoeba and provided further evidence of the involvement of ES proteins in
intestinal colonisation and evasion of the host immune system, as well as in
encystation and excystation of the parasite.
PMID- 27895544
TI - Mesoporous silica chip: enabled peptide profiling as an effective platform for
controlling bio-sample quality and optimizing handling procedure.
AB - BACKGROUND: High quality clinical samples are critical for meaningful
interpretation of data obtained in both basic and translational medicine. More
specifically, optimized pre-analysis handling to bio-sample is crucial for
avoiding biased analysis in a clinical setting. A universally applicable method
for the evaluation of sample quality and pre-analysis handling is therefore in
great demand. METHODS: The fingerprint pattern of low molecular weight (LMW)
peptides in sera is directly associated with sample quality and handling process.
Previous studies for enrichment/isolation of LMW peptides have shown that LMW
peptides can be enriched by silica meso-porous material in a sensitive and high
throughput manner. Here, a peptide profile approach utilizing mesoporous silica
chip-based sample preparation combined with MALDI MS analysis was used as a new
platform for evaluation of bio-sample quality. Rat sera were selected as model
sample and analyzed according to their LMW peptide fingerprint spectra. RESULTS:
This novel method can complete the entire sample preparation procedure in a short
period of time (<40 min), requires minimum amounts of sample (<10 uL), is of high
sensitivity (LOD 10 ng/mL) as well as high reproducibility (CV% < 15%). According
to the acquired LMW peptide spectra, we were able to distinguish the serum
samples processed under different conditions (including different storage
temperature, time, and freezing/thaw cycles) with the help of bioinformatics
tools (principle composition analysis and significant difference analysis), and
identify the samples that had significantly changed due to the inappropriate
processing. Based on the percentage of significantly changed peaks in LMW peptide
mass spectrum after handling, a judgment standard was established that can be
used to evaluate the status of preservation of a biological sample. In addition,
our principle study established recommendations for storage time, storage
temperature and freeze/thaw conditions. CONCLUSION: Our novel method for analysis
of bio-samples allows for effective identification of variations in composition
within samples, and provides a cost-effective tool for simple sample manipulation
in a clinical setting.
PMID- 27895545
TI - Studying the Complex Relationships Between Physical Activity and Infertility.
AB - This article provides commentary on the accompanying review, "Modifiable Risk
Factors and Infertility: What are the Connections?" by Rossi and colleagues. This
commentary focuses specifically on the association between physical activity or
exercise and infertility, given the equivocal evidence summarized in the Rossi et
al. review paper. Several methodologic issues related to physical activity and
infertility are discussed, including defining the components of physical activity
that research studies assess in research studies (e.g., type, intensity,
frequency, duration); considering the optimal way to measure physical activity;
and investigating important effect modifiers, including age and body mass index.
Researchers should also consider sedentary behavior as another potentially
important, unexplored, modifiable behavior that may be associated with
infertility. Given that unexplained infertility remains of widespread global
concern, identifying modifiable risk factors and how much influence they have on
infertility remains an important area for research.
PMID- 27895546
TI - A gaping gap (smokeless tobacco control in Pakistan).
AB - Oral cancer is second most common cancer in Pakistan and one of the major
contributing factors to its high incidence is smokeless tobacco (SLT) use. 5.3%
of Pakistan's youth are current SLT users. The World Health Organization requires
the signatories of its "Framework Convention on Tobacco Control" to officially
ban the sale of tobacco products to minors. We reviewed the Government of
Pakistan's tobacco control, and related supporting policies, to assess how these
address the issue of sale of SLT products to minors and found evident gaps in
this regard. Legislations need to be in place to ban the sale of SLT products to
minors and avoid an SLT epidemic in the future.
PMID- 27895547
TI - Editorial: Recent Advances and Challenges on Big Data Analysis in Neuroimaging.
PMID- 27895549
TI - The Repeatable Battery for the Assessment of Neuropsychological Status for
Hearing Impaired Individuals (RBANS-H) before and after Cochlear Implantation: A
Protocol for a Prospective, Longitudinal Cohort Study.
AB - Background: Currently, an independent relationship between hearing loss and
cognitive decline in older adults is suggested by large prospective studies. In
general, cochlear implants improve hearing and the quality of life in severely to
profoundly hearing impaired older persons. However, little is known about the
effects of cochlear implantation on the cognitive evolution in this population.
Aim of the study: The primary goal of this prospective, longitudinal cohort study
is to explore the cognitive profile of severely to profoundly postlingually
hearing impaired subjects before and after cochlear implantation. In addition,
the current study aims to investigate the relationship between the cognitive
function, audiometric performances, quality of life, and self-reliance in these
patients. Methods: Twenty-five patients aged 55 or older, scheduled for cochlear
implantation, will be enrolled in the study. They will be examined prior to
implantation, at 6 and 12 months after implantation and annually thereafter. The
test battery consists of (1) a cognitive examination, using the Repeatable
Battery for the Assessment of Neuropsychological Status adapted for Hearing
impaired persons (RBANS-H), (2) an audiological examination, including unaided
and aided pure tone audiometry, speech audiometry in quiet and speech audiometry
in noise, (3) the administration of four questionnaires evaluating quality of
life and subjective hearing benefit and (4) a semi-structured interview about the
self-reliance of the participant. Discussion: Up until now only one study has
been conducted on this topic, focusing on the short-term effects of cochlear
implantation on cognition in older adults. The present study is the first study
to apply a comprehensive neuropsychological assessment adapted for severely to
profoundly hearing impaired subjects in order to investigate the cognitive
capabilities before and after cochlear implantation. Trial registration: The
present protocol is retrospectively registered at Clinical Trials
(ClinicalTrials.gov) on June 9th, 2016. The first participant was enrolled on
June 22nd, 2015. The protocol identifier is NCT02794350.
PMID- 27895548
TI - A Novel Microfluidic Cell Co-culture Platform for the Study of the Molecular
Mechanisms of Parkinson's Disease and Other Synucleinopathies.
AB - Although, the precise molecular mechanisms underlying Parkinson's disease (PD)
are still elusive, it is now known that spreading of alpha-synuclein (aSyn)
pathology and neuroinflammation are important players in disease progression.
Here, we developed a novel microfluidic cell-culture platform for studying the
communication between two different cell populations, a process of critical
importance not only in PD but also in many biological processes. The integration
of micro-valves in the device enabled us to control fluid routing, cellular
microenvironments, and to simulate paracrine signaling. As proof of concept, two
sets of experiments were designed to show how this platform can be used to
investigate specific molecular mechanisms associated with PD. In one experiment,
naive H4 neuroglioma cells were co-cultured with cells expressing aSyn tagged
with GFP (aSyn-GFP), to study the release and spreading of the protein. In our
experimental set up, we induced the release of the contents of aSyn-GFP producing
cells to the medium and monitored the protein's diffusion. In another experiment,
H4 cells were co-cultured with N9 microglial cells to assess the interplay
between two cell lines in response to environmental stimuli. Here, we observed an
increase in the levels of reactive oxygen species in H4 cells cultured in the
presence of activated N9 cells, confirming the cross talk between different cell
populations. In summary, the platform developed in this study affords novel
opportunities for the study of the molecular mechanisms involved in PD and other
neurodegenerative diseases.
PMID- 27895550
TI - Closed-Loop Task Difficulty Adaptation during Virtual Reality Reach-to-Grasp
Training Assisted with an Exoskeleton for Stroke Rehabilitation.
AB - Stroke patients with severe motor deficits of the upper extremity may practice
rehabilitation exercises with the assistance of a multi-joint exoskeleton.
Although this technology enables intensive task-oriented training, it may also
lead to slacking when the assistance is too supportive. Preserving the engagement
of the patients while providing "assistance-as-needed" during the exercises,
therefore remains an ongoing challenge. We applied a commercially available seven
degree-of-freedom arm exoskeleton to provide passive gravity compensation during
task-oriented training in a virtual environment. During this 4-week pilot study,
five severely affected chronic stroke patients performed reach-to-grasp exercises
resembling activities of daily living. The subjects received virtual reality
feedback from their three-dimensional movements. The level of difficulty for the
exercise was adjusted by a performance-dependent real-time adaptation algorithm.
The goal of this algorithm was the automated improvement of the range of motion.
In the course of 20 training and feedback sessions, this unsupervised adaptive
training concept led to a progressive increase of the virtual training space (p <
0.001) in accordance with the subjects' abilities. This learning curve was
paralleled by a concurrent improvement of real world kinematic parameters, i.e.,
range of motion (p = 0.008), accuracy of movement (p = 0.01), and movement
velocity (p < 0.001). Notably, these kinematic gains were paralleled by motor
improvements such as increased elbow movement (p = 0.001), grip force (p <
0.001), and upper extremity Fugl-Meyer-Assessment score from 14.3 +/- 5 to 16.9
+/- 6.1 (p = 0.026). Combining gravity-compensating assistance with adaptive
closed-loop feedback in virtual reality provides customized rehabilitation
environments for severely affected stroke patients. This approach may facilitate
motor learning by progressively challenging the subject in accordance with the
individual capacity for functional restoration. It might be necessary to apply
concurrent restorative interventions to translate these improvements into
relevant functional gains of severely motor impaired patients in activities of
daily living.
PMID- 27895551
TI - Oct4 Methylation-Mediated Silencing As an Epigenetic Barrier Preventing Muller
Glia Dedifferentiation in a Murine Model of Retinal Injury.
AB - Muller glia (MG) is the most abundant glial type in the vertebrate retina. Among
its many functions, it is capable of responding to injury by dedifferentiating,
proliferating, and differentiating into every cell types lost to damage. This
regenerative ability is notoriously absent in mammals. We have previously
reported that cultured mammalian MG undergoes a partial dedifferentiation, but
fails to fully acquire a progenitor phenotype and differentiate into neurons.
This might be explained by a mnemonic mechanism comprised by epigenetic traits,
such as DNA methylation. To achieve a better understanding of this epigenetic
memory, we studied the expression of pluripotency-associated genes, such as Oct4,
Nanog, and Lin28, which have been reported as necessary for regeneration in fish,
at early times after NMDA-induced retinal injury in a mouse experimental model.
We found that although Oct4 is expressed rapidly after damage (4 hpi), it is
silenced at 24 hpi. This correlates with a significant decrease in the DNA
methyltransferase Dnmt3b expression, which returns to basal levels at 24 hpi. By
MS-PCR, we observed a decrease in Oct4 methylation levels at 4 and 12 hpi, before
returning to a fully methylated state at 24 hpi. To demonstrate that these
changes are restricted to MG, we separated these cells using a GLAST antibody
coupled with magnetic beads. Finally, intravitreous administration of the DNA
methyltransferase inhibitor SGI-1027 induced Oct4 expression at 24 hpi in MG. Our
results suggest that mammalian MG injury-induced dedifferentiation could be
restricted by DNA methylation, which rapidly silences Oct4 expression, preventing
multipotency acquisition.
PMID- 27895553
TI - Glypican 6 Enhances N-Methyl-D-Aspartate Receptor Function in Human-Induced
Pluripotent Stem Cell-Derived Neurons.
AB - The in vitro use of neurons that are differentiated from human induced
pluripotent stem cells (hiPSC-neurons) is expected to improve the prediction
accuracy of preclinical tests for both screening and safety assessments in drug
development. To achieve this goal, hiPSC neurons are required to differentiate
into functional neurons that form excitatory networks and stably express N-methyl
D-aspartate receptors (NMDARs). Recent studies have identified some astrocyte
derived factors that are important for the functional maturation of neurons. We
therefore examined the effects of the astrocyte-derived factor glypican 6 (GPC6)
on hiPSC-neurons. When we pharmacologically examined which receptor subtypes
mediate L-glutamate (L-Glu)-induced changes in the intracellular Ca2+
concentrations in hiPSC neurons using fura-2 Ca2+ imaging, NMDAR-mediated
responses were not detected through 7 days in vitro (DIV). These cells were also
not vulnerable to excitotoxicity at 7 DIV. However, a 5-days treatment with GPC6
from 3 DIV induced an NMDAR-mediated Ca2+ increase in hiPSC-neurons and increased
the level of NMDARs on the cell surface. We also found that GPC6-treated hiPSC
neurons became responsive to excitotoxicity. These results suggest that GPC6
increases the level of functional NMDARs in hiPSC-neurons. Glial factors may play
a key role in accelerating the functional maturation of hiPSC neurons for drug
development applications.
PMID- 27895552
TI - Computational Models of Auditory Scene Analysis: A Review.
AB - Auditory scene analysis (ASA) refers to the process (es) of parsing the complex
acoustic input into auditory perceptual objects representing either physical
sources or temporal sound patterns, such as melodies, which contributed to the
sound waves reaching the ears. A number of new computational models accounting
for some of the perceptual phenomena of ASA have been published recently. Here we
provide a theoretically motivated review of these computational models, aiming to
relate their guiding principles to the central issues of the theoretical
framework of ASA. Specifically, we ask how they achieve the grouping and
separation of sound elements and whether they implement some form of competition
between alternative interpretations of the sound input. We consider the extent to
which they include predictive processes, as important current theories suggest
that perception is inherently predictive, and also how they have been evaluated.
We conclude that current computational models of ASA are fragmentary in the sense
that rather than providing general competing interpretations of ASA, they focus
on assessing the utility of specific processes (or algorithms) for finding the
causes of the complex acoustic signal. This leaves open the possibility for
integrating complementary aspects of the models into a more comprehensive theory
of ASA.
PMID- 27895554
TI - Systemic Radical Scavenger Treatment of a Mouse Model of Rett Syndrome: Merits
and Limitations of the Vitamin E Derivative Trolox.
AB - Rett syndrome (RTT) is a severe neurodevelopmental disorder typically arising
from spontaneous mutations in the X-chromosomal methyl-CpG binding protein 2
(MECP2) gene. The almost exclusively female Rett patients show an apparently
normal development during their first 6-18 months of life. Subsequently,
cognitive- and motor-impairment, hand stereotypies, loss of learned skills,
epilepsy and irregular breathing manifest. Early mitochondrial impairment and
oxidative challenge are considered to facilitate disease progression. Along this
line, we recently confirmed in vitro that acute treatment with the vitamin E
derivative Trolox dampens neuronal hyperexcitability, reinstates synaptic
plasticity, ameliorates cellular redox balance and improves hypoxia tolerance in
male MeCP2-deficient (Mecp2-/y ) mouse hippocampus. Pursuing these promising
findings, we performed a preclinical study to define the merit of systemic Trolox
administration. Blinded, placebo-controlled in vivo treatment of male mice
started at postnatal day (PD) 10-11 and continued for ~40 days. Compounds
(vehicle only, 10 mg/kg or 40 mg/kg Trolox) were injected intraperitoneally every
48 h. Detailed phenotyping revealed that in Mecp2-/y mice, blood glucose levels,
lipid peroxidation, synaptic short-term plasticity, hypoxia tolerance and certain
forms of environmental exploration were improved by Trolox. Yet, body weight and
size, motor function and the rate and regularity of breathing did not improve. In
conclusion, in vivo Trolox treatment partially ameliorated a subset of symptoms
of the complex Rett phenotype, thereby confirming a partial merit of the vitamin
E-derivative based pharmacotherapy. Yet, it also became evident that frequent
animal handling and the route of drug administration are critical issues to be
optimized in future trials.
PMID- 27895555
TI - Spike Timing Rigidity Is Maintained in Bursting Neurons under Pentobarbital
Induced Anesthetic Conditions.
AB - Pentobarbital potentiates gamma-aminobutyric acid (GABA)-mediated inhibitory
synaptic transmission by prolonging the open time of GABAA receptors. However, it
is unknown how pentobarbital regulates cortical neuronal activities via local
circuits in vivo. To examine this question, we performed extracellular unit
recording in rat insular cortex under awake and anesthetic conditions. Not a few
studies apply time-rescaling theorem to detect the features of repetitive spike
firing. Similar to these methods, we define an average spike interval locally in
time using random matrix theory (RMT), which enables us to compare different
activity states on a universal scale. Neurons with high spontaneous firing
frequency (>5 Hz) and bursting were classified as HFB neurons (n = 10), and those
with low spontaneous firing frequency (<10 Hz) and without bursting were
classified as non-HFB neurons (n = 48). Pentobarbital injection (30 mg/kg)
reduced firing frequency in all HFB neurons and in 78% of non-HFB neurons. RMT
analysis demonstrated that pentobarbital increased in the number of neurons with
repulsion in both HFB and non-HFB neurons, suggesting that there is a correlation
between spikes within a short interspike interval (ISI). Under awake conditions,
in 50% of HFB and 40% of non-HFB neurons, the decay phase of normalized
histograms of spontaneous firing were fitted to an exponential function, which
indicated that the first spike had no correlation with subsequent spikes. In
contrast, under pentobarbital-induced anesthesia conditions, the number of non
HFB neurons that were fitted to an exponential function increased to 80%, but
almost no change in HFB neurons was observed. These results suggest that under
both awake and pentobarbital-induced anesthetized conditions, spike firing in HFB
neurons is more robustly regulated by preceding spikes than by non-HFB neurons,
which may reflect the GABAA receptor-mediated regulation of cortical activities.
Whole-cell patch-clamp recording in the IC slice preparation was performed to
compare the regularity of spike timing between pyramidal and fast-spiking (FS)
neurons, which presumably correspond to non-HFB and HFB neurons, respectively.
Repetitive spike firing of FS neurons exhibited a lower variance of ISI than
pyramidal neurons both in control and under application of pentobarbital,
supporting the above hypothesis.
PMID- 27895557
TI - A Framework for Combining rTMS with Behavioral Therapy.
AB - Upon its inception, repetitive transcranial magnetic stimulation (rTMS) was
delivered at rest, without regard to the potential impact of activity occurring
during or around the time of stimulation. rTMS was considered an experimental
intervention imposed on the brain; therefore, the myriad features that might
suppress or enhance its desired effects had not yet been explored. The field of
rTMS has since grown substantially and therapeutic benefits have been reported,
albeit with modest and inconsistent improvements. Work in this field accelerated
following approval of a psychiatric application (depression), and it is now
expanding to other applications and disciplines. In the last decade, experimental
enquiry has sought new ways to improve the therapeutic benefits of rTMS, intended
to enhance underlying brain reorganization and functional recovery by combining
it with behavioral therapy. This concept is appealing, but poorly defined and
requires clarity. We provide an overview of how combined rTMS and behavioral
therapy has been delineated in the literature, highlighting the diversity of
approaches. We outline a framework for study design and reporting such that the
effects of this emerging method can be better understood.
PMID- 27895556
TI - Neurosensory Differentiation and Innervation Patterning in the Human Fetal
Vestibular End Organs between the Gestational Weeks 8-12.
AB - Balance orientation depends on the precise operation of the vestibular end organs
and the vestibular ganglion neurons. Previous research on the assemblage of the
neuronal network in the developing fetal vestibular organ has been limited to
data from animal models. Insights into the molecular expression profiles and
signaling moieties involved in embryological development of the human fetal inner
ear have been limited. We present an investigation of the cells of the vestibular
end organs with specific focus on the hair cell differentiation and innervation
pattern using an uninterrupted series of unique specimens from gestational weeks
8-12. Nerve fibers positive for peripherin innervate the entire fetal crista and
utricle. While in rodents only the peripheral regions of the cristae and the
extra-striolar region of the statolithic organs are stained. At week 9,
transcription factors PAX2 and PAX8 were observed in the hair cells whereas PAX6
was observed for the first time among the supporting cells of the cristae and the
satellite glial cells of the vestibular ganglia. Glutamine synthetase, a
regulator of the neurotransmitter glutamate, is strongly expressed among
satellite glia cells, transitional zones of the utricle and supporting cells in
the sensory epithelium. At gestational week 11, electron microscopic examination
reveals bouton contacts at hair cells and first signs of the formation of a
protocalyx at type I hair cells. Our study provides first-hand insight into the
fetal development of the vestibular end organs as well as their pattern of
innervation by means of immunohistochemical and EM techniques, with the aim of
contributing toward our understanding of balance development.
PMID- 27895558
TI - Physics of the Mind.
AB - Is it possible to turn psychology into "hard science"? Physics of the mind
follows the fundamental methodology of physics in all areas where physics have
been developed. What is common among Newtonian mechanics, statistical physics,
quantum physics, thermodynamics, theory of relativity, astrophysics... and a
theory of superstrings? The common among all areas of physics is a methodology of
physics discussed in the first few lines of the paper. Is physics of the mind
possible? Is it possible to describe the mind based on the few first principles
as physics does? The mind with its variabilities and uncertainties, the mind from
perception and elementary cognition to emotions and abstract ideas, to high
cognition. Is it possible to turn psychology and neuroscience into "hard"
sciences? The paper discusses established first principles of the mind, their
mathematical formulations, and a mathematical model of the mind derived from
these first principles, mechanisms of concepts, emotions, instincts, behavior,
language, cognition, intuitions, conscious and unconscious, abilities for
symbols, functions of the beautiful and musical emotions in cognition and
evolution. Some of the theoretical predictions have been experimentally
confirmed. This research won national and international awards. In addition to
summarizing existing results the paper describes new development theoretical and
experimental. The paper discusses unsolved theoretical problems as well as
experimental challenges for future research.
PMID- 27895560
TI - Neurobiology as Information Physics.
AB - This article reviews thermodynamic relationships in the brain in an attempt to
consolidate current research in systems neuroscience. The present synthesis
supports proposals that thermodynamic information in the brain can be quantified
to an appreciable degree of objectivity, that many qualitative properties of
information in systems of the brain can be inferred by observing changes in
thermodynamic quantities, and that many features of the brain's anatomy and
architecture illustrate relatively simple information-energy relationships. The
brain may provide a unique window into the relationship between energy and
information.
PMID- 27895559
TI - Reorganization of Visual Callosal Connections Following Alterations of Retinal
Input and Brain Damage.
AB - Vision is a very important sensory modality in humans. Visual disorders are
numerous and arising from diverse and complex causes. Deficits in visual function
are highly disabling from a social point of view and in addition cause a
considerable economic burden. For all these reasons there is an intense effort by
the scientific community to gather knowledge on visual deficit mechanisms and to
find possible new strategies for recovery and treatment. In this review, we focus
on an important and sometimes neglected player of the visual function, the corpus
callosum (CC). The CC is the major white matter structure in the brain and is
involved in information processing between the two hemispheres. In particular,
visual callosal connections interconnect homologous areas of visual cortices,
binding together the two halves of the visual field. This interhemispheric
communication plays a significant role in visual cortical output. Here, we will
first review the essential literature on the physiology of the callosal
connections in normal vision. The available data support the view that the
callosum contributes to both excitation and inhibition to the target hemisphere,
with a dynamic adaptation to the strength of the incoming visual input. Next, we
will focus on data showing how callosal connections may sense visual alterations
and respond to the classical paradigm for the study of visual plasticity, i.e.,
monocular deprivation (MD). This is a prototypical example of a model for the
study of callosal plasticity in pathological conditions (e.g., strabismus and
amblyopia) characterized by unbalanced input from the two eyes. We will also
discuss the findings of callosal alterations in blind subjects. Noteworthy, we
will discuss data showing that inter-hemispheric transfer mediates recovery of
visual responsiveness following cortical damage. Finally, we will provide an
overview of how callosal projections dysfunction could contribute to pathologies
such as neglect and occipital epilepsy. A particular focus will be on reviewing
noninvasive brain stimulation techniques and optogenetic approaches that allow to
selectively manipulate callosal function and to probe its involvement in cortical
processing and plasticity. Overall, the data indicate that experience can
potently impact on transcallosal connectivity, and that the callosum itself is
crucial for plasticity and recovery in various disorders of the visual pathway.
PMID- 27895561
TI - Evidence of a Role for the Lateral Hypothalamic Area Juxtadorsomedial Region
(LHAjd) in Defensive Behaviors Associated with Social Defeat.
AB - Our understanding of the extrinsic connections of the lateral hypothalamic area
(LHA) has deepened in recent years. In particular, a series of studies using
neural pathway-tracing methods to investigate the macroconnections of
histologically differentiated LHA regions, have revealed that the neural
connections of these regions are substantially distinct, and have robust
connections with neural circuits controlling survival behaviors. To begin testing
functional associations suggested by the distinct LHA region neural connections,
the present study has investigated the role of the LHA juxtadorsomedial region
(LHAjd) in the control of social defeat (a socially-relevant defensive behavior).
Male rats received bilateral cytotoxic lesions targeted to the LHAjd. A resident
intruder paradigm was then employed to investigate the effect of these lesions on
defensive behavioral responses. Behavioral data were collected during three
phases of testing: (1) pre-encounter habituation to testing context; (2)
encounter with a dominant conspecific in the testing context; and (3) post
encounter context. Statistical analysis of behavioral measures revealed a
significant decrease in risk assessment behaviors during post-encounter context
testing in lesioned intruders compared to sham-lesioned and intact rats. However,
changes in defensive behavioral measures during the habituation, or during
resident-intruder encounters, did not reach significance. We discuss these data
in relation to LHAjd (and neighboring LHA region) neural connections, and in
relation to current advances in understanding of the neural control of defensive
behaviors. A refined model for the neural circuits that are central to the
control of socially-relevant defensive behaviors is outlined. We also consider
possible broader implications of these data for disorders of behavioral control.
PMID- 27895563
TI - The Attentional Dependence of Emotion Cognition Is Variable with the Competing
Task.
AB - The relationship between emotion and attention has fascinated researchers for
decades. Many previous studies have used eye-tracking, ERP, MEG, and fMRI to
explore this issue but have reached different conclusions: some researchers hold
that emotion cognition is an automatic process and independent of attention,
while some others believed that emotion cognition is modulated by attentional
resources and is a type of controlled processing. The present research aimed to
investigate this controversy, and we hypothesized that the attentional dependence
of emotion cognition is variable with the competing task. Eye-tracking technology
and a dual-task paradigm were adopted, and subjects' attention was manipulated to
fixate at the central task to investigate whether subjects could detect the
emotional faces presented in the peripheral area with a decrease or near-absence
of attention. The results revealed that when the peripheral task was emotional
face discrimination but the central attention-demanding task was different,
subjects performed well in the peripheral task, which means that emotional
information can be processed in parallel with other stimuli, and there may be a
specific channel in the human brain for processing emotional information.
However, when the central and peripheral tasks were both emotional face
discrimination, subjects could not perform well in the peripheral task,
indicating that the processing of emotional information required attentional
resources and that it is a type of controlled processing. Therefore, we concluded
that the attentional dependence of emotion cognition varied with the competing
task.
PMID- 27895564
TI - Neural Systems Underlying Emotional and Non-emotional Interference Processing: An
ALE Meta-Analysis of Functional Neuroimaging Studies.
AB - Understanding how the nature of interference might influence the recruitments of
the neural systems is considered as the key to understanding cognitive control.
Although, interference processing in the emotional domain has recently attracted
great interest, the question of whether there are separable neural patterns for
emotional and non-emotional interference processing remains open. Here, we
performed an activation likelihood estimation meta-analysis of 78 neuroimaging
experiments, and examined common and distinct neural systems for emotional and
non-emotional interference processing. We examined brain activation in three
domains of interference processing: emotional verbal interference in the face
word conflict task, non-emotional verbal interference in the color-word Stroop
task, and non-emotional spatial interference in the Simon, SRC and Flanker tasks.
Our results show that the dorsal anterior cingulate cortex (ACC) was recruited
for both emotional and non-emotional interference. In addition, the right
anterior insula, presupplementary motor area (pre-SMA), and right inferior
frontal gyrus (IFG) were activated by interference processing across both
emotional and non-emotional domains. In light of these results, we propose that
the anterior insular cortex may serve to integrate information from different
dimensions and work together with the dorsal ACC to detect and monitor conflicts,
whereas pre-SMA and right IFG may be recruited to inhibit inappropriate
responses. In contrast, the dorsolateral prefrontal cortex (DLPFC) and posterior
parietal cortex (PPC) showed different degrees of activation and distinct
lateralization patterns for different processing domains, which suggests that
these regions may implement cognitive control based on the specific task
requirements.
PMID- 27895562
TI - Brain Computation Is Organized via Power-of-Two-Based Permutation Logic.
AB - There is considerable scientific interest in understanding how cell assemblies
the long-presumed computational motif-are organized so that the brain can
generate intelligent cognition and flexible behavior. The Theory of Connectivity
proposes that the origin of intelligence is rooted in a power-of-two-based
permutation logic (N = 2 i -1), producing specific-to-general cell-assembly
architecture capable of generating specific perceptions and memories, as well as
generalized knowledge and flexible actions. We show that this power-of-two-based
permutation logic is widely used in cortical and subcortical circuits across
animal species and is conserved for the processing of a variety of cognitive
modalities including appetitive, emotional and social information. However,
modulatory neurons, such as dopaminergic (DA) neurons, use a simpler logic
despite their distinct subtypes. Interestingly, this specific-to-general
permutation logic remained largely intact although NMDA receptors-the synaptic
switch for learning and memory-were deleted throughout adulthood, suggesting that
the logic is developmentally pre-configured. Moreover, this computational logic
is implemented in the cortex via combining a random-connectivity strategy in
superficial layers 2/3 with nonrandom organizations in deep layers 5/6. This
randomness of layers 2/3 cliques-which preferentially encode specific and low
combinatorial features and project inter-cortically-is ideal for maximizing cross
modality novel pattern-extraction, pattern-discrimination and pattern
categorization using sparse code, consequently explaining why it requires
hippocampal offline-consolidation. In contrast, the nonrandomness in layers 5/6
which consists of few specific cliques but a higher portion of more general
cliques projecting mostly to subcortical systems-is ideal for feedback-control of
motivation, emotion, consciousness and behaviors. These observations suggest that
the brain's basic computational algorithm is indeed organized by the power-of-two
based permutation logic. This simple mathematical logic can account for brain
computation across the entire evolutionary spectrum, ranging from the simplest
neural networks to the most complex.
PMID- 27895565
TI - Challenges to the Pair Bond: Neural and Hormonal Effects of Separation and
Reunion in a Monogamous Primate.
AB - Social monogamy at its most basic is a group structure in which two adults form a
unit and share a territory. However, many socially monogamous pairs display
attachment relationships known as pair bonds, in which there is a mutual
preference for the partner and distress upon separation. The neural and hormonal
basis of this response to separation from the adult pair mate is under-studied.
In this project, we examined this response in male titi monkeys (Callicebus
cupreus), a socially monogamous New World primate. Males underwent a baseline
scan, a short separation (48 h), a long separation (approximately 2 weeks), a
reunion with the female pair mate and an encounter with a female stranger (with
nine males completing all five conditions). Regional cerebral glucose metabolism
was measured via positron emission tomography (PET) imaging using [18F]
fluorodeoxyglucose (FDG) co-registered with structural magnetic resonance imaging
(MRI), and region of interest (ROI) analysis was carried out. In addition, plasma
was collected and assayed for cortisol, oxytocin (OT), vasopressin (AVP), glucose
and insulin concentrations. Cerebrospinal fluid (CSF) was collected and assayed
for OT and AVP. We used generalized estimating equations (GEE) to examine
significant changes from baseline. Short separations were characterized by
decreases in FDG uptake, in comparison to baseline, in the lateral septum (LS),
ventral pallidum (VP), paraventricular nucleus of the hypothalamus (PVN),
periaqueductal gray (PAG), and cerebellum, as well as increases in CSF OT, and
plasma cortisol and insulin. Long separations differed from baseline in reduced
FDG uptake in the central amygdala (CeA), reduced whole brain FDG uptake,
increased CSF OT and increased plasma insulin. The response on encounter with a
stranger female depended on whether or not the male had previously reproduced
with his pair mate, suggesting that transitions to fatherhood contribute to the
neurobiology underlying response to a novel female. Reunion with the partner
appeared to stimulate coordinated release of central and peripheral OT. The
observed changes suggest the involvement of OT and AVP systems, as well as limbic
and striatal areas, during separation and reunion from the pair mate.
PMID- 27895568
TI - Feasibility of a Mobile Cognitive Intervention in Childhood Absence Epilepsy.
AB - Children with childhood absence epilepsy (CAE) frequently present with cognitive
comorbidities and school performance concerns. The present study evaluated the
feasibility of an intervention for such comorbidities using a mobile cognitive
therapy application on an iPad. Eight children with CAE and school concerns aged
7-11 participated in a 4-week intervention. They were asked to use the
application for 80 min per week (20 min/day, 4 times/week). Parents and children
completed satisfaction surveys regarding the application. Participants were
evaluated before and after the intervention using the Cognitive Domain of the NIH
Toolbox and by parental completion of the Behavioral Rating Inventory of
Executive Function. All eight patients completed the study, using the iPad for an
average of 78 min/week. Children and parents reported high satisfaction with the
application. Though a demonstration of efficacy was not the focus of the study,
performance improvements were noted on a processing speed task and on a measure
of fluid intelligence. An iPad based cognitive therapy was found to be a feasible
intervention for children with CAE.
PMID- 27895567
TI - Cognitive Processing in Non-Communicative Patients: What Can Event-Related
Potentials Tell Us?
AB - Event-related potentials (ERP) have been proposed to improve the differential
diagnosis of non-responsive patients. We investigated the potential of the P300
as a reliable marker of conscious processing in patients with locked-in syndrome
(LIS). Eleven chronic LIS patients and 10 healthy subjects (HS) listened to a
complex-tone auditory oddball paradigm, first in a passive condition (listen to
the sounds) and then in an active condition (counting the deviant tones). Seven
out of nine HS displayed a P300 waveform in the passive condition and all in the
active condition. HS showed statistically significant changes in peak and area
amplitude between conditions. Three out of seven LIS patients showed the P3
waveform in the passive condition and five of seven in the active condition. No
changes in peak amplitude and only a significant difference at one electrode in
area amplitude were observed in this group between conditions. We conclude that,
in spite of keeping full consciousness and intact or nearly intact cortical
functions, compared to HS, LIS patients present less reliable results when
testing with ERP, specifically in the passive condition. We thus strongly
recommend applying ERP paradigms in an active condition when evaluating
consciousness in non-responsive patients.
PMID- 27895569
TI - Influence of Lumbar Muscle Fatigue on Trunk Adaptations during Sudden External
Perturbations.
AB - Introduction: When the spine is subjected to perturbations, neuromuscular
responses such as reflex muscle contractions contribute to the overall balance
control and spinal stabilization mechanisms. These responses are influenced by
muscle fatigue, which has been shown to trigger changes in muscle recruitment
patterns. Neuromuscular adaptations, e.g., attenuation of reflex activation
and/or postural oscillations following repeated unexpected external
perturbations, have also been described. However, the characterization of these
adaptations still remains unclear. Using high-density electromyography (EMG) may
help understand how the nervous system chooses to deal with an unknown
perturbation in different physiological and/or mechanical perturbation
environments. Aim: To characterize trunk neuromuscular adaptations following
repeated sudden external perturbations after a back muscle fatigue task using
high-density EMG. Methods: Twenty-five healthy participants experienced a series
of 15 sudden external perturbations before and after back muscle fatigue. Erector
spinae muscle activity was recorded using high-density EMG. Trunk kinematics
during perturbation trials were collected using a 3-D motion analysis system. A
two-way repeated measure ANOVA was conducted to assess: (1) the adaptation effect
across trials; (2) the fatigue effect; and (3) the interaction effect (fatigue *
adaptation) for the baseline activity, the reflex latency, the reflex peak and
trunk kinematic variables (flexion angle, velocity and time to peak velocity).
Muscle activity spatial distribution before and following the fatigue task was
also compared using t-tests for dependent samples. Results: An attenuation of
muscle reflex peak was observed across perturbation trials before the fatigue
task, but not after. The spatial distribution of muscle activity was
significantly higher before the fatigue task compared to post-fatigue trials.
Baseline activity showed a trend to higher values after muscle fatigue, as well
as reduction through perturbation trials. Main effects of fatigue and adaptation
were found for time to peak velocity. No adaptation nor fatigue effect were
identified for reflex latency, flexion angle or trunk velocity. Conclusion: The
results show that muscle fatigue leads to reduced spatial distribution of back
muscle activity and suggest a limited ability to use across-trial redundancy to
adapt EMG reflex peak and optimize spinal stabilization using retroactive
control.
PMID- 27895566
TI - Allostatic Self-efficacy: A Metacognitive Theory of Dyshomeostasis-Induced
Fatigue and Depression.
AB - This paper outlines a hierarchical Bayesian framework for interoception,
homeostatic/allostatic control, and meta-cognition that connects fatigue and
depression to the experience of chronic dyshomeostasis. Specifically, viewing
interoception as the inversion of a generative model of viscerosensory inputs
allows for a formal definition of dyshomeostasis (as chronically enhanced
surprise about bodily signals, or, equivalently, low evidence for the brain's
model of bodily states) and allostasis (as a change in prior beliefs or
predictions which define setpoints for homeostatic reflex arcs). Critically, we
propose that the performance of interoceptive-allostatic circuitry is monitored
by a metacognitive layer that updates beliefs about the brain's capacity to
successfully regulate bodily states (allostatic self-efficacy). In this
framework, fatigue and depression can be understood as sequential responses to
the interoceptive experience of dyshomeostasis and the ensuing metacognitive
diagnosis of low allostatic self-efficacy. While fatigue might represent an early
response with adaptive value (cf. sickness behavior), the experience of chronic
dyshomeostasis may trigger a generalized belief of low self-efficacy and lack of
control (cf. learned helplessness), resulting in depression. This perspective
implies alternative pathophysiological mechanisms that are reflected by
differential abnormalities in the effective connectivity of circuits for
interoception and allostasis. We discuss suitably extended models of effective
connectivity that could distinguish these connectivity patterns in individual
patients and may help inform differential diagnosis of fatigue and depression in
the future.
PMID- 27895570
TI - Sex Differences in Gray Matter Volume of the Right Anterior Hippocampus Explain
Sex Differences in Three-Dimensional Mental Rotation.
AB - Behavioral studies have reported that males perform better than females in 3
dimensional (3D) mental rotation. Given the important role of the hippocampus in
spatial processing, the present study investigated whether structural differences
in the hippocampus could explain the sex difference in 3D mental rotation.
Results showed that after controlling for brain size, males had a larger anterior
hippocampus, whereas females had a larger posterior hippocampus. Gray matter
volume (GMV) of the right anterior hippocampus was significantly correlated with
3D mental rotation score. After controlling GMV of the right anterior
hippocampus, sex difference in 3D mental rotation was no longer significant.
These results suggest that the structural difference between males' and females'
right anterior hippocampus was a neurobiological substrate for the sex difference
in 3D mental rotation.
PMID- 27895571
TI - Neural Mechanisms of Positive Mood Induced Modulation of Reality Monitoring.
AB - This study investigates the neural mechanisms of mood induced modulation of
cognition, specifically, on reality monitoring abilities. Reality monitoring is
the ability to accurately distinguish the source of self-generated information
from externally-presented contextual information. When participants were in a
positive mood, compared to a neutral mood, they significantly improved their
source memory identification abilities, particularly for self-generated
information. However, being in a negative mood had no effect on reality
monitoring abilities. Additionally, when participants were in a positive mood
state, they showed activation in several regions that predisposed them to perform
better at reality monitoring. Specifically, positive mood induced activity within
the medial prefrontal cortex (mPFC) and posterior cingulate cortex (PCC) was
associated with improvements in subsequent identification of self-generated
information, and positive mood induced activation within the striatum (putamen)
facilitated better identification of externally-presented information. These
findings indicate that regions within mPFC, PCC and striatum are sensitive to
positive mood-cognition enhancing effects that enable participants to be better
prepared for subsequent reality monitoring decision-making.
PMID- 27895572
TI - Plastic Changes in Human Motor Cortical Output Induced by Random but not Closed
Loop Peripheral Stimulation: the Curse of Causality.
AB - Previous work showed that repetitive peripheral nerve stimulation can induce
plastic changes in motor cortical output. Triggering electrical stimulation of
central structures from natural activity can also generate plasticity. In this
study, we tested whether triggering peripheral nerve stimulation from muscle
activity would likewise induce changes in motor output. We developed a wearable
electronic device capable of recording electromyogram (EMG) and delivering
electrical stimulation under closed-loop control. This allowed paired stimuli to
be delivered over longer periods than standard laboratory-based protocols. We
tested this device in healthy human volunteers. Motor cortical output in relaxed
thenar muscles was first assessed via the recruitment curve of responses to
contralateral transcranial magnetic stimulation. The wearable device was then
configured to record thenar EMG and stimulate the median nerve at the wrist
(intensity around motor threshold, rate ~0.66 Hz). Subjects carried out normal
daily activities for 4-7 h, before returning to the laboratory for repeated
recruitment curve assessment. Four stimulation protocols were tested (9-14
subjects each): No Stim, no stimuli delivered; Activity, stimuli triggered by EMG
activity above threshold; Saved, stimuli timed according to a previous Activity
session in the same subject; Rest, stimuli given when EMG was silent. As
expected, No Stim did not modify the recruitment curve. Activity and Rest
conditions produced no significant effects across subjects, although there were
changes in some individuals. Saved produced a significant and substantial
increase, with average responses 2.14 times larger at 30% stimulator intensity
above threshold. We argue that unavoidable delays in the closed loop feedback,
due mainly to central and peripheral conduction times, mean that stimuli in the
Activity paradigm arrived too late after cortical activation to generate
consistent plastic changes. By contrast, stimuli delivered essentially at random
during the Saved paradigm may have caused a generalized increase in cortical
excitability akin to stochastic resonance, leading to plastic changes in
corticospinal output. Our study demonstrates that non-invasive closed loop
stimulation may be critically limited by conduction delays and the unavoidable
constraint of causality.
PMID- 27895574
TI - Robot-Assisted Rehabilitation of Ankle Plantar Flexors Spasticity: A 3-Month
Study with Proprioceptive Neuromuscular Facilitation.
AB - In this paper, we aim to investigate the effect of proprioceptive neuromuscular
facilitation (PNF)-based rehabilitation for ankle plantar flexors spasticity by
using a Robotic Ankle-foot Rehabilitation System (RARS). A modified robot
assisted system was proposed, and seven poststroke patients with hemiplegic
spastic ankles participated in a 3-month robotic PNF training. Their impaired
sides were used as the experimental group, while their unimpaired sides as the
control group. A robotic intervention for the experimental group started from a 2
min passive stretching to warming-up or relaxing the soleus and gastrocnemius
muscles and also ended with the same one. Then a PNF training session including
30 trials was activated between them. The rehabilitation trainings were carried
out three times a week as an addition to their regular rehabilitation exercise.
Passive range of motion, resistance torque, and stiffness were measured in both
ankles before and after the interventions. The changes in Achilles tendon length,
walking speed, and lower limb function were also evaluated by the same physician
or physiotherapist for each participant. Biomechanical measurements before
interventions showed significant difference between the experimental group and
the control group due to ankle spasticity. For the control group, there was no
significant difference in the 3 months with no robotic intervention. But for the
experimental group, passive dorsiflexion range of motion increased (p < 0.01),
resistance torque under different dorsiflexion angle levels (0 degrees , 10
degrees , and 20 degrees ) decreased (p < 0.05, p < 0.001, and p < 0.001,
respectively), and quasi-static stiffness under different dorsiflexion angle
levels (0 degrees , 10 degrees , and 20 degrees ) also decreased (p < 0.01, p <
0.001, and p < 0.001, respectively). Achilles's tendon length shortened (p <
0.01), while its thickness showed no significant change (p > 0.05). The robotic
rehabilitation also improved the muscle strength (p < 0.01) and muscle control
performance (p < 0.001). In addition, improvements were observed in clinical and
functional measurements, such as Timed Up-and-Go (p < 0.05), normal walking speed
(p > 0.05), and fast walking speed (p < 0.05). These results indicated that the
PNF-based robotic intervention could significantly alleviate lower limb
spasticity and improve the motor function in chronic stroke participant. The
robotic system could potentially be used as an effective tool in poststroke
rehabilitation training.
PMID- 27895573
TI - Prediction Signatures in the Brain: Semantic Pre-Activation during Language
Comprehension.
AB - There is broad agreement that context-based predictions facilitate lexical
semantic processing. A robust index of semantic prediction during language
comprehension is an evoked response, known as the N400, whose amplitude is
modulated as a function of semantic context. However, the underlying neural
mechanisms that utilize relations of the prior context and the embedded word
within it are largely unknown. We measured magnetoencephalography (MEG) data
while participants were listening to simple German sentences in which the verbs
were either highly predictive for the occurrence of a particular noun (i.e.,
provided context) or not. The identical set of nouns was presented in both
conditions. Hence, differences for the evoked responses of the nouns can only be
due to differences in the earlier context. We observed a reduction of the N400
response for highly predicted nouns. Interestingly, the opposite pattern was
observed for the preceding verbs: highly predictive (that is more informative)
verbs yielded stronger neural magnitude compared to less predictive verbs. A
negative correlation between the N400 effect of the verb and that of the noun was
found in a distributed brain network, indicating an integral relation between the
predictive power of the verb and the processing of the subsequent noun. This
network consisted of left hemispheric superior and middle temporal areas and a
subcortical area; the parahippocampus. Enhanced activity for highly predictive
relative to less predictive verbs, likely reflects establishing semantic features
associated with the expected nouns, that is a pre-activation of the expected
nouns.
PMID- 27895575
TI - Can Animal Models Contribute to Understanding Tinnitus Heterogeneity in Humans?
AB - The brain activity of humans with tinnitus of various etiologies is typically
studied with electro- and magneto-encephalography and functional magnetic
resonance imaging-based imaging techniques. Consequently, they measure population
responses and mostly from the neocortex. The latter also underlies changes in
neural networks that may be attributed to tinnitus. However, factors not strictly
related to tinnitus such as hearing loss and hyperacusis, as well as other co
occurring disorders play a prominent role in these changes. Different types of
tinnitus can often not be resolved with these brain-imaging techniques. In animal
models of putative behavioral signs of tinnitus, neural activity ranging from
auditory nerve to auditory cortex, is studied largely by single unit recordings,
augmented by local field potentials (LFPs), and the neural correlates of tinnitus
are mainly based on spontaneous neural activity, such as spontaneous firing rates
and pair-wise spontaneous spike-firing correlations. Neural correlates of
hyperacusis rely on measurement of stimulus-evoked activity and are measured as
increased driven firing rates and LFP amplitudes. Connectivity studies would rely
on correlated neural activity between pairs of neurons or LFP amplitudes, but are
only recently explored. In animal models of tinnitus, only two etiologies are
extensively studied; tinnitus evoked by salicylate application and by noise
exposure. It appears that they have quite different neural biomarkers. The
unanswered question then is: does this different etiology also result in
different tinnitus?
PMID- 27895576
TI - Sleep Disturbances among Older Adults in the United States, 2002-2012: Nationwide
Inpatient Rates, Predictors, and Outcomes.
AB - Objective/Background: We examined the rates, predictors, and outcomes [mortality
risk (MR), length of stay (LOS), and total charges (TC)] of sleep disturbances in
older hospitalized patients. Patients/Methods: Using the U.S. Nationwide
Inpatient Sample database (2002-2012), older patients (>=60 years) were selected
and rates of insomnia, obstructive sleep apnea (OSA) and other sleep disturbances
(OSD) were estimated using ICD-9CM. TC, adjusted for inflation, was of primary
interest, while MR and LOS were secondary outcomes. Multivariable regression
analyses were conducted. Results: Of 35,258,031 older adults, 263,865 (0.75%) had
insomnia, 750,851 (2.13%) OSA and 21,814 (0.06%) OSD. Insomnia rates increased
significantly (0.27% in 2002 to 1.29 in 2012, P-trend < 0.001), with a similar
trend observed for OSA (1.47 in 2006 to 5.01 in 2012, P-trend < 0.001). TC (2012
$) for insomnia-related hospital admission increased over time from $22,250 in
2002 to $31,527 in 2012, and increased similarly for OSA and OSD; while LOS and
MR both decreased. Women with any sleep disturbance had lower MR and TC than men,
while Whites had consistently higher odds of insomnia, OSA, and OSD than older
Blacks and Hispanics. Co-morbidities such as depression, cardiovascular risk
factors, and neurological disorders steadily increased over time in patients with
sleep disturbances. Conclusion: TC increased over time in patients with sleep
disturbances while LOS and MR decreased. Further, research should focus on
identifying the mechanisms that explain the association between increasing sleep
disturbance rates and expenditures within hospital settings and the potential
hospital expenditures of unrecognized sleep disturbances in the elderly.
PMID- 27895578
TI - Cognitive Performance Patterns in Healthy Individuals with Substantia Nigra
Hyperechogenicity and Early Parkinson's Disease.
AB - Introduction: Hyperechogenicity of the substantia nigra (SN+) is a risk marker
for Parkinson's disease (PD) which can be detected before the diagnosis. In
healthy individuals, SN+ has been associated with slight deficits in specific
cognitive functions, suggesting cognitive impairment as a possible pre-diagnostic
marker for PD. However, the pattern of cognitive deficits associated with SN+ has
not yet been compared with those present in PD. Methods: Data of 262 healthy
individuals with normal echogenicity (SN-) and 48 healthy individuals with SN+
were compared with 82 early stage PD patients using the "Consortium to Establish
a Registry for Alzheimer's disease" test battery. First, the test clusters
(factors) were identified using a principal component analysis (PCA). Mean group
performance of cognitive tests belonging to distinct factors, according to the
PCA, and single subtest performances were compared using analyses of variance.
Second, the number of individuals with abnormal cognitive performances (z-score <
-1.0) were compared between groups. Results: Verbal memory, semantic and
executive function, and praxis were identified as components of cognitive
performances. The SN+ group performed significantly worse than the SN- group in
tests assessing semantic and executive function, with a non-significant decrease
in verbal memory. On the subtest level, individuals of the SN+ group scored
significantly lower than the SN- group on the Boston Naming Test (BNT; p =
0.008). In all subtests, the percentages of PD patients with values below the cut
off for abnormal performance were higher than in the SN- group. Moreover, more
individuals from the SN+ group scored below the cut-off in the BNT (SN- = 8.4%,
SN+ = 20.8%, p = 0.01) and TMT-B (SN- = 6.9%, SN+ = 16.7%, p = 0.02), compared to
the SN- group. Conclusion: This study confirms poorer performance of healthy
individuals with SN+ compared to SN- in specific cognitive domains. However,
against the SN- group, the cognitive profile of the SN+ group was not fully
consistent with the profile of early PD patients. Our data argues that cognitive
impairment associated with SN+ might differ slightly from that seen in early PD.
Compensational mechanisms in the early phases of neurodegeneration, and the fact
that only a subgroup of SN+ will develop PD, may partly explain these
differences.
PMID- 27895577
TI - Fus1 KO Mouse As a Model of Oxidative Stress-Mediated Sporadic Alzheimer's
Disease: Circadian Disruption and Long-Term Spatial and Olfactory Memory
Impairments.
AB - Insufficient advances in the development of effective therapeutic treatments of
sporadic Alzheimer's Disease (sAD) to date are largely due to the lack of sAD
relevant animal models. While the vast majority of models do recapitulate AD's
hallmarks of plaques and tangles by virtue of tau and/or beta amyloid
overexpression, these models do not reflect the fact that in sAD (unlike familial
AD) these genes are not risk factors per se and that other mechanisms like
oxidative stress, metabolic dysregulation and inflammation play key roles in AD
etiology. Here we characterize and propose the Fus1 KO mice that lack a
mitochondrial protein Fus1/Tusc2 as a new sAD model. To establish sAD relevance,
we assessed sAD related deficits in Fus1 KO and WT adult mice of 4-5 months old,
the equivalent human age when the earliest cognitive and olfactory sAD symptoms
arise. Fus1 KO mice showed oxidative stress (increased levels of ROS, decreased
levels of PRDX1), disruption of metabolic homeostasis (decreased levels of ACC2,
increased phosphorylation of AMPK), autophagy (decreased levels of LC3-II), PKC
(decreased levels of RACK1) and calcium signaling (decreased levels of Calb2) in
the olfactory bulb and/or hippocampus. Mice were behaviorally tested using
objective and accurate video tracking (Noldus), in which Fus1 KO mice showed
clear deficits in olfactory memory (decreased habituation/cross-habituation in
the short and long term), olfactory guided navigation memory (inability to reduce
their latency to find the hidden cookie), spatial memory (learning impairments on
finding the platform in the Morris water maze) and showed more sleep time during
the diurnal cycle. Fus1 KO mice did not show clear deficits in olfactory
perception (cross-habituation), association memory (passive avoidance) or in
species-typical behavior (nest building) and no increased anxiety (open field,
light-dark box) or depression/anhedonia (sucrose preference) at this relatively
young age. These neurobehavioral deficits of the Fus1 KO mice at this relatively
young age are highly relevant to sAD, making them suitable for effective research
on pharmacological targets in the context of early intervention of sAD.
PMID- 27895580
TI - Increased Levels of miRNA-146a in Serum and Histologic Samples of Patients with
Uveal Melanoma.
AB - Purpose: To analyze MiRs expression in serum of UM patients, respect to healthy
donors, and to compare this data with MiRs expressed in formalin-fixed, paraffin
embedded UM samples. Methods: Expression profile of 754 miRNAs was performed in
serum of patients with uveal melanoma who underwent primary enucleation. The
level of miRNAs increased in serum was individually analyzed on FFPE UM samples
and compared to choroidal melanocytes from unaffected eyes. Results: Fourteen
patients with uveal melanoma were included in the study. We found 8 serum miRNAs
differentially expressed compared to normal controls: 2 upregulated miRNAs (miRNA
146a, miR-523); 6 downregulated miRNAs (miR-19a, miR-30d, miR-127, miR-451, miR
518f, miR-1274B). When data on upregulated miRNAs were singularly validated only
a significant overexpression of miRNA-146a was found. A statistically significant
upregulation of miRNA-146a was also found on FFPE UM samples, compared to
choroidal melanocytes from unaffected eyes. Conclusions: miRNA-146a is increased
in serum of patients with UM and in FFPE tumor samples. Further studies will show
if it could be considered a potential marker of UM in the blood.
PMID- 27895581
TI - Evaluation of Near Infrared Dyes as Markers of P-Glycoprotein Activity in Tumors.
AB - Aim: The multidrug resistance protein 1 (MDR1; P-glycoprotein) has been
associated with efflux of chemotherapeutic agents from tumor cells and with poor
patient prognosis. This study evaluated the feasibility of non-invasive, non
radioactive near infrared (NIR) imaging methodology for detection of MDR1
functional activity in tumors. Methods: Initial accumulation assays were
conducted in MDR1-overexpressing MDCK cells (MDCK-MDR1) and control MDCK cells
(MDCK-CT) using the NIR dyes indocyanine green (ICG), IR-783, IR-775, rhodamine
800, XenoLight DiR, and Genhance 750, at 0.4 MUM-100 MUM. ICG and IR-783 were
also evaluated in HT-29 cells in which MDR1 overexpression was induced by
colchicine (HT-29-MDR1) and their controls (HT-29-CT). In vivo optical imaging
studies were conducted using immunodeficient mice bearing HT-29-CT and HT-29-MDR1
xenografts. Results: ICG's emission intensity was 2.0- and 2.2-fold higher in
control versus MDR1-overexpressing cells, in MDCK and HT-29 cell lines,
respectively. The respective IR-783 control:MDR1 ratio was 1.4 in both MDCK and
HT-29 cells. Optical imaging of mice bearing HT-29-CT and HT-29-MDR1 xenografts
revealed a statistically non-significant, 1.7-fold difference (p > 0.05) in ICG
emission intensity between control and MDR1 tumors. No such differences were
observed with IR-783. Conclusion: ICG and IR-783 appear to be weak MDR1
substrates. In vivo, low sensitivity and high between-subject variability impair
the ability to use the currently studied probes as markers of tumor MDR1
activity. The results suggest that, for future use of this technology, additional
NIR probes should be screened as MDR1 substrates.
PMID- 27895579
TI - Pharmacodynamic Modeling of Cell Cycle Effects for Gemcitabine and Trabectedin
Combinations in Pancreatic Cancer Cells.
AB - Combinations of gemcitabine and trabectedin exert modest synergistic cytotoxic
effects on two pancreatic cancer cell lines. Here, systems pharmacodynamic (PD)
models that integrate cellular response data and extend a prototype model
framework were developed to characterize dynamic changes in cell cycle phases of
cancer cell subpopulations in response to gemcitabine and trabectedin as single
agents and in combination. Extensive experimental data were obtained for two
pancreatic cancer cell lines (MiaPaCa-2 and BxPC-3), including cell proliferation
rates over 0-120 h of drug exposure, and the fraction of cells in different cell
cycle phases or apoptosis. Cell cycle analysis demonstrated that gemcitabine
induced cell cycle arrest in S phase, and trabectedin induced transient cell
cycle arrest in S phase that progressed to G2/M phase. Over time, cells in the
control group accumulated in G0/G1 phase. Systems cell cycle models were
developed based on observed mechanisms and were used to characterize both cell
proliferation and cell numbers in the sub G1, G0/G1, S, and G2/M phases in the
control and drug-treated groups. The proposed mathematical models captured well
both single and joint effects of gemcitabine and trabectedin. Interaction
parameters were applied to quantify unexplainable drug-drug interaction effects
on cell cycle arrest in S phase and in inducing apoptosis. The developed models
were able to identify and quantify the different underlying interactions between
gemcitabine and trabectedin, and captured well our large datasets in the
dimensions of time, drug concentrations, and cellular subpopulations.
PMID- 27895582
TI - Introduction of an N-Glycosylation Site into UDP-Glucuronosyltransferase 2B3
Alters Its Sensitivity to Cytochrome P450 3A1-Dependent Modulation.
AB - Our previous studies have demonstrated functional protein-protein interactions
between cytochrome P450 (CYP) 3A and UDP-glucuronosyltransferase (UGT). However,
the role of carbohydrate chains of UGTs in the interaction with CYP is not well
understood. To address this issue, we examined whether CYP3A1 modulates the
function of UGT2B3 which lacks potential glycosylation sites. We also examined
whether the introduction of N-glycosylation to UGT2B3 affects CYP3A-dependent
modulation of UGT function. To introduce a potential glycosylation site into
UGT2B3, Ser 316 of UGT2B3 was substituted with Asn by site-directed mutagenesis.
A baculovirus-Sf-9 cell system for expressing CYP3A1 and UGT2B3/UGT2B3(S316N) was
established using a Bac-to-Bac system. Glycosylation of UGT2B3(S316N) was
demonstrated in this expression system. The microsomal activity of recombinant
UGT was determined using 4-methylumbelliferone as a substrate. The effect of
CYP3A1 co-expression on UGT function was examined by comparing the kinetic
profiles between single (UGT alone) and double expression (UGT plus CYP) systems.
The kinetics of the two expression systems fitted a Michaelis-Menten equation.
When the 4-MU concentration was varied, co-expression of CYP3A1 lowered the Vmax
of UGT2B3-mediated conjugation. Conversely, for UGT2B3(S316N), the Vmax in the
dual expression system was higher than that in the single expression system. The
data obtained demonstrate that the introduction of N-glycosylation to UGT2B3
alters its sensitivity to CYP3A1-dependent modulation while CYP3A1 enhanced
UGT2B3(S316N) activity, and wild-type UGT2B3 was suppressed by CYP3A1. These data
suggest that N-glycosylation of UGT is one of the determinants regulating the
interaction between CYP3A and UGT.
PMID- 27895583
TI - Matching Adherence Interventions to Patient Determinants Using the Theoretical
Domains Framework.
AB - Introduction: Despite much research, interventions to improve medication
adherence report disappointing and inconsistent results. Tailored approaches that
match interventions and patient determinants of non-adherence were seldom used in
clinical trials. The presence of a multitude of theoretical frameworks and models
to categorize interventions and patient determinants complicated the development
of common categories shared by interventions and determinants. We retrieved
potential interventions and patient determinants from published literature on
medication adherence, matched them like locks and keys, and categorized them
according to the Theoretical Domains Framework (TDF). Methods: We identified the
most relevant literature reviews on interventions and determinants in a pragmatic
literature search, extracted all interventions and determinants, grouped similar
concepts to umbrella terms and assigned them to TDF categories. All steps were
finalized in consensus discussion between the authors. Results: Sixteen articles
(5 with determinants, 11 with interventions) were included for analysis. We
extracted 103 interventions and 42 determinants that we divided in 26 modifiable
and 16 unmodifiable determinants. All interventions and modifiable determinants
were matched within 11 categories (Knowledge; Skills; Social/professional role
and identity; Beliefs about capabilities; Beliefs about consequences; Intentions;
Memory, Attention and decision processes; Environmental context and resources;
Social influences; Emotion; and Behavioral regulation). Conclusion: In published
trials on medication adherence, the congruence between interventions and
determinants can be assessed with matching interventions to determinants. To be
successful, interventions in medication adherence should target current
modifiable determinants and be tailored to the unmodifiable determinants.
Modifiable and unmodifiable determinants need to be assessed at inclusion of
intervention studies to identify the patients most in need of an adherence
intervention. Our matched categories may be useful to develop interventions in
trials that investigate the effectiveness of adherence interventions.
PMID- 27895585
TI - Feto-Maternal Trafficking of Exosomes in Murine Pregnancy Models.
AB - Timing and initiation of labor are well-orchestrated by signals communicated
between the fetal and maternal compartments; however, how these signals are
communicated is not completely understood. Fetal exosomes, intercellular
signaling vesicles, may play a key role in the process. The objective of this
study was to evaluate exosome trafficking in vivo from fetal to maternal
compartments. Pregnant CD-1 mice were intra-amniotically injected on gestational
day 16 and 17 with exosomes isolated from primary human amnion epithelial cells
fluorescently labeled with the lipophilic dye 1,1-dioctadecyl-3,3,3,3
tetramethylindotricarbocyanine iodide (DiR). All our analyses were performed on
samples collected on Day 18. After 24 h, mice were imaged using Bruker MS FX PRO
In vivo Imager and tissues were collected. In vivo imaging of mouse showed
fluorescence in the uterus, on the exosome-injected side whereas the uterine
tissues from the uninjected side and saline and dye alone injected animals
remained negative. Histological analysis of placenta showed exosome migration
from the fetal to the maternal side of the placenta. Fluorescence released from
exosomes was seen in maternal blood samples as well as in maternal uterus and
kidneys. This study demonstrates that exosomal cargo can be carried through
systemic route from the fetal to the maternal side of the uterine tissues during
pregnancy, supporting the idea that fetal signals can be delivered via exosomes.
PMID- 27895584
TI - Induced Pluripotent Stem Cells Inhibit Bleomycin-Induced Pulmonary Fibrosis in
Mice through Suppressing TGF-beta1/Smad-Mediated Epithelial to Mesenchymal
Transition.
AB - Pulmonary fibrosis is a progressive and irreversible fibrotic lung disorder with
high mortality and few treatment options. Recently, induced pluripotent stem
(iPS) cells have been considered as an ideal resource for stem cell-based
therapy. Although, an earlier study demonstrated the therapeutic effect of iPS
cells on pulmonary fibrosis, the exact mechanisms remain obscure. The present
study investigated the effects of iPS cells on inflammatory responses,
transforming growth factor (TGF)-beta1 signaling pathway, and epithelial to
mesenchymal transition (EMT) during bleomycin (BLM)-induced lung fibrosis. A
single intratracheal instillation of BLM (5 mg/kg) was performed to induce
pulmonary fibrosis in C57BL/6 mice. Then, iPS cells (c-Myc-free) were
administrated intravenously at 24 h following BLM instillation. Three weeks after
BLM administration, pulmonary fibrosis was evaluated. As expected, treatment with
iPS cells significantly limited the pathological changes, edema, and collagen
deposition in lung tissues of BLM-induced mice. Mechanically, treatment with iPS
cells obviously repressed the expression ratios of matrix metalloproteinase-2
(MMP-2) to its tissue inhibitor -2 (TIMP-2) and MMP-9/TIMP-1 in BLM-induced
pulmonary tissues. In addition, iPS cell administration remarkably suppressed BLM
induced up-regulation of pulmonary inflammatory mediators, including tumor
necrosis factor-alpha, interleukin (IL)-1beta, IL-6, inducible nitric oxide
synthase, nitric oxide, cyclooxygenase-2 and prostaglandin E2. We further
demonstrated that transplantation of iPS cells markedly inhibited BLM-mediated
activation of TGF-beta1/Mothers against decapentaplegic homolog 2/3 (Smad2/3) and
EMT in lung tissues through up-regulating epithelial marker E-cadherin and down
regulating mesenchymal markers including fibronectin, vimentin and alpha-smooth
muscle actin. Moreover, in vitro, iPS cell-conditioned medium (iPSC-CM)
profoundly inhibited TGF-beta1-induced EMT signaling pathway in mouse alveolar
epithelial type II cells (AECII). Collectively, our results suggest that
transplantation of iPS cells could suppress inflammatory responses, TGF
beta1/Smad2/3 pathway and EMT during the progression of BLM-induced pulmonary
fibrosis, providing new useful clues regarding the mechanisms of iPS cells in the
treatment for this disease.
PMID- 27895586
TI - Weakening Impact of Excessive Human Serum Albumin (eHSA) on Cisplatin and
Etoposide Anticancer Effect in C57BL/6 Mice with Tumor and in Human NSCLC A549
Cells.
AB - Excessive human serum albumin (eHSA) impact on anticancer effects is
inconsistent. We explored the outcome of cisplatin (DDP)/etoposide (VP-16) plus
eHSA in vivo and in vitro. C57BL/6 mice with tumor were used to compare the
efficacy of DDP/VP-16 alone and DDP/VP-16+eHSA. Blood albumin was measured to
confirm whether eHSA elevate its level. Western blotting assay were used to
measure the expression of ERCC1/TOP2A in tumor tissues. Cell proliferation, mRNA,
and protein expression of ERCC1/TOP2A were also assayed to compare two groups in
A549 cells. Furthermore we evaluated eHSA impact on cell proliferation in RNAi
targeting ERCC1/TOP2A in A549 cells, respectively. eHSA reduced the anticancer
effect of DDP/VP-16 without altering albumin level, increased protein expression
of ERCC1/TOP2A, respectively in mice. Similarly, eHSA increased mRNA and proteins
expression of ERCC1/TOP2A in A549 cells. In RNAi A549 cells, however, eHSA no
longer weakened but enhanced the anticancer effect of DDP, while no longer
altered the effect of VP-16. Our findings suggested that eHSA weaken the
anticancer effect of DDP/VP-16 via up-regulating ERCC1/TOP2A expression,
respectively. Further molecular mechanism studies are warranted to investigate
whether eHSA is not conducive to lung cancer chemotherapy.
PMID- 27895588
TI - Influence of Heart Rate in Non-linear HRV Indices as a Sampling Rate Effect
Evaluated on Supine and Standing.
AB - The purpose of this study is to characterize and attenuate the influence of mean
heart rate (HR) on nonlinear heart rate variability (HRV) indices (correlation
dimension, sample, and approximate entropy) as a consequence of being the HR the
intrinsic sampling rate of HRV signal. This influence can notably alter nonlinear
HRV indices and lead to biased information regarding autonomic nervous system
(ANS) modulation. First, a simulation study was carried out to characterize the
dependence of nonlinear HRV indices on HR assuming similar ANS modulation.
Second, two HR-correction approaches were proposed: one based on regression
formulas and another one based on interpolating RR time series. Finally, standard
and HR-corrected HRV indices were studied in a body position change database. The
simulation study showed the HR-dependence of non-linear indices as a sampling
rate effect, as well as the ability of the proposed HR-corrections to attenuate
mean HR influence. Analysis in a body position changes database shows that
correlation dimension was reduced around 21% in median values in standing with
respect to supine position (p < 0.05), concomitant with a 28% increase in mean HR
(p < 0.05). After HR-correction, correlation dimension decreased around 18% in
standing with respect to supine position, being the decrease still significant.
Sample and approximate entropy showed similar trends. HR-corrected nonlinear HRV
indices could represent an improvement in their applicability as markers of ANS
modulation when mean HR changes.
PMID- 27895589
TI - Amino Acid Changes at Arginine 204 of Troponin I Result in Increased Calcium
Sensitivity of Force Development.
AB - Mutations in human cardiac troponin I (cTnI) have been associated with
restrictive, dilated, and hypertrophic cardiomyopathies. The most commonly
occurring residue on cTnI associated with familial hypertrophic cardiomyopathy
(FHC) is arginine (R), which is also the most common residue at which multiple
mutations occur. Two FHC mutations are known to occur at cTnI arginine 204, R204C
and R204H, and both are associated with poor clinical prognosis. The R204H
mutation has also been associated with restrictive cardiomyopathy (RCM). To
characterize the effects of different mutations at the same residue (R204) on the
physiological function of cTnI, six mutations at R204 (C, G, H, P, Q, W) were
investigated in skinned fiber studies. Skinned fiber studies showed that all
tested mutations at R204 caused significant increases in Ca2+ sensitivity of
force development (DeltapCa50 = 0.22-0.35) when compared to wild-type (WT) cTnI.
Investigation of the interactions between the cTnI mutants and WT cardiac
troponin C (cTnC) or WT cardiac troponin T (cTnT) showed that all the mutations
investigated, except R204G, affected either or both cTnI:cTnT and cTnI:cTnC
interactions. The R204H mutation affected both cTnI:cTnT and cTnI:cTnC
interactions while the R204C mutation affected only the cTnI:cTnC interaction.
These results suggest that different mutations at the same site on cTnI could
have varying effects on thin filament interactions. A mutation in fast skeletal
TnI (R174Q, homologous to cTnI R204Q) also significantly increased Ca2+
sensitivity of force development (DeltapCa50 = 0.16). Our studies indicate that
known cTnI mutations associated with poor prognosis (R204C and R204H) exhibit
large increases in Ca2+ sensitivity of force development. Therefore, other R204
mutations that cause similar increases in Ca2+ sensitivity are also likely to
have poor prognoses.
PMID- 27895587
TI - Vitamin D Signaling through Induction of Paneth Cell Defensins Maintains Gut
Microbiota and Improves Metabolic Disorders and Hepatic Steatosis in Animal
Models.
AB - Metabolic syndrome (MetS), characterized as obesity, insulin resistance, and non
alcoholic fatty liver diseases (NAFLD), is associated with vitamin D
insufficiency/deficiency in epidemiological studies, while the underlying
mechanism is poorly addressed. On the other hand, disorder of gut microbiota,
namely dysbiosis, is known to cause MetS and NAFLD. It is also known that
systemic inflammation blocks insulin signaling pathways, leading to insulin
resistance and glucose intolerance, which are the driving force for hepatic
steatosis. Vitamin D receptor (VDR) is highly expressed in the ileum of the small
intestine, which prompted us to test a hypothesis that vitamin D signaling may
determine the enterotype of gut microbiota through regulating the intestinal
interface. Here, we demonstrate that high-fat-diet feeding (HFD) is necessary but
not sufficient, while additional vitamin D deficiency (VDD) as a second hit is
needed, to induce robust insulin resistance and fatty liver. Under the two hits
(HFD+VDD), the Paneth cell-specific alpha-defensins including alpha-defensin 5
(DEFA5), MMP7 which activates the pro-defensins, as well as tight junction genes,
and MUC2 are all suppressed in the ileum, resulting in mucosal collapse,
increased gut permeability, dysbiosis, endotoxemia, systemic inflammation which
underlie insulin resistance and hepatic steatosis. Moreover, under the vitamin D
deficient high fat feeding (HFD+VDD), Helicobacter hepaticus, a known murine
hepatic-pathogen, is substantially amplified in the ileum, while Akkermansia
muciniphila, a beneficial symbiotic, is diminished. Likewise, the VD receptor
(VDR) knockout mice exhibit similar phenotypes, showing down regulation of alpha
defensins and MMP7 in the ileum, increased Helicobacter hepaticus and suppressed
Akkermansia muciniphila. Remarkably, oral administration of DEFA5 restored
eubiosys, showing suppression of Helicobacter hepaticus and increase of
Akkermansia muciniphila in association with resolving metabolic disorders and
fatty liver in the HFD+VDD mice. An in vitro analysis showed that DEFA5 peptide
could directly suppress Helicobacter hepaticus. Thus, the results of this study
reveal critical roles of a vitamin D/VDR axis in optimal expression of defensins
and tight junction genes in support of intestinal integrity and eubiosis to
suppress NAFLD and metabolic disorders.
PMID- 27895591
TI - Comparison in Conscious Rabbits of the Baroreceptor-Heart Rate Reflex Effects of
Chronic Treatment with Rilmenidine, Moxonidine, and Clonidine.
AB - We investigated the effects of chronic subcutaneous treatment with centrally
acting antihypertensive agents moxonidine, rilmenidine, and clonidine on the
baroreflex control of heart rate (HR) in conscious normotensive rabbits over 3
weeks. Infusions of phenylephrine and nitroprusside were performed at week 0 and
at weeks 1 and 3 of treatment to determine mean arterial pressure (MAP)-HR
baroreflex relationships. A second curve was performed after intravenous
methscopolamine to determine the sympathetic baroreflex relationship. The vagal
component of the reflex was determined by subtracting the sympathetic curve from
the intact curve. Clonidine and moxonidine (both 1 mg/kg/day), and rilmenidine (5
mg/kg/day), reduced MAP by 13 +/- 3, 15 +/- 2, and 13 +/- 2 mmHg, respectively,
but had no effect on HR over the 3-week treatment period. Whilst all three
antihypertensive agents shifted baroreflex curves to the left, parallel to the
degree of hypotension, moxonidine and rilmenidine decreased the vagal
contribution to the baroreflex by decreasing the HR range of the reflex but
moxonidine also increased sympathetic baroreflex range and sensitivity. By
contrast clonidine had little chronic effect on the cardiac baroreflex. The
present study shows that second generation agents moxonidine and rilmenidine but
not first generation agent clonidine chronically shift the balance of baroreflex
control of HR toward greater sympathetic and lesser vagal influences. These
changes if translated to hypertensive subjects, may not be particularly helpful
in view of the already reduced vagal contribution in hypertension.
PMID- 27895590
TI - Brain Functional Connectivity Is Different during Voluntary Concentric and
Eccentric Muscle Contraction.
AB - Previous studies report greater activation in the cortical motor network in
controlling eccentric contraction (EC) than concentric contraction (CC) of human
skeletal muscles despite lower activation level of the muscle associated with EC.
It is unknown, however, whether the strength of functional coupling between the
primary motor cortex (M1) and other involved areas in the brain differs as
voluntary movements are controlled by a network of regions in the primary,
secondary and association cortices. Examining fMRI-based functional connectivity
(FC) offers an opportunity to measure strength of such coupling. To address the
question, we examined functional MRI (fMRI) data acquired during EC and CC (20
contractions each with similar movement distance and speed) of the right first
dorsal interosseous (FDI) muscle in 11 young (20-32 years) and healthy
individuals and estimated FC between the M1 and a number of cortical regions in
the motor control network. The major findings from the mechanical and fMRI-based
FC analysis were that (1) no significant differences were seen in movement
distance, speed and stability between the EC and CC; (2) significantly stronger
mean FC was found for CC than EC. Our finding provides novel insights for a
better understanding of the control mechanisms underlying voluntary movements
produced by EC and CC. The finding is potentially helpful for guiding the
development of targeted sport training and/or therapeutic programs for
performance enhancement and injury prevention.
PMID- 27895592
TI - Effects of Six Months Training on Physical Capacity and Metaboreflex Activity in
Patients with Multiple Sclerosis.
AB - Patients with multiple sclerosis (MS) have an increased systemic vascular
resistance (SVR) response during the metaboreflex. It has been hypothesized that
this is the consequence of a sedentary lifestyle secondary to MS. The purpose of
this study was to discover whether a 6-month training program could reverse this
hemodynamic dysregulation. Patients were randomly assigned to one of the
following two groups: the intervention group (MSIT, n = 11), who followed an
adapted training program; and the control group (MSCTL, n = 10), who continued
with their sedentary lifestyle. Cardiovascular response during the metaboreflex
was evaluated using the post-exercise muscle ischemia (PEMI) method and during a
control exercise recovery (CER) test. The difference in hemodynamic variables
such as stroke volume (SV), cardiac output (CO), and SVR between the PEMI and the
CER tests was calculated to assess the metaboreflex response. Moreover, physical
capacity was measured during a cardiopulmonary test till exhaustion. All tests
were repeated after 3 and 6 months (T3 and T6, respectively) from the beginning
of the study. The main result was that the MSIT group substantially improved
parameters related to physical capacity (+5.31 +/- 5.12 ml.min-1/kg in maximal
oxygen uptake at T6) in comparison with the MSCTL group (-0.97 +/- 4.89 ml.min
1/kg at T6; group effect: p = 0.0004). However, none of the hemodynamic variables
changed in response to the metaboreflex activation. It was concluded that a 6
month period of adapted physical training was unable to reverse the hemodynamic
dys-regulation in response to metaboreflex activation in these patients.
PMID- 27895593
TI - Baseline Muscle Mass Is a Poor Predictor of Functional Overload-Induced Gain in
the Mouse Model.
AB - Genetic background contributes substantially to individual variability in muscle
mass. Muscle hypertrophy in response to resistance training can also vary
extensively. However, it is less clear if muscle mass at baseline is predictive
of the hypertrophic response. The aim of this study was to examine the effect of
genetic background on variability in muscle mass at baseline and in the adaptive
response of the mouse fast- and slow-twitch muscles to overload. Males of eight
laboratory mouse strains: C57BL/6J (B6, n = 17), BALB/cByJ (n = 7), DBA/2J (D2, n
= 12), B6.A-(rs3676616-D10Utsw1)/Kjn (B6.A, n = 9), C57BL/6J-Chr10A/J/NaJ
(B6.A10, n = 8), BEH+/+ (n = 11), BEH (n = 12), and DUHi (n = 12), were studied.
Compensatory growth of soleus and plantaris muscles was triggered by a 4-week
overload induced by synergist unilateral ablation. Muscle weight in the control
leg (baseline) varied from 5.2 +/- 07 mg soleus and 11.4 +/- 1.3 mg plantaris in
D2 mice to 18.0 +/- 1.7 mg soleus in DUHi and 43.7 +/- 2.6 mg plantaris in BEH (p
< 0.001 for both muscles). In addition, soleus in the B6.A10 strain was ~40%
larger (p < 0.001) compared to the B6. Functional overload increased muscle
weight, however, the extent of gain was strain-dependent for both soleus (p <
0.01) and plantaris (p < 0.02) even after accounting for the baseline
differences. For the soleus muscle, the BEH strain emerged as the least
responsive, with a 1.3-fold increase, compared to a 1.7-fold gain in the most
responsive D2 strain, and there was no difference in the gain between the B6.A10
and B6 strains. The BEH strain appeared the least responsive in the gain of
plantaris as well, 1.3-fold, compared to ~1.5-fold gain in the remaining strains.
We conclude that variation in muscle mass at baseline is not a reliable predictor
of that in the overload-induced gain. This suggests that a different set of genes
influence variability in muscle mass acquired in the process of normal
development, growth, and maintenance, and in the process of adaptive growth of
the muscle challenged by overload.
PMID- 27895594
TI - Larval Exposure to Chlorpyrifos Affects Nutritional Physiology and Induces
Genotoxicity in Silkworm Philosamia ricini (Lepidoptera: Saturniidae).
AB - Chlorpyrifos is a most widely used organophosphate insecticide because of its
cost effectiveness and degradable nature. However, this pesticide enters and
contaminates the environment either by direct application, spray drifts or crop
run off and shows adverse effect on the non-targeted organisms. Philosamia ricini
(eri silkworm), one of the most exploited, domesticated and commercialized non
mulberry silkworm is known for mass production of eri silk. The silkworm larvae
get exposed to pesticide residues on the leaves of food plants. The present study
investigates the effect of commercial formulation of chlorpyrifos (Pyrifos-20 EC)
on eri silkworm. Initially the LC50 value of chlorpyrifos was determined at 24-96
h and further experiments were carried out with sub lethal concentrations of the
chlorpyrifos after 24 h of exposure period. The potential toxicity of
chlorpyrifos was evaluated as a fuction of metabolism and nutritional physiology
in 3rd, 4th, and 5th instar larvae. Alteration in histoarchitecture of 5th instar
eri silkworm gut exposed to sub lethal concentration of chlorpyrifos formulation
was also studied. Chlorpyrifos induced genotoxicity in silkworm hemocytes was
also investigated by single cell gel electrophoresis, micronuclei assay, and
apoptosis assay. Herein, LC50 values of chlorpyrifos were calculated as 3.83,
3.35, 2.68, and 2.35 mg/L at 24, 48, 72, and 96h respectively. A significant
decrease in trehalose activity along with digestive enzyme activity was observed
in chlorpyrifos affected groups (P < 0.05). Further, genotoxicity study revealed
higher tail percentage, tail length and tail moment of the damage DNA in
chlorpyrifos exposed groups (P < 0.001). Moreover, at 2.0 mg/L concentration, ~10
fold increases in tail length was observed as compared to the control. Results
showed activation of caspase activity following 24 h chlorpyrifos exposure (1.5
and 2.0 mg/L) in a dose-dependent manner. Moreover, in control group less number
of apoptotic cells was detected, however in both chlorpyrifos exposed groups'
numbers of apoptotic cells were statistically higher (P < 0.001). Taken together,
this study provides evidence that chlorpyrifos pollution might have adverse
effect on overall nutritional physiology and genotoxicity of eri silkworm that
could lead to reduced survivability of this economically beneficial insect.
PMID- 27895596
TI - Reciprocal Modulation of IK1-INa Extends Excitability in Cardiac Ventricular
Cells.
AB - The inwardly rectifying potassium current (IK1) and the fast inward sodium
current (INa) are reciprocally modulated in mammalian ventricular myocytes. An
increase in the expression of channels responsible for one of these two currents
results in a corresponding increase in expression of the other. These currents
are critical in the propagation of action potentials (AP) during the normal
functioning of the heart. This study identifies a physiological role for IK1-INa
reciprocal modulation in ventricular fiber activation thresholds and conduction.
Simulations of action potentials in single cells and propagating APs in cardiac
fibers were carried out using an existing model of electrical activity in cardiac
ventricular myocytes. The conductances, GK1, of the inwardly rectifying potassium
current, and GNa, of the fast inward sodium current were modified independently
and in tandem to simulate reciprocal modulation. In single cells, independent
modulation of GK1 alone resulted in changes in activation thresholds that were
qualitatively similar to those for reciprocal GK1-GNa modulation and unlike those
due to independent modulation of GNa alone, indicating that GK1 determines the
cellular activation threshold. On the other hand, the variations in conduction
velocity in cardiac cell fibers were similar for independent GNa modulation and
for tandem changes in GK1-GNa, suggesting that GNa is primarily responsible for
setting tissue AP conduction velocity. Conduction velocity dependence on GK1-GNa
is significantly affected by the intercellular gap junction conductance. While
the effects on the passive fiber space constant due to changes in both GK1 and
the intercellular gap junction conductance, Ggj, were in line with linear cable
theory predictions, both conductances had surprisingly large effects on fiber
activation thresholds. Independent modulation of GK1 rendered cardiac fibers
inexcitable at higher levels of GK1 whereas tandem GK1-GNa changes allowed fibers
to remain excitable at high GK1 values. Reciprocal modulation of the inwardly
rectifying potassium current and the fast inward sodium current may have a
functional role in allowing cardiac tissue to remain excitable when IK1 is
upregulated.
PMID- 27895595
TI - Moving from Histopathology to Molecular Tools in the Diagnosis of Molluscs
Diseases of Concern under EU Legislation.
AB - One of the main factors limiting molluscs production is the presence of pathogens
and diseases. Disease agent transfer via transfers of live molluscs has been a
major cause of disease outbreaks and epizootics. Because of that, the European
Union has adopted several decisions and directives, the last in 2006 (2006/88/EC)
to control movements of marine organisms over the European countries. Once the
disease is established in a determined area its eradication is a complicated task
because life cycle of pathogens are not completely known and only a good and
early diagnosis of the disease could be the most appropriate way to deal with it.
Besides, molluscs do not have an adaptive immune response and vaccination
strategies are not possible. Molluscs listed diseases under EU legislation are
mainly protozoan parasites, that's why histological techniques are recognized for
their diagnosis. However, molecular techniques are being increasingly used
primarily as confirmatory techniques of the presence of the pathogens but also in
disease monitoring programs. Research perspectives are mainly focussed in the
optimization, of the already described techniques to gain in sensitivity and
sensibility and in the development of new molecular biology techniques
(quantitative real time PCRs), that are faster and easier to apply and that allow
a positive diagnosis even in early stages of infection. However, molecular tools
detect DNA sequences of the pathogen which does not imply that pathogen is viable
in the cell host and the infection is established. Consequently, it needs to be
validated against other techniques, such as histology or in situ hybridization,
so that its reliability can be determined.
PMID- 27895597
TI - Corrigendum: Pacemaking Property of RVLM Presympathetic Neurons.
AB - [This corrects the article on p. 424 in vol. 7, PMID: 27713705.].
PMID- 27895598
TI - Pharmacotherapy for Alcohol Use Disorders: Physicians' Perceptions and Practices.
AB - BACKGROUND AND OBJECTIVES: Alcohol use disorders (AUDs) are an important cause of
morbidity and mortality. Despite the National Institute on Alcohol Abuse and
Alcoholism (NIAAA) recommendations that medications be considered for patients
with alcohol dependence, the mainstay of treatment has been counseling. We
designed a survey to assess the treatment practices of psychiatrists and family
medicine (FM) physicians in an effort to identify barriers to the use of
pharmacotherapy and develop strategies to increase physician knowledge and
utilization of these medications. METHODS: An anonymous online survey was sent to
FM physicians and psychiatrists nationwide. The survey collected demographic
information and assessed prescription of medications in treating AUDs, including
FDA-approved medications and other medications used off-label for this purpose.
We also examined factors that would lead to an increase in AUDs pharmacotherapy.
RESULTS: A total of 491 surveys were completed, with 475 responses included in
the final analyses. 45.5% of participants were psychiatrists vs. 54.5% FM
physicians. The 74.7% respondents had used medications to treat AUDs, with
psychiatrists more likely to have prescribed acamprosate, naltrexone, and several
off-label medications. FM physicians were more likely to report efficacy
concerns. A majority of all physicians sampled would increase pharmacotherapy of
AUDs with increased training. DISCUSSION: In our sample, most physicians have
used medications to treat AUDs. There were concerns about efficacy with all non
FDA-approved medications, but limited treatment success even with FDA-approved
medications. Greater education about pharmacotherapy, including predictors for
treatment response amongst patients, should help alleviate some of the
uncertainties reported with medications' efficacy and lead to a more
individualized treatment approach.
PMID- 27895600
TI - The Implementation of Bring Your Own Device (BYOD) in Primary [Elementary]
Schools.
PMID- 27895599
TI - Task Inhibition and Response Inhibition in Older vs. Younger Adults: A Diffusion
Model Analysis.
AB - Differences in inhibitory ability between older (64-79 years, N = 24) and younger
adults (18-26 years, N = 24) were investigated using a diffusion model analysis.
Participants performed a task-switching paradigm that allows assessing n-2 task
repetition costs, reflecting inhibitory control on the level of tasks, as well as
n-1 response-repetition costs, reflecting inhibitory control on the level of
responses. N-2 task repetition costs were of similar size in both age groups.
Diffusion model analysis revealed that for both younger and older adults, drift
rate parameters were smaller in the inhibition condition relative to the control
condition, consistent with the idea that persisting task inhibition slows down
response selection. Moreover, there was preliminary evidence for task inhibition
effects in threshold separation and non-decision time in the older, but not the
younger adults, suggesting that older adults might apply different strategies
when dealing with persisting task inhibition. N-1 response-repetition costs in
mean RT were larger in older than younger adults, but in mean error rates tended
to be larger in younger than older adults. Diffusion-model analysis revealed
longer non-decision times in response repetitions than response switches in both
age groups, consistent with the idea that motor processes take longer in response
repetitions than response switches due to persisting response inhibition of a
previously executed response. The data also revealed age-related differences in
overall performance: Older adults responded more slowly and more accurately than
young adults, which was reflected by a higher threshold separation parameter in
diffusion model analysis. Moreover, older adults showed larger non-decision times
and higher variability in non-decision time than young adults, possibly
reflecting slower and more variable motor processes. In contrast, overall drift
rate did not differ between older and younger adults. Taken together, diffusion
model analysis revealed differences in overall performance between the age
groups, as well as preliminary evidence for age differences in dealing with task
inhibition, but no evidence for an inhibitory deficit in older age.
PMID- 27895601
TI - The Role of Psychological Capital and Intragroup Conflict on Employees' Burnout
and Quality of Service: A Multilevel Approach.
AB - Previous studies have found a negative association between intragroup conflict
and both employees' health and performance, including the quality of service that
employees provide. However, some authors have indicated that such negative
effects of intragroup conflict depend on how conflict is managed. In addition, at
individual level, research is increasingly emphasizing the role of psychological
strengths (i.e., psychological capital) as predictors of health and performance.
Thus, this research addresses both a main effect at individual level
(psychological capital on burnout/quality of service) and a moderated cross-level
model (2-2-1: intragroup conflict, conflict management climate and
burnout/quality of service) in a cross-sectional survey study (N = 798 workers
nested in 55 units/facilities). Results revealed a main effect of psychological
capital on both burnout (r = -0.50) and quality of service (r = 0.28). Also,
there was an association between intragroup relationship conflict and burnout (r
= 0.33). Finally, there was an interaction effect in which conflict management
climate buffers the negative association between intragroup conflict and quality
of service. Practical implications of these results for developing positive and
healthy organizations that prevent potential psychosocial risks at group level
while promote individual strengths are discussed.
PMID- 27895603
TI - Neighborhood Deprivation Negatively Impacts Children's Prosocial Behavior.
AB - Children show stronger cooperative behavior in experimental settings as they get
older, but little is known about how the environment of a child shapes this
development. In adults, prosocial behavior toward strangers is markedly decreased
in low socio-economic status (SES) neighborhoods, suggesting that environmental
harshness has a negative impact on some prosocial behaviors. Similar results have
been obtained with 9-year-olds recruited from low vs. high SES schools. In the
current study, we investigate whether these findings generalize to a younger age
group and a developing country. Specifically, we worked with a sample of thirty
nine 6- to 7-year-olds in two neighborhoods in a single city in Romania. Using a
"Quality Dictator Game" that offers greater resolution than previous measures, we
find that children living in the harsher neighborhood behave less prosocially
toward a stranger than children living in the less harsh neighborhood.
PMID- 27895602
TI - Motivational and Behavioral Activation as an Adjunct to Psychiatric
Rehabilitation for Mild to Moderate Negative Symptoms in Individuals with
Schizophrenia: A Proof-of-Concept Pilot Study.
AB - Few psychosocial approaches address the negative symptoms of schizophrenia, which
shares common features with depression and anxiety. Behavioral activation (BA) is
effective for addressing depression and anxiety in adults with various mental
disorders. Motivational interviewing (MI) has been successfully applied to
address ambivalence or lack of motivation toward treatment. Motivational and
behavioral activation (mBA) has been developed by incorporating the core
principles from BA and MI with recent findings on the negative symptoms of
schizophrenia. In this study, we aimed to examine the feasibility and preliminary
efficacy of mBA in a non-randomized controlled pilot study that included
individuals with schizophrenia with mild to moderate negative symptoms receiving
psychiatric rehabilitation. A total of 73 individuals with schizophrenia were
recruited. Forty-seven of the participants who met the study inclusion and
exclusion criteria were assigned to either an mBA + usual psychiatric
rehabilitation group (mBA) or a usual psychiatric rehabilitation only group
(treatment as usual, TAU). Administering mBA to individuals with schizophrenia
with mild to moderate negative symptoms was feasible in a community mental health
setting. Relative to TAU, mBA was associated with large effects in reducing
negative symptoms measured using the Positive and Negative Syndrome Scale (PANSS)
and the Brief Negative Symptom Scale (BNSS). However, after considering PANSS
cognitive deficits and marital status as covariates due to significant
differences in their baseline levels, the treatment effects on the BNSS were
partially observed. In addition, participants in the mBA group showed improved
verbal learning and memory compared with those in the TAU group. In individuals
with schizophrenia receiving the usual forms of psychiatric rehabilitation in a
community mental health setting, mBA appears to offer a promising adjunctive
approach for addressing mild to moderate negative symptoms. Further
investigations are needed to replicate the current findings in a randomized
controlled trial.
PMID- 27895604
TI - Virtue Existential Career Model: A Dialectic and Integrative Approach Echoing
Eastern Philosophy.
AB - Our Virtue Existential Career (VEC) model aims at complementing western modernism
and postmodernism career theories with eastern philosophy. With dialectical
philosophy and virtue-practice derived from the Classic of Changes, the VEC
theoretical foundation incorporates merits from Holland typology, Minnesota
Theory of Work Adjustment, Social Cognitive Career Theory, Meaning Therapy,
Narrative Approach Career Counseling, and Happenstance Learning Theory. While
modernism considers a matched job as an ideal career vision and prefers rational
strategies (controlling and realizing) to achieve job security; postmodernism
prefers appreciating and adapting strategies toward openness and appreciates
multiple possible selves and occupations, our model pursues a blending of
security and openness via controlling-and-realizing and appreciating-and-adapting
interwoven with each other in a dialectical and harmonious way. Our VEC
counseling prototype aims at a secular goal of living on the earth with ways and
harmony () and an ultimate end to spiral up to the wisdom of living up to the way
of heaven () with mind and virtue (). A VEC counseling process of five major
career strategies, metaphorical stories of qian and kun, and experiential
activities are developed to deliver VEC concepts. The VEC model and prototype
presented in this research is the product of an action research following Lewin's
(1946) top-to-down model. Situated structure analyses were conducted to further
investigate the adequacy of this version of VEC model and prototype. Data from
two groups (one for stranded college graduates and the other for growing college
students) revealed empirical supports. Yang type of career praxes tends to induce
actualization, which resulting in realistic goals and concrete action plans; yin
type of career praxes tends to increase self-efficacy, which resulting in
positive attitude toward current situatedness and future development. Acceptance
and dialectic thinking often result from yin-yang-blending career praxes. Growing
developers benefit from a strategy sequence of yang-yin-synthesized; stranded
developers from a strategy sequence of yin-yang-synthesized. Our contributions
and limitations are discussed in the context of developing indigenous career
theories and practices for a globalized and ever-changing world.
PMID- 27895605
TI - Social Cognition in Preschoolers: Effects of Early Experience and Individual
Differences.
AB - Social cognition is the way in which people process, remember, and use
information in social contexts to explain and predict their own behavior and that
of others. Children's social cognition may be influenced by multiple factors,
both external and internal to the child. In the current study, two aspects of
social cognition were examined: Theory of Mind and Emotion Understanding. The aim
of this study was to analyze the effects of type of early care (0-3 years of
age), maternal education, parents' country of birth, and child's language on the
social cognition of 118 Italian preschoolers. To our knowledge, the joint effect
of these variables on social cognition has not previously been investigated in
the literature. The measures used to collect social cognition and linguistic data
were not parent- or teacher-reports, but based on direct assessment of the
children through two standardized tests, the Test of Emotion Comprehension and
the ToM Storybooks. Relationships among the variables showed a complex pattern.
Overall, maternal education and linguistic competence showed a systematic effect
on social cognition; the linguistic competence mediated the effect of maternal
education. In children who had experienced centre-base care in the first 3 years
of life, the effect of maternal education disappeared, supporting the protective
role of centre-base care for children with less educated mothers. The children
with native and foreign parents did not significantly differ on the social
cognition tasks. Limits of the study, possible educational outcomes and future
research lines were discussed.
PMID- 27895607
TI - Caffeine Enhances Memory Performance in Young Adults during Their Non-optimal
Time of Day.
AB - Many college students struggle to perform well on exams in the early morning.
Although students drink caffeinated beverages to feel more awake, it is unclear
whether these actually improve performance. After consuming coffee (caffeinated
or decaffeinated), college-age adults completed implicit and explicit memory
tasks in the early morning and late afternoon (Experiment 1). During the morning,
participants ingesting caffeine demonstrated a striking improvement in explicit
memory, but not implicit memory. Caffeine did not alter memory performance in the
afternoon. In Experiment 2, participants engaged in cardiovascular exercise in
order to examine whether increases in physiological arousal similarly improved
memory. Despite clear increases in physiological arousal, exercise did not
improve memory performance compared to a stretching control condition. These
results suggest that caffeine has a specific benefit for memory during students'
non-optimal time of day - early morning. These findings have real-world
implications for students taking morning exams.
PMID- 27895606
TI - Being in a Romantic Relationship Is Associated with Reduced Gray Matter Density
in Striatum and Increased Subjective Happiness.
AB - Romantic relationship, a widespread feature of human society, is one of the most
influential factors in daily life. Although stimuli related to romantic love or
being in a romantic relationship commonly result in enhancement of activation or
functional connectivity of the reward system, including the striatum, the
structure underlying romantic relationship-related regions remain unclear.
Because individual experiences can alter gray matter within the adult human
brain, we hypothesized that romantic relationship is associated with structural
differences in the striatum related to the positive subjective experience of
being in a romantic relationship. Because intimate romantic relationships
contribute to perceived subjective happiness, this subjective enhancement of
happiness might be accompanied by the experience of positive events related to
being in a romantic relationship. To test this hypothesis and elucidate the
structure involved, we compared subjective happiness, an indirect measure of the
existence of positive experiences caused by being in a romantic relationship, of
participants with or without romantic partners (N = 68). Furthermore, we also
conducted a voxel-based morphometry study of the effects of being in a romantic
relationship (N = 113). Being in a romantic relationship was associated with
greater subjective happiness and reduced gray matter density within the right
dorsal striatum. These results suggest that being in a romantic relationship
enhances perceived subjective happiness via positive experiences. Furthermore,
the observed reduction in gray matter density in the right dorsal striatum may
reflect an increase in saliency of social reward within a romantic relationship.
Thus, being in a romantic relationship is associated with positive experiences
and a reduction of gray matter density in the right dorsal striatum, representing
a modulation of social reward.
PMID- 27895609
TI - To Fake or Not to Fake: Antecedents to Interview Faking, Warning Instructions,
and Its Impact on Applicant Reactions.
AB - In the present study, we examined the antecedents and processes that impact job
interviewees' decisions to engage in deceptive impression management (i.e.,
interview faking). Willingness and capacity to engage in faking were found to be
the processes underlying the decision to use deceptive impression management in
the interview. We also examined a personality antecedent to this behavior,
Honesty-Humility, which was negatively related to the use of deceptive impression
management through increased willingness to engage in these behaviors. We also
tested a possible intervention to reduce IM. In particular, we found that
warnings against faking - specifically, an identification warning - reduced both
the perceived capacity to engage in interview faking, and subsequent use of
several faking behaviors. Moreover, this warning reduced faking without adversely
impacting applicant reactions.
PMID- 27895610
TI - The Origin of Social Evaluation, Social Eavesdropping, Reputation Formation,
Image Scoring or What You Will.
AB - Social evaluation is a mental process that leverages the preference toward
prosocial partners (positivity bias) against the avoidance of antisocial
individuals (negativity bias) in a cooperative context. The phenomenon is well
known in humans, and recently comparative investigations looked at the possible
evolutionary origins. So far social evaluation has been investigated mainly in
non-human and human primates and dogs, however, there are few data on the
presence of negativity/positivity bias in client-cleaner reef fish interactions
as well. Unfortunately, the comparative approach to social evaluation is hindered
by conceptual and procedural differences in experimental studies. By reviewing
current knowledge on social evaluation in different species, we aim to point out
that the capacity for social evaluation is not restricted to humans alone;
however, its building blocks (negativity and positivity bias) may be more
widespread separately. Due to its importance in survival, negativity bias likely
to be widespread among animals; however, there has been less intensive selective
pressure for the identification of prosocial companions, thus the latter ability
may have emerged only in certain social species. We present a general framework
and argue that negativity and positivity bias evolve independently and can be
considered as social evaluation only if a unified behavior and cognitive system
deals with both biases in concert.
PMID- 27895611
TI - Instructional Changes Adopted for an Engineering Course: Cluster Analysis on
Academic Failure.
AB - As first year students come from diverse backgrounds, basic skills should be
accessible to everyone as soon as possible. Transferring such skills to these
students is challenging, especially in highly technical courses. Ensuring that
essential knowledge is acquired quickly promotes the student's self-esteem and
may positively influence failure rates. Metaphors can help do this. Metaphors are
used to understand the unknown. This paper shows how we made a turn in student
learning at the University of Almeria. Our hypothesis assumed that metaphors
accelerate the acquisition of basic knowledge so that other skills built on that
foundation are easily learned. With these goals in mind, we changed the way we
teach by using metaphors and abstract concepts in a computer organization course,
a technical course in the first year of an information technology engineering
degree. Cluster analysis of the data on collective student performance after this
methodological change clearly identified two distinct groups. These two groups
perfectly matched the "before and after" scenarios of the use of metaphors. The
study was conducted during 11 academic years (2002/2003 to 2012/2013). The 475
observations made during this period illustrate the usefulness of this change in
teaching and learning, shifting from a propositional teaching/learning model to a
more dynamic model based on metaphors and abstractions. Data covering the whole
period showed favorable evolution of student achievement and reduced failure
rates, not only in this course, but also in many of the following more advanced
courses. The paper is structured in five sections. The first gives an
introduction, the second describes the methodology. The third section describes
the sample and the study carried out. The fourth section presents the results
and, finally, the fifth section discusses the main conclusions.
PMID- 27895608
TI - Genetic Consideration of Schizotypal Traits: A Review.
AB - Schizotypal traits are of interest and importance in their own right and also
have theoretical and clinical associations with schizophrenia. These traits
comprise attenuated psychotic symptoms, social withdrawal, reduced cognitive
capacity, and affective dysregulation. The link between schizotypal traits and
psychotic disorders has long since been debated. The status of knowledge at this
point is such schizotypal traits are a risk for psychotic disorders, but in and
of themselves only confer liability, with other risk factors needing to be
present before a transition to psychosis occurs. Investigation of schizotypal
traits also has the possibility to inform clinical and research pursuits
concerning those who do not make a transition to psychotic disorders. A growing
body of literature has investigated the genetic underpinnings of schizotypal
traits. Here, we review association, family studies and describe genetic
disorders where the expression of schizotypal traits has been investigated. We
conducted a thorough review of the existing literature, with multiple search
engines, references, and linked articles being searched for relevance to the
current review. All articles and book chapters in English were sourced and
reviewed for inclusion. Family studies demonstrate that schizotypal traits are
elevated with increasing genetic proximity to schizophrenia and some chromosomal
regions have been associated with schizotypy. Genes associated with schizophrenia
have provided the initial start point for the investigation of candidate genes
for schizotypal traits; neurobiological pathways of significance have guided
selection of genes of interest. Given the chromosomal regions associated with
schizophrenia, some genetic disorders have also considered the expression of
schizotypal traits. Genetic disorders considered all comprise a profile of
cognitive deficits and over representation of psychotic disorders compared to the
general population. We conclude that genetic variations associated with
schizotypal traits require further investigation, perhaps with targeted
phenotypes narrowed to assist in refining the clinical end point of significance.
PMID- 27895613
TI - Editorial: Beyond Reward: Insights from Love and Addiction.
PMID- 27895612
TI - Forgiveness from Emotion Fit: Emotional Frame, Consumer Emotion, and Feeling
Right in Consumer Decision to Forgive.
AB - Three studies examine an emotion fit effect in the crisis communication, namely,
the interaction between emotional frames of guilt and shame and consumer emotions
of anger and fear on consumer forgiveness. Guilt-framing communication results in
higher forgiveness than shame-framing for angry consumers, whereas shame-framing
communication results in higher forgiveness than guilt-framing for fearful
consumers. These effects are driven by consumers' accessible regulatory foci
associated with anger/fear and guilt/shame. Specifically, feelings of anger
activate a promotion focus that is represented by guilt frames, while feelings of
fear activate a prevention focus that is enacted by shame frames. Compared with
emotion non-fit (i.e., anger to shame and fear to guilt), emotion fit (i.e.,
anger to guilt and fear to shame) facilitates greater feeling-right and consumer
forgiveness. The findings offer novel insights for extant literature on emotion,
crisis communication, and regulatory focus theory, as well as practical
suggestions regarding the emotional frames.
PMID- 27895614
TI - Mimological Reveries? Disconfirming the Hypothesis of Phono-Emotional Iconicity
in Poetry.
AB - The present study retested previously reported empirical evidence suggesting an
iconic relation between sound and emotional meaning in poetry. To this end, we
analyzed the frequency of certain phoneme classes in 48 German poems and
correlated them with ratings for emotional classification. Our analyses provide
evidence for a link between the emotional classification of poems (joyful vs.
sad) and the perception of tonal contrast as reflected in the attribution of
phenomenological sound qualia (bright vs. dark). However, we could not confirm
any of the previous hypotheses and findings regarding either a connection between
the frequencies of occurrence of specific vowel classes and the perception of
tonal contrast, or a relation between the frequencies of occurrence of consonant
classes and emotional classification.
PMID- 27895615
TI - The Intersubjective Nature of Play Development and Its Role in Child
Psychoanalytic Psychotherapy.
PMID- 27895616
TI - Cognitive Style: Time to Experiment.
PMID- 27895619
TI - A Functional Magnetic Resonance Imaging Study of Head Movements in Cervical
Dystonia.
AB - Cervical dystonia (CD) is a neurological disorder characterized by abnormal
movements and postures of the head. The brain regions responsible for these
abnormal movements are not well understood, because most imaging techniques for
assessing regional brain activity cannot be used when the head is moving.
Recently, we mapped brain activation in healthy individuals using functional
magnetic resonance imaging during isometric head rotation, when muscle
contractions occur without actual head movements. In the current study, we used
the same methods to explore the neural substrates for head movements in subjects
with CD who had predominantly rotational abnormalities (torticollis). Isometric
wrist extension was examined for comparison. Electromyography of neck and hand
muscles ensured compliance with tasks during scanning, and any head motion was
measured and corrected. Data were analyzed in three steps. First, we conducted
within-group analyses to examine task-related activation patterns separately in
subjects with CD and in healthy controls. Next, we directly compared task-related
activation patterns between participants with CD and controls. Finally,
considering that the abnormal head movements in CD occur in a consistently
patterned direction for each individual, we conducted exploratory analyses that
involved normalizing data according to the direction of rotational CD. The
between-group comparisons failed to reveal any significant differences, but the
normalization procedure in subjects with CD revealed that isometric head rotation
in the direction of dystonic head rotation was associated with more activation in
the ipsilateral anterior cerebellum, whereas isometric head rotation in the
opposite direction was associated with more activity in sensorimotor cortex.
These findings suggest that the cerebellum contributes to abnormal head rotation
in CD, whereas regions in the cerebral cortex are involved in opposing the
involuntary movements.
PMID- 27895618
TI - Cyclooxygenase-1 as a Potential Therapeutic Target for Seizure Suppression:
Evidences from Zebrafish Pentylenetetrazole-Seizure Model.
AB - Cyclooxygenases (COX)-1 and -2 are isoenzymes that catalyze the conversion of
arachidonic acid into prostaglandins (PGs). COX-2 and PGs are rapidly increased
following seizures and are known to play important roles in the neuroinflammatory
process. COX-2 isoform has been predominantly explored as the most suitable
target for pharmacological intervention in epilepsy studies, while COX-1 remains
poorly investigated. In the present study, we evaluated the effects of selective
COX-1 inhibitor or selective COX-2 inhibitor on seizure suppression in the
zebrafish pentylenetetrazole (PTZ)-seizure model. Zebrafish larvae were incubated
in 5 MUM of SC-236 for 24 h or 2.8 MUM of SC-560 for 30 min, followed by exposure
to 15 mM PTZ for 60 min. Real-time quantitative PCR analysis was carried out to
investigate transcription levels of cox1 (ptgs1), as well as to determine cfos
levels, used as a marker for neuronal activity. Effects of selective COX-2 or COX
1 inhibitors on locomotor activity response (velocity and distance moved) during
PTZ exposure were evaluated using the Danio Vision video-tracking system. Our
results showed an inducible expression of the cox1 gene after 60 min of PTZ
exposure. Cox1 mRNA levels were upregulated compared with the control group. We
found that COX-2 inhibition treatment had no effect on zebrafish PTZ-induced
seizures. On the other hand, COX-1 inhibition significantly attenuated PTZ
induced increase of locomotor activity and reduced the c-fos mRNA expression.
These findings suggest that COX-1 inhibition rather than COX-2 has positive
effects on seizure suppression in the zebrafish PTZ-seizure model.
PMID- 27895617
TI - Understanding the NG2 Glial Scar after Spinal Cord Injury.
AB - NG2 cells, also known as oligodendrocyte progenitor cells, are located throughout
the central nervous system and serve as a pool of progenitors to differentiate
into oligodendrocytes. In response to spinal cord injury (SCI), NG2 cells
increase their proliferation and differentiation into remyelinating
oligodendrocytes. While astrocytes are typically associated with being the major
cell type in the glial scar, many NG2 cells also accumulate within the glial scar
but their function remains poorly understood. Similar to astrocytes, these cells
hypertrophy, upregulate expression of chondroitin sulfate proteoglycans, inhibit
axon regeneration, contribute to the glial-fibrotic scar border, and some even
differentiate into astrocytes. Whether NG2 cells also have a role in other
astrocyte functions, such as preventing the spread of infiltrating leukocytes and
expression of inflammatory cytokines, is not yet known. Thus, NG2 cells are not
only important for remyelination after SCI but are also a major component of the
glial scar with functions that overlap with astrocytes in this region. In this
review, we describe the signaling pathways important for the proliferation and
differentiation of NG2 cells, as well as the role of NG2 cells in scar formation
and tissue repair.
PMID- 27895621
TI - Immune Modulatory Effects of Human Chorionic Gonadotropin on Dendritic Cells
Supporting Fetal Survival in Murine Pregnancy.
AB - Dendritic cells (DCs) are critically involved in the determination of immunity
vs. tolerance. Hence, DCs are key regulators of immune responses either favoring
or disfavoring fetal survival. Several factors were proposed to modulate DC
phenotype and function during pregnancy. Here, we studied whether the pregnancy
hormone human chorionic gonadotropin (hCG) is involved in DC regulation. In
vitro, bone marrow-derived DCs (BMDCs) were stimulated in the presence or absence
of urine-purified or recombinant hCG (rhCG) preparations. Subsequently, BMDC
maturation was assessed. Cytokine secretion of activated BMDCs and their
capability to enforce TH1, TH2, TH17, or Treg cell differentiation was determined
after rhCG treatment. Moreover, the in vivo potential of hCG-modulated BMDCs to
influence pregnancy outcome, Treg cell number, and local cytokine expression was
evaluated after adoptive transfer in a murine abortion-prone model before and
after conception. Both hCG preparations impaired the maturation process of BMDCs.
rhCG treatment did neither alter cytokine secretion by BMDCs nor their ability to
drive TH1, TH2, or TH17 differentiation. rhCG-treated BMDCs augmented the number
of Treg cells within the T cell population. Adoptive transfer of rhCG-treated
BMDCs after conception did not influence pregnancy outcome. However, transfer of
hCG-treated BMDCs prior to mating had a protective effect on pregnancy. This
positive effect was accompanied by increased Treg cell numbers and decidual IL-10
and TGF-beta expression. Our results unveil the importance of hCG in retaining
DCs in a tolerogenic state, thereby promoting Treg cell increment and supporting
fetal survival.
PMID- 27895620
TI - Excessive Cytosolic DNA Fragments as a Potential Trigger of Graves' Disease: An
Encrypted Message Sent by Animal Models.
AB - Graves' hyperthyroidism is caused by autoantibodies directed against the thyroid
stimulating hormone receptor (TSHR) that mimic the action of TSH. The
establishment of Graves' hyperthyroidism in experimental animals has proven to be
an important approach to dissect the mechanisms of self-tolerance breakdown that
lead to the production of thyroid-stimulating TSHR autoantibodies (TSAbs).
"Shimojo's model" was the first successful Graves' animal model, wherein
immunization with fibroblasts cells expressing TSHR and a major
histocompatibility complex (MHC) class II molecule, but not either alone, induced
TSAb production in AKR/N (H-2k) mice. This model highlights the importance of
coincident MHC class II expression on TSHR-expressing cells in the development of
Graves' hyperthyroidism. These data are also in agreement with the observation
that Graves' thyrocytes often aberrantly express MHC class II antigens via
mechanisms that remain unclear. Our group demonstrated that cytosolic self
genomic DNA fragments derived from sterile injured cells can induce aberrant MHC
class II expression and production of multiple inflammatory cytokines and
chemokines in thyrocytes in vitro, suggesting that severe cell injury may
initiate immune responses in a way that is relevant to thyroid autoimmunity
mediated by cytosolic DNA signaling. Furthermore, more recent successful Graves'
animal models were primarily established by immunizing mice with TSHR-expressing
plasmids or adenovirus. In these models, double-stranded DNA vaccine contents
presumably exert similar immune-activating effect in cells at inoculation sites
and thus might pave the way toward successful Graves' animal models. This review
focuses on evidence suggesting that cell injury-derived self-DNA fragments could
act as Graves' disease triggers.
PMID- 27895622
TI - Serum Zn/Cu Ratio Is Associated with Renal Function, Glycemic Control, and
Metabolic Parameters in Japanese Patients with and without Type 2 Diabetes: A
Cross-sectional Study.
AB - BACKGROUND: Zinc (Zn) and copper (Cu) may play a pivotal role in the pathogenesis
of diabetes and diabetic complications by mediating oxidative stress. Both Zn
deficiency and excess of Cu are associated with an increased risk of type 2
diabetes and cardiovascular disease. We aimed to investigate the relationships
between serum Zn/Cu ratio and glycemic status, renal function, and metabolic
parameters in patients with and without type 2 diabetes. METHODS: We conducted a
cross-sectional study on 355 subjects (149 type 2 diabetic and 206 non-diabetic)
in whom serum Zn and Cu levels were measured at the same time. Associations
between serum Zn/Cu ratio and clinical data were evaluated using multiple
regression analysis. We also evaluated associations between serum Zn/Cu ratio and
the prevalence of type 2 diabetes and glycemic control by multivariate logistic
regression analysis. RESULTS: Serum Zn/Cu ratio was positively associated with
estimated glomerular filtration rate after adjustment for body mass index (BMI)
(beta = 0.137, p = 0.014). Plasma B-type natriuretic peptide levels were
negatively associated with serum Zn/Cu ratio after adjustment for age, sex, and
BMI (beta = -0.258, p = 0.032). In patients with type 2 diabetes, serum Zn/Cu
ratio was negatively associated with plasma HbA1c levels after adjustment for
age, sex, and BMI (beta = -0.239, p = 0.003). In addition, multivariate logistic
regression analysis revealed that the highest quartile of serum Zn/Cu ratio was
associated with a reduced risk of poor (HbA1c >= 7%) glycemic control (odds ratio
= 0.382; 95% confidence interval, 0.165-0.884; p = 0.025) in patients with type 2
diabetes. CONCLUSION: Serum Zn/Cu ratio was favorably associated with renal
function in all subjects and glycemic control in patients with type 2 diabetes.
The Zn/Cu ratio, in addition to the individual serum levels of trace elements, is
important for metabolism in humans.
PMID- 27895624
TI - Visualizing Evolutionary Relationships of Multidomain Proteins: An Example from
Receiver (REC) Domains of Sensor Histidine Kinases in the Candidatus
Maribeggiatoa str. Orange Guaymas Draft Genome.
AB - For multidomain proteins, evolutionary changes may occur at the domain as well as
the whole-protein level. An example is presented here, with suggestions for how
such complicated relationships might be visualized. Earlier analysis of the
Candidatus Maribeggiatoa str. Orange Guaymas (BOGUAY; Gammaproteobacteria) single
filament draft genome found evidence of gene exchange with the phylogenetically
distant Cyanobacteria, particularly for sensory and signal transduction proteins.
Because these are modular proteins, known to undergo frequent duplication, domain
swapping, and horizontal gene transfer, a single domain was chosen for analysis.
Recognition (REC) domains are short (~125 amino acids) and well conserved,
simplifying sequence alignments and phylogenetic calculations. Over 100 of these
were identified in the BOGUAY genome and found to have a wide range of inferred
phylogenetic relationships. Two sets were chosen here for detailed study. One set
of four BOGUAY ORFs has closest relatives among other Beggiatoaceae and
Cyanobacteria. A second set of four has REC domains with more mixed affiliations,
including other Beggiatoaceae, several sulfate-reducing Deltaproteobacteria and
Firmicutes, magnetotactic Nitrospirae, one Shewanella and one Ferrimonas strain
(both Gammaproteobacteria), and numerous Vibrio vulnificus and V. navarrensis
strains (also Gammaproteobacteria). For an overview of the possible origins of
the whole proteins and the surrounding genomic regions, color-coded BLASTP
results were produced and displayed against cartoons showing protein domain
structure of predicted genes. This is suggested as a visualization method for
investigation of possible horizontally transferred regions, giving more detail
than scans of DNA composition and codon usage but much faster than carrying out
full phylogenetic analyses for multiple proteins. As expected, most of the
predicted sensor histidine kinases investigated have two or more segments with
distinct BLASTP affiliations. For the first set of BOGUAY ORFs, the flanking
regions were also examined, and the results suggest they are embedded in genomic
stretches with complex histories. An automated method of creating such
visualizations could be generally useful; a wish list for its features is given.
PMID- 27895623
TI - Molecular Approaches to Screen Bioactive Compounds from Endophytic Fungi.
AB - Endophytic fungi are capable of producing plant associated metabolites and their
analogs with therapeutic value. In order to identify the potential endophytic
isolates producing bioactive compounds, one need to screen all isolated
endophytes, which may run into hundreds. Isolation of endophytic fungi is
relatively a simple process; but screening of the isolated fungi for required
metabolite production is a cumbersome process. Endophytic fungi producing plant
associated metabolites may contain genes involved in the entire biosynthetic
pathway(s). Therefore, ascertaining the presence of key enzymes of a particular
biosynthetic pathway could serve as a molecular marker for screening of these
endophytes to produce that metabolite. In absence of entire biosynthetic pathways
in endophytic fungi, plant genes associated with that metabolic pathway could
serve as markers. This review focuses on the impact of molecular approaches to
screen the endophytic fungi for the production of bioactive compounds. An attempt
has been made on screening of anticancer compounds like taxol (paclitaxel),
podophyllotoxin, and camptothecin using molecular markers. The advantages of
molecular approaches over conventional methods to screen endophytic fungi and
also identification of endophytic fungi are discussed.
PMID- 27895626
TI - A Proposal for Formation of Archaean Stromatolites before the Advent of Oxygenic
Photosynthesis.
AB - Stromatolites are solid, laminar structures of biological origin. Living examples
are sparsely distributed and formed by cyanobacteria, which are oxygenic
phototrophs. However, stromatolites were abundant between 3.4 and 2.4 Gyr, prior
to the advent of cyanobacteria and oxygenic photosynthesis. Here I propose that
many Archaean stromatolites were seeded at points of efflux of hydrogen sulfide
from hydrothermal fields into shallow water, while their laminar composition
arose from alternating modes of strictly anoxygenic photosynthetic metabolism.
These changes were a redox regulatory response of gene expression to changing
hydrogen sulfide concentration, which fluctuated with intermittent dilution by
tidal action or by rainfall into surface waters. The proposed redox switch
between modes of metabolism deposited sequential microbial mats. These mats gave
rise to alternating carbonate sediments predicted to retain evidence of their
origin in differing ratios of isotopes of carbon and sulfur and in organic
content. The mats may have arisen either by replacement of microbial populations
or by continuous lineages of protocyanobacteria in which a redox genetic switch
selected between Types I and II photosynthetic reaction centers, and thus between
photolithoautotrophic and photoorganoheterotrophic metabolism. In the latter
case, and by 2.4 Gyr at the latest, a mutation had disabled the redox genetic
switch to give simultaneous constitutive expression of both Types I and II
reaction centers, and thus to the ability to extract electrons from manganese and
then water. By this simple step, the first cyanobacterium had the dramatic
advantage of emancipation from limiting supplies of inorganic electron donors,
produced free molecular oxygen as a waste product, and initiated the Great
Oxidation Event in Earth's history at the transition from the Archaean to the
Paleoproterozoic.
PMID- 27895625
TI - Evaluation of Virulence Factors In vitro, Resistance to Osmotic Stress and
Antifungal Susceptibility of Candida tropicalis Isolated from the Coastal
Environment of Northeast Brazil.
AB - Several studies have been developed regarding human health risks associated with
the recreational use of beaches contaminated with domestic sewage. These wastes
contain various micro-organisms, including Candida tropicalis. In this context,
the objective of this study was to characterize C. tropicalis isolates from the
sandy beach of Ponta Negra, Natal, Rio Grande do Norte, Brazil, regarding the
expression of in vitro virulence factors, adaptation to osmotic stress and
susceptibility to antifungal drugs. We analyzed 62 environmental isolates and
observed a great variation among them for the various virulence factors
evaluated. In general, environmental isolates were more adherent to human buccal
epithelial cells (HBEC) than C. tropicalis ATCC13803 reference strain, and they
also showed increased biofilm production. Most of the isolates presented wrinkled
phenotypes on Spider medium (34 isolates, 54.8%). The majority of the isolates
also showed higher proteinase production than control strains, but low
phospholipase activity. In addition, 35 isolates (56.4%) had high hemolytic
activity (hemolysis index > 0.55). With regard to C. tropicalis resistance to
osmotic stress, 85.4% of the isolates were able to grow in a liquid medium
containing 15% sodium chloride. The strains were highly resistant to the azoles
tested (fluconazole, voriconazole and itraconazole). Fifteen strains were
resistant to the three azoles tested (24.2%). Some strains were also resistant to
amphotericin B (14 isolates; 22.6%), while all of them were susceptible for the
echinocandins tested, except for a single strain of intermediate susceptibility
to micafungin. Our results demonstrate that C. tropicalis isolated from the sand
can fully express virulence attributes and showed a high persistence capacity on
the coastal environment; in addition of showing high minimal inhibitory
concentrations to several antifungal drugs used in current clinical practice,
demonstrating that environmental isolates may have pathogenic potential.
PMID- 27895628
TI - Nearshore Pelagic Microbial Community Abundance Affects Recruitment Success of
Giant Kelp, Macrocystis pyrifera.
AB - Marine microbes mediate key ecological processes in kelp forest ecosystems and
interact with macroalgae. Pelagic and biofilm-associated microbes interact with
macroalgal propagules at multiple stages of recruitment, yet these interactions
have not been described for Macrocystis pyrifera. Here we investigate the
influence of microbes from coastal environments on recruitment of giant kelp, M.
pyrifera. Through repeated laboratory experiments, we tested the effects of
altered pelagic microbial abundance on the settlement and development of the
microscopic propagules of M. pyrifera during recruitment. M. pyrifera zoospores
were reared in laboratory microcosms exposed to environmental microbial
communities from seawater during the complete haploid stages of the kelp
recruitment cycle, including zoospore release, followed by zoospore settlement,
to gametophyte germination and development. We altered the microbial abundance
states differentially in three independent experiments with repeated trials,
where microbes were (a) present or absent in seawater, (b) altered in community
composition, and (c) altered in abundance. Within the third experiment, we also
tested the effect of nearshore versus offshore microbial communities on the
macroalgal propagules. Distinct pelagic microbial communities were collected from
two southern California temperate environments reflecting contrasting intensity
of human influence, the nearshore Point Loma kelp forest and the offshore Santa
Catalina Island kelp forest. The Point Loma kelp forest is a high impacted
coastal region adjacent to the populous San Diego Bay; whereas the kelp forest at
Catalina Island is a low impacted region of the Channel Islands, 40 km offshore
the southern California coast, and is adjacent to a marine protected area. Kelp
gametophytes reared with nearshore Point Loma microbes showed lower survival,
growth, and deteriorated morphology compared to gametophytes with the offshore
Catalina Island microbial community, and these effects were magnified under high
microbial abundances. Reducing abundance of Point Loma microbes restored M.
pyrifera propagule success. Yet an intermediate microbial abundance was optimal
for kelp propagules reared with Catalina Island microbes, suggesting that
microbes also have a beneficial influence on kelp. Our study shows that pelagic
microbes from nearshore and offshore environments are differentially influencing
kelp propagule success, which has significant implications for kelp recruitment
and kelp forest ecosystem health.
PMID- 27895627
TI - Distribution and Classification of Serine beta-Lactamases in Brazilian Hospital
Sewage and Other Environmental Metagenomes Deposited in Public Databases.
AB - beta-lactam is the most used antibiotic class in the clinical area and it acts on
blocking the bacteria cell wall synthesis, causing cell death. However, some
bacteria have evolved resistance to these antibiotics mainly due the production
of enzymes known as beta-lactamases. Hospital sewage is an important source of
dispersion of multidrug-resistant bacteria in rivers and oceans. In this work, we
used next-generation DNA sequencing to explore the diversity and dissemination of
serine beta-lactamases in two hospital sewage from Rio de Janeiro, Brazil (South
Zone, SZ and North Zone, NZ), presenting different profiles, and to compare them
with public environmental data available. Also, we propose a Hidden-Markov-Model
approach to screen potential serine beta-lactamases genes (in public environments
samples and generated hospital sewage data), exploring its evolutionary
relationships. Due to the high variability in beta-lactamases, we used a position
specific scoring matrix search method (RPS-BLAST) against conserved domain
database profiles (CDD, Pfam, and COG) followed by visual inspection to detect
conserved motifs, to increase the reliability of the results and remove possible
false positives. We were able to identify novel beta-lactamases from Brazilian
hospital sewage and to estimate relative abundance of its types. The highest
relative abundance found in SZ was the Class A (50%), while Class D is
predominant in NZ (55%). CfxA (65%) and ACC (47%) types were the most abundant
genes detected in SZ, while in NZ the most frequent were OXA-10 (32%), CfxA
(28%), ACC (21%), CEPA (20%), and FOX (19%). Phylogenetic analysis revealed beta
lactamases from Brazilian hospital sewage grouped in the same clade and close to
sequences belonging to Firmicutes and Bacteroidetes groups, but distant from
potential beta-lactamases screened from public environmental data, that grouped
closer to beta-lactamases of Proteobacteria. Our results demonstrated that HMM
based approach identified homologs of serine beta-lactamases, indicating the
specificity and high sensitivity of this approach in large datasets, contributing
for the identification and classification of a large number of homologous genes,
comprising possible new ones. Phylogenetic analysis revealed the potential
reservoir of beta-lactam resistance genes in the environment, contributing to
understanding the evolution and dissemination of these genes.
PMID- 27895629
TI - Genome-Wide Discovery of Putative sRNAs in Paracoccus denitrificans Expressed
under Nitrous Oxide Emitting Conditions.
AB - Nitrous oxide (N2O) is a stable, ozone depleting greenhouse gas. Emissions of N2O
into the atmosphere continue to rise, primarily due to the use of nitrogen
containing fertilizers by soil denitrifying microbes. It is clear more effective
mitigation strategies are required to reduce emissions. One way to help develop
future mitigation strategies is to address the currently poor understanding of
transcriptional regulation of the enzymes used to produce and consume N2O. With
this ultimate aim in mind we performed RNA-seq on a model soil denitrifier,
Paracoccus denitrificans, cultured anaerobically under high N2O and low N2O
emitting conditions, and aerobically under zero N2O emitting conditions to
identify small RNAs (sRNAs) with potential regulatory functions transcribed under
these conditions. sRNAs are short (~40-500 nucleotides) non-coding RNAs that
regulate a wide range of activities in many bacteria. Hundred and sixty seven
sRNAs were identified throughout the P. denitrificans genome which are either
present in intergenic regions or located antisense to ORFs. Furthermore, many of
these sRNAs are differentially expressed under high N2O and low N2O emitting
conditions respectively, suggesting they may play a role in production or
reduction of N2O. Expression of 16 of these sRNAs have been confirmed by RT-PCR.
Ninety percent of the sRNAs are predicted to form secondary structures. Predicted
targets include transporters and a number of transcriptional regulators. A number
of sRNAs were conserved in other members of the alpha-proteobacteria. Better
understanding of the sRNA factors which contribute to expression of the machinery
required to reduce N2O will, in turn, help to inform strategies for mitigation of
N2O emissions.
PMID- 27895631
TI - Cooperative Recruitment of FtsW to the Division Site of Bacillus subtilis.
AB - Five essential proteins are known to assemble at the division site of Bacillus
subtilis. However, the recruitment of the FtsW homolog is still unclear. Here, we
take advantage of spore germination to facilitate the depletion of essential
proteins and to study the divisome assembly in the absence of previous division
events. We show that, unlike what has been shown for the Escherichia coli
divisome, the assembly of FtsW is interdependent with the localization of PBP 2B
and FtsL, which are key components of the membrane bound division complex.
Interestingly, the Z-ring appeared to disassemble upon prolonged depletion of
late division proteins. Nevertheless, we could restore Z-ring formation and
constriction by re-inducing FtsW, which suggests that the stability of the Z-ring
is stimulated by the assembly of a functional division complex.
PMID- 27895632
TI - Predicting Resistance by Mutagenesis: Lessons from 45 Years of MBC Resistance.
AB - When a new fungicide class is introduced, it is useful to anticipate the
resistance risk in advance, attempting to predict both risk level and potential
mechanisms. One tool for the prediction of resistance risk is laboratory
selection for resistance, with the mutational supply increased through UV or
chemical mutagenesis. This enables resistance to emerge more rapidly than in the
field, but may produce mutations that would not emerge under field conditions.
The methyl benzimidazole carbamates (MBCs) were the first systemic single-site
agricultural fungicides, and the first fungicides affected by rapid evolution of
target-site resistance. MBC resistance has now been reported in over 90 plant
pathogens in the field, and laboratory mutants have been studied in nearly 30
species. The most common field mutations, including beta-tubulin E198A/K/G, F200Y
and L240F, have all been identified in laboratory mutants. However, of 28
mutations identified in laboratory mutants, only nine have been reported in the
field. Therefore, the predictive value of mutagenesis studies would be increased
by understanding which mutations are likely to emerge in the field. Our review of
the literature indicates that mutations with high resistance factors, and those
found in multiple species, are more likely to be reported in the field. However,
there are many exceptions, possibly due to fitness penalties. Whether a mutation
occurred in the same species appears less relevant, perhaps because beta-tubulin
is highly conserved so functional constraints are similar across all species.
Predictability of mutations in other target sites will depend on the level and
conservation of constraints.
PMID- 27895630
TI - Comparative Functional Genomic Analysis of Two Vibrio Phages Reveals Complex
Metabolic Interactions with the Host Cell.
AB - Sequencing and annotation was performed for two large double stranded DNA
bacteriophages, phiGrn1 and phiSt2 of the Myoviridae family, considered to be of
great interest for phage therapy against Vibrios in aquaculture live feeds. In
addition, phage-host metabolic interactions and exploitation was studied by
transcript profiling of selected viral and host genes. Comparative genomic
analysis with other large Vibrio phages was also performed to establish the
presence and location of homing endonucleases highlighting distinct features for
both phages. Phylogenetic analysis revealed that they belong to the
"schizoT4like" clade. Although many reports of newly sequenced viruses have
provided a large set of information, basic research related to the shift of the
bacterial metabolism during infection remains stagnant. The function of many
viral protein products in the process of infection is still unknown. Genome
annotation identified the presence of several viral open reading frames (ORFs)
participating in metabolism, including a Sir2/cobB (sirtuin) protein and a number
of genes involved in auxiliary NAD+ and nucleotide biosynthesis, necessary for
phage DNA replication. Key genes were subsequently selected for detail study of
their expression levels during infection. This work suggests a complex metabolic
interaction and exploitation of the host metabolic pathways and biochemical
processes, including a possible post-translational protein modification, by the
virus during infection.
PMID- 27895633
TI - Detection of sdhB Gene Mutations in SDHI-Resistant Isolates of Botrytis cinerea
Using High Resolution Melting (HRM) Analysis.
AB - Botrytis cinerea, is a high risk pathogen for fungicide resistance development.
Pathogen' resistance to SDHIs is associated with several mutations in sdh gene.
The diversity of mutations and their differential effect on cross-resistance
patterns among SDHIs and the fitness of resistant strains necessitate the
availability of a tool for their rapid identification. This study was initiated
to develop and validate a high-resolution melting (HRM) analysis for the
identification of P225H/F/L//T, N230I, and H272L/R/Y mutations. Based on the
sequence of sdhB subunit of resistant and sensitive isolates, a universal primer
pair was designed. The specificity of the HRM analysis primers was verified to
ensure against the cross-reaction with other fungal species and its sensitivity
was evaluated using concentrations of known amounts of mutant's DNA. The melting
curve analysis generated nine distinct curve profiles, enabling the
discrimination of all the four mutations located at codon 225, the N230I
mutation, the three mutations located in codon 272, and the non-mutated isolates
(isolates of wild-type sensitivity). Similar results were obtained when DNA was
extracted directly from artificially inoculated strawberry fruit. The method was
validated by monitoring the presence of sdhB mutations in samples of naturally
infected strawberry fruits and stone fruit rootstock seedling plants showing
damping-off symptoms. HRM analysis data were compared with a standard PIRA-PCR
technique and an absolute agreement was observed suggesting that in both
populations the H272R mutation was the predominant one, while H272Y, N230I, and
P225H were detected in lower frequencies. The results of the study suggest that
HRM analysis can be a useful tool for sensate, accurate, and rapid identification
of several sdhB mutations in B. cinerea and it is expected to contribute in
routine fungicide resistance monitoring or assessments of the effectiveness of
anti-resistance strategies implemented in crops heavily treated with
botryticides.
PMID- 27895634
TI - Cytosolic Proteome Profiling of Aminoglycosides Resistant Mycobacterium
tuberculosis Clinical Isolates Using MALDI-TOF/MS.
AB - Emergence of extensively drug resistant tuberculosis (XDR-TB) is the consequence
of the failure of second line TB treatment. Aminoglycosides are the important
second line anti-TB drugs used to treat the multi drug resistant tuberculosis
(MDR-TB). Main known mechanism of action of aminoglycosides is to inhibit the
protein synthesis by inhibiting the normal functioning of ribosome. Primary
target of aminoglycosides are the ribosomal RNA and its associated proteins.
Various mechanisms have been proposed for aminoglycosides resistance but still
some are unsolved. As proteins are involved in most of the biological processes,
these act as a potential diagnostic markers and drug targets. In the present
study we analyzed the purely cytosolic proteome of amikacin (AK) and kanamycin
(KM) resistant Mycobacterium tuberculosis isolates by proteomic and bioinformatic
approaches. Twenty protein spots were found to have over expressed in resistant
isolates and were identified. Among these Rv3208A, Rv2623, Rv1360, Rv2140c,
Rv1636, and Rv2185c are six proteins with unknown functions or undefined role.
Docking results showed that AK and KM binds to the conserved domain (DUF, USP-A,
Luciferase, PEBP and Polyketidecyclase/dehydrase domain) of these hypothetical
proteins and over expression of these proteins might neutralize/modulate the
effect of drug molecules. TBPred and GPS-PUP predicted cytoplasmic nature and
potential pupylation sites within these identified proteins, respectively. String
analysis also suggested that over expressed proteins along with their interactive
partners might be involved in aminoglycosides resistance. Cumulative effect of
these over expressed proteins could be involved in AK and KM resistance by
mitigating the toxicity, repression of drug target and neutralizing affect. These
findings need further exploitation for the expansion of newer therapeutics or
diagnostic markers against AK and KM resistance so that an extreme condition like
XDR-TB can be prevented.
PMID- 27895636
TI - Genomic and Transcriptomic Evidence for Carbohydrate Consumption among
Microorganisms in a Cold Seep Brine Pool.
AB - The detailed lifestyle of microorganisms in deep-sea brine environments remains
largely unexplored. Using a carefully calibrated genome binning approach, we
reconstructed partial to nearly-complete genomes of 51 microorganisms in biofilms
from the Thuwal cold seep brine pool of the Red Sea. The recovered metagenome
assembled genomes (MAGs) belong to six different phyla: Actinobacteria,
Proteobacteria, Candidatus Cloacimonetes, Candidatus Marinimicrobia,
Bathyarchaeota, and Thaumarchaeota. By comparison with close relatives of these
microorganisms, we identified a number of unique genes associated with organic
carbon metabolism and energy generation. These genes included various glycoside
hydrolases, nitrate and sulfate reductases, putative bacterial microcompartment
biosynthetic clusters (BMC), and F420H2 dehydrogenases. Phylogenetic analysis
suggested that the acquisition of these genes probably occurred through
horizontal gene transfer (HGT). Metatranscriptomics illustrated that glycoside
hydrolases are among the most highly expressed genes. Our results suggest that
the microbial inhabitants are well adapted to this brine environment, and
anaerobic carbohydrate consumption mediated by glycoside hydrolases and electron
transport systems (ETSs) is a dominant process performed by microorganisms from
various phyla within this ecosystem.
PMID- 27895635
TI - Molecular Characteristic and Virulence Gene Profiles of Community-Associated
Methicillin-Resistant Staphylococcus aureus Isolates from Pediatric Patients in
Shanghai, China.
AB - Staphylococcus aureus is a globally important human pathogen, especially among
children and immunocompromised patients. The emergence and spread of community
associated methicillin-resistant S. aureus (CA-MRSA) has become a serious public
health problem worldwide. The aim of this study was to investigate the
prevalence, molecular characteristics and virulence profiles of CA-MRSA
infections from pediatric patients in a university hospital in Shanghai, China. A
total of 80 CA-MRSA isolates were collected from July 2012 to December 2013 in
Shanghai Children's Medical Center and analyzed by multilocus sequence typing,
staphylococcus chromosomal cassette mec (SCCmec) typing, and spa typing. The
detection of Panton-Valentine Leukocidin (pvl), superantigenic and exfoliative
toxins, and adhesin genes was also performed. Overall, 16 distinct sequence types
(STs) were identified among the 80 isolates. Among them, ST59 was found to be the
most prevalent, followed by ST398 (11.3%, 9/80) and ST88 (8.8%, 7/80). SCCmec
types IV and V were observed, at 60 and 40%, respectively. Thirty spa types were
identified, spa t437 (23.8%) was the most predominant type. All 80 isolates
exhibited carriage of at least four virulence genes. Thirty-four (42.5%, 34/80)
isolates harbored >=10 tested virulence genes. Adhesion genes were present in
most of the MRSA isolates, including the following: icaA (100%), clfA (100%),
sdrC (95%), and sdrE (63.8%). The prevalence of pvl gene was 20%, and multidrug
resistance was observed in 36% of all strains. In addition, ST59-MRSA-IV with
t437 accounted for 21.3% of occurrences, making it the most prevalent clone.
Isolates that were carriers of toxin genes, and hla (100%) and hlg (87.5%) were
the most frequent. In conclusion, simultaneous carriage of multiple virulence
genes and genetically considerable diversity were very common among CA-MRSA from
pediatric patients in Shanghai. ST59-MRSA-IV with t437 was still the most
predominant type. The combination of virulence gene profiles and antibiotic
resistance may help ST59 to be successfully spread among children.
PMID- 27895637
TI - High Prevalence of ESBL-Producing Klebsiella pneumoniae Causing Community-Onset
Infections in China.
AB - The aim of this work was to investigate the epidemiological and genetic
characteristics of ESBL-producing Klebsiella pneumoniae (ESBL-Kp) causing
community-onset infections. K. pneumoniae isolates were collected from 31 Chinese
secondary hospitals between August 2010 and 2011. Genes encoding ESBL and AmpC
beta-lactamases were detected by PCR. The isolates were assigned to sequence
types (STs) using multi-locus sequence typing (MLST). Eleven ESBL-Kp strains were
selected for whole-genome sequencing (WGS) for investigating the genetic
environment and plasmids encoding ESBL genes. A total of 578 K. pneumoniae
isolates were collected, and 184 (31.8%) carried ESBL genes. The prevalence of
ESBL-Kp varied from different geographical areas of China (10.2-50.3%). The three
most prevalent ESBL genes were blaCTX-M-14 (n = 74), blaCTX-M-15 (n = 60), and
blaCTX-M-3 (n = 40). MLST assigned 127 CTX-M-14 and CTX-M-15 producers to 54 STs,
and CC17 was the most prevalent population (12.6%). STs (23, 37, and 86) that
were known frequently associated with hypervirulent K. pneumoniae (hvKP) account
for 14.1% (18/127). Phylogenetic analysis by concatenating the seven loci of MLST
revealed the existence of ESBL-producing K. quasipneumoniae (two strains) and K.
varricola (one strain), which was further confirmed by WGS. This study highlights
the challenge of community-onset infections caused by ESBL-Kp in China. The
prevalence of STs frequently associating with hvKP should be of concern.
Surveillance of ESBL-KP causing community-onset infections now appears
imperative.
PMID- 27895638
TI - Intercellular Interactions as Regulators of NETosis.
AB - Neutrophil extracellular traps (NETs) are chromatin-derived webs extruded from
neutrophils in response to either infection or sterile stimulation with
chemicals, cytokines, or microbial products. The vast majority of studies have
characterized NET release (also called NETosis) in pure neutrophil cultures in
vitro. The situation is surely more complex in vivo as neutrophils constantly
sample not only pathogens and soluble mediators but also signals from cellular
partners, including platelets and endothelial cells. This complexity is beginning
to be explored by studies utilizing in vitro co-culture, as well as animal models
of sepsis, infective endocarditis, lung injury, and thrombosis. Indeed, various
selectins, integrins, and surface glycoproteins have been implicated in platelet
neutrophil interactions that promote NETosis, albeit with disparate results
across studies. NETosis can also clearly be regulated by soluble mediators
derived from platelets, such as eicosanoids, chemokines, and alarmins. Beyond
platelets, the role of the endothelium in modulating NETosis is being
increasingly revealed, with adhesive interactions likely priming neutrophils
toward NETosis. The fact that the same selectins and surface glycoproteins may be
expressed by both platelets and endothelial cells complicates the interpretation
of in vivo data. In summary, we suggest in this review that the engagement of
neutrophils with activated cellular partners provides an important in vivo signal
or "hit" toward NETosis. Studies should, therefore, increasingly consider the
triumvirate of neutrophils, platelets, and the endothelium when exploring
NETosis, especially in disease states.
PMID- 27895639
TI - NETosis as Source of Autoantigens in Rheumatoid Arthritis.
AB - In neutrophils (but also in eosinophils and in mast cells), different
inflammatory stimuli induce histone deimination, chromatin decondensation, and
NET formation. These web-like structures that trap and kill microbes contain DNA,
cationic granule proteins, and antimicrobial peptides, but the most abundant
proteins are core histones. Histones contained in NETs have been deiminated, and
arginines are converted in citrullines. While deimination is a physiological
process amplified in inflammatory conditions, only individuals carrying genetic
predisposition to develop rheumatoid arthritis (RA) make antibodies to deiminated
proteins. These antibodies, collectively identified as anti-citrullinated
proteins/peptides antibodies (ACPA), react with different deiminated proteins and
display partially overlapping specificities. In this paper, we will summarize
current evidence supporting the role of NETosis as critical mechanism in the
breach of tolerance to self-antigens and in supporting expansion and
differentiation of autoreactive cells. In fact, several lines of evidence connect
NETosis with RA: RA unstimulated synovial fluid neutrophils display enhanced
NETosis; sera from RA patients with Felty's syndrome bind deiminated H3 and NETs;
a high number of RA sera bind deiminated H4 contained in NETs; human monoclonal
antibodies generated from RA synovial B cells decorate NETs and bind deiminated
histones. In RA, NETs represent on one side an important source of autoantigens
bearing posttranslational modifications and fueling the production of ACPA. On
the other side, NETs deliver signals that maintain an inflammatory milieu and
contribute to the expansion and differentiation of ACPA-producing B cells.
PMID- 27895640
TI - omega3 Polyunsaturated Fatty Acids as Immunomodulators in Colorectal Cancer: New
Potential Role in Adjuvant Therapies.
AB - Diet composition may affect the onset and progression of chronic degenerative
diseases, including cancer, whose pathogenesis relies on inflammatory processes.
Growing evidence indicates that diet and its components critically contribute to
human health, affecting the immune system, secretion of adipokines, and metabolic
pathways. Colorectal cancer (CRC) is one of the leading causes of death
worldwide. Antineoplastic drugs are widely used for CRC treatment, but drug
resistance and/or off-target toxicity limit their efficacy. Dietary omega3
polyunsaturated fatty acids (PUFA) have been gaining great interest in recent
years as possible anti-inflammatory and anticancer agents, especially in areas
such as the large bowel, where the pro-inflammatory context promotes virtually
all steps of colon carcinogenesis. Growing epidemiological, experimental, and
clinical evidence suggests that omega3 PUFA may play a role in several stages of
CRC management exhibiting antineoplastic activity against human CRC cells,
improving the efficacy of radiation and chemotherapy, ameliorating cancer
associated secondary complications, and preventing CRC recurrence. These effects
are most likely related to the immunomodulatory activities of omega3 PUFA that
are able to influence several aspects of the inflammatory process ranging from
inflammasome activation, leukocyte recruitment, production of immune mediators to
differentiation, and activation of immune cells. In this review, we will focus on
the potential use of omega3 PUFA as adjuvant agents together with
chemo/radiotherapy, highlighting the immunomodulatory effects most likely
responsible for their beneficial effects in different stages of CRC management.
PMID- 27895641
TI - Pneumococcal Polysaccharide Vaccination Elicits IgG Anti-A/B Blood Group
Antibodies in Healthy Individuals and Patients with Type I Diabetes Mellitus.
AB - HYPOTHESIS: Blood group antibodies are natural antibodies that develop early in
life in response to cross-reactive environmental antigens in the absence of
antigen encounter. Even later in life structural similarities in saccharide
composition between environmental antigens such as bacterial polysaccharides and
blood group A/B antigens could lead to changes in serum levels, IgM/IgG isotype,
and affinity maturation of blood group anti-A/B antibodies. We addressed the
question whether immunization with pneumococcal polysaccharide (PnP) vaccine
Pneumo 23 Vaccine "Pasteur Merieux" (Pn23) could have such an effect in patients
with type I diabetes mellitus (DM I), an autoimmune disease where an aberrant
immune response to microbial antigens likely plays a role. METHODS: Anti-PnP IgM
and IgG responses were determined by ELISA, and the DiaMed-ID Micro Typing System
was used to screen anti-A/B antibody titer before and after Pn23 immunization in
28 healthy individuals and 16 patients with DM I. In addition, surface plasmon
resonance (SPR) technology using the Biacore(r) device and a synthetic blood
group A/B trisaccharide as the antigen was applied to investigate IgM and IgG
anti-A/B antibodies and to measure antibody binding dynamics. RESULTS: All
healthy individuals and DM I patients responded with anti-PnP IgM and IgG
antibody production 4-6 weeks after Pn23 immunization, while no increase in blood
group anti-A/B antibody titer was observed when measured by the DiaMed-ID Micro
Typing System. Interestingly, isotype-specific testing by SPR technology revealed
an increase in blood group anti-A/B IgG, but not IgM, following Pn23 immunization
in both patients and controls. No change in binding characteristics of blood
group anti-A/B antibodies could be detected following Pn23 vaccination,
supporting the assumption of an increase in IgG antibody titer with no or very
little affinity maturation. CONCLUSION: The study provides evidence for epitope
sharing between pneumococcal polysaccharides and blood group ABO antigens, which
leads to a booster of blood group anti-A/B antibodies of the IgG isotype after
Pn23 immunization in healthy individuals. Manifest autoimmunity such as present
in DM I patients has no additional effect on the cross-reactive antibody response
against pneumococcal polysaccharides and blood group antigens.
PMID- 27895642
TI - Functional and Structural Characterization of a Novel HLA-DRB1*04:01-Restricted
alpha-Enolase T Cell Epitope in Rheumatoid Arthritis.
AB - Antibodies to citrullinated proteins, common in rheumatoid arthritis (RA)
patients, are strongly associated to a specific set of HLA-DR alleles including
HLA-DRB1*04:01, *04:04, and *01:01. Here, we first demonstrate that autoantibody
levels toward the dominant citrullinated B cell epitope from alpha-enolase are
significantly elevated in HLA-DRB1*04:01-positive RA patients. Furthermore, we
identified alpha-enolase-derived T cell epitopes and demonstrated that native and
citrullinated versions of several peptides bind with different affinities to HLA
DRB1*04:01, *04:04, and *01:01. The citrulline residues in the eight identified
peptides are distributed throughout the entire length of the presented epitopes
and more specifically, localized at peptide positions p-2, p2, p4, p6, p7, p10,
and p11. Importantly, in contrast to its native version peptide 26
(TSKGLFRAAVPSGAS), the HLA-DRB1*04:01-restricted citrullinated peptide Cit26
(TSKGLFCitAAVPSGAS) elicited significant functional T cell responses in primary
cells from RA patients. Comparative analysis of the crystal structures of HLA
DRB1*04:01 in complex with peptide 26 or Cit26 demonstrated that the
posttranslational modification did not alter the conformation of the peptide. And
since citrullination is the only structural difference between the two complexes,
this indicates that the neo-antigen Cit26 is recognized by T cells with high
specificity to the citrulline residue.
PMID- 27895643
TI - Azithromycin Attenuates Pseudomonas-Induced Lung Inflammation by Targeting
Bacterial Proteins Secreted in the Cultured Medium.
AB - BACKGROUND: Pseudomonas aeruginosa airway infections are a major cause of
morbidity and mortality in patients with cystic fibrosis (CF). Azithromycin
improves the related clinical outcomes, but its mechanisms of action remain
poorly understood. We tested the hypothesis that azithromycin downregulates P.
aeruginosa-induced pro-inflammatory responses by modifying release of bacterial
proteins. METHODS: We monitored inflammatory markers in lungs of CF mutant mice
and their littermate controls in response to conditioned media (CM) collected
from the reference P. aeruginosa PAO1 strain cultured in the presence or in the
absence of azithromycin. A mass spectrometry-based proteomic approach was applied
to examine whether the macrolide elicits a differential release of bacterial
proteins. RESULTS: CM collected from azithromycin-untreated PAO1 cultures induced
powerful pro-inflammatory neutrophil-dominated responses. Azithromycin attenuated
the responses, mainly of macrophage chemoattractant protein-1, tumor necrosis
factor-alpha, and interferon-gamma, in CF but not in wild-type mice. Proteomic
analysis showed that azithromycin upregulated an array of bacterial proteins
including those associated with regulation of immune functions and with repair
and resolution of inflammatory responses like the chaperone DnaK and the S
adenosylmethionine synthase, while it downregulated the extracellular heme
acquisition protein HasA and the catalytic enzyme lysylendopeptidase. CONCLUSION:
Supernatants collected from cultures of the bacterial strain PAO1 represent a
novel experimental model to trigger in vivo lung inflammatory responses that
should be closer to those obtained with live bacteria, but without bacterial
infection. Combined with a bactericidal effect, complex regulation of bacterial
innate immune and metabolic factors released in the cultured medium by the action
of the macrolide can contribute to its anti-inflammatory effects.
PMID- 27895648
TI - Grape Ripening Is Regulated by Deficit Irrigation/Elevated Temperatures According
to Cluster Position in the Canopy.
AB - The impact of water deficit on berry quality has been extensively investigated
during the last decades. Nonetheless, there is a scarcity of knowledge on the
performance of varieties exposed to a combination of high temperatures/water
stress during the growing season and under vineyard conditions. The objective of
this research was to investigate the effects of two irrigation regimes, sustained
deficit irrigation (SDI, 30% ETc) and regulated deficit irrigation (RDI, 15% ETc)
and of two cluster positions within the canopy (east- and west-exposed sides) on
berry ripening in red Aragonez (Tempranillo) grapevines. The study was undertaken
for two successive years in a commercial vineyard in South Portugal, monitoring
the following parameters: pre-dawn leaf water potential, berry temperature,
sugars, polyphenols, abscisic acid (ABA) and related metabolites. Additionally,
expression patterns for different transcripts encoding for enzymes responsible
for anthocyanin and ABA biosynthesis (VviUFGT, VvNCED1, VvbetaG1, VviHyd1,
VviHyd2) were analyzed. In both years anthocyanin concentration was lower in RDI
at the west side (RDIW- the hottest one) from veraison onwards, suggesting that
the most severe water stress conditions exacerbated the negative impact of high
temperature on anthocyanin. The down-regulation of VviUFGT expression revealed a
repression of the anthocyanin synthesis in berries of RDIW, at early stages of
berry ripening. At full-maturation, anthocyanin degradation products were
detected, being highest at RDIW. This suggests that the negative impact of water
stress and high temperature on anthocyanins results from the repression of
biosynthesis at the onset of ripening and from degradation at later stages. On
the other hand, berries grown under SDI displayed a higher content in phenolics
than those under RDI, pointing out for the attenuation of the negative
temperature effects under SDI. Irrigation regime and berry position had small
effect on free-ABA concentration. However, ABA catabolism/conjugation process and
ABA biosynthetic pathway were affected by water and heat stresses. This indicates
the role of ABA-GE and catabolites in berry ABA homeostasis under abiotic
stresses. Principal component analysis (PCA) showed that the strongest influence
in berry ripening is the deficit irrigation regime, while temperature is an
important variable determining the improvement or impairment of berry quality by
the deficit irrigation regime. In summary, this work shows the interaction
between irrigation regime and high temperature on the control of berry ripening.
PMID- 27895647
TI - Exogenous Trehalose Treatment Enhances the Activities of Defense-Related Enzymes
and Triggers Resistance against Downy Mildew Disease of Pearl Millet.
AB - In recent years, diverse physiological functions of various sugars are the
subject of investigations. Their roles in signal transduction in plant responses
to adverse biotic and abiotic stress conditions have become apparent, and growing
scientific evidence has indicated that disaccharides like sucrose and trehalose
mediate plant defense responses in similar way as those induced by elicitors
against the pathogens. Trehalose is a well-known metabolic osmoregulator, stress
protectant and non-reducing disaccharide existing in a variety of organisms,
including fungi, bacteria, and plants. Commercially procured trehalose was
applied to seeds of susceptible pearl millet (Pennisetum glaucum) cultivar "HB3,"
and tested for its ability to reduce downy mildew disease incidence by induction
of resistance. Seed treatment with trehalose at 200 mM for 9 h recorded 70.25%
downy mildew disease protection, followed by those with 100 and 50 mM trehalose
which offered 64.35 and 52.55% defense, respectively, under greenhouse
conditions. Furthermore, under field conditions treatment with 200 mM trehalose
for 9 h recorded 67.25% downy mildew disease protection, and reduced the disease
severity to 32.75% when compared with untreated control which displayed 90% of
disease severity. Trehalose did not affect either sporangial formation or
zoospore release from sporangia, indicating that the reduction in disease
incidence was not due to direct inhibition but rather through induction of
resistance responses in the host. Additionally, trehalose was shown to enhance
the levels of polyphenol oxidase, phenylalanine ammonia lyase, and peroxidase,
which are known as markers of both biotic and abiotic stress responses. Our study
shows that osmoregulators like trehalose could be used to protect plants against
pathogen attacks by seed treatment, thus offering dual benefits of biotic and
abiotic stress tolerance.
PMID- 27895646
TI - Manufacturing Natural Killer Cells as Medicinal Products.
AB - Natural Killer (NK) cells are innate lymphoid cells (ILC) with cytotoxic and
regulatory properties. Their functions are tightly regulated by an array of
inhibitory and activating receptors, and their mechanisms of activation strongly
differ from antigen recognition in the context of human leukocyte antigen
presentation as needed for T-cell activation. NK cells thus offer unique
opportunities for new and improved therapeutic manipulation, either in vivo or in
vitro, in a variety of human diseases, including cancers. NK cell activity can
possibly be modulated in vivo through direct or indirect actions exerted by small
molecules or monoclonal antibodies. NK cells can also be adoptively transferred
following more or less substantial modifications through cell and gene
manufacturing, in order to empower them with new or improved functions and ensure
their controlled persistence and activity in the recipient. In the present
review, we will focus on the technological and regulatory challenges of NK cell
manufacturing and discuss conditions in which these innovative cellular therapies
can be brought to the clinic.
PMID- 27895649
TI - 'Omics' and Plant Responses to Botrytis cinerea.
AB - Botrytis cinerea is a dangerous plant pathogenic fungus with wide host ranges.
This aggressive pathogen uses multiple weapons to invade and cause serious
damages on its host plants. The continuing efforts of how to solve the "puzzle"
of the multigenic nature of B. cinerea's pathogenesis and plant defense
mechanisms against the disease caused by this mold, the integration of omic
approaches, including genomics, transcriptomics, proteomics and metabolomics,
along with functional analysis could be a potential solution. Omic studies will
provide a foundation for development of genetic manipulation and breeding
programs that will eventually lead to crop improvement and protection. In this
mini-review, we will highlight the current progresses in research in plant stress
responses to B. cinerea using high-throughput omic technologies. We also discuss
the opportunities that omic technologies can provide to research on B. cinerea
plant interactions as an example showing the impacts of omics on agricultural
research.
PMID- 27895645
TI - Inflamm-Aging of Hematopoiesis, Hematopoietic Stem Cells, and the Bone Marrow
Microenvironment.
AB - All hematopoietic and immune cells are continuously generated by hematopoietic
stem cells (HSCs) and hematopoietic progenitor cells (HPCs) through highly
organized process of stepwise lineage commitment. In the steady state, HSCs are
mostly quiescent, while HPCs are actively proliferating and contributing to daily
hematopoiesis. In response to hematopoietic challenges, e.g., life-threatening
blood loss, infection, and inflammation, HSCs can be activated to proliferate and
engage in blood formation. The HSC activation induced by hematopoietic demand is
mediated by direct or indirect sensing mechanisms involving pattern recognition
receptors or cytokine/chemokine receptors. In contrast to the hematopoietic
challenges with obvious clinical symptoms, how the aging process, which involves
low-grade chronic inflammation, impacts hematopoiesis remains undefined. Herein,
we summarize recent findings pertaining to functional alternations of
hematopoiesis, HSCs, and the bone marrow (BM) microenvironment during the
processes of aging and inflammation and highlight some common cellular and
molecular changes during the processes that influence hematopoiesis and its cells
of origin, HSCs and HPCs, as well as the BM microenvironment. We also discuss how
age-dependent alterations of the immune system lead to subclinical inflammatory
states and how inflammatory signaling might be involved in hematopoietic aging.
Our aim is to present evidence supporting the concept of "Inflamm-Aging," or
inflammation-associated aging of hematopoiesis.
PMID- 27895650
TI - A Detailed Analysis of the BR1 Locus Suggests a New Mechanism for Bolting after
Winter in Sugar Beet (Beta vulgaris L.).
AB - Sugar beet (Beta vulgaris ssp. vulgaris) is a biennial, sucrose-storing plant,
which is mainly cultivated as a spring crop and harvested in the vegetative stage
before winter. For increasing beet yield, over-winter cultivation would be
advantageous. However, bolting is induced after winter and drastically reduces
yield. Thus, post-winter bolting control is essential for winter beet
cultivation. To identify genetic factors controlling bolting after winter, a F2
population was previously developed by crossing the sugar beet accessions BETA
1773 with reduced bolting tendency and 93161P with complete bolting after winter.
For a mapping-by-sequencing analysis, pools of 26 bolting-resistant and 297
bolting F2 plants were used. Thereby, a single continuous homozygous region of
103 kb was co-localized to the previously published BR1 QTL for post-winter
bolting resistance (Pfeiffer et al., 2014). The BR1 locus was narrowed down to 11
candidate genes from which a homolog of the Arabidopsis CLEAVAGE AND
POLYADENYLATION SPECIFICITY FACTOR 73-I (CPSF73-I) was identified as the most
promising candidate. A 2 bp deletion within the BETA 1773 allele of BvCPSF73-Ia
results in a truncated protein. However, the null allele of BvCPSF73-Ia might
partially be compensated by a second BvCPSF73-Ib gene. This gene is located 954
bp upstream of BvCPSF73-Ia and could be responsible for the incomplete penetrance
of the post-winter bolting resistance allele of BETA 1773. This result is an
important milestone for breeding winter beets with complete bolting resistance
after winter.
PMID- 27895651
TI - Invertebrate Biosecurity Challenges in High-Productivity Grassland: The New
Zealand Example.
AB - To protect productive grasslands from pests and diseases, effective pre- and at
border planning and interventions are necessary. Biosecurity failure inevitably
requires expensive and difficult eradication, or long-term and often quite
ineffective management strategies. This is compared to the early intervention
more likely for sectors where there is public and political interest in plants of
immediate economic and/or social value, and where associated pests are typically
located above-ground on host plantings of relatively limited distribution. Here,
biosecurity surveillance and responses can be readily designed. In contrast,
pastures comprising plants of low inherent unit value create little, if any,
esthetic interest. Yet, given the vast extent of pasture in New Zealand and the
value of the associated industries, these plants are of immense economic
importance. Compounding this is the invasibility of New Zealand's pastoral
ecosystems through a lack of biotic resistance to incursion and invasion.
Further, given the sheer area of pasture, intervention options are limited
because of costs per unit area and the potential for pollution if pesticides are
used. Biosecurity risk for pastoral products differs from, say, that of fruit
where at least part of an invasive pathway can be recognized and risks assessed.
The ability to do this via pastoral sector pathways is much reduced, since risk
organisms more frequently arrive via hitchhiker pathways which are diffuse and
varied. Added to this pasture pests within grassland ecosystems are typically
cryptic, often with subterranean larval stages. Such characteristics make
detection and response particularly difficult. The consequences of this threaten
to add to the already-increasing stressors of production intensification and
climate change. This review explores the unique challenges faced by pasture
biosecurity and what may be done to confront existing difficulties. While there
is no silver bullet, and limited opportunity pre- and at-border for improving
pasture biosecurity, advancement may include increased and informed vigilance by
farmers, pheromone traps and resistant plants to slow invasion. Increasingly,
there is also the potential for more use of improved population dispersal models
and surveillance strategies including unmanned aerial vehicles, as well as
emerging techniques to determine invasive pest genomes and their geographical
origins.
PMID- 27895644
TI - Recent Developments in Cellular Immunotherapy for HSCT-Associated Complications.
AB - Allogeneic hematopoietic stem cell transplantation is associated with serious
complications, and improvement of the overall clinical outcome of patients with
hematological malignancies is necessary. During the last decades, posttransplant
donor-derived adoptive cellular immunotherapeutic strategies have been
progressively developed for the treatment of graft-versus-host disease (GvHD),
infectious complications, and tumor relapses. To date, the common challenge of
all these cell-based approaches is their implementation for clinical application.
Establishing an appropriate manufacturing process, to guarantee safe and
effective therapeutics with simultaneous consideration of economic requirements
is one of the most critical hurdles. In this review, we will discuss the recent
scientific findings, clinical experiences, and technological advances for cell
processing toward the application of mesenchymal stromal cells as a therapy for
treatment of severe GvHD, virus-specific T cells for targeting life-threating
infections, and of chimeric antigen receptors-engineered T cells to treat
relapsed leukemia.
PMID- 27895652
TI - Rapid Evolution of Manifold CRISPR Systems for Plant Genome Editing.
AB - Advanced CRISPR-Cas9 based technologies first validated in mammalian cell systems
are quickly being adapted for use in plants. These new technologies increase
CRISPR-Cas9's utility and effectiveness by diversifying cellular capabilities
through expression construct system evolution and enzyme orthogonality, as well
as enhanced efficiency through delivery and expression mechanisms. Here, we
review the current state of advanced CRISPR-Cas9 and Cpf1 capabilities in plants
and cover the rapid evolution of these tools from first generation inducers of
double strand breaks for basic genetic manipulations to second and third
generation multiplexed systems with myriad functionalities, capabilities, and
specialized applications. We offer perspective on how to utilize these tools for
currently untested research endeavors and analyze strengths and weaknesses of
novel CRISPR systems in plants. Advanced CRISPR functionalities and delivery
options demonstrated in plants are primarily reviewed but new technologies just
coming to the forefront of CRISPR development, or those on the horizon, are
briefly discussed. Topics covered are focused on the expansion of expression and
delivery capabilities for CRISPR-Cas9 components and broadening targeting range
through orthogonal Cas9 and Cpf1 proteins.
PMID- 27895654
TI - A Comprehensive Phylogeny Reveals Functional Conservation of the UV-B
Photoreceptor UVR8 from Green Algae to Higher Plants.
AB - Ultraviolet-B (UV-B) is present in sunlight (280-315 nm) and has diverse effects
on living organisms. Low fluence rate of exposure induces a specific
photomorphogenic response regulated by the UV-B response locus 8 (UVR8) receptor.
UVR8 was first described in Arabidopsis thaliana. In the absence of stimuli it is
located in the cytoplasm as a homodimer. However, upon UV-B irradiation, it
switches to a monomer and interacts with the ubiquitin ligase E3 COP1 via the
UVR8 beta-propeller domain and the VP core. This induces the expression of the
transcription factor HY5 leading to changes in the expression of genes associated
with UV-B acclimation and stress tolerance. UVR8 senses UV-B through tryptophan
residues being Trp233 and 285 the most important. Based on the comparison and
analysis of UVR8 functionally important motifs, we report a comprehensive
phylogeny of UVR8, trying to identify UVR8 homologs and the ancestral organism
where this gene could be originated. Results obtained showed that Chlorophytes
are the first organisms from the Viridiplantae group where UVR8 appears. UVR8 is
present in green algae, bryophytes, lycophytes, and angiosperms. All the
sequences identified contain tryptophans 233 and 285, arginines involved in
homodimerization and the VP domain suggesting they are true UVR8 photoreceptors.
We also determined that some species from bryophytes and angiosperms contain more
than one UVR8 gene copy posing the question if UVR8 could constitute a gene
family in these species. In conclusion, we described the functional conservation
among UVR8 proteins from green algae to higher plants.
PMID- 27895653
TI - Genome-Wide Identification and Expression Analysis of the Tubby-Like Protein
Family in the Malus domestica Genome.
AB - Tubby-like proteins (TLPs), which have a highly conserved beta barrel tubby
domain, have been found to be associated with some animal-specific
characteristics. In the plant kingdom, more than 10 TLP family members were
identified in Arabidopsis, rice and maize, and they were found to be involved in
responses to stress. The publication of the apple genome makes it feasible to
systematically study the TLP family in apple. In this investigation, nine TLP
encoding genes (TLPs for short) were identified. When combined with the TLPs from
other plant species, the TLPs were divided into three groups (group A, B, and C).
Most plant TLP members in group A contained an additional F-box domain at the N
terminus. However, no common domain was identified other than tubby domain either
in group B or in group C. An analysis of the tubby domains of MdTLPs identified
three types of conserved motifs. Motif 1 and 2, the signature motifs in the
confirmed TLPs, were always present in MdTLPs, while motif 3 was absent from
group B. Homology modeling indicated that the tubby domain of most MdTLPs had a
closed beta barrel, as in animal tubby domains. Expression profiling revealed
that the MdTLP genes were expressed in multiple organs and were abundant in
roots, stems, and leaves but low in flowers. An analysis of cis-acting elements
showed that elements related to the stress response were prevalent in the
promoter sequences of MdTLPs. Expression profiling by qRT-PCR indicated that
almost all MdTLPs were up-regulated at some extent under abiotic stress,
exogenous ABA and H2O2 treatments in leaves and roots, though different MdTLP
members exhibited differently in leaves and roots. The results and information
above may provide a basis for further investigation of TLP function in plants.
PMID- 27895655
TI - Protein Tyrosine Nitration during Development and Abiotic Stress Response in
Plants.
AB - In recent years, the study of nitric oxide (NO) in plant systems has attracted
the attention of many researchers. A growing number of investigations have shown
the significance of NO as a signal molecule or as a molecule involved in the
response against (a)biotic processes. NO can be responsible of the post
translational modifications (NO-PTM) of target proteins by mechanisms such as the
nitration of tyrosine residues. The study of protein tyrosine nitration during
development and under biotic and adverse environmental conditions has increased
in the last decade; nevertheless, there is also an endogenous nitration which
seems to have regulatory functions. Moreover, the advance in proteome techniques
has enabled the identification of new nitrated proteins, showing the high
variability among plant organs, development stage and species. Finally, it may be
important to discern between a widespread protein nitration because of greater
RNS content, and the specific nitration of key targets which could affect cell
signaling processes. In view of the above point, we present a mini-review that
offers an update about the endogenous protein tyrosine nitration, during plant
development and under several abiotic stress conditions.
PMID- 27895656
TI - Meselect - A Rapid and Effective Method for the Separation of the Main Leaf
Tissue Types.
AB - Individual tissues of complex eukaryotic organisms have specific gene expression
programs that control their functions. Therefore, tissue-specific molecular
information is required to increase our understanding of tissue-specific
processes. Established methods in plants to obtain specific tissues or cell types
from their organ or tissue context typically require the enzymatic degradation of
cell walls followed by fluorescence-activated cell sorting (FACS) using plants
engineered for localized expression of green fluorescent protein. This has
facilitated the acquisition of valuable data, mainly on root cell type-specific
transcript and protein expression. However, FACS of different leaf cell types is
difficult because of chlorophyll autofluorescence that interferes with the
sorting process. Furthermore, the cell wall composition is different in each cell
type. This results in long incubation times for refractory cell types, and cell
sorting itself can take several hours. To overcome these limitations, we
developed Meselect (mechanical separation of leaf compound tissues), a rapid and
effective method for the separation of leaf epidermal, vascular and mesophyll
tissues. Meselect is a novel combination of mechanical separation and rapid
protoplasting, which benefits from the unique cell wall composition of the
different tissue types. Meselect has several advantages over cell sorting: it
does not require expensive equipment such as a cell sorter and does not depend on
specific fluorescent reporter lines, the use of blenders as well as the inherent
mixing of different cell types and of intact and damaged cells can be avoided,
and the time between wounding of the leaf and freezing of the sample is short.
The efficacy and specificity of the method to enrich the different leaf tissue
types has been confirmed using Arabidopsis leaves, but it has also been
successfully used for leaves of other plants such as tomato or cassava. The
method is therefore useful for plant scientists investigating leaf development or
responses to stimuli at the tissue-specific level.
PMID- 27895657
TI - Implication of the Whitefly Bemisia tabaci Cyclophilin B Protein in the
Transmission of Tomato yellow leaf curl virus.
AB - Tomato yellow leaf curl virus (TYLCV) is a single-stranded (ssDNA) begomoviruses
that causes severe damage to tomato and several other crops worldwide. TYLCV is
exclusively transmitted by the sweetpotato whitefly, Bemisia tabaci in a
persistent circulative and propagative manner. Previous studies have shown that
the transmission, retention, and circulation of TYLCV in its vector involves
interaction with insect and endosymbiont proteins, which aid in the transmission
of the virus, or have a protective role in response to the presence of the virus
in the insect body. However, only a low number of such proteins have been
identified. Here, the role of B. tabaci Cyclophilin B (CypB) in the transmission
of TYLCV protein was investigated. Cyclophilins are a large family of cellular
prolyl isomerases that have many molecular roles including facilitating protein
protein interactions in the cell. One cyclophilin protein has been implicated in
aphid-luteovirus interactions. We demonstrate that the expression of CypB from B.
tabaci is altered upon TYLCV acquisition and retention. Further experiments used
immunocapture-PCR and co-immunolocalization and demonstrated a specific
interaction and colocalization between CypB and TYLCV in the the midgut, eggs,
and salivary glands. Membrane feeding of anti-CypB antibodies and TYLCV-infected
plants showed a decrease in TYLCV transmission, suggesting a critical role that
CypB plays in TYLCV transmission. Further experiments, which used membrane
feeding with the CypB inhibitor Cyclosporin A showed decrease in CypB-TYLCV
colocalization in the midgut and virus transmission. Altogether, our results
indicate that CypB plays an important role in TYLCV transmission by B. tabaci.
PMID- 27895658
TI - Overexpression of a Hevea brasiliensis ErbB-3 Binding protein 1 Gene Increases
Drought Tolerance and Organ Size in Arabidopsis.
AB - Rubber trees are economically important tropical tree species and the major
source of natural rubber, which is an essential industrial material. This
tropical perennial tree is susceptible to cold stress and other abiotic stresses,
especially in the marginal northern tropics. Recent years, the genome sequencing
and RNA-seq projects produced huge amount of sequence data, which greatly
facilitated the functional genomics study. However, the characterization of
individual functional gene is in urgent demands, especially for those involved in
stress resistance. Here we identified and characterized the rubber tree gene ErbB
3 binding protein 1, which undergoes changes in expression in response to cold,
drought stress and ABA treatment. HbEBP1 overexpression (OE) in Arabidopsis
increased organ size, facilitated root growth and increased adult leaf number by
delaying the vegetative-to-reproductive transition. In addition, HbEBP1 OE
enhanced the resistance of the Arabidopsis plants to freezing and drought stress,
demonstrating that this gene participates in the regulation of abiotic stress
resistance. RD29a, RD22 and CYCD3;1 expression was also greatly enhanced by
HbEBP1 OE, which explains its regulatory roles in organ size and stress
resistance. The regulation of drought stress resistance is a novel function
identified in plant EBP1 genes, which expands our understanding of the roles of
EBP1 gene in response to the environment. Our results provide information that
may lead to the use of HbEBP1 in genetically engineered crops to increase both
biomass and abiotic stress resistance.
PMID- 27895659
TI - HbMADS4, a MADS-box Transcription Factor from Hevea brasiliensis, Negatively
Regulates HbSRPP.
AB - In plants MADS-box transcription factors (TFs) play important roles in growth and
development. However, no plant MADS-box gene has been identified to have a
function related to secondary metabolites regulation. Here, a MADS-box TF gene,
designated as HbMADS4, was isolated from Hevea brasiliensis by the yeast one
hybrid experiment to screen the latex cDNA library using the promoter of the gene
encoding H. brasiliensis small rubber particle protein (HbSRPP) as bait. HbMADS4
was 984-bp containing 633-bp open reading frame encoding a deduced protein of 230
amino acid residues with a typical conserved MADS-box motif at the N terminus.
HbMADS4 was preferentially expressed in the latex, but little expression was
detected in the leaves, flowers, and roots. Its expression was inducible by
methyl jasmonate and ethylene. Furthermore, transient over-expression and over
expression of HbMADS4 in transgenic tobacco plants significantly suppressed the
activity of the HbSRP promoter. Altogether, it is proposed that HbMADS4 is a
negative regulator of HbSRPP which participates in the biosynthesis of natural
rubber.
PMID- 27895660
TI - ALA-Induced Flavonols Accumulation in Guard Cells Is Involved in Scavenging H2O2
and Inhibiting Stomatal Closure in Arabidopsis Cotyledons.
AB - 5-aminolevulinic acid (ALA), a new plant growth regulator, can inhibit stomatal
closure by reducing H2O2 accumulation in guard cells. Flavonols are a main kind
of flavonoids and have been proposed as H2O2 scavengers in guard cells. 5
aminolevulinic acid can significantly improve flavonoids accumulation in plants.
However, whether ALA increases flavonols content in guard cells and the role of
flavonols in ALA-regulated stomatal movement remains unclear. In this study, we
first demonstrated that ALA pretreatment inhibited ABA-induced stomatal closure
by reducing H2O2 accumulation in guard cells of Arabidopsis seedlings. This
result confirms the inhibitory effect of ALA on stomatal closure and the
important role of decreased H2O2 accumulation in this process. We also found that
ALA significantly improved flavonols accumulation in guard cells using a flavonol
specific dye. Furthermore, using exogenous quercetin and kaempferol, two major
components of flavonols in Arabidopsis leaves, we showed that flavonols
accumulation inhibited ABA-induced stomatal movement by suppressing H2O2 in guard
cells. Finally, we showed that the inhibitory effect of ALA on ABA-induced
stomatal closure was largely impaired in flavonoid-deficient transparent testa4
(tt4) mutant. In addition, exogenous flavonols recovered stomatal responses of
tt4 to the wild-type levels. Taken together, we conclude that ALA-induced
flavonol accumulation in guard cells is partially involved in the inhibitory
effect of ALA on ABA-induced H2O2 accumulation and stomatal closure. Our data
provide direct evidence that ALA can regulate stomatal movement by improving
flavonols accumulation, revealing new insights into guard cell signaling.
PMID- 27895661
TI - The Genome Conformation As an Integrator of Multi-Omic Data: The Example of
Damage Spreading in Cancer.
AB - Publicly available multi-omic databases, in particular if associated with medical
annotations, are rich resources with the potential to lead a rapid transition
from high-throughput molecular biology experiments to better clinical outcomes
for patients. In this work, we propose a model for multi-omic data integration
(i.e., genetic variations, gene expression, genome conformation, and epigenetic
patterns), which exploits a multi-layer network approach to analyse, visualize,
and obtain insights from such biological information, in order to use achieved
results at a macroscopic level. Using this representation, we can describe how
driver and passenger mutations accumulate during the development of diseases
providing, for example, a tool able to characterize the evolution of cancer.
Indeed, our test case concerns the MCF-7 breast cancer cell line, before and
after the stimulation with estrogen, since many datasets are available for this
case study. In particular, the integration of data about cancer mutations, gene
functional annotations, genome conformation, epigenetic patterns, gene
expression, and metabolic pathways in our multi-layer representation will allow a
better interpretation of the mechanisms behind a complex disease such as cancer.
Thanks to this multi-layer approach, we focus on the interplay of chromatin
conformation and cancer mutations in different pathways, such as metabolic
processes, that are very important for tumor development. Working on this model,
a variance analysis can be implemented to identify normal variations within each
omics and to characterize, by contrast, variations that can be accounted to
pathological samples compared to normal ones. This integrative model can be used
to identify novel biomarkers and to provide innovative omic-based guidelines for
treating many diseases, improving the efficacy of decision trees currently used
in clinic.
PMID- 27895662
TI - Muscle-Enriched MicroRNAs Isolated from Whole Blood Are Regulated by Exercise and
Are Potential Biomarkers of Cardiorespiratory Fitness.
AB - MicroRNAs (miRNAs) are small non-coding RNA molecules that regulate gene
expression post-transcriptionally. Evidence indicating miRNAs influence exercise
induced health and performance adaptations is mounting. Circulating miRNAs are
responsible for intercellular communication and could serve as biomarkers for
disease and exercise-related traits. Such biomarkers would contribute to exercise
screening, monitoring, and the development of personalized exercise prescription.
Accordingly, we investigated the impact of long-term strenuous aerobic exercise
training and a single bout of maximal aerobic exercise on five muscle-enriched
miRNAs implicated in exercise adaptations (miR-1, miR-133a, miR-181a, miR-486,
and miR-494). We also determined linear correlations between miRNAs, resting
heart rate, and maximum oxygen uptake (O2 max). We used TaqMan assay quantitative
polymerase chain reaction to analyze the abundance of miR-1, miR-133a, miR-181a,
miR-486, and miR-494 in resting whole blood of 67 endurance athletes and 61
healthy controls. Relative to controls, endurance athletes exhibited increased
miR-1, miR-486, and miR-494 content (1.26- to 1.58-fold change, all p < 0.05).
miR-1, miR-133a, and miR-486 were decreased immediately after maximal aerobic
exercise (0.64- to 0.76-fold change, all p < 0.01) performed by 19 healthy, young
men (20.7 +/- 2.4 years). Finally, we observed positive correlations between
miRNA abundance and O2 max (miR-1 and miR-486) and an inverse correlation between
miR-486 and resting heart rate. Therefore, muscle-enriched miRNAs isolated from
whole blood are regulated by acute and long-term aerobic exercise training and
could serve as biomarkers of cardiorespiratory fitness.
PMID- 27895663
TI - MiR-30a-5p Overexpression May Overcome EGFR-Inhibitor Resistance through
Regulating PI3K/AKT Signaling Pathway in Non-small Cell Lung Cancer Cell Lines.
AB - Lung cancer is one of the most common deadly diseases worldwide, most of which is
non-small cell lung cancer (NSCLC). The epidermal growth factor receptor (EGFR)
mutant NSCLCs frequently respond to the EGFR tyrosine kinase inhibitors (EGFR
TKIs) treatment, such as Gefitinib and Erlotinib, but the development of acquired
resistance limits the utility. Multiple resistance mechanisms have been explored,
e.g., the activation of alternative tyrosine kinase receptors (TKRs) sharing
similar downstream pathways to EGFR. MicroRNAs (miRNAs) are short, endogenous and
non-coding RNA molecules, regulating the target gene expression. In this study,
we explored the potential of miR-30a-5p in targeting the EGFR and insulin-like
growth factor receptor-1 (IGF-1R) signaling pathways to overcome the drug
resistance. IGF-1R is one of the tyrosine kinase receptors that share the same
EGFR downstream molecules, including phosphatidylinositol 3 kinase (PI3K) and
protein kinase B (AKT). In this work, an in vitro study was designed using EGFR
inhibitor (Gefitinib), IGF-1R inhibitor (NVP-AEW541), and miRNA mimics in two
Gefitinib-resistant NSCLC cell lines, H460 and H1975. We found that the
combination of EGFR and IGF-1R inhibitors significantly decreased the
phosphorylated AKT (p-AKT) expression levels compared to the control group in
these two cell lines. Knockdown of phosphoinositide-3-kinase regulatory subunit 2
(PIK3R2) had the same effect with the dual inhibition of EGFR and IGF-1R to
reduce the expression of p-AKT in the signaling pathway. Overexpression of miR
30a-5p significantly reduced the expression of the PI3K regulatory subunit
(PIK3R2) to further induce cell apoptosis, and inhibit cell invasion and
migration properties. Hence, miR-30a-5p may play vital roles in overcoming the
acquired resistance to EGFR-TKIs, and provide useful information for establishing
novel cancer treatment.
PMID- 27895665
TI - Sugammadex-Enhanced Neuronal Apoptosis following Neonatal Sevoflurane Exposure in
Mice.
AB - In rodents, neonatal sevoflurane exposure induces neonatal apoptosis in the brain
and results in learning deficits. Sugammadex is a new selective neuromuscular
blockade (NMB) binding agent that anesthesiologists can use to achieve immediate
reversal of an NMB with few side effects. Given its molecular weight of 2178,
sugammadex is thought to be unable to pass through the blood brain barrier (BBB).
Volatile anesthetics can influence BBB opening and integrity. Therefore, we
investigated whether the intraperitoneal administration of sugammadex could
exacerbate neuronal damage following neonatal 2% sevoflurane exposure via changes
in BBB integrity. Cleaved caspase-3 immunoblotting was used to detect apoptosis,
and the ultrastructure of the BBB was examined by transmission electron
microscopy. Exposure to 2% sevoflurane for 6 h resulted in BBB ultrastructural
abnormalities in the hippocampus of neonatal mice. Sugammadex alone without
sevoflurane did not induce apoptosis. The coadministration of sugammadex with
sevoflurane to neonatal mice caused a significant increase (150%) in
neuroapoptosis in the brain compared with 2% sevoflurane. In neonatal anesthesia,
sugammadex could influence neurotoxicity together with sevoflurane. Exposure to
2% sevoflurane for 6 h resulted in BBB ultrastructural abnormalities in the
hippocampus of neonatal mice.
PMID- 27895667
TI - Attitude to the Menopause and Sex amongst Middle-Aged Women in a Family Medicine
Clinic in Ibadan, Nigeria.
AB - Background. Menopause is the expected end of reproductive life. Having a positive
attitude towards it has been shown to result in a positive experience, while a
negative attitude is associated with negative experiences and symptoms.
Traditionally, women often abstain from sex after menopause. The study aimed to
determine the level of awareness and perceptions about the menopause and sex in
perimenopausal women attending a general outpatient clinic. Methods. Women over
40 years were recruited from the Family Medicine Department of University College
Hospital, excluding those who were menopausal. Data analyses were done with chi
square test (p < 0.05). Results. Most (302; 86.4%) of the 352 surveyed
participants were aware of the menopause. Only 36.1% anticipated associated
symptoms. About half (55.7%) were indifferent to menopause onset, while 23% had a
positive attitude and 21.4% had a negative attitude, respectively. Younger women
were less likely to have a positive attitude to the menopause (p = 0.04). There
were negative cultural beliefs towards sex. Sexual activity was low and declined
with age (p < 0.001). Many women would like treatment to improve their sexual
activity. Conclusion. Most participants had a favourable disposition towards the
menopause, though sexual relationships suffer. Counselling and treatment should
be offered.
PMID- 27895668
TI - Oral Tuberculosis: A Rare Manifestation of Disseminated Disease in a Patient with
Dermatomyositis on Chronic Corticosteroids.
AB - Tuberculosis remains one of the leading causes of death around the world despite
advancements in diagnostic testing and medical therapies. It commonly affects the
lungs, but isolated extra pulmonary clinical manifestations have been reported.
Tuberculosis of the oral cavity is exceedingly rare. We present a case of a
patient with dermatomyositis on chronic steroid therapy, who presented with
tuberculosis involving the tongue as the only clinical manifestation of
disseminated disease. Physicians must be aware of extra pulmonary manifestations
of tuberculosis in patients at risk, in order to avoid delays in diagnosis and
treatment and to prevent further contagion.
PMID- 27895669
TI - Myeloproliferative Disease: An Unusual Cause of Raynaud's Phenomenon and Digital
Ischaemia.
AB - We describe a 59-year-old female who presented with ischaemic digits, preceded by
a 6-month history of Raynaud's phenomenon affecting her fingers and toes. There
were no clinical or laboratory features of primary vasculitis or connective
tissue disease, Doppler imaging was normal, and bloods were unremarkable aside
from a platelet count of 786 * 109/L (150-400) and white cells of 16 * 109/L (4
11). In view of the thrombocytosis a JAK2 mutation assay was requested which
confirmed a JAK2 V617F mutation, suggesting essential thrombocytosis (ET) as the
cause. She received treatment with hydroxycarbamide which normalised her platelet
count and led to a complete resolution of her Raynaud's symptoms. Raynaud's
phenomenon is a rare manifestation of ET. Myeloproliferative disorders such as ET
should be considered in the differential diagnosis of Raynaud's phenomenon and
vasculitis.
PMID- 27895666
TI - Cardiometabolic Risk in Hyperlipidemic Men and Women.
AB - Objective. The aim of this study was to evaluate sex specific differences of
metabolic and clinical characteristics of treated hyperlipidemic men and women
(HL-men and HL-women). Methods. In this study vascular and metabolic
characteristics of 35 HL-women and 64 HL-men were assessed. In addition a sex
specific analysis of metabolic and nutritional habits of HL-patients with
prediabetes (HL-IGR) was done. Results. HL-women were older and had favourable
concentrations of high density lipoprotein cholesterol (HDL-cholesterol),
triglycerides (TG), and triglyceride/HDL-cholesterol ratio (TG/HDL-ratio) but
were also shown to have higher concentrations of lipoprotein-a compared to HL
men. HL-men were characterized as having higher levels of liver-specific
parameters and body weight as well as being more physically active compared to HL
women. Brain natriuretic peptide (pro-BNP) was higher in HL-women than HL-men,
while no differences in metabolic syndrome and glycemic parameters were shown. HL
IGR-women were also older and still had a better profile of sex specific lipid
parameters, as well as a lower body weight compared to HL-IGR-men. No differences
were seen in vascular parameters such as the intima media thickness (IMT).
Conclusion. HL-women were older and had overall more favourable concentrations of
lipid parameters and liver enzymes but did not differ regarding vascular
morphology and insulin sensitivity compared to HL-men of comparable body mass
index (BMI).
PMID- 27895664
TI - Application of Genomic Technologies to the Breeding of Trees.
AB - The recent introduction of next generation sequencing (NGS) technologies
represents a major revolution in providing new tools for identifying the genes
and/or genomic intervals controlling important traits for selection in breeding
programs. In perennial fruit trees with long generation times and large sizes of
adult plants, the impact of these techniques is even more important. High
throughput DNA sequencing technologies have provided complete annotated sequences
in many important tree species. Most of the high-throughput genotyping platforms
described are being used for studies of genetic diversity and population
structure. Dissection of complex traits became possible through the availability
of genome sequences along with phenotypic variation data, which allow to
elucidate the causative genetic differences that give rise to observed phenotypic
variation. Association mapping facilitates the association between genetic
markers and phenotype in unstructured and complex populations, identifying
molecular markers for assisted selection and breeding. Also, genomic data provide
in silico identification and characterization of genes and gene families related
to important traits, enabling new tools for molecular marker assisted selection
in tree breeding. Deep sequencing of transcriptomes is also a powerful tool for
the analysis of precise expression levels of each gene in a sample. It consists
in quantifying short cDNA reads, obtained by NGS technologies, in order to
compare the entire transcriptomes between genotypes and environmental conditions.
The miRNAs are non-coding short RNAs involved in the regulation of different
physiological processes, which can be identified by high-throughput sequencing of
RNA libraries obtained by reverse transcription of purified short RNAs, and by in
silico comparison with known miRNAs from other species. All together, NGS
techniques and their applications have increased the resources for plant breeding
in tree species, closing the former gap of genetic tools between trees and annual
species.
PMID- 27895671
TI - Long term results of PDR brachytherapy for lip cancer.
AB - PURPOSE: To evaluate the long time outcome with regard to local tumour control
and side effects of a pulsed dose rate (PDR) monobrachytherapy of primary or
recurrent cancer of the lip. MATERIAL AND METHODS: Between 1995 and 2007 we
treated 43 patients with primary or recurrent clinical T1-T3N0 lip cancers. There
were 22 T1 patients (51%), 16 T2 (37%) and 5 T3 cases (12%). A median dose of 60
(55-66) Gy was given, depending on the tumour volume. The PDR treatment was
delivered with 0.83 Gy/pulse every second hour for 5.5-6.5 days. The patients
were followed for a median of 55 (1-158) months. RESULTS: The 2-, 5- and 10-year
rates of actuarial local control were 97.6%, 94.5% and 94.5%, overall survival
88.0%, 58.9% and 39.1%, disease free survival 92.7%, 86.4% and 86.4%
respectively. The regional control rate was 93%. One patient (2%) developed
distant metastases. A dosimetrical analysis showed a mean treated volume of 14.9
(3.0-56.2) cm3. Long-term side effects were mild and the cosmetic outcome
excellent, except for 1 case (2%) of soft tissue necrosis and 1 case (2%) of
osteoradionecrosis. CONCLUSIONS: Local outcome is excellent and similar to other
published studies of continuous low dose rate (cLDR) brachytherapy.
PMID- 27895670
TI - Wnt5a Increases Properties of Lung Cancer Stem Cells and Resistance to Cisplatin
through Activation of Wnt5a/PKC Signaling Pathway.
AB - The development of chemoresistance to cisplatin regimens causes a poor prognosis
in patients with advanced NSCLC. The role of noncanonical Wnt signaling in the
regulation of properties of lung cancer stem cells and chemoresistance was
interrogated, by accessing capacities of cell proliferation, migration, invasion,
and clonogenicity as well as the apoptosis in A549 cell lines and cisplatin
resistant A549 cells treated with Wnt5a conditional medium or protein kinase C
(PKC) inhibitor GF109203X. Results showed that the noncanonical Wnt signaling
ligand, Wnt5a, could promote the proliferation, migration, invasion, and colony
formation in A549 lung adenocarcinoma cells and cisplatin-resistant A549/DDP
cells and increase the fraction of ALDH-positive cell in A549/DDP cells. An
exposure of cells to Wnt5a led to a significant reduction of A549/DDP cell
apoptosis but not A549 cells. An addition of GF109203X could both strikingly
increase the baseline apoptosis and resensitize the Wnt5a-inhibited cell
apoptosis. Interestingly, an inhibition of Wnt/PKC signaling pathway could reduce
properties of lung cancer stem cells, promote cell apoptosis, and resensitize
cisplatin-resistant cells to cisplatin via a caspase/AIF-dependent pathway. These
data thus suggested that the Wnt5a could promote lung cancer cell mobility and
cisplatin-resistance through a Wnt/PKC signaling pathway and a blockage of this
signaling may be an alternative therapeutic strategy for NSCLC patients with
resistance to chemotherapies.
PMID- 27895672
TI - Preoperative high dose rate brachytherapy for clinical stage II endometrial
carcinoma.
AB - PURPOSE: We sought to evaluate pathological response, tolerance, and outcome
after preoperative (neoadjuvant) high dose rate brachytherapy in a small series
of patients with clinical stage II endometrial carcinoma, and to evaluate a dose
and fractionation protocol for this treatment. MATERIAL AND METHODS: Twelve women
diagnosed with clinical stage II endometrial carcinoma from 1999-2010 were
treated with preoperative radiation therapy. Their medical charts were
retrospectively analyzed for HDR treatment regimen, pathological response, and
longitudinal outcomes. Radiation doses were normalized to a biologically
equivalent dose of 2 Gy per fraction (EQD2). RESULTS: Two patients had complete
pathological response to neoadjuvant therapy; five more had only microscopic
residual disease at the time of surgery. At a median follow up of 37 months (1-91
months), one patient has developed recurrence at the vaginal apex six months
after completing initial therapy, while another developed a lung recurrence at 28
months. Two-year disease-free and cause-specific survivals were 88% and 100%,
respectively. CONCLUSIONS: Our small study shows that the HDR fractionation
schedule, as done in our series for preoperative radiation therapy for clinical
stage II endometrial cancer, is well tolerated and would be an option for
patients treated with neoadjuvant radiation therapy.
PMID- 27895673
TI - Radiobiologically based treatment plan evaluation for prostate seed implants.
AB - PURPOSE: Accurate prostate low dose-rate brachytherapy treatment plan evaluation
is important for future care decisions. Presently, an evaluation is based on
dosimetric quantifiers for the tumor and organs at risk. However, these do not
account for effects of varying dose-rate, tumor repopulation and other biological
effects. In this work, incorporation of the biological response is used to obtain
more clinically relevant treatment plan evaluation. MATERIAL AND METHODS: Eleven
patients were evaluated. Each patient received a 145 Gy implant. Iodine-125 seeds
were used and the treatment plans were created on the Prowess system. Based on CT
images the post-implant plan was created. In the post-plan, the tumor, urethra,
bladder and rectum were contoured. The biologically effective dose was used to
determine the tumor control probability and the normal tissue complication
probabilities for the urethra, bladder, rectum and surrounding tissue. RESULTS:
The average tumor control probability and complication probabilities for the
urethra, bladder, rectum and surrounding tissue were 99%, 29%, 0%, 12% and 6%,
respectively. These measures provide a simpler means for evaluation and since
they include radiobiological factors, they provide more reliable estimation of
the treatment outcome. CONCLUSIONS: The goal of this work was to create more
clinically relevant prostate seed-implant evaluation by incorporating
radiobiological measures. This resulted in a simpler descriptor of treatment plan
quality and was consistent with patient outcomes.
PMID- 27895674
TI - Perioperative high dose rate (HDR) brachytherapy in unresectable locally advanced
pancreatic tumors.
AB - PURPOSE: The aim of the study was to present an original technique of catheter
implantation for perioperative HDR-Ir192 brachytherapy in patients after
palliative operations of unresectable locally advanced pancreatic tumors and to
estimate the influence of perioperative HDR-Ir192 brachytherapy on pain relief in
terminal pancreatic cancer patients. MATERIAL AND METHODS: Eight patients with
pancreatic tumors located in the head of pancreas underwent palliative operations
with the use of HDR-Ir192 brachytherapy. All patients qualified for surgery
reported pain of high intensity and had received narcotic painkillers prior to
operation. During the last phase of the surgery, the Nucletron(r) catheters were
implanted in patients to prepare them for later perioperative brachytherapy.
Since the 6th day after surgery HDR brachytherapy was performed. Before each
brachytherapy fraction the location of implants were checked using fluoroscopy. A
fractional dose was 5 Gy and a total dose was 20 Gy in the area of radiation. A
comparative study of two groups of patients (with and without brachytherapy) with
stage III pancreatic cancer according to the TNM scale was taken in
consideration. RESULTS AND CONCLUSIONS: The authors claim that the modification
of catheter implantation using specially designed cannula, facilitates the
process of inserting the catheter into the tumor, shortens the time needed for
the procedure, and reduces the risk of complications. Mean survival time was 5.7
months. In the group of performed brachytherapy, the mean survival time was 6.7
months, while in the group of no brachytherapy performed - 4.4 months. In the
group of brachytherapy, only one patient increased the dose of painkillers in the
last month of his life. Remaining patients took constant doses of medicines.
Perioperative HDR-Ir192 brachytherapy could be considered as a practical
application of adjuvant therapy for pain relief in patients with an advanced
pancreatic cancer.
PMID- 27895675
TI - BiopSee(r) - transperineal stereotactic navigated prostate biopsy.
AB - In the recent years, prostate cancer was the most commonly diagnosed cancer in
men. Currently secure diagnosis confirmation is done by a transrectal biopsy and
following histopathological examination. Conventional transrectal biopsy success
rates are rather low with ca. 30% detection upon the first and ca 20% after re
biopsy. The paper presents a novel system for stereotactic navigated prostate
biopsy. The approach results into higher accuracy, reproducibility and
unrestricted and effective access to all prostate regions. Custom designed
ultrasound, new template design and integrated 2-axes stepper allows superior 2D
and 3D prostate imaging quality and precise needle navigation. DICOM
functionality and image fusion enable to import pre-operative datasets (e.g.
multiparametric MRI, targets etc.) and overlay all available radiological
information into the biopsy planning and guiding procedure. The biopsy needle
insertion itself is performed under augmented reality ultrasound guidance. Each
procedure step is automatically documented in order to provide quality assurance
and permit data re-usage for the further treatment. First clinical results
indicates success rates of ca. 70% by first biopsies by our approach.
PMID- 27895676
TI - A comprehensive study on HDR brachytherapy treatments of cervical cancers: using
the first Co-60 BEBIG Multisource Unit in Bangladesh.
AB - PURPOSE: The report presents an extraordinary synthesis of customer acceptance
procedures (CAP), quality assurance tests (QA) in the treatment of cervical
cancer patients, using the first Co-60 Multisource Unit(r) in Bangladesh. The QA
and commissioning required measurements and emergency tests verifying the
functional limits of parameters acceptable for the new HDR afterloader.
Acceptable limits were: 1) the deviation between specified and measured source
strength: +/- 3%; 2) the positional accuracy and uniformity: +/- 1 mm; 3) the
temporal accuracy (i.e. timer error and linearity and end error): +/- 1% or 30
sec.; 4) treatment planning system (digitizer and localization software): +/- 3%
or 1 mm; 5) the distance from line to first dwell position and all the others: 5
mm and 10 mm (+/- 1 mm). MATERIAL AND METHODS: Till February 2011, 47 patients
were treated with HDR with more than 140 insertions applied. Amongst them, 12
patients were in stage IIB and IIIB, 22 were postoperative (IA and IB) while the
remaining 13 patients were with unknown stage. All the cases with stage IIB and
IIIB received concurrent chemo-radiation and brachytherapy. Postoperative
patients received EBRT (50 Gy and HDR) according to the institutional protocol.
CT scans were completed before HDR-plus planning with a good reproducibility (+/-
2%) and were documented in repeating the plan for the same set up of a patient.
Absorbed dose (Gy) to a point P, at a distance of "r" in centimeters from a
source of the Reference Air Kerma Rate (RAKR) has been utilized for the QA of the
source, where source strength measurement was accomplished. RESULTS: All methods
and analysis applicable to the QA and commissioning of Co-60 have been
investigated and systematically analyzed, measured and documented before the
treatment of a patient. Studies and safety requirements of this HDR remote
afterloader were carried out. Acceptance and the QA were imperative to justify
functionality and dependability in delivering the treatment. Implications of
these studies were described in detail in this paper, where equipments and
guidelines of measurement parameters are enunciated. CONCLUSIONS: We noted that
contouring structures from CT images, prescription points for dose delivery,
optimization, isodose evaluation, DVH, dwell times and a 3-D Dose reconstructed
images, etc. followed by a final verification after delivering the treatment at
the console, are well prepared in the new planning software. We present our
material as an early preliminary report.
PMID- 27895677
TI - Predictors of prostate volume reduction following neoadjuvant cytoreductive
androgen suppression.
AB - PURPOSE: Limited duration cytoreductive neoadjuvant hormonal therapy (NHT) is
used prior to definitive radiotherapeutic management of prostate cancer to
decrease prostate volume. The purpose of this study is to examine the effect of
NHT on prostate volume before permanent prostate brachytherapy (PPB), and
determine associated predictive factors. MATERIAL AND METHODS: Between June 1998
and April 2012, a total of 1,110 patients underwent PPB and 207 patients
underwent NHT. Of these, 189 (91.3%) underwent detailed planimetric transrectal
ultrasound before and after NHT prior to PPB. Regression analysis was used to
assess predictors of absolute and percentage change in prostate volume after NHT.
RESULTS: The median duration of NHT was 4.9 months with inter quartile range
(IQR), 4.2-6.6 months. Prostate-specific antigen (PSA) reduced by a median of 97%
following NHT. The mean prostate volume before NHT was 62.5 +/- 22.1 cm3 (IQR: 46
76 cm3), and after NHT, it was 37.0 +/- 14.5 cm3 (IQR: 29-47 cm3). The mean
prostate volume reduction was 23.4 cm3 (35.9%). Absolute prostate volume
reduction was positively correlated with initial volume and inversely correlated
with T-stage, Gleason score, and NCCN risk group. In multivariate regression
analyses, initial prostate volume (p < 0.001) remained as a significant predictor
of absolute and percent prostate volume reduction. Total androgen suppression was
associated with greater percent prostate volume reduction than luteinizing
hormone releasing hormone agonist (LHRHa) alone (p = 0.001). CONCLUSIONS:
Prostate volume decreased by approximately one third after 4.9 months of NHT,
with total androgen suppression found to be more efficacious in maximizing
cytoreduction than LHRHa alone. Initial prostate volume is the greatest predictor
for prostate volume reduction.
PMID- 27895678
TI - Prostate brachytherapy in Ghana: our initial experience.
AB - PURPOSE: This study presents the experience of a brachytherapy team in Ghana with
a focus on technology transfer and outcome. The team was initially proctored by
experienced physicians from Europe and South Africa. MATERIAL AND METHODS: A
total of 90 consecutive patients underwent either brachytherapy alone or
brachytherapy in combination with external beam radiotherapy for prostate
carcinoma between July 2008 and February 2014 at Korle Bu Teaching Hospital,
Accra, Ghana. Patients were classified as low-risk, intermediate, and high-risk
according to the National Comprehensive Cancer Network (NCCN) criteria. All low
risk and some intermediate risk group patients were treated with seed
implantation alone. Some intermediate and all high-risk group patients received
brachytherapy combined with external beam radiotherapy. RESULTS: The median
patient age was 64.0 years (range 46-78 years). The median follow-up was 58
months (range 18-74 months). Twelve patients experienced biochemical failure
including one patient who had evidence of metastatic disease and died of prostate
cancer. Freedom from biochemical failure rates for low, intermediate, and high
risk cases were 95.4%, 90.9%, and 70.8%, respectively. Clinical parameters
predictive of biochemical outcome included: clinical stage, Gleason score, and
risk group. Pre-treatment prostate specific antigen (PSA) was not a statistically
significant predictor of biochemical failure. Sixty-nine patients (76.6%)
experienced grade 1 urinary symptoms in the form of frequency, urgency, and poor
stream. These symptoms were mostly self-limiting. Four patients needed
catheterization for urinary retention (grade 2). One patient developed a recto
urethral fistula (grade 3) following banding for hemorrhoids. CONCLUSIONS: Our
results compare favorably with those reported by other institutions with more
extensive experience. We believe therefore that, interstitial permanent
brachytherapy can be safely and effectively performed in a resource challenged
environment if adequate training and proctoring is provided.
PMID- 27895679
TI - The impact of body mass index on dosimetric quality in low-dose-rate prostate
brachytherapy.
AB - PURPOSE: Low-dose-rate (LDR) brachytherapy has been established as an effective
and safe treatment option for men with low and intermediate risk prostate cancer.
In this retrospective analysis, we sought to study the effect of body mass index
(BMI) on post-implant dosimetric quality. MATERIAL AND METHODS: After
institutional approval, records of patients with non-metastatic prostate cancer
treated in Puerto Rico with LDR brachytherapy during 2008-2013 were reviewed. All
patients were implanted with 125I seeds to a prescription dose of 145 Gy.
Computed tomography (CT) based dosimetry was performed 1 month after implant.
Patients with at least 1 year of prostate-specific antigen (PSA) follow-up were
included. Factors predictive of adequate D90 coverage (>= 140 Gy) were compared
via the Pearson chi2 or Wilcoxon rank-sum test as appropriate. RESULTS: One
hundred and four patients were included in this study, with 53 (51%) patients
having a D90 >= 140 Gy. The only factor associated with a dosimetric coverage
detriment (D90 < 140 Gy) was BMI >= 25 kg/m2 (p = 0.03). Prostate volume (p =
0.26), initial PSA (p = 0.236), age (p = 0.49), hormone use (p = 0.93), percent
of cores positive (p = 0.95), risk group (p = 0.24), tumor stage (p = 0.66), and
Gleason score (p = 0.61) did not predict D90. CONCLUSIONS: In this study we show
that BMI is a significant pre-implant predictor of D90 (< 140 Gy vs. >= 140 Gy).
Although other studies have reported that prostate volume also affects D90, our
study did not find this correlation to be statistically significant, likely
because all of our patients had a prostate volume < 50 cc. Our study suggests
that in patients with higher BMI values, more rigorous peri-implant dosimetric
parameters may need to be applied in order to achieve a target D90 > 140 Gy.
PMID- 27895681
TI - Rectal separation using hydroxypropyl methylcellulose in intracavitary
brachytherapy of cervical cancer: an innovative approach.
AB - PURPOSE: This study was initiated to prove feasibility of hydrogel application in
recto-vaginal space in intracavitary brachytherapy (ICBT) of cervical cancer in
order to reduce rectal toxicity. MATERIAL AND METHODS: In a case of stage IIB
cervical cancer, after external beam radiotherapy (EBRT), we planned ICBT 7 Gy *
3 fractions. In 1st fraction (Plan 1), due to narrow separation between rectum
and cervix (0.18 cm), only 5 Gy was delivered at point A (with high-risk clinical
target volume [HR-CTV] D90 5.94 Gy, intermediate risk clinical target volume [IR
CTV] D90 4.54 Gy, rectum D2cc 5.72 Gy, bladder D2cc 5.52 Gy, and sigmoid colon
5.82 Gy). In 2nd fraction (Plan 2), interstitial brachytherapy (ISBT) was
attempted. For the prescription of 5 Gy, we get dose levels almost similar to the
1st insertion: HR-CTV D90 (6.7 Gy), IR-CTV D90 (3.06 Gy), bladder D2cc (5.7 Gy),
rectum D2cc (4.8 Gy), sigmoid colon D2cc (1.3 Gy) (separation = 0.23 cm). During
3rd fraction (Plan 3), prior doing interstitial insertion, we instilled 50 cc of
hydroxypropyl methylcellulose (Viscomet(r)) up to the tip of recto-vaginal
septum. A repeat computed tomography (CT) scan was done 4 hours after Plan 3
treatment and it was re-planned (Plan 4) to find out migration of hydrogel if any
and its dosimetric impact. RESULTS: 9 Gy was delivered to point A with a
separation of 1.1 cm in Plan 3 (with HR-CTV D90 16.4 Gy, IR-CTV D90 11.3 Gy,
rectum D2cc 3.6 Gy, bladder D2cc 6.9 Gy, and sigmoid colon 2.2 Gy). We achieved
an optimum cumulative EQD2 dose (HR-CTV D90 98.4 Gy, IR-CTV D90 76.1 Gy, rectum
D2cc 67.7 Gy, bladder D2cc 73.2 Gy, and sigmoid colon 59.3 Gy). Hydrogel volume
was decreased in Plan 4 without a major dosimetric changes. CONCLUSIONS: Hydrogel
instillation is a useful tool for recto-vaginal separation during cervical cancer
brachytherapy. It increases therapeutic ratio without any adverse event.
PMID- 27895680
TI - The role of interstitial brachytherapy in the management of primary radiation
therapy for uterine cervical cancer.
AB - PURPOSE: The aim of this study was to report the clinical results of uterine
cervical cancer patients treated by primary radiation therapy including
brachytherapy, and investigate the role of interstitial brachytherapy (ISBT).
MATERIAL AND METHODS: All consecutive uterine cervical cancer patients who were
treated by primary radiation therapy were reviewed, and those who were treated by
ISBT were further investigated for clinical outcomes and related toxicities.
RESULTS: From December 2008 to October 2014, 209 consecutive uterine cervical
cancer patients were treated with primary radiation therapy. Among them, 142 and
42 patients were treated by intracavitary and hybrid brachytherapy, respectively.
Twenty-five patients (12%) were treated by high-dose-rate (HDR)-ISBT. Five
patients with distant metastasis other than para-aortic lymph node were excluded,
and 20 patients consisted of the analysis. Three-year overall survival (OS),
progression-free survival (PFS), and local control (LC) rate were 44.4%, 38.9%,
and 87.8%, respectively. Distant metastasis was the most frequent site of first
relapse after HDR-ISBT. One and four patients experienced grade 3 and 2 rectal
bleeding, one grade 2 cystitis, and two grade 2 vaginal ulcer. CONCLUSIONS:
Feasibility and favorable local control of interstitial brachytherapy for locally
advanced cervical cancer was demonstrated through a single institutional
experience with a small number of patients.
PMID- 27895683
TI - Salvage interstitial brachytherapy based on computed tomography for recurrent
cervical cancer after radical hysterectomy and adjuvant radiation therapy: case
presentations and introduction of the technique.
AB - PURPOSE: Locally recurring cervical cancer after surgery and adjuvant
radiotherapy remains a major therapeutic challenge. This paper presents a new
therapeutic technique for such patients: interstitial brachytherapy (BT) guided
by real-time three-dimensional (3D) computed tomography (CT). MATERIAL AND
METHODS: Sixteen patients with recurrent cervical cancer after radical surgery
and adjuvant external-beam radiotherapy (EBRT) were included in this study. These
patients underwent high-dose-rate (HDR) interstitial BT with free-hand placement
of metal needles guided by real-time 3D-CT. Six Gy in 6 fractions were prescribed
for the high-risk clinical target volume (HR-CTV). D90 and D100 for HR-CTV of BT,
and the cumulative D2cc for the bladder, rectum, and sigmoid, including previous
EBRT and present BT were analyzed. Treatment-related complications and 3-month
tumor-response rates were investigated. RESULTS: The mean D90 value for HR-CTV
was 52.5 +/- 3.3 Gy. The cumulative D2cc for the bladder, rectum, and sigmoid
were 85.6 +/- 5.8, 71.6 +/- 6.4, and 69.6 +/- 5.9 Gy, respectively. The mean
number of needles was 6.1 +/- 1.5, with an average depth of 3.5 +/- 0.9 cm for
each application. Interstitial BT was associated with minor complications and
passable tumor-response rate. CONCLUSIONS: Interstitial BT guided by real-time 3D
CT for recurrent cervical cancer results in good dose-volume histogram (DVH)
parameters. The current technique may be clinically feasible. However, long-term
clinical outcomes should be further investigated.
PMID- 27895684
TI - Investigation of the gold nanoparticles effects on the prostate dose distribution
in brachytherapy: gel dosimetry and Monte Carlo method.
AB - PURPOSE: In this work, gold nanoparticles (GNPs) were embedded in the MAGIC-f
polymer gel irradiated with the 192Ir brachytherapy sources. MATERIAL AND
METHODS: At the first plexiglas phantom was made as the human pelvis. The GNPs
were synthesized with 15 nm in diameter and 0.1 mM (0.0197 mg/ml) in
concentration by using a chemical reduction method. Then, the MAGIC-f gel was
synthesized. The fabricated gel was poured into the tubes located at the prostate
(with and without the GNPs) locations of the phantom. The phantom was irradiated
with 192Ir brachytherapy sources for prostate cancer. After 24 hours, the
irradiated gels was read by using Siemens 1.5 Tesla MRI scanner. Following the
brachytherapy practices, the absolute doses at the reference points and isodose
curves were extracted and compared by experimental measurements and Monte Carlo
(MC) simulations. RESULTS: The mean absorbed doses in the presence of the GNPs in
prostate were 14% higher than the corresponding values without the GNPs in the
brachytherapy. The gamma index analysis (between gel and MC) using 7%/7 mm was
also applied to the data and a high pass rate achieved (91.7% and 86.4% for
analysis with/without GNPs, respectively). CONCLUSIONS: The real three
dimensional analysis shows the comparison of the dose-volume histograms measured
for planning volumes and the expected one from the MC calculation. The results
indicate that the polymer gel dosimetry method, which developed and used in this
study, could be recommended as a reliable method for investigating the dose
enhancement factor of GNPs in brachytherapy.
PMID- 27895682
TI - Development and clinical implementation of a new template for MRI-based
intracavitary/interstitial gynecologic brachytherapy for locally advanced
cervical cancer: from CT-based MUPIT to the MRI compatible Template Benidorm. Ten
years of experience.
AB - PURPOSE: To study outcome and toxicity in 59 patients with locally advanced
cervix carcinoma treated with computed tomography (CT)-based Martinez universal
perineal interstitial template (MUPIT) and the new magnetic resonance imaging
(MRI)-compatible template Benidorm (TB). MATERIAL AND METHODS: From December 2005
to October 2015, we retrospectively analyzed 34 patients treated with MUPIT and
25 treated with the TB. Six 4 Gy fractions were prescribed to the clinical target
volume (CTV) combined with external beam radiotherapy (EBRT). The organs at risk
(OARs) and the CTV were delineated by CT scan in the MUPIT implants and by MRI in
the TB implants. Dosimetry was CT-based for MUPIT and exclusively MRI-based for
TB. Dose values were biologically normalized to equivalent doses in 2 Gy
fractions (EQD2). RESULTS: Median CTV volumes were 163.5 cm3 for CT-based MUPIT
(range 81.8-329.4 cm3) and 91.9 cm3 for MRI-based TB (range 26.2-161 cm3). Median
D90 CTV (EBRT + BT) was 75.8 Gy for CT-based MUPIT (range 69-82 Gy) and 78.6 Gy
for MRI-based TB (range 62.5-84.2 Gy). Median D2cm3 for the rectum was 75.3 Gy
for CT-based MUPIT (range 69.8-132.1 Gy) and 69.9 Gy for MRI-based TB (range 58.3
83.7 Gy). Median D2cm3 for the bladder was 79.8 Gy for CT-based MUPIT (range 71.2
121.1 Gy) and 77.1 Gy for MRI-based TB (range 60.5-90.8 Gy). Local control (LC)
was 88%. Overall survival (OS), disease free survival (DFS), and LC were not
statistically significant in either group. Patients treated with CT-based MUPIT
had a significantly higher percentage of rectal bleeding G3 (p = 0.040) than
those treated with MRI-based TB, 13% vs. 2%. CONCLUSIONS: Template Benidorm
treatment using MRI-based dosimetry provides advantages of MRI volume definition,
and allows definition of smaller volumes that result in statistically significant
decreased rectal toxicity compared to that seen with CT-based MUPIT treatment.
PMID- 27895685
TI - Pediatric peri-operative fractionated high-dose-rate brachytherapy for recurrent
Wilms' tumor using a reconstructed Freiburg flap.
AB - PURPOSE: To report peri-operative fractionated high-dose-rate (HDR) brachytherapy
with a 3D customized Freiburg flap applicator to treat locally recurrent Wilms'
tumor, followed by immediate hyperthermic intraperitoneal chemotherapy for a 16
year-old with a second recurrence of nephroblastoma (Wilms' tumor). MATERIAL AND
METHODS: The tumor was excised and surgical bed was treated with fractionated HDR
brachytherapy using a Freiburg flap applicator. Hyperthermic intraperitoneal
chemotherapy was performed immediately after the removal of brachytherapy
applicator. RESULTS: The Freiburg flap was successfully reconstructed to enable
delivery of conformable peri-operative HDR brachytherapy. The clinical target
volume (CTV) D90 was 26 Gy in 5 fractions. CONCLUSIONS: Peri-operative
fractionated HDR brachytherapy with a customized Freiburg flap applicator was
delivered successfully across a large multi-disciplinary team.
PMID- 27895686
TI - Successful treatment of a 67-year-old woman with urethral adenocarcinoma with the
use of external beam radiotherapy and image guided adaptive interstitial
brachytherapy.
AB - Primary urethral cancer (PUC) is a very rare disease. This case report
illustrates a successful treatment approach of a 67-year-old woman with a
urethral adenocarcinoma selected for an organ preserving treatment with external
beam radiotherapy (EBRT) and interstitial brachytherapy (BT) boost, using the GEC
ESTRO target concept originally designed for locally advanced cervical cancer
(LACC). Treatment included EBRT with 45 Gy in 25 fractions followed by image
guided adaptive interstitial BT (IGABT) with a pulsed-dose-rate (PDR) BT boost
with 30 Gy in 50 hourly pulses. The D90 for CTVHR was 79.1 Gy in EQD23. At 24
months follow-up, the patient was recurrence free and without treatment related
side effects.
PMID- 27895687
TI - Commissioning and quality assurance procedures for the HDR Valencia skin
applicators.
AB - The Valencia applicators (Nucletron, an Elekta company, Elekta AB, Stockholm,
Sweden) are cup-shaped tungsten applicators with a flattening filter used to
collimate the radiation produced by a high-dose-rate (HDR) 192Ir source, and
provide a homogeneous absorbed dose at a given depth. This beam quality provides
a good option for the treatment of skin lesions at shallow depth (3-4 mm). The
user must perform commissioning and periodic testing of these applicators to
guarantee the proper and safe delivery of the intended absorbed dose, as
recommended in the standards in radiation oncology. In this study, based on AAPM
and GEC-ESTRO guidelines for brachytherapy units and our experience, a set of
tests for the commissioning and periodic testing of the Valencia applicators is
proposed. These include general considerations, verification of the manufacturer
documentation and physical integrity, evaluation of the source-to-indexer
distance and reproducibility, setting the library plan in the treatment planning
system, evaluation of flatness and symmetry, absolute output and percentage depth
dose verification, independent calculation of the treatment time, and visual
inspection of the applicator before each treatment. For each test, the proposed
methodology, equipment, frequency, expected results, and tolerance levels (when
applicable) are provided.
PMID- 27895688
TI - Electromagnetic tracking for treatment verification in interstitial
brachytherapy.
AB - Electromagnetic tracking (EMT) is used in several medical fields to determine the
position and orientation of dedicated sensors, e.g., attached to surgical tools.
Recently, EMT has been introduced to brachytherapy for implant reconstruction and
error detection. The manuscript briefly summarizes the main issues of EMT and
error detection in brachytherapy. The potential and complementarity of EMT as
treatment verification technology will be discussed in relation to in vivo
dosimetry and imaging.
PMID- 27895689
TI - Waist-to-height ratio as a screening tool for obesity and cardiometabolic risk.
AB - The waist-to-height ratio (WHtR), calculated by dividing the waist circumference
(WC) by height, has recently gained attention as an anthropometric index for
central adiposity. It is an easy-to-use and less age-dependent index to identify
individuals with increased cardiometabolic risk. A WHtR cutoff of 0.5 can be used
in different sex and ethnic groups and is generally accepted as a universal
cutoff for central obesity in children (aged >=6 years) and adults. However, the
WHtR has not been validated in preschool children, and the routine use of WHtR in
children under age 6 is not recommended. Prospective studies and meta-analysis in
adults revealed that the WHtR is equivalent to or slightly better than WC and
superior to body mass index (BMI) in predicting higher cardiometabolic risk. In
children and adolescents, studies have shown that the WHtR is similar to both BMI
and WC in identifying those at an increased cardiometabolic risk. Additional use
of WHtR with BMI or WC may be helpful because WHtR considers both height and
central obesity. WHtR may be preferred because of its simplicity and because it
does not require sex- and age-dependent cutoffs; additionally, the simple message
'keep your WC to less than half your height' may be particularly useful. This
review article summarizes recent publications on the usefulness of using WHtR
especially when compared to BMI and WC as a screening tool for obesity and
related cardiometabolic risks, and recommends the use of WHtR in clinical
practice for obesity screening in children and adolescents.
PMID- 27895691
TI - Prognostic factors of neurological outcomes in late-preterm and term infants with
perinatal asphyxia.
AB - PURPOSE: This study aimed to identify prognostic factors of neurological
outcomes, including developmental delay, cerebral palsy and epilepsy in late
preterm and term infants with perinatal asphyxia. METHODS: All late-preterm and
term infants with perinatal asphyxia or hypoxic-ischemic insults who admitted the
neonatal intensive care unit of Inje University Sanggye Paik Hospital between
2006 and 2014 and were followed up for at least 2 years were included in this
retrospective study. Abnormal neurological outcomes were defined as cerebral
palsy, developmental delay and epilepsy. RESULTS: Of the 114 infants with
perinatal asphyxia, 31 were lost to follow-up. Of the remaining 83 infants, 10
died, 56 had normal outcomes, and 17 had abnormal outcomes: 14 epilepsy (82.4%),
13 cerebral palsy (76.5%), 16 developmental delay (94.1%). Abnormal outcomes were
significantly more frequent in infants with later onset seizure, clinical
seizure, poor electroencephalography (EEG) background activity, lower Apgar score
at 1 and 5 minutes and abnormal brain imaging (P<0.05). Infants with and without
epilepsy showed significant differences in EEG background activity, clinical and
electrographic seizures on EEG, Apgar score at 5 minutes and brain imaging
findings. CONCLUSION: We should apply with long-term video EEG or amplitude
integrated EEG in order to detect and management subtle clinical or
electrographic seizures in neonates with perinatal asphyxia. Also, long-term,
prospective studies with large number of patients are needed to evaluate more
exact prognostic factors in neonates with perinatal asphyxia.
PMID- 27895690
TI - Rhinovirus and childhood asthma: an update.
AB - Asthma is recognized as a complex disease resulting from interactions between
multiple genetic and environmental factors. Accumulating evidence suggests that
respiratory viral infections in early life constitute a major environmental risk
factor for the development of childhood asthma. Respiratory viral infections have
also been recognized as the most common cause of asthma exacerbation. The advent
of molecular diagnostics to detect respiratory viruses has provided new insights
into the role of human rhinovirus (HRV) infections in the pathogenesis of asthma.
However, it is still unclear whether HRV infections cause asthma or if wheezing
with HRV infection is simply a predictor of childhood asthma. Recent clinical and
experimental studies have identified plausible pathways by which HRV infection
could cause asthma, particularly in a susceptible host, and exacerbate disease.
Airway epithelial cells, the primary site of infection and replication of HRV,
play a key role in these processes. Details regarding the role of genetic
factors, including ORMDL3, are beginning to emerge. This review discusses recent
clinical and experimental evidence for the role of HRV infection in the
development and exacerbation of childhood asthma and the potential underlying
mechanisms that have been proposed.
PMID- 27895692
TI - Impact of postoperative duration of Aspirin use on longevity of bioprosthetic
pulmonary valve in patients who underwent congenital heart disease repair.
AB - PURPOSE: Generally, aspirin is used as a protective agent against thrombogenic
phenomenon after pulmonary valve replacement (PVR) using a bioprosthetic valve.
However, the appropriate duration of aspirin use is unclear. We analyzed the
impact of postoperative duration of aspirin use on the longevity of bioprosthetic
pulmonary valves in patients who underwent repair for congenital heart diseases.
METHODS: We retrospectively reviewed the clinical data of 137 patients who
underwent PVR using a bioprosthetic valve between January 2000 and December 2003.
Among these patients, 89 were included in our study and divided into groups I
(<=12 months) and II (>12 months) according to duration of aspirin use. We
analyzed echocardiographic data from 9 to 11 years after PVR. Pulmonary vale
stenosis and regurgitation were classified as mild, moderate, or severe. RESULTS:
The 89 patients consisted of 53 males and 36 females. Their mean age was 14.3+/
8.9 years (range, 2.6-48 years) and body weight was 37.6+/-14.7 kg (range, 14-72
kg). The postoperative duration of aspirin use was 7.3+/-2.9 months in group I
and 32.8+/-28.4 months in group II. However, no significant difference in sex
ratio, age, body weight, type of bioprosthetic valve, and number of early redo
PVRs. In the comparison of echocardiographic data about 10 years later, no
significant difference in pulmonary valve function was found. The overall freedom
rate from redo-PVR at 10 years showed no significant difference (P=0.498).
CONCLUSION: Our results indicated no benefit from long-term aspirin medication
(>6 months) in patients who underwent PVR with a bioprosthetic valve.
PMID- 27895693
TI - P wave dispersion on 12-lead electrocardiography in adolescents with
neurocardiogenic syncope.
AB - PURPOSE: Neurocardiogenic syncope (NCS) is the most frequent cause of fainting
during adolescence. Inappropriate cardiovascular autonomic control may be
responsible for this clinical event. The head-up tilt test has been considered a
diagnostic standard, but it is cumbersome and has a high false-positive rate. We
performed a study to evaluate whether P-wave dispersion (PWD) could be a useful
electrocardiographic parameter of cardiac autonomic dysfunction in children with
NCS. METHODS: Fifty-four patients with NCS (28 boys and 26 girls; mean age,
12.3+/-1.4 years) and 55 age- and sex-matched healthy controls were enrolled. PWD
was obtained as the difference between maximum and minimum durations of the P
wave on standard 12-lead electrocardiography in all patients and controls.
RESULTS: The value of PWD was significantly higher in the syncope group than in
the control group (69.7+/-19.6 msec vs. 45.5+/-17.1 msec, respectively; P<0.001).
The minimum duration of P wave was shorter in the syncope group than in the
control group (43.8+/-16.8 msec vs. 53.5+/-10.7 msec, respectively; P<0.001).
Left atrial volume was not different between the groups on transthoracic
echocardiography. CONCLUSION: PWD on echocardiography could be used as a clinical
parameter in patients with NCS.
PMID- 27895694
TI - Edentulous child with Allgrove syndrome: a rare case report.
AB - Triple-A syndrome, also known as Allgrove syndrome, is a rare autosomal recessive
disorder. The 3 features of this syndrome are achalasia, adrenal insufficiency,
and alacrima. Achalasia could be the first manifestation of the triple-A
syndrome; however, its etiology is unclear. Alacrima is generally asymptomatic
but can be detected by obtaining patient history. Although adrenal insufficiency
could have manifestations such as asthenia, it might be wrongly diagnosed as
muscle fatigue. Vitamin D and calcium supplements are usually prescribed for the
prevention of osteoporosis. Neurologic manifestations could be present in adults.
In some individuals with this disorder, genetic examination indicates mutations
in both alleles of the AAAS gene, which encodes a special 546-amino-acid protein
designated ALADIN, and in chromosome 12q13. The genetic cause of the triple A
syndrome in some patients who do not have an identified mutation is unknown.
While very few such cases have been reported till date, one such case was
presented to us as an edentulous child.
PMID- 27895695
TI - The Effects of Guizhi Fuling Capsule Drug Serum on Uterine Leiomyoma Cells and
Its Mechanism.
AB - Aims. To observe the effects of Guizhi Fuling Capsule (GZFLC) drug serum on
uterine leiomyoma cells and explore its mechanism. Main Methods. Sixty Sprague
Dawley rats were randomly divided into two groups (normal saline lavage group and
GZFLC lavage group), then, respectively, blank serum and GZFLC drug serum were
collected, and finally human uterine leiomyoma cells were treated. Human
leiomyoma tissues were collected from 20 patients who underwent uterine
leiomyomas operations, and leiomyoma cells were primary cultured. The leiomyoma
cells were treated by GZFLC drug serum in different concentrations (10%, 20%, and
30%) and variable treatment time (12 h, 24 h, 36 h, 48 h, and 72 h). Cell
proliferation was observed using CCK8 assay. Flow cytometry and Annexin V/PI were
used to assay the effects of GZFLC drug serum on cell apoptosis. Western blot
analysis was used to assay the effects of GZFLC drug serum on TSC2, FOXO, and 14
3-3gamma expression in uterine leiomyoma cells. Key Findings. In the
concentrations of 10%~30%, GZFLC drug serum could inhibit proliferation of
leiomyoma cells in dose-dependent manner; at the time of 36 h, cell inhibition
rate was at the peak; GZFLC drug serum could induce apoptosis of leiomyoma also
in a dose-dependent manner, and apoptosis rate quickly achieved maximum at 12 h
time points, and then second apoptosis peak appeared at 36 h. Compared to
nontreatment group, TSC2, FOXO, and 14-3-3gamma expressions in drug serum group
were significantly changed after 12 h treatment. Significance. GZFLC drug serum
can efficiently inhibit the proliferation and induce apoptosis of leiomyoma
cells, which is related to the 14-3-3gamma pathway.
PMID- 27895696
TI - Efficacy of Danlou Tablet in Patients with Non-ST Elevation Acute Coronary
Syndrome Undergoing Percutaneous Coronary Intervention: Results from a
Multicentre, Placebo-Controlled, Randomized Trial.
AB - This study seeks to investigate potential cardioprotection of Danlou Tablets in
patients undergoing PCI with non-ST elevation acute coronary syndrome (NSTE-ACS).
219 patients with NSTE-ACS were randomised to Danlou Tablet pretreatment (n =
109) or placebo (n = 110). No patients received statins prior to PCI and all
patients were given atorvastatin (10 mg/day) after procedure. The main endpoint
was the composite incidence of major adverse cardiac events (MACEs) within 30
days after PCI. The proportion of patients with elevated levels of cTn I>5 * 99%
of upper reference limit was significantly lower in the Danlou Tablet group at 8
h (22.0% versus 34.5%, p = 0.04) and 24 h (23.9% versus 38.2%, p = 0.02) after
PCI. The 30-day MACEs occurred in 22.0% of the Danlou Tablet group and 33.6% in
the placebo group (p = 0.06). The incidence of MACE at 90-day follow-up was
significantly decreased in the Danlou Tablet group compared to the placebo group
(23.9% versus 37.3%, p = 0.03). The difference between the groups at 90 days was
the incidence of nonfatal myocardial infarction (22% versus 34.5%, p = 0.04).
These findings might support that treatment with Danlou Tablet could reduce the
incidence of periprocedural myocardial infarction in patients with ACS undergoing
PCI.
PMID- 27895699
TI - Resilience and burnout status among nurses working in oncology.
AB - BACKGROUND: This study aimed to identify the resilience and burnout status of
nurses working in the field of oncology. METHODS: This descriptive study was
conducted with 140 oncology nurses. The data were collected using a socio
demographic attributes form, Resilience Scale for Adults, and the Maslach's
Burnout Inventory. Percentage ratios, mean and median values, Kruskal-Wallis
test, Mann-Whitney U test, correlation analysis, and multiple stepwise linear
regression analysis were used to evaluate the data. RESULTS: The Maslach's
Burnout Inventory total median score was 49.00. The emotional exhaustion median
score was 24.00, the depersonalization median score was 9.00, and the personal
accomplishment median score was 16.00. The Resilience Scale for Adults total
median score was 134.00. The median resilience subscale scores, such as
structural style, perception of future, family cohesion, self-perception, social
competence, and social resources, were 16.00, 16.00, 24.00, 25, 23, and 31,
respectively. A relationship existed between emotional exhaustion and perception
of future; depersonalization and structured style and self-perception; and
personal accomplishment and structured style, perception of future, and self
perception. Multiple stepwise linear regression analysis revealed a significant
relationship between the number of years in the field and emotional exhaustion
and depersonalization scores. Moreover, a significant relationship between
structured style variables and personal accomplishment scores was observed.
CONCLUSIONS: This study demonstrated the relationship between burnout and
resilience situations among the oncology nurses. The results can be used to plan
individual and organizational interventions to increase resilience and reduce the
experience of burnout by developing measures such as improving communication
skills, providing education on stress management and coping strategies, using
social resources, and organizing programs that provide psychological support.
PMID- 27895698
TI - Combinations of bio-active dietary constituents affect human white adipocyte
function in-vitro.
AB - BACKGROUND: Specific bio-active dietary compounds modulate numerous metabolic
processes in adipose tissue (AT), including pre-adipocyte proliferation and
differentiation. AT dysfunction, rather than an increased fat mass per se, is
strongly associated with the development of insulin resistance and is
characterized by impaired adipogenesis, hypertrophic adipocytes, inflammation,
and impairments in substrate metabolism. A better understanding of mechanisms
underlying AT dysfunction may provide new strategies for the treatment of obesity
associated metabolic diseases. Here we evaluated the role of (all-E)-lycopene
(Lyc), eicosapentaenoic acid (EPA) or trans-resveratrol (Res) and combinations
thereof on human white adipocyte function. METHODS: In-vitro differentiating
human pre-adipocytes were treated with EPA, Lyc and Res or their combinations for
14 days. The effects on intracellular lipid droplet (LD) accumulation, secreted
anti- and pro-inflammatory cyto-/adipokines (e.g. adiponectin, IL-6, IL-8/CXCL-8
and MCP-1/CCL2) and on gene expression of markers of adipocyte differentiation
and substrate metabolism (e.g. PPAR-gamma, C/EBP-alpha, GLUT-4, FAS, ATGL, HSL,
and PLIN-1) were measured by fluorescent microscopy (CellomicsTM), multi
parametric LiquiChip(r) technology and quantitative RT-PCR, respectively.
RESULTS: Treatment of differentiating adipocytes for 14 days with the combination
of Lyc/Res and EPA/Res resulted in significantly inhibited LD formation (~ -25
and -20%, respectively) compared to the effects of the single compounds. These
morphological changes were accompanied by increased mRNA levels of the adipogenic
marker PPAR-gamma and the lipase ATGL and by decreased expression levels of
lipogenic markers (LPL, FAS, GLUT-4) and the LD-covering protein PLIN-1. In
addition, a blunted adipocyte secretion of pro-inflammatory cytokines (IL-6 and
MCP-1) and adiponectin was observed following treatment with these compounds.
CONCLUSION: The combination of the dietary bio-actives Lyc and EPA with Res might
influence adipocyte function by affecting the balance between adipogenic,
lipogenic and lipolytic gene expression, resulting in a reduced LD storage and a
less inflammatory secretion profile. Taken together, our results indicate that
combinations of dietary compounds may be beneficial for the prevention and
treatment of metabolic disorders via effects on human white adipocyte function.
PMID- 27895697
TI - Trends in clinical characteristics and outcomes of Pre-ART care at a large HIV
clinic in Nairobi, Kenya: a retrospective cohort study.
AB - BACKGROUND: The success of antiretroviral therapy in resource-scarce settings is
an illustration that complex healthcare interventions can be successfully
delivered even in fragile health systems. Documenting the success factors in the
scale-up of HIV care and treatment in resource constrained settings will enable
health systems to prepare for changing population health needs. This study
describes changing demographic and clinical characteristics of adult pre-ART
cohorts, and identifies predictors of pre-ART attrition at a large urban HIV
clinic in Nairobi, Kenya. METHODS: We conducted a retrospective cohort analysis
of data on HIV infected adults (>=15 years) enrolling in pre-ART care between
January 2004 and September 2015. Attrition (loss to program) was defined as those
who died or were lost to follow-up (having no contact with the facility for at
least 6 months). We used Kaplan-Meier survival analysis to determine time to
event for the different modes of transition, and Cox proportional hazards models
to determine predictors of pre-ART attrition. RESULTS: Over the 12 years of
observation, there were increases in the proportions of young people (age 15 to
24 years); and patients presenting with early disease (by WHO clinical stage and
higher median CD4 cell counts), p = 0.0001 for trend. Independent predictors of
attrition included: aHR (95% CI): male gender 1.98 (1.69-2.33), p = 0.0001; age
20-24 years 1.80 (1.37-2.37), p = 0.0001), or 25-34 years 1.22 (1.01-1.47), p =
0.0364; marital status single 1.55 (1.29-1.86), p = 0.0001) or divorced 1.41(1.02
1.95), p = 0.0370; urban residency 1.83 (1.40-2.38), p = 0.0001; CD4 count of 0
100 cells/ul 1.63 (1.003-2.658), p = 0.0486 or CD4 count >500 cells/ul 2.14(1.46
3.14), p = 0.0001. CONCLUSIONS: In order to optimize the impact of HIV
prevention, care and treatment in resource scarce settings, there is an urgent
need to implement prevention and treatment interventions targeting young people
and patients entering care with severe immunosuppression (CD4 cell counts <100
cells/ul). Additionally, care and treatment programmes should strengthen inter
facility referrals and linkages to improve care coordination and prevent leakages
in the HIV care continuum.
PMID- 27895701
TI - A DNA-based real-time PCR assay for robust growth quantification of the bacterial
pathogen Pseudomonas syringae on Arabidopsis thaliana.
AB - BACKGROUND: The interaction of Pseudomonas syringae with Arabidopsis is one of
the most commonly used systems to study various bacterial-host
interrelationships. Currently, most studies are based on the growth
quantification of the pathogen to characterize resistance or virulence targets.
However, the standard available method for determining bacterial proliferation in
planta is laborious and has several limitations. RESULTS: Here we present an
alternative robust approach, which is based on the quantification of bacterial
DNA by real-time PCR. We directly compared this assay with the routinely used
plate counting method to access bacterial titers in a number of well described
Arabidopsis mutants. CONCLUSIONS: These studies showed that the DNA-based
technique is highly reliable and comparable. Moreover, the technique is easily
applicable, robust, and ideal for routine experiments or for larger scale
analyses.
PMID- 27895700
TI - Intervention based on BASNEF model increases exclusive breastfeeding in preterm
infants in Iran: a randomized controlled trial.
AB - BACKGROUND: The objective of this study is to determine the effect of a
consultation model, Beliefs, Attitudes, Subjective Norms and Enabling Factors
(BASNEF), and the counselling steps using GATHER-Greet clients, Ask clients about
themselves, Tell clients about their choices, Help clients choose, Explain what
to do, and Return for follow-up-on the continuation rates of exclusive
breastfeeding in mothers of premature infants. METHODS: This is a randomized
controlled clinical trial carried out on 124 mothers with premature infants
hospitalized in Fatemieh Hospital, city of Hamadan, in 2014. Participants were
randomly assigned to either the intervention or control groups. The initial
demographic questionnaire carried out in both groups included three questions
about the continuation of exclusive breastfeeding, BASNEF, a checklist related to
the lactation performance documented by mothers and the weight gain of their
infants. Five breastfeeding consultation sessions based on the BASNEF model and
counselling steps using GATHER, were held for the mothers in the intervention
group for five consecutive days. Then follow-up weight gain and the questionnaire
completion were performed in both groups at 1, 2, 3 and 4 months after the
intervention. RESULTS: Baseline characteristics were similar in the two groups.
There were no significant differences between both groups in the rate of
exclusive breastfeeding, lactation performance and infant weight at baseline. The
intervention group had significantly higher rates of exclusive breastfeeding,
72.6% versus the control group of 16.1%, at the end of the 4 month follow-up.
Also the intervention group had significantly higher mean scores of lactation
performance (8.62 +/- 2.08 vs 6.40 +/- 1.84 in the control group) and infant
weight (5694.80 +/- 779.43 vs 4760.17 +/- 859.12 in the control group) at the end
of the 4 month follow-up. CONCLUSION: Breastfeeding consultation of mothers based
on the BASNEF model and using GATHER counselling steps increased the rate of
exclusive breastfeeding, lactation performance and weight gain of premature
infants. Therefore, breastfeeding counselling sessions are recommended for all
mothers of premature infants. TRIAL REGISTRATION: Iranian Registry of Clinical
Trials number IRCT2014111013405N6 and date registered, January 5, 2015.
PMID- 27895703
TI - Changing Patterns of lung, liver, and head and neck non-AIDS-defining cancers
relative to HIV status in Tanzania between 2002-2014.
AB - BACKGROUND: Tanzania, like other low-income countries, has an increasing cancer
burden that remains underestimated. Infection-associated malignancies,
particularly HIV-infection, represent a great proportion of cancer burden in
Tanzania and throughout Africa. Availability of HIV treatment and improved
survival of HIV patients are suggested factors related to increasing prevalence
of non-AIDS-defining cancers (NADCs). This study examined patterns of NADCs and
proportions of HIV-positivity at the Ocean Road Cancer Institute (ORCI). METHODS:
We reviewed logbooks of all ORCI patients diagnosed and/or treated for lung,
liver, and head and neck cancers during 2002-2014. The number of total cancers
diagnosed at ORCI during this period was used to calculate proportions of NADCs.
We abstracted medical records to obtain demographic and clinical profiles and HIV
status information for 1127 patients diagnosed or treated during 2010-2014.
Trends in numbers and proportions of NADCs were analyzed using Joinpoint
regression. Characteristics of NADC patients were analyzed using multinomial
logistic regression. RESULTS: NADCs diagnosed at ORCI increased by 33.8% from
2002 to 2014 while the proportion of NADCs relative to all cancers significantly
decreased from 6.8% in 2002 to 5.6% in 2014 (APC = -2.74%). Numbers and
proportions of lung and liver cancers increased compared to all cancer diagnoses
from 2002 to 2014. The number of head and neck cancers increased while decreasing
proportionally compared to all cancer diagnoses from 2002 to 2014. Among patients
with pathologically confirmed NADCs between 2010 and 2014, HIV prevalence showed
a non-statistically significant decrease from 8.1 to 7.1% (APC = -3.77%).
CONCLUSIONS: Absolute numbers of lung, liver, and head and neck cancers increased
at ORCI by 1/3 since 2002. Improving survivorship of HIV patients and varying
immunodeficiency status may have contributed to the increasing number of NADCs.
Total cancer diagnoses nearly doubled during this period, leading to a smaller
relative proportion of NADCs diagnosed in 2014 compared to 2002. Late- stage
diagnosis and short survival of NADCs included this study may explain possible
underestimation and smaller increase in proportion of these particular NADCs
compared to other NADCs studied in Tanzania. The slight decrease in proportion of
HIV-positive NADC patients during 2010-2014 may suggest increasing patient
longevity and more effective HIV management in Tanzania.
PMID- 27895702
TI - Ameliorating effect of Erxian decoction combined with Fructus Schisandrae
chinensis (Wu Wei Zi) on menopausal sweating and serum hormone profiles in a rat
model.
AB - BACKGROUND: Modified Erxian decoction (MEXD), i.e., Erxian decoction (EXD) with
Fructus Schisandrae chinensis (Wu Wei Zi) added, has been used to alleviate
menopausal symptoms. This study aimed to investigate the effects of MEXD on
menopausal sweating and serum hormone levels in a rat model of menopause after
oral administration of MEXD. METHODS: Quality control of MEXD was conducted by
employing a reversed-phase high performance liquid chromatography column. The
three treatment groups received oral administration of MEXD in 0.5% sodium
carboxylmethyl cellulose (CMC-Na) at three different doses (5.5, 11, and 22 g/kg
body weight) once-daily for 6 consecutive weeks, with 10 animals per group.
Huangqijing oral liquor (5 mL/kg) prepared from the roots of Huang qi (Astragalus
membranaceus) with an antiperspirant effect was used as a positive control. The
negative control group received the same volume of vehicle (0.5% CMC-Na). Ten 3
month-old Sprague-Dawley rats were used as a young group for comparison with the
treatment groups (12-14 months old rats). Blood was collected from all animals
after 3-6 weeks of treatment. At the end of the treatment, the uterine weight,
ovarian weight, and body weight were recorded. Serum malondialdehyde contents and
superoxide dismutase activities were determined by thiobarbituric acid
colorimetric assays and chemoluminescence assays, respectively. Serum levels of
estradiol, follicle-stimulating hormone, and luteinizing hormone were measured by
radioimmunoassays. Rat foot pad assays were used to determine the antiperspirant
activity of MEXD and histological examinations were conducted on plantar sweat
glands. RESULTS: Treatment with MEXD (11 g/kg) significantly inhibited sweat
excretion in the menopause model rats after treatment for 3 (P = 0.0026) and 6 (P
< 0.0001) weeks. The decoction markedly decreased the number of secretory cells
in plantar sweat glands. In addition, MEXD (11 g/kg) significantly increased the
serum estradiol levels (P < 0.001) and superoxide dismutase activities (P =
0.0405). Furthermore, MEXD (11 g/kg) markedly decreased the serum levels of
follicle-stimulating hormone (P = 0.001), luteinizing hormone (P = 0.0213), and
malondialdehyde (P = 0.01). CONCLUSION: Modified Erxian decoction significantly
inhibited sweat excretion, regulated serum levels of pituitary gonadotropins and
estradiol, and exhibited antioxidative effects in a rat model of menopause.
PMID- 27895705
TI - Improved sugar yields from biomass sorghum feedstocks: comparing low-lignin
mutants and pretreatment chemistries.
AB - BACKGROUND: For biofuel production processes to be economically efficient, it is
essential to maximize the production of monomeric carbohydrates from the
structural carbohydrates of feedstocks. One strategy for maximizing carbohydrate
production is to identify less recalcitrant feedstock cultivars by performing
some type of experimental screening on a large and diverse set of candidate
materials, or by identifying genetic modifications (random or directed mutations
or transgenic plants) that provide decreased recalcitrance. Economic efficiency
can also be increased using additional pretreatment processes such as
deacetylation, which uses dilute NaOH to remove the acetyl groups of
hemicellulose prior to dilute acid pretreatment. In this work, we used a
laboratory-scale screening tool that mimics relevant thermochemical pretreatment
conditions to compare the total sugar yield of three near-isogenic brown midrib
(bmr) mutant lines and the wild-type (WT) sorghum cultivar. We then compared
results obtained from the laboratory-scale screening pretreatment assay to a
large-scale pretreatment system. RESULTS: After pretreatment and enzymatic
hydrolysis, the bmr mutants had higher total sugar yields than the WT sorghum
cultivar. Increased pretreatment temperatures increased reactivity for all
sorghum samples reducing the differences observed at lower reaction temperatures.
Deacetylation prior to dilute acid pretreatment increased the total sugar yield
for all four sorghum samples, and reduced the differences in total sugar yields
among them, but solubilized a sizable fraction of the non-structural
carbohydrates. The general trends of increased total sugar yield in the bmr
mutant compared to the WT seen at the laboratory scale were observed at the large
scale system. However, in the larger reactor system, the measured total sugar
yields were lower and the difference in total sugar yield between the WT and bmr
sorghum was larger. CONCLUSIONS: Sorghum bmr mutants, which have a reduced lignin
content showed higher total sugar yields than the WT cultivar after dilute acid
pretreatment and enzymatic hydrolysis. Deacetylation prior to dilute acid
pretreatment increased the total sugar yield for all four sorghum samples.
However, since deacetylation also solubilizes a large fraction of the non
structural carbohydrates, the ability to derive value from these solubilized
sugars will depend greatly on the proposed conversion process.
PMID- 27895704
TI - The extracellular microscape governs mesenchymal stem cell fate.
AB - Each cell forever interacts with its extracellular matrix (ECM); a stem cell
relies on this interaction to guide differentiation. The stiffness,
nanotopography, protein composition, stress and strain inherent to any given ECM
influences stem cell lineage commitment. This interaction is dynamic,
multidimensional and reciprocally evolving through time, and from this concerted
exchange the macroscopic tissues that comprise living organisms are formed.
Mesenchymal stem cells can give rise to bone, cartilage, tendon and muscle; thus
attempts to manipulate their differentiation must heed the physical properties of
incredibly complex native microenvironments to realize regenerative goals.
PMID- 27895706
TI - Whole-genome metabolic model of Trichoderma reesei built by comparative
reconstruction.
AB - BACKGROUND: Trichoderma reesei is one of the main sources of biomass-hydrolyzing
enzymes for the biotechnology industry. There is a need for improving its enzyme
production efficiency. The use of metabolic modeling for the simulation and
prediction of this organism's metabolism is potentially a valuable tool for
improving its capabilities. An accurate metabolic model is needed to perform
metabolic modeling analysis. RESULTS: A whole-genome metabolic model of T. reesei
has been reconstructed together with metabolic models of 55 related species using
the metabolic model reconstruction algorithm CoReCo. The previously published
CoReCo method has been improved to obtain better quality models. The main
improvements are the creation of a unified database of reactions and compounds
and the use of reaction directions as constraints in the gap-filling step of the
algorithm. In addition, the biomass composition of T. reesei has been measured
experimentally to build and include a specific biomass equation in the model.
CONCLUSIONS: The improvements presented in this work on the CoReCo pipeline for
metabolic model reconstruction resulted in higher-quality metabolic models
compared with previous versions. A metabolic model of T. reesei has been created
and is publicly available in the BIOMODELS database. The model contains a biomass
equation, reaction boundaries and uptake/export reactions which make it ready for
simulation. To validate the model, we dem1onstrate that the model is able to
predict biomass production accurately and no stoichiometrically infeasible yields
are detected. The new T. reesei model is ready to be used for simulations of
protein production processes.
PMID- 27895707
TI - Fungal fermentation on anaerobic digestate for lipid-based biofuel production.
AB - BACKGROUND: Anaerobic digestate is the effluent from anaerobic digestion of
organic wastes. It contains a significant amount of nutrients and lignocellulosic
materials, even though anaerobic digestion consumed a large portion of organic
matters in the wastes. Utilizing the nutrients and lignocellulosic materials in
the digestate is critical to significantly improve efficiency of anaerobic
digestion technology and generate value-added chemical and fuel products from the
organic wastes. Therefore, this study focused on developing an integrated process
that uses biogas energy to power fungal fermentation and converts remaining
carbon sources, nutrients, and water in the digestate into biofuel precursor
lipid. RESULTS: The process contains two unit operations of anaerobic digestion
and digestate utilization. The digestate utilization includes alkali treatment of
the mixture feed of solid and liquid digestates, enzymatic hydrolysis for mono
sugar release, overliming detoxification, and fungal fermentation for lipid
accumulation. The experimental results conclude that 5 h and 30 degrees C were
the preferred conditions for the overliming detoxification regarding lipid
accumulation of the following fungal cultivation. The repeated-batch fungal
fermentation enhanced lipid accumulation, which led to a final lipid
concentration of 3.16 g/L on the digestate with 10% dry matter. The mass and
energy balance analysis further indicates that the digestate had enough water for
the process uses and the biogas energy was able to balance the needs of
individual unit operations. CONCLUSIONS: A fresh-water-free and energy-positive
process of lipid production from anaerobic digestate was achieved by integrating
anaerobic digestion and fungal fermentation. The integration addresses the issues
that both biofuel industry and waste management encounter-high water and energy
demand of biofuel precursor production and few digestate utilization approaches
of organic waste treatment.
PMID- 27895708
TI - Continuous production of biohythane from hydrothermal liquefied cornstalk biomass
via two-stage high-rate anaerobic reactors.
AB - BACKGROUND: Biohythane production via two-stage fermentation is a promising
direction for sustainable energy recovery from lignocellulosic biomass. However,
the utilization of lignocellulosic biomass suffers from specific natural
recalcitrance. Hydrothermal liquefaction (HTL) is an emerging technology for the
liquefaction of biomass, but there are still several challenges for the coupling
of HTL and two-stage fermentation. One particular challenge is the limited
efficiency of fermentation reactors at a high solid content of the treated
feedstock. Another is the conversion of potential inhibitors during fermentation.
Here, we report a novel strategy for the continuous production of biohythane from
cornstalk through the integration of HTL and two-stage fermentation. Cornstalk
was converted to solid and liquid via HTL, and the resulting liquid could be
subsequently fed into the two-stage fermentation systems. The systems consisted
of two typical high-rate reactors: an upflow anaerobic sludge blanket (UASB) and
a packed bed reactor (PBR). The liquid could be efficiently converted into
biohythane via the UASB and PBR with a high density of microbes at a high organic
loading rate. RESULTS: Biohydrogen production decreased from 2.34 L/L/day in UASB
(1.01 L/L/day in PBR) to 0 L/L/day as the organic loading rate (OLR) of the HTL
liquid products increased to 16 g/L/day. The methane production rate achieved a
value of 2.53 (UASB) and 2.54 L/L/day (PBR), respectively. The energy and carbon
recovery of the integrated HTL and biohythane fermentation system reached up to
79.0 and 67.7%, respectively. The fermentation inhibitors, i.e., 5-hydroxymethyl
furfural (41.4-41.9% of the initial quantity detected) and furfural (74.7-85.0%
of the initial quantity detected), were degraded during hydrogen fermentation.
Compared with single-stage fermentation, the methane process during two-stage
fermentation had a more efficient methane production rate, acetogenesis, and COD
removal. The microbial distribution via Illumina MiSeq sequencing clarified that
the biohydrogen process in the two-stage systems functioned not only for
biohydrogen production, but also for the degradation of potential inhibitors. The
higher distribution of the detoxification family Clostridiaceae, Bacillaceae, and
Pseudomonadaceae was found in the biohydrogen process. In addition, a higher
distribution of acetate-oxidizing bacteria (Spirochaetaceae) was observed in the
biomethane process of the two-stage systems, revealing improved acetogenesis
accompanied with an efficient conversion of acetate. CONCLUSIONS: Biohythane
production could be a promising process for the recovery of energy and
degradation of organic compounds from hydrothermal liquefied biomass. The two
stage process not only contributed to the improved quality of the gas fuels but
also strengthened the biotransformation process, which resulted from the function
of detoxification during biohydrogen production and enhanced acetogenesis during
biomethane production.
PMID- 27895709
TI - The dilemma for lipid productivity in green microalgae: importance of substrate
provision in improving oil yield without sacrificing growth.
AB - Rising oil prices and concerns over climate change have resulted in more emphasis
on research into renewable biofuels from microalgae. Unlike plants, green
microalgae have higher biomass productivity, will not compete with food and
agriculture, and do not require fertile land for cultivation. However, microalgae
biofuels currently suffer from high capital and operating costs due to low yields
and costly extraction methods. Microalgae grown under optimal conditions produce
large amounts of biomass but with low neutral lipid content, while microalgae
grown in nutrient starvation accumulate high levels of neutral lipids but are
slow growing. Producing lipids while maintaining high growth rates is vital for
biofuel production because high biomass productivity increases yield per harvest
volume while high lipid content decreases the cost of extraction per unit
product. Therefore, there is a need for metabolic engineering of microalgae to
constitutively produce high amounts of lipids without sacrificing growth.
Substrate availability is a rate-limiting step in balancing growth and fatty acid
(FA) production because both biomass and FA synthesis pathways compete for the
same substrates, namely acetyl-CoA and NADPH. In this review, we discuss the
efforts made for improving biofuel production in plants and microorganisms, the
challenges faced in achieving lipid productivity, and the important role of
precursor supply for FA synthesis. The main focus is placed on the enzymes which
catalyzed the reactions supplying acetyl-CoA and NADPH.
PMID- 27895710
TI - In situ label-free imaging of hemicellulose in plant cell walls using stimulated
Raman scattering microscopy.
AB - BACKGROUND: Plant hemicellulose (largely xylan) is an excellent feedstock for
renewable energy production and second only to cellulose in abundance. Beyond a
source of fermentable sugars, xylan constitutes a critical polymer in the plant
cell wall, where its precise role in wall assembly, maturation, and
deconstruction remains primarily hypothetical. Effective detection of xylan,
particularly by in situ imaging of xylan in the presence of other biopolymers,
would provide critical information for tackling the challenges of understanding
the assembly and enhancing the liberation of xylan from plant materials. RESULTS:
Raman-based imaging techniques, especially the highly sensitive stimulated Raman
scattering (SRS) microscopy, have proven to be valuable tools for label-free
imaging. However, due to the complex nature of plant materials, especially those
same chemical groups shared between xylan and cellulose, the utility of specific
Raman vibrational modes that are unique to xylan have been debated. Here, we
report a novel approach based on combining spectroscopic analysis and
chemical/enzymatic xylan removal from corn stover cell walls, to make progress in
meeting this analytical challenge. We have identified several Raman peaks
associated with xylan content in cell walls for label-free in situ imaging xylan
in plant cell wall. CONCLUSION: We demonstrated that xylan can be resolved from
cellulose and lignin in situ using enzymatic digestion and label-free SRS
microscopy in both 2D and 3D. We believe that this novel approach can be used to
map xylan in plant cell walls and that this ability will enhance our
understanding of the role played by xylan in cell wall biosynthesis and
deconstruction.
PMID- 27895712
TI - Philadelphia chromosome duplication as a ring-shaped chromosome.
AB - The gain of a second copy of the Philadelphia chromosome is one of the main
secondary chromosomal changes related to the clonal evolution of cells with
t(9;22) in chronic myelogenous leukemia. This gain causes the acquisition of
another copy of the BCR/ABL1 fusion gene. Isochromosomes of the der(22)
chromosome or double minute chromosomes are well known to lead an increased copy
number of BCR/ABL1 gene. There is no antecedent of Philadelphia chromosome
duplication as a ring chromosome. A recent published report contains evidence
that strongly suggests that the Philadelphia chromosome was duplicated as a ring
chromosome, observation that was overlooked by the authors. The instability
inherent to the ring chromosome increases the risk of emergence of clones
containing more and more BCR/ABL1 gene copies, which would produce increased
fitness for clonal selection, resulting in worsening of the patient's prognosis.
PMID- 27895711
TI - Erratum to: Subtelomeric multiplex ligation-dependent probe amplification as a
supplement for rapid prenatal detection of fetal chromosomal aberrations.
AB - [This corrects the article DOI: 10.1186/s13039-014-0096-1.].
PMID- 27895713
TI - Coexistence of iAMP21 and ETV6-RUNX1 fusion in an adolescent with B cell acute
lymphoblastic leukemia: literature review of six additional cases.
AB - BACKGROUND: Intrachromosomal amplification of chromosome 21 (iAMP21) results from
breakage-fusion-bridge cycles and chromothripsis is a distinct marker of a
subgroup of B cell acute lymphoblastic leukemia (B-ALL) cases associated with a
poor prognosis. iAMP21 accounts for 2% of pediatric B-ALL and occurs
predominantly in older children or adolescents. ETV6-RUNX1 fusion, resulting from
t(12;21)(p13;q22), is associated with an excellent outcome in younger children
with B-ALL. Coexistence of iAMP21 with ETV6-RUNX1 fusion is extremely rare with
limited clinical information available. RESULTS: We report the case of an 18-year
old Caucasian man diagnosed with ETV6-RUNX1 fusion positive B-ALL. He was treated
with intensive chemotherapy and achieved remission for 6 months before relapse,
15 months after the initial diagnosis. G-band karyotyping and Fluorescence in
situ hybridization (FISH) analyses performed on bone marrow revealed complex
abnormalities: 41,X,-Y,der(3)t(3;20)(p11.2;q11.2),
4,t(5;22)(q32;q11.2),del(9)(p13),dic(9;17)(p13;p11.2),t(12;21)(p13;q22),der(14)t(
4;17)(p11.2;q11.2),der(17;22)(q11.2;q11.2),-20,add(21)(q22),-22[4]/46,XY[15] with
an iAMP21 and an ETV6-RUNX1. Additional molecular studies confirmed ETV6-RUNX1
fusion and with a TP53 mutation. High-resolution single nucleotide polymorphism
microarray (SNP array) revealed the iAMP21 to be chromothripsis of 21q and
subsequent metaphase FISH further delineated complex genomic aberrations.
Although the patient received intensive chemotherapy with allogenic stem cell
transplant, he died 26 months after initial diagnosis. We searched the literature
and identified six cases showing coexisting iAMP21 and ETV6-RUNX1. The median age
for these six patients was 10 years (range, 2-18) and males predominated. The
median overall survival (OS) was 28 months. CONCLUSIONS: Patients with B-ALL
associated with both iAMP21 and ETV6-RUNX1 tend to be older children or
adolescents and have a poor prognosis.
PMID- 27895714
TI - Early detection and personalized treatment in oral cancer: the impact of omics
approaches.
AB - BACKGROUND: Oral cancer is one of the most common malignant lesions of the head
and neck. This cancer is an aggressive and lethal disease with no significant
improvements in the overall survival in the last decades. Moreover, the incidence
of oral HPV-positive tumors is rising, especially in young people. This oral
neoplasm develops through numerous molecular imbalances that affect key genes and
signaling pathways; however, the molecular mechanisms involved in the
pathogenesis and progression of oral tumors are still to be fully determined. In
order to improve the quality of life and long-term survival rate of these
patients, it is vital to establish accurate biomarkers that help in the early
diagnosis, prognosis and development of target treatments. Such biomarkers may
possibly allow for selection of patients that will benefit from each therapy
modality, helping in the optimization of intensity and sequence of the treatments
in order to decrease side effects and improve survival. CONCLUSION: In this
review we discuss the current knowledge of oral cancer and the potential role of
omics approaches to identify molecular biomarkers in the improvement of early
diagnosis, treatment and prognosis. The pursuit to improve the quality of life
and decrease mortality rates of the oral patients needs to be centralized on the
identification of critical genes in oral carcinogenesis. Understanding the
molecular biology of oral cancer is vital for search new therapies, being the
molecular-targeted therapies the most promising treatment for these patients.
PMID- 27895715
TI - Protein kinase Msk1 physically and functionally interacts with the KMT2A/MLL1
methyltransferase complex and contributes to the regulation of multiple target
genes.
AB - BACKGROUND: The KMT2A/MLL1 lysine methyltransferase complex is an epigenetic
regulator of selected developmental genes, in part through the SET domain
catalysed methylation of H3K4. It is essential for normal embryonic development
and haematopoiesis and frequently mutated in cancer. The catalytic properties and
targeting of KMT2A/MLL1 depend on the proteins with which it complexes and the
post-translational protein modifications which some of these proteins put in
place, though detailed mechanisms remain unclear. RESULTS: KMT2A/MLL1 (both
native and FLAG-tagged) and Msk1 (RPS6KA5) co-immunoprecipitated in various cell
types. KMT2A/MLL1 and Msk1 knockdown demonstrated that the great majority of
genes whose activity changed on KTM2A/MLL1 knockdown, responded comparably to
Msk1 knockdown, as did levels of H3K4 methylation and H3S10 phosphorylation at
KTM2A target genes HoxA4, HoxA5. Knockdown experiments also showed that
KMT2A/MLL1 is required for the genomic targeting of Msk1, but not vice versa.
CONCLUSION: The KMT2A/MLL1 complex is associated with, and functionally dependent
upon, the kinase Msk1, part of the MAP kinase signalling pathway. We propose that
Msk1-catalysed phosphorylation at H3 serines 10 and 28, supports H3K4 methylation
by the KMT2A/MLL1 complex both by making H3 a more attractive substrate for its
SET domain, and improving target gene accessibility by prevention of HP1- and
Polycomb-mediated chromatin condensation.
PMID- 27895716
TI - Widespread recovery of methylation at gametic imprints in hypomethylated mouse
stem cells following rescue with DNMT3A2.
AB - BACKGROUND: Imprinted loci are paradigms of epigenetic regulation and are
associated with a number of genetic disorders in human. A key characteristic of
imprints is the presence of a gametic differentially methylated region (gDMR).
Previous studies have indicated that DNA methylation lost from gDMRs could not be
restored by DNMT1, or the de novo enzymes DNMT3A or 3B in stem cells, indicating
that imprinted regions must instead undergo passage through the germline for
reprogramming. However, previous studies were non-quantitative, were unclear on
the requirement for DNMT3A/B and showed some inconsistencies. In addition, new
putative gDMR has recently been described, along with an improved delineation of
the existing gDMR locations. We therefore aimed to re-examine the dependence of
methylation at gDMRs on the activities of the methyltransferases in mouse
embryonic stem cells (ESCs). RESULTS: We examined the most complete current set
of imprinted gDMRs that could be assessed using quantitative pyrosequencing
assays in two types of ESCs: those lacking DNMT1 (1KO) and cells lacking a
combination of DNMT3A and DNMT3B (3abKO). We further verified results using
clonal analysis and combined bisulfite and restriction analysis. Our results
showed that loss of methylation was approximately equivalent in both cell types.
1KO cells rescued with a cDNA-expressing DNMT1 could not restore methylation at
the imprinted gDMRs, confirming some previous observations. However, nearly all
gDMRs were remethylated in 3abKO cells rescued with a DNMT3A2 expression
construct (3abKO + 3a2). Transcriptional activity at the H19/Igf2 locus also
tracked with the methylation pattern, confirming functional reprogramming in the
latter. CONCLUSIONS: These results suggested (1) a vital role for DNMT3A/B in
methylation maintenance at imprints, (2) that loss of DNMT1 and DNMT3A/B had
equivalent effects, (3) that rescue with DNMT3A2 can restore imprints in these
cells. This may provide a useful system in which to explore factors influencing
imprint reprogramming.
PMID- 27895718
TI - Consensus Diversity Plots: a global diversity analysis of chemical libraries.
AB - BACKGROUND: Measuring the structural diversity of compound databases is relevant
in drug discovery and many other areas of chemistry. Since molecular diversity
depends on molecular representation, comprehensive chemoinformatic analysis of
the diversity of libraries uses multiple criteria. For instance, the diversity of
the molecular libraries is typically evaluated employing molecular scaffolds,
structural fingerprints, and physicochemical properties. However, the assessment
with each criterion is analyzed independently and it is not straightforward to
provide an evaluation of the "global diversity". RESULTS: Herein the Consensus
Diversity Plot (CDP) is proposed as a novel method to represent in low dimensions
the diversity of chemical libraries considering simultaneously multiple molecular
representations. We illustrate the application of CDPs to classify eight compound
data sets and two subsets with different sizes and compositions using molecular
scaffolds, structural fingerprints, and physicochemical properties. CONCLUSIONS:
CDPs are general data mining tools that represent in two-dimensions the global
diversity of compound data sets using multiple metrics. These plots can be
constructed using single or combined measures of diversity. An online version of
the CDPs is freely available at: https://consensusdiversityplots-difacquim
unam.shinyapps.io/RscriptsCDPlots/.Graphical AbstractConsensus Diversity Plot is
a novel data mining tool that represents in two-dimensions the global diversity
of compound data sets using multiple metrics.
PMID- 27895717
TI - Interferon-gamma promotes gastric lymphoid follicle formation but not gastritis
in Helicobacter-infected BALB/c mice.
AB - BACKGROUND: Mouse infection studies have shown that interferon-gamma (IFN-gamma),
a T helper 1 (Th1) cytokine, is required for the development of severe pathology
induced by chronic Helicobacter infection. This finding is largely based on
studies performed using mice that have polarised Th1 responses i.e. C57BL/6
animals. The current work aims to investigate the role of IFN-gamma in
Helicobacter-induced inflammation in BALB/c mice which have Th2-polarised immune
responses. RESULTS: At 7 months post-infection with Helicobacter felis, IFN-gamma
deficiency in BALB/c mice had no significant effect on H. felis colonisation
levels in the gastric mucosa, nor on humoral responses, or gastritis severity.
Ifng-/- animals with chronic H. felis infection did, however, develop
significantly fewer lymphoid follicle lesions, as well as increased IL-4
splenocyte responses, when compared with infected Ifng+/+ mice (P = 0.015 and P =
0.0004, respectively). CONCLUSIONS: The work shows that in mice on a BALB/c
background, IFN-gamma is not required for bacterial clearance, antibody
responses, nor gastric inflammation. Conversely, IFN-gamma appears to play a role
in the development of gastric lymphoid follicles, which are precursor lesions to
mucosa-associated lymphoid tissue (MALT) lymphoma. This study highlights the
importance of mouse host background on the susceptibility to Helicobacter-induced
pathologies.
PMID- 27895719
TI - DRABAL: novel method to mine large high-throughput screening assays using
Bayesian active learning.
AB - BACKGROUND: Mining high-throughput screening (HTS) assays is key for enhancing
decisions in the area of drug repositioning and drug discovery. However, many
challenges are encountered in the process of developing suitable and accurate
methods for extracting useful information from these assays. Virtual screening
and a wide variety of databases, methods and solutions proposed to-date, did not
completely overcome these challenges. This study is based on a multi-label
classification (MLC) technique for modeling correlations between several HTS
assays, meaning that a single prediction represents a subset of assigned
correlated labels instead of one label. Thus, the devised method provides an
increased probability for more accurate predictions of compounds that were not
tested in particular assays. RESULTS: Here we present DRABAL, a novel MLC
solution that incorporates structure learning of a Bayesian network as a step to
model dependency between the HTS assays. In this study, DRABAL was used to
process more than 1.4 million interactions of over 400,000 compounds and analyze
the existing relationships between five large HTS assays from the PubChem
BioAssay Database. Compared to different MLC methods, DRABAL significantly
improves the F1Score by about 22%, on average. We further illustrated usefulness
and utility of DRABAL through screening FDA approved drugs and reported ones that
have a high probability to interact with several targets, thus enabling drug
multi-target repositioning. Specifically DRABAL suggests the Thiabendazole drug
as a common activator of the NCP1 and Rab-9A proteins, both of which are designed
to identify treatment modalities for the Niemann-Pick type C disease. CONCLUSION:
We developed a novel MLC solution based on a Bayesian active learning framework
to overcome the challenge of lacking fully labeled training data and exploit
actual dependencies between the HTS assays. The solution is motivated by the need
to model dependencies between existing experimental confirmatory HTS assays and
improve prediction performance. We have pursued extensive experiments over
several HTS assays and have shown the advantages of DRABAL. The datasets and
programs can be downloaded from
https://figshare.com/articles/DRABAL/3309562.Graphical abstract.
PMID- 27895720
TI - Increased carotid intima-media thickness in Brazilian adolescents with type 1
diabetes mellitus.
AB - BACKGROUND: Increased carotid intima-media thickness (CIMT), a marker of
subclinical atherosclerosis, is an independent predictor of future cardiovascular
events, and has been reported in children with various chronic diseases,
including type 1 diabetes mellitus (DM1). OBJECTIVES: Evaluate CIMT and its
association with cardiovascular risk factors in Brazilian adolescents with DM1.
METHODS: Cross-sectional study of 118 adolescents, 57 with DM1 and no chronic
complications related to the disease, and 61 healthy individuals. Clinical,
biochemical, and high-resolution B-mode ultrasonographic evaluations according to
the Consensus Statement of the American Society of Echocardiography CIMT Task
Force were performed. RESULTS: Adolescents with diabetes (66.6% female) were 14.5
+/- 2.9 years old and had 9.0 +/- 4.0 years of disease duration. The healthy
adolescents (62.3% female) were 14.3 +/- 2.6 years old. All the adolescents had
blood pressure within their reference ranges. In 66% of DM1 adolescents the
systolic blood pressure was >50th percentile. Increased CIMT was observed in
adolescents with diabetes compared with those in the control group: 0.53 vs 0.51
mm (p < 0.004) on the right side, and 0.55 vs 0.51 mm (p < 0.001) on the left
side. CIMT presented independent and positive associations with diabetes
duration, total cholesterol level, low-density lipoprotein cholesterol level, and
systolic blood pressure percentile in DM1 adolescents. CONCLUSIONS: Increased
CIMT was observed in young Brazilian adolescents with DM1, and was associated
with cardiovascular risk factors. CIMT assessment may be useful for the early
identification and monitoring of cardiovascular risk in this age group.
PMID- 27895721
TI - Hypoadiponectinemia and the presence of metabolic syndrome in patients with
chronic kidney disease: results from the KNOW-CKD study.
AB - BACKGROUND: In patients with chronic kidney disease, metabolic syndrome has been
demonstrated to be the culprit behind diverse complications. Adiponectin is known
to have anti-atherogenic and cardio-protective effects. Meanwhile, the
relationship between adiponectin and metabolic syndrome in patients with chronic
kidney disease has not been clarified. The aim of this study was to elucidate the
relationship between adiponectin level and metabolic syndrome in patients with
chronic kidney disease. METHODS: The KoreaN Cohort Study for Outcome in Patients
with Chronic Kidney Disease is a cohort study that enrolled subjects with chronic
kidney disease throughout South Korea. From February 2011 to July 2014, data were
collected from 1332 patients with chronic kidney disease. RESULTS: The mean age
of the patients was 53.5 years and 803 patients (60.7%) were men. The median
adiponectin level was 10.7 MUg/mL and 585 (44.3%) patients had metabolic
syndrome. In multiple linear regression analysis, log adiponectin was positively
associated with high-density lipoprotein cholesterol levels (beta = 0.006),
whereas it was negatively associated with serum albumin (beta = -0.284),
triglyceride (log beta = -0.288), high sensitivity C-reactive protein (log beta =
-0.058) levels and estimated glomerular filtration rate (beta = -0.005). Multiple
logistic regression analysis indicated that low adiponectin level was
independently associated with a higher risk of metabolic syndrome (per 1 MUg/mL
increase; odds ratio = 0.953, 95% confidence interval = 0.898-0.970, P < 0.001)
after adjustment for multiple confounding factors. CONCLUSIONS:
Hypoadiponectinemia is independently associated with the presence of metabolic
syndrome in patients with chronic kidney disease.
PMID- 27895722
TI - Deciphering fact from artifact when using reporter assays to investigate the
roles of host factors on L1 retrotransposition.
AB - BACKGROUND: The Long INterspersed Element-1 (L1, LINE-1) is the only autonomous
mobile DNA element in humans and has generated as much as half of the genome. Due
to increasing clinical interest in the roles of L1 in cancer, embryogenesis and
neuronal development, it has become a priority to understand L1-host interactions
and identify host factors required for its activity. Apropos to this, we recently
reported that L1 retrotransposition in HeLa cells requires phosphorylation of the
L1 protein ORF1p at motifs targeted by host cell proline-directed protein kinases
(PDPKs), which include the family of mitogen-activated protein kinases (MAPKs).
Using two engineered L1 reporter assays, we continued our investigation into the
roles of MAPKs in L1 activity. RESULTS: We found that the MAPK p38delta
phosphorylated ORF1p on three of its four PDPK motifs required for L1 activity.
In addition, we found that a constitutively active p38delta mutant appeared to
promote L1 retrotransposition in HeLa cells. However, despite the consistency of
these findings with our earlier work, we identified some technical concerns
regarding the experimental methodology. Specifically, we found that exogenous
expression of p38delta appeared to affect at least one heterologous promoter in
an engineered L1 reporter, as well as generate opposing effects on two different
reporters. We also show that two commercially available non-targeting control
(NTC) siRNAs elicit drastically different effects on the apparent
retrotransposition reported by both L1 assays, which raises concerns about the
use of NTCs as normalizing controls. CONCLUSIONS: Engineered L1 reporter assays
have been invaluable for determining the functions and critical residues of L1
open reading frames, as well as elucidating many aspects of L1 replication.
However, our results suggest that caution is required when interpreting data
obtained from L1 reporters used in conjunction with exogenous gene expression or
siRNA.
PMID- 27895723
TI - Cisplatin-induced regulation of signal transduction pathways and transcription
factors in p53-mutated subclone variants of hepatoma cells: Potential application
for therapeutic targeting.
AB - Cisplatin is commonly recognized as a DNA-damaging drug; however, its versatile
antitumor effects have been demonstrated to extend beyond this narrow functional
attribute. The present study determined how cisplatin regulates alternative
pathways and transcription factors to exert its additional antitumor actions.
Cisplatin was observed to be able to trigger an endoplasmic reticulum stress
response through aggravated nitrosative stress coupled to perturbed mitochondrial
calcium (Ca2+) homeostasis, which substantially downregulated glucose-regulated
protein (GRP) 78 expression by suppressing the cleavage of activating
transcription factor (ATF) 6alpha (90 kDa) to its active 50 kDa subunit.
Concomitantly, the ATF4-ATF3-C/emopamil binding protein homologous protein axis
was activated by cisplatin, which triggered cellular glutathione (GSH) depletion
by strongly inhibiting gamma-glutamylcysteine synthetase heavy chain (gamma
GCSh), a key enzyme in GSH biosynthesis. The present study also demonstrated that
cisplatin substantially inhibited beta-catenin, causing a marked downregulation
of survivin and B-cell lymphoma (Bcl)-2. Taken together, the present results
uncovered a novel mechanism of cisplatin that could simultaneously trigger the
inhibition of three prominent antiapoptotic effector molecules (Bcl-2, survivin
and GRP78) and effectively promote GSH depletion by inhibiting gamma-GCSh. These
newly discovered functional attributes of cisplatin can provide an avenue for
novel combined therapeutic strategies to kill hepatocellular carcinoma cells
effectively.
PMID- 27895724
TI - Expression profile of SPACA5/Spaca5 in spermatogenesis and transitional cell
carcinoma of the bladder.
AB - The majority of bladder cancer-associated mortalities are due to transitional
cell carcinoma (TCC), which is the most prevalent and chemoresistant malignancy
of the bladder. Sperm acrosome associated 5 (SPACA5)/Spaca5 is a sperm acrosome
associated, c-type lysozyme-like protein that has been recently identified, and
has been designated as an attractive candidate antigen for cancer testis. In the
present study, the expression profile of SPACA5/Spaca5 was analyzed in
spermatogenesis and TCC of the bladder using diverse molecular and cellular
biology methods. Using reverse transcription-polymerase chain reaction (RT-PCR)
to analyze the multi-tissue distribution and temporal expression of
SPACA5/Spaca5, the SPACA5/Spaca5 gene was determined to be generally not
expressed in normal tissue, with the exception of the testis, and it could be
detected at a low level on day 20 after birth in mouse testes and at a higher
level on day 28. Immunohistochemistry staining revealed that the SPACA5/Spaca5
protein was exclusively observed in the elongated spermatid of the normal testes,
and was ectopically expressed in the cytoplasm of TCC, while it was not expressed
in normal bladder tissues. The frequency of SPACA5 messenger RNA was detected in
45% of TCC (9/20) by RT-quantitative PCR. Furthermore, SPACA5 protein was more
frequently detected in high-grade than in low-grade tumors (61.54 vs. 30.00%,
P=0.035). Accordingly, high SPACA5 staining scores were observed to be
significantly associated with high-grade tumors (n=65, R=0.279, P=0.027).
Collectively, our findings indicated that SPACA5/Spaca5 may be important in male
spermatogenesis and may be used as a potential target for specific immunotherapy
in patients suffering from TCC.
PMID- 27895725
TI - EHHM, a novel phenolic natural product from Livistona chinensis, induces
autophagy-related apoptosis in hepatocellular carcinoma cells.
AB - Hepatocellular carcinoma (HCC) ranks the second cause of cancer-associated
mortality worldwide. In the present study, the effects and mechanisms of a new
phenolic natural product E-[6'-(5'-hydroxypentyl)tricosyl]-4-hydroxy-3
methoxycinnamate (EHHM) isolated from Livistona chinensis on the growth of HCC
cells were investigated. It was observed that EHHM treatment significantly
suppressed cell proliferation and colony formation, and induced cell apoptosis
via a mitochondria-dependent caspase pathway in HepG2 cells in a time- and dose
dependent manner. Meanwhile, EHHM treatment also led to upregulated expression of
autophagy protein 5 (Atg5), Beclin 1 and light chain 3 (LC3)-II proteins, and
accumulation of green fluorescent protein-LC3 punctate florescent foci in HCC
cells, suggesting that EHHM-induced apoptosis is accompanied by autophagy
induction. Western blotting revealed that EHHM-induced autophagy is related to
the inhibition of the Akt/mechanistic target of rapamycin/p70 ribosomal protein
S6 kinase signaling pathway. Furthermore, treatment with Atg5 small interfering
RNA or autophagy inhibitors significantly enhanced EHHM-mediated growth
inhibition and apoptotic cell death, indicating that autophagy serves as a self
protective mechanism in EHHM-treated HCC cells, and that combined treatment with
EHHM and autophagy inhibitors may be an effective therapeutic strategy for HCC.
PMID- 27895726
TI - Adenovirus-mediated interleukin 21 gene transfer enhances antitumor immunity and
reduces tumorigenicity of Hepa1-6 in mice.
AB - In the present study, adenovirus-mediated interleukin 21 (Ad5-IL-21-EGFP) gene
expression was induced in Hepa1-6 cells to investigate whether IL-21 was capable
of enhancing antitumor immunity and reducing tumorigenicity of Hepa1-6 in a mouse
model. Mice were inoculated intradermally into the right flank with Hepa1-6 cells
or Hepa1-6 cells infected with Ad5 or Ad5-IL-21. Four weeks later, the mice were
sacrificed humanely, and the tumor volume, tumor weight and mouse spleen index
were measured. The levels of IL-21, IL-4 and interferon (IFN)-gamma levels in
mouse serum and tumor tissues were detected by enzyme-linked immunosorbent assay
(ELISA) and immunohistochemistry. Cell counting kit-8 (CCK-8) assay was used to
detect the killing ability of spleen T cells and natural killer (NK) cells, and
the proliferation ability of T cells. The expression of IL-21 was confirmed by
reverse transcription-polymerase chain reaction, western blot analysis and ELISA
assay in Ad5-IL-21-EGFP-infected Hepa1-6 cells. The overexpression of IL-21
significantly reduced the tumorigenicity of Hepa1-6 cells. The tumor volumes and
tumor weights in Ad5-IL-21-Hepa1-6 mice were much smaller than those in the Ad5
Hepa1-6 group and Hepa1-6 wild-type group. The immunohistochemistry and ELISA
assay demonstrated that IL-21 and IFN-gamma levels were much higher while the IL
4 level was much lower in the Ad5-IL-21-Hepa1-6 group than in the other two
groups. CCK-8 assay revealed that the killing ability of NK cells and T cells,
and the proliferation ability of T cells in Ad5-IL-21-Hepa1-6 mice were higher
than in the other two groups; the spleen index of Ad5-IL-21-Hepa1-6 mice was also
higher than in the other groups. The data had a significant difference (P<0.01).
In conclusion, IL-21 reduces tumorigenicity of Hepa1-6 by a mechanism involving
enhanced activation of cell-mediated immunity in tumor-bearing mice.
PMID- 27895727
TI - Deficiency of SUMO-specific protease 1 induces arsenic trioxide-mediated
apoptosis by regulating XBP1 activity in human acute promyelocytic leukemia.
AB - Small ubiquitin-like modifier (SUMO)/sentrin-specific protease 1 (SENP1), a
member of the SENP family, is highly expressed in several neoplastic tissues.
However, the effect of SENP1 in acute promyelocytic leukemia (APL) has not been
elucidated. In the present study, it was observed that SENP1 deficiency had no
effect on the spontaneous apoptosis or differentiation of NB4 cells. Arsenic
trioxide (As2O3) could induce the upregulation of endoplasmic reticulum (ER)
stress, resulting in the apoptosis of NB4 cells. Additionally, knockdown of SENP1
significantly increased As2O3-induced apoptosis in NB4 cells transfected with
small interfering RNA targeting SENP1. SENP1 deficiency also increased the
accumulation of SUMOylated X-box binding protein 1 (XBP1), which was accompanied
by the downregulation of the messenger RNA expression and transcriptional
activity of the XBP1 target genes endoplasmic reticulum-localized DnaJ 4 and
Sec61a, which were involved in ER stress and closely linked to the apoptosis of
NB4 cells. Taken together, these results revealed that the specific de
SUMOylation activity of SENP1 for XBP1 was involved in the ER stress-mediated
apoptosis caused by As2O3 treatment in NB4 cells, thus providing insight into
potential therapeutic targets for APL treatment via manipulating XBP1 signaling
during ER stress by targeting SENP1.
PMID- 27895728
TI - Quantitative contrast-enhanced ultrasonography for the differential diagnosis of
endometrial hyperplasia and endometrial neoplasms.
AB - The present study aimed to investigate the feasibility of applying contrast
enhanced ultrasonography (CEUS) imaging technology for distinguishing between
benign and malignant endometrial lesions, and to screen markers that could be
correlated with the pathological results. In this study, endometrial diseases
were diagnosed by biopsy under hysteroscopy and CEUS examinations. The intensity
and time parameters of the time-intensity curve (TIC) were analyzed. The mean
arrival time (AT), time-to-peak (TTP), rise time (RT), washout half-time and
clearance half-time of malignant lesions were shorter than those of benign
lesions (P<0.05), whereas the average peak intensity (PI) and enhancement
intensity (EI) of malignant lesions were higher than those of benign lesions
(P<0.05). The receiver operating characteristic curve showed the following cut
off values: PI, 29.2 dB; EI, 21.35 dB; AT, 12.75 sec; TTP, 26.75 sec; RT, 13.2
sec; clearance half-time, 89.3 sec; and washout half-time, 75.45 sec. The lesions
with PI, an EI higher than that of the cut-off and lesions with an AT, TTP, RT,
half clearing time and washout half-time shorter than the cut-off were considered
malignant. The TTP, RT and half clearing time were negatively correlated with
microvessel density (MVD), i.e., MVD was higher when the TTP, RT and half
clearing time were shorter. Overall, changes in the enhancement and clearing of
lesions could be quantitatively analyzed by CEUS TIC and further discriminate
benign from malignant lesions. In the present study, CEUS appeared to indirectly
reflect blood vessel changes inside the lesions and provided a pre-operative non
invasive fast imaging method for the diagnosis of endometrial disease.
PMID- 27895729
TI - Celastrus orbiculatus extract triggers apoptosis and autophagy via PI3K/Akt/mTOR
inhibition in human colorectal cancer cells.
AB - Celastrus orbiculatus is used as a folk medicine in China for the treatment of
numerous diseases. The ethyl acetate extract of Celastrus orbiculatus (COE) also
displays a wide range of anti-cancer activities in the laboratory. However, the
effectiveness of COE-induced autophagy and its mechanism of action in colorectal
cancer cells have not been investigated thus far. The present study analyzed the
effect of COE on HT-29 cell viability, apoptosis and autophagy using MTT assay,
flow cytometry, transmission electron microscopy and western blotting.
Additionally, the autophagy inhibitor 3-methyladenine and the autophagy inducer
rapamycin were used to further explore the effects of COE-induced autophagy in HT
29 cells. The present study also examined whether the phosphatidylinositol-4,5
bisphosphate 3-kinase (PI3K)/Akt/mechanistic target of rapamycin (mTOR)/p70
ribosomal protein S6 kinase (p70S6K) signaling pathway was involved in the
regulation of COE-induced autophagy. The results revealed that COE inhibited HT
29 cell proliferation and decreased cell survival in a time- and dose-dependent
manner, and that COE possessed the ability to induce both apoptosis and autophagy
in HT-29 cells. Furthermore, autophagy and apoptosis induced by COE synergized to
inhibit colorectal cancer growth. In addition, COE treatment decreased the
phosphorylation of Akt and its downstream effectors mTOR and p70S6K. Taken
together, these results demonstrate that both autophagy and apoptosis were
activated during COE treatment of HT-29 cells, and that COE-induced autophagy
decreases the viability of HT-29 cells via a mechanism that may depend on the
PI3K/Akt/mTOR/p70S6K signaling pathway. Furthermore, compounds that induce
autophagy administered in combination with COE may be an attractive strategy for
enhancing the anti-tumor potency of COE in colorectal cancer.
PMID- 27895730
TI - Osthole induces lung cancer cell apoptosis through inhibition of inhibitor of
apoptosis family proteins.
AB - In the present study, we investigated the effects and mechanisms of Osthole on
the apoptosis of non-small cell lung cancer (NSCLC) cells and its synergistic
effect with Embelin. Our results revealed that treatment with both Osthole and
Embelin inhibited cell proliferation. Notably, combination treatment of Osthole
and Embelin inhibited cell proliferation more significantly compared with
monotherapy. In addition, morphological analysis and Annexin V/propidium iodide
analysis revealed that the combination of Osthole and Embelin enhanced their
effect on cell apoptosis. We further examined the effect of Osthole on the
expression of inhibitor of apoptosis protein (IAP) family proteins. That
treatment of A549 lung cancer cells with various concentrations of Osthole was
observed to decrease the protein expression of X-chromosome-encoded IAP, c-IAP1,
c-IAP2 and Survivin, and increase Smac expression in a dose-dependent manner.
Furthermore, it was noted that Osthole or Embelin alone increased the expression
of BAX, caspase-3, caspase-9, cleaved caspase-3 and cleaved caspase-9, and
decreased Bcl-2 levels following treatment. Osthole and Embelin combination
treatment had a synergistic effect on the regulation of these proteins. In
conclusion, our study demonstrated that Osthole inhibited proliferation and
induced the apoptosis of lung cancer cells via IAP family proteins in a dose
dependent manner. Osthole enhances the antitumor effect of Embelin, indicating
that combination of Osthole and Embelin has potential clinical significance in
the treatment of NSCLC.
PMID- 27895732
TI - Effect of matrine combined with cisplatin on the expression of XIAP in human
rhabdomyosarcoma RD cells.
AB - The combined effects of matrine (Mat) and cisplatin on the survival and apoptosis
of rhabdomyosarcoma (RMS) RD cells, as well as the possible mechanism of the
synergistic effect of Mat and cisplatin were investigated in the present study.
RMS RD cells were divided and treated as follows: control group, 5 mg/l cisplatin
group, Mat groups (0.5, 1.0 and 1.5 g/l), and Mat (0.5, 1.0 and 1.5 g/l) combined
with 5 mg/l cisplatin groups. An MTT assay and flow cytometry were applied to
detect the survival and apoptotic rates, respectively, while RT-PCR was applied
to detect the expression levels of X-linked inhibitor of apoptosis protein (XIAP)
mRNA in the RD cells of each group. The survival rates of RD cells in each
experimental group were lower than in the control group, and the apoptotic rates
were higher than those in the control group (P<0.05). An increase in drug
concentrations led to the cell proliferation inhibitory and apoptotic rates of
the single Mat groups increasing as a function of dose (pairwise comparison among
the groups, P<0.05), while the proliferation inhibitory and apoptotic rates of
Mat combined with the cisplatin groups under different concentration were
significantly higher than those of the single Mat and single cisplatin groups
under the same concentration (P<0.01). The expression levels of XIAP mRNA in the
RD cells of each experimental group were lower than those in the control group
(P<0.05). Additionally, the expression levels of XIAP mRNA in the group treated
with Mat and cisplatin were significantly lower than those of the single
cisplatin and single Mat groups (P<0.01). In conclusion, Mat and cisplatin are
capable of inhibiting the proliferation of RD cells and inducing apoptosis by
suppressing the XIAP mRNA expression levels.
PMID- 27895733
TI - Improved laparoscopic nephron-sparing surgery for renal cell carcinoma based on
the precise anatomy of the nephron.
AB - The aim of the present study was to investigate a method of laparoscopic nephron
sparing surgery (LNSS) for renal cell carcinoma (RCC) based on the precise
anatomy of the nephron, and to decrease the incidence of hemorrhage and urinary
leakage. Between January 2012 and December 2013, 31 patients who presented to the
General Hospital of the People's Liberation Army (Beijing, China) were treated
for RCC. The mean tumor size was 3.4+/-0.7 cm in diameter (range, 1.2-6.0 cm).
During surgery, the renal artery was blocked, and subsequently, an incision in
the renal capsule and renal cortex was performed, at 3-5 mm from the tumor edge.
Subsequent to the incision of the renal parenchyma, scissors with blunt and sharp
edge were used to separate the base of the tumor from the normal renal medulla,
in the direction of the ray medullary in the renal pyramids. The basal blood
vessels were incised following the hemostasis of the region using bipolar
coagulation. The minor renal calyces were stripped carefully and the wound was
closed with an absorbable sutures. The arterial occlusion time, duration of
surgery, intraoperative bleeding volume, post-operative drainage volume,
pathological results and complications were recorded. The surgery was successful
for all patients. The estimated average intraoperative bleeding volume was 55.7
ml, the average surgical duration was 95.5 min, the average arterial occlusion
time was 21.2 min, the average post-operative drainage volume was 92.3 ml and the
average post-operative length of hospital stay was 6.1 days. No hemorrhage or
urinary leakage was observed in the patients following the surgery. LNSS for RCC
based on the precise anatomy of the nephron was concluded to be effective and
feasible. The surgery is useful for the complete removal of tumors and guarantees
a negative margin, which may also decrease the incidence of hemorrhage and
urinary leakage following surgery.
PMID- 27895731
TI - Advantages and disadvantages of F-18 fluorodeoxyglucose positron emission
tomography/computed tomography in carcinoma of unknown primary.
AB - Carcinoma of unknown primary is a type of malignant disease where the primary
carcinoma cannot be identified by conventional examination, which presents
challenges in diagnosis and therapy. This study aims to evaluate the detailed
clinical value and indications of using fluorine-18-2-fluoro-2-deoxy-D-glucose
positron emission tomography/computed tomography (F-18 FDG PET/CT) in a large
sample. A total of 449 patients who were selected under strict standards were
retrospectively included in this study. F-18 FDG PET/CT accurately detected the
primary carcinoma in 115 of 449 patients whose primaries could not be detected by
conventional examination (25.6%), with additional 27 false-positive patients. The
most common primary site was the lung (34.8%). In addition, except for in
metastatic melanoma (1/19, 5.3%) and axillary metastasis patients (2/49, 4.1%), F
18 FDG PET/CT had a comparative performance in detecting primary carcinoma in
other pathological types and anatomical locations. The scan is able to guide
treatment strategy modifications to some extent (130/449, 29.0%). We strongly
recommend the use of F-18 FDG PET/CT in the early phase of examination. It is
also recommended as a supplementary radiological method, and certain patients may
benefit from its application in cases where regular examination is inconclusive.
However, in metastatic melanoma or axillary metastasis patients where the primary
site cannot be identified by routine examination, regular application of F-18 FDG
PET/CT for the sole purpose of detecting the primary carcinoma should not be
encouraged.
PMID- 27895734
TI - Periostin and tumor-stroma interactions in non-small cell lung cancer.
AB - Non-small cell lung cancer (NSCLC) is one of the leading causes of cancer
associated mortality globally. Interactions of the cancer cells with the tumor
microenvironment are essential carcinogenic features for the majority of solid
tumors, such as pancreatic cancer. The present study investigated the role of
stromal activation in NSCLC and analyzed the surgical specimens of 93 patients by
immunohistochemistry with regard to periostin (an extracellular matrix protein),
alpha-smooth muscle actin (alpha-SMA; a marker of myofibroblasts) and cluster of
differentiation 31 (CD31; a marker of endothelial cells), and the activated
stroma index. There was a trend towards reduced overall survival for patients
with high periostin expression (hazard ratio, 1.80; 95% confidence interval, 0.99
3.27; P=0.050). No significant correlations with overall survival were identified
for alpha-SMA (P=0.930), CD31 (P=0.923), collagen (P=0.441) or the activated
stroma index (P=0.706). In a multivariable analysis, the histological tumor
subtype, tumor stage, lymph node involvement and resection status were
independent prognostic factors in NSCLC, but none of the investigated
immunohistochemical markers were prognostic factors. Thus, the tumor
microenvironment and stroma activation did not prove to be of prognostic
relevance for lung cancer, as it has been previously described for pancreatic
cancer. Other markers of the microenvironment of NSCLC may be of higher
prognostic value, pointing towards tumor-type specific effects.
PMID- 27895735
TI - Crk-like adapter protein is overexpressed in cervical carcinoma, facilitates
proliferation, invasion and chemoresistance, and regulates Src and Akt signaling.
AB - Overexpression of Crk-like (CrkL) adapter protein has been implicated in a number
of types of human cancer. However, its involvement in human cervical carcinoma
remains unclear. The present study aimed to explore the clinical significance and
biological characteristics of CrkL in human cervical carcinoma. CrkL protein
expression was examined in tissue samples from 92 cases of cervical carcinoma
using immunohistochemistry, and was found to be overexpressed in 48.9% (45/92
cases). CrkL was transfected into HeLa and CaSki cervical carcinoma cell lines
and its effects on biological behavior were examined. CrkL overexpression was
revealed to promote cell proliferation, invasion and chemoresistance. In
addition, CrkL overexpression increased the level of Src and Akt phosphorylation.
Treatment with the Src inhibitor dasatinib eliminated the effect of CrkL on cell
invasion. In conclusion, the current results demonstrate that CrkL is an
oncoprotein overexpressed in cervical carcinoma which contributes to malignant
cell growth and chemoresistance. In addition, the findings indicate that CrkL
promotes cervical cancer cell invasion through a Src-dependent pathway.
PMID- 27895736
TI - Effect of silencing SATB1 on proliferation, invasion and apoptosis of A549 human
lung adenocarcinoma cells.
AB - The present study aimed to explore the clinical characteristics of special
adenine-thymine-rich sequence-binding protein 1 (SATB1) in lung adenocarcinoma
and its role in the proliferation, invasion, migration and apoptosis of the lung
adenocarcinoma cell line A549. The expression of SATB1 was first studied in tumor
tissues of lung adenocarcinoma and adjacent non-tumor tissues. The siRNA green
fluorescent protein expression vector of SATB1 was constructed and transfected
into the lung adenocarcinoma cell line A549, then a fluorescence microscope was
used to study the transfection efficiency. Western blot analysis was adopted to
measure the silencing efficiency. 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide (MTT), Transwell and scratch assays were used to
study cell proliferation, invasion and migration activity, and the apoptosis rate
was tested by flow cytometry. SATB1 expression was low in the adjacent non-tumor
tissues but high in lung adenocarcinoma tissues, and it was reversely
proportional to the differentiation degree. Following transfection with SATB1
siRNA, the expression of SATB1 in A549 cells was blocked (P<0.01). In addition,
the proliferation, invasion and migration abilities of cells decreased
significantly while the apoptosis rate increased significantly (P<0.01). In
conclusion SATB1 is closely associated with the pathogenesis and development of
lung adenocarcinoma.
PMID- 27895737
TI - High PARP-1 expression is associated with tumor invasion and poor prognosis in
gastric cancer.
AB - Poly (adenosine diphosphate-ribose) polymerase 1 (PARP-1) was previously
demonstrated to be overexpressed in numerous malignant tumors and associated with
invasiveness and poor prognosis. However, the expression of the PARP-1 protein in
gastric cancer and its association with clinical outcomes requires further
investigation. In the present study, the expression of PARP-1 in 564 gastric
cancer tissues and 335 tumor-adjacent control tissues is investigated, using
tissue microarray-based immunohistochemistry. PARP-1 expression levels were
demonstrated to be significantly higher in gastric cancer tissue samples, as
compared with control tissue samples. In gastric cancer, high PARP-1 expression
levels were significantly associated with Helicobacter pylori (H. pylori)
infection (P=0.032), decreased differentiation (P<0.001), increased depth of
invasion (P=0.037), presence of lymphatic invasion (P<0.001), presence of lymph
node metastasis (P<0.001), and advanced tumor-node-metastasis (TNM) stage
(P=0.015). High PARP-1 expression levels were associated with a significantly
shorter overall survival rate (P<0.001) and disease-free survival rate (P=0.001)
in patients with gastric cancer, particularly a subset of patients with H. pylori
infection or an advanced TNM stage. In addition, univariate analysis indicated
that PARP-1 high expression levels were significantly associated with a poor
prognosis in gastric cancer. These results suggest that PARP-1 expression may be
involved in the progression and prognosis of gastric cancer, particularly H.
pylori-positive or advanced-stage gastric cancer.
PMID- 27895738
TI - Cyclooxygenase-2 is associated with malignant phenotypes in human lung cancer.
AB - The objective of the present study was to investigate whether cyclooxygenase-2
(COX-2) is associated with malignancy, and to investigate its molecular
mechanisms in human lung cancer tumor malignancy. The present study used RNA
interference (RNAi) methodology and celecoxib, a COX-2 inhibitor, to investigate
the effect of COX-2 knockdown on the proliferation and invasion abilities of lung
cancer cells and the molecular mechanisms involved. Human lung adenocarcinoma
A549-si10 and LTEP-A2 cells transfected with a specific small interfering RNA
(A549-si10 and LTEP-A2-si10, respectively) grew more slowly compared with
parental cell lines and cells transfected with pU6. The colony formation of A549
si10 and LTEP-A2-si10 cells was also reduced. In addition, A549-si10 and LTEP-A2
si10 cells were characterized by decreased metastatic and invasive abilities. The
proliferation and invasive potential of parental A549 and LTEP-A2 cells was
inhibited following treatment with celecoxib. In vivo, a COX-2 knockdown resulted
in a decrease of proliferation and reduction of vascular endothelial growth
factor (VEGF), matrix metalloproteinase-2 (MMP-2) and endothelial growth factor
receptor (EGFR) expression in A549 xenografts. In conclusion, the present study
revealed that COX-2 plays a extremely important role in tumor growth,
infiltration and metastasis via the regulation of VEGF, MMP-2 and EGRF
expression. Therefore, COX-2 is a potential therapeutic target for lung cancer.
PMID- 27895740
TI - Letrozole as second-line hormonal treatment for recurrent low-grade endometrial
stromal sarcoma: A case report and review of the literature.
AB - Low-grade endometrial stromal sarcoma (LGESS) is a rare malignancy. The tumor is
reportedly responsive to hormonal therapy, most commonly with medroxyprogesterone
acetate (MPA), but the effectiveness of aromatase inhibitors for recurrent LGESS
remains unclear. The present study reports a case of stage IC LGESS presenting
with abnormal uterine bleeding, and also provides a review of the literature.
Following a total abdominal hysterectomy and bilateral salpingo-oophorectomy, MPA
therapy was initiated; treatment was successful, but discontinued 19 months later
due to disruptive side effects. A further 2 months later, the patient presented
with recurrent disease and received chemotherapy. MPA treatment was restarted
with a partial response. A second recurrence, 4 years later, presented with lung
and para-aortic lymph node metastases. The patient responded to treatment with
the aromatase inhibitor letrozole. The patient has since exhibited stable disease
and remained free of symptoms for 7 years. This case suggests that aromatase
inhibitor treatment may be effective for recurrent LGESS as a second-line
treatment.
PMID- 27895739
TI - Gene expression profiling of the 8q22-24 position in human breast cancer: TSPYL5,
MTDH, ATAD2 and CCNE2 genes are implicated in oncogenesis, while WISP1 and EXT1
genes may predict a risk of metastasis.
AB - Gene expression profiling has been suggested to predict breast cancer outcome.
The prognostic value of the 8q22-24 position in breast cancer remains to be
elucidated. The present study evaluated expression patterns of the genes located
at this position in metastatic and non-metastatic breast cancer. A total of 85
patients with recurrent/metastatic (n=15) and non-metastatic (n=70) early-stage,
estrogen receptor-positive and lymph node-negative breast tumors were included.
In addition, 15 normal breast tissue samples were used as controls. Demographic
and clinical features were recorded. Subsequently, mRNA copy numbers of exostosin
glycosyltransferase 1 (EXT1), WNT1 inducible signaling pathway protein 1 (WISP1),
ATPase family, AAA domain containing 2 (ATAD2), TSP-like 5 (TSPYL5), metadherin
(MTDH) and cyclin E2 (CCNE2) genes were measured by reverse transcription
quantitative polymerase chain reaction assay. The expression of EXT1 and WISP1
exhibited a significant decline in the metastatic breast cancer group compared to
the control (P=0.015 and P=0.012, respectively). The expression of TSPYL5, MTDH
and ATAD2 was significantly decreased in the metastatic (P=0.002, P=0.018 and
P=0.016, respectively) and non-metastatic (P=0.038, P=0.045 and P=0.000,
respectively) breast cancer groups compared with the control. The expression of
CCNE2 in the metastatic and non-metastatic breast cancer groups was significantly
increased compared with the control (P=0.002 and P=0.001, respectively). WISP1
expression demonstrated a correlation with patient age and tumor size, and TSPYL5
expression was correlated with lymphovascular invasion. None of the genes
investigated exhibited any correlation with stage and grade of disease. The
TSPYL5, MTDH, ATAD2 and CCNE2 genes may be implicated in the pathogenesis of
human breast cancer, while the WISP1 and EXT1 genes may have the potential to
serve as promising indicators of the risk of metastasis. However, further studies
are required to validate these results.
PMID- 27895741
TI - The prognostic significance of estrogen receptor beta in head and neck squamous
cell carcinoma.
AB - Head and neck squamous cell carcinoma (HNSCC) is the fifth most common cancer in
the world. Although multimodal and targeted therapy is now used in therapeutic
procedures, the survival of patients with HNSCC has remained unchanged over the
last 30 years. A number of studies have demonstrated that the increased
expression of intranuclear ERbeta in breast, lung and colon cancer is a favorable
prognostic marker associated with higher survival rates. However, the clinical
significance of sex hormone receptors in HNSCC remains unclear. The current study
aimed to assess the expression of ERbeta in HNSCC immunohistochemically and
investigate any possible association between ERbeta expression, and clinical and
histopathological factors, disease recurrence and patient survival. The present
study included 174 patients (165 males and 9 females) with a median age of 60.8
years (range, 39-79) with HNSCC who were primary surgically treated between
January 2000 and December 2006. Immunohistochemical reactions for ERbeta
demonstrated that 73 patients (42%) exhibited positive ERbeta expression.
Distribution of ERbeta status among different head and neck subsites indicated
that >40% of all negative cases were located in laryngeal primaries, while
incidence of other sublocalization within positive cases was similar and
comparable (P=0.04). Furthermore, a correlation was observed between ERbeta
immunopositivity and the survival of patients, with respect to the primary tumor
site. Patients with ERbeta positive oropharyngeal cancer had a survival rate of
35.3% at 5-years compared with 25% for patients with negative expression.
However, ERbeta status was not significantly correlated with any other clinical
or histopathological parameter. After an average follow-up time of 38.5 months
(range, 3-60 months), 54 patients (31.1%) had succumbed to disease recurrence
while 50 (28.7%) succumbed to other causes. In conclusion, ERbeta positivity
indicates improved survival of patients with oropharyngeal cancer. Further
research is required in order to implement novel therapeutic strategies.
PMID- 27895742
TI - Comparative analysis of gene expression profiles of gastric cardia adenocarcinoma
and gastric non-cardia adenocarcinoma.
AB - In the present study, gene expression profiles were analyzed to identify the
molecular mechanisms underlying gastric cardia adenocarcinoma (GCA) and gastric
non-cardia adenocarcinoma (GNCA). A gene expression dataset (accession number
GSE29272) was downloaded from Gene Expression Omnibus, and consisted of 62 GCA
samples and 62 normal controls, as well as 72 GNCA samples and 72 normal
controls. The two groups of differentially-expressed genes (DEGs) were compared
to obtain common and unique DEGs. A differential analysis was performed using the
Linear Models for Microarray Data package in R. Functional enrichment analysis
was conducted for the DEGs using the Database for Annotation, Visualization and
Integrated Discovery. Protein-protein interaction (PPI) networks were constructed
for the DEGs with information from the Search Tool for the Retrieval of
Interacting Genes. Subnetworks were extracted from the whole network with
Cytoscape. Compared with the control, 284 and 268 genes were differentially
expressed in GCA and GNCA, respectively, of which 194 DEGs were common between
GCA and GNCA. Common DEGs [e.g., claudin (CLDN)7, CLDN4 and CLDN3] were
associated with cell adhesion and digestion. GCA-unique DEGs [e.g., MAD1 mitotic
arrest deficient like 1, cyclin (CCN)B1, CCNB2 and CCNE1] were associated with
the cell cycle and the regulation of cell proliferation, while GNCA-unique DEGs
(e.g., GATA binding protein 6 and hyaluronoglucosaminidase 1) were implicated in
cell death. A PPI network with 141 nodes and 446 edges were obtained, from which
two subnetworks were extracted. Genes [e.g., fibronectin 1, collagen type I
alpha2 chain (COL1A2) and COL1A1] from the two subnetworks were implicated in
extracellular matrix organization. These common DEGs could advance our
understanding of the etiology of gastric cancer, while the unique DEGs in GCA and
GNCA could better define the properties of specific cancers and provide potential
biomarkers for diagnosis, prognosis or therapy.
PMID- 27895743
TI - Diagnosis of pancreatic lesions collected by endoscopic ultrasound-guided fine
needle aspiration using next-generation sequencing.
AB - Endoscopic ultrasound-guided fine-needle aspiration (EUF-FNA) has improved the
diagnosis of pancreatic lesions. Next-generation sequencing (NGS) facilitates the
production of millions of sequences concurrently. Therefore, in the current
study, to improve the detectability of oncogenic mutations in pancreatic lesions,
an NGS system was used to diagnose EUS-FNA samples. A total of 38 patients with
clinically diagnosed EUS-FNA specimens were analyzed; 27 patients had pancreatic
ductal adenocarcinoma (PDAC) and 11 had non-PDAC lesions. DNA samples were
isolated and sequenced by NGS using an Ion Personal Genome Machine system. The
Cancer Hotspot Panel v2, which includes 50 cancer-related genes and 2,790 COSMIC
mutations, was used. A >2% mutation frequency was defined as positive. KRAS
mutations were detected in 26 of 27 PDAC aspirates (96%) and 0 of 11 non-PDAC
lesions (0%). The G12, G13, and Q61 KRAS mutations were found in 25, 0, and 1 of
the 27 PDAC samples, respectively. Mutations were confirmed by TaqMan(r)
polymerase chain reaction analysis. TP53 mutations were detected in 12 of 27 PDAC
aspirates (44%). SMAD4 was observed in 3 PDAC lesions and cyclin-dependent kinase
inhibitor 2A in 4 PDAC lesions. Therefore, the current study was successfully
able to develop an NGS assay with high clinical sensitivity for EUS-FNA samples.
PMID- 27895744
TI - Identification of targets of miRNA-221 and miRNA-222 in fulvestrant-resistant
breast cancer.
AB - The present study aimed to identify the differentially expressed genes (DEGs)
regulated by microRNA (miRNA)-221 and miRNA-222 that are associated with the
resistance of breast cancer to fulvestrant. The GSE19777 transcription profile
was downloaded from the Gene Expression Omnibus database, and includes data from
three samples of antisense miRNA-221-transfected fulvestrant-resistant MCF7-FR
breast cancer cells, three samples of antisense miRNA-222-transfected fulvestrant
resistant MCF7-FR cells and three samples of control inhibitor (green fluorescent
protein)-treated fulvestrant-resistant MCF7-FR cells. The linear models for
microarray data package in R/Bioconductor was employed to screen for DEGs in the
miRNA-transfected cells, and the pheatmap package in R was used to perform two
way clustering. Pathway enrichment was conducted using the Gene Set Enrichment
Analysis tool. Furthermore, a miRNA-messenger (m) RNA regulatory network
depicting interactions between miRNA-targeted upregulated DEGs was constructed
and visualized using Cytoscape. In total, 492 and 404 DEGs were identified for
the antisense miRNA-221-transfected MCF7-FR cells and the antisense miRNA-222
transfected MCF7-FR cells, respectively. Genes of the pentose phosphate pathway
(PPP) were significantly enriched in the antisense miRNA-221-transfected MCF7-FR
cells. In addition, components of the Wnt signaling pathway and cell adhesion
molecules (CAMs) were significantly enriched in the antisense miRNA-222
transfected MCF7-FR cells. In the miRNA-mRNA regulatory network, miRNA-222 was
demonstrated to target protocadherin 10 (PCDH10). The results of the present
study suggested that the PPP and Wnt signaling pathways, as well as CAMs and
PCDH10, may be associated with the resistance of breast cancer to fulvestrant.
PMID- 27895745
TI - Evaluation of the association between HIF-1alpha and HER-2 expression, hormone
receptor status, Ki-67 expression, histology and tumor FDG uptake in breast
cancer.
AB - The present study aimed to examine hypoxia-inducible factor (HIF)-1alpha
expression and its association with glucose uptake in invasive breast cancer. In
addition, connections between glucose uptake and several other prognostic
parameters of breast cancer were studied. Between August 2013 and April 2015, 92
patients with biopsy-diagnosed breast cancer were subjected to 18F
fluorodeoxyglucose positron emission tomography/computed tomography. The primary
tumor and nodal maximum standardized uptake values (SUVmax) were recorded, and
HIF-1alpha expression and clinical parameters, including tumor mass, estrogen
receptor (ER) and progesterone receptor (PgR) levels, human epidermal growth
factor receptor-2 (HER-2), Ki-67 index, grade and histology, were analyzed.
SUVmax was compared with clinicopathological parameters and HIF-1alpha
expression. The median SUVmax values of the ER-negative and PgR-negative tumors
were significantly increased compared with ER and PgR-positive tumors,
respectively (P=0.004 and P=0.008). SUVmax differed significantly between the T2
and T3 tumors and the T1 tumors. The median SUVmax levels were higher in the Ki
67 expression >10% group than the Ki-67 index <10% group (P=0.001). Although the
median SUVmax values in HER-2-positive and -negative tumors were similar, triple
negative tumors demonstrated significantly higher values (P=0.04). With regard to
tumor grade, the median SUVmax was greater in the high-grade tumors compared with
the low-grade tumors. SUVmax did not exhibit a significant correlation with HIF
1alpha expression; however, HIF-1alpha expression was associated with tumor size
and PgR expression. HIF-1alpha expression increased with a larger tumor size
(r=0.27; P=0.008) and decreased PgR expression (r=-0.26; P=0.0002). The axillary
nodal SUVmax of the N1 tumors was significantly lower than the N2 and N3 tumors
(P<0.0001). In the multivariate analysis, tumor size, Ki-67 expression and ER
Allred score were independent factors that impacted SUVmax. The results of the
present study indicated strong associations between tumor size, tumor grade, Ki
67 expression, triple-negativity, downregulated hormone receptor expression and
SUVmax values. Conversely, there was no association observed between glucose
uptake and levels of HIF-1alpha. Based on these results, it is suggested that the
lack of assiocation between hypoxia and glucose uptake indicates phenotypic
independence.
PMID- 27895746
TI - RNAi-mediated gene silencing of vascular endothelial growth factor C suppresses
growth and induces apoptosis in mouse breast cancer in vitro and in vivo.
AB - Vascular endothelial cell growth factor (VEGF)-C promotes tumorigenesis by
allowing lymph node metastasis and lymphangiogenesis, among other actions. RNA
interference (RNAi) is a novel technique for suppressing target gene expression
and may increase the effectiveness of cancer treatments. The present study
assessed the influence of VEGF-C RNAi on the apoptosis and proliferation of mouse
breast cancer cells in vitro and in vivo. A total of three pairs of small
interfering RNA (siRNA) targeting mouse VEGF-C were designed and synthesized
prior to transfection into 4T1 cells via a liposomal approach. Reverse
transcription polymerase chain reaction, western blot analysis, a 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay, Hoechst 33258
staining and flow cytometry were performed in vitro to analyze VEGF-C expression,
cleaved caspase-3 protein expression and 4T1 cell proliferation and apoptosis.
Experiments were also conducted in vivo on BALB/c mice with breast cancer. Tumor
weight and volume were measured and the number of apoptotic cells in tumor
tissues was assessed by a TUNEL assay. Immunohistochemical assays and an enzyme
linked immunosorbent assay were used to measure the expression of VEGF-C in tumor
tissues. The results demonstrated that the three pairs of siRNA, particularly
siV2, significantly reduced VEGF-C mRNA and protein levels in 4T1 cells. siV2 was
deemed to be the most efficient siRNA and therefore was selected to be used in
subsequent experiments. Furthermore, in vitro studies indicated that VEGF-C RNAi
significantly decreased cell growth, induced apoptosis and upregulated the
expression of cleaved caspase-3 protein. Tumor weight and volume in breast cancer
in vivo models was reduced by the intratumoral injection of siV2. Antitumor
efficacy was associated with decreased VEGF-C expression and increased induction
of apoptosis. The present study therefore indicated that VEGF-C RNAi inhibited
mouse breast cancer growth in vitro and in vivo and that it may be a novel
targeted therapy for breast cancer.
PMID- 27895748
TI - Serum miR-300 as a diagnostic and prognostic biomarker in osteosarcoma.
AB - In order to determine whether microRNA (miR)-300 is a diagnostic and prognostic
biomarker in osteosarcoma, the miR-300 levels in serum of 114 osteosarcoma
patients and 114 healthy controls were compared, followed by serum analysis of
the differences between the pre-operative and post-operative sera of these
osteosarcoma patients. It was observed that the concentration levels of miR-300
in the serum of osteosarcoma patients was significantly higher than those in the
serum of healthy controls (P<0.01). Furthermore, the concentration levels of miR
300 in the post-operative serum were significantly reduced when compared with the
pre-operative serum levels (P<0.001). High miR-300 levels in serum correlated
significantly with clinical stage, distant metastasis and poor survival of
osteosarcoma patients. Notably, serum miR-300 was an independent prognostic
marker for osteosarcoma. In conclusion, our results suggested that serum miR-300
may be a potential and useful noninvasive biomarker for the early detection of
osteosarcoma.
PMID- 27895747
TI - Overexpression of microRNA-24 increases the sensitivity to paclitaxel in drug
resistant breast carcinoma cell lines via targeting ABCB9.
AB - Paclitaxel has been widely used in the treatment of breast cancer. However, the
development of drug resistance often increases the failure of chemotherapy.
Growing evidence has reported the significant role of microRNAs (miRs) in drug
resistance. The present study identified that miR-24 was significantly
downregulated in paclitaxel-resistant (PR) breast cancer patients and in MCF-7/PR
human breast carcinoma cells, and that overexpression of miR-24 could increase
the effect of paclitaxel on drug-resistant breast carcinoma cells. Furthermore,
miR-24 could directly bind to the 3'-untranslated region of ATP binding cassette
B9 to downregulate its expression, thereby reducing drug transportation and
improving the anti-tumor effect of paclitaxel on breast cancer cells. In vivo
experiments also demonstrated that overexpression of miR-24 could increase the
sensitivity of drug-resistant MCF-7 cells to paclitaxel. In conclusion, the
present results suggested a novel function for miR-24 in reducing paclitaxel
resistance in breast cancer, which may be of important clinical significance.
PMID- 27895749
TI - A single-center retrospective study of pediatric hepatoblastoma.
AB - Hepatoblastoma is a malignant liver tumor generally diagnosed in infants and
children <3 years old. The current retrospective study aimed to investigate the
associations of tumor stage, pathological type, metastasis and chemotherapy with
clinical outcomes. In the current study, a total of 102 patients with
hepatoblastoma were enrolled between September 2006 and June 2014. Clinical
records and follow-up information for each of patient were obtained to conduct a
Kaplan-Meier survival analysis and log-rank test. The median age of the subjects
was 1.5 years, and 98 patients had stage III or IV hepatoblastoma. Complete or
partial remittance occurred in 72 subjects, and 91 underwent surgical operation.
The survival rate differed significantly among patients with different tumor
stages (P=0.015, chi2=8.359). The mortality rate of stage IV subjects with
intrahepatic metastasis was significantly higher than that of those without
(P=0.004). Among the 45 subjects with relapsed hepatoblastoma, the mortality rate
was higher in the subjects that abandoned chemotherapy than in patients who
continued regular chemotherapy. In total, 27 of 45 subjects with relapsed
hepatoblastoma succumbed to the disease; 20 of them abandoned chemotherapy
treatment; and the remaining 7 patients underwent regular chemotherapy and
succumbed to the disease by the end of follow-up. The present study indicates
that the increased mortality rate was associated with postoperative residual
induced intrahepatic metastasis and relapsed hepatoblastoma; and that regular
chemotherapy is necessary for patient to achieve complete or partial remission
following surgical operation.
PMID- 27895750
TI - MicroRNA-298 inhibits malignant phenotypes of epithelial ovarian cancer by
regulating the expression of EZH2.
AB - MicroRNA (miRNA or miR)-298 has been reported to be downregulated and to modify
the expression of the polycomb protein enhancer of zeste 2 (EZH2) in recurrent
epithelial ovarian cancer (EOC). To date, no functional evidence of a miR-298
EZH2 axis in EOC has been documented. The present study aimed to investigate the
associations of miR-298 and/or EZH2 expression with clinicopathological features
of EOC patients, and revealed their roles in cell motility based on EOC cell
lines. Reverse transcription-quantitative polymerase chain reaction was performed
to detect the expression levels of miR-298 and EZH2 messenger RNA in human EOC
tissues and cell lines. Wound healing and transwell assays were performed to
determine the function of the miR-298-EZH2 axis on cell migration and invasion,
respectively. Compared with normal tissues, miR-298 expression was significantly
downregulated, while EZH2 expression was significantly upregulated, in human EOC
tissues (both P=0.001). In addition, miR-298 downregulation and EZH2 upregulation
were significantly associated with high clinical stage (both P=0.01) and
pathological grade (both P=0.02) of EOC patients. Furthermore, the ectopic
expression of miR-298 could efficiently inhibit cell migration and invasion.
Notably, the overexpression of EZH2 could restore the cell migration and invasion
abilities suppressed by miR-298. Our data offer convincing evidence that the
dysregulation of the miR-298-EZH2 axis may be important in tumor progression of
EOC patients. The present study also confirmed a tumor-suppressive role of miR
298 in modulating EOC cell motility by regulating the expression of EZH2,
implying its potential as a novel miRNA-based therapeutic target for the
treatment of human EOC.
PMID- 27895751
TI - Value of window technique in diagnosis of the ground glass opacities in patients
with non-small cell pulmonary cancer.
AB - The aim of the present study was to examine the value of window technique in
qualitative diagnosis of the ground glass opacities (GGO) in patients with non
small cell pulmonary cancer. A total of 124 clinically suspected pulmonary cancer
patients were analyzed retrospectively. The lesions were affirmed by puncture
biopsy, and were GGO on pulmonary window while were invisible on mediastinal
window. Sixty-four multi-detector spiral computed tomography with the window
width and window level of 1,500 Hounsfield units (HU) and -450 HU on pulmonary
window, while the window width and window level of 400 and 40 HU on mediastinal
window, was used in the study. The window adjustment technique was used to
analyze the window width and window level of lesion on pulmonary window and
mediastinal window, for searching invisible threshold on 3-megapixel medical
displays. The diagnostic accuracy and the cut-off value were compared on receiver
operating characteristic (ROC) curve. The results showed that the window width
and window level on pulmonary window and mediastinal window of malignant lesions
were significantly less than those of benign ones (P<0.05). The cut-off value on
pulmonary window was the window width and window level of 1,300 and -220 HU, the
area under the ROC was 0.830 [sensitivity was 72.5%, specificity was 84.3%; 95%
confidence interval (CI), 0.712-0.945]. The cut-off value on mediastinal window
was the window width and window level of 360 and 30 HU, and the area under the
ROC was 0.623 (was 62.0%, specificity was 55.7%; 95% CI, 0.541-0.745). In
conclusion, the window technique has high sensitivity and accuracy in qualitative
diagnosis of the GGO.
PMID- 27895752
TI - Expression of GOLPH3 protein in colon cancer tissues and its association with the
prognosis of patients.
AB - The present study aimed to investigate the expression of Golgi phosphoprotein-3
(GOLPH3) protein in colon cancer tissues and the association with the prognosis
of patients. In total, 98 patients with colon cancer admitted to The First
Affiliated Hospital of Henan University of Science and Technology for surgery
between June 2011 and June 2013 were taken as the observation group. In addition,
15 healthy individuals, determined by enteroscopy, were taken as the control
group. The expressions of GOLPH3 mRNA and protein were detected by reverse
transcription-polymerase chain reaction and immunohistochemistry, respectively.
The patients were divided into GOLPH3-positive and GOLPH3-negative groups
according to the expression of GOLPH3. The expression of GOLPH3 in colon cancer
and its association with the prognosis of patients was analyzed. The expression
of GOLPH3 mRNA and protein in colon cancer tissues was significantly increased
compared with normal colon mucosa (P<0.05); among the tissues, GOLPH3 was not
expressed in 29 patients and positively expressed in 69 patients. The expression
of GOLPH3 was negatively associated with the tumor differentiation degree, and
positively associated with tumor invasion depth, lymph node metastasis and
clinical stages in GOLPH3-positive patients. The cumulative recurrence rates at
1, 2 and 3 years were significantly lower in GOLPH3-negative patients (P<0.05).
The survival rates at 1, 2 and 3 years in the GOLPH3-positive group were
significantly higher than that of the GOLPH3-negative patients (P<0.05). In
conclusion, the positive expression of GOLPH3 mRNA and protein in colon cancer
tissue was significantly increased compared with the control group. GOLPH3
expression was closely associated with the pathological features, consisting of
tissue typing, clinical stage, degree of tumor invasion and lymph node
metastasis, and GOLPH3 expression. Patients with GOLPH3 overexpression also had a
poor prognosis.
PMID- 27895753
TI - Drug resistance analysis of gefitinib-targeted therapy in non-small cell lung
cancer.
AB - The aim of the study was to examine the drug resistance analysis of gefitinib
targeted therapy in non-small cell lung cancer (NSCLC). In total, 156 NSCLC
patients without surgical treatment were selected, including 117 cases of
adenocarcinoma (75%), to receive single gefitinib 0.25 g/day or combined with
platinum chemotherapy. Computed tomography was used to evaluate tumor growth for
the response and non-response groups. The chemotherapy regimen was changed or
combined with radiotherapy in the non-response group. Tumor progression or
metastasis in the response group was considered as the generation of drug
resistance. The chemotherapy regimen was altered in the response group. Eleven
cases had tumor response in the non-response group after the chemotherapy regimen
was adjusted (20%), 33 cases had complete response (CR) (32.7%), 44 cases had
partial response (PR) (43.6%), and 24 cases had stable disease (SD) (23.8%) in
the response group. The drug resistance rates of CR, PR, and SD showed no
significant difference (P>0.05). However, the drug-resistant time of CR was
significantly delayed and the difference was statistically significant (P<0.05).
The response rates of CR, PR, and SD patients regaining the response rate showed
no statistical significance after the chemotherapy regimen was adjusted, and the
difference was not statistically significant (P>0.05). In conclusion, gefitinib
targeted therapy in NSCLC showed certain drug resistance, which may not be
related to the response.
PMID- 27895754
TI - Evaluation of the efficacy of paclitaxel with curcumin combination in ovarian
cancer cells.
AB - The aim of the present study was to evaluate the efficacy of paclitaxel combined
with curcumin (CUR) against drug resistance in ovarian cancer cells. PLGA
phospholipid-PEG nanoparticles were prepared using the nano precipitation method.
The size and morphology of the nanoparticles were determined using a transmission
electron microscope and particle size analyzer. The encapsulation efficiency of
nanoparticles was determined using the ultrafiltration centrifugation method. The
dialysis method was used to study the release of PLGA-phospholipid-PEG
nanoparticles. ADM was used to induce the A2780 cell line (human ovarian cancer
cell line) to establish the model of the multidrug-resistant (MDR) cell line, and
the protein activity of P-glycoprotein (P-gp) in the A2780 cell line and
A2780/ADM resistant cell line was determined using western blot analysis. The
results showed that, the prepared nanoparticles were uniform in size, with a size
of approximately 100 nm, and round in shape. Additionally, the nanoparticles had
a more gentle and slow release than the free drug release. The results of the
protein trace printing experiment showed that the P-gp content of the drug
resistant cell line was significantly reduced by the CUR nanoparticles. In
conclusion, PLGA-phospholipid nanoparticles containing taxol and CUR have
improved solubility and stability together with a slow release effect. In
addition, CUR was able to overcome the MDR of tumor cells by elevating the
paclitaxel concentration in the tumor cells to improve the antitumor activity of
this combination.
PMID- 27895755
TI - Inhibitory effects of Chinese nutritional herbs in isogenic breast carcinoma
cells with modulated estrogen receptor function.
AB - In estrogen receptor (ER)+ MCF-7 cells, ER represents a ligand-activated
transcription factor, and 17beta-estradiol (E2) represents its physiological
ligand. Maintenance of the human breast carcinoma-derived MCF-7 cells with 0.7%
serum selected a proliferative sub-population of E2-responsive cells with
transiently non-functional ER due to limited availability of E2. Culture of MCF-7
cells in the presence of either 0.7% serum, <1 nM E2 or 0.7% serum + 20 nM E2
selected isogenic cells with either non-functional ER (ER-NF) or functional ER
(ER-F) phenotype. The two phenotypes responded to the growth-promoting effects of
E2 and to the growth-inhibitory effects of the selective ER modulator tamoxifen,
indicating retention of E2 responsiveness. Comparative dose-response experiments
with Chinese nutritional herbs on ER-NF and ER-F cells identified the inhibitory
concentration (IC)50 values for these herbs, while the IC50 ratios for the ER
NF:ER-F phenotypes facilitated their rank ordering in terms of efficacy. Out of
the 11 efficacious herbs tested, five herbs exhibited ER-F > ER-NF inhibitory
activity, four exhibited ER-F = ER-NF inhibitory activity and two exhibited ER-NF
> ER-F inhibitory activity. Extracts from representative herbs, Lycium barbarum
bark, Epimedium grandiflorum and Cornus officinalis, from each of the three
groups inhibited anchorage-independent growth, induced G1 or G2/M arrest and/or
apoptosis, and generated anti-proliferative E2 metabolites. The differential
growth inhibition in ER-NF and ER-F phenotypes, together with the mechanistic
efficacy of representative herbs, identified potential leads for their efficacy
on ER+ and/or ER- breast cancer.
PMID- 27895756
TI - Role of microRNA-4458 in patients with non-small-cell lung cancer.
AB - Incidence and progression of non-small-cell lung cancer (NSCLC) is a multi
factor, multi-step process. The present study investigated the association
between the expression level of microRNA (miR)-4458 in NSCLC and paracarcinoma
liver tissues and survival rates, and studied the biological functions of miR
4458 at the cellular and protein level. NSCLC and paracarcinoma tissues were
sequenced using a miR expression chip. The association between miR-4458
expression and tumor-node-metastasis staging, total survival rate and relapse
free survival rate was analyzed. miR-4458 was subjected to target gene
prediction. The target protein of cyclin D1 (CCND1) was verified with western
blot analysis, immunohistochemistry and a luciferase reporter assay. The relative
level of miR-4458 in paracarcinoma tissues of 9 NSCLC patients decreased from
2.38 to 0.65 (P<0.001). Total five-year survival rates of the high-expression miR
4458 group (29.21%) significantly exceeded that of the low-expression group
(14.37%) (P=0.025). The viability of human lung carcinoma A549 and H460 cells
transfected with miR-4458 decreased significantly compared with cells transfected
with a normal control (blank control plasmid) within 72 h (P<0.001). The
percentage of A549 and H460 cells transfected with a miR-4458 mimic at the cell
cycle stage G0/G1 was 69.94+/-8.05 and 68.15+/-7.75%, respectively. The
percentages increased significantly compared with the control group (46.06+/-6.93
for A549 cells; 45.22+/-7.24 for H640 cells; P<0.001). CCND1 mRNA was
downregulated significantly in H460 cells 72 h subsequent to the addition of miR
4458 mimics (P<0.001). The activity of mutant-CCND1 altered slightly, while the
fluorescence intensity of the wild-type-CCND1 group decreased significantly
following the addition of miR-4458 mimics. In conclusion, miR-4458 was expressed
at low levels in lung cancer tissues, and it arrested cells in vitro at stage
G0/G1 and inhibited cell proliferation. Therefore, miR-4458 may participate in
the onset of lung cancer as a suppressor gene by inhibiting CCND1.
PMID- 27895757
TI - Expression of semaphorin 6D and its receptor plexin-A1 in gastric cancer and
their association with tumor angiogenesis.
AB - The semaphorin and plexin family of ligands and receptor proteins provides
important axon growth and guidance cues required for development. In recent
years, studies have expanded their role in the regulation of cardiac
morphogenesis and tumorigenesis. However, the mechanism responsible for their
role in regulating cancer development and progression has not been clarified. In
the present study, semaphorin 6D (Sema6D) and its receptor plexin-A1 were
identified to be expressed at high levels in vascular epithelial cells within
gastric cancer, and were positively correlated with vascular endothelial growth
factor receptor 2 (VEGFR2). These findings verify our hypothesis that Sema6D and
plexin-A1 may be closely associated with tumor angiogenesis. Combined with
experimental observations in the MGC803 gastric cancer cell line, it was observed
that knocking down plexin-A1 signaling led to a decreased expression of VEGFR2 at
the messenger RNA and protein levels. Sema6D recognized and activated plexin-A1,
which subsequently activated its downstream target, VEGFR2. The activation of
VEGFR2 functioned as a positive regulator of tumor angiogenesis. Our data
provided an understanding of the complex signaling cascades involved in the
angiogenesis-related pathway in tumor cells. In light of our observations,
pharmacological interventions targeting Sema6D/plexin-A1/VEGFR2 signaling may
potentially be used as a target for the development of novel anti-angiogenic
drugs in gastric cancer.
PMID- 27895758
TI - Metaplastic carcinoma of the breast: Imaging and pathological features.
AB - Metaplastic carcinoma of the breast is a rare form of breast cancer. The aim of
the present study was to investigate the imaging and pathological features of
metaplastic carcinoma. The features identified on mammography and sonography were
retrospectively reviewed in 13 women with metaplastic carcinoma of the breast.
The results from the mammographs and sonographs were additionally evaluated using
immunohistochemical staining for the expression of the estrogen receptor (ER) and
progesterone receptor (PR), receptor tyrosine-protein kinase erbB-2 (CerbB-2) and
P53. The most common features observed in the mammographs were as follows:
Irregular and oval shaped masses, 53.8 and 46.2%, respectively; spiculated and
circumscribed margins, each 30.8%; and high and marginally high density masses,
69.2 and 30.8%, respectively. The most common sonography features observed were
as follows: Hypoechoic masses, 84.6%; complex echogenicity, 76.9%; irregular,
round and oval shaped masses, 69.2, 30.8 and 30.8%, respectively; indistinct and
circumscribed margins, 53.8 and 46.2%, respectively; an abundant blood flow,
53.8%; and posterior acoustic enhancement, 61.5%. The immunohistochemical (IHC)
profile for 13 patients demonstrated that ER was not expressed in 100% of
patients, PR and CerbB-2 were not present in 92.3% of patients, and P53 was
present in 63.6% of patients. Therefore, metaplastic carcinoma of the breast
exhibits more benign IHC features compared with invasive ductal carcinoma. In
addition, it may be challenging to diagnose patients that do not possess
posterior acoustic enhancement or express hormone receptors from other types of
breast cancer.
PMID- 27895759
TI - Globose, cystic olfactory ensheathing cell tumor: A case report and literature
review.
AB - Olfactory ensheathing cell tumor (OECT) is one of the most rare intracranial,
extra-axial tumors located in the anterior cranial fossa. The present study
reports a case of a 34-year-old female patient who presented with a history of
hyposmia for 1 year, as well as a gradual dizziness and emotional lability for 2
months. Magnetic resonance imaging of the brain revealed a globose, well-defined
cystic mass at the midline of the anterior cranial fossa, which was confirmed as
an OECT by histology and was completely resected by bifrontal craniotomy.
According to the immunostaining results, the tumor was positive for vimentin and
S100 protein, and negative for epithelial membrane antigen, glial fibrillary
acidic protein and cluster of differentiation 57 (also known as Leu-7). The
presentation, imaging findings, histopathological examination and histogenesis of
OECT are discussed in the present study, along with a literature review.
PMID- 27895760
TI - Prioritization of non-coding disease-causing variants and long non-coding RNAs in
liver cancer.
AB - There are multiple bioinformatics tools available for the detection of coding
driver mutations in cancers. However, the prioritization of pathogenic non-coding
variants remains a challenging and demanding task. The present study was
performed to discriminate non-coding disease-causing mutations and prioritize
potential cancer-implicated long non-coding RNAs (lncRNAs) in liver cancer using
a logistic regression model. A logistic regression model was constructed by
combining 19,153 disease-associated ClinVar and human gene mutation database
pathogenic variants as the response variable and non-coding features as the
predictor variable. Genome-wide association study (GWAS) disease or trait
associated variants and recurrent somatic mutations were used to validate the
model. Non-coding gene features with the highest fractions of load were
characterized and potential cancer-associated lncRNA candidates were prioritized
by combining the fraction of high-scoring regions and average score predicted by
the logistic regression model. H3K9me3 and conserved regions were the most
negatively and positively informative for the model, respectively. The area under
the receiver operating characteristic curve of the model was 0.92. The average
score of GWAS disease-associated variants was significantly increased compared
with neutral single nucleotide polymorphisms (5.8642 vs. 5.4707; P<0.001), the
average score of recurrent somatic mutations of liver cancer was significantly
increased compared with non-recurrent somatic mutations (5.4101 vs. 5.2768;
P=0.0125). The present study found regions in lncRNAs and introns/untranslated
regions of protein coding genes where mutations are most likely to be damaging.
In total, 847 lncRNAs were filtered out from the background. Characterization of
this subset of lncRNAs showed that these lncRNAs are more conservative, less
mutated and more highly expressed compared with other control lncRNAs. In
addition, 23 of these lncRNAs were differentially expressed between 12 pairs of
liver cancer and adjacent normal specimens. The logistic regression model is a
useful tool to prioritize non-coding pathogenic variants and lncRNAs, and paves
the way for the detection of non-coding driver lncRNAs in liver cancer.
PMID- 27895761
TI - C5a receptor expression is associated with poor prognosis in urothelial cell
carcinoma patients treated with radical cystectomy or nephroureterectomy.
AB - Patients with aggressive urothelial cell carcinoma (UCC) that undergo radical
cystectomy or nephroureterectomy exhibit markedly high rates of disease
recurrence and mortality. To select appropriate adjuvant thxerapies in addition
to radical surgery, the identification of predictive prognostic markers for UCC
patients is required. The aim of the present study was to identify such markers,
by evaluating the association of UCC complement component 5 (C5) fragment a
(C5a)receptor (C5aR) expression, detected using immunohistochemistry, with
clinicopathological parameters and survival outcomes of UCC patients. The results
revealed that C5aR was expressed in cancer cells, particularly at the invasive
front, but not in noncancerous urothelial cells or adjacent cells. The UCC C5aR
positive rate of patients treated with radical surgeries was 73% (38/52) and the
rate was 83% (20/24) at stages I-II of disease. No correlation between C5aR
expression and any of clinicopathological parameters, which included gender,
tumor location, World Health Organization grade, T stage, vessel invasion and
stage of disease, was identified. However, univariate and multivariate analyses
revealed that C5aR-positive UCC patients exhibited significantly lower overall
survival rates [hazard ratio (HR), 3.14; 95% confidence interval (CI), 1.03-9.60;
P=0.035 and HR, 3.92; 95% CI, 1.15-13.4; P=0.029, respectively] and 5-year
survival rates (0.42 vs. 0.83) compared with C5aR-negative UCC patients.
Furthermore, 5-year survival and disease-specific survival rates were lower in
patients with C5aR-positive UCC (0.51; 95% CI, 0.30-0.71) than patients with C5aR
negative UCC (0.83; 95% CI, 0.62-1.00). These results indicate that UCC C5aR
expression is predictive of poor patient outcomes and thus may lead to the
appropriate selection of adjuvant therapies at earlier UCC stages, which could
improve patient prognosis.
PMID- 27895762
TI - Primary renal lymphoma: A case report and literature review.
AB - Primary renal lymphoma (PRL) is a rare disease, with no more than 70 cases
reported in the literature. The present study reports the case of a 70-year-old
woman with PRL. The patient was asymptomatic, however, a mass on the right kidney
was identified incidentally during routine physical examination. Computed
tomography revealed a mass in the right kidney that was 3.6 cm in diameter.
Subsequently, right nephrectomy was performed. The histological evaluation of the
nephrectomy specimen showed diffuse large B-cell non-Hodgkin's lymphoma. The
patient was treated with 6-8 cycles of a cyclophosphamide, epirubicin, vindesine
and dexamethasone regimen. Follow-up examination performed after 2 months of
treatment revealed no evidence of local recurrence. The present study also
reviewed 49 cases of PRL that have been reported since 1989. It was found that a
shorter survival time was experienced by patients with bilateral PRL (mean, 21
months) compared with unilateral PRL (mean, 68 months). A shorter survival time
was also experienced by patients who were treated with chemotherapy only (mean,
15.8 months) compared with those who were treated with combination chemotherapy
and surgery (mean, 49.4 months).
PMID- 27895764
TI - Mitofusin-2 prevents skeletal muscle wasting in cancer cachexia.
AB - Cancer cachexia remains a leading cause of morbidity and mortality worldwide,
despite extensive research and clinical trials. The prominent clinical feature of
cancer cachexia is the continuous loss of skeletal muscle that cannot be fully
reversed by conventional nutritional support, and that leads to progressive
functional impairment. The mechanism underlying muscle loss in patients with
cachexia is poorly understood. The present study analyzed 21 cancer patients with
or without cachexia, and demonstrated that mitofusin-2 (Mfn2) was downregulated
in the rectus abdominis of patients with cachexia, which was associated with body
weight loss. In vitro cell experiments indicated that loss of Mfn2 was associated
with atrophy of the C2C12 mouse myoblast cell line. Furthermore, in vivo animal
experiments demonstrated that cachexia decreased gastrocnemius muscle mass and
Mfn2 expression, and overexpression of Mfn2 in gastrocnemius muscle was able to
partially attenuate cachexia-induced gastrocnemius muscle loss. The results of
the present study suggested that Mfn2 is involved in cachexia-induced muscle loss
and may serve as a potential target for therapy of cachexia.
PMID- 27895763
TI - Small cell lung cancer transformation and the T790M mutation: A case report of
two acquired mechanisms of TKI resistance detected in a tumor rebiopsy and plasma
sample of EGFR-mutant lung adenocarcinoma.
AB - The present study describes the case of a 45-year-old man diagnosed with
metastatic lung adenocarcinoma, which harbored a deletion within exon 19 of the
epidermal growth factor receptor (EGFR) gene. The patient was subsequently
treated with gefitinib (250 mg/day orally from May 2013 to March 2014), but
developed acquired resistance to the drug following 11 months of treatment. Tumor
burden molecular analysis was performed on a tumor rebiopsy and plasma sample,
and histological analysis was also performed on the tumor rebiopsy. A small cell
transformation retaining the original EGFR mutation was detected in the tumor
rebiopsy, while the T790M mutation together with the activating ex19del mutation
were identified only in the plasma sample. The patient was treated with cytotoxic
chemotherapy (off-label schedule with epirubicin 80 mg/mq and paclitaxel 160
mg/mq every 21 days for 6 cycles) and radiation (50.4 Gy administered in 28
fractions of 1.8 Gy once daily for 5.5 weeks) specific for small cell lung
cancer, and may also have benefitted from treatment with a third generation T790M
specific EGFR-TKI. To better describe the mechanisms of resistance to TKI
inhibitors and to optimize therapeutic regimens, the simultaneous analysis of
tumor biopsies and circulating tumor DNA should be considered.
PMID- 27895766
TI - Primary mesenchymal tumors of the pancreas in a single center over 15 years.
AB - In total, 95% of primary tumors in the pancreas are epithelial tumors; primary
mesenchymal tumors at this site are extremely rare. At present, only one
comprehensive study about these rare tumors has been performed. Another
retrospective analysis of these rare tumors is performed in the present study,
which, to the best of our knowledge, is the first to be performed in China. In
the present study, 10 patients that underwent resection for primary mesenchymal
tumors of the pancreas were identified in a 15-year period at the Chinese Academy
of Medical Sciences and Peking Union Medical College, which accounted for 0.51%
of the total surgically resected primary tumors of the pancreas at this hospital.
Among the 10 patients, 7 patients (70%) were diagnosed with benign/borderline
tumors, and the remaining 3 patients (30%) were diagnosed with malignant tumors.
It was a unique finding of the present study that the preoperative diagnosis was
frequently a misdiagnosis, in terms of the specific pathological diagnosis.
Therefore, although primary mesenchymal tumors of the pancreas are extremely
rare, they should be considered in order to make the correct preoperative
diagnosis. Contrarily to a previous study, in the present study, the most common
benign tumor was not desmoid tumor, but solitary fibrous tumors; the most
frequent primary sarcoma was not undifferentiated/unclassified sarcoma either. In
conclusion, the present study aids the understanding of these rare tumors;
however, primary mesenchymal tumors of the pancreas require additional
exploration in the future.
PMID- 27895765
TI - Decreased expression of the CHD5 gene and its clinicopathological significance in
breast cancer: Correlation with aberrant DNA methylation.
AB - Chromodomain helicase DNA binding protein 5 (CHD5) has been identified as a tumor
suppressor in mouse models. Downregulation of CHD5 gene expression is frequently
observed in breast cancer cells and tissues. This may be explained by deletions
or other mutations; however, alternative mechanisms require investigation.
Therefore, the present study evaluated whether CHD5 aberrant methylation has a
role in primary breast tumors. A total of 389 patients with primary breast cancer
(including 252 paraffin-embedded specimens and 137 fresh-frozen samples) were
enrolled in the present study. In the current study, reverse transcription
polymerase chain reaction (RT-PCR) and nested-methylation-specific PCR were used
to analyze the mRNA expression and promoter methylation of CHD5 genes in a large
cohort of breast cancer patients, and to investigate their associations with the
clinicopathological features of tumors. CHD5 expression was significantly
suppressed in breast cancer tissues compared with normal breast tissues when
analyzed by RT-PCR. Furthermore, DNA methylation of CHD5 was more prevalent in
breast tumors than in normal tissues. CHD5 mRNA levels correlated with the degree
of CHD5 methylation in breast cancer tissues. Clinicopathological correlation
analysis revealed that CHD5 promoter methylation was associated with estrogen
receptor and progesterone receptor status. Thus, downregulation of CHD5, mediated
by abnormal methylation, may contribute to the development and progression of
breast cancer.
PMID- 27895767
TI - A promoter polymorphism in the hMLH1 gene (-93G/A) associated with sporadic
colorectal cancer.
AB - Colorectal cancer (CRC) is a worldwide problem for public health. mutL homolog 1
(MLH1) is a key component of the mismatch repair system, and the MLH1-93G/A
polymorphism (rs1800734) is predicted to affect MLH1 protein expression,
suggesting that the polymorphism may be associated with the cancer risk; however,
the results concerning this have been inconsistent. In order to investigate the
possible correlation between human (h)MLH1-93G/A polymorphism and the development
and progression of sporadic CRC (SCRC) in China, the genotypes of hMLH1-93G/A
were detected by the TaqMan MGB probe method in 312 SCRC patients and 300 healthy
controls, and immunohistochemical staining was also performed to measure the
expression of hMLH1 in cases with different alleles among the SCRC patients and
normal controls. It was observed that the A/A genotype and A allele significantly
increased the risk of developing Duke's stage C+D CRC and lymphatic metastasis.
hMLH1 expression of the A allele was lower than that of the G allele in CRC. By
contrast, there was no statistically significant difference in hMLH1 expression
for the A allele and the G allele in the normal controls. These results suggested
that hMLH1-93G/A polymorphism may not be associated with the overall risk of CRC,
but that the hMLH1-93A/A genotype and A allele are associated with the
progression of CRC.
PMID- 27895769
TI - Unusual lower back pain with monocytosis: A case report.
AB - There are numerous causes of lower back pain. In the oncological setting, spine
metastasis from a solid tumor is the most common. However, hematological
disorders should also be taken into consideration. The current study presents a
case of chronic myelomonocytic leukemia with the initial presentation of chronic
lower back pain, followed by symptoms that included urinary retention, stool
incontinence and left gum swelling, in a patient who was eventually diagnosed
with granulocytic sarcoma (GS) over the sacral region. GS is a rare presentation
of a tumor consisting of extramedullary leukemic infiltrations, which develop at
different sites and cause different symptoms. Prompt and correct diagnosis of
this type of disease may be crucial to improve the survival outcome by the early
initiation of adequate treatment.
PMID- 27895768
TI - Role of miR-196 and its target gene HoxB8 in the development and proliferation of
human colorectal cancer and the impact of neoadjuvant chemotherapy with FOLFOX4
on their expression.
AB - The present study aimed to investigate the interaction between miR-196 and its
target gene homeobox B8 (HoxB8) in colorectal cancer (CRC) cells, and the
sensitivity of miR-196 and HoxB8 to fluorouracil, leucovorin and oxaliplatin
(FOLFOX4) chemotherapy (1,200 mg/m2 fluorouracil, 200 mg/m2 leucovorin and 85
mg/m2 oxaliplatin). In total, 80 tissue samples were collected in the present
study. In total, 50 patients undergoing preoperative chemotherapy completed at
least 3 cycles (2 weeks per cycle) of 85 mg/m2 oxaliplatin (day 1) combined with
a 2 h injection of 200 mg/m2 leucovorin (days 1 and 2), a bolus injection of 400
mg/m2 and 44 h continuous intravenous infusion of 1,200 mg/m2 fluorouracil.
Complete response and partial response were included in the chemotherapy
sensitive group (25 patients), and stable disease and progressive disease were
included in the chemotherapy resistant group (25 patients). In addition, 30
patients without preoperative chemotherapy were examined for mRNA and protein
expression of miR-196 and HoxB8. The expression of the mRNA and protein of miR
196 and HoxB8 was analyzed in 30 CRC and normal mucosa tissue samples. In
addition, the expression of the mRNA and protein of miR-196 and HoxB8 was
measured in 50 tissue samples obtained from patients that had received FOLFOX4
neoadjuvant chemotherapy. The expression levels of miR-196 and HoxB8 mRNA in CRC
tissues were significantly increased compared with the corresponding normal
mucosa tissue (P<0.05). The miR-196 mRNA was significantly correlated with lymph
node metastasis, tumor stage and distant metastasis (P<0.05). miR-196 was
indicated to be negatively correlated with HoxB8 mRNA expression (r=-0.458;
P<0.05). The relative amount of miR-196 in the chemotherapy-sensitive group of
patients was 0.949+/-0.691, which was increased compared with the chemotherapy
resistant group (0.345+/-0.536; P<0.01). The relative level of HoxB8 mRNA in the
chemotherapy-sensitive group was 0.490+/-0.372, which was decreaesd compared with
the chemotherapy-resistant group (0.725+/-0.438; P<0.05). HoxB8 protein
expression level in the chemotherapy-sensitive group was decreased compared with
the chemotherapy-resistant group (Z=-2.396; P=0.017). Overall, miR-196 was
correlated with metastasis and prognosis, and HoxB8 was highly expressed in CRC
tissues. The difference in the gene expression of miR-196 and HoxB8 may be
associated with the sensitivity to FOLFOX4 for CRC patients. In addition, the
highly expressed miR-196 increased the sensitivity of CRC cells to chemotherapy
with FOLFOX4 by inhibiting HoxB8.
PMID- 27895770
TI - Classical intracranial chondrosarcoma: A case report.
AB - Intracranial chondrosarcoma is a rare malignant cartilage-forming tumor, with
only a small number of cases in the posterior cranial fossa reported previously.
The present study reports the case of a 40-year-old male patient who was admitted
to Tianjin Huanhu Hospital with a progressive headache and dizziness that had
lasted for 2 years. Physical and neurological examinations were normal.
Radiography of the skull identified an opaque lesion in the left frontal region
of the brain. Cranial computed tomography and magnetic resonance imaging revealed
a lesion with calcification and homogenous contrast enhancement in the left
frontal region. Subsequently, the patient underwent bicoronal craniotomy and
gross total resection of the tumor. Pathological examination confirmed the
diagnosis of classical intracranial chondrosarcoma. The patient was discharged 10
days after surgery, with no neurological deficit. One month after initial
discharge, the patient underwent gamma-knife treatment. A follow-up examination 9
months after surgery revealed that the patient was still alive and had returned
to work, with no obvious symptoms or evidence of recurrence.
PMID- 27895771
TI - Co-culture of hepatoma cells with hepatocytic precursor (stem-like) cells
inhibits tumor cell growth and invasion by downregulating Akt/NF-kappaB
expression.
AB - Hepatocytic stem cells (HSCs) have inhibitory effects on hepatocarcinoma cells.
The present study investigated the effects of HSC activity in hepatocarcinoma
cells in vitro. A Transwell co-culture system of hepatocytic precursor (stem
like) WB-F344 cells and hepatoma CBRH-7919 cells was used to assess HSC activity
in metastasized hepatoma cells in vitro. Nude mouse xenografts were used to
assess HSC activity in vivo. Co-culture of hepatoma CBRH-7919 cells with WB-F344
cells suppressed the growth and colony formation, tumor cell migration and
invasion capacity of CBRH-7919 cells. The nude mouse xenograft assay demonstrated
that the xenograft size of CBRH-7919 cells following co-culture with WB-F344
cells was significantly smaller compared with that of control cells. Furthermore,
the expression levels of the epithelial markers E-cadherin and beta-catenin were
downregulated, while the mesenchymal markers alpha-SMA and vimentin were
upregulated. Co-culture of CBRH-7919 cells with WB-F344 cells downregulated NF
kappaB and phospho-Akt expression. In conclusion, hepatocytic precursor (stem
like) WB-F344 cells inhibited the growth, colony formation and invasion capacity
of metastasized hepatoma CBRH-7919 cells in vitro and in vivo by downregulating
Akt/NF-kappaB signaling.
PMID- 27895773
TI - Dysregulation of long non-coding RNA profiles in human colorectal cancer and its
association with overall survival.
AB - Long non-coding RNAs (lncRNAs) emerged as key regulators of diverse roles during
colorectal cancer (CRC) carcinogenesis, but their specific function still remains
to be explored. The present study aimed to re-annotate the Affymetrix Human Exon
1.0 ST Array for defining differential lncRNAs in CRC. Their prognostic relevance
was also developed for screening key regulators in CRC. The CRC datasets E-GEOD
31737, E-MATB-829, Affymetrix colon cancer dataset and E-GEOD-24550 were re
purposed for searching differential lncRNAs and exploring their association with
overall survival (OS). The identified lncRNAs were validated in CRC tissues or
cell lines. As a result, 462, 286 and 166 differential lncRNAs were identified,
respectively, in three predictive datasets. Among them, 48 lncRNAs were commonly
observed to exhibit differential expression in the three datasets. Notably, the
overexpression of family with sequence similarity 83 member H (FAM83H)-antisense
(AS) 1 (P=0.038) and VPS9 domain containing 1 (VPS9D1)-AS1 (P=0.020) indicated
shorter OS time than lower expression. The overexpression of FAM83H-AS1 (P=0.033)
and VPS9D1-AS1 (P=0.011) was validated in cancerous tissues. Thus, FAM83H-AS1 and
VPS9D1-AS1 may potentially enhance carcinogenesis or may be developed as
prognostic biomarkers for CRC. In conclusion, a total of 48 CRC-related lncRNAs
were identified, the majority of which were confirmed to exhibit dysregulation.
FAM83H-AS1 and VPS9D1-AS1 could have a potential use as prognostic biomarkers for
CRC patients.
PMID- 27895772
TI - HOTAIR, a long non-coding RNA driver of malignancy whose expression is activated
by FOXC1, negatively regulates miRNA-1 in hepatocellular carcinoma.
AB - Evidence is rapidly accumulating that long non-coding RNAs (lncRNAs) are involved
in human tumorigenesis and are dysregulated in multiple cancers, including
hepatocellular carcinoma (HCC). lncRNAs can regulate essential pathways that
contribute to tumor initiation and progression with tissue specificity, which
suggests that lncRNAs may be valuable biomarkers and therapeutic targets. HOX
transcript antisense intergenic RNA (HOTAIR) has previously been demonstrated to
be an oncogene and a negative prognostic factor in a variety of cancers; however,
the factors that contribute to the upregulation of HOTAIR and the interaction
between HOTAIR and microRNAs (miRNAs or miRs) are largely unknown. In the present
study, the expression levels of HOTAIR, forkhead box C1 (FOXC1) and miRNA-1 were
examined in 50 matched pairs of HCC and HCC cells. The effects of HOTAIR on HCC
cell proliferation were tested using trypan blue exclusion assay. The effect of
HOTAIR on HCC growth in vivo was determined in a (nu/nu) mouse model. A
computational screening of HOTAIR promoter was conducted to search for
transcription factor-binding sites. FOXC1 binding to the promoter region of
HOTAIR was confirmed using a chromatin immunoprecipitation assay. A search for
miRNAs that had complementary base paring with HOTAIR was performed utilizing an
online software program. The interaction between miR-1 and HOTAIR was examined
using a luciferase reporter assay. Gain and loss of function approaches were used
to determine the changes of HOTAIR or miR-1 expression. The relative levels of
FOXC1 and HOTAIR expression in HCC tissues and HepG2 cells were significantly
higher than those in normal liver LO2 cells and adjacent carcinoma tissues; the
relative expression of miR-1 exhibited the opposite pattern. Overexpression of
HOTAIR promoted HCC cell proliferation and progression of tumor xenografts. The
present authors have demonstrated that FOXC1 binds to the upstream region of
HOTAIR in HCC cells and that FOXC1 activates lncRNA HOTAIR expression in HCC
HepG2 cells, which suggests that HOTAIR harbors a miRNA-1 binding site. The
present data revealed that this binding site is vital for the regulation of miRNA
1 by HOTAIR. Furthermore, HOTAIR negatively regulated the expression of miRNA-1
in HepG2 cells. Additionally, the present study demonstrated that the oncogenic
activity of HOTAIR is in part based on the negative regulation of miR-1. Taken
together, these results suggest that HOTAIR is a FOXC1-activated driver of
malignancy, which acts in part through the repression of miR-1.
PMID- 27895774
TI - A case of distal extrahepatic cholangiocarcinoma with two positive resection
margins.
AB - Cholangiocarcinoma is an uncommon primary malignancy of the biliary tract that is
challenging to diagnose and treat effectively due to its relatively silent and
late clinical presentation. The present study reports a case of a 60-year-old
male with distal extrahepatic cholangiocarcinoma with a 3-week history of
painless obstructive jaundice symptoms and subjective weight loss. Imaging
revealed an obstructing lesion in the common bile duct, just distal to the
entrance of the cystic duct. Pathology revealed moderately differentiated
cholangiocarcinoma with two positive proximal resection margins. The two positive
resection margins presented a challenge during surgery and points to an urgent
need for further studies to better illuminate diagnostic and therapeutic options
for patients with similar clinicopathological presentation.
PMID- 27895775
TI - High expression of CD39 in gastric cancer reduces patient outcome following
radical resection.
AB - Ectonucleoside triphosphate diphosphohydrolase 1 (ENTPD1), also known as cluster
of differentiation (CD)39, is the rate-limiting enzyme in the generation of
immunosuppressive adenosine and is important in tumor progression. The present
study evaluated the expression of CD39+ and CD39+ forkhead box P3 (FoxP3)+
regulatory T (Treg) cells in gastric cancer (GC), and determined their prognostic
roles in patients with GC following radical resection. It was observed that CD39
was expressed at significantly higher rates in tumor tissues as compared with
paired peritumoral tissues. Overexpression of tumor CD39 was correlated with
overall survival (OS). Furthermore, CD39 expression in GC tissues exhibited a
prognostic role in OS. The CD39+ FoxP3+/FoxP3+ ratio in tumor tissues was higher
than that in paired peritumoral tissues, and CD39+ FoxP3+ Treg cells were a
better prognostic indicator than FoxP3+ Treg cells for OS. Collectively, our
study indicates that overexpression of CD39 in GC is a predictor of poor outcome
for GC patients following radical resection. CD39+ FoxP3+ Treg cells are a
potential target for cancer immunotherapy.
PMID- 27895777
TI - Cryptotanshinone inhibits lung tumor growth by increasing CD4+ T cell
cytotoxicity through activation of the JAK2/STAT4 pathway.
AB - Cryptotanshinone is one of the fat-soluble phenanthrene quinone components. In
vitro studies have shown that tanshinone compounds can inhibit the proliferation
of various tumor cells and affect cell cycle distribution. The aim of the present
study was to better understand the effect of cryptotanshinone on the inhibition
of small cell lung cancer by cytotoxic cluster of differentiation (CD)4+ T cells
through activation of the Janus kinase 2/signal transducer and activator of
transcription 4 (JAK2/STAT4) pathway. The Cell Counting kit-8 assay and the
lactate dehydrogenase assay were used to analyze the cell proliferation of H446
and CD4+ T cells, and the cell cytotoxicity of CD4+ and CD8+ T cells,
respectively. JAK2 and STAT4 protein expression was measured by western blot
analysis. Cryptotanshinone effectively inhibited the tumor growth of the H446
cells and the cell proliferation of the CD4+ T cells. Treatment with
cryptotanshinone increased the cytotoxicity of the CD4+ T cells, but could not
affect the cytotoxicity of the CD8+ T cells. Meanwhile, cryptotanshinone induced
phosphorylated (p)-JAK2 and p-STAT4 protein expression in the CD4+ T cells. These
results suggest that cryptotanshinone inhibits the cell growth of lung tumors by
increasing CD4+ T cell toxicity through activation of the JAK2/STAT4 pathway.
PMID- 27895776
TI - Establishment of specific cytotoxic T lymphocyte culture system and its
inhibitory effect on ovarian cancer.
AB - The present study aimed to establish a novel method for efficiently inducing
cytotoxic T lymphocytes (CTLs) in vitro, in order to develop an immune-based
therapy for suppressing and killing ovarian cancer cells with a high safety and
efficacy. Peripheral blood mononuclear cells (PBMCs) were stimulated with CpG
oligodeoxynucleotide (CpGODN) and ginsenoside Rg1, which were united as an immune
adjuvant, and human epidermal growth factor receptor 2 (HER2/neu) antigen
peptide, in order to establish a specific CTL culture system in vitro. Chromosome
karyotype analysis, growth curve construction and flow cytometric analysis of
immune phenotypes, including cluster of differentiation (CD)3, CD4 and CD8, were
performed to characterize the stimulated PBMCs in vitro. Subsequently, SKOV3
ovarian cancer cells were treated with the specific CTL culture system in vitro,
and MTT assays were performed to test the inhibitory and lethal effects of the
CTLs on SKOV3 cells. The number of CTLs was significantly increased from day 7 of
stimulation with the specific mixture (CpGODN, ginsenoside Rg1 and HER2/neu)
(P<0.01), and plateaued on day 19. Following activation, the number of CD3+,
CD3+CD4+ and CD3+CD8+ cells was significantly increased (P<0.01). The lymphocyte
karyotype did not change following exposure to antigen. After treatment with the
specific CTL system, the number of SKOV3 cells in the experimental group was
significantly reduced compared with that in the control group (P<0.01). The
results of the present study suggested that two novel immune adjuvants, CpGODN
and ginsenoside Rg1, could be combined with the HER2/neu antigen peptide to
establish a specific CTL culture system in vitro. This system demonstrated a high
antigen specificity, safety and proliferative ability, and exerted significant
lethal and inhibitory effects on SKOV3 cells in vitro.
PMID- 27895778
TI - Regulatory network analysis of genes and microRNAs in human hepatoblastoma.
AB - Hepatoblastoma (HB) is a common type of primary tumor in children. Previous
studies have examined the expression of genes, including transcription factors
(TFs), target genes, host genes and microRNAs (miRNAs or miRs) associated with
HB. However, the regulatory pathways of miRNAs and genes remain unclear. In the
present study, a novel perspective is proposed, which focuses on HB and the
associated regulatory pathways, to construct three networks at various levels,
including a differentially expressed network, an associated network and a global
network. Genes and miRNAs are considered as key factors in the network. In the
three networks, the associations between each pair of factors, including TFs that
regulate miRNAs, miRNAs that interact with target genes and miRNAs that are
located at host genes, were analyzed. The differentially expressed network is
considered to be the most crucial of the three networks. All factors in the
differentially expressed network were mutated or differentially expressed, which
indicated that the majority of the factors were cancerogenic factors that may
lead to HB. In addition, the network contained numerous abnormal linkages that
may trigger HB. If the expression of each factor was corrected to a normal level,
HB may be successfully treated. The associated network included more HB
associated genes and miRNAs, and was useful for analyzing the pathogenesis of HB.
By analyzing these close associations, the first and the last factor of the
regulatory pathways were revealed to have important roles in HB. For example, v
myc avian myelocytomatosis viral oncogene neuroblastoma derived homolog (MYCN)
was observed to regulate Homo sapiens (hsa)-miR-221, hsa-miR-18a and hsa-miR-17
5p, but no miRNAs targeted MYCN. In conclusion, the pathways and mechanisms
underlying HB were expounded in the present study, which proposed a fundamental
hypothesis for additional studies.
PMID- 27895779
TI - Potential impact of mTOR inhibitors on cervical squamous cell carcinoma: A
systematic review.
AB - The aim of the present systematic review was to analyze the potential impact of
mammalian target of rapamycin (mTOR) inhibitors on the treatment of cervical
squamous cell carcinoma (CSCC). A systematic literature search was conducted in
PubMed, PMC, Scopus, Cochrane Library, LILACS, Web of Science, Google Scholar and
ScienceDirect on January 19, 2015, without time and language restrictions.
Studies that evaluated women of any age with CSCC and who received mTOR
inhibitors alone or in association with other treatments were considered.
Randomized and non-randomized clinical trials were included, and the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses checklist was followed.
Selected studies were methodologically appraised according to the Grades of
Recommendation, Assessment, Development and Evaluation method to assess the
quality of evidence. Of 642 identified citations, 43 studies were fully reviewed;
however, only 3 studies met the inclusion criteria and were used for qualitative
analysis. Of these, two studies were phase 1 and one was a phase 2 clinical
trial. The studies included were not conclusive with regard to the association
between mTOR inhibitor treatment and cervical cancer. The main analysis of
secondary endpoints revealed that individuals treated with other drugs in
association with mTOR inhibitors achieved partial responses (15.4-33.3%) or
stable disease (17.6-28%). Treatment with mTOR inhibitors in general was well
tolerated in patients with metastatic disease. The predominant toxicities were
grade 1 and 2. The phase 1 trials included in this review demonstrated that mTOR
inhibitor treatments are feasible and safe. However, the currently available
evidence is insufficient to determine the effect of mTOR inhibitors on CSCC, and
further investigation in high-quality, randomized clinical trials is required.
PMID- 27895780
TI - Curcumin potentiates the effect of chemotherapy against acute lymphoblastic
leukemia cells via downregulation of NF-kappaB.
AB - Acute lymphoblastic leukemia (ALL) accounts for 30% of all pediatric cancers.
Currently available treatments exhibit toxicity and certain patients may develop
resistance. Thus, less toxic and chemoresistance-reversal agents are required. In
the present study, the potential effect of curcumin, a component of Curcuma
longa, as a pharmacological co-adjuvant of several chemotherapeutic agents
against ALL, including prednisone, 6-mercaptopurine, dexamethasone,
cyclophosphamide, l-asparaginase, vincristine, daunorubicin, doxorubicin,
methotrexate and cytarabine, was investigated in the REH ALL cell line cultures
treated in combination with chemotherapeutic agents and curcumin. The results of
cell viability, gene expression and activation of NF-kappaB and caspase 3
indicated that curcumin potentiates the anticancer effects of the aforementioned
chemotherapeutic agents in the REH ALL cell line. Following treatment with the
above chemotherapeutic agents, curcumin enhanced caspase-3 activation and
downregulated nuclear factor-kappa B (NF-kappaB) activation. Curcumin also
downregulated the oxidative stress induced by certain chemotherapies. Notably,
curcumin did not affect the gene expression of cell survival proteins such as B
cell lymphoma (Bcl)-2, Bcl-extra large, survivin, c-Myc and cyclin D1, which are
regulated by the NF-kappaB transcription factor. In conclusion, curcumin has the
potential to improve the effect of chemotherapeutic agents against ALL.
PMID- 27895781
TI - 9-AAA inhibits growth and induces apoptosis in human melanoma A375 and rat
prostate adenocarcinoma AT-2 and Mat-LyLu cell lines but does not affect the
growth and viability of normal fibroblasts.
AB - The present study found that, similarly to 5-fluorouracil, low concentrations (1
10 uM) of 9-aminoacridine (9-AAA) inhibited the growth of the two rat prostate
cancer AT-2 and Mat-LyLu cell lines and the human melanoma A375 cell line.
However, at the same concentrations, 9-AAA had no effect on the growth and
apoptosis of normal human skin fibroblasts (HSFs). The differences between the
cellular responses of the AT-2 and Mat-LyLu cell lines, which differ in
malignancy, were found to be relatively small compared with the differences
between normal HSFs and the cancer cell lines. Visible effects on the cell growth
and survival of tumor cell lines were observed after 24-48 h of treatment with 9
AAA, and increased over time. The inhibition of cancer cell growth was found to
be due to the gradually increasing number of cells dying by apoptosis, which was
observed using two methods, direct counting and FlowSight analysis.
Simultaneously, cell motile activity decreased to the same degree in cancer and
normal cells within the first 8 h of incubation in the presence of 9-AAA. The
results presented in the current study suggest that short-lasting tests for
potential anticancer substances can be insufficient; which may result in cell
type-dependent differences in the responses of cells to tested compounds that act
with a delay being overlooked. The observed differences in responses between
normal human fibroblasts and cancer cells to 9-AAA show the requirement for
additional studies to be performed simultaneously on differently reacting cancer
and normal cells, to determine the molecular mechanisms responsible for these
differences.
PMID- 27895782
TI - Expression of MMP9, SERPINE1 and miR-134 as prognostic factors in esophageal
cancer.
AB - Esophageal cancer (EC) is a malignant tumor with a typically poor prognosis for
patients. It is well known that certain microRNA (miRNA/miR) genes can regulate
other genes responsible for carcinogenesis. In the present study, a group of
these genes (miR-21, miR-134, miR-205 and miR-495) and genes connected with
cancer-related pathways (MET, MMP9, PDGFA and SERPINE1) were chosen for analysis
in order to find a potential correlation between their expression and the
clinicopathological factors of EC. Esophageal tumors and adjacent non-cancerous
tissue specimens were collected from a total of 63 patients and embedded in
paraffin. Commercial arrays were used on KYSE-30, KYSE-150 and KYSE-270 EC cell
lines in order to find genes of different expression profiles compared with those
acquired from the control Het-1A cell line. Quantitative polymerase chain
reaction was used on formalin-fixed, paraffin-embedded samples in order to
analyze the expression of the genes chosen in the earlier step. The results were
analyzed by the Kruskal-Wallis and Mann-Whitney U tests, Spearman's rank
correlation coefficient, Kaplan-Meier methods and the long-rank test. Only miR
495 was not expressed in the analyzed samples. The expression of MMP9 and
SERPINE1 was significantly coefficient with age range (P=0.011 and P=0.044,
respectively) according to the Kruskal-Wallis test. The Spearman's rank-order
correlation measurement showed that there was a coefficient correlation between
age and miR-134 expression. The same measurement demonstrated a correlation
between age range and MMP9 expression. The expression of miR-134 and MMP9 were
also found to be correlated. In all cases, a value of P<0.049 was recorded.
Overall, the present study demonstrated that MMP9, SERPINE1 and miR-134 were the
most prognostic genes in Caucasian patients with EC.
PMID- 27895783
TI - Curcumin suppresses colon cancer cell invasion via AMPK-induced inhibition of NF
kappaB, uPA activator and MMP9.
AB - Curcumin, an active nontoxic ingredient of turmeric, possesses potent anti
inflammatory, antioxidant and anti-cancer properties; however, the molecular
mechanisms of curcumin are not fully understood. The transcription factor nuclear
factor-kappaB (NF-kappaB) is key in cellular processes, and the
expression/activation of urokinase-type plasminogen activator (uPA) and matrix
metalloproteinase-9 (MMP9) are crucial for cell invasion. The present study
investigated the hypothesis that curcumin inhibits colon cancer cell invasion by
modulating NF-kappaB-mediated expression and activation of uPA and MMP9. Human
colon cancer SW480 and LoVo cells were treated with various concentrations of
curcumin. Curcumin was demonstrated to dose-dependently inhibit the adhesion and
proliferation ability of LoVo and SW480 cells using Transwell and MTT assays,
respectively. In addition, curcumin activated 5' AMP-activated protein kinase
(AMPK) and suppressed p65 NF-kappaB phosphorylation, as shown by western blot
analysis. Compound C, a potent AMPK inhibitor, abolished curcumin-induced
inhibition of NF-kappaB, uPA and MMP9, suggesting that AMPK activation is
responsible for curcumin-mediated NF-kappaB, uPA and MMP9 inhibition. The binding
activity of NF-kappaB to DNA was examined and western blotting and quantitative
polymerase reaction was performed to detect the effect of curcumin on the
expression of uPA and MMP9. The present results revealed that curcumin
significantly decreased the expression of uPA and MMP9 and NF-kappaB DNA binding
activity. Furthermore, curcumin decreased the level of the p65 subunit of NF
kappaB binding to the promoter of the gene encoding uPA and MMP9, which
suppressed transcriptional activation of uPA and MMP9. Overall, the present data
suggest that curcumin inhibits colon cancer cell invasion via AMPK activation and
subsequent inhibition of p65 NF-kappaB, uPA and MMP9. The therapeutic potential
of curcumin for colon cancer metastasis required additional study.
PMID- 27895785
TI - Expression and clinicopathological significance of the lncRNA HOXA11-AS in
colorectal cancer.
AB - HOXA11 antisense RNA (HOXA11-AS) is a long non-coding RNA (lncRNA) that is
important in determining cancer progression. HOXA11-AS was recently identified as
a novel biomarker in lung cancer progression. However, its role in colorectal
cancer (CRC) remains poorly understood. The present study aimed to analyze lncRNA
HOXA11-AS expression in CRC and investigate a possible association between HOXA11
AS and clinicopathological factors. HOXA11-AS expression was examined by reverse
transcription-quantitative polymerase chain reaction (RT-qPCR) in 84 CRC tissues
and adjacent non-cancerous tissues, in addition to 3 CRC cell lines and 1 human
normal colorectal cell line. The results demonstrated that HOXA11-AS expression
was decreased in the CRC tissues and cell lines compared with that of the
controls (P<0.05). Clinicopathological analysis indicated that low HOXA11-AS
expression was significantly correlated with tumor size, advanced tumor-node
metastasis stage, lymph node metastasis and carcinoembryonic antigen level of
patients with CRC (P<0.05). Furthermore, the areas under the curve (AUC) were
0.613 and 0.628 for HOXA11-AS, indicating that the lncRNA is able to distinguish
CRC tissue from non-cancerous tissue, and CRC tissue with lymph node metastasis
from CRC without lymph node metastasis. Therefore, HOXA11-AS may function as a
potential biomarker and target for novel therapeutic strategies to treat CRC.
PMID- 27895784
TI - Resveratrol reverses P-glycoprotein-mediated multidrug resistance of U2OS/ADR
cells by suppressing the activation of the NF-kappaB and p38 MAPK signaling
pathways.
AB - The present study aimed to investigate the reversal effect of resveratrol on the
phenomenon of multidrug resistance in U2OS/adriamycin (ADR) cells and to clarify
the molecular mechanisms. To examine the cell survival and half-inhibitory
concentration (IC50) of ADR in U2OS and U2OS/ADR cells, 3-(4,5-dimethylthiazol-2
yl)-2,5-diphenyltetrazolium bromide assay was used. The accumulation of ADR in
U2OS and U2OS/ADR cells was investigated by flow cytometry. Reverse transcription
quantitative polymerase chain reaction and western blot analysis were used to
detect the expression of multidrug resistance protein 1 (MDR1), P-glycoprotein (P
gp), p65 and p38. Compared with U2OS cells, the IC50 value of ADR was
significantly increased in U2OS/ADR cells, which exhibited high levels of MDR1/P
gp. However, resveratrol could drastically reduce the IC50 value of ADR and the
expression of MDR1/P-gp, and increased the accumulation of ADR in U2OS/ADR cells.
In addition, the expression levels of p38 (phosphorylated) and p65 (acetylated
and total) in U2OS/ADR cells were also significantly suppressed by resveratrol.
These results suggested that the nuclear factor (NF)-kappaB and p38 mitogen
activated protein kinase (MAPK) signaling pathways are correlated with ADR
induced drug resistance in U2OS/ADR cells. Furthermore, resveratrol could
downregulate the expression of MDR1/P-gp and reverse the drug resistance
phenomenon in U2OS/ADR cells partly at least by suppressing the activation of the
NF-kappaB and p38 MAPK signaling pathways.
PMID- 27895786
TI - Sprouty4 mRNA variants and protein expressions in breast and lung-derived cells.
AB - Sprouty proteins are modulators of mitogen-induced signalling processes and are
therefore hypothesized to affect malignant diseases. As a member of the Sprouty
family, Sprouty4 has been previously shown to function as a tumour suppressor in
lung and breast cancer. The present study analysed the expression of two known
Sprouty4 splice variants in cells established from malignant and normal lung and
breast tissues using semi-quantitative reverse transcription-polymerase chain
reaction and immunoblotting. The results indicated that the expression of the two
messenger RNA (mRNA) variants was reduced in the cells derived from malignant
tissue in comparison to the normal counterparts. Although the expression of the
two splice variants were associated in both tissue types, on average, the
relative expression of the longer variant was slightly increased in malignant
cells compared with normal tissues. Notably, the protein levels reflected the
expression observed at the mRNA level only in breast-derived cells. Contrarily,
with regards to the measured mRNA levels, Sprouty4 protein was disproportional
augmented in lung cells known to harbour the mutated K-Ras gene.
PMID- 27895787
TI - Runx2 expression: A mesenchymal stem marker for cancer.
AB - The transcription factor runt-related transcription factor 2 (Runx2) is a master
gene implicated in the osteogenic differentiation of mesenchymal stem cells, and
thus serves a determinant function in bone remodelling and skeletal integrity.
Various signalling pathways regulate Runx2 abundance, which requires a number of
molecules to finely modulate its expression. Furthermore, this gene may be
ectopically-expressed in cancer cells. Recent studies have reported the
involvement of Runx2 in cell proliferation, epithelial-mesenchymal transition,
apoptosis and metastatic processes, suggesting it may represent a useful
therapeutic target in cancer treatment. However, studies evaluating this gene as
a cancer marker are lacking. In the present study, Runx2 expression was analysed
in 11 different cancer cell lines not derived from bone tumour. In addition, the
presence of Runx2-related cell-free RNA was examined in the peripheral blood of
41 patients affected by different forms of tumours. The results demonstrated high
expression levels of Runx2 in the cancer cell lines and identified the presence
of Runx2-related cell-free RNA in the peripheral blood of patients with cancer.
As compared with normal individuals, the expression level was increased by 14.2
fold in patients with bone metastases and by 4.01-fold in patients without
metastases. The results of the present study therefore opens up the possibility
to exploit Runx2 expression as a cancer biomarker allowing the use of minimally
invasive approaches for diagnosis and follow-up.
PMID- 27895788
TI - Effects of microRNA-21 on the biological functions of T-cell acute lymphoblastic
lymphoma/leukemia.
AB - Previous studies have demonstrated that microRNA-21 (miR-21) is an oncogene and
is significantly upregulated in tumor tissue. However, its association with T
cell acute lymphoblastic lymphoma/leukemia (T-ALL) remains poorly understood. The
aim of the present study was to investigate the effects of miR-21 on T-ALL cells
by constructing Jurkat cells infected with recombinant adenovirus adv-miR-21 or
adv-anti-miR-21. In addition, the target gene of miR-21 was identified by reverse
transcription-quantitative polymerase chain reaction and western blotting. The
results demonstrated that miR-21 expression in Jurkat cells was markedly
upregulated. Furthermore, upregulating miR-21 expression in Jurkat cells promoted
cell proliferation and invasion and decreased the apoptosis rate. By contrast,
knockdown of miR-21 in Jurkat cells suppressed proliferation and invasion and
increased the apoptosis rate. Furthermore, the results indicated that signal
transducer and activator of transcription (STAT) 3 was targeted by miR-21, and
that miR-21 inhibited STAT3 expression at the protein level rather than at the
messenger RNA level. In conclusion, targeting the inhibition of miR-21 may be a
novel therapeutic strategy for patients with T-ALL.
PMID- 27895789
TI - MicroRNA-181b is downregulated in non-small cell lung cancer and inhibits cell
motility by directly targeting HMGB1.
AB - The expression of microRNA-181b (miR-181b) has been investigated in various human
cancers. However, the expression and functions of miR-181b in non-small cell lung
cancer (NSCLC) are yet to be studied. In the present study, miR-181b expression
in NSCLC tissues and cell lines was analyzed by quantitative polymerase chain
reaction, and was shown to be recurrently downregulated. Following transfection
of the H23 and H522 NSCLC cells lines with miR-181b, cell migration and cell
invasion assays were performed to evaluate the effect of miR-181b overexpression
on the cell motility. It was demonstrated that overexpression of miR-181b
inhibited the migration and invasion of NSCLC cells. Subsequently, bioinformatics
analysis, western blotting and luciferase reporter assays were conducted to
investigate the mechanism underlying the miR-181b-mediated inhibition of NSCLC
cell motility. It was found that miR-181b directly targeted high-mobility group
box-1 (HMGB1) in NSCLC cells. These results reveal a novel therapeutic target,
the miR-181b/HMGB1 axis, in NSCLC. Treatment approaches targeting this axis will
be beneficial to prevent NSCLC from becoming invasive.
PMID- 27895791
TI - Nedaplatin enhanced apoptotic effects of ABT-737 in human cancer cells via Mcl-1
inhibition.
AB - Platinum compounds, such as cisplatin, carboplatin, oxaliplatin and nedaplatin,
are widely used to treat a number of solid malignancies. Nedaplatin is a second
generation platinum complex, based on its pronounced anti-cancer activities
against several solid tumors being equivalent to that of cisplatin, but with
lower nephrotoxicity. In this context, the present study aimed to investigate the
potential anti-cancer effect by combining nedaplatin with ABT-737. It was found
that nedaplatin greatly increased ABT-737-mediated apoptosis in A549 and 95-D
cells, accompanied by enhanced cleavage of poly(ADP-ribose) polymerase and
caspase-3. In addition, this enhancement was also paralleled by cytochrome c
release and dissipation of mitochondrial membrane potential. Additional
mechanistic investigations revealed that nedaplatin plus ABT-737 exerted a
synergistic effect on cancer cells through their ability to accelerate the
degradation of Mcl-1. The present study has revealed nedaplatin as a pertinent
sensitizer to ABT-737, which opens up new avenues for this promising BH3-mimetic
molecule in the clinic.
PMID- 27895790
TI - Corosolic acid inhibits the proliferation of osteosarcoma cells by inducing
apoptosis.
AB - Corosolic acid (CRA), a pentacyclic triterpene isolated from medicinal herbs, has
been reported to exhibit anticancer properties in several cancers. However, the
anticancer activity of CRA in osteosarcoma cells is still unclear. In the present
study, the inhibitory effect of CRA in osteosarcoma MG-63 cells was investigated,
and the results revealed that CRA significantly inhibited the viability of MG-63
cells in a dose- and time-dependent manner. A typical apoptotic hallmark such as
DNA ladder was detected by agarose gel electrophoresis following treatment with
CRA. Further experiments demonstrated that CRA induced apoptosis of MG-63 cells
by flow cytometry using propidium iodide and annexin V staining. In addition, it
was observed that the apoptosis of MG-63 cells induced by CRA was closely
associated with activation of caspase-3 and caspase-9, loss of mitochondrial
membrane potential, and release of cytochrome c from mitochondria, suggesting
that CRA may trigger the activation of the mitochondria-mediated apoptosis
pathway. In addition, the inhibition of caspase activity attenuated the CRA
induced apoptosis of MG-63 cells, which further confirmed the role of the
mitochondrial pathway in CRA-induced apoptosis. These results indicated that CRA
could induce the apoptosis of osteosarcoma cells through activating the
mitochondrial pathway, which provides an evidence that CRA may be a useful
chemotherapeutic agent for osteosarcoma.
PMID- 27895792
TI - MicroRNA-199a-5p inhibits cisplatin-induced drug resistance via inhibition of
autophagy in osteosarcoma cells.
AB - Osteosarcoma (OS) is the most common cancer of the bone. Chemotherapy is commonly
used for the clinical treatment of OS. However, chemoresistance to cisplatin
[also known as diamminedichloridoplatinum (II) (DDP)] is a major obstacle for OS
therapy, the underlying mechanism of which is not fully understood. The present
study aimed to investigate the role of microRNA (miR)-199a-5p in the regulation
of chemoresistance to DDP in OS cells. Reverse transcription-quantitative
polymerase chain reaction demonstrated that the expression level of miR-199a-5p
was significantly reduced in human OS MG63 cells. In addition, DDP treatment also
upregulated the protein levels of light chain 3 (LC3)-II and Beclin1 as well as
the ratio of LC3-II vs. LC3-I in MG63 cells, indicating that autophagy was
activated. Restoration of miR-199a-5p expression promoted DDP-induced inhibition
of MG63 cell proliferation and inhibited DDP-induced autophagy, as indicated by
the reduced protein levels of LC3-II and Beclin1 and the ratio of LC3-II vs. LC3
I. Finally, luciferase reporter assay data revealed that miR-199a-5p directly
targeted Beclin1 and negatively mediated Beclin1 expression at a post
transcriptional level in MG63 cells. In conclusion, our study suggests that miR
199a-5p promotes the cytotoxicity of DDP in OS cells via inhibition of autophagy.
Therefore, miR-199a-5p/autophagy signaling is involved in chemoresistance and may
become a potential target for the treatment of DDP-resistant OS.
PMID- 27895793
TI - Evaluation of recurrent disease in the re-staging of colorectal cancer by 18F-FDG
PET/CT: Use of CEA and CA 19-9 in patient selection.
AB - The aim of the present retrospective study was to evaluate the sensitivity and
specificity of fluorodeoxyglucose (18F-FDG) positron emission tomography/computed
tomography (PET/CT) in assessing the recurrence of colorectal cancer (CRC) with
regard to carcinoembryonic antigen (CEA) and carbohydrate antigen 19-9 (CA 19-9).
18F-FDG PET/CT was performed in 100 patients for the re-staging of CRC. Therapy
was discontinued prior to the examination. The mean (+/- standard deviation) CEA
value (measured ~30 days prior to PET/CT examination) was 23.71 (+/-107) ng/ml,
whereas the CA 19-9 value was 72 (+/-190.3) U/ml. Differences in CEA and CA 19-9
values in patients with scans that were positive or negative for recurrence were
analyzed by means of a receiver operating characteristic (ROC) curve. ROC curves
were used for the calculation of the sensitivity and specificity of 18F-FDG
PET/CT for the CEA and CA 19-9 levels. The results of the 18F-FDG PET/CT were
found to be associated with the CEA level (P=0.001), but not with the CA 19-9
level (P=0.43). PET/CT was positive for recurrence in 60 patients (60.0%), whose
mean CEA and CA 19-9 values were 33.07+/-136.7 ng/ml and 75.24+/-192.3 U/ml,
respectively. PET/CT was negative for recurrence in 40 patients (40.0%), whose
mean CEA and CA 19-9 values were 10.15+/-30 ng/ml and 67.76+/-190 U/ml,
respectively. On the basis of ROC curve analysis, the best compromise between
sensitivity and specificity was achieved for CEA levels of 3.5 ng/ml
[sensitivity, 80%; 95% confidence interval (CI), 67-89%; and specificity, 60%;
95% CI, 45-78%]. The study concluded that the detection of recurrence by 18F-FDG
PET/CT in patients treated for CRC is associated with CEA, but not CA 19-9 serum
levels. Moreover, 18F-FDG PET/CT should be recommended in patients with suspected
CRC recurrence even when they present with CEA levels below the normal cut-off.
PMID- 27895794
TI - Trousseau's syndrome caused by bladder cancer producing granulocyte colony
stimulating factor and parathyroid hormone-related protein: A case report.
AB - The present study reports a rare case of bladder cancer that caused Trousseau's
syndrome, a malignancy-associated hypercoagulative state leading to venous or
arterial thrombosis. A 38-year-old Japanese female patient experienced a sudden
onset of visual field defects and lower limb weakness. Cerebral magnetic
resonance imaging revealed multi-regional hypointense areas compatible with acute
cerebral infarction. Despite the repeated administration of anticoagulant
treatment with heparin, the condition could not be adequately controlled and
thromboembolic events occasionally recurred. Several tumor markers, including
carbohydrate antigen 19-9, cancer antigen 125, carcinoembryonic antigen,
cytokeratin 19 fragment and squamous cell carcinoma antigen levels, were
elevated. Consequently, computed tomography scans were performed, which revealed
a massive bladder tumor with multiple bone and lymph node metastases. The patient
also exhibited other paraneoplastic disorders, including leukocytosis due to
granulocyte colony-stimulating factor (G-CSF) production, and hypercalcemia due
to parathyroid hormone-related protein (PTHrP) production. Transurethral
resection of the bladder tumor was performed, and the tumor was pathologically
confirmed as urothelial cell carcinoma. Immunohistochemical testing revealed
positive staining for G-CSF and PTHrP. Despite undergoing gemcitabine/cisplatin
based systemic chemotherapy, the disease developed rapidly and the patient
succumbed to the disease within 3 months of initial symptoms. The present case
indicates that occult visceral malignancy should be considered in patients with
unexplained thromboembolism.
PMID- 27895795
TI - Growth differentiating factor-15 (GDF-15): A potential biomarker and therapeutic
target for cancer-associated weight loss.
AB - Growth differentiating factor-15 (GDF-15), also known as macrophage inhibiting
factor-1, is a member of the transforming growth factor-beta superfamily, which
has been implicated in cancer-associated weight loss. The present study
investigated the association between cancer-associated weight loss and plasma GDF
15 concentration, as well as other biomarkers, in patients with metastatic lung
or exocrine pancreatic cancer. A total of 218 patients were enrolled over a 1
year period. The patient cohort included 152 patients with incurable lung cancer
and 66 patients with incurable pancreatic cancer. Of the 218 patients, 98 (45%)
reported >5% weight loss, 62 (28%) reported <=5% weight loss and 58 (27%)
reported no weight loss in the 6 months prior to diagnosis. In lung cancer
patients, higher circulating GDF-15 levels were significantly associated with
weight loss; lung cancer patients who reported >5% weight loss (n=56) were found
to exhibit twice the circulating concentration of GDF-15 compared with those that
exhibited no weight loss (n=48) (P<0.0001). Additional mediators, including
Activin A, interleukin (IL)-12, vascular endothelial growth factor A, IL-1
receptor alpha, eotaxin and platelet derived growth factor-BB, were also
associated with weight loss; however, the associations were not as strong. In
pancreatic cancer patients, no association between GDF-15 levels and weight loss
was identified. However, higher circulating GDF-15 levels were consistently
associated with poor survival in univariate [hazard ratio (HR), 1.13; 95%
confidence interval (CI), 1.02-1.23; P=0.016] and multivariate [HR, 1.1; 95% CI,
1.02-1.24; P=0.03] analysis, respectively. Thus, GDF-15 requires further study as
a biomarker and potential therapeutic target in cancer-associated weight loss,
particularly in lung cancer patients.
PMID- 27895797
TI - UGT1A1*6, UGT1A7*3 and UGT1A9*1b polymorphisms are predictive markers for severe
toxicity in patients with metastatic gastrointestinal cancer treated with
irinotecan-based regimens.
AB - Irinotecan-induced severe neutropenia and diarrhea, which remain unpredictable,
has restrained the dose and clinical efficiency of irinotecan administration. In
the present study, a total of 70 irinotecan-treated patients with histologically
confirmed metastatic gastrointestinal cancer were enrolled. Despite genotyping
well-reported alleles, direct sequencing was specifically adopted to avoid ethnic
heterogeneity and to identify novel variations. The promoter (-1000 bp) and exon
1 regions of UDP glucuronosyltransferase family 1 member A complex locus (UGT1A1)
gene family members UGT1A1, UGT1A7 and UGT1A9 were sequenced, and comprehensive
analysis of their genetic polymorphisms was performed to determine the
association between inherited genetic variations and irinotecan-induced toxicity.
A total of 23 different genetic variants were detected in the present study,
including 2 novel polymorphisms. The results of the present study revealed that
UGT1A1*6 and UGT1A7*3 are risk factors for irinotecan-induced severe neutropenia,
and UGT1A9*1b is associated with severe diarrhea. These results may provide
biomarkers for the selection of the optimal chemotherapy for Chinese patients
with metastatic gastrointestinal cancer.
PMID- 27895798
TI - Exploring the impact of EGFR T790M neighboring SNPs on ARMS-based T790M mutation
assay.
AB - The present study aimed to explore the influence of T790M neighboring single
nucleotide polymorphism (SNP) on the sensitivity of amplification refractory
mutation system (ARMS)-based T790M mutation assay. Three ARMS-quantitative
polymerase chain reaction (qPCR) systems (system 1 had a forward ARMS primer
without rs1050171, system 2 included a forward ARMS primer with rs1050171 and
system 3 contained the above two forward ARMS primers) were used to detect the
T790M mutation in two series plasmid samples and genomic DNA (gDNA) of the cell
line H1975. A total of 670 formalin-fixed paraffin-embedded (FFPE) tumor samples
from non-small cell lung cancer patients were used to detect the epidermal growth
factor receptor (EGFR) gene T790M mutation by direct sequencing and ARMS-qPCR.
The ARMS-qPCR system 1 effectively detected samples with as low as 1% T790M
mutant plasmid 1 (without rs1050171) and with 50% T790M mutant plasmid 2 (with
rs1050171), while the ARMS-qPCR system 2 detected samples with 20 and 50% T790M
mutant plasmid 1, in addition to samples with 1% T790M mutant plasmid 2. For the
ARMS-qPCR system 3, samples with as low as 1% T790M mutant plasmids 1 or 2 were
effectively detected. For gDNA analysis of the cell line H1975, the T790M
mutation was effectively detected by the ARMS-qPCR systems 2 and 3 (~50% mutation
rate), but was detected with a low mutation abundance by the ARMS-qPCR system 1
(~1% mutation rate). Of the 670 FFPE samples, 5 cases were identified to have the
T790M mutation by sequencing and by the ARMS-qPCR system 1. One sample (named
N067), which was considered as T790M-negative by sequencing, was demonstrated to
have the T790M mutation using the ARMS-qPCR system 1. Sample N094, which was
variant homozygous for rs1050171 and was indicated to be T790M-negative by
sequencing and by the ARMS-qPCR system 1, was identified to have the T790M
mutation with the ARMS-qPCR system 3. The A-variant allele frequency of rs1050171
was observed to be 28.2% in the 670 FFPE tumor samples, while the presence of
rs148188503 (c. C2355T, p. T785T) was observed in sample N558, and a novel SNP
with a base substitution (c. T2375C) at position 792 (p. L792P) in exon 20 of the
EGFR gene was observed in sample N310. rs1050171 is a high-frequency SNP located
near T790M, and the mutation statuses of rs1050171 appear to influence the
sensitivity of the ARMS-based T790M detection system, thus generating a 14.3%
false-negative rate (1/7). The present study proposes the risk that target
neighboring SNPs (as far as 8 bp away in the present study) may exert on the
sensitivity of ARMS-based detection methods.
PMID- 27895796
TI - Promotion of metastasis of thyroid cancer cells via NRP-2-mediated induction.
AB - Tumor-node-metastasis is one of the leading causes of morbidity and mortality in
thyroid cancer patients. Upregulation of vascular endothelial growth factor-C
(VEGF-C) increases the migratory ability of thyroid cancer cells to lymph nodes.
Expression of neuropilin-2 (NRP-2), the co-receptor of VEGF-C, has been reported
to be correlated with lymph node metastasis in human thyroid cancer. The present
study investigated the role of VEGF-C/NRP-2 signaling in the regulation of
metastasis of two different types of human thyroid cancer cells. The results
indicated that the VEGF-C/NRP-2 axis significantly promoted the metastatic
activities of papillary thyroid carcinoma cells through the activation of the
mitogen-activated protein kinase (MAPK) kinase (MEK)/extracellular signal
regulated kinase and p38 MAPK signaling cascades. However, neither MEK or p38
MAPK inhibitors produced significant inhibition of the migratory activity and
invasiveness regulated by the VEGF-C/NRP-2 axis in follicular thyroid carcinoma
cells. Finally, VEGF-C/NRP-2-mediated invasion and migration of thyroid cancer
cells required the expression of NRP-2. The present results demonstrate that the
promotion of metastasis by VEGF-C is mainly due to the upregulation of NRP-2 in
thyroid cancer cells, and this metastatic activity regulated by the VEGF-C/NRP-2
axis provides further insight into the process of tumor metastasis.
PMID- 27895799
TI - Routine blood examinations combined with morphological analysis for the diagnosis
of myelodysplastic/myeloproliferative neoplasms.
AB - In 2008, the World Health Organization (WHO) introduced a new hematological
neoplasm category; myelodysplastic/myeloproliferative neoplasms (MDS/MPN), which
included four main subcategories. This disease is often misdiagnosed, which
delays effective therapy. The present study evaluated the role of routine blood
examinations and morphological analysis of peripheral blood cells in the reliable
diagnosis of MDS/MPN. In total, 236 adult MDS/MPN patients were analyzed. The
analysis included 10 routine blood parameters measured using a Sysmex XE-2100TM,
3 differential percentage parameters and 7 morphological features of peripheral
blood cells which were analyzed by optical microscopy, and 3 differential
absolute count numbers obtained based on the corresponding differential
percentages and absolute count of blood cells. The parameters were compared among
the subcategories and a value of P<0.05 was considered to indicate a
statistically significant difference. The median white blood cell and hemoglobin
counts of the patients were 18.0*109/l and 88 g/l, respectively. The proportion
of monocytes increased to 8% (1.82*109/l), the proportion of blast cells
increased to 1% (0.5*109/l) and that of neutrophil precursors increased to 10%
(1.98*109/l). A total of 87% of all patients presented with hypogranulation and
71% presented with abnormal condensed nuclear chromatin in granulocytes. Atypical
monocytes were observed in 73% of all patients and Pseudo-Pelger cells were
observed in 60%. Significant differences were detected among the subcategories.
The present study demonstrated that combining blood routine parameters and the
morphological analysis of peripheral blood cells have an essential role in the
reliable diagnosis of MDS/MPN based on WHO categories.
PMID- 27895800
TI - A novel curcumin analogue is a potent chemotherapy candidate for human
hepatocellular carcinoma.
AB - Curcumin (CUR) has been demonstrated to protect against carcinogenesis and to
prevent tumor development in cancer; however, the clinical application of CUR is
limited by its instability and poor metabolic properties. The present study
offers an strategy for a novel CUR analogue, (1E,4E)-1,5-bis(2-bromophenyl)penta
1,4-dien-3-one (GL63), to be used as a potential therapeutic agent for
hepatocellular carcinoma (HCC) in vitro and in vivo. The current study
demonstrated that GL63 exhibited more potent inhibition of proliferation of HCC
cells than CUR. GL63 induced G0/G1 phase cell cycle arrest and apoptosis in SK
HEP-1 cells in a dose-dependent manner, and was more potent than CUR, according
to the flow cytometry data. The present study demonstrated for the first time
that the inhibition of the Janus kinase 2 (JAK2)/signal transducer and activator
of transcription 3 (STAT3) signaling pathway by GL63 resulted in a protective
effect against HCC cell growth. GL63 was more effective than CUR in regulating
STAT3 downstream targets, which contributed to the suppression of cell
proliferation and the induction of cell apoptosis. In addition, the effects of
GL63 were tested in a model of N-nitrosodiethylamine (DEN)-induced HCC in Wistar
rats. Although macroscopic and microscopic features suggested that both GL63 and
CUR were effective in inhibiting DEN-induced hepatocarcinogenesis, GL63 exerted a
stronger effect than CUR. Immunohistochemical analysis for proliferating cell
nuclear antigen demonstrated significant differences among the DEN-bearing non
treated, DEN-bearing GL63-treated and DEN-bearing, CUR-treated groups (P=0.039).
It was concluded that GL63 was a potent agent able to suppress the proliferation
of HCC cells by inhibition of the JAK2/STAT3 signaling pathway, with more
favorable pharmacological activity than CUR, and may be a more potent compound
for the prevention of DEN-induced hepatocarcinogenesis in rats than CUR.
PMID- 27895801
TI - In vitro evaluation of a combination treatment involving anticancer agents and an
aurora kinase B inhibitor.
AB - Aurora kinase B (AURKB) inhibitors are regarded as potential molecular-targeting
drugs for cancer therapy. The present study evaluated the cytotoxic effect of a
combination of AZD1152-hQPA, an AURKB inhibitor, and various anticancer agents on
the HeLa human cervical cancer cell line, as well as its cisplatin-resistant
equivalent HCP4 cell line. It was demonstrated that AZD1152-hQPA had an
antagonistic effect on the cytotoxicity of cisplatin, etoposide and doxorubicin,
but had a synergistic effect on that of all-trans-retinoic acid (ATRA), Am80 and
TAC-101, when tested on HeLa cells. Cisplatin, etoposide and doxorubicin were
shown to increase the cellular expression of AURKB, while ATRA, Am80 and TAC-101
downregulated its expression. These results suggested that AURKB expression is
regulated by these anticancer agents at the transcriptional level, and that the
level of expression of AURKB may influence the cytotoxic effect of AZD1152-hQPA.
Therefore, when using anticancer agents, decreasing the expression of AURKB using
a molecular-targeting drug may be an optimal therapeutic strategy.
PMID- 27895802
TI - Vitamin C induces apoptosis in AGS cells via production of ROS of mitochondria.
AB - It has been demonstrated that vitamin C exhibits anti-cancer activity in various
tumor cell lines; however, its specific mechanism of action remains unknown.
Although the diagnosis and therapy of cancer patients have markedly improved in
recent years, safer and more cost-effective treatments are still required.
Therefore, the present study examined the effect of vitamin C on the induction of
cell death in gastric cancer and its underlying mechanism of action. It was
observed that the cytotoxicity of vitamin C on the human gastric cancer cell line
AGS is dependent on the apoptotic pathway, including caspase cascades, but not on
the necroptotic pathway. It was demonstrated that the vitamin C-induced calcium
influx and ROS generation have critical roles in the induction of apoptosis.
Furthermore, vitamin C treatment depleted adenosine triphosphate (ATP) production
in AGS cells, and the autophagy pathway may be involved in this process. Taken
together, the current study suggests that a high dose of vitamin C may induce
gastric cancer cell apoptosis through the dysfunction of mitochondria, including
calcium influx, reactive oxygen species generation and ATP depletion.
PMID- 27895804
TI - Erratum to: 18beta-Glycyrrhetinic acid exerts protective effects against
cyclophosphamide-induced hepatotoxicity: potential role of PPARgamma and Nrf2
upregulation.
AB - [This corrects the article DOI: 10.1007/s12263-015-0491-1.].
PMID- 27895803
TI - Time-course microarray analysis for identifying candidate genes involved in
obesity-associated pathological changes in the mouse colon.
AB - BACKGROUND: Obesity is known to increase the risk of colorectal cancer. However,
mechanisms underlying the pathogenesis of obesity-induced colorectal cancer are
not completely understood. The purposes of this study were to identify
differentially expressed genes in the colon of mice with diet-induced obesity and
to select candidate genes as early markers of obesity-associated abnormal cell
growth in the colon. METHODS: C57BL/6N mice were fed normal diet (11% fat energy)
or high-fat diet (40% fat energy) and were euthanized at different time points.
Genome-wide expression profiles of the colon were determined at 2, 4, 8, and 12
weeks. Cluster analysis was performed using expression data of genes showing log2
fold change of >=1 or <=-1 (twofold change), based on time-dependent expression
patterns, followed by virtual network analysis. RESULTS: High-fat diet-fed mice
showed significant increase in body weight and total visceral fat weight over 12
weeks. Time-course microarray analysis showed that 50, 47, 36, and 411 genes were
differentially expressed at 2, 4, 8, and 12 weeks, respectively. Ten cluster
profiles representing distinguishable patterns of genes differentially expressed
over time were determined. Cluster 4, which consisted of genes showing the most
significant alterations in expression in response to high-fat diet over 12 weeks,
included Apoa4 (apolipoprotein A-IV), Ppap2b (phosphatidic acid phosphatase type
2B), Cel (carboxyl ester lipase), and Clps (colipase, pancreatic), which
interacted strongly with surrounding genes associated with colorectal cancer or
obesity. CONCLUSIONS: Our data indicate that Apoa4, Ppap2b, Cel, and Clps are
candidate early marker genes associated with obesity-related pathological changes
in the colon. Genome-wide analyses performed in the present study provide new
insights on selecting novel genes that may be associated with the development of
diseases of the colon.
PMID- 27895805
TI - Blood-based DNA methylation as biomarker for breast cancer: a systematic review.
AB - Multiple studies have investigated global DNA methylation profiles and gene
specific DNA methylation in blood-based DNA to develop powerful screening markers
for cancer. This systematic review summarizes the current evidence on methylation
studies that investigated methylation level of blood-derived DNA of breast cancer
(BC) patients in comparison to healthy controls by conducting a systematic
literature review in PubMed and Web of Science. Essential results, such as
methylation levels of BC cases and healthy controls, p values, and odds ratios,
were extracted from these studies by two investigators independently. Overall, 45
publications met the inclusion criteria for this review. DNA from whole blood, as
well as cell-free DNA (cfDNA) from serum or plasma, was used in these studies.
The most common method used for measuring global DNA methylation was the
investigation of repetitive elements as surrogates and the application of array
based genome-wide methylation analysis. For measuring gene-specific methylation
level, methylation-specific PCR and pyrosequencing were the most frequently used
methods. Epigenome-wide blood DNA hypomethylation in BC patients were reported in
several studies; however, the evidence is still not conclusive. The most
frequently investigated gene in whole blood was BRCA1, which was found more
frequently methylated in patients compared to controls. RASSF1A was the most
widely investigated gene in cfDNA of serum or plasma, which was also found more
frequently methylated in patients compared to controls. Several of the eligible
studies reported the associations of global hypomethylation and increased BC
risk. Studies investigated associations between gene-specific methylation and BC
risk, while got heterogeneous results. But two studies reported that
hypermethylation of ATM gene was associated with increased BC risk, which suggest
the potential use of this gene for BC risk stratification. Overall, our review
suggests the possibility of using blood-based DNA methylation marker as promising
marker for BC risk stratification, as several studies found associations between
certain methylation level in blood and BC risk. However, so far, the evidence is
still quite limited. Optimal markers are yet to be developed and promising
results needed to be validated in prospective study cohorts and tested in large
screening populations.
PMID- 27895809
TI - Localized prostate cancer.
PMID- 27895807
TI - cPAS-based sequencing on the BGISEQ-500 to explore small non-coding RNAs.
AB - BACKGROUND: We present the first sequencing data using the combinatorial probe
anchor synthesis (cPAS)-based BGISEQ-500 sequencer. Applying cPAS, we
investigated the repertoire of human small non-coding RNAs and compared it to
other techniques. RESULTS: Starting with repeated measurements of different
specimens including solid tissues (brain and heart) and blood, we generated a
median of 30.1 million reads per sample. 24.1 million mapped to the human genome
and 23.3 million to the miRBase. Among six technical replicates of brain samples,
we observed a median correlation of 0.98. Comparing BGISEQ-500 to HiSeq, we
calculated a correlation of 0.75. The comparability to microarrays was similar
for both BGISEQ-500 and HiSeq with the first one showing a correlation of 0.58
and the latter one correlation of 0.6. As for a potential bias in the detected
expression distribution in blood cells, 98.6% of HiSeq reads versus 93.1% of
BGISEQ-500 reads match to the 10 miRNAs with highest read count. After using
miRDeep2 and employing stringent selection criteria for predicting new miRNAs, we
detected 74 high-likely candidates in the cPAS sequencing reads prevalent in
solid tissues and 36 candidates prevalent in blood. CONCLUSIONS: While there is
apparently no ideal platform for all challenges of miRNome analyses, cPAS shows
high technical reproducibility and supplements the hitherto available platforms.
PMID- 27895811
TI - Radionuclides.
PMID- 27895810
TI - Chemotherapy research for metastatic prostate cancer.
PMID- 27895812
TI - Androgen receptor axis-targeted agents.
PMID- 27895808
TI - Mendelian inheritance of trimodal CpG methylation sites suggests distal cis
acting genetic effects.
AB - BACKGROUND: Environmentally influenced phenotypes, such as obesity and insulin
resistance, can be transmitted over multiple generations. Epigenetic
modifications, such as methylation of DNA cytosine-guanine (CpG) pairs, may be
carriers of inherited information. At the population level, the methylation state
of such "heritable" CpG sites is expected to follow a trimodal distribution, and
their mode of inheritance should be Mendelian. METHODS: Using the Illumina
Infinium 450 K DNA methylation array, we determined DNA CpG-methylation in blood
cells from a family cohort 123 individuals of Arab ethnicity, including 18
elementary father-mother-child trios, we asked whether Mendelian inheritance of
CpG methylation is observed, and most importantly, whether it is independent of
any genetic signals. Using 40* whole genome sequencing, we therefore excluded all
CpG sites with possibly confounding genetic variants (SNP) within the binding
regions of the Illumina probes. RESULTS: We identified a total of 955 CpG sites
that displayed a trimodal distribution and confirmed trimodality in a study of
1805 unrelated Caucasians. Of 955 CpG sites, 99.9% observed a strict Mendelian
pattern of inheritance and had no SNP within +/-110 nucleotides of the CpG site
by design. However, in 97% of these cases a distal cis-acting SNP within a +/-1
Mbp window was found that explained the observed CpG distribution, excluding the
hypothesis of epigenetic inheritance for these clear-cut trimodal sites. Using
power analysis, we showed that in 46% of all cases, the closest CpG-associated
SNP was located more than 1000 bp from the CpG site. CONCLUSIONS: Our findings
suggest that CpG methylation is maintained over larger genomic distances.
Furthermore, nearly half of the SNPs associated with these trimodal sites were
also associated with the expression of nearby genes (P = 4.08 * 10-6), implying a
regulatory effect of these trimodal CpG sites.
PMID- 27895806
TI - Genome-wide epigenomic profiling for biomarker discovery.
AB - A myriad of diseases is caused or characterized by alteration of epigenetic
patterns, including changes in DNA methylation, post-translational histone
modifications, or chromatin structure. These changes of the epigenome represent a
highly interesting layer of information for disease stratification and for
personalized medicine. Traditionally, epigenomic profiling required large amounts
of cells, which are rarely available with clinical samples. Also, the cellular
heterogeneity complicates analysis when profiling clinical samples for unbiased
genome-wide biomarker discovery. Recent years saw great progress in
miniaturization of genome-wide epigenomic profiling, enabling large-scale
epigenetic biomarker screens for disease diagnosis, prognosis, and stratification
on patient-derived samples. All main genome-wide profiling technologies have now
been scaled down and/or are compatible with single-cell readout, including: (i)
Bisulfite sequencing to determine DNA methylation at base-pair resolution, (ii)
ChIP-Seq to identify protein binding sites on the genome, (iii) DNaseI-Seq/ATAC
Seq to profile open chromatin, and (iv) 4C-Seq and HiC-Seq to determine the
spatial organization of chromosomes. In this review we provide an overview of
current genome-wide epigenomic profiling technologies and main technological
advances that allowed miniaturization of these assays down to single-cell level.
For each of these technologies we evaluate their application for future biomarker
discovery. We will focus on (i) compatibility of these technologies with methods
used for clinical sample preservation, including methods used by biobanks that
store large numbers of patient samples, and (ii) automation of these technologies
for robust sample preparation and increased throughput.
PMID- 27895813
TI - Cow's milk allergy: towards an update of DRACMA guidelines.
AB - BACKGROUND: In 2010, the diagnosis and treatment of IgE-mediated CMA were
systematized in a GRADE guideline. OBJECTIVES & METHODS: After 6 years, the state
of the knowledge in diagnosis and treatment of CMA has largely evolved. We
summarize here the main advances, and exemplify indicating some specific points:
studies aimed at better knowledge of the effects of breastfeeding and the
production of new special formulae intended for the treatment of CMA. The
literature (PubMed/MEDLINE) was searched using the following algorithms: (1)
[milk allergy] AND diagnosis; (2) [milk allergy] AND [formul*] OR [breast*],
setting the search engine [6-years] time and [human] limits. The authors drew on
their collective clinical experience to restrict retrieved studies to those of
relevance to a pediatric allergy practice. RESULTS: Several clinical studies did
address the possibility to diagnose CMA using new tools in vitro and in vivo, or
to diagnose it without any evaluation of sensitization. Some studies also
addressed the clinical role of formulae based on milk hydrolysates, soy, or rice
hydrolysates in the treatment of CMA. Many studies have elucidated the effects of
selective nutrients in breastfed infants on their immunologic and neurologic
characteristics. CONCLUSIONS: Evidence-based diagnostic criteria should be
identified for non-IgE-mediated CMA. Debate is ongoing about the best substitute
for infants with CMA. In particular, Hydrolyzed Rice Formulae have been widely
assessed in the last six years. In the substitute choice, clinicians should be
aware of recent studies that can modify the interpretation of the current
recommendations. New systematic reviews and metanalyses are needed to confirm or
modify the current DRACMA recommendations.
PMID- 27895814
TI - Robotic rectal surgery: State of the art.
AB - Laparoscopic rectal surgery has demonstrated its superiority over the open
approach, however it still has some technical limitations that lead to the
development of robotic platforms. Nevertheless the literature on this topic is
rapidly expanding there is still no consensus about benefits of robotic rectal
cancer surgery over the laparoscopic one. For this reason a review of all the
literature examining robotic surgery for rectal cancer was performed. Two
reviewers independently conducted a search of electronic databases (PubMed and
EMBASE) using the key words "rectum", "rectal", "cancer", "laparoscopy", "robot".
After the initial screen of 266 articles, 43 papers were selected for review. A
total of 3013 patients were included in the review. The most commonly performed
intervention was low anterior resection (1450 patients, 48.1%), followed by
anterior resections (997 patients, 33%), ultra-low anterior resections (393
patients, 13%) and abdominoperineal resections (173 patients, 5.7%). Robotic
rectal surgery seems to offer potential advantages especially in low anterior
resections with lower conversions rates and better preservation of the autonomic
function. Quality of mesorectum and status of and circumferential resection
margins are similar to those obtained with conventional laparoscopy even if
robotic rectal surgery is undoubtedly associated with longer operative times.
This review demonstrated that robotic rectal surgery is both safe and feasible
but there is no evidence of its superiority over laparoscopy in terms of
postoperative, clinical outcomes and incidence of complications. In conclusion
robotic rectal surgery seems to overcome some of technical limitations of
conventional laparoscopic surgery especially for tumors requiring low and ultra
low anterior resections but this technical improvement seems not to provide,
until now, any significant clinical advantages to the patients.
PMID- 27895816
TI - Clinical impact of chemotherapy to improve tumor microenvironment of pancreatic
cancer.
AB - A perioperative multimodal strategy including combination chemotherapy and
radiotherapy, in addition to surgical resection, has been acknowledged to improve
patient prognosis. However chemotherapy has not been actively applied as an
immunomodulating modality because of concerns about various immunosuppressive
effects. It has recently been shown that certain chemotherapeutic agents could
modify tumor microenvironment and host immune responses through several
underlying mechanisms such as immunogenic cell death, local T-cell infiltration
and also the eradication of immune-suppressing regulatory cells such as
regulatory T cells (Tregs) and myeloid-derived suppressor cells. With the better
understanding of the cell components in the tumor microenvironment and the effect
of chemotherapy to improve tumor microenvironment, it has been gradually clear
that the chemotherapeutic agents is two-edged sword to have both immune promoting
and suppressing effects. The cellular components of the tumor microenvironment
include infiltrating T lymphocytes, dendritic cells, regulatory T cells, tumor
associated macrophages, myeloid derived suppressor cells and cancer associated
fibroblasts. Based on the better understanding of tumor microenvironment
following chemotherapy, the treatment protocol could be modified as personalized
medicine and the prognosis of pancreas cancer would be more improved utilizing
multimodal chemotherapy. Here we review the recent advances of chemotherapy to
improve tumor microenvironment of pancreatic cancer, introducing the unique
feature of tumor microenvironment of pancreatic cancer, interaction between anti
cancer reagents and these constituting cells and future prospects.
PMID- 27895817
TI - Current noninvasive tests for colorectal cancer screening: An overview of
colorectal cancer screening tests.
AB - Colorectal cancer (CRC) has become the third most common cancer in the world.
Screening has been shown to be an effective way to identify early CRC and
precancerous lesions, and to reduce its morbidity and mortality. Several types of
noninvasive tests have been developed for CRC screening, including the fecal
occult blood test (FOBT), the fecal immunochemical test (FIT), the fecal-based
DNA test and the blood-based DNA test (the SEPT9 assay). FIT has replaced FOBT
and become the major screening test due to high sensitivity, specificity and low
costs. The fecal DNA test exhibited higher sensitivity than FIT but its current
cost is high for a screening assay. The SEPT9 assay showed good compliance while
its performance in screening needs further improvements. These tests exhibited
distinct sensitivity and specificity in screening for CRC and adenoma. This
article will focus on the performance of the current noninvasive in vitro
diagnostic tests that have been used for CRC screening. The merits and drawbacks
for these screening methods will also be compared regarding the techniques, usage
and costs. We hope this review can provide suggestions for both the public and
clinicians in choosing the appropriate method for CRC screening.
PMID- 27895818
TI - Case of pseudo-Meigs' syndrome caused by gastric cancer-related metastatic
ovarian tumor with prolonged survival.
AB - A 48-year-old woman presented with bilateral enlarged ovaries, ascites, bilateral
pleural effusion, and advanced gastric cancer. Pleural fluid cytology did not
reveal malignant cells. Oophorectomy, performed as a palliative procedure, was
followed by rapid resolution of the pleural effusion and ascites. The patient was
diagnosed with pseudo-Meigs' syndrome, and underwent chemotherapy followed by
partial gastrectomy. At the last follow-up, 84 mo following oophorectomy, she was
alive, and free of disease recurrence, despite not receiving any further
treatment. Pseudo-Meigs' syndrome should be considered in patients with bilateral
ovarian tumors, ascites and pleural effusion, and treatment such as oophorectomy
may result in symptomatic improvement and better prognosis in similar patients.
PMID- 27895815
TI - Molecular predictive markers in tumors of the gastrointestinal tract.
AB - Gastrointestinal malignancies are among the leading causes of cancer-related
deaths worldwide. Like all human malignancies they are characterized by
accumulation of mutations which lead to inactivation of tumor suppressor genes or
activation of oncogenes. Advances in Molecular Biology techniques have allowed
for more accurate analysis of tumors' genetic profiling using new breakthrough
technologies such as next generation sequencing (NGS), leading to the development
of targeted therapeutical approaches based upon biomarker-selection. During the
last 10 years tremendous advances in the development of targeted therapies for
patients with advanced cancer have been made, thus various targeted agents,
associated with predictive biomarkers, have been developed or are in development
for the treatment of patients with gastrointestinal cancer patients. This review
summarizes the advances in the field of molecular biomarkers in tumors of the
gastrointestinal tract, with focus on the available NGS platforms that enable
comprehensive tumor molecular profile analysis.
PMID- 27895820
TI - Sleep, circadian dysrhythmia, obesity and diabetes.
AB - Synchrony of biological processes with environmental cues developed over
millennia to match growth, reproduction and senescence. This entails a complex
interplay of genetic, metabolic, chemical, light, hormonal and hedonistic factors
across life forms. Sleep is one of the most prominent rhythms where such a match
is established. Over the past 100 years or so, it has been possible to disturb
the synchrony between sleep-wake cycle and environmental cues. Development of
electric lights, shift work and continual accessibility of the internet has
disrupted this match. As a result, many non-communicable diseases such as
obesity, insulin resistance, type 2 diabetes, coronary artery disease and
malignancies have been attributed in part to such disruption. In this
presentation a review is made of the origin and evolution of sleep studies, the
pathogenic mediators for such asynchrony, clinical evidence and relevance and
suggested management options to deal with the disturbances.
PMID- 27895822
TI - Linagliptin alleviates fatty liver disease in diabetic db/db mice.
AB - AIM: To study the effects of linagliptin on the structural signs of non-alcoholic
fatty liver disease (NAFLD) in db/db mice. METHODS: Male diabetic db/db mice
(BKS.Cg-Dock7m+/+Leprdb/J) aged 10 wk received the dipeptidyl peptidase 4 (DPP4)
inhibitor linagliptin (10 mg/kg) or saline as a placebo once per day by gavage
for 8 wk. Intact db/db mice served as controls. Structural changes in the liver
were analyzed from light and electron microscopic images of sections from intact,
placebo-treated and linagliptin-treated animals. We estimated the changes in
hepatocytes, sinusoidal cells, liver microvasculature and lymphatic roots.
Hepatic staining for lymphatic vessel endothelial hyaluronan receptor-1 (LYVE-1)
was assessed by immunohistochemistry. RESULTS: In 18-wk-old diabetic mice, liver
steatosis (predominantly microvesicular and mediovesicular steatosis) was
accompanied by dilation of the roots of the lymphatic system, interlobular blood
vessels and bile canaliculi. Compared to saline-treated mice, linagliptin-treated
mice exhibited a reduction in the mean numeral densities of hepatocytes with
lipid droplets (92.4% +/- 1.7% vs 64.9% +/- 5.8% per field of view, P = 0.0002)
and a lower proportion of hepatocytes with a high density of lipid droplets
(20.7% +/- 3.6% vs 50.4% +/- 3.1%, P = 0.0007). We observed heterogeneous
hepatocytes and relatively preserved cell structures in the linagliptin group.
Dilation of blood and lymphatic vessels, as well as ultrastructural changes in
the hepatocyte endoplasmic reticulum and mitochondria, were alleviated by
linagliptin treatment. In intact and placebo-treated mice, immunohistochemical
staining for LYVE-1 was observed in the endothelial cells of interlobular
lymphatic vessels and on the membranes of some endothelial sinusoidal cells. We
observed an enlarged LYVE-1 reaction area in linagliptin-treated mice compared to
intact and placebo-treated mice. The improvement in the structural parameters of
the liver in linagliptin-treated mice was independent to changes in the plasma
glucose levels. CONCLUSION: The DPP4 inhibitor linagliptin alleviates liver
steatosis and structural changes in the hepatic microvasculature and lymphatic
roots in a model of NAFLD in diabetic db/db mice.
PMID- 27895821
TI - Implanting 1.1B4 human beta-cell pseudoislets improves glycaemic control in
diabetic severe combined immune deficient mice.
AB - AIM: To investigate the potential of implanting pseudoislets formed from human
insulin-releasing beta-cell lines as an alternative to islet transplantation.
METHODS: In this study, the anti-diabetic potential of novel human insulin
releasing 1.1B4 beta-cells was evaluated by implanting the cells, either as free
cell suspensions, or as three-dimensional pseudoislets, into the subscapular
region of severe combined immune deficient mice rendered diabetic by single high
dose administration of streptozotocin. Metabolic parameters including food and
fluid intake, bodyweight and blood glucose were monitored throughout the study.
At the end of the study animals were given an intraperitoneal glucose tolerance
test. Animals were then culled and blood and tissues were collected for analysis.
Insulin and glucagon contents of plasma and tissues were measured by insulin
radioimmunoassay and chemiluminescent enzyme-linked immunosorbance assay
respectively. Histological analyses of pancreatic islets were carried out by
quantitative fluorescence immunohistochemistry staining. RESULTS: Both
pseudoislet and cell suspension implants yielded well vascularised beta-cell
masses of similar insulin content. This was associated with progressive
amelioration of hyperphagia (P < 0.05), polydipsia (P < 0.05), body weight loss
(P < 0.05), hypoinsulinaemia (P < 0.05), hyperglycaemia (P < 0.05 - P < 0.001)
and glucose tolerance (P < 0.01). Islet morphology was also significantly
improved in both groups of transplanted mice, with increased beta-cell (P < 0.05
P < 0.001) and decreased alpha cell (P < 0.05 - P < 0.001) areas. Whereas mice
receiving 1.1B4 cell suspensions eventually exhibited hypoglycaemic
complications, pseudoislet recipients displayed a more gradual amelioration of
diabetes, and achieved stable blood glucose control similar to non-diabetic mice
at the end of the study. CONCLUSION: Although further work is needed to address
safety issues, these results provide proof of concept for possible therapeutic
applicability of human beta-cell line pseudoislets in diabetes.
PMID- 27895823
TI - Effect of pioglitazone on nerve conduction velocity of the median nerve in the
carpal tunnel in type 2 diabetes patients.
AB - AIM: To evaluate the impact of pioglitazone pharmacotherapy in median nerve
electrophysiology in the carpal tunnel among type 2 diabetes patients. METHODS:
The study was executed in patients with type 2 diabetes, treated with oral drugs,
categorized under pioglitazone or non-pioglitazone group (14 in each group), and
who received electrophysiological evaluation by nerve conduction velocity at
baseline and 3 mo. RESULTS: At 3 mo, pioglitazone-category had inferior amplitude
in sensory median nerve [8.5 interquartile range (IQR) = 6.5 to 11.5) vs non
pioglitazone 14.5 (IQR 10.5 to 18.75)] (P = 0.002). Non-pioglitazone category
displayed amelioration in amplitude in the sensory median nerve [baseline 13 (IQR
= 9 to 16.25) vs 3 mo 8.5 (IQR = 6.5 to 11.5)] (P = 0.01) and amplitude in motor
median nerve [baseline 9 (IQR = 4.75 to 11) vs 3 mo 6.75 (IQR = 4.75 to 10.25)]
(P = 0.049); and deterioration of terminal latency of in motor ulnar nerve
[baseline 2.07 (IQR = 1.92 to 2.25) vs 3 mo 2.16 (IQR = 1.97 to 2.325)] (P =
0.043). There was amelioration of terminal latency in sensory ulnar nerve
[baseline 2.45 (IQR = 2.315 to 2.88) vs 3 mo 2.37 (IQR = 2.275 to 2.445) for
pioglitazone group (P = 0.038). CONCLUSION: Treatment with pioglitazone
accentuates probability of compressive neuropathy. In spite of comparable
glycemic control over 3 mo, patients treated with pioglitazone showed superior
electrophysiological parameters for the ulnar nerve. Pioglitazone has favourable
outcome in nerve electrophysiology which was repealed when the nerve was
subjected to compressive neuropathy.
PMID- 27895825
TI - The MMJ: A work in glowing progress.
PMID- 27895819
TI - Nutrition, insulin resistance and dysfunctional adipose tissue determine the
different components of metabolic syndrome.
AB - Obesity is an excessive accumulation of body fat that may be harmful to health.
Today, obesity is a major public health problem, affecting in greater or lesser
proportion all demographic groups. Obesity is estimated by body mass index (BMI)
in a clinical setting, but BMI reports neither body composition nor the location
of excess body fat. Deaths from cardiovascular diseases, cancer and diabetes
accounted for approximately 65% of all deaths, and adiposity and mainly abdominal
adiposity are associated with all these disorders. Adipose tissue could expand to
inflexibility levels. Then, adiposity is associated with a state of low-grade
chronic inflammation, with increased tumor necrosis factor-alpha and interleukin
6 release, which interfere with adipose cell differentiation, and the action
pattern of adiponectin and leptin until the adipose tissue begins to be
dysfunctional. In this state the subject presents insulin resistance and
hyperinsulinemia, probably the first step of a dysfunctional metabolic system.
Subsequent to central obesity, insulin resistance, hyperglycemia,
hypertriglyceridemia, hypoalphalipoproteinemia, hypertension and fatty liver are
grouped in the so-called metabolic syndrome (MetS). In subjects with MetS an
energy balance is critical to maintain a healthy body weight, mainly limiting the
intake of high energy density foods (fat). However, high-carbohydrate rich (CHO)
diets increase postprandial peaks of insulin and glucose. Triglyceride-rich
lipoproteins are also increased, which interferes with reverse cholesterol
transport lowering high-density lipoprotein cholesterol. In addition, CHO-rich
diets could move fat from peripheral to central deposits and reduce adiponectin
activity in peripheral adipose tissue. All these are improved with
monounsaturated fatty acid-rich diets. Lastly, increased portions of omega-3 and
omega-6 fatty acids also decrease triglyceride levels, and complement the healthy
diet that is recommended in patients with MetS.
PMID- 27895824
TI - Relationship between depression and diabetes in pregnancy: A systematic review.
AB - AIM: To systematically review the literature on women with both diabetes in
pregnancy (DIP) and depression during or after pregnancy. METHODS: In this
systematic literature review, PubMed/MEDLINE and EMBASE were searched (13
November 2015) using terms for diabetes (type 1, type 2, or gestational),
depression, and pregnancy (no language or date restrictions). Publications that
reported on women who had both DIP (any type) and depression or depressive
symptoms before, during, or within one year after pregnancy were considered for
inclusion. All study types were eligible for inclusion; conference abstracts,
narrative reviews, nonclinical letters, editorials, and commentaries were
excluded, unless they provided treatment guidance. RESULTS: Of 1189 articles
identified, 48 articles describing women with both DIP and depression were
included (sample sizes 36 to > 32 million). Overall study quality was poor; most
studies were observational, and only 12 studies (mostly retrospective database
studies) required clinical depression diagnosis. The prevalence of concurrent DIP
(any type) and depression in general populations of pregnant women ranged from 0%
to 1.6% (median 0.61%; 12 studies). The prevalence of depression among women with
gestational diabetes ranged from 4.1% to 80% (median 14.7%; 16 studies). Many
studies examined whether DIP was a risk factor for depression or depression was a
risk factor for DIP. However, there was no clear consensus for either
relationship. Importantly, we found limited guidance on the management of women
with both DIP and depression. CONCLUSION: Given the increasing prevalence of
diabetes and depression, high-quality research and specific guidance for
management of pregnant women with both conditions are warranted.
PMID- 27895826
TI - Motivation of health surveillance assistants in Malawi: A qualitative study.
AB - BACKGROUND: Motivation of health workers is a critical component of performance
and is shaped by multiple factors. This study explored factors that influence
motivation of health surveillance assistants (HSAs) in Malawi, with the aim of
identifying interventions that can be applied to enhance motivation and
performance of HSAs. METHODS: A qualitative study capturing the perspectives of
purposively selected participants was conducted in two districts: Salima and
Mchinji. Participants included HSAs, health managers, and various community
members. Data were collected through focus group discussions (n = 16) and in
depth interviews (n = 44). The study sample was comprised of 112 women and 65
men. Qualitative data analysis was informed by existing frameworks on factors
influencing health worker motivation. RESULTS: Our analysis identified five key
themes shaping HSA motivation: salary, accommodation, human resource management,
supplies and logistics, and community links. Each of these played out at
different levels-individual, family, community, and organisational-with either
positive or negative effects. Demotivating factors related primarily to the
organisational level, while motivating factors were more often related to
individual, family, and community levels. A lack of financial incentives and
shortages of basic supplies and materials were key factors demotivating HSAs.
Supervision was generally perceived as unsupportive, uncoordinated, and top-down.
Most HSAs complained of heavy workload. Many HSAs felt further recognition and
support from the Ministry of Health, and the development of a clear career
pathway would improve their motivation. CONCLUSIONS: Factors shaping motivation
of HSAs are complex and multilayered; experiences at one level will impact other
levels. Interventions are required to enhance HSA motivation, including
strengthening the supervision system, developing career progression pathways, and
ensuring clear and transparent incentives. HSAs have unique experiences, and
there is need to hear and address these to better enable HSAs to cope with the
challenging conditions they work in.
PMID- 27895827
TI - Malawian impressions of expatriate physicians: A qualitative study.
AB - BACKGROUND: In many low-income countries, including Malawi, expatriate physicians
serve diverse roles in clinical care, education, mentorship, and research. A
significant proportion of physicians from high-income countries have global
health experience. Despite the well-known benefits of global health experiences
for expatriates, little is known about local physician and trainee impressions of
their expatriate counterparts. The objective of this study was to explore
University of Malawi College of Medicine (COM) physicians' and trainees'
impressions of expatriate physicians. METHODS: We conducted a cross-sectional
qualitative study using semi-structured interviews with COM medical students,
interns, registrars, and faculty. Through open coding, we developed a codebook
that we applied to interview transcripts and used thematic analysis to identify
major themes. RESULTS: We interviewed 46 participants from across the continuum
of medical education at two teaching hospitals in Malawi. Participants discussed
themes within the following domains: perceived benefits of expatriate physicians
in Malawi, perceived challenges, past contributions, and perceived roles that
expatriate physicians should play going forward. Malawian faculty and trainees
appreciated the approachability, perspectives, and contribution to education that
expatriates have provided, though at times some have been perceived as
aggressive, unable to relate to patients and trainees, deficient at adapting to
the setting, and self-serving. Potential roles that Malawian physicians and
trainees feel expatriates should serve include education, training, capacity
building, and facilitating exchange opportunities for local physicians and
trainees. CONCLUSIONS: This study highlights the perceived benefits and
challenges that physicians and trainees at the COM have experienced with their
expatriate counterparts, and suggests roles that expatriates should play while
abroad. These findings can be used to help inform existing global health
guidelines, assist with the establishment of host institution expectations for
global health programmes, and guide individual expatriate physicians who hope to
optimise their roles abroad.
PMID- 27895828
TI - Quality of facility-based family planning services for adolescents in Malawi:
Findings from a national census of health facilities.
AB - BACKGROUND: The main objective of this study was to describe the quality, in
terms of provision and experience of care, of facility-based family planning
services for adolescents compared to older clients in Malawi. METHODS: Secondary
data analysis was performed on data obtained from the Service Provision
Assessment survey 2013-14, a census of all formal health facilities in the
country. For the present study the inclusion criterion was that the client's age
was recorded in the data set, which gave a weighted total of 1388 observations of
consultations, reflecting provision of care, and client exit interviews,
reflecting experience of care. RESULTS: The youngest clients (age group 13 to 19
years) had twice the odds of reporting a better experience of care compared to
clients aged 26 and older (odds ratio [OR] 2.03, 95% confidence interval [CI]
1.15 to 3.54, P = 0.013). The standard of observed provision was low, typically
with half or more of the mandated elements of care omitted. Compared with clients
aged over 25, provision of care was slightly better for adolescents, with a
coefficient of 4.56 on a percentage scale (95% CI 0.90 to 8.23, P = 0.015) and a
coefficient of 2.33 for those aged 20-25 (95% CI 0.21 to 4.44, P = 0.032).
Clients seen in facilities under nongovernmental management had better provision
of care compared to government facilities, with a coefficient of 12.35 (95% CI
6.70 to 18.01, P < 0.001); care was worse for clients seen in clinics compared to
hospitals (coefficient -6.88, 95% CI -11.41 to -2.35, P = 0.003) and also for
clients seen by health surveillance assistants compared to those seen by a
clinician (coefficient -9.41, 95% CI -15.53 to -3.29, P = 0.003). CONCLUSIONS:
Quality of care for adolescents attending facility-based family planning services
was slightly better than for older clients, but this is overshadowed by the
finding of a low standard of care overall. Health system strengthening,
especially at the clinic level, is a policy and programming priority that will
contribute to adolescent reproductive health in Malawi.
PMID- 27895830
TI - Development of a subspecialty cardiology curriculum for paediatric registrars in
Malawi: Implementation of a long-distance hybrid model.
AB - BACKGROUND: Malawi has a high burden of paediatric cardiac disease but a limited
number of health providers familiar with these chronic diseases. Given the rising
number of Malawian postgraduate paediatric trainees at the University of Malawi
College of Medicine, we sought to remedy this lack of basic cardiology training
with a long-distance, module-based curriculum that could be utilised
independently, as needed, with on-site teaching. We also wished to evaluate the
initial modules for utility and improvement in knowledge and confidence in each
topic. METHODS: After an initial site visit to determine curriculum needs, online
modules with interactive evaluations and quizzes were developed by a paediatric
cardiologist in the United States, in collaboration with paediatric registrar
training directors in Malawi. This online interactive curriculum was followed by
several site visits to Malawi, by the United States-based paediatric
cardiologist, to provide bedside teaching, case-based discussions and hands-on
skill training in cardiac ultrasound and electrocardiogram interpretation.
Evaluation of the curriculum model included post-module quizzes on cardiac topics
as well as registrar self-assessments regarding confidence in content areas.
RESULTS: The average post-module quiz score was 93.6%. Repeat testing with the
same questions four months later yielded an average score of 78%, with a range
from 60 to 100%. Pre- and post-module registrar self-assessment regarding
confidence in content areas showed a substantial gain in knowledge and confidence
mean. In their qualitative feedback, registrars noted that the modules were
helpful in studying for their certifying examinations, and all four of the
registrars sitting Part I of their Malawian and South African paediatric
certifying examinations passed. CONCLUSIONS: Our innovative hybrid approach,
combining online educational modules with in-person teaching visits, is a useful
approach in expanding paediatric cardiology subspecialty education in Malawi.
PMID- 27895829
TI - Correlates of cannabis use among high school students in Shamva District,
Zimbabwe: A descriptive cross-sectional study.
AB - BACKGROUND: We set out to determine the predisposing, enabling, and reinforcing
factors that influence cannabis use in young people aged 13 to 19 years in Shamva
District, Zimbabwe. METHODS: A descriptive cross-sectional study focusing on the
correlates of cannabis use was conducted among 311 school-going adolescents who
were selected using multistage sampling. RESULTS: Eight percent of the students
in our sample reported current use of cannabis. Associations were found between
cannabis use and alcohol consumption (P < 0.001), cigarette smoking (P < 0.001),
and having had engaged in sexual intercourse (P < 0.001). Significant
relationships were found between recreational use of cannabis and having family
members, friends, and parents who have used cannabis (P < 0.001). CONCLUSIONS:
Students who reported using alcohol, smoking cigarettes, and having had engaged
in sexual activity were more likely to use cannabis. There is need for
identification of these risky behaviours among students, and ecological
frameworks and holistic approaches in health promotion programming should be
fostered in an effort to increase awareness of the potential harmful effects of
cannabis use on adolescents' health and life outcomes.
PMID- 27895831
TI - The spectrum of heart disease in adults in Malawi: A review of the literature
with reference to the importance of echocardiography as a diagnostic modality.
PMID- 27895833
TI - Climate change as the biggest threat to public health in southern Africa and
measures to reduce its impacts.
PMID- 27895832
TI - Clostridium difficile: A healthcare-associated infection of unknown significance
in adults in sub-Saharan Africa.
AB - BACKGROUND: Clostridium difficile infection (CDI) causes a high burden of disease
in high-resource healthcare systems, with significant morbidity, mortality, and
financial implications. CDI is a healthcare-associated infection for which the
primary risk factor is antibiotic usage, and it is the leading cause of bacterial
diarrhoea in HIV-infected patients in the United States. Little is known about
the disease burden of CDI in sub-Saharan Africa, where HIV and healthcare
associated infections are more prevalent and antibiotic usage is less restricted.
This article reviews published literature on CDI in sub-Saharan Africa,
highlighting areas for future research. METHODS: English language publications
since 1995 were identified from online databases (PubMed, Medline, Google
Scholar, and SCOPUS), using combinations of keywords "C. difficile", "Africa",
and "HIV". RESULTS: Ten relevant studies were identified. There was considerable
variation in the methodologies used to assess for carriage of toxigenic C.
difficile and its associations. Eight studies reported carriage of toxigenic C.
difficile. Three (of three) studies found an association with antibiotic usage.
One (of four) studies showed an association with HIV infection. One study showed
no association with degree of immunosuppression in HIV. Two (of three) studies
showed an association between carriage of toxigenic C. difficile and diarrhoeal
illness. CONCLUSIONS: While the carriage of toxigenic C. difficile is well
described in sub-Saharan Africa, the impact of CDI in the region remains poorly
understood and warrants further research.
PMID- 27895834
TI - Profile Interview: Dr Lucinda Manda-Taylor: "A good leader should be passionate
because leadership is not simply about having a position".
PMID- 27895837
TI - Communicating science beyond the MMJ.
PMID- 27895836
TI - Reflections on research at the College of Medicine: Excellence yesterday, today,
tomorrow.
PMID- 27895835
TI - Reflections on the first twenty-five years of the University of Malawi College of
Medicine.
AB - September 2016 marks 25 years since the College of Medicine of the University of
Malawi was established. In this article we reflect on its conception, birth,
adolescence, and youth. The contributions of multiple stakeholders are celebrated
while being mindful of the ongoing efforts to consolidate past and current gains.
For instance, the College of Medicine was, until recently, the only medical
school in the country, but a new private medical school has just been opened in
Lilongwe. International partnerships and wide-ranging resource mobilisation from
both internal and international funders has been the hallmark of the growth and
expansion of the Malawi College of Medicine. Further, the expanding needs for
specialists in several clinical and non-clinical areas among the health
professions calls for responsive strategies to address this and other human
resources needs.
PMID- 27895839
TI - Letter from ... Malawi: the first year of the College of Medicine of the
University of Malawi.
PMID- 27895838
TI - A medical career in Malawi - personal reflections.
PMID- 27895840
TI - The Malawi "hybrid" medical graduates (1992-1998).
AB - In April 1991, the Malawi College of Medicine opened its doors to Malawi medical
students who had undertaken all but the final year of their undergraduate
training in the United Kingdom. The first batch qualified with the MB BS degrees
of the University of Malawi in September 1992. Since then and up to July 1998,
112 doctors have been produced. They are all Malawians, 90 males and 22 females,
29%, 31% and 40% respectively come from the Northern, Central and Southern
regions of Malawi. Seventy-one per cent of the graduates had passed through
Chancellor College, Zomba, and 27% had attended the Kamuzu Academy, Kasunga,
Malawi. So far, most of these graduates have remained in Malawi, working in
various locations in government and mission hospitals and at the University of
Malawi. Many have shown encouraging interest in pursuing postgraduate education.
In September 1994 the epoch of hybridisation was brought to a close with the
admission of the first set of students who will train fully here in Malawi and
become our first batch of home-grown doctors.
PMID- 27895841
TI - Bednets and malaria in Africa.
PMID- 27895842
TI - Postoperative outcome of caesarean sections and other major emergency obstetric
surgery by clinical officers and medical officers in Malawi.
AB - BACKGROUND: Clinical officers perform much of major emergency surgery in Malawi,
in the absence of medical officers. The aim of this study was to validate the
advantages and disadvantages of delegation of major obstetric surgery to non
doctors. METHODS: During a three month period, data from 2131 consecutive
obstetric surgeries in 38 district hospitals in Malawi were collected
prospectively. The interventions included caesarean sections alone and those that
were combined with other interventions such as subtotal and total hysterectomy
repair of uterine rupture and tubal ligation. All these surgeries were conducted
either by clinical officers or by medical officers. RESULTS: During the study
period, clinical officers performed 90% of all straight caesarean sections, 70%
of those combined with subtotal hysterectomy, 60% of those combined with total
hysterectomy and 89% of those combined with repair of uterine rupture. A
comparable profile of patients was operated on by clinical officers and medical
officers, respectively. Postoperative outcomes were almost identical in the two
groups in terms of maternal general condition - both immediately and 24 hours
postoperatively - and regarding occurrence of pyrexia, wound infection, wound
dehiscence, need for re-operation, neonatal outcome or maternal death.
CONCLUSIONS: Clinical officers perform the bulk of emergency obstetric operations
at district hospitals in Malawi. The postoperative outcomes of their procedures
are comparable to those of medical officers. Clinical officers constitute a
crucial component of the health care team in Malawi for saving maternal and
neonatal lives given the scarcity of physicians.
PMID- 27895843
TI - Severe anemia in Malawian children.
AB - BACKGROUND: Severe anemia is a major cause of sickness and death in African
children, yet the causes of anemia in this population have been inadequately
studied. METHODS: We conducted a case-control study of 381 preschool children
with severe anemia (hemoglobin concentration, <5.0 g per deciliter) and 757
preschool children without severe anemia in urban and rural settings in Malawi.
Causal factors previously associated with severe anemia were studied. The data
were examined by multivariate analysis and structural equation modeling. RESULTS:
Bacteremia (adjusted odds ratio, 5.3; 95% confidence interval [CI], 2.6 to 10.9),
malaria (adjusted odds ratio, 2.3; 95% CI, 1.6 to 3.3), hookworm (adjusted odds
ratio, 4.8; 95% CI, 2.0 to 11.8), human immunodeficiency virus infection
(adjusted odds ratio, 2.0; 95% CI, 1.0 to 3.8), the G6PD-202/-376 genetic
disorder (adjusted odds ratio, 2.4; 95% CI, 1.3 to 4.4), vitamin A deficiency
(adjusted odds ratio, 2.8; 95% CI, 1.3 to 5.8), and vitamin B12 deficiency
(adjusted odds ratio, 2.2; 95% CI, 1.4 to 3.6) were associated with severe
anemia. Folate deficiency, sickle cell disease, and laboratory signs of an
abnormal inflammatory response were uncommon. Iron deficiency was not prevalent
in case patients (adjusted odds ratio, 0.37; 95% CI, 0.22 to 0.60) and was
negatively associated with bacteremia. Malaria was associated with severe anemia
in the urban site (with seasonal transmission) but not in the rural site (where
malaria was holoendemic). Seventy-six percent of hookworm infections were found
in children under 2 years of age. CONCLUSIONS: There are multiple causes of
severe anemia in Malawian preschool children, but folate and iron deficiencies
are not prominent among them. Even in the presence of malaria parasites,
additional or alternative causes of severe anemia should be considered.
PMID- 27895844
TI - Effect of human rotavirus vaccine on severe diarrhea in African infants.
AB - BACKGROUND: Rotavirus is the most common cause of severe gastroenteritis among
young children worldwide. Data are needed to assess the efficacy of the rotavirus
vaccine in African children. METHODS: We conducted a randomized, placebo
controlled, multicenter trial in South Africa (3166 infants; 64.1% of the total)
and Malawi (1773 infants; 35.9% of the total) to evaluate the efficacy of a live,
oral rotavirus vaccine in preventing severe rotavirus gastroenteritis. Healthy
infants were randomly assigned in a 1:1:1 ratio to receive two doses of vaccine
(in addition to one dose of placebo) or three doses of vaccine - the pooled
vaccine group - or three doses of placebo at 6, 10, and 14 weeks of age. Episodes
of gastroenteritis caused by wild-type rotavirus during the first year of life
were assessed through active follow-up surveillance and were graded with the use
of the Vesikari scale. RESULTS: A total of 4939 infants were enrolled and
randomly assigned to one of the three groups; 1647 infants received two doses of
the vaccine, 1651 infants received three doses of the vaccine, and 1641 received
placebo. Of the 4417 infants included in the per-protocol efficacy analysis,
severe rotavirus gastroenteritis occurred in 4.9% of the infants in the placebo
group and in 1.9% of those in the pooled vaccine group (vaccine efficacy, 61.2%;
95% confidence interval, 44.0 to 73.2). Vaccine efficacy was lower in Malawi than
in South Africa (49.4% vs. 76.9%); however, the number of episodes of severe
rotavirus gastroenteritis that were prevented was greater in Malawi than in South
Africa (6.7 vs. 4.2 cases prevented per 100 infants vaccinated per year).
Efficacy against all-cause severe gastroenteritis was 30.2%. At least one serious
adverse event was reported in 9.7% of the infants in the pooled vaccine group and
in 11.5% of the infants in the placebo group. CONCLUSIONS: Human rotavirus
vaccine significantly reduced the incidence of severe rotavirus gastroenteritis
among African infants during the first year of life. (ClinicalTrials.gov number,
NCT00241644.).
PMID- 27895845
TI - A trial of a 7-valent pneumococcal conjugate vaccine in HIV-infected adults.
AB - BACKGROUND: Streptococcus pneumoniae is a leading and serious coinfection in
adults with human immunodeficiency virus (HIV) infection, particularly in Africa.
Prevention of this disease by vaccination with the current 23-valent
polysaccharide vaccine is suboptimal. Protein conjugate vaccines offer a further
option for protection, but data on their clinical efficacy in adults are needed.
METHODS: In this double-blind, randomized, placebo-controlled clinical efficacy
trial, we studied the efficacy of a 7-valent conjugate pneumococcal vaccine in
predominantly HIV-infected Malawian adolescents and adults who had recovered from
documented invasive pneumococcal disease. Two doses of vaccine were given 4 weeks
apart. The primary end point was a further episode of pneumococcal infection
caused by vaccine serotypes or serotype 6A. RESULTS: From February 2003 through
October 2007, we followed 496 patients (of whom 44% were male and 88% were HIV
seropositive) for 798 person-years of observation. There were 67 episodes of
pneumococcal disease in 52 patients, all in the HIV-infected subgroup. In 24
patients, there were 19 episodes that were caused by vaccine serotypes and 5
episodes that were caused by the 6A serotype. Of these episodes, 5 occurred in
the vaccine group and 19 in the placebo group, for a vaccine efficacy of 74% (95%
confidence interval [CI], 30 to 90). There were 73 deaths from any cause in the
vaccine group and 63 in the placebo group (hazard ratio in the vaccine group,
1.18; 95% CI, 0.84 to 1.66). The number of serious adverse events within 14 days
after vaccination was significantly lower in the vaccine group than in the
placebo group (3 vs. 17, P = 0.002), and the number of minor adverse events was
significantly higher in the vaccine group (41 vs. 13, P = 0.003). CONCLUSIONS:
The 7-valent pneumococcal conjugate vaccine protected HIV-infected adults from
recurrent pneumococcal infection caused by vaccine serotypes or serotype 6A.
(Current Controlled Trials number, ISRCTN54494731.).
PMID- 27895846
TI - Antibiotics as part of the management of severe acute malnutrition.
AB - BACKGROUND: Severe acute malnutrition contributes to 1 million deaths among
children annually. Adding routine antibiotic agents to nutritional therapy may
increase recovery rates and decrease mortality among children with severe acute
malnutrition treated in the community. METHODS: In this randomized, double-blind,
placebo-controlled trial, we randomly assigned Malawian children, 6 to 59 months
of age, with severe acute malnutrition to receive amoxicillin, cefdinir, or
placebo for 7 days in addition to ready-to-use therapeutic food for the
outpatient treatment of uncomplicated severe acute malnutrition. The primary
outcomes were the rate of nutritional recovery and the mortality rate. RESULTS: A
total of 2767 children with severe acute malnutrition were enrolled. In the
amoxicillin, cefdinir, and placebo groups, 88.7%, 90.9%, and 85.1% of the
children recovered, respectively (relative risk of treatment failure with placebo
vs. amoxicillin, 1.32; 95% confidence interval [CI], 1.04 to 1.68; relative risk
with placebo vs. cefdinir, 1.64; 95% CI, 1.27 to 2.11). The mortality rates for
the three groups were 4.8%, 4.1%, and 7.4%, respectively (relative risk of death
with placebo vs. amoxicillin, 1.55; 95% CI, 1.07 to 2.24; relative risk with
placebo vs. cefdinir, 1.80; 95% CI, 1.22 to 2.64). Among children who recovered,
the rate of weight gain was increased among those who received antibiotics. No
interaction between type of severe acute malnutrition and intervention group was
observed for either the rate of nutritional recovery or the mortality rate.
CONCLUSIONS: The addition of antibiotics to therapeutic regimens for
uncomplicated severe acute malnutrition was associated with a significant
improvement in recovery and mortality rates. (Funded by the Hickey Family
Foundation and others; ClinicalTrials.gov number, NCT01000298.).
PMID- 27895847
TI - Efficacy of a low-cost bubble CPAP system in treatment of respiratory distress in
a neonatal ward in Malawi.
AB - BACKGROUND: Respiratory failure is a leading cause of neonatal mortality in the
developing world. Bubble continuous positive airway pressure (bCPAP) is a safe,
effective intervention for infants with respiratory distress and is widely used
in developed countries. Because of its high cost, bCPAP is not widely utilized in
low-resource settings. We evaluated the performance of a new bCPAP system to
treat severe respiratory distress in a low resource setting, comparing it to
nasal oxygen therapy, the current standard of care. METHODS: We conducted a non
randomized convenience sample study to test the efficacy of a low-cost bCPAP
system treating newborns with severe respiratory distress in the neonatal ward of
Queen Elizabeth Central Hospital, in Blantyre, Malawi. Neonates weighing >1,000 g
and presenting with severe respiratory distress who fulfilled inclusion criteria
received nasal bCPAP if a device was available; if not, they received standard
care. Clinical assessments were made during treatment and outcomes compared for
the two groups. FINDINGS: 87 neonates (62 bCPAP, 25 controls) were recruited.
Survival rate for neonates receiving bCPAP was 71.0% (44/62) compared with 44.0%
(11/25) for controls. 65.5% (19/29) of very low birth weight neonates receiving
bCPAP survived to discharge compared to 15.4% (1/13) of controls. 64.6% (31/48)
of neonates with respiratory distress syndrome (RDS) receiving bCPAP survived to
discharge, compared to 23.5% (4/17) of controls. 61.5% (16/26) of neonates with
sepsis receiving bCPAP survived to discharge, while none of the seven neonates
with sepsis in the control group survived. INTERPRETATION: Use of a low-cost
bCPAP system to treat neonatal respiratory distress resulted in 27% absolute
improvement in survival. The beneficial effect was greater for neonates with very
low birth weight, RDS, or sepsis. Implementing appropriate bCPAP devices could
reduce neonatal mortality in developing countries.
PMID- 27895848
TI - Four artemisinin-based treatments in African pregnant women with malaria.
AB - BACKGROUND: Information regarding the safety and efficacy of artemisinin
combination treatments for malaria in pregnant women is limited, particularly
among women who live in sub-Saharan Africa. METHODS: We conducted a multicenter,
randomized, open-label trial of treatments for malaria in pregnant women in four
African countries. A total of 3428 pregnant women in the second or third
trimester who had falciparum malaria (at any parasite density and regardless of
symptoms) were treated with artemether-lumefantrine, amodiaquine-artesunate,
mefloquine-artesunate, or dihydroartemisinin-piperaquine. The primary end points
were the polymerase-chain-reaction (PCR)-adjusted cure rates (i.e., cure of the
original infection; new infections during follow-up were not considered to be
treatment failures) at day 63 and safety outcomes. RESULTS: The PCR-adjusted cure
rates in the per-protocol analysis were 94.8% in the artemether-lumefantrine
group, 98.5% in the amodiaquine-artesunate group, 99.2% in the dihydroartemisinin
piperaquine group, and 96.8% in the mefloquine-artesunate group; the PCR-adjusted
cure rates in the intention-to-treat analysis were 94.2%, 96.9%, 98.0%, and
95.5%, respectively. There was no significant difference among the amodiaquine
artesunate group, dihydroartemisinin-piperaquine group, and the mefloquine
artesunate group. The cure rate in the artemether-lumefantrine group was
significantly lower than that in the other three groups, although the absolute
difference was within the 5-percentage-point margin for equivalence. The
unadjusted cure rates, used as a measure of the post-treatment prophylactic
effect, were significantly lower in the artemether-lumefantrine group (52.5%)
than in groups that received amodiaquine-artesunate (82.3%), dihydroartemisinin
piperaquine (86.9%), or mefloquine-artesunate (73.8%). No significant difference
in the rate of serious adverse events and in birth outcomes was found among the
treatment groups. Drug-related adverse events such as asthenia, poor appetite,
dizziness, nausea, and vomiting occurred significantly more frequently in the
mefloquine-artesunate group (50.6%) and the amodiaquine-artesunate group (48.5%)
than in the dihydroartemisinin-piperaquine group (20.6%) and the artemether
lumefantrine group (11.5%) (P<0.001 for comparison among the four groups).
CONCLUSIONS: Artemether-lumefantrine was associated with the fewest adverse
effects and with acceptable cure rates but provided the shortest posttreatment
prophylaxis, whereas dihydroartemisinin-piperaquine had the best efficacy and an
acceptable safety profile. (Funded by the European and Developing Countries
Clinical Trials Partnership and others; ClinicalTrials.gov number, NCT00852423.).
PMID- 27895850
TI - Evaluation of hepatitis C virus intrafamilial transmission among families with
one index case, a pilot study from Fars province, Iran.
AB - AIM: Our aim was to survey the rate and risk factors for Hepatitis C virus
interfamilial transmission among families with one index case. BACKGROUND: The
role of intrafamilial transmission in Hepatitis C virus epidemiology is still
debated. PATIENTS AND METHODS: A cross-sectional study was conducted on 34
families (236 members) of HCV infected patients from Fars province, spring to
summer 2013. All subjects were first evaluated for the risk factors of exposure
and then their serum was checked for the presence of HCV antibody and the genome,
using ELISA and PCR. The genotype of all PCR positive cases was also determined
by a commercial assay. Two independent sample t test and Chi-Square test were
used to compare groups together. RESULTS: In 18 out of 34 families, HCV antibody
was detected (52.9%) in new members. Among them, HCV transmission in 11 families
(32%) was also confirmed by PCR. Having a history of intravenous drug abuse
(P=0.006) and incarceration (P=0.01) showed to be important risk factors for
interfamilial transmission. Hence, blade/needle sharing (P=0.016) just following
molecular assay and sex (P=036) only in the serologic analysis were also
determined as significant risk factors. Furthermore, based on serologic results,
medium socioeconomic state was further associated with this manner of
transmission (P=0.019 and P=0.328). Interestingly, among relatives, 13 cases were
brothers while just 5 cases were couples. The genotypes 3a and 1a were more
prevalent among the population. CONCLUSION: In conclusion, our finding
highlighted a noticeable role of interfamilial transmission for HCV spread and
supports the significant role of close relatives, especially brother relationship
in this spread. Hence, the socioeconomic state was associated with the
transmission rate of virus in the family.
PMID- 27895849
TI - Celiac disease and obstetrical-gynecological contribution.
AB - Celiac disease (CD) shows an increased prevalence in female, particularly during
the fertile period. Celiac disease should be researched in infertility,
spontaneous and recurrent abortions, delayed menarche, amenorrhea, early
menopause, and children with low birth-weight. Celiac disease is still little
considered during the evaluation of infertility. Up to 50% of women with
untreated CD refer an experience of miscarriage or an unfavorable outcome of
pregnancy. Celiac patients taking a normal diet (with gluten) have a shorter
reproductive period. Women with undiagnosed CD had a higher risk of small for
gestation age infants very small for gestational age infants and pre-term birth
when compared with women with noted CD. The link between NCGS and infertility is
actually unknown. The goal of our work is to perform an actual review about this
topic and to increase the awareness in the medical population to research celiac
disease in selected obstetric and gynecological disorders.
PMID- 27895851
TI - Evaluating the safety, efficacy and complications of electrotherapy and its
comparison with conventional method of hemorrhoidectomy.
AB - AIM: This study was performed to evaluate the efficacy, safety and complications
of electrotherapy compared with conventional hemorrhoidectomy (Ferguson
technique). BACKGROUND: Ferguson hemorrhoidectomy is always associated with
considerable pain and postoperative complications. Still, the electrotherapy
method in which the hemorrhoidal tissue is not removed may not improve critical
complications. PATIENTS AND METHODS: This randomized clinical trial was performed
on patients with hemorrhoids referring to hospitals affiliated to the Kerman
University of Medical Sciences during 2014-2015. One hundred and twenty patients
presented with symptomatic hemorrhoids grade I, II, III, and IV were randomized
into two groups. Group 1 (60 patients) underwent electrotherapy using 30 mA
direct current and group 2 (60 patients) were submitted to Ferguson
hemorrhoidectomy. The groups were compared regarding postoperative pain severity
and complications, including recurrent symptoms, infection and recovery time to
return to normal activities. The p<= 0.05 was considered statistically
significant. RESULTS: More than 70% of patients in group 2 complained of severe
pain, but in group 1, no more than 30% of patients experienced severe pain up to
6 hours post-surgery and 70% complained of mild pain 2-3 days post-surgery.
Twenty four-hour hospitalization in group 2 and group 1 were 97% and 78%,
respectively, whilst patients in electrotherapy group could be treated as
outpatients. The mean return time to usual activities was 15 and 1.5 days for
group 2 and 1, respectively. CONCLUSION: Electrotherapy with a direct current of
30 mA significantly reduce postoperative pain and the recovery period. This
method showed a good success rate and less complication than the Ferguson method.
As a result, because of more effectiveness, less pain, as well as shorter
recovery time and getting back to normal activities, we recommend this procedure
for the treatment of symptomatic hemorrhoids grade I, II, and III.
PMID- 27895852
TI - Protein-protein interaction network of celiac disease.
AB - AIM: The aim of this study is to investigate the Protein-Protein Interaction
Network of Celiac Disease. BACKGROUND: Celiac disease (CD) is an autoimmune
disease with susceptibility of individuals to gluten of wheat, rye and barley.
Understanding the molecular mechanisms and involved pathway may lead to the
development of drug target discovery. The protein interaction network is one of
the supportive fields to discover the pathogenesis biomarkers for celiac disease.
MATERIAL AND METHODS: In the present study, we collected the articles that
focused on the proteomic data in celiac disease. According to the gene expression
investigations of these articles, 31 candidate proteins were selected for this
study. The networks of related differentially expressed protein were explored
using Cytoscape 3.3 and the PPI analysis methods such as MCODE and ClueGO.
RESULTS: According to the network analysis Ubiquitin C, Heat shock protein 90kDa
alpha (cytosolic and Grp94); class A, B and 1 member, Heat shock 70kDa protein,
and protein 5 (glucose-regulated protein, 78kDa), T-complex, Chaperon in
containing TCP1; subunit 7 (beta) and subunit 4 (delta) and subunit 2 (beta),
have been introduced as hub-bottlnecks proteins. HSP90AA1, MKKS, EZR, HSPA14,
APOB and CAD have been determined as seed proteins. CONCLUSION: Chaperons have a
bold presentation in curtail area in network therefore these key proteins beside
the other hub-bottlneck proteins may be a suitable candidates biomarker panel for
diagnosis, prognosis and treatment processes in celiac disease.
PMID- 27895853
TI - Expression of liver alpha-amylase in obese mouse hepatocytes.
AB - AIM: The aim of this study is to demonstrate the relation between the expression
of liver alpha-amylase and obesity. BACKGROUND: Alpha-amylase catalyses the
hydrolysis of 1, 4-alpha-glucosidic linkages in polysaccharides and has three
main subtypes, including: salivary, pancreatic, and hepatic. Hepatic alpha
amylase is involved in glycogen metabolism, and has a role in obesity and its
management. In this study, we aimed to analyze the expression of liver alpha
amylase in overweight and obese mouse. MATERIAL AND METHODS: In this study, NMRI
male mice were randomly divided into two groups. The sample group (obese) took a
high-fat and carbohydrate diet, while the control group (normal) took a
laboratory pellet chow for eight weeks. During this period, their weight was
measured. After eight weeks, liver hepatocytes were isolated using an enzymatic
digestion method. Immunocytochemistry (ICC) and flow cytometry analysis were
performed to measure alpha amylase protein expression in mouse liver hepatocyte
cells. RESULTS: A significant difference in the body weight was observed between
the two groups (p<0.05). The qualitative protein expression of liver alpha
amylase was found to be higher in the obese group in both tests
(immunocytochemistry and flow cytometry). Animals from the test group presented
higher alpha-amylase expression, which suggests that this hepatic protein may
constitute a potential indicator of susceptibility for fat tissue accumulation
and obesity. The present data demonstrates an increased expression of liver
amylase in obese mice. CONCLUSION: These results suggest that liver amylase
secretion might be useful for predicting susceptibility to obesity induced by
consumption of a high-fat and carbohydrate diet.
PMID- 27895854
TI - Differential expression of aristaless-like homeobox 4: a potential marker for
gastric adenocarcinoma.
AB - AIM: The objective of this experiment was to evaluate the ALX-4 mRNA expression
level in different stages of human gastric adenocarcinoma compared to the gastric
cancer stem cells (GCSC) and gastric cancer cell line, MKN-45. BACKGROUND:
Gastric cancer is the second most common cancer in the world today, leading
approximately to 3-10% of all cancer-related deaths. Identification of specific
biomarkers could be a crucial approach to improve diagnosis and treatment of this
cancer type. Recent findings emphasized on the up-regulation of Aristaless-Like
Homeobox 4 (ALX-4) gene expression in several tumors. MATERIAL AND METHODS: MKN
45 cell culture was prepared, and gastric cancer stem cell (GCSC) isolation was
performed by flowcytometry. Then, 37 fresh gastric tissue samples from cancer
patient were subjected for expression analysis by quantitative RT-PCR, prior to
any therapeutic intervention in the comparative study for evaluation of ALX-4
gene expression. RESULTS: GCSCs with cuboidal shape as well as a positive
expression of CD105, CD44, CD90 and negative for CD45, CD34 markers were
identified. Overexpression of ALX-4 was detected in 46% (3.351+/-2.94, P<0.05) of
gastric cancer tissue specimens and GCSCs (4.31+/-0.04, P<0.005). The mRNA
expression level of ALX-4 in MKN-45 gastric cancer cell line was 2.81+/-0.07
(P<0.005). We determined that ALX-4 mRNA level significantly correlated with the
tumor grade (P=0.004), stage (p=0.000153), but not gender (P= 0.06). CONCLUSION:
These results documented the important role of ALX-4 in GCSCs, as an oncogene in
progressive cancer, and valuable target in the treatment of drug resistant
tumors.
PMID- 27895855
TI - Years of life lost due to gastric cancer is increased after Bayesian correcting
for misclassification in Iranian population.
AB - AIM: To estimate the change in years of life lost (YLL) due to gastric cancer
mortality after correcting for misclassification in registering causes of death
using the Bayesian method. BACKGROUND: For evaluating the health status of a
country and determining priority of risk factors, some epidemiologic indicators
are needed. Due to premature death, YLL is one of the most widely used
indicators. To have an exact estimate of YLL, an accurate death registry data is
needed, but the Iranian death registry is subject to misclassification error.
MATERIAL AND METHODS: Gastric cancer mortality data from 2006 to 2010 for Iran
were extracted from national death statistics. The rate of misclassification in
registered causes of deaths was estimated, using Bayesian method for each year.
Then YLL of gastric cancer is estimated for different age-sex categories before
and after implementing Bayesian method. RESULTS: Using Bayesian method, the
estimated misclassification rate for gastric cancer in cancer without label group
were 5%, 3%, 3%, 7% and 7% respectively from 2006 to 2010. Estimated Years of
life lost due to gastric cancer before correcting misclassification were
respectively 111684.93, 114957.31, 112391.93, 112250.53 and 113300.92 person
years for years 2006 to 2010. After correcting misclassification, the total YLL
of gastric cancer increased to 1535.19, 921.11, 908.39, 2566.39 and 2507.00
person-years, respectively from 2006 to 2010. CONCLUSION: If health policy makers
ignore the existence of misclassification in registered causes of death, they may
underestimate the burden of some causes of death and overestimate some others.
PMID- 27895856
TI - Genetic characterization of Cryptosporidium spp. among patients with
gastrointestinal complaints.
AB - AIM: This study investigated subtypes of Cryptosporidium in patients with
gastrointestinal complaints in Tehran, Iran. BACKGROUND: Cryptosporidium, an
intracellular protozean parasite, is among the major causative agents of
gastroenteritis disorders in humans. It also causes water-borne and food-borne
outbreaks of diarrheal diseases. PATIENTS AND METHODS: A total of 1685 fecal
samples were collected from patients with gastrointestinal complaints who had
been referred to clinical laboratories Tehran, Iran. The primary diagnosis was
established by the detection of oocysts using the modified Ziehl-Neelsen staining
method and following that, the positive microscopically samples were selected for
sequence analysis of the partial 60 kDa glycoprotein (gp60) gene. RESULTS: Out of
1685 collected samples, 7 (0.4 %) were positive for Cryptosporidium oocysts.
Sequence analysis of gp60 gene in seven Cryptosporidium isolates revealed that
two subtype families were identified, IIa and IId. Five (of 7) isolates belonged
to the subtype family IIa and the remaining two isolates belonged to IId. Two sub
types were recognized within the subtype family II,a including IIaA16G2R1 (3/5),
IIaA17G1R1 (2/5), while IIdA17G1d was the only subtype within IId subtype family.
CONCLUSION: The predominance of zoonotic subtype families of C. parvum species
(IIa, IId) in this study highlights the importance of zoonotic transmission of
cryptosporidiosis in the country.
PMID- 27895857
TI - Frequency of VanA, VanB and VanH variants amongst vancomycin-resistant
enterococci isolated from patients in central region of Iran.
AB - AIM: The aim of this study was to investigate the VRE frequency and the rate of
each gene in isolated enterococci from patients with intestinal infection in the
central region of Iran. BACKGROUND: Enterococci infections are a public health
growing concern due to the glycopeptide antibiotics resistance especially
vancomycin. Genes, vanA, B, and H contribute to the influence of vancomycin
resistant enterococci (VRE). PATIENTS AND METHODS: This study was conducted from
January to July 2014 in Shahrood university hospital. Enterococci isolation and
its antibacterial susceptibility were performed by culturing in Aesculin Azide
agar and Kirby-Bauer method, respectively. Vancomycin-resistant genes were
screened through conventional PCR, and subsequently sequenced. RESULTS: Among 265
specimens, 100 isolates revealed enterococci, in which E. faecalis (91%) and E.
faecium (9%). The isolated enterococci were resistant to vancomycin (6%) and
chloramphenicol (21%), whereas their large proportions (94% to 100%) were multi
drug resistant. All VRE isolates belonged to E. faecalis, conversely, the E.
faecium were susceptible to the same antibiotic. Both vanA and vanH genes were
identified in all VRE isolates, although, no vanB gene was indicated. Homology
analysis of sequenced amplicons verified the full length compatibility to the
worldwide reported genes. CONCLUSION: The present study revealed VR E.faecalis in
gastroenteritis patients and resistance factor for vanA and vanH genes are
coordinated. Since enterococci isolates were all multidrug resistance, increase
in VR E.faecalis vanA / vanH in this area could be expected.
PMID- 27895858
TI - Distribution of pathogenicity island (PAI) markers and phylogenetic groups in
diarrheagenic and commensal Escherichia coli from young children.
AB - AIM: This case-control study investigated the various PAI markers, phylogenetic
groups and antimicrobial susceptibility among DEC and commensal E. coli isolates.
BACKGROUND: Diarrheagenic Escherichia coli (DEC) is an emerging agent among
pathogens that cause diarrheal diseases and represents a major public health
problem in developing countries. The major difference in virulence among DEC
pathotype and commensals may be related to the presence of specific genomic
segments, termed pathogenicity islands (PAIs). PATIENTS AND METHODS: A total of
600 stool specimens from children (450 with and 150 without diarrhea) were
collected and various PAI markers, phylogenetic groups and antimicrobial
resistance profile among DEC and commensal E. coli isolates were detected.
RESULTS: One hundred sixty eight (90.3%) isolates were resistant to one or more
antimicrobial agents. PAI markers were detected in a substantial percentage of
commensal (90%) and DEC isolates (99.3%) (P> 0.05). The most prevalent PAI marker
among DEC and commensal isolates was HPI (91.9% DEC vs. 68% commensal). We found
a high number of PAI markers such as SHI-2, She and LEE that were significantly
associated with DEC. Several different combinations of PAIs were found among DEC
isolates. Comparison of PAIs among DEC and commensal isolates showed that many
DEC isolates (94.8%) carried two or more PAI markers, while 76% of commensals had
only one PAI marker (P<0.05). According to the phylogenetic classification, group
B2 was the most commonly found in the DEC isolates. Furthermore, our results
showed that group B2 can be present in commensal isolates (18%). CONCLUSION:
These results indicate that PAI markers are widespread among commensal and DEC
isolates and these commensal isolates may be reservoirs for transmission of these
markers.
PMID- 27895859
TI - Dysbiosis of fecal microbiota and high frequency of Citrobacter, Klebsiella spp.,
and Actinomycetes in patients with irritable bowel syndrome and gastroenteritis.
AB - AIM: This study was aimed to characterize putative differences of fecal
microbiota between irritable bowel syndrome (IBS) and gastroenteritis patients
and healthy controls. BACKGROUND: New evidence proposed that gut microbiota has a
deep effect on the balance between health and disease. PATIENTS AND METHODS: The
presence of Clostridium difficile, Campylobacter spp., Enterobacteriacea and
Staphylococci were detected in the samples using selective and specific culture
media. Microscopic examination of the samples was done to detect Actinomycetes,
yeasts, Bifidobacteria, Fusobacterium spp., as well as white blood cells, red
blood cells, mucus and epithelial cells. RESULTS: Results of this study showed
relatively higher frequency of Citrobacter spp., Lactobacilli, and Actinomycetes
in the IBS patients. Elevated levels of WBC, RBC secretion, and increased amounts
of Klebsiella, Escherichia coli and Citrobacter spp. were characterized in the
patients with gastroenteritis compared with the control group. CONCLUSION:
Depletion of gram positive cocci and gram negative bacilli also suggested
dysbiosis of intestinal microbiota in these patients.
PMID- 27895860
TI - Hydatid liver cyst causing portal vein thrombosis and cavernous transformation: a
case report and literature review.
AB - A 33-year-old male with abdominal distention after meals was admitted to the
hospital. He had a history of surgery for hydatid liver cyst. The cyst was
located at the liver hilum and there were portal venous thrombosis and cavernous
transformation. It had been treated with partial cystectomy, omentoplasty and
albendazole. Two years later at the admission to our center, his laboratory tests
were in normal ranges. Abdominal imaging methods revealed splenomegaly, portal
vein thrombosis, cavernous transformation and the previously operated hydatid
liver cyst. Upper gastrointestinal endoscopy demonstrated esophageal and gastric
fundal varices. Due to his young age and low risk for surgery, the patient was
planned for surgical treatment of both pathologies at the same time. At
laparotomy, hydatid liver cyst was obliterated with omentum and there was no sign
of active viable hydatid disease. A meso-caval shunt with an 8 mm in-diameter
graft was created. In the postoperative period, his symptoms and endoscopic
varices were regressed. There were four similar cases reported in the literature.
This one was the youngest and the only one treated by a surgical shunt. Hydatid
liver cysts that located around the hilum can lead to portal vein thrombosis and
cavernous thrombosis. Treatment should consist of both hydatid liver cyst and
portal hypertension. To the best of our knowledge, this was the first case of
surgically treated portal vein thrombosis that was originated from a hydatid
liver cyst.
PMID- 27895861
TI - An unusual cause of anemia in cirrhosis: spur cell anemia, a case report with
review of literature.
AB - Chronic anemia is common in liver cirrhosis. In this setting, the pathogenesis of
anemia is complex and multifactorial. Spur cell anemia is a serious disorder in
cirrhotic patients and is associated with poor prognosis. Liver transplantation
constitutes the only therapeutic tool. We report a case with severe spur cell
anemia in alcoholic liver cirrhosis. In the attempt to investigate the origin of
the disorder, we have evaluated the lipoprotein profile and found a significant
reduction of apolipoprotein AI and HDL3 subclass as a possible cause of the
disease.
PMID- 27895862
TI - Cutaneous angiosarcoma metastatic to small bowel with nodal involvement.
AB - A 77-year-old male with a history of metastatic scalp angiosarcoma presented with
intractable gastrointestinal bleeding from a jejunal mass detected on capsule
endoscopy. He underwent laparoscopic-assisted resection of the mass.
Intraoperatively, an isolated small bowel mass with bulky lymphadenopathy was
seen and resected en bloc. Pathology showed a 6.8cm high-grade metastatic
angiosarcoma with nodal involvement and negative margins. Angiosarcoma is a
sarcoma with a grim prognosis. The incidence is 2% of all soft tissue sarcomas;
cutaneous lesions comprise 27% of manifestations and usually appear on head and
neck. Risk factors include lymphedema, neurofibromatosis, vinyl chloride,
arsenic, and anabolic steroids. Overall 5-year survival is 30-35% and is higher
in patients younger than 60, those without metastasis, tumors less than 5 cm, and
favorable histology. Angiosarcoma metastasis to small bowel is rare but nodal
involvement is even more unusual, reported only three times in the literature.
This case is the first with nodal involvement to present at a resectable stage.
To diagnose disease when still at a resectable stage, a high index of suspicion
must be maintained with any gastrointestinal symptoms in a patient with a history
of angiosarcoma. Laparoscopic-assisted resection is safe for the resection of
small bowel angiosarcoma.
PMID- 27895863
TI - Small bowel pigmentation.
PMID- 27895864
TI - Is it necessary to screen Helicobacter pylori infection in patients with celiac
disease and iron deficiency?
PMID- 27895865
TI - Assessment of Independent Risk Factors of Developing Pneumothorax During
Percutaneous Core Needle Lung Biopsy: Focus on Lesion Depth.
AB - BACKGROUND: Previous studies identifying risk factors for pneumothorax in
percutaneous core needle lung biopsies reported inconsistent and contradictory
results. OBJECTIVES: We aimed to identify independent risk factors for
pneumothorax associated with computed tomography (CT)-guided percutaneous core
needle lung biopsy (PCNB). PATIENTS AND METHODS: We retrospectively reviewed 591
biopsy procedures for focal lung lesions. Risk factors for pneumothorax as a
complication after lung biopsy were determined by univariate and multivariate
analyses of variables including the patient's age, gender, lesion depth from the
pleural surface, lesion size, lesion location, presence or absence of fissure
crossing by the needle, emphysema in the same lobe where the biopsy was
performed, and the final diagnosis of the biopsy lesion. RESULTS: Pneumothorax
occurred in 100 (16.9%) of 591 procedures. Based on univariate analyses,
significant risk factors affecting the incidence of pneumothorax were patient
gender (P = 0.039), lesion depth from the pleural surface (P < 0.001), fissure
crossing by the needle (P = 0.002), and the presence of emphysema (P = 0.009).
From the multivariate analysis, an increased rate of pneumothorax was strongly
correlated with lesion depth from the pleural surface (odds ratio [OR], 1.71; 95%
confidence interval [CI], 1.50 - 1.96; P < 0.001) and the presence of emphysema
(OR, 2.95; 95% CI, 1.73 - 5.04; P < 0.001). CONCLUSION: Lesion depth from the
pleural surface and the presence of emphysema were strongly correlated with the
increasing incidence of pneumothorax after CT-guided PCNB. Our results may be
applicable for the risk management of PCNBs to reduce pneumothorax as a
complication.
PMID- 27895866
TI - Liver Findings in Patients with Hereditary Hemorrhagic Telangiectasia.
AB - BACKGROUND: Hereditary hemorrhagic telangiectasia (HHT), also known as Osler
Weber-Rendu syndrome, is a rare autosomal dominant genetic vascular dysplasia.
OBJECTIVES: The aim is to characterize the liver involvement of HHT by multi
detector helical computed tomography. PATIENTS AND METHODS: Through the use of
multi-detector helical computed tomography, scan data derived from 12 cases of
HHT were retrospectively studied, and an abdominal scan was performed on all of
the patients. RESULTS: (i) Three types of shunting were found in the livers,
including arteriovenous (hepatic artery to hepatic vein) in 6 cases,
arterioportal (hepatic artery to portal vein) in 2 cases, and portal venous
(portal vein to hepatic vein) in 4 cases, (ii) Biliary diseases were detected in
8 cases, including 3 cases of bilomas accompanied by increases of alkaline
phosphatase (mean 271 units, ranging from 152 to 479 units) and arteriovenous
shunts, and the other 5 cases revealed slight biliary dilation with no increase
of alkaline phosphates, but were instead accompanied by arteriovenous shunts (3
cases) and portal venous shunts (2 cases). (iii) Vascular anatomic variants were
observed in 4 cases, including 3 with accompanying arteriovenous shunts and 1
with accompanying arterioportal shunting. CONCLUSION: The involved liver with HHT
typically shows vascular shunting and biliary diseases. Also, arteriovenous
shunts may be vulnerable to biliary diseases.
PMID- 27895868
TI - Immunohistochemical Subtypes of Breast Cancer: Correlation with
Clinicopathological and Radiological Factors.
AB - BACKGROUND: The relationship between biomarkers and imaging features is important
because imaging findings can predict molecular features. OBJECTIVES: To
investigate the relationship between clinicopathologic and radiologic factors and
the immunohistochemical (IHC) profiles associated with breast cancer. PATIENTS
AND METHODS: From December 2004 to September 2013, 200 patients (mean age, 56
years; range, 29 - 82 years) were diagnosed with breast cancer and underwent
surgery at our institution. Their medical records were reviewed to determine age,
symptom presence, mammographic findings (including mass, asymmetry,
microcalcifications, or negativity), sonographic Breast Imaging-Reporting and
Data System (BI-RADS) category, pathologic type of cancer (invasive ductal,
mucinous, medullary, or papillary carcinoma), histologic grade, T-stage, and IHC
subtypes. Based on the IHC profiles, tumor subtypes were classified as luminal A,
luminal B, human epidermal growth factor receptor 2 (HER2) enriched, or triple
negative (TN) cancers. Using univariate and multivariate logistic regression
analyses, we looked for correlations between four IHC subtypes and two IHC
subtypes (TN and non-triple negative [non-TN]) and clinicopathologic and
radiologic factors, respectively. RESULTS: Based on our univariate analyses with
the four subtypes, the TN subtype showed a higher incidence of masses on
mammography compared to the other subtypes (P = 0.037), and the TN subtype also
tended to have the highest histologic grade among the subtypes (P < 0.001). With
regard to the two IHC subtypes, the TN subtype had a significant association with
medullary cancer (P = 0.021), higher histologic grade (grade 3; P < 0.001), and
higher T stage (T2; P = 0.027) compared to the non-TN subtypes. In a multivariate
logistic regression analysis of the clinicoradiologic factors compared to luminal
A, the HER2 subtype had a significant association with BI-RADS category 4b (odds
ratio [OR], 9.005; 95% confidence interval [CI], 1.414 - 57.348; P = 0.020) and
borderline significance with category 4c (OR, 4.669; 95% CI, 0.970 - 22.468; P =
0.055). In a multivariate logistic regression analysis of the clinicoradiologic
factors associated with the non-TN subtypes, the TN subtype was significantly
correlated with medullary carcinoma (OR, 7.092; 95% CI, 1.149 - 43.772; P =
0.035). CONCLUSION: These results suggest that patients with the TN subtypes are
more likely to have higher-histologic-grade tumors and medullary cancer. The HER2
subtype was typically associated with a higher BI-RADS category.
PMID- 27895867
TI - Detection of Second Mesiobuccal Canals in Maxillary First Molars Using a New
Angle of Cone Beam Computed Tomography.
AB - BACKGROUND: The localization of the additional canal orifice is one of the
primary factors influencing the success of endodontic treatment. To deal with
this problem, several techniques that each have their own advantages and
disadvantages have been discussed in the literature. OBJECTIVES: The aim of the
present in vitro study was to review a new approach to localizing second
mesiobuccal (MB2) canals in maxillary first molars using cone beam computed
tomography (CBCT). PATIENTS AND METHODS: The CBCT scans of 296 patients who were
referred to the department of dentomaxillofacial radiology were included in the
study. The presence of MB2 canals, the angle formed by the mesiobuccal,
distobuccal, and palatal root canal orifices (?MDP), and the angle formed by the
mesiobuccal, distobuccal, and MB2 canal orifices (?MDMB2) were evaluated on the
axial section. Pearson correlation and multiple linear regression methods were
used for all predictions. All of the analyses were performed using SPSS for
windows version 22.0. A two-sided P value < 0.05 was defined as statistically
significant. RESULTS: Of the 468 first molars, MB2 canals were observed in 296
subjects (141 females and 155 males). There were no statistically significant
differences between females and males (P = 0.300). The ?MDP and ?MDMB2 were
detected and evaluated. A moderate positive correlation was found between the
?MDP and the ?MDMB2. To predict the ?MDMB2 values, it was shown that the ?MDMB2
increased by 0.420 degrees when the ?MDP increased by 1 degree. If the ?MDP was
greater than 90.95 degrees, there was a 78% probability that MB2 canals could be
found. CONCLUSION: The determination of the presence of MB2 in the maxillary
first molars may be carried out using CBCT scans. If the ?MDP was 91 degrees or
greater, there was considered to be a higher probability that MB2 canals would be
found in the endodontic cavity. Due to the positive correlation between the ?MDP
and the ?MDMB2, the localization of MB2 canals may be easily performed in
relation to the main MB canal.
PMID- 27895869
TI - Assessing Absorption Coefficient of Hemoglobin in the Breast Phantom Using Near
Infrared Spectroscopy.
AB - BACKGROUND: Blood concentrations and oxygen saturation levels are important
biomarkers for breast cancer diagnosis. OBJECTIVES: In this study, the absorption
coefficient of hemoglobin (Hb) was used to distinguish between normal and
abnormal breast tissue. MATERIALS AND METHODS: A near-infrared source (637 nm)
was transmitted from major and minor vessels of a breast phantom containing 2*,
4* concentrations of oxy- and deoxy-Hb. The absorption coefficients were
determined from spectrometer (SM) and powermeter (PM) data. RESULTS: The
absorption coefficients were 0.075 +/- 0.026 cm-1 for oxygenated Hb (normal) in
major vessels and 0.141 +/- 0.023 cm-1 at 4* concentration (abnormal) with SM,
whereas the breast absorption coefficients were 0.099 +/- 0.017 cm-1 for
oxygenated Hb (normal) in minor vessels and 0.171 +/- 0.005 cm-1 at 4*
concentrations with SM. A comparison of the data obtained using a SM and a PM was
not significant statistically. CONCLUSION: The study of the absorption
coefficient data of different concentrations of Hb in normal and abnormal breasts
via the diffusion of near-infrared light is a valuable method and has the
potential to aid in early detection of breast abnormalities with SM and PM in
major and minor vessels.
PMID- 27895870
TI - Systolic Compression of a Myocardial Bridged Coronary Artery and its Morphologic
Characteristics: A Combination Study of Computed Tomography Angiography and
Invasive Angiography.
AB - BACKGROUND: Myocardial bridging (MB) is a congenital anomaly in which a segment
of a major epicardial coronary artery courses through the myocardium. This
anomaly can lead to myocardial ischemia, arrhythmia, and even death. The
effectiveness of coronary computed tomographic angiography (CCTA) in the
detection of MB and its morphological features, and the accuracy of invasive
coronary angiography (ICA) in the evaluation of systolic compression have been
shown in some prior studies. OBJECTIVES: The present study aimed to evaluate the
correlation between the depth and the length of MB as determined using CCTA, and
the degree of luminal narrowing of the involved tunneled segment as calculated
using the ICA. PATIENTS AND METHODS: For this study, 109 consecutive patients
diagnosed with myocardial bridging using CCTA, and who had already undergone ICA,
were studied. The depth and length of the MB was determined in the CCTA, while
the degree of systolic compression was calculated in the ICA. The correlation
between the depth and length of the MB and the systolic compression were then
evaluated. RESULTS: The degree of systolic compression was found to be correlated
with the depth of the MB. However, there was no correlation between the length of
the MB and the degree of systolic compression. CONCLUSION: The systolic
compression of the MB was influenced by the depth of the tunneled segment, not by
its length.
PMID- 27895871
TI - Desmoid Tumor of the Chest Wall Mimicking Recurrent Breast Cancer: Multimodality
Imaging Findings.
AB - Desmoid tumor of breast is a rare benign, locally aggressive tumor with a high
recurrence rate. It has been associated with scar from previous breast surgery or
trauma. Especially in breast cancer patients with previous operation history, it
may simulate recurrent breast cancer clinically and radiologically. We presented
multimodality imaging findings (ultrasound, computed tomography, magnetic
resonance imaging and positron emission tomography/computed tomography) of chest
wall desmoid tumor mimicking recurrent breast cancer in a 38-year-old patient
with a history of left modified mastectomy. The desmoid tumor is a rare benign
tumor that should be considered in the differential diagnosis of malignant local
tumor recurrence after breast cancer operation. Biopsy was required for accurate
diagnosis and wide local excision was its appropriate surgical management.
PMID- 27895872
TI - Investigation of Factors Affecting Body Temperature Changes During Routine
Clinical Head Magnetic Resonance Imaging.
AB - BACKGROUND: Pulsed radiofrequency (RF) magnetic fields, required to produce
magnetic resonance imaging (MRI) signals from tissue during the MRI procedure
have been shown to heat tissues. OBJECTIVES: To investigate the relationship
between body temperature rise and the RF power deposited during routine clinical
MRI procedures, and to determine the correlation between this effect and the
body's physiological response. PATIENTS AND METHODS: We investigated 69 patients
from the Korean national cancer center to identify the main factors that
contribute to an increase in body temperature (external factors and the body's
response) during a clinical brain MRI. A routine protocol sequence of MRI scans
(1.5 T and 3.0 T) was performed. The patient's tympanic temperature was recorded
before and immediately after the MRI procedure and compared with changes in
variables related to the body's physiological response to heat. RESULTS: Our
investigation of the physiological response to RF heating indicated a link
between increasing age and body temperature. A higher increase in body
temperature was observed in older patients after a 3.0-T MRI (r = 0.07, P = 0.29
for 1.5-T MRI; r = 0.45, P = 0.002 for 3.0-T MRI). The relationship between age
and body heat was related to the heart rate (HR) and changes in HR during the MRI
procedure; a higher RF power combined with a reduction in HR resulted in an
increase in body temperature. CONCLUSION: A higher magnetic field strength and a
decrease in the HR resulted in an increase in body temperature during the MRI
procedure.
PMID- 27895873
TI - Value of Computerized Tomography Enterography in Predicting Crohn's Disease
Activity: Correlation with Crohn's Disease Activity Index and C-Reactive Protein.
AB - BACKGROUND: The accurate evaluation of Crohn's disease activity is important for
the treatment of the disease and for monitoring the response. Computerized
tomography (CT) enterography is a useful imaging modality that reflects enteric
inflammation, as well as extramural complications. OBJECTIVES: The aim of this
study was to evaluate the correlation between CT enterographic (CTE) findings of
active Crohn's disease and the Crohn's disease activity index (CDAI) and C
reactive protein (CRP). PATIENTS AND METHODS: Fifty CT enterographies of 39
patients with Crohn's disease in the small bowel were used in our study. The CDAI
was assessed through clinical and laboratory variables. Multiple CT parameters,
including mural hyperenhancement, mural thickness, mural stratification, comb
sign, and mesenteric fat attenuation, were evaluated with a four-point scale. The
presence or absence of enhanced lymph nodes, fibrofatty proliferation, sinus or
fistula, abscess, and stricture were also assessed. Two gastrointestinal
radiologists independently reviewed all CT images, and inter-observer agreement
was examined. Correlations between CT findings, CRP, and CDAI were assessed using
Spearman's rank correlation and logistic regression analysis. To assess the
predictive accuracy of the model, a receiver-operating characteristic curve
analysis for the sum of CT enterographic scores was used. RESULTS: Mural
hyperenhancement, mural thickness, comb sign, mesenteric fat density, and
fibrofatty proliferation were significantly correlated with CDAI and CRP (P <
0.05). The binary logistic regression model demonstrated that mesenteric fat
density, mural stratification, and the presence of enhanced lymph nodes (P <
0.05) had an influence on CDAI severity. The area under the receiver operating
characteristic curve (AUROC) of the CTE index for predicting disease activity was
0.85. Using a cut-off value of 8, the sensitivity and negative predictive values
were 95% and 94%, respectively. CONCLUSION: Most CTE findings correlated with
CDAI and CRP in patients with active Crohn's disease.
PMID- 27895874
TI - Computerized Tomography and Magnetic Resonance Imaging Findings in Malignant
Perivascular Epithelioid Cell Tumors of the Ovaries with Pulmonary Metastasis.
AB - Perivascular epithelioid cell tumor (PEComa) is a rare mesenchymal tumor composed
of immunohistochemically and histologically distinctive perivascular epithelioid
cells. Here, we report on the computed tomography (CT) and magnetic resonance
imaging (MRI) findings of ovarian PEComa with pulmonary metastasis. The tumor was
visible as a multilocular hemorrhagic mass that encased the ovarian vessels.
These findings were different to those of other common ovarian tumors.
PMID- 27895875
TI - Takeaways from Pre-Contrast T1 and T2 Breast Magnetic Resonance Imaging in Women
with Recently Diagnosed Breast Cancer.
AB - BACKGROUND: Dynamic contrast-enhanced magnetic resonance imaging (DCE - MRI) has
been widely used in the management of breast cancer, and its diagnostic value in
breast imaging has been demonstrated. There have only been a few reports
regarding the usefulness of pre-contrast imaging. Knowledge about clinically
significant findings of preoperative, pre-contrast T1 and T2 MR images will allow
more accurate decisions regarding patient treatment and management. OBJECTIVES:
The aim of this study was to evaluate the clinically significant findings of
preoperative, pre-contrast T1 and T2 MR images in recently diagnosed breast
cancer patients. PATIENTS AND METHODS: We analyzed 390 preoperative 3-T MRIs of
recently diagnosed breast cancer patients in whom the diagnosis was confirmed by
a core needle biopsy. RESULTS: MRI findings that were correlated with post-core
needle-biopsy changes were observed in 27.9% of the pre-contrast T1 and T2 MRIs
(n = 109/390). Two of 35 cases that had a subareolar ductal high signal area on
the pre-contrast T1 were confirmed by surgery as having nipple-areolar complex
involvement. CONCLUSION: A subareolar ductal high signal area on a pre-contrast
T1 MRI must be carefully assessed in combination with dynamic, contrast-enhanced
images for proper surgical management.
PMID- 27895877
TI - Unexplained Cardiac Arrest After Near Drowning in a Young Experienced Swimmer:
Insight from Cardiovascular Magnetic Resonance Imaging.
AB - Cardiac magnetic resonance imaging (cMRI) is a well-established noninvasive
imaging modality in clinical cardiology. Its ability to provide tissue
characterization make it well suited for the study of patients with cardiac
diseases. We describe a multi-modality imaging evaluation of a 45-year-old man
who experienced a near drowning event during swimming. We underline the unique
capability of tissue characterization provided by cMRI, which allowed detection
of subtle, clinically unrecognizable myocardial damage for understanding the
causes of sudden cardiac arrest and also showed the small damages caused by
cardiopulmonary resuscitation.
PMID- 27895876
TI - Assessment of Mean Glandular Dose in Mammography System with Different Anode
Filter Combinations Using MCNP Code.
AB - BACKGROUND: X-ray mammography is one of the general methods for early detection
of breast cancer. Since glandular tissue in the breast is sensitive to radiation
and it increases the risk of cancer, the given dose to the patient is very
important in mammography. OBJECTIVES: The aim of this study was to determine the
average absorbed dose of X-ray radiation in the glandular tissue of the breast
during mammography examinations as well as investigating factors that influence
the mean glandular dose (MGD). One of the precise methods for determination of
MGD absorbed by the breast is Monte Carlo simulation method which is widely used
to assess the dose. MATERIALS AND METHODS: We studied some different X-ray
sources and exposure factors that affect the MGD. "Midi-future" digital
mammography system with amorphous-selenium detector was simulated using the Monte
Carlo N-particle extended (MCNPX) code. Different anode/filter combinations such
as tungsten/silver (W/Ag), tungsten/rhodium (W/Rh), and rhodium/aluminium (Rh/Al)
were simulated in this study. The voltage of X-ray tube ranged from 24 kV to 32
kV with 2 kV intervals and the breast phantom thickness ranged from 3 to 8 cm,
and glandular fraction g varied from 10% to 100%. RESULTS: MGD was measured for
different anode/filter combinations and the effects of changing tube voltage,
phantom thickness, combination and glandular breast tissue on MGD were studied.
As glandular g and X-ray tube voltage increased, the breast dose increased too,
and the increase of breast phantom thickness led to the decrease of MGD. The
obtained results for MGD were consistent with the result of Boone et al. that was
previously reported. CONCLUSION: By comparing the results, we saw that W/Rh
anode/filter combination is the best choice in breast mammography imaging because
of the lowest delivered dose in comparison with W/Ag and Rh/Al. Moreover, breast
thickness and g value have significant effects on MGD.
PMID- 27895878
TI - Evaluation of Visibility of Foreign Bodies in the Maxillofacial Region:
Comparison of Computed Tomography, Cone Beam Computed Tomography, Ultrasound and
Magnetic Resonance Imaging.
AB - BACKGROUND: Detection of foreign bodies (FBs) is challenging. Selection of a fast
and affordable imaging modality to locate the FB with minimal patient radiation
dose is imperative. OBJECTIVES: This study sought to compare four commonly used
imaging modalities namely cone beam computed tomography (CBCT), magnetic
resonance imaging (MRI), computed tomography (CT), and ultrasound (US) for
detection of FBs in the head and neck region. MATERIALS AND METHODS: In this in
vitro study, iron, glass, stone, wood, asphalt, and tooth samples measuring 0.1 *
0.5 * 0.5 cm were placed in the tongue muscle, soft tissue-bone interface and
nasal cavity in a fresh sheep's head and subjected to MRI, US, CT and CBCT. A
total of 20 images were captured by each imaging system from the six materials in
the afore-mentioned locations. The images were observed by an expert oral and
maxillofacial radiologist and a general radiologist. To assess reliability, 20
images were randomly observed by the observers in two separate sessions. The
images were classified into three groups of good visibility, bad visibility and
invisible. The data were analyzed using SPSS version 18, Wilcoxon Signed Rank,
Pearson chi square, and Fisher's exact tests. RESULTS: All FBs in the tongue and
at the soft tissue-bone interface had good visibility on US (P = 1.00). Also,
CBCT and CT had significantly different performance regarding FB detection (P <
0.001). All wooden samples in the nasal cavity were invisible on CT scans; while,
only 20% of them were invisible on CBCT scans. MRI showed significant differences
for detection of FBs in the three locations (P < 0.001). MRI could not locate
iron samples due to severe artifacts and only showed their presence (bad
visibility) but other FBs except for wood and tooth in the nasal cavity (100%
invisible) had good visibility on MRI. CONCLUSIONS: Ultrasound is recommended as
the first choice when FB is located within the superficial soft tissues with no
bone around it. In case of penetration of FB into deeper tissues or beneath bone,
CT or CBCT are recommended. Otherwise, considering lower dose, CBCT is preferred
over CT. We can use MRI if the FB is not ferromagnetic. However, CT is the first
choice in emergency situations because of higher sensitivity.
PMID- 27895879
TI - Evaluation of Stress Scores Throughout Radiological Biopsies.
AB - BACKGROUND: Ultrasound-guided biopsy procedures are the most prominent methods
that increase the trauma, stress and anxiety experienced by the patients.
OBJECTIVES: Our goal was to examine the level of stress in patients waiting for
radiologic biopsy procedures and determine the stress and anxiety level arising
from waiting for a biopsy procedure. PATIENTS AND METHODS: This prospective study
included 35 female and 65 male patients who were admitted to the interventional
radiology department of Kartal Dr. Lutfi Kirdar training and research hospital,
Istanbul between the years 2014 and 2015. They filled out the adult resilience
scale consisting of 33 items. Patients who were undergoing invasive radiologic
interventions were grouped according to their phenotypic characteristics,
education level (low, intermediate, and high), and biopsy features (including
biopsy localization: neck, thorax, abdomen, and bone; and the number of
procedures performed, 1 or more than 1). Before the biopsy, they were also asked
to complete the depression-anxiety-stress scale (DASS 42), state-trait anxiety
inventory scale (STAI-I), and continuous anxiety scale STAI-II. A total of 80
patients were biopsied (20 thyroid and parathyroid, 20 thorax, 20 liver and
kidney, and 20 bone biopsies). The association between education levels (primary-
secondary, high school and postgraduate) and the number of biopsies (1 and more
than 1) with the level of anxiety and stress were evaluated using the above
mentioned scales. RESULTS: Evaluation of sociodemographic and statistical
characteristics of the patients showed that patients with biopsy in the neck
region were moderately and severely depressed and stressed. In addition, the
ratio of severe and extremely severe anxiety scores was significantly high. While
the STAI-I and II scores were lined up as neck > bone > thorax > abdomen, STAI-I
was higher in neck biopsies compared to thorax and abdomen biopsies. Regarding
STAI-I and II scales, patients with neck biopsy had the highest anxiety score.
CONCLUSION: We believe that active briefing of patients who need to undergo neck
and bone biopsies and have high anxiety score by healthcare personnel is an
effective method to control psychological mood and increase the efficiency of
treatment.
PMID- 27895880
TI - Comparison of Open-Cell Stent and Closed-Cell Stent for Treatment of Central Vein
Stenosis or Occlusion in Hemodialysis Patients.
AB - BACKGROUND: Central vein stenosis or occlusion is a common complication that can
lead to significant morbidity and dysfunction of access in the hemodialysis
patient. More lesions can develop over time, and preserving access becomes a
challenge as life expectancy of the hemodialysis patient increases. OBJECTIVES:
The goal was to compare long-term results and determine the outcomes of open-cell
stent versus closed-cell stent for central vein stenosis or occlusion in
hemodialysis patients. PATIENTS AND METHODS: From 1997 to 2015, in 401
hemodialysis patients, stent placement for central vein stenosis or occlusion was
performed if balloon angioplasty was unsatisfactory, due to elastic recoil or
occurrence of restenosis within 3 months. When thrombus was present, primary
stenting was performed. A total of 257 open-cell stents and 144 closed-cell
stents were used. Angiographic findings including lesion site, central vein
stenosis or occlusion, and presence of thrombosis and complication were
evaluated. Primary patency rate and mean patency rate of the stent were compared
between two stent groups by Kaplan-Meier survival analysis. RESULTS: For the open
cell stent group, 159 patients were diagnosed as central vein stenosis and 98
were occlusion. For the closed-cell stent group, 78 were stenosis and 66 were
occlusion. There were two complications for central migration and two for
procedure-related vein rupture. Open-cell stents and closed-cell stents had mean
patency rates of 10.9 +/- 0.80 months and 8.5 +/- 10.87 months, respectively (P =
0.002). CONCLUSION: The open-cell stent is effective and its performance is
higher than that obtained with the closed-cell stent for treating central vein
stenosis or occlusion in hemodialysis patients.
PMID- 27895881
TI - Morphologic Evaluation of Ductus Diverticulum Using Multi - Detector Computed
Tomography: Comparison with Traumatic Pseudoaneurysm of the Aortic Isthmus.
AB - OBJECTIVES: To evaluate morphologic variations at the aortic isthmus with
particular attention to ductus diverticulum, a mimicker of traumatic
pseudoaneurysm, and to describe differences using Computed Tomography (CT)
images. PATIENTS AND METHODS: From December 2013 to December 2014, patients who
underwent a chest CT examination after blunt trauma at our emergency department
were included. Aortic isthmus morphologies were evaluated using multiplanar
reconstruction (MPR) and maximum intensity projection (MIP) images as follows.
Type I -concave contour, type II -convexity without a discrete bulge, or type III
-a discrete focal bulge (defined as ductus diverticulum). RESULTS: After
excluding 11 cases of traumatic pseudoaneurysm of the aortic isthmus, a total of
432 trauma patients (mean age = 47.1 +/- 19.1 years, number of males = 318) were
evaluated for aortic isthmus morphology, and classified as follows; type I (n =
240, 55.6%), type II (n = 157, 36.3%), and type III (n = 35, 8.1%). As compared
with traumatic pseudoaneurysm (n = 11), ductus diverticulum had a smaller
vertical diameter (5.5 +/- 1.3 mm vs. 11.2 +/- 2.7 mm, P < 0.001), a broader base
(14.9 +/- 4.1 mm vs. 8.8 +/- 4.5 mm, P < 0.001), a smoother margin (97.1% vs.
27.3%, P < 0.001), and formed obtuse angle with the aortic wall. Furthermore,
ductus diverticulum was not associated with the presence of a dissection flap or
hemomediastinum. CONCLUSION: Ductus diverticulum, a mimicker of traumatic
pseudoaneurysm of the aortic isthmus, is a frequently observed anatomic variant
during CT examinations. Familiarity with its CT imaging findings could avoid it
being confused with traumatic pseudoaneurysm in blunt trauma patients.
PMID- 27895882
TI - Primary Pleural Synovial Sarcoma with Metastatic Cardiac Involvement: A Case
Report.
AB - Primary pleuropulmonary synovial sarcomas are rare soft tissue malignancies;
combined metastatic involvement of the heart is extremely rare. In this case
report, a 17-year-old female presented with a history of chest pain. Chest
radiographs revealed a round mass in the left upper hemithorax, and computed
tomography (CT) showed a well-defined heterogeneous enhancing mass abutting the
pleura. A core needle biopsy revealed malignant spindle cells. Surgical resection
was performed, and a final diagnosis of primary pleural synovial sarcoma,
monophasic fibrous type, was made. The patient underwent radical irradiation and
chemotherapy and remained stable for 28 months until a follow-up chest CT showed
a poorly enhancing nodule in the left pericardial region that enlarged after 5
months. Surgical resection was performed. Histological examination confirmed
metastatic cardiac involvement from a primary pleural synovial sarcoma. We report
this unusual case of a primary pleural synovial sarcoma metastasis to the heart.
PMID- 27895884
TI - A Note on Drawing Conclusions in the Study of Visual Search and the Use of Slopes
in Particular.
AB - The slope of the set size function as a critical statistic first gained favor in
the 1960s due in large part to the seminal papers on short-term memory search by
Saul Sternberg and soon, many others. In the 1980s, the slope statistic reemerged
in much the same role in visual search as Anne Treisman and again, soon many
others brought that research topic into great prominence. This note offers the
historical and current perspective of the present author, who has devoted a
significant portion of his theoretical efforts to this and related topics over
the past 50 years.
PMID- 27895883
TI - Alexithymia, but not autism spectrum disorder, may be related to the production
of emotional facial expressions.
AB - BACKGROUND: A prominent diagnostic criterion of autism spectrum disorder (ASD)
relates to the abnormal or diminished use of facial expressions. Yet little is
known about the mechanisms that contribute to this feature of ASD. METHODS: We
showed children with and without ASD emotionally charged video clips in order to
parse out individual differences in spontaneous production of facial expressions
using automated facial expression analysis software. RESULTS: Using hierarchical
multiple regression, we sought to determine whether alexithymia (characterized by
difficulties interpreting one's own feeling states) contributes to diminished
facial expression production. Across groups, alexithymic traits-but not ASD
traits, IQ, or sex-were associated with quantity of facial expression production.
CONCLUSIONS: These results accord with a growing body of research suggesting that
many emotion processing abnormalities observed in ASD may be explained by co
occurring alexithymia. Developmental and clinical considerations are discussed,
and it is argued that alexithymia is an important but too often ignored trait
associated with ASD that may have implications for subtyping individuals on the
autism spectrum.
PMID- 27895885
TI - Facing the Spectator.
AB - We investigated the familiar phenomenon of the uncanny feeling that represented
people in frontal pose invariably appear to "face you" from wherever you stand.
We deploy two different methods. The stimuli include the conventional one-a flat
portrait rocking back and forth about a vertical axis-augmented with two novel
variations. In one alternative, the portrait frame rotates whereas the actual
portrait stays motionless and fronto-parallel; in the other, we replace the
(flat!) portrait with a volumetric object. These variations yield exactly the
same optical stimulation in frontal view, but become grossly different in very
oblique views. We also let participants sample their momentary awareness through
"gauge object" settings in static displays. From our results, we conclude that
the psychogenesis of visual awareness maintains a number-at least two, but most
likely more-of distinct spatial frameworks simultaneously involving "cue
scission." Cues may be effective in one of these spatial frameworks but
ineffective or functionally different in other ones.
PMID- 27895886
TI - Back to the USSR: How Colors Might Shape the Political Perception of East versus
West.
AB - People typically process information to confirm their prior held attitudes and
stereotypes. As the political relations between NATO and Russia have
distinctively drifted apart in recent years, we were interested in how far old
established color depictions referring to the Cold War's demarcations (USSR =
red; NATO = blue) might reinforce people's political perception of an East versus
West antagonism nowadays. Participants received a fabricated news article in
which both world powers were either depicted on a map as Russia = red and NATO =
blue or vice versa (Study 1). Testing a different sample in Study 2, we fully
removed color assignments and used hachured distinctions or no distinctions at
all. We revealed that perceived political distance between both sides increased
particularly for participants with negative attitudes toward Russia, but only
when Russia was depicted in red. Thus, colors referring to the old-established
Cold War patterns can indeed shape the political perception and reinforce
stereotypical East versus West thinking.
PMID- 27895888
TI - In situ formation of poly(vinyl alcohol)-heparin hydrogels for mild encapsulation
and prolonged release of basic fibroblast growth factor and vascular endothelial
growth factor.
AB - Heparin-based hydrogels are attractive for controlled growth factor delivery, due
to the native ability of heparin to bind and stabilize growth factors. Basic
fibroblast growth factor and vascular endothelial growth factor are heparin
binding growth factors that synergistically enhance angiogenesis. Mild, in situ
encapsulation of both basic fibroblast growth factor and vascular endothelial
growth factor and subsequent bioactive dual release has not been demonstrated
from heparin-crosslinked hydrogels, and the combined long-term delivery of both
growth factors from biomaterials is still a major challenge. Both basic
fibroblast growth factor and vascular endothelial growth factor were encapsulated
in poly(vinyl alcohol)-heparin hydrogels and demonstrated controlled release. A
model cell line, BaF32, was used to show bioactivity of heparin and basic
fibroblast growth factor released from the gels over multiple days. Released
basic fibroblast growth factor promoted higher human umbilical vein endothelial
cell outgrowth over 24 h and proliferation for 3 days than the poly(vinyl
alcohol)-heparin hydrogels alone. The release of vascular endothelial growth
factor from poly(vinyl alcohol)-heparin hydrogels promoted human umbilical vein
endothelial cell outgrowth but not significant proliferation. Dual-growth factor
release of basic fibroblast growth factor and vascular endothelial growth factor
from poly(vinyl alcohol)-heparin hydrogels resulted in a synergistic effect with
significantly higher human umbilical vein endothelial cell outgrowth compared to
basic fibroblast growth factor or vascular endothelial growth factor alone.
Poly(vinyl alcohol)-heparin hydrogels allowed bioactive growth factor
encapsulation and provided controlled release of multiple growth factors which is
beneficial toward tissue regeneration applications.
PMID- 27895887
TI - Symmetry Lasts Longer Than Random, but Only for Brief Presentations.
AB - Previous research has shown that explicit emotional content or physical image
properties (e.g., luminance, size, and numerosity) alter subjective duration.
Palumbo recently demonstrated that the presence or absence of abstract
reflectional symmetry also influenced subjective duration. Here, we explored this
phenomenon further by varying the type of symmetry (reflection or rotation) and
the objective duration of stimulus presentation (less or more than 1 second).
Experiment 1 used a verbal estimation task in which participants estimated the
presentation duration of reflection, rotation symmetry, or random square-field
patterns. Longer estimates were given for reflectional symmetry images than
rotation or random, but only when the image was presented for less than 1 second.
There was no difference between rotation and random. These findings were
confirmed by a second experiment using a paired-comparison task. This temporal
distortion could be because reflection has positive valence or because it is
processed efficiently be the visual system. The mechanism remains to be
determined. We are relatively sure, however, that reflectional patterns can
increase subjective duration in the absence of explicit semantic content, and in
the absence of changes in the size, luminance, or numerosity in the images.
PMID- 27895889
TI - Amino acid transporters implicated in endocytosis of Buchnera during symbiont
transmission in the pea aphid.
AB - BACKGROUND: Many insects host their obligate, maternally transmitted symbiotic
bacteria in specialized cells called bacteriocytes. One of the best-studied
insect nutritional endosymbioses is that of the aphid and its endosymbiont,
Buchnera aphidicola. Aphids and Buchnera are metabolically and developmentally
integrated, but the molecular mechanisms underlying Buchnera transmission and
coordination with aphid development remain largely unknown. Previous work using
electron microscopy to study aphid asexual embryogenesis has revealed that
Buchnera transmission involves exocytosis from a maternal bacteriocyte followed
by endocytotic uptake by a blastula. While the importance of exo- and endocytic
cellular processes for symbiont transmission is clear, the molecular mechanisms
that regulate these processes are not known. Here, we shed light on the molecular
mechanisms that regulate Buchnera transmission and developmental integration.
RESULTS: We present the developmental atlas of ACYPI000536 and ACYPI008904 mRNAs
during asexual embryogenesis in the pea aphid, Acyrthosiphon pisum. Immediately
before Buchnera invasion, transcripts of both genes were detected by whole-mount
in situ hybridization in the posterior syncytial nuclei of late blastula embryos.
Following Buchnera invasion, expression of both genes was identified in the
region occupied by Buchnera throughout embryogenesis. Notably during Buchnera
migration, expression of both genes was not concomitant with the entirety of the
bacterial mass but rather expression colocalized with Buchnera in the anterior
region of the bacterial mass. In addition, we found that ACYPI000536 was
expressed in nuclei at the leading edge of the bacterial mass, joining the
bacterial mass in subsequent developmental stages. Finally, quantitative reverse
transcription real-time PCR suggested that early in development both transcripts
were maternally provisioned to embryos. CONCLUSIONS: We venture that ACYPI000536
and ACYPI008904 function as nutrient sensors at the site of symbiont invasion to
facilitate TOR-pathway-mediated endocytosis of Buchnera by the aphid blastula.
Our data support earlier reports of bacteriocyte determination involving a two
step recruitment process but suggest that the second wave of recruitment occurs
earlier than previously described. Finally, our work highlights that bacteriocyte
enriched amino acid transporter paralogs have additionally been retained to play
novel developmental roles in both symbiont recruitment and bacteriome
development.
PMID- 27895890
TI - Sex- and age-related differences in arterial pressure and albuminuria in mice.
AB - BACKGROUND: Animal models have become valuable experimental tools for
understanding the pathophysiology and therapeutic interventions in cardiovascular
disease. Yet to date, few studies document the age- and sex-related differences
in arterial pressure, circadian rhythm, and renal function in normotensive mice
under basal conditions, across the life span. We hypothesized that mice display
similar sex- and age-related differences in arterial pressure and renal function
to humans. METHODS: Mean arterial pressure (MAP) and circadian rhythm of arterial
pressure were measured over 3 days via radiotelemetry, in 3- and 5-month-old
(adult) and 14- and 18-month-old (aged) FVB/N and in 5-month-old (adult) C57BL/6
male and female normotensive mice. In FVB/N mice, albuminuria from 24-h urine
samples as well as body, heart, and kidney weights were measured at each age.
RESULTS: Twenty-four-hour MAP was greater in males than females at 3, 5, and 14
months of age. A similar sex difference in arterial pressure was observed in
C57BL/6 mice at 5 months of age. In FVB/N mice, 24-h MAP increased with age, with
females displaying a greater increase between 3 and 18 months of age than males,
such that MAP was no longer different between the sexes at 18 months of age. A
circadian pattern was observed in arterial pressure, heart rate, and locomotor
activity, with values for each greater during the active (night/dark) than the
inactive (day/light) period. The night-day dip in MAP was greater in males and
increased with age in both sexes. Albuminuria was greater in males than females,
increased with age in both sexes, and rose to a greater level in males than
females at 18 months of age. CONCLUSIONS: Arterial pressure and albuminuria
increase in an age- and sex-specific manner in mice, similar to patterns observed
in humans. Thus, mice represent a useful model for studying age and sex
differences in the regulation of arterial pressure and renal disease.
Understanding the mechanisms that underlie the pathophysiology of cardiovascular
disease may lead to new and better-tailored therapies for men and women.
PMID- 27895891
TI - Gene-specific sex effects on eosinophil infiltration in leishmaniasis.
AB - BACKGROUND: Sex influences susceptibility to many infectious diseases, including
some manifestations of leishmaniasis. The disease is caused by parasites that
enter to the skin and can spread to the lymph nodes, spleen, liver, bone marrow,
and sometimes lungs. Parasites induce host defenses including cell infiltration,
leading to protective or ineffective inflammation. These responses are often
influenced by host genotype and sex. We analyzed the role of sex in the impact of
specific gene loci on eosinophil infiltration and its functional relevance.
METHODS: We studied the genetic control of infiltration of eosinophils into the
inguinal lymph nodes after 8 weeks of Leishmania major infection using mouse
strains BALB/c, STS, and recombinant congenic strains CcS-1,-3,-4,-5,-7,-9,-11,
12,-15,-16,-18, and -20, each of which contains a different random set of 12.5%
genes from the parental "donor" strain STS and 87.5% genes from the "background"
strain BALB/c. Numbers of eosinophils were counted in hematoxylin-eosin-stained
sections of the inguinal lymph nodes under a light microscope. Parasite load was
determined using PCR-ELISA. RESULTS: The lymph nodes of resistant STS and
susceptible BALB/c mice contained very low and intermediate numbers of
eosinophils, respectively. Unexpectedly, eosinophil infiltration in strain CcS-9
exceeded that in BALB/c and STS and was higher in males than in females. We
searched for genes controlling high eosinophil infiltration in CcS-9 mice by
linkage analysis in F2 hybrids between BALB/c and CcS-9 and detected four loci
controlling eosinophil numbers. Lmr14 (chromosome 2) and Lmr25 (chromosome 5)
operate independently from other genes (main effects). Lmr14 functions only in
males, the effect of Lmr25 is sex independent. Lmr15 (chromosome 11) and Lmr26
(chromosome 9) operate in cooperation (non-additive interaction) with each other.
This interaction was significant in males only, but sex-marker interaction was
not significant. Eosinophil infiltration was positively correlated with parasite
load in lymph nodes of F2 hybrids in males, but not in females. CONCLUSIONS: We
demonstrated a strong influence of sex on numbers of eosinophils in the lymph
nodes after L. major infection and present the first identification of sex
dependent autosomal loci controlling eosinophilic infiltration. The positive
correlation between eosinophil infiltration and parasite load in males suggests
that this sex-dependent eosinophilic infiltration reflects ineffective
inflammation.
PMID- 27895893
TI - Downregulation of long non-coding RNA H19 promotes P19CL6 cells proliferation and
inhibits apoptosis during late-stage cardiac differentiation via miR-19b
modulated Sox6.
AB - BACKGROUND: Regulating cardiac differentiation to maintain normal heart
development and function is very important. At present, biological functions of
H19 in cardiac differentiation is not completely clear. METHODS: To explore the
functional effect of H19 during cardiac differentiation. Expression levels of
early cardiac-specific markers Nkx-2.5 and GATA4, cardiac contractile protein
genes alpha-MHC and MLC-2v were determined by qRT-PCR and western lot. The levels
of lncRNA H19 and miR-19b were detected by qRT-PCR. We further predicted the
binding sequence of H19 and miR-19b by online softwares starBase v2.0 and
TargetScan. The biological functions of H19 and Sox6 were evaluated by CCK-8 kit,
cell cycle and apoptosis assay and caspase-3 activity. RESULTS: The expression
levels of alpha-MHC, MLC-2v and H19 were upregulated, and miR-19b was
downregulated significantly in mouse P19CL6 cells at the late stage of cardiac
differentiation. Biological function analysis showed that knockdown of H19
promoted cell proliferation and inhibits cell apoptosis. H19 suppressed miR-19b
expression and miR-19b targeted Sox6, which inhibited cell proliferation and
promoted apoptosis in P19CL6 cells during late-stage cardiac differentiation.
Importantly, Sox6 overexpression could reverse the positive effects of H19
knockdown on P19CL6 cells. CONCLUSION: Downregulation of H19 promoted cell
proliferation and inhibited cell apoptosis during late-stage cardiac
differentiation by regulating the negative role of miR-19b in Sox6 expression,
which suggested that the manipulation of H19 expression could serve as a
potential strategy for heart disease.
PMID- 27895894
TI - Social media as a platform for science and health engagement: challenges and
opportunities.
AB - Social media has become a major platform for debates on science and health. This
commentary argues that while social media can present challenges to communicating
important health matters, it can also provide health experts a unique opportunity
to engage with and build trust among members of the public.
PMID- 27895892
TI - Sexual dimorphism in the mast cell transcriptome and the pathophysiological
responses to immunological and psychological stress.
AB - BACKGROUND: Biological sex plays a prominent role in the prevalence and severity
of a number of important stress-related gastrointestinal and immune-related
diseases including IBS and allergy/anaphylaxis. Despite the establishment of sex
differences in these diseases, the underlying mechanisms contributing to sex
differences remain poorly understood. The objective of this study was to define
the role of biological sex on mast cells (MCs), an innate immune cell central to
the pathophysiology of many GI and allergic disorders. METHODS: Twelve-week-old
C57BL/6 male and female mice were exposed to immunological stress (2 h of IgE
mediated passive systemic anaphylaxis (PSA)) or psychological stress (1 h of
restraint stress (RS)) and temperature, clinical scores, serum histamine, and
intestinal permeability (for RS) were measured. Primary bone marrow-derived MCs
(BMMCs) were harvested from male and female mice and analyzed for MC
degranulation, signaling pathways, mediator content, and RNA transcriptome
analysis. RESULTS: Sexually dimorphic responses were observed in both models of
PSA and RS and in primary MCs. Compared with male mice, female mice exhibited
increased clinical scores, hypothermia, and serum histamine levels in response to
PSA and had greater intestinal permeability and serum histamine responses to RS.
Primary BMMCs from female mice exhibited increased release of beta
hexosaminidase, histamine, tryptase, and TNF-alpha upon stimulation with IgE/DNP
and A23187. Increased mediator release in female BMMCs was not associated with
increased upstream phospho-tyrosine signaling pathways or downstream Ca2+
mobilization. Instead, increased mediator release in female MCs was associated
with markedly increased capacity for synthesis and storage of MC granule
associated immune mediators as determined by MC mediator content and RNA
transcriptome analysis. CONCLUSIONS: These results provide a new understanding of
sexual dimorphic responses in MCs and have direct implications for stress-related
diseases associated with a female predominance and MC hyperactivity including
irritable bowel syndrome, allergy, and anaphylaxis.
PMID- 27895896
TI - The changing biodiversity of Alabama Drosophila: important impacts of seasonal
variation, urbanization, and invasive species.
AB - Global warming and anthropogenic disturbances significantly influence the
biosphere, tremendously increasing species extinction rates. In Central Alabama,
we analyzed Drosophilidae species composition change nearly 100 years after the
previous survey. We found ten Drosophilid species that were not reported during
the last major biodiversity studies, two of which are invasive pests. In
addition, we analyzed the influence of seasonal environmental variables
characteristic of the subtropical climate zone on Drosophila abundance and
biodiversity. We found a significant correlation between temperature and
abundance of total Drosophila as well as for six of the seven most represented
species individually, with a maximum abundance at intermediate temperatures (18
26 degrees C). In addition, temperature was positively correlated with
biodiversity of Drosophila. Precipitation produced a significant effect on the
abundance of five species of Drosophila, with different optima for each species,
but did not affect overall biodiversity. We concluded that in the subtropical
climate zone of Central Alabama, seasonal temperature and precipitation changes
produce a significant effect on Drosophila abundance and biodiversity, while
local land use also impacts fly abundance, contributing to an apparent shift in
species composition over the last century. We expect global climate change and
other anthropogenic factors to further impact Drosophila species composition in
the subtropical climate zone into the future.
PMID- 27895897
TI - Ancestral population reconstitution from isofemale lines as a tool for
experimental evolution.
AB - Experimental evolution is a powerful tool to study adaptation under controlled
conditions. Laboratory natural selection experiments mimic adaptation in the wild
with better-adapted genotypes having more offspring. Because the selected traits
are frequently not known, adaptation is typically measured as fitness increase by
comparing evolved populations against an unselected reference population
maintained in a laboratory environment. With adaptation to the laboratory
conditions and genetic drift, however, it is not clear to what extent such
comparisons provide unbiased estimates of adaptation. Alternatively, ancestral
variation could be preserved in isofemale lines that can be combined to
reconstitute the ancestral population. Here, we assess the impact of selection on
alleles segregating in newly established Drosophila isofemale lines. We
reconstituted two populations from isofemale lines and compared them to two
original ancestral populations (AP) founded from the same lines shortly after
collection. No significant allele frequency changes could be detected between
both AP and simulations showed that drift had a low impact compared to Pool-Seq
associated sampling effects. We conclude that laboratory selection on segregating
variation in isofemale lines is too weak to have detectable effects, which
validates ancestral population reconstitution from isofemale lines as an unbiased
approach for measuring adaptation in evolved populations.
PMID- 27895898
TI - Nest covering in plovers: How modifying the visual environment influences egg
camouflage.
AB - Camouflage is one of the most widespread antipredator defences, and its
mechanistic basis has attracted considerable interest in recent years. The
effectiveness of camouflage depends on the interaction between an animal's
appearance and its background. Concealment can therefore be improved by changes
to an animal's own appearance, by behaviorally selecting an optimal background,
or by modifying the background to better match the animal's own appearance.
Research to date has largely focussed on the first of these mechanisms, whereas
there has been little work on the second and almost none on the third. Even
though a number of animal species may potentially modify their environment to
improve individual-specific camouflage, this has rarely if ever been
quantitatively investigated, or its adaptive value tested. Kittlitz's plovers
(Charadrius pecuarius) use material (stones and vegetation) to cover their nests
when predators approach, providing concealment that is independent of the
inflexible appearance of the adult or eggs, and that can be adjusted to suit the
local surrounding background. We used digital imaging and predator vision
modeling to investigate the camouflage properties of covered nests, and whether
their camouflage affected their survival. The plovers' nest-covering materials
were consistent with a trade-off between selecting materials that matched the
color of the eggs, while resulting in poorer nest pattern and contrast matching
to the nest surroundings. Alternatively, the systematic use of materials with
high-contrast and small-pattern grain sizes could reflect a deliberate disruptive
coloration strategy, whereby high-contrast material breaks up the telltale
outline of the clutch. No camouflage variables predicted nest survival. Our study
highlights the potential for camouflage to be enhanced by background
modification. This provides a flexible system for modifying an animal's
conspicuousness, to which the main limitation may be the available materials
rather than the animal's appearance.
PMID- 27895899
TI - Oxidatively Degradable Poly(thioketal urethane)/Ceramic Composite Bone Cements
with Bone-Like Strength.
AB - Synthetic bone cements are commonly used in orthopaedic procedures to aid in bone
regeneration following trauma or disease. Polymeric cements like PMMA provide the
mechanical strength necessary for orthopaedic applications, but they are not
resorbable and do not integrate with host bone. Ceramic cements have a chemical
composition similar to that of bone, but their brittle mechanical properties
limit their use in weight-bearing applications. In this study, we designed
oxidatively degradable, polymeric bone cements with mechanical properties
suitable for bone tissue engineering applications. We synthesized a novel
thioketal (TK) diol, which was crosslinked with a lysine triisocyanate (LTI)
prepolymer to create hydrolytically stable poly(thioketal urethane)s (PTKUR) that
degrade in the oxidative environment associated with bone defects. PTKUR films
were hydrolytically stable for up to 6 months, but degraded rapidly (<1 week)
under simulated oxidative conditions in vitro. When combined with ceramic micro-
or nanoparticles, PTKUR cements exhibited working times comparable to calcium
phosphate cements and strengths exceeding those of trabecular bone. PTKUR/ceramic
composite cements supported appositional bone growth and integrated with host
bone near the bone-cement interface at 6 and 12 weeks post-implantation in rabbit
femoral condyle plug defects. Histological evidence of osteoclast-mediated
resorption of the cements was observed at 6 and 12 weeks. These findings
demonstrate that a PTKUR bone cement with bone-like strength can be selectively
resorbed by cells involved in bone remodeling, and thus represent an important
initial step toward the development of resorbable bone cements for weight-bearing
applications.
PMID- 27895901
TI - Prevention of hospital-acquired pneumonia in non-ventilated adult patients: a
narrative review.
AB - BACKGROUND: Pneumonia is one of the leading hospital-acquired infections
worldwide and has an important impact. Although preventive measures for
ventilator-associated pneumonia (VAP) are well known, less is known about
appropriate measures for prevention of hospital-acquired pneumonia (HAP). AIM:
The purpose of this narrative review is to provide an overview of the current
standards for preventing HAP in non-ventilated adult patients. METHODS: A search
of the literature up to May 2015 was conducted using Medline for guidelines
published by national professional societies or professional medical
associations. In addition, a comprehensive search for the following preventive
measures was performed: hand hygiene, oral care, bed position, mobilization,
diagnosis and treatment of dysphagia, aspiration prevention, viral infections and
stress bleeding prophylaxis. FINDINGS: Regarding international guidelines,
several measures were recommended for VAP, whilst no specific recommendations for
HAP prevention in non-ventilated patients are available. There is reasonable
evidence available that oral care is associated with a reduction in HAP. Early
mobilization interventions, swift diagnosis and treatment of dysphagia, and
multimodal programmes for the prevention of nosocomial influenza cross-infection,
have a positive impact on HAP reduction. The impact of bed position and stress
bleeding prophylaxis remains uncertain. Systematic antibiotic prophylaxis for HAP
prevention should be avoided. CONCLUSION: Scant literature and little guidance is
available for the prevention of HAP among non-ventilated adult patients. In
addition, the criteria used for the diagnosis of HAP and the populations targeted
in the studies selected are heterogeneous. Oral care was the most studied measure
and was commonly associated with a decrease in HAP rate, although a broad range
of interventions are proposed. No robust evidence is available for other
measures. Further high-quality studies are required to evaluate the impact of
specific measures on HAP prevention in non-ventilated adult patients.
PMID- 27895902
TI - Effect of beta-Lactamase inhibitors on in vitro activity of beta-Lactam
antibiotics against Burkholderia cepacia complex species.
AB - BACKGROUND: Bacteria belonging to the Burkholderia cepacia complex (Bcc) are an
important cause of chronic respiratory tract infections in cystic fibrosis
patients. Intrinsic resistance to a wide range of antimicrobial agents, including
a variety of beta-lactam antibiotics, is frequently observed in Bcc strains.
Resistance to beta-lactams is most commonly mediated by efflux pumps, alterations
in penicillin-binding proteins or the expression of beta-lactamases. beta
lactamase inhibitors are able to restore the in vitro activity of beta-lactam
molecules against a variety of Gram-negative species, but the effect of these
inhibitors on the activity of beta-lactam treatment against Bcc species is still
poorly investigated. METHODS: In the present study, the susceptibility of a panel
of Bcc strains was determined towards the beta-lactam antibiotics ceftazidime,
meropenem, amoxicillin, cefoxitin, cefepime and aztreonam; alone or in
combination with a beta-lactamase inhibitor (clavulanic acid, sulbactam,
tazobactam and avibactam). Consequently, beta-lactamase activity was determined
for active beta-lactam/beta-lactamase inhibitor combinations. RESULTS: Clavulanic
acid had no effect on minimum inhibitory concentrations, but addition of
sulbactam, tazobactam or avibactam to ceftazidime, amoxicillin, cefoxitin,
cefepime or aztreonam leads to increased susceptibility (at least 4-fold MIC
decrease) in some Bcc strains. The effect of beta-lactamase inhibitors on beta
lactamase activity is both strain- and/or antibiotic-dependent, and other
mechanisms of beta-lactam resistance (besides production of beta-lactamases)
appear to be important. CONCLUSIONS: Considerable differences in susceptibility
of Bcc strains to beta-lactam antibiotics were observed. Results obtained in the
present study suggest that resistance of Bcc strains against beta-lactam
antibiotics is mediated by both beta-lactamases and non-beta-lactamase-mediated
resistance mechanisms.
PMID- 27895903
TI - Deliberate exposure of humans to chlorine-the aftermath of Ebola in West Africa.
AB - BACKGROUND: During the recent Ebola outbreak, spraying of the environment and
humans, including healthcare workers, with chlorine was wide spread in affected
African countries; adverse clinical effects are reported here. METHODS: A cross
sectional survey by interview of 1550 volunteers consisting of 500 healthcare
workers (HCW), 550 Ebola survivors (EVD) and 500 quarantined asymptomatic Ebola
contacts (NEVD) was conducted. Demographics, frequency of exposure to chlorine,
clinical condition after chlorine exposure particularly eye, respiratory and skin
conditions were noted. The length of time HCWs worked in Ebola Treatment Units
(ETU), and use of personal protective equipment was recorded. Verbal consent was
obtained from all participants and all responses remained anonymous. Permission
and assistance from the guardian or parent was sought for those below 18 years of
age. RESULTS: 493/500 HCW, 550/550 EVD and 477/500 NEVD were sprayed at least
once with 0 . 5 % chlorine. Following even a single exposure, an increase in the
number of eye (all three groups) and respiratory symptoms (in HCW & EVD) was
reported (p < 0 . 001); after multiple exposure, respiratory and skin symptoms
increased. In HCW, multiple vs single exposure was associated with an increase in
respiratory (OR = 32 (95 % CI 22 -49) p < 0.001), eyes (OR = 30 (95 % CI 21 -43)
p < 0.001) and skin conditions (OR = 22 (95 % CI 15-32) p < 0.001). The available
personal protective equipment neither reduced nor prevented the adverse effects
of chlorine. CONCLUSION: Reported exposure to chlorine has usually been
accidental. Despite the lack of evidence as a recognised outbreak control
measure, deliberate exposure of humans to chlorine spray was wide spread in
Africa during the Ebola epidemic resulting in serious detrimental health effects
on humans. We strongly recommend that this practice be banned and that
alternative safer methods be used.
PMID- 27895895
TI - Allergy immunotherapy across the life cycle to promote active and healthy ageing:
from research to policies: An AIRWAYS Integrated Care Pathways (ICPs) programme
item (Action Plan B3 of the European Innovation Partnership on active and healthy
ageing) and the Global Alliance against Chronic Respiratory Diseases (GARD), a
World Health Organization GARD research demonstration project.
AB - Allergic diseases often occur early in life and persist throughout life. This
life-course perspective should be considered in allergen immunotherapy. In
particular it is essential to understand whether this al treatment may be used in
old age adults. The current paper was developed by a working group of AIRWAYS
integrated care pathways for airways diseases, the model of chronic respiratory
diseases of the European Innovation Partnership on active and healthy ageing (DG
CONNECT and DG Sante). It considered (1) the political background, (2) the
rationale for allergen immunotherapy across the life cycle, (3) the unmet needs
for the treatment, in particular in preschool children and old age adults, (4)
the strategic framework and the practical approach to synergize current
initiatives in allergen immunotherapy, its mechanisms and the concept of active
and healthy ageing.
PMID- 27895904
TI - Poor adherence to guidelines for preventing central line-associated bloodstream
infections (CLABSI): results of a worldwide survey.
AB - BACKGROUND: Central line-associated bloodstream infections (CLABSI) are a cause
of increased morbidity and mortality, and are largely preventable. We documented
attitudes and practices in intensive care units (ICUs) in 2015 in order to assess
compliance with CLABSI prevention guidelines. METHODS: Between June and October
2015, an online questionnaire was made available to medical doctors and nurses
working in ICUs worldwide. We investigated practices related to central line (CL)
insertion, maintenance and measurement of CLABSI-related data following the SHEA
guidelines as a standard. We computed weighted estimates for high, middle and low
income countries using country population as a weight. Only countries providing
at least 10 complete responses were included in these estimates. RESULTS: Ninety
five countries provided 3407 individual responses; no low income, 14 middle
income (MIC) and 27 high income (HIC) countries provided 10 or more responses. Of
the total respondents, 80% (MIC, SE = 1.5) and 81% (HIC, SE = 1.0) reported
availability of written clinical guidelines for CLABSI prevention in their ICU;
23% (MIC,SE = 1.7) and 62% (HIC,SE = 1.4) reported compliance to the following
(combined) recommendations for CL insertion: hand hygiene, full barrier
precaution, chlorhexidine >0.5%, no topic or systemic antimicrobial prophylaxis;
60% (MIC,SE = 2.0) and 73% (HIC,SE = 1.2) reported daily assessment for the need
of a central line. Most considered CLABSI measurement key to quality improvement,
however few were able to report their CLABSI rate. Heterogeneity between
countries was high and country specific results are made available. CONCLUSIONS:
This study has identified areas for improvement in CLABSI prevention practices
linked to CL insertion and maintenance. Priorities for intervention differ
between countries.
PMID- 27895905
TI - Outcomes of laparoscopic sleeve gastrectomy at a bariatric unit in South Africa.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) has gained popularity over the
years as a standalone procedure. In 2014, it was the most rapidly growing
bariatric procedure. The aim of this study was to describe the outcomes of LSG at
a single bariatric unit in Johannesburg, South Africa, using the Bariatric
Analysis and Reporting Outcome System (BAROS) standardised scoring. METHODS: A
retrospective record review and analysis was carried out using data collected
from patients who had LSGs. The information obtained included patient
demographics, comorbidities, preoperative weight and height, operative technique,
time and complications, postoperative gastrografin swallow results, hospital
stay, and weight at 6 months postoperatively. The percentage of excess body mass
index (BMI) loss (%EBMIL) was calculated at 6 months, and included in the BAROS
questionnaire completed by the patients at the 6-month follow-up visit.
Statistical significance was set at p < 0.05. RESULTS: A total of 103 patients
were included in the study; of these, 85.4% were female and 14.6% were male. The
mean preoperative BMI was 42.1 kg/m2; additionally, 77.7% of the patients in the
study had comorbidities prior to the procedure. The mean operative time was 104.3
min, with a mean hospital stay of 2.5 days. No mortalities occurred, and a
complication rate of 7.7% was encountered. At the 6-month follow-up, the mean
%EBMIL was 65%. When followed up at 6 months, all 103 patients demonstrated no
failures according to the BAROS assessment. It was found that 96.1% had good,
very good or excellent outcomes. In total, 9.7% of the patients had an excellent
outcome. CONCLUSIONS: LSG was shown to produce an adequate %EBMIL loss at 6
months, resulting in a significant improvement in the quality of life (QoL),
coupled with good BAROS outcomes. The results of this research are comparable to
other studies of LSGs, and the low complication rate supports the use of the
procedure and accounts for no observed mortality.
PMID- 27895900
TI - Nutritional implications for ultra-endurance walking and running events.
AB - This paper examines the various nutritional challenges which athletes encounter
in preparing for and participating in ultra-endurance walking and running events.
Special attention is paid to energy level, performance, and recovery within the
context of athletes' intake of carbohydrate, protein, fat, and various vitamins
and minerals. It outlines, by way of a review of literature, those factors which
promote optimal performance for the ultra-endurance athlete and provides
recommendations from multiple researchers concerned with the nutrition and
performance of ultra-endurance athletes. Despite the availability of some
research about the subject, there is a paucity of longitudinal material which
examines athletes by nature and type of ultra-endurance event, gender, age, race,
and unique physiological characteristics. Optimal nutrition results in a
decreased risk of energy depletion, better performance, and quicker full
recovery.
PMID- 27895906
TI - Respiratory distress associated with heterotopic gastrointestinal cysts of the
oral cavity: A case report.
AB - Heterotopic gastrointestinal cysts of the oral cavity are benign lesions usually
discovered during infancy. Their pathogenesis is not very clear. They are rare
congenital anomalies that result from remnants of foregut-derived epithelium in
the head, neck, thorax or abdomen during embryonic development. The majority of
these lesions occur in the anterior ventral surface of the tongue and extend to
the floor of the mouth. They are confused clinically by surgeons in cases of head
and neck masses in children as ranulas, dermoid and thyroglossal cysts, and
lymphangioma. We report the case of a 28-day newborn with a 3.6 cm oval mass on
the floor of the mouth causing difficulty eating and cyanosis during crying.
Complete surgical excision was performed by an oral approach under general
anesthesia. Microscopic examination revealed gastric epithelium with tall
columnar mucous cells on the surface and numerous short closed crypts, resembling
fundal glands and mature gastric epithelium.
PMID- 27895907
TI - Succinct guide to liver transplantation for medical students.
AB - Literature on liver transplantation for use in medical education is limited and
as yet unsatisfactory. The aim of this article is to help medical students gain
enough insight into the reality of being a liver transplant recipient. This is
crucial so in the future they can feel confident in approaching these patients
with adequate knowledge and confidence. The knowledge-tree based learning core
topics are designed for a 2-h class including indication/contraindication in the
real-world setting, model for end stage liver disease scoring and organ
allocation policy, liver transplantation for hepatic malignancy, transplantation
surgery, immunosuppression strategy in practical consideration, and management of
viral hepatitis. The rationales of each topic are discussed comprehensively for
better understanding by medical students. Recipient candidates may have
reversible contraindications that halt the surgery temporarily and therefore, it
warrants re-evaluation before transplant. Organ allocation policy is primarily
based on disease severity instead of waiting time. Transplant surgery usually
involves resection of the whole liver, in situ implantation with reconstruction
of the hepatic vein, the portal vein, the hepatic artery and the biliary duct in
sequence. The primary goal of artificial immunosuppression is to prevent graft
rejection, and the secondary one is to reduce its complication or side effects.
Life-long oral nucleoside/nucleotide analogues against hepatitis virus B is
needed while short course of direct acting agents against hepatitis viral C is
enough to eradicate the virus. Basic understanding of the underlying rationales
will help students prepare for advanced learning and cope with the recipients
confidently in the future.
PMID- 27895908
TI - Diathermy awareness among surgeons-An analysis in Ireland.
AB - INTRODUCTION: Diathermy is an integral part of many modern surgical procedures.
While diathermy is generally accepted as 'safe', electrosurgery-induced injuries
are among the more common causes for malpractice litigation. The purpose of this
study was to evaluate the awareness among surgeons of the principles, risks,
precautions and appropriate use of diathermy. METHODS: All surgeons employed from
Senior House Officer (SHO) to Consultant grade in two teaching hospitals were
surveyed. Sixty-three surgeons were asked to complete an anonymous questionnaire,
which recorded level of training and addressed competence in principles, hazards,
and precautions to be taken with diathermy. RESULTS: Eight Consultants, 5
Specialist Registrars, 19 Registrars and 13 SHO's responded (71% response). All
but three subspecialties were represented. Eighty-two percent (37/45) had no
formal diathermy training. Despite 89% (40/45) of surgeons regarding diathermy as
a safe instrument, 56% felt they had inadequate understanding of the principles
and failed to demonstrate an appropriate awareness of the potential risks. Fifty
seven percent exhibited a dangerous lack of awareness in managing equipment not
yielding the desired effect and 22% were unaware of any patient groups requiring
special caution. Only 42% wanted formal training. CONCLUSION: Our results show a
dearth of awareness among surgeons regarding diathermy. Given our findings, we
urge a shift in attitude towards diathermy, with surgeons adopting a more
cautious and safe approach to diathermy use. We recommend that formal training be
introduced as a hospital based initiative.
PMID- 27895909
TI - Retained surgical items after abdominal and pelvic surgery: Incidence, trend and
predictors- observational study.
AB - INTRODUCTION: Surgical retained items (RSIs) are associated with increase in
perioperative morbidity and mortality. We used a large national database to
investigate the incidence, trends and possible predictors for RSIs after major
abdominal and pelvic procedures. METHODS: The nationwide inpatient sample data
were queried to identify patients who underwent major abdominal and pelvic
procedures and discharged with secondary ICD-9-CM diagnosis code of (998.44 and
998.7). McNemar's tests and conditional logistic regression analyses of a 1:1
matched sample were conducted to explore possible predictive factors for RSI.
RESULTS: RSI incidence rate was 13 in 100,000 cases-years from 2007 to 2011 after
major abdominal and pelvic procedures. RSI incidence remained steady over the
five-year study period. Rural hospitals and elective procedures were associated
with a higher RSI incidence rate [(OR 1.391, 95% CL 1.056-1.832), p = 0.019] and
[(OR 1.775, 95%CL 1.501-2.098), p < 0.001] respectively. CONCLUSIONS: Our study
was able to add more to the epidemiological perspective and the risk profile of
retained surgical items in abdominal and pelvic surgery. Surgical cases
associated with these factors may need further testing to rule out RSI.
PMID- 27895911
TI - Under-five mortality among displaced populations in Meheba refugee camp, Zambia,
2008-2014.
AB - BACKGROUND: Under-five mortality, which is the probability of a child dying
before their fifth birthday, is of concern in Zambia as infant and child
mortality rates are important social indicators. Displaced population in camps
provide a basis for under-five mortality surveillance because detailed
registration databases have been developed. Additionally, health data routinely
collected on mortality allowed for a review of mortality trends and
identification of correlating factors to under-five mortality. Literature
suggests a number of factors that influence child mortality including biological,
socio-econimic and environmental factors. However, while progress in reducing
mortality is evident disparities in under-five mortality trends have been
observed. METHODS: The study examined differential levels and trends of under
five mortality with correlating factors in Meheba refugee camp in Zambia which is
presently in its post emergency phase. The retrospective cross-sectional study
reviewed the ProGres and Health Information System (HIS) databases under-five
mortality data for a seven (7) year period (2008-2014) and included all children
aged less than five years in each year of review. STATA 12 (including Ordinary
Least Squares Regression) and Microsoft Excel 2010 where used for data analysis
and computation of findings. RESULTS: Malaria and respiratory infections
accounted for 81 % of under-five deaths while cases of Diarrhoea were responsible
for 10 % of reported mortalities. Seventy five percent (75 %) of all mortalities
were reported in children aged less than 1 year (<1 year). While no significant
variations in mortality were noted as a result of time, increased frequency of
visits to health centre significantly (P < 0.05) reduced mortalities in children
by 3/1000 in each year. CONCLUSION: In addition to improving health
infrastructure and reducing distances to health facilities, the study also
recommends sensitization programmes targeted at ensuring accessibility to health
care services for children under-5 years. The study found that increased health
centre visitations were associated with reduction in under-five mortality and
encourages initiatives targeted at sensitizing communities to seek health care.
Furthermore, collaboration between the health systems, community and Non
Governmental Organisations (NGOs) is key in addressing higher infant mortality
observed. It is envisaged that this will contribute to the reduction in mortality
cases and will compliment already existing strategies.
PMID- 27895910
TI - Proteomic identification of cryostress in epididymal spermatozoa.
AB - BACKGROUND: Cryopreservation of epididymal spermatozoa is important in cases in
which it is not possible to collect semen using normal methods, as the sudden
death of an animal or a catastrophic injury. However, the freezing and thawing
processes cause stress to spermatozoa, including cold shock, osmotic damage, and
ice crystal formation, thereby reducing sperm quality. We assessed the motility
(%), motion kinematics, capacitation status, and viability of spermatozoa using
computer-assisted sperm analysis and Hoechst 33258/chlortetracycline fluorescence
staining. Moreover, we identified proteins associated with cryostress using a
proteomic approach and performed western blotting to validate two-dimensional
electrophoresis (2-DE) results using two commercial antibodies. RESULTS:
Cryopreservation reduced viability (%), motility (%), straight-line velocity
(VSL), average path velocity (VAP), amplitude of lateral head displacement (ALH),
and capacitated spermatozoa, whereas straightness (STR) and the acrosome reaction
increased after cryopreservation (P < 0.05). Nine proteins were differentially
expressed (two proteins decreased and seven increased) (>3 fold, P < 0.05) before
and after cryopreservation. The proteins differentially expressed following
cryopreservation are putatively related to several signaling pathways, including
the ephrinR-actin pathway, the ROS metabolism pathway, actin cytoskeleton
assembly, actin cytoskeleton regulation, and the guanylate cyclase pathway.
CONCLUSION: The results of the current study provide information on epididymal
sperm proteome dynamics and possible protein markers of cryo-stress during
cryopreservation. This information will further the basic understanding of
cryopreservation and aid future studies aiming to identify the mechanism of
cryostress responses.
PMID- 27895912
TI - The measurement of media literacy in eating disorder risk factor research:
psychometric properties of six measures.
AB - BACKGROUND: Evaluation of media literacy-based interventions for the prevention
of eating disorder risk is limited by the lack of appropriate measures with
established psychometric properties with which to assess change in media
literacy. This study aims to fill this gap by examining the psychometric
properties and use in eating disorders risk factor research of six measures of
media literacy that assess media processing and critical thinking about general
media and critical thinking about appearance-focused media. METHODS: The factor
structure, internal consistency, test-retest reliability, and construct validity,
including convergent and discriminant validity, were examined in six measures in
two samples of early adolescent females. The measures were the Realism
Scepticism, Similarity Scepticism, and Desirability Scepticism subscales of the
Media Attitudes Questionnaire, the Fake subscale of the Critical Processing of
Beauty Images Scale, the Critical Thinking about Media Messages scale, and
Critical Thinking about Media Messages - Appearance Focus scale. RESULTS: The
factor structure of the measures was supported with factor analysis. Items from
the Media Attitudes Questionnaire loaded on the three subscales Realism
Scepticism, Similarity Scepticism, and Desirability Scepticism and items from
each of the Fake subscale, Critical Thinking about Media Messages scale and
Critical Thinking about Media Messages - Appearance Focus scale loaded on one
scale. In addition, scores on the measures were reliable (adequate internal
consistency and test-retest reliability) and valid (adequate construct,
convergent, and discriminant validity) in early adolescent females. Two
exceptions were Realism Scepticism, which had slightly low test-retest
reliability, and the Fake subscale, for which support for construct validity was
lacking. CONCLUSIONS: This study provides evidence to support the use of select
media literacy measures, particularly the Realism Scepticism subscale and the
Critical Thinking about Media Messages scale, in eating disorder risk factor
research and the findings will contribute to enhanced evaluation of media
literacy-based prevention interventions.
PMID- 27895913
TI - Listening in the dark: why we need stories of people living with severe and
enduring anorexia nervosa.
AB - A bold step forward in our approach to Severe and Enduring Anorexia Nervosa
invites new paradigms for research and practice. It provides an opportunity for
us to explore fault lines, both in our communities of practice and the social
structures that inform them. This paper serves to question the medical metaphors
on which treatment has been based, in favour of alternative perspectives that
resonate more clearly with the lived experience of those for whom it has failed.
We invite the consideration of alternative metaphors, which can disrupt the
notion of heroic patients (and therapists), mediate against acts of self
silencing and sensitising us to more radical acts of listening. Beyond the
randomised trials and manuals it is time for us to listen to the realities of
suffering, the minutiae of resistance and the life that can still be lived.
PMID- 27895914
TI - Revisiting the internal consistency and factorial validity of the 8-item Morisky
Medication Adherence Scale.
AB - OBJECTIVE: To assess the internal consistency and factorial validity of the
adapted French 8-item Morisky Medication Adherence Scale in assessing adherence
to noninsulin antidiabetic drug treatment. STUDY DESIGN AND SETTING: In a cross
sectional web survey of individuals with type 2 diabetes of the Canadian province
of Quebec, self-reported adherence to the antidiabetes drug treatment was
measured using the Morisky Medication Adherence Scale-8. We assessed the internal
consistency of the Morisky Medication Adherence Scale-8 with Cronbach's alpha,
and factorial validity was assessed by identifying the underlying factors using
exploratory factor analyses. RESULTS: A total of 901 individuals completed the
survey. Cronbach's alpha was 0.60. Two factors were identified. One factor
comprised five items: stopping medication when diabetes is under control,
stopping when feeling worse, feeling hassled about sticking to the prescription,
reasons other than forgetting and a cross-loading item (i.e. taking drugs the day
before). The second factor comprised three other items that were all related to
forgetfulness in addition to the cross-loading item. CONCLUSION: Cronbach's alpha
of the adapted French Morisky Medication Adherence Scale-8 was below the
acceptable value of 0.70. This observed low internal consistency of the scale is
probably related to the causal nature of the items of the scale but not
necessarily a lack of reliability. The results suggest that the adapted French
Morisky Medication Adherence Scale-8 is a two-factor scale assessing intentional
(first factor) and unintentional (second factor) non-adherence to the noninsulin
antidiabetes drug treatment. The scale could be used to separately identify these
outcomes using scores obtained on each of the sub-scales.
PMID- 27895915
TI - Care practices of older people with dementia in the surgical ward: A
questionnaire survey.
AB - OBJECTIVES: The objective of this study was to describe the care practices of
nursing staff caring older people with dementia in a surgical ward. METHODS: The
data were collected from nursing staff (n = 191) working in surgical wards in one
district area in Finland during October to November 2015. Data were collected
using a structured questionnaire and analyzed statistically. The instrument
consists of a total number of 141 items and four dimensions. The dimensions were
as follows: background information (12 of items), specific characteristics of
older people with dementia in a surgical ward (24 of items), specific
characteristics of their care in a surgical ward (66 of items) and use of
physical restraints and alternative models for use of restraints for people with
dementia (39 of items). RESULTS: The questions which measure the nursing staff's
own assessment of care practices when caring for people with dementia in surgical
wards were selected: counseling people with dementia, reaction when a surgical
patient with dementia displays challenging behavior and use of alternative
approach instead of physical restraints. Most commonly the nursing staff pay
attention to patient's state of alertness before counseling older people with
dementia. Instead of using restraints, nursing staff gave painkillers for the
patient and tried to draw patients' attention elsewhere. The nursing staff with
longer work experience estimate that they can handle the patients' challenging
behavior. They react by doing nothing more often than others. They pretend not to
hear, see or notice anything. CONCLUSION: The findings of this study can be
applied in nursing practice and in future studies focusing on the care practices
among older people with dementia in acute care environment. The results can be
used while developing patient treatments process in surgical ward to meet future
needs.
PMID- 27895916
TI - Mechanically produced schistosomula as a higher-throughput tools for phenotypic
pre-screening in drug sensitivity assays: current research and future trends.
AB - It is crucial to develop new antischistosomal drugs since there is no vaccine and
the whole world is relying on only a single drug for the treatment of
schistosomiasis. One of the obstacles to the development of drugs is the absence
of the high throughput objective screening methods to assess drug compounds
efficacy. Thus for identification of new drug compounds candidates, fast and
accurate in vitro assays are unavoidable and more research efforts in the field
of drug discovery can target schistosomula. This review presents a substantial
overview of the present state of in vitro drug sensitivity assays developed so
far for the determination of anti-schistosomula activity of drug compounds,
natural products and derivatives using newly transformed schistosomula (NTS). It
highlights some of the challenges involved in in vitro compound screening using
NTS and the way forward.
PMID- 27895919
TI - Recurrent pleural effusions and cardiac tamponade as possible manifestations of
pseudoprogression associated with nivolumab therapy- a report of two cases.
AB - BACKGROUND: Checkpoint inhibitors are a class of agents that employ host's
adaptive immune defenses in fighting cancer. With many new indications and
several ongoing clinical trials in a variety of malignancies, the usage of these
agents is set to increase significantly. One of the key challenges patients and
physicians face while using these drugs is with the appropriate assessment of
response to therapy. CASE PRESENTATION: We are reporting two patients with lung
cancer who were treated with nivolumab and experienced rapidly accumulating
recurrent pleural effusions requiring multiple thoracenteses (6 and 4 times each
for patient 1 and 2 respectively) with in the first few weeks of initiation of
therapy and also developed pericardial effusion with cardiac tamponade requiring
pericardiocentesis. Both patients had prior history of malignant spread to
pleural and pericardial space in their disease course. Therapy was continued in
the first patient with spontaneous resolution of effusions after 8 weeks and the
disease showed near complete response to treatment on imaging at 16 weeks. Second
patient declined to continue further treatment with nivolumab after 3 cycles due
to recurrent effusions and cardiac tamponade, although there was some evidence of
clinical response at discontinuation. CONCLUSIONS: Patients with history of
malignant involvement of visceral spaces should be monitored closely for rapidly
accumulating effusions and particularly for cardiac tamponade, after initiation
of therapy with nivolumab. This presentation could represent pseudoprogression,
and continuation of therapy with close monitoring is prudent as long as effusions
are manageable and there is no definitive evidence of progression elsewhere.
PMID- 27895918
TI - Classical and non-classical HLA class I aberrations in primary cervical squamous-
and adenocarcinomas and paired lymph node metastases.
AB - BACKGROUND: Tumors avoid destruction by cytotoxic T cells (CTL) and natural
killer (NK) cells by downregulation of classical human leukocyte antigens (HLA)
and overexpression of non-classical HLA. This is the first study to investigate
HLA expression in relation to histology (squamous cell carcinoma (SCC) vs.
adenocarcinoma (AC)), clinicopathological parameters and survival in a large
cervical cancer patient cohort. METHODS: Classical (HLA-A and HLA-B/C)- and non
classical HLA molecules (HLA-E and HLA-G) were studied on primary tumors and
paired lymph node (LN) metastases from cervical cancer patients (n = 136) by
immunohistochemistry. The Chi2 test was used for the comparison of
clinicopathological characteristics between SCC and AC patients. The Related
Samples Wilcoxon Signed Rank test was used to compare HLA expression between the
primary tumor and metastasis in LN. Patient survival rates were analyzed by
Kaplan-Meier curves and Log Rank test. The Mann-Whitney U Test was used to
compare the distribution of HLA class I expression between SCC and AC. RESULTS:
Decreased expression of HLA-A (SCC P < 0.001), HLA-B/C (SCC P < 0.01; AC P <
0.01) and total classical HLA (SCC P < 0.001; AC P = 0.02) was apparent in
metastatic tumor cells compared to the primary tumor. In primary SCC, there was a
clear trend towards complete loss of HLA-A (P = 0.05). SCC metastases showed more
complete loss of HLA-A, while AC metastases showed more complete loss of HLA-B/C
(P = 0.04). In addition, tumor size and parametrium involvement were also related
to aberrant HLA class I expression. No significant associations between HLA
expression and disease-specific (DSS) or disease-free survival (DFS) were found
in this advanced disease cohort. However, in the SCC group, samples showing loss
of HLA-A or loss of total classical HLA but positive for HLA-G were linked to
poor patient survival (DSS P = 0.001 and P = 0.01; DFS P = 0.003 and P = 0.01,
for HLA-A and total classical HLA, respectively). CONCLUSION: These results
strengthen the idea of tumor immune escape variants leading to metastasis.
Moreover, SCC tumors showing downregulation of HLA-A or total classical HLA in
combination with HLA-G expression had poor prognosis. Our findings warrant
further analysis of HLA expression as a biomarker for patient selection for CTL-
and NK- cell based immunotherapeutic intervention.
PMID- 27895917
TI - Validation of biomarkers to predict response to immunotherapy in cancer: Volume I
- pre-analytical and analytical validation.
AB - Immunotherapies have emerged as one of the most promising approaches to treat
patients with cancer. Recently, there have been many clinical successes using
checkpoint receptor blockade, including T cell inhibitory receptors such as
cytotoxic T-lymphocyte-associated antigen 4 (CTLA-4) and programmed cell death-1
(PD-1). Despite demonstrated successes in a variety of malignancies, responses
only typically occur in a minority of patients in any given histology.
Additionally, treatment is associated with inflammatory toxicity and high cost.
Therefore, determining which patients would derive clinical benefit from
immunotherapy is a compelling clinical question. Although numerous candidate
biomarkers have been described, there are currently three FDA-approved assays
based on PD-1 ligand expression (PD-L1) that have been clinically validated to
identify patients who are more likely to benefit from a single-agent anti-PD-1/PD
L1 therapy. Because of the complexity of the immune response and tumor biology,
it is unlikely that a single biomarker will be sufficient to predict clinical
outcomes in response to immune-targeted therapy. Rather, the integration of
multiple tumor and immune response parameters, such as protein expression,
genomics, and transcriptomics, may be necessary for accurate prediction of
clinical benefit. Before a candidate biomarker and/or new technology can be used
in a clinical setting, several steps are necessary to demonstrate its clinical
validity. Although regulatory guidelines provide general roadmaps for the
validation process, their applicability to biomarkers in the cancer immunotherapy
field is somewhat limited. Thus, Working Group 1 (WG1) of the Society for
Immunotherapy of Cancer (SITC) Immune Biomarkers Task Force convened to address
this need. In this two volume series, we discuss pre-analytical and analytical
(Volume I) as well as clinical and regulatory (Volume II) aspects of the
validation process as applied to predictive biomarkers for cancer immunotherapy.
To illustrate the requirements for validation, we discuss examples of biomarker
assays that have shown preliminary evidence of an association with clinical
benefit from immunotherapeutic interventions. The scope includes only those
assays and technologies that have established a certain level of validation for
clinical use (fit-for-purpose). Recommendations to meet challenges and strategies
to guide the choice of analytical and clinical validation design for specific
assays are also provided.
PMID- 27895922
TI - Commentary to Nicastro et al. (2016), Borderline Personality Disorder and Emotion
Dysregulation.
PMID- 27895920
TI - PD1/PD-L1 inhibition as a potential radiosensitizer in head and neck squamous
cell carcinoma: a case report.
AB - BACKGROUND: Immunotherapy targeting the checkpoint PD1 (programmed cell death
protein 1) or PDL1 (programmed death ligand 1) has led to advances in the
treatment of melanoma and non-small cell lung cancer (NSCLC). The use of such
therapies has also been introduced into the treatment of other malignancies,
including head and neck cancer. The combined effects of checkpoint inhibitors and
anti-PD1(L1) antibodies and radiation therapy have not yet been sufficiently
investigated. CASE PRESENTATION: We report a case of locally relapsed non
resectable oral cavity squamous cell carcinoma, with excellent local control
after pembrolizumab (MK3475) followed by radiotherapy. CONCLUSION: T cell
activation induced by checkpoint inhibition may dramatically improve tumor
response to radiation. More data are needed to identify the toxicity and efficacy
of sequential or concurrent checkpoint inhibitors and radiotherapy.
PMID- 27895921
TI - Literature review of vaccine-related adverse events reported from HPV vaccination
in randomized controlled trials.
AB - BACKGROUND: The human papilloma virus (HPV) infections were addressed with two
FDA-approved HPV vaccines: quadrivalent and bivalent vaccine. The objective of
this manuscript is to determine the safety of the HPV vaccine. RESULTS: A search
of PubMed articles for "human papillomavirus vaccine" was used to identify all
type HPV clinical studies prior to October 2014. A refined search of clinical
trials, multicenter studies, and randomized studies were screened for only
randomized controlled trials comparing HPV vaccine to controls (saline placebo or
aluminum derivatives). Studies were limited to the two FDA-approved vaccines.
Following PRISMA guidelines, the literature review rendered 13 publications that
met inclusion/ exclusion criteria. Gender was limited to females in 10 studies
and males in 1 study. Two studies included both males and females. Of the 11,189
individuals in 7 publications reporting cumulative, all-type adverse events (AE),
the AE incidence of 76.52 % (n = 4544) in the vaccinated group was statistically
significantly higher than 67.57 % (n = 3548) in the control group (p < 0.001).
The most common AE were injection-site reactions. On the other hand, systemic
symptoms did not statistically significantly differ between the vaccination
cohort (35.28 %, n = 3351) and the control cohort (36.14 %, n = 3198) (p =
0.223). The pregnancy/ perinatal outcomes rendered no statistically significant
difference between the vaccine group and control group. CONCLUSION: Because the
statistically significantly higher incidence of AE in the HPV vaccine group was
primarily limited to injection-site reactions, the vaccinations are safe
preventative measures in both males and females.
PMID- 27895923
TI - Concurrent validity of the Gyko inertial sensor system for the assessment of
vertical jump height in female sub-elite youth soccer players.
AB - BACKGROUND: The aim of the present study was to verify concurrent validity of the
Gyko inertial sensor system for the assessment of vertical jump height. METHODS:
Nineteen female sub-elite youth soccer players (mean age: 14.7 +/- 0.6 years)
performed three trials of countermovement (CMJ) and squat jumps (SJ),
respectively. Maximal vertical jump height was simultaneously quantified with the
Gyko system, a Kistler force-plate (i.e., gold standard), and another criterion
device that is frequently used in the field, the Optojump system. RESULTS:
Compared to the force-plate, the Gyko system determined significant systematic
bias for mean CMJ (-0.66 cm, p < 0.01, d = 1.41) and mean SJ (-0.91 cm, p < 0.01,
d = 1.69) height. Random bias was +/- 3.2 cm for CMJ and +/- 4.0 cm for SJ height
and intraclass correlation coefficients (ICCs) were "excellent" (ICC = 0.87 for
CMJ and 0.81 for SJ). Compared to the Optojump device, the Gyko system detected a
significant systematic bias for mean CMJ (0.55 cm, p < 0.05, d = 0.94) but not
for mean SJ (0.39 cm) height. Random bias was +/- 3.3 cm for CMJ and +/- 4.2 cm
for SJ height and ICC values were "excellent" (ICC = 0.86 for CMJ and 0.82 for
SJ). CONCLUSION: Consequently, apparatus specific regression equations were
provided to estimate true vertical jump height for the Kistler force-plate and
the Optojump device from Gyko-derived data. Our findings indicate that the Gyko
system cannot be used interchangeably with a Kistler force-plate and the Optojump
device in trained individuals. It is suggested that practitioners apply the
correction equations to estimate vertical jump height for the force-plate and the
Optojump system from Gyko-derived data.
PMID- 27895925
TI - Somatic neural alterations in non-diabetic obesity: a cross-sectional study.
AB - BACKGROUND: Reports on alterations in somatic neural functions due to non
diabetic obesity, a major risk factor for diabetes, are few and still a matter of
debate. Nevertheless, to our knowledge, reports lack any comments on the type of
somatic nerve fibers affected in non-diabetic obesity. Therefore, this study
aimed to find out the alteration in somatic neural functions in non-diabetic
obese persons if any. METHODS: The study was conducted on 30 adult non-diabetic
obese persons (mean age 32.07 +/- 7.25 years) with BMI > 30 Kg/m2 (mean BMI 30.02
+/- 2.89 Kg/m2) and 29 age- and sex-matched normal weight controls (mean age
30.48 +/- 8.01 years) with BMI: 18-24Kg/m2 (mean BMI 21.87 +/- 2.40 Kg/m2). Nerve
conduction study (NCS) variables of median, tibial and sural nerves were assessed
in each subject using standard protocol. The data were compared by Mann Whitney
'U' test. RESULTS: In comparison to normal weight persons, obese had lower
compound muscle action potential (CMAP) amplitudes of right median [9.09(7.62
10.20) Vs 10.75(8.71-12.2) mV, p = 0.025] and bilateral tibial nerves [Right:
8.5(7.04-11.18) Vs 12.1(10.55-15) mV, p < 0.001 and left 9.08(6.58-11.65) Vs
13.05(10.2-15.6) mV, p = 0.002]. Furthermore, obese persons had prolonged CMAP
durations of right and left median [10.5(9.62-12) Vs 10(8.4-10.3) ms, p = 0.02
and 10.85(10-11.88) Vs 10(9-10.57) ms, p = 0.019] and right tibial [10(9-11)
8.5(7.92-10) ms, p = 0.032] nerves. Sensory NCS (sural nerve) also showed
diminished sensory nerve action potential (SNAP) amplitude [16(12.08-18.21) vs
22.8(18.3-31.08) MUV, p < 0.001] and prolonged duration. However, onset latencies
and conduction velocities for all nerves were comparable between the groups.
CONCLUSION: This study documents subclinical peripheral nerve damage in non
diabetic obese with abnormal NCS parameters; shorter amplitudes and prolonged
CMAP and SNAP durations. The reduced amplitudes of mixed and sensory nerves might
be due to decreased axonal number stimulation or actual decrease in number of
axonal fibers, or defect at NMJ in non-diabetic obese. Prolonged durations but
normal onset latencies and conduction velocities strongly suggest involvement of
slow conducting fibers.
PMID- 27895924
TI - Urinary arsenic species concentration in residents living near abandoned metal
mines in South Korea.
AB - BACKGROUND: Arsenic is a carcinogenic heavy metal that has a species-dependent
health effects and abandoned metal mines are a source of significant arsenic
exposure. Therefore, the aims of this study were to analyze urinary arsenic
species and their concentration in residents living near abandoned metal mines
and to monitor the environmental health effects of abandoned metal mines in
Korea. METHODS: This study was performed in 2014 to assess urinary arsenic
excretion patterns of residents living near abandoned metal mines in South Korea.
Demographic data such as gender, age, mine working history, period of residency,
dietary patterns, smoking and alcohol use, and type of potable water consumed
were obtaining using a questionnaire. Informed consent was also obtained from all
study subjects (n = 119). Urinary arsenic species were quantified using high
performance liquid chromatography (HPLC) and inductively coupled plasma mass
spectrometry (ICP/MS). RESULTS: The geometric mean of urinary arsenic (sum of
dimethylarsinic acid, monomethylarsonic acid, As3+, and As5+) concentration was
determined to be 131.98 MUg/L (geometric mean; 95% CI, 116.72-149.23) while
urinary inorganic arsenic (As3+ and As5+) concentration was 0.81 MUg/L (95% CI,
0.53-1.23). 66.3% (n = 79) and 21.8% (n = 26) of these samples exceeded ATSDR
reference values for urinary arsenic (>100 MUg/L) and inorganic arsenic (>10
MUg/L), respectively. Mean urinary arsenic concentrations (geometric mean, GM)
were higher in women then in men, and increased with age. Of the five regions
evaluated, while four regions had inorganic arsenic concentrations less than 0.40
MUg/L, one region showed a significantly higher concentration (GM 15.48 MUg/L;
95% CI, 7.51-31.91) which investigates further studies to identify etiological
factors. CONCLUSION: We propose that the observed elevation in urinary arsenic
concentration in residents living near abandoned metal mines may be due to
environmental contamination from the abandoned metal mine. TRIAL REGISTRATION:
Not Applicable (We do not have health care intervention on human participants).
PMID- 27895926
TI - Non-invasive brain stimulation as a tool to study cerebellar-M1 interactions in
humans.
AB - The recent development of non-invasive brain stimulation techniques such as
transcranial magnetic stimulation (TMS) has allowed the non-invasive assessment
of cerebellar function in humans. Early studies showed that cerebellar activity,
as reflected in the excitability of the dentate-thalamo-cortical pathway, can be
assessed with paired stimulation of the cerebellum and the primary motor cortex
(M1) (cerebellar inhibition of motor cortex, CBI). Following this, many attempts
have been made, using techniques such as repetitive TMS and transcranial
electrical stimulation (TES), to modulate the activity of the cerebellum and the
dentate-thalamo-cortical output, and measure their impact on M1 activity. The
present article reviews literature concerned with the impact of non-invasive
stimulation of cerebellum on M1 measures of excitability and "plasticity" in both
healthy and clinical populations. The main conclusion from the 27 reviewed
articles is that the effects of cerebellar "plasticity" protocols on M1 activity
are generally inconsistent. Nevertheless, two measurements showed relatively
reproducible effects in healthy individuals: reduced response of M1 to
sensorimotor "plasticity" (paired-associative stimulation, PAS) and reduced CBI
following repetitive TMS and TES. We discuss current challenges, such as the low
power of reviewed studies, variability in stimulation parameters employed and
lack of understanding of physiological mechanisms underlying CBI.
PMID- 27895927
TI - Expansion, mosaicism and interruption: mechanisms of the CAG repeat mutation in
spinocerebellar ataxia type 1.
AB - Spinocerebellar ataxia type 1 (SCA1) is an autosomal dominant neurodegenerative
disorder that primarily affects the cerebellum and brainstem. The genetic
mutation is an expansion of CAG trinucleotide repeats within the coding region of
the ataxin-1 gene, characterizing SCA1 as a polyglutamine expansion disease like
Huntington's. As with most polyglutamine expansion diseases, SCA1 follows the
rules of genetic anticipation: the larger the expansion, the earlier and more
rapid the symptoms. Unlike the majority of polyglutamine expansion diseases, the
presence of histidine interruptions within the polyglutamine tract of ataxin-1
protein can prevent or mitigate disease. The present review aims to synthesize
three decades of research on the ataxin-1 polyglutamine expansion mutation that
causes SCA1. Data from genetic population studies and case studies is gathered
along with data from manipulation studies in animal models. Specifically, we
examine the molecular mechanisms that cause tract expansions and contractions,
the molecular pathways that confer instability of tract length in gametic and
somatic cells resulting in gametic and somatic mosaicism, the influence of
maternal or paternal factors in inheritance of the expanded allele, and the
effects of CAT/histidine interruptions to the ataxin-1 allele and protein
product. Our review of existing data supports the following conclusions. First,
polyCAG expansion of gametic alleles occur due to the failure of gap repair
mechanisms for single or double strand breaks during the transition from an
immature haploid spermatid to a mature haploid sperm cell. Equivalent failures
were not detected in female gametic cells. Second, polyCAG expansion of somatic
alleles occur due to hairpins formed on Okazaki fragments and slipped strand
structures due to failures in mismatch repair and transcription-coupled
nucleotide excision repair mechanisms. Third, CAT trinucleotide interruptions,
which code for histidines in the translated protein, attenuate the formation of
slipped strand structures which may protect the allele from the occurrence of
large expansions. Many of the mechanisms of expansion identified in this review
differ from those noted in Huntington's disease indicating that gene -or sequence
specific factors may affect the behavior of the polyCAG/glutamine tract.
Therefore, synthesis and review of research from the SCA1 field is valuable for
future clinical and diagnostic work in the treatment and prevention of SCA1.
PMID- 27895929
TI - Late complications of bariatric surgery in pregnancy.
AB - Severe complications of bariatric surgery in pregnancy can appear many years
later, even if there is a history of an uneventful pregnancy after bariatric
surgery and a stable body mass index for years. We present the case of a pregnant
patient who presented to our gynaecology department with an internal herniation
after Roux and Y gastric bypass surgery.
PMID- 27895928
TI - Assessing the population impact of low rates of vitamin D supplementation on type
1 diabetes using a new statistical method.
AB - Vitamin D supplementation for all children <5 is recommended by the UK Department
of Health for its skeletal effects. Vitamin D is also linked with a number of
extra-skeletal effects; one of them being protection against type 1 diabetes.
With a rapid increase in the incidence of type 1 diabetes and the associated
costs, measures of curtailing the rapid increase of type 1 diabetes are needed.
In this review, we look at type 1 diabetes using a statistical method (PIN-ER-t)
and published data in an attempt to quantify the impact on the population of
babies born in 2012 of increasing vitamin D supplementation rates. Calculations
show that for the population of 729,674 babies born in England and Wales in 2012,
374 cases of type 1 diabetes (out of 1357 total predicted) could be prevented
over 18 years if all were supplemented with vitamin D. This could lead to savings
in excess of L62 million for the cohort. This piece of work adds to the argument
for studying the potential link between vitamin D supplementation and type 1
diabetes further.
PMID- 27895930
TI - Obstetric patients in intensive care unit: Perspective from a teaching hospital
in Pakistan.
AB - OBJECTIVE: Review of obstetric cases admitted to the intensive care unit. DESIGN:
Ten year retrospective review of individual patients' medical records.
PARTICIPANTS: Records of obstetric patients admitted from 2005-2014. SETTING: Aga
Khan University Hospital Karachi. MAIN OUTCOME MEASURES: Diagnosis at the time of
admission, associated risk factors, and intervention required aspects of
management and rate of mortality. FINDINGS: A total of 194 obstetric patients
were admitted out of which 86.2% of patients had ventilator support. Mortality
was not seen to be significantly associated with parity and antenatal/postnatal
status. The median age of patients was 34 years, minimum length of stay was 24
hours and maximum stay was 53 days. Sixty one percent of patients were admitted
to with organ system failure. The overall mortality rate was 21.64% (42/194). The
mortality rate was five times more likely in patients who had gastro-intestinal
complication {Odds Ratio=4.87; 95%CI: 1.65-14.36}. The largest group of patients
{28.4%} presented with hematological diagnosis. CONCLUSION: When the intensive
care unit admission became essential, primary diagnosis included: postpartum
hemorrhage, hypertensive disorders, sepsis and infectious diseases. An increased
vigilance of high-risk pregnant women and a stabilization of their condition
before intervention is administered, improves the outcome of these women.
PMID- 27895931
TI - Erratum: Publisher's Erratum: Spinal-generated movement disorders: a clinical
review.
AB - [This corrects the article DOI: 10.1186/s40734-015-0028-1.].
PMID- 27895933
TI - Influence of proton pump inhibitors and histamine H2 receptor antagonists on
serum phosphorus level control by calcium carbonate in patients undergoing
hemodialysis: a retrospective medical chart review.
AB - BACKGROUND: Hyperphosphatemia is one of the common complications in patients
undergoing hemodialysis. Although calcium carbonate (CaC) is often used to
control serum inorganic phosphorus level in dialysis patients, co-administration
of gastric acid reducers (ARs) may interfere with the phosphate binding effect of
CaC. We performed a retrospective medical chart review to study whether ARs
attenuate the hypophosphatemic effect of CaC in patients undergoing hemodialysis.
METHODS: One hundred and eight chronic hemodialysis patients receiving either CaC
alone or CaC concomitant with one of the ARs (proton pump inhibitors and
histamine H2-receptor antagonists) were retrieved from the medical charts in
Juntendo University Nerima Hospital. The patients were subdivided according to
the interval between hemodialysis sessions (interdialysis interval of 48 or 72
h). A multivariate analysis was performed to identify clinical covariates
associated with the variability of serum inorganic phosphorus levels. The study
protocol was approved by the Institutional Review Board before the study was
begun. RESULTS: Among patients on hemodialysis with a 72-h interdialysis
interval, the magnitude of increase in serum inorganic phosphorus concentration
in patients receiving CaC and AR was significantly greater than in those
receiving CaC alone. While a similar trend was observed among patients with a 48
h interdialysis interval, the difference did not reach a significant level. A
multivariate regression analysis revealed that concomitant administration of ARs
with CaC and a longer interdialysis interval (72 h) were significantly and
independently associated with the magnitude of increase in serum phosphorus
concentration between dialysis sessions. No significant differences in albumin
corrected serum calcium concentrations and incidence of pathological fractures
were observed between patients receiving CaC alone and those receiving CaC with
ARs. CONCLUSIONS: Concomitant use of ARs with CaC may attenuate the
hypophosphatemic effect of CaC in patients undergoing chronic hemodialysis. When
hemodialysis patients require prescription of ARs for the prevention of upper
gastrointestinal mucosal diseases (such as peptic ulcer), it may be prudent to
choose a phosphate binder other than CaC.
PMID- 27895934
TI - Liposomal delivery systems for intestinal lymphatic drug transport.
AB - Intestinal lymphatic drug delivery has been widely studied because drugs can
bypass the first-pass metabolism in the liver via the lymphatic route, which
increases oral bioavailability. Various lipid-based nanoparticles have been used
to deliver hydrophobic drugs to the lymphatic pathway. This review focuses on the
liposomal delivery systems used for intestinal lymphatic drug transport.
Liposomal formulations have attracted particular attention because they can
stimulate the production of chylomicrons and the incorporated drugs readily
associate with enterocyte-derived chylomicrons, enhancing lymphatic drug
transport. We believe that a full understanding of their contribution to
intestinal drug translocation will lead to effective oral delivery with liposomal
formulations.
PMID- 27895932
TI - Coagulation complications following trauma.
AB - Traumatic injury is one of the leading causes of death, with uncontrolled
hemorrhage from coagulation dysfunction as one of the main potentially
preventable causes of the mortality. Hypothermia, acidosis, and resuscitative
hemodilution have been considered as the significant contributors to coagulation
manifestations following trauma, known as the lethal triad. Over the past decade,
clinical observations showed that coagulopathy may be present as early as
hospital admission in some severely injured trauma patients. The hemostatic
dysfunction is associated with higher blood transfusion requirements, longer
hospital stay, and higher mortality. The recognition of this early coagulopathy
has initiated tremendous interest and effort in the trauma community to expand
our understanding of the underlying pathophysiology and improve clinical
treatments. This review discusses the current knowledge of coagulation
complications following trauma.
PMID- 27895935
TI - Systolic blood pressure values might further risk-stratify the adverse outcomes
of LVH in older patients with chronic kidney disease.
AB - BACKGROUND: LVH is highly prevalent in patients with CKD and is independently
associated with subsequent cardiovascular events. We hypothesized that adding
systolic blood pressure values to LVH might differentiate different subgroups of
patients at higher risk of cardiovascular events (CVE) and other adverse
outcomes. METHODS: Retrospective cohort study of 243 patients older than 60 years
with stages 1-5 pre-dialysis CKD. LVH was assessed by electrocardiogram or
echocardiogram. RESULTS: Cardiovascular events occurred in 7 patients (10.3%)
among those with SBP <130 and no LVH, 8 patients (10.5%) among those with SBP
>=130 and no LVH, 7 patients (21.2%) among those with SBP <130 and LVH and 25
patients (37.9%) among those with SBP >= 130 and LVH. On multivariate analyses,
comparing to SBP < 130 and no LVH, the HR for CVE in those with SBP >= 130 and
LVH was 4 (1.75, 10.3), p = 0.0007; 2.13 (0.71, 6.32) p = 0.16 in those with SBP
<130 and LVH and 1.20 (0.42, 3.51) p = 0.72 in those with SBP >=130 and no LVH.
No significant differences were noted in changes in renal function and mortality
rates among the groups. CONCLUSION: The combination of higher systolic blood
pressure and LVH might identify older patients with CKD at higher risk of
cardiovascular outcomes.
PMID- 27895938
TI - Understanding colonization and proliferation potential of endophytes and pathogen
in planta via plating, polymerase chain reaction and ergosterol assay.
AB - This study aimed to establish the colonization behavior and proliferation
potential of three endophytes and one pathogen Ganoderma boninense (Gb)
introduced into oil palm ramets (host model). The endophytes selected were
Diaporthe phaseolorum (WAA02), Trichoderma asperellum (T2), and Penicillium
citrinum (BTF08). Ramets were first inoculated with 100 mL of fungal cells (106
cfu mL-1) via soil drenching. For the next 7 days, ramets were sampled and
subjected to three different assays to detect and identify fungal colonization,
and establish their proliferation potential in planta. Plate assay revealed the
presence of endophytes in root, stem and leaf tissues within 7 days after
inoculation. Polymerase Chain Reaction (PCR) detected and identified the isolates
from the plant tissues. The ergosterol assay (via high performance liquid
chromatography, HPLC) confirmed the presence of endophytes and Gb in planta. The
increase in ergosterol levels throughout 49 days was however insignificant,
suggesting that proliferation may be absent or may occur very slowly in planta.
This study strongly suggests that the selected endophytes could colonize the host
upon inoculation, but proliferation occurs at a slower rate, which may
subsequently influence the biocontrol expression of endophytes against the
pathogen.
PMID- 27895936
TI - Neovascular glaucoma: a review.
AB - Neovascular glaucoma (NVG) is a secondary glaucoma generally associated with poor
visual prognosis. The development of new vessels over the iris and the
iridocorneal angle can obstruct aqueous humor outflow and lead to increased
intraocular pressure. The underlying pathogenesis in most cases is posterior
segment ischemia, which is most commonly secondary to proliferative diabetic
retinopathy or central vein retinal occlusion. The neovascularization process in
the eye is driven by the events that alter the homeostatic balance between pro
angiogenic factors, such as the vascular endothelial growth factor and anti
angiogenic factors, such as the pigment-epithelium-derived factor. Early
diagnosis of this condition through slit lamp examination of the iris,
iridocorneal angle and retina can help to avoid the development of goniosynechia
and obstruction of aqueous humor outflow, with consequent intraocular pressure
elevation. Historically, NVG treatment was focused on reducing the posterior
segment ischemic process that caused the formation of new vessels, through
panretinal photocoagulation. Recently, several studies have investigated the
application of intravitreal anti-VEGF therapies in NVG. If clinical treatment
with the use of hypotensive topical drops is not sufficient, laser and/or
surgical procedures are required for intraocular pressure control.
PMID- 27895939
TI - Malaria, Moderate to Severe Anaemia, and Malarial Anaemia in Children at
Presentation to Hospital in the Mount Cameroon Area: A Cross-Sectional Study.
AB - Background. Malaria remains a major killer of children in Sub-Saharan Africa,
while anaemia is a public health problem with significant morbidity and
mortality. Examining the factors associated with moderate to severe anaemia
(MdSA) and malarial anaemia as well as the haematological characteristics is
essential. Methodology. Children (1-14 years) at presentation at the Regional
Hospital Annex-Buea were examined clinically and blood samples were collected for
malaria parasite detection and full blood count evaluation. Results. Plasmodium
falciparum, anaemia, and malarial anaemia occurred in 33.8%, 62.0%, and 23.6% of
the 216 children, respectively. Anaemia prevalence was significantly higher in
malaria parasite positive children and those with fever than their respective
counterparts. MdSA and moderate to severe malarial anaemia (MdSMA) were detected
in 38.0% and 15.3% of the participants, respectively. The prevalence of MdSA was
significantly higher in children whose household head had no formal education,
resided in the lowland, or was febrile, while MdSMA was significantly higher in
febrile children only. Children with MdSMA had significantly lower mean white
blood cell, lymphocyte, and platelet counts while the mean granulocyte count was
significantly higher. Conclusion. Being febrile was the only predictor of both
MdSA and MdSMA. More haematological insult occurred in children with MdSMA
compared to MdSA.
PMID- 27895940
TI - Real-Time Reporting of Small Operational Failures in Nursing Care.
AB - Addressing microsystem problems from the frontline holds promise for quality
enhancement. Frontline providers are urged to apply quality improvement; yet no
systematic approach to problem detection has been tested. This study investigated
a self-report approach to detecting operational failures encountered during
patient care. Methods. Data were collected from 5 medical-surgical units over 4
weeks. Unit staff documented operational failures on a small distinctive Pocket
Card. Frequency distributions for the operational failures in each category were
calculated for each hospital overall and disaggregated by shift. Rate of
operational failures on each unit was also calculated. Results. A total of 160
nurses participated in this study reporting a total of 2,391 operational failures
over 429 shifts. Mean number of problems per shift varied from 4.0 to 8.5
problems with equipment/supply problems being the most commonly reported
category. Conclusions. Operational failures are common on medical-surgical
clinical units. It is feasible for unit staff to record these failures in real
time. Many types of failures were recognized by frontline staff. This study
provides preliminary evidence that the Pocket Card is a feasible approach to
detecting operational failures in real time. Continued research on methodologies
to investigate the impact of operational failures is warranted.
PMID- 27895942
TI - An Unusual Lacerated Tracheal Tube during Le Fort Surgery: Literature Review and
Case Report.
AB - Maxillofacial surgeries can present unique anesthetic challenges due to
potentially complex anatomy and the close proximity of the patient's airway to
the surgical field. Damage to the tracheal tube (TT) during maxillofacial surgery
may lead to significant airway compromise. We report the management of a patient
with a partially severed TT during Le Fort surgery for midfacial hypoplasia and
management strategies based on peer-reviewed literature. This case illustrates
the clinical clues associated with a damaged TT and explores the challenges of
managing this potentially catastrophic issue.
PMID- 27895941
TI - Midbrain Frequency Representation following Moderately Intense Neonatal Sound
Exposure in a Precocious Animal Model (Chinchilla laniger).
AB - Auditory brain areas undergo reorganization resulting from abnormal sensory input
during early postnatal development. This is evident from studies at the cortical
level but it remains unclear whether there is reorganization in the auditory
midbrain in a species similar to the human, that is, with early hearing onset. We
have explored midbrain plasticity in the chinchilla, a precocious species that
matches the human in terms of hearing development. Neonatal chinchillas were
chronically exposed to a 2 kHz narrowband sound at 70 dB SPL for 4 weeks.
Tonotopic maps in inferior colliculus (central nucleus) were defined based on
single neuron characteristic frequency. We hypothesized an overrepresentation of
the 2 kHz region of the maps. However, we observed a significant decrease in the
proportion of neurons dedicated to the 2 kHz octave band and also away from the
exposure frequency at 8 kHz. In addition, we report a significant increase in low
frequency representation (<1 kHz), again a change to tonotopic mapping distant to
the 2 kHz region. Thus in a precocious species, tonotopic maps in auditory
midbrain are altered following abnormal stimulation during development. However,
these changes are more complex than the overrepresentation of exposure related
frequency regions that are often reported.
PMID- 27895937
TI - Medical Management of Glaucoma in the 21st Century from a Canadian Perspective.
AB - Glaucoma is a medical term describing a group of progressive optic neuropathies
characterized by degeneration of retinal ganglion cells and retinal nerve fibre
layer and resulting in changes in the optic nerve head. Glaucoma is a leading
cause of irreversible vision loss worldwide. With the aging population it is
expected that the prevalence of glaucoma will continue to increase. Despite
recent advances in imaging and visual field testing techniques that allow
establishment of earlier diagnosis and treatment initiation, significant numbers
of glaucoma patients are undiagnosed and present late in the course of their
disease. This can lead to irreversible vision loss, reduced quality of life, and
a higher socioeconomic burden. Selection of therapeutic approaches for glaucoma
should be based on careful ocular examination, patient medical history, presence
of comorbidities, and awareness of concomitant systemic therapies. Therapy should
also be individualized to patients' needs and preferences. Recent developments in
this therapeutic field require revisiting treatment algorithms and integration of
traditional and novel approaches in order to ensure optimal visual outcomes. This
article provides an overview of recent developments and practice trends in the
medical management of glaucoma in Canada. A discussion of the surgical management
is beyond the scope of this paper.
PMID- 27895943
TI - Congenital Unilateral Agenesis of the Parotid Gland: A Case Report and Review of
the Literature.
AB - Congenital unilateral agenesis of the parotid gland is a rare condition with only
few cases reported in the literature. A review of 21 cases in the available
literature is presented in this article. We report on a further case of a 34-year
old woman with agenesis of the left parotid gland and lipoma of the right cheek.
Clinicopathological characteristics of described cases in the literature were
discussed.
PMID- 27895944
TI - Effects of Cryolipolysis on Abdominal Adiposity.
AB - Cryolipolysis is a noninvasive technique of localized fat reduction. Controlled
cold exposure is performed in the selective destruction of fat cells. The aim of
this study was to investigate the effects of cryolipolysis on adipocytes
elimination through histological and sonographic analyses. This study reports the
case of a 46-year-old female patient, with complaint of localized abdominal fat
and in the preoperative period of abdominoplasty. The patient was submitted to a
single 60-minute application of cryolipolysis, temperature of -5 degrees C, on
the hypogastrium area, 5 cm below the umbilicus. To study the effects of this
treatment, ultrasound images taken before the session and 7, 15, 30, and 45 days
after the therapy were analysed. After the abdominoplasty, parts of the treated
and the untreated withdrawn abdominal tissues were evaluated macro- and
microscopically. In ultrasound images, as well as in macroscopic and histological
analyses, significant adipocytes destruction was detected, with consequent fat
layer reduction and integrity of areas that were adjacent to the treated tissue.
The presence of fibrosis observed during therapy and acknowledged through
performed analyses encourages further studies to clarify such finding.
PMID- 27895945
TI - Congenital Renal Fusion and Ectopia in the Trauma Patient.
AB - We present two separate cases of young male patients with congenital kidney
anomalies (horseshoe and crossed fused renal ectopia) identified following blunt
abdominal trauma. Despite being rare, ectopic and fusion anomalies of the kidneys
are occasionally noted in a trauma patient during imaging or upon exploration of
the abdomen. Incidental renal findings may influence the management of traumatic
injuries to preserve and protect the patient's renal function. Renal anomalies
may be asymptomatic or present with hematuria, flank or abdominal pain,
hypotension, or shock, even following minor blunt trauma or low velocity impact.
It is important for the trauma clinician to recognize that this group of
congenital anomalies may contribute to unusual symptoms such as gross hematuria
after minor trauma, are readily identifiable during CT imaging, and may affect
operative management. These patients should be informed of their anatomical
findings and encouraged to return for long-term follow-up.
PMID- 27895947
TI - Subacute Bacterial Endocarditis with Leptotrichia goodfellowii in a Patient with
a Valvular Allograft: A Case Report and Review of the Literature.
AB - Leptotrichia species are normal constituents of the oral cavity and the
genitourinary tract microbiota that are known to provoke disease in
immunocompromised patients and rarely in immunocompetent individuals. Following
the description of Leptotrichia goodfellowii sp. nov., two cases of endocarditis
by this species have been reported. Here, we report a case of Leptotrichia
goodfellowii endocarditis in an immunocompetent patient with a valvular
allograft. The isolation and identification of Leptotrichia can be challenging,
and it is likely that infection with this pathogen is significantly
underdiagnosed. A definitive identification, as in this case, most often requires
16S rRNA gene sequencing, highlighting the increasingly important role of this
diagnostic modality among immunocompetent patients with undetermined anaerobic
bacteremia.
PMID- 27895946
TI - A Case of False-Positive Mycobacterium tuberculosis Caused by Mycobacterium
celatum.
AB - Mycobacterium celatum is a nontuberculous mycobacterium shown to cause symptoms
similar to pulmonary M. tuberculosis. Certain strains have been shown to cross
react with the probes used to detect M. tuberculosis, making this a diagnostic
challenge. We present a 56-year-old gentleman who developed signs and symptoms of
lung infection with computed tomography scan of the chest showing right lung apex
cavitation. Serial sputum samples were positive for acid-fast bacilli and nucleic
acid amplification testing identified M. tuberculosis ribosomal RNA, resulting in
treatment initiation. Further testing with high performance liquid chromatography
showed a pattern consistent with M. celatum. This case illustrates the potential
for M. celatum to mimic M. tuberculosis in both its clinical history and
laboratory testing due to the identical oligonucleotide sequence contained in
both. An increasing number of case reports suggest that early reliable
differentiation could reduce unnecessary treatment and public health intervention
associated with misdiagnosed tuberculosis.
PMID- 27895948
TI - Pediatric Balint's Syndrome Variant: A Possible Diagnosis in Children.
AB - Balint's syndrome is well described in adults, but not in children. It is caused
by bilateral posterior parietal lobe damage and comprises a triad of
simultanagnosia (inability to simultaneously see more than a small number of
items), optic ataxia (impaired visual guidance of movement of the limbs and
body), and apraxia of gaze (inability to volitionally direct gaze despite the
requisite motor substrate) often associated with homonymous lower visual field
loss. We, here, describe five children (four males, one female; mean age 7.4
years, [range 4-11 years]; birth weight <= 2.5 kg; four were born <= 36 weeks of
gestational age and one at 40 weeks) who presented to the Cerebral Visual
Impairment Clinic at a tertiary care center in South India with clinical features
remarkably consistent with the above description. In all children neuroimaging
showed bilateral parietooccipital gliosis with regional white matter volume loss
and focal callosal thinning, consistent with perinatal hypoxic ischemic
encephalopathy and possible neonatal hypoglycemia.
PMID- 27895949
TI - Primary Ewing's Sarcoma of the Spine in a Two-Year-Old Boy.
AB - Ewing's Sarcoma (ES) is a highly malignant bone tumour. It may involve any part
of the skeleton but the most frequent parts are the ilium and diaphysis of femur
and tibia (Alfeeli et al., 2005; Zhu et al., 2012). Primary ES of the spine is
extremely rare (Yan et al., 2011). It accounts for only 3.5 to 14.9 percent of
all primary bone sarcomas. The age of presentation ranges from 12 to 24 years
(median 21 years) (Ferguson, 1999; Sharafuddin et al., 1992; Klimo Jr. et al.,
2009). We report an unusual case of primary ES of the spine in a two-year-old
boy, who presented to us with paraparesis and features of cauda equina syndrome.
MRI scan showed a tumour mass arising from the pedicle of L4 vertebra invading
the spinal canal. Tc-99 bone scan showed increased tracer uptake in L4 vertebra
and normal tracer uptake elsewhere in the skeleton. After reaching the diagnosis
of a space occupying lesion invading the lumber spinal canal, we performed a
decompressive laminectomy and a biopsy was sent which confirmed the diagnosis of
ES. Immunohistochemistry showed tumour cells staining positive for CD-99
(specific stain for ES). Gene testing showed an EWS-FLI 1 chimera. Surgery was
followed by good improvement in motor signs. The child was then referred to a
specialized oncotherapy centre for further treatment, radiation, and
chemotherapy. To the best of our knowledge, we are the first to report primary ES
of the spine at the age of two years.
PMID- 27895950
TI - Endobronchial Carcinoid and Concurrent Carcinoid Syndrome in an Adolescent
Female.
AB - Endobronchial carcinoid tumors are the most common intrabronchial tumors in
children and adolescents. Common signs and symptoms include persistent cough and
wheezing not responsive to bronchodilators, hemoptysis, and recurrent fever.
Diagnosis is frequently made by imaging and direct visualization with flexible
bronchoscopy; surgery remains the gold standard treatment, and lung-sparing
resections should be performed whenever possible. Though carcinoid syndrome
characterized by flushing, palpitations, wheezing, shortness of breath, and
diarrhea-has been found in association with adult bronchial carcinoid tumors, to
our knowledge only one previous study has reported the presence of carcinoid
syndrome in a pediatric patient with an endobronchial carcinoid. Here, we report
a case of a 14-year-old girl with chronic cough found to have an endobronchial
carcinoid tumor and signs and symptoms consistent with carcinoid syndrome.
PMID- 27895951
TI - Persistent Tachypnea and Alveolar Hemorrhage in an Infant: An Unexpected
Etiology.
AB - Persistent tachypnea and failure to thrive during infancy have a broad
differential diagnosis which includes pulmonary and cardiovascular disorders.
Diffuse alveolar hemorrhage (DAH) is a rare entity in children. DAH requires an
extensive work-up as certain conditions may need chronic therapy. Cardiovascular
disorders are included in the etiology of DAH. We present a case of an 8-month
old female with a moderate, restrictive patent ductus arteriosus (PDA) admitted
to the hospital with respiratory distress and failure to thrive. An extensive
work-up into tachypnea including multiple echocardiograms did not find an
etiology. Open lung biopsy was performed and consistent with pulmonary
hypertension. After closure of the PDA, patient's tachypnea improved, and she was
discharged home with periodic follow-up showing a growing, thriving child. When
an infant presents with tachypnea, a respiratory viral illness is often a common
cause. The diagnosis of persistent tachypnea requires further investigation.
Echocardiography, although readily available, may not always be sensitive in
detecting clinically significant pulmonary hypertension. A clinician must have a
heightened index of suspicion to proceed in evaluating for causes of tachypnea
with a nonrespiratory etiology.
PMID- 27895952
TI - Severe Hiatal Hernia as a Cause of Failure to Thrive Discovered by Transthoracic
Echocardiogram.
AB - A newborn infant with failure to thrive presented for murmur evaluation on day of
life three due to a harsh 3/6 murmur. During the evaluation, a retrocardiac fluid
filled mass was seen by transthoracic echocardiogram. The infant was also found
to have a ventricular septal defect and partial anomalous pulmonary venous
return. Eventually, a large hiatal hernia was diagnosed on subsequent imaging.
The infant ultimately underwent surgical repair of the hiatal hernia at a
tertiary care facility. Hiatal hernias have been noted as incidental extracardiac
findings in adults, but no previous literature has documented hiatal hernias as
incidental findings in the pediatric population.
PMID- 27895953
TI - Rhabdomyolysis in a Hospitalized 16-Year-Old Boy: A Rarely Reported Underlying
Cause.
AB - Rhabdomyolysis can occur because of multiple causes and account for 7% of all
cases of acute kidney injury annually in the United States. Identification of
specific cause can be difficult in many cases where multiple factors could
potentially cause rhabdomyolysis. We present a case of 16-year-old male who had
seizures and was given levetiracetam that resulted in rhabdomyolysis. This side
effect has been rarely reported previously and like in our case diagnosis may be
delayed.
PMID- 27895954
TI - Adult Embryonal Sarcoma of the Liver: Management of a Massive Liver Tumor.
AB - Undifferentiated embryonal sarcomas of the liver are extremely rare cases in
adults. We report the case of a 30-year-old male who presented with early satiety
and abdominal pain due to a massive tumor originating from the left liver and
occupying the entire epigastrium. The patient underwent bland embolization in an
attempt to decrease the size of the tumor. He then underwent a formal left
hepatectomy with resection of liver segments 2, 3, and 4. Extrahepatic inflow
control of the portal vein and hepatic artery was performed prior to parenchymal
transection. No Pringle maneuver was required. Pathology analysis showed a 45 cm
tumor consistent with an undifferentiated embryonal sarcoma and negative
microscopic margins. The epidemiology, treatment, and prognosis of this unusual
cancer presentation are reviewed.
PMID- 27895955
TI - Emerging New Era of Mobile Health Technologies.
PMID- 27895957
TI - Adoption of Electronic Health Records: A Roadmap for India.
AB - OBJECTIVES: The objective of the study was to create a roadmap for the adoption
of Electronic Health Record (EHR) in India based an analysis of the strategies of
other countries and national scenarios of ICT use in India. METHODS: The
strategies for adoption of EHR in other countries were analyzed to find the
crucial steps taken. Apart from reports collected from stakeholders in the
country, the study relied on the experience of the author in handling several e
health projects. RESULTS: It was found that there are four major areas where the
countries considered have made substantial efforts: ICT infrastructure, Policy &
regulations, Standards & interoperability, and Research, development & education.
A set of crucial activities were identified in each area. Based on the analysis,
a roadmap is suggested. It includes the creation of a secure health network;
health information exchange; and the use of open-source software, a national
health policy, privacy laws, an agency for health IT standards, R&D, human
resource development, etc. CONCLUSIONS: Although some steps have been initiated,
several new steps need to be taken up for the successful adoption of EHR. It
requires a coordinated effort from all the stakeholders.
PMID- 27895956
TI - Steps in Moving Evidence-Based Health Informatics from Theory to Practice.
AB - OBJECTIVES: To demonstrate and promote the importance of applying a scientific
process to health IT design and implementation, and of basing this on research
principles and techniques. METHODS: A review by international experts linked to
the IMIA Working Group on Technology Assessment and Quality Development. RESULTS:
Four approaches are presented, linking to the creation of national professional
expectations, adherence to research-based standards, quality assurance approaches
to ensure safety, and scientific measurement of impact. CONCLUSIONS: Solely
marketing- and aspiration-based approaches to health informatics applications are
no longer ethical or acceptable when scientifically grounded evidence-based
approaches are available and in use.
PMID- 27895958
TI - Factors Associated with the Timeliness of Electronic Nursing Documentation.
AB - OBJECTIVES: To investigate the factors associated with the timeliness of
electronic nursing documentation using the entry time on the Electronic Medical
Record (EMR) system. METHODS: As a retrospective study, data were extracted from
January 1 to February 28, 2014 from a hospital EMR system and a nurses' personnel
information system. The timeliness of instances of nursing documentation was
categorized into 'timely' or 'untimely' according to whether the entry time was
time-stamped within the working hours during each day, evening, or night shift.
Factors associated with the timeliness of the electronic nursing documentation
were included in the logistic regression models as nurse- and patient-associated
factors. RESULTS: Among 1,700,247 instances of electronic nursing documentation,
79.3% (n = 1,347,711) were completed within the working hours. Years of nursing
experience, nursing shift, days of the week, patients' age, and medical
department had a statistically significant associated with the timeliness of
nursing records. Nurses with experience of more than 1 year entered nursing
records over 2 times more during their working hours than did less experienced
nurses. During the evening and night shifts, nurses were 1.49 times and 9.19
times more likely to enter nursing documents in a timely manner, respectively, as
compared to those in the day shift. CONCLUSIONS: Nursing documentation was
typically completed outside of working hours when a nurse had little experience,
worked during the day shift or weekdays, and when tasks were unpredictable. This
shows that new nurses need support to familiarize them with various tasks and the
overall workflow.
PMID- 27895959
TI - Incidence of Adult In-Hospital Cardiac Arrest Using National Representative
Patient Sample in Korea.
AB - OBJECTIVES: This study analyzed the incidence and characteristics of in-hospital
cardiac arrest (IHCA) in Korea based on a sample group of patients that is
representative of the population. METHODS: The incidence of IHCA in adults was
extracted from HIRA-NIS-2009, a sample of all patients using medical services in
Korea. IHCA patients were analyzed according to gender, age, type of medical
institute, and classification under the 6th revision of the Korean Standard
Classification of Diseases (KCD-6). In addition, to assess the differences
arising from the size of medical institutes, the IHCA incidence was analyzed in
relation to the number of inpatient beds. RESULTS: Based on the sample data, the
total incidence of IHCA in Korea was found to be 2.46 per 1,000 admissions (95%
confidence interval [CI], 2.37-2.55). A higher incidence was found among men at
3.18 (95% CI, 3.03-3.33), compared to women at 1.84 (95% CI, 1.74-1.94). The
incidence of IHCA was also higher in hospitals that had more than 600 inpatients
beds at 5.40 (95% CI, 5.16-5.66) in comparison to those that had less than 600
inpatients beds at 4.09 (95% CI, 3.76-4.36) (p < 0.001). By primary disease, the
incidence was the highest for infectious diseases. CONCLUSIONS: We demonstrated
that the IHCA incidence based on gender, age, diagnostic group, and number of
beds could be analyzed using the insurance claim data from a national
representative sample.
PMID- 27895960
TI - Automatic Four-Chamber Segmentation Using Level-Set Method and Split Energy
Function.
AB - OBJECTIVES: In this paper, we present an automatic method to segment four
chambers by extracting a whole heart, separating the left and right sides of the
heart, and spliting the atrium and ventricle regions from each heart in cardiac
computed tomography angiography (CTA) efficiently. METHODS: We smooth the images
by applying filters to remove noise. Next, the volume of interest is detected by
using k-means clustering. In this step, the whole heart is coarsely extracted,
and it is used for seed volumes in the next step. Then, we detect seed volumes
using a geometric analysis based on anatomical information and separate the left
and right heart regions with the power watershed algorithm. Finally, we refine
the left and right sides of the heart using the level-set method, and extract the
atrium and ventricle from the left and right heart regions using the split energy
function. RESULTS: We tested the proposed heart segmentation method using 20
clinical scan datasets which were acquired from various patients. To validate the
proposed heart segmentation method, we evaluated its accuracy in segmenting four
chambers based on four error evaluation metrics. The average values of
differences between the manual and automatic segmentations were less than 3.3%,
approximately. CONCLUSIONS: The proposed method extracts the four chambers of the
heart accurately, demonstrating that this approach can assist the cardiologist.
PMID- 27895962
TI - Texture Analysis of Supraspinatus Ultrasound Image for Computer Aided Diagnostic
System.
AB - OBJECTIVES: In this paper, we proposed an algorithm for recognizing a rotator
cuff supraspinatus tendon tear using a texture analysis based on a histogram,
gray level co-occurrence matrix (GLCM), and gray level run length matrix (GLRLM).
METHODS: First, we applied a total of 57 features (5 first order descriptors, 40
GLCM features, and 12 GLRLM features) to each rotator cuff region of interest.
Our results show that first order statistics (mean, skewness, entropy, energy,
smoothness), GLCM (correlation, contrast, energy, entropy, difference entropy,
homogeneity, maximum probability, sum average, sum entropy), and GLRLM features
are helpful to distinguish a normal supraspinatus tendon and an abnormal
supraspinatus tendon. The statistical significance of these features is verified
using a t-test. The support vector machine classification showed accuracy using
feature combinations. Support Vector Machine offers good performance with a small
amount of training data. Sensitivity, specificity, and accuracy are used to
evaluate performance of a classification test. RESULTS: From the results, first
order statics features and GLCM and GLRLM features afford 95%, 85%, and 100%
accuracy, respectively. First order statistics and GLCM and GLRLM features in
combination provided 100% accuracy. Combinations that include GLRLM features had
high accuracy. GLRLM features were confirmed as highly accurate features for
classified normal and abnormal. CONCLUSIONS: This algorithm will be helpful to
diagnose supraspinatus tendon tear on ultrasound images.
PMID- 27895961
TI - Automated Detection Algorithm of Breast Masses in Three-Dimensional Ultrasound
Images.
AB - OBJECTIVES: We propose an automatic breast mass detection algorithm in three
dimensional (3D) ultrasound (US) images using the Hough transform technique.
METHODS: One hundred twenty-five cropped images containing 68 benign and 60
malignant masses are acquired with clinical diagnosis by an experienced
radiologist. The 3D US images are masked, subsampled, contrast-adjusted, and
median-filtered as preprocessing steps before the Hough transform is used.
Thereafter, we perform 3D Hough transform to detect spherical hyperplanes in 3D
US breast image volumes, generate Hough spheres, and sort them in the order of
votes. In order to reduce the number of the false positives in the breast mass
detection algorithm, the Hough sphere with a mean or grey level value of the
centroid higher than the mean of the 3D US image is excluded, and the remaining
Hough sphere is converted into a circumscribing parallelepiped cube as breast
mass lesion candidates. Finally, we examine whether or not the generated Hough
cubes were overlapping each other geometrically, and the resulting Hough cubes
are suggested as detected breast mass candidates. RESULTS: An automatic breast
mass detection algorithm is applied with mass detection sensitivity of 96.1% at
0.84 false positives per case, quite comparable to the results in previous
research, and we note that in the case of malignant breast mass detection, every
malignant mass is detected with false positives per case at a rate of 0.62.
CONCLUSIONS: The breast mass detection efficiency of our algorithm is assessed by
performing a ROC analysis.
PMID- 27895963
TI - Evaluation of Semi-automatic Segmentation Methods for Persistent Ground Glass
Nodules on Thin-Section CT Scans.
AB - OBJECTIVES: This work was a comparative study that aimed to find a proper method
for accurately segmenting persistent ground glass nodules (GGN) in thin-section
computed tomography (CT) images after detecting them. METHODS: To do this, we
first applied five types of semi-automatic segmentation methods (i.e., level-set
based active contour model, localized region-based active contour model, seeded
region growing, K-means clustering, and fuzzy C-means clustering) to preprocessed
GGN images, respectively. Then, to measure the similarities, we calculated the
Dice coefficient of the segmented area using each semiautomatic method with the
result of the manually segmented area by two radiologists. RESULTS: Comparison
experiments were performed using 40 persistent GGNs. In our experiment, the mean
Dice coefficient for each semiautomatic segmentation tool with manually segmented
area was 0.808 for the level-set-based active contour model, 0.8001 for the
localized region-based active contour model, 0.629 for seeded region growing,
0.7953 for K-means clustering, and 0.7999 for fuzzy C-means clustering,
respectively. CONCLUSIONS: The level-set-based active contour model algorithm
showed the best performance, which was most similar to the result of manual
segmentation by two radiologists. From the differentiation between the normal
parenchyma and the nodule, it was also the most efficient. Effective segmentation
methods will be essential for the development of computer-aided diagnosis systems
for more accurate early diagnosis and prognosis of lung cancer in thin-section CT
images.
PMID- 27895964
TI - Half-Fan-Based Intensity-Weighted Region-of-Interest Imaging for Low-Dose Cone
Beam CT in Image-Guided Radiation Therapy.
AB - OBJECTIVES: With the increased use of computed tomography (CT) in clinics, dose
reduction is the most important feature people seek when considering new CT
techniques or applications. We developed an intensity-weighted region-of-interest
(IWROI) imaging method in an exact half-fan geometry to reduce the imaging
radiation dose to patients in cone-beam CT (CBCT) for image-guided radiation
therapy (IGRT). While dose reduction is highly desirable, preserving the high
quality images of the ROI is also important for target localization in IGRT.
METHODS: An intensity-weighting (IW) filter made of copper was mounted in place
of a bowtie filter on the X-ray tube unit of an on-board imager (OBI) system such
that the filter can substantially reduce radiation exposure to the outer ROI. In
addition to mounting the IW filter, the lead-blade collimation of the OBI was
adjusted to produce an exact half-fan scanning geometry for a further reduction
of the radiation dose. The chord-based rebinned backprojection-filtration (BPF)
algorithm in circular CBCT was implemented for image reconstruction, and a
humanoid pelvis phantom was used for the IWROI imaging experiment. RESULTS: The
IWROI image of the phantom was successfully reconstructed after beam-quality
correction, and it was registered to the reference image within an acceptable
level of tolerance. Dosimetric measurements revealed that the dose is reduced by
approximately 61% in the inner ROI and by 73% in the outer ROI compared to the
conventional bowtie filter-based half-fan scan. CONCLUSIONS: The IWROI method
substantially reduces the imaging radiation dose and provides reconstructed
images with an acceptable level of quality for patient setup and target
localization. The proposed half-fan-based IWROI imaging technique can add a
valuable option to CBCT in IGRT applications.
PMID- 27895966
TI - Acceptability of Service Targets for ICT-Based Healthcare.
AB - OBJECTIVES: In order to adopt and activate telemedicine it is necessary to survey
how medical staff, who are providers of medical service, and consumers, who are
the service targets, perceive information and communication technology (ICT)
based healthcare service. METHODS: This study surveyed the awareness and
acceptability of ICT-based healthcare by involving service targets, specifically
workers and students living in the Seoul and Gyeonggi regions who are consumers
of healthcare service. To determine the correlation among awareness of ICT-based
healthcare, the need for self-management, and acceptability, this study conducted
a correlation analysis and a simple regression analysis. RESULTS: According to
the responses to the questions on the need for ICT-based healthcare service by
item, blood pressure (n = 279, 94.3%) and glucose (n = 277, 93.6%) were revealed
to be the physiological signal monitoring area. Among the six measurement factors
affecting ICT-based healthcare service acceptability, age, health concerns, and
effect expectation had the most significant effects. As effect expectation
increased, acceptability became 4.38 times higher (p < 0.05). CONCLUSIONS: This
study identified a positive awareness of service targets on ICT-based healthcare
service. The fact that acceptability is higher among people who have family
disease history or greater health concerns may lead to service targets' more
active participation. This study also confirmed that a policy to motivate active
participation of those in their 40s (who had high prevalence rates) was needed.
PMID- 27895965
TI - Confirmation of Expectations and Satisfaction with Hospital Information Systems:
A Nursing Perspective.
AB - OBJECTIVES: This study aimed to compare nurses' satisfaction with, and
expectations of, hospital information systems in two teaching hospitals. METHODS:
This was a survey study, which was completed in 2014. The potential participants
were 267 nurses who worked in two teaching hospitals and used the same hospital
information system. Data were collected using two questionnaires. Both
questionnaires were examined in terms of content validity and reliability.
RESULTS: The results showed that, for a majority of nurses, their expectations of
the system were not met in either hospital. Moreover, there was a significant
association between the nurses' expectations and the perceived usefulness of the
systems (p < 0.001), between the nurses' expectations and their satisfaction with
the systems (p < 0.001), and between the perceived usefulness and nurses'
satisfaction with the systems (p < 0.001). CONCLUSIONS: The results suggested
that, apart from the technical issues of implementing clinical information
systems, non-technical factors should be taken into account. Among them, the
nature of clinical tasks and the organizational culture require more attention to
allow a successful system to be designed and implemented.
PMID- 27895967
TI - Medical Representatives' Intention to Use Information Technology in
Pharmaceutical Marketing.
AB - OBJECTIVES: Electronic detailing (e-detailing), the use of electronic devices to
facilitate sales presentations to physicians, has been adopted and expanded in
the pharmaceutical industry. To maximize the potential outcome of e-detailing, it
is important to understand medical representatives (MRs)' behavior and attitude
to e-detailing. This study investigates how information technology devices such
as laptop computers and tablet PCs are utilized in pharmaceutical marketing, and
it analyzes the factors influencing MRs' intention to use devices. METHODS: This
study has adopted and modified the theory of Roger's diffusion of innovation
model and the technology acceptance model. To test the model empirically, a
questionnaire survey was conducted with 221 MRs who were working in three
multinational or eleven domestic pharmaceutical companies in Korea. RESULTS:
Overall, 28% and 35% of MRs experienced using laptop computers and tablet PCs in
pharmaceutical marketing, respectively. However, the rates were different across
different groups of MRs, categorized by age, education level, position, and
career. The results showed that MRs' intention to use information technology
devices was significantly influenced by perceived usefulness in general.
Perceived ease of use, organizational and individual innovativeness, and several
MR characteristics were also found to have significant impacts. CONCLUSIONS: This
study provides timely information about e-detailing devices to marketing managers
and policy makers in the pharmaceutical industry for successful marketing
strategy development by understanding the needs of MRs' intention to use
information technology. Further in-depth study should be conducted to understand
obstacles and limitations and to improve the strategies for better marketing
tools.
PMID- 27895968
TI - Correction: Methods of Hematoxylin and Eosin Image Information Acquisition and
Optimization in Confocal Microscopy.
AB - [This corrects the article on p. 238 in vol. 22, PMID: 27525165.].
PMID- 27895969
TI - Genetic Advances in Craniofacial Malformations.
PMID- 27895970
TI - Genetic Advances in Microphthalmia.
AB - Congenital ocular anomalies such as anophthalmia and microphthalmia (AM) are
severe craniofacial malformations in human. The etiologies of these ocular globe
anomalies are diverse but the genetic origin appears to be a predominant cause.
Until recently, genetic diagnosis capability was rather limited in AM patients
and only a few genes were available for routine genetic testing. While some
issues remain poorly understood, knowledge regarding the molecular basis of AM
dramatically improved over the last years with the development of new molecular
screening technologies. Thus, the genetic cause is now identifiable in more than
50% of patients with a severe bilateral eye phenotype and in around 30% of all AM
patients taken together. Such advances in the knowledge of these genetic bases
are important as they improve the quality of care, in terms of diagnosis,
prognosis, and genetic counseling delivered to the patients and their families.
PMID- 27895971
TI - Genetic Advances in the Understanding of Microtia.
AB - Microtia is a genetic condition affecting the external ears and presents
clinically along a wide spectrum: minimally affected ears are small with minor
shape abnormalities; extremely affected ears lack all identifiable structures,
with the most extreme being absence of the entire external ear. Multiple genetic
causes have been linked to microtia in both animal models and humans, which are
improving our understanding of the condition and may lead to the identification
of a unified cause for the condition. Microtia is also a prominent feature of
several genetic syndromes, the study of which has provided further insight into
the possible causes and genetic mechanisms of the condition. This article reviews
our current understanding of microtia including epidemiological characteristics,
classification systems, environmental and genetic causative factors leading to
microtia. Despite our increased understanding of the genetics of microtia, we do
not have a means of preventing the condition and still rely on complex staged,
surgical correction.
PMID- 27895972
TI - Genetic Basis of Nonsyndromic and Syndromic Tooth Agenesis.
AB - Human dentition development is a long and complex process which involves a series
of reciprocal and sequential interactions between the embryonic stomodeal
epithelium and the underlying neural crest-derived mesenchyme. Despite
environment disturbances, tooth development is predominantly genetically
controlled. To date, more than 200 genes have been identified in tooth
development. These genes implied in various signaling pathways such as the bone
morphogenetic protein, fibroblast growth factor, sonic hedgehog homolog,
ectodysplasin A, wingless-type MMTV integration site family (Wnt), and transform
growth factor pathways. Mutations in any of these strictly balanced signaling
cascades may cause arrested odontogenesis and/or other dental defects. This
article aims to review current knowledge about the genetic mechanisms responsible
for selective nonsyndromic tooth agenesis in humans and to present a detailed
summary of syndromes with hypodontia as regular features and their causative
genes.
PMID- 27895973
TI - Review of the Genetic Basis of Jaw Malformations.
AB - Genetic etiologies for congenital anomalies of the facial skeleton, namely, the
maxilla and mandible, are important to understand and recognize. Malocclusions
occur when there exist any significant deviation from what is considered a normal
relationship between the upper jaw (maxilla) and the lower jaw (mandible). They
may be the result of anomalies of the teeth alone, the bones alone, or both. A
number of genes play a role in the facial skeletal development and are regulated
by a host of additional regulatory molecules. As such, numerous craniofacial
syndromes specifically affect the development of the jaws. The following review
discusses several genetic anomalies that specifically affect the bones of the
craniofacial skeleton and lead to malocclusion.
PMID- 27895974
TI - Genetic Screening in Patients with Craniofacial Malformations.
AB - Craniofacial malformations include a variety of anomalies, including cleft lip
with or without cleft palate, craniosynostosis, microtia, and hemifacial
microsomia. All of these anomalies can be either isolated or part of a defined
genetic syndrome. A clinical geneticist or genetic counselor should be a member
of the craniofacial team to help determine which patients have isolated anomalies
and which are likely to have a syndrome. They would then arrange for the
appropriate genetic testing to confirm the diagnosis of the specific syndrome.
The identification of the specific syndrome is important for the overall care of
the patient (as it identifies risk for other medical problems such as congenital
heart defect) that will have to be taken into account in the care of the
craniofacial malformation. In addition, knowing the specific syndrome will allow
the family to understand how this happened to their child and the recurrence risk
for future pregnancies. With the advent of new technologies, there are now many
types of genetic testing available (including, karyotype, fluorescence in situ
hybridization, chromosomal microarrays, and next generation sequencing) and the
medical geneticist and genetic counselor can determine which specific testing is
needed for a given patient.
PMID- 27895975
TI - Anti-Helicobacter pylori effect of CaG-NANA, a new sialic acid derivative.
AB - AIM: To investigate the bactericidal effects of calcium chelated N
acetylneuraminic acid-glycomacropeptide (CaG-NANA) against Helicobacter pylori
(H. pylori). METHODS: For manufacture of CaG-NANA, calcium (Ca) was combined with
glycomacropeptide (GMP) by chelating, and N-acetylneuraminic acid (NANA) was
produced with Ca-GMP substrate by an enzymatic method. The final concentration of
each component was 5% Ca, 7% NANA, 85% GMP, and 3% water. For in vitro study,
various concentrations of CaG-NANA were investigated under the minimal inhibitory
concentration (MIC). For in vivo study, CaG-NANA was administered orally for 3 wk
after H. pylori infection. The levels of inflammatory cytokines in blood were
analyzed by enzyme-linked immunosorbent assay and eradication of H. pylori was
assessed by histological observation. RESULTS: The time-kill curves showed a
persistent decrease in cell numbers, which depended on the dose of CaG-NANA, and
MIC of CaG-NANA against H. pylori was 0.5% in vitro. Histopathologic observation
revealed no obvious inflammation or pathologic changes in the gastric mucosa in
the CaG-NANA treatment group in vivo. The colonization of H. pylori was reduced
after CaG-NANA treatment. The levels of interleukin (IL)-6, IL-1beta, tumor
necrosis factor-alpha, and IL-10 were also decreased by CaG-NANA. CONCLUSION: CaG
NANA demonstrates effective anti-bactericidal activity against H. pylori both in
vitro and in vivo.
PMID- 27895976
TI - Microscopic colitis in patients with mild duodenal damage: A new clinical and
pathological entity ("lymphocytic enterocolitis")?
AB - AIM: To evaluate the potential association between mild duodenal damage and
microscopic colitis (MC). METHODS: We retrospectively included 105 consecutive
patients with type I Marsh-Oberhuber duodenal damage and negativity for
immunoglobulin A anti-endomysium and anti-tissue transglutaminase. The following
parameters were analyzed: Sex, age at execution of esophagogastroduodenoscopy,
duodenal damage, and number of intraepithelial lymphocytes at biopsies,
prevalence of Helicobacter pylori infection, age at execution of colonoscopy,
macroscopic and microscopic features of colonoscopy, family history of
gastrointestinal and autoimmune diseases, smoking habits, biochemical parameters
of inflammation and autoimmunity, use of proton pump inhibitors or nonsteroidal
anti-inflammatory drugs, adverse reactions to drugs or foods, pathologies known
to be associated with celiac disease or MC, living on a gluten-free diet or on a
gluten-low diet for at least 1 mo. RESULTS: Colonoscopy was performed in 59
patients, but only in 48 of them biopsies were taken in the entire colon.
Considering the latter cohort, the diagnosis of MC was met in 25 (52.1%) patients
while in 18 patients other pathologic findings were reported: 13 (27%) cases of
nonspecific inflammatory bowel disease, 2 (4.2%) cases of Crohn's disease, 2
(4.2%) cases of eosinophilic gastroenteritis, and 1 (2.1%) case of autoimmune
enteritis. Five (10.4%) patients had a normal colonoscopic result. Matching the
groups by age, and considering only patients who underwent colonoscopy (42.7 +/-
15.5 years) vs those who did not undergo colonoscopy (36.9 +/- 10.6 years), a
statistical difference was found (P = 0.039). Focusing on symptoms, diarrhea was
statistically more prevalent in MC group than in patients who did not undergo
colonoscopy (P = 0.03). CONCLUSION: Mild duodenal damage is associated with MC in
more than half of the cases. This association supports the hypothesis of a link
between these two entities.
PMID- 27895977
TI - Hepatitis C infection and renal cell carcinoma: A systematic review and meta
analysis.
AB - AIM: To investigate the association between hepatitis C virus (HCV) infection and
risk of renal cell carcinoma (RCC). METHODS: A literature search was performed
from inception until February 2016. Studies that reported relative risks, odd
ratios, hazard ratios or standardized incidence ratio comparing the risk of RCC
among HCV-infected participants vs those without HCV infection were included.
Participants without HCV infection were used as comparators. Pooled odds ratios
and 95%CI were calculated using a random-effect, generic inverse variance method.
RESULTS: Seven observational studies were with 196826 patients were included in
the analysis to assess the risk of RCC in patients with HCV. A significantly
increased risk of RCC among participants with HCV infection was found with a
pooled RR of 1.86 (95%CI: 1.11-3.11). The association between RCC and HCV was
marginally insignificant after a sensitivity analysis limited only to studies
with adjusted analysis, with a pooled RR of 1.50 (95%CI: 0.93-2.42). CONCLUSION:
Our study demonstrated a potential association between HCV infection and RCC.
Further studies of RCC surveillance in patients with HCV are required.
PMID- 27895979
TI - Optical redox ratio identifies metastatic potential-dependent changes in breast
cancer cell metabolism.
AB - The development of prognostic indicators of breast cancer metastatic risk could
reduce the number of patients receiving chemotherapy for tumors with low
metastatic potential. Recent evidence points to a critical role for cell
metabolism in driving breast cancer metastasis. Endogenous fluorescence intensity
of nicotinamide adenine dinucleotide (NADH) and flavin adenine dinucleotide (FAD)
can provide a label-free method for assessing cell metabolism. We report the
optical redox ratio of FAD/(FAD + NADH) of four isogenic triple-negative breast
cancer cell lines with varying metastatic potential. Under normoxic conditions,
the redox ratio increases with increasing metastatic potential
(168FARN>4T07>4T1), indicating a shift to more oxidative metabolism in cells
capable of metastasis. Reoxygenation following acute hypoxia increased the redox
ratio by 43 +/- 9% and 33 +/- 4% in the 4T1 and 4T07 cells, respectively; in
contrast, the redox ratio decreased 14 +/- 7% in the non-metastatic 67NR cell
line. These results demonstrate that the optical redox ratio is sensitive to the
metabolic adaptability of breast cancer cells with high metastatic potential and
could potentially be used to measure dynamic functional changes that are
indicative of invasive or metastatic potential.
PMID- 27895980
TI - Rapid mesoscale multiphoton microscopy of human skin.
AB - We present a multiphoton microscope designed for mesoscale imaging of human skin.
The system is based on two-photon excited fluorescence and second-harmonic
generation, and images areas of ~0.8x0.8 mm2 at speeds of 0.8 fps (800x800
pixels; 12 frame averages) for high signal-to-noise ratio, with lateral and axial
resolutions of 0.5um and 3.3um, respectively. The main novelty of this instrument
is the design of the scan head, which includes a fast galvanometric scanner,
optimized relay optics, a beam expander and high NA objective lens. Computed
aberrations in focus are below the Marechal criterion of 0.07lambda rms for
diffraction-limited performance. We demonstrate the practical utility of this
microscope by ex-vivo imaging of wide areas in normal human skin.
PMID- 27895981
TI - Perceived image quality with simulated segmented bifocal corrections.
AB - Bifocal contact or intraocular lenses use the principle of simultaneous vision to
correct for presbyopia. A modified two-channel simultaneous vision simulator
provided with an amplitude transmission spatial light modulator was used to
optically simulate 14 segmented bifocal patterns (+ 3 diopters addition) with
different far/near pupillary distributions of equal energy. Five subjects with
paralyzed accommodation evaluated image quality and subjective preference through
the segmented bifocal corrections. There are strong and systematic perceptual
differences across the patterns, subjects and observation distances: 48% of the
conditions evaluated were significantly preferred or rejected. Optical
simulations (in terms of through-focus Strehl ratio from Hartmann-Shack
aberrometry) accurately predicted the pattern producing the highest perceived
quality in 4 out of 5 patients, both for far and near vision. These perceptual
differences found arise primarily from optical grounds, but have an important
neural component.
PMID- 27895982
TI - Extended-focus optical coherence microscopy for high-resolution imaging of the
murine brain.
AB - We propose a new method and optical instrumentation for mouse brain imaging based
on extended-focus optical coherence microscopy. This in vivo imaging technique
allows the evaluation of the cytoarchitecture at cellular level and the
circulation system dynamics in three dimensions. This minimally invasive and non
contact approach is performed without the application of contrasting agents. The
optical design achieved a resolution of 2.2 MUm over a distance of 800 MUm, which
was sufficient to obtain a detailed three-dimensional image of a wild-type
mouse's brain down to the layer III of the cortex. Intrinsically contrasted
microvessels and structures similar to the bodies of neurons were
distinguishable.
PMID- 27895983
TI - Introduction to the BIOMED 2016 feature issue.
AB - The guest editors introduce a feature issue containing papers based on research
presented at the BIOMED 2016 Congress, held in Fort Lauderdale, FL, 24-28 April,
2016.
PMID- 27895978
TI - Cytokines and Cytokine Receptors Involved in the Pathogenesis of Alzheimer's
Disease.
AB - Inflammatory mechanisms are implicated in the pathology of Alzheimer's disease
(AD). However, it is unclear whether inflammatory alterations are a cause or
consequence of neurodegeneration leading to dementia. Clarifying this issue would
provide valuable insight into the early diagnosis and therapeutic management of
AD. To address this, we compared the mRNA expression profiles of cytokines in the
brains of AD patients with "non-demented individuals with AD pathology" and non
demented healthy control (ND) individuals. "Non-demented individuals with AD
pathology" are referred to as high pathology control (HPC) individuals that are
considered an intermediate subset between AD and ND. HPC represents a transition
between normal aging and early stage of AD, and therefore, is useful for
determining whether neuroinflammation is a cause or consequence of AD pathology.
We observed that immunological conditions that produce cytokines in the HPC brain
were more representative of ND than AD. To validate these result, we investigated
the expression of inflammatory mediators at the protein level in postmortem brain
tissues. We examined the protein expression of tumor necrosis factor (TNF)alpha
and its receptors (TNFRs) in the brains of AD, HPC, and ND individuals. We found
differences in soluble TNFalpha and TNFRs expression between AD and ND groups and
between AD and HPC groups. Expression in the temporal cortex was lower in the AD
brains than HPC and ND. Our findings indicate that alterations in immunological
conditions involving TNFR-mediated signaling are not the primary events
initiating AD pathology, such as amyloid plaques and tangle formation. These may
be early events occurring along with synaptic and neuronal changes or later
events caused by these changes. In this review, we emphasize that elucidating the
temporal expression of TNFalpha signaling molecules during AD is important to
understand the selective tuning of these pathways required to develop effective
therapeutic strategies for AD.
PMID- 27895984
TI - Fabrication and analysis of microfiber array platform for optogenetics with
cellular resolution.
AB - Optogenetics has emerged as a revolutionary technology especially for
neuroscience and has advanced continuously over the past decade. Conventional
approaches for patterned in vivo optical illumination have a limitation on the
implanted device size and achievable spatio-temporal resolution. In this work, we
developed a fabrication process for a microfiber array platform. Arrayed
poly(methyl methacrylate) (PMMA) microfibers were drawn from a polymer solution
and packaged with polydimethylsiloxane (PDMS). The exposed end face of a packaged
microfiber was tuned to have a size corresponding to a single cell. To
demonstrate its capability for single cell optogenetics, HEK293T cells expressing
channelrhodopsin-2 (ChR2) were cultured on the platform and excited with UV
laser. We could then observe an elevation in the intracellular Ca2+
concentrations due to the influx of Ca2+ through the activated ChR2 into the
cytosol. The statistical and simulation results indicate that the proposed
microfiber array platform can be used for single cell optogenetic applications.
PMID- 27895986
TI - Combined frequency domain photoacoustic and ultrasound imaging for intravascular
applications.
AB - Intravascular photoacoustic (IVPA) imaging has the potential to characterize
lipid-rich structures based on the optical absorption contrast of tissues. In
this study, we explore frequency domain photoacoustics (FDPA) for intravascular
applications. The system employed an intensity-modulated continuous wave (CW)
laser diode, delivering 1W over an intensity modulated chirp frequency of 4
12MHz. We demonstrated the feasibility of this approach on an agar vessel phantom
with graphite and lipid targets, imaged using a planar acoustic transducer co
aligned with an optical fibre, allowing for the co-registration of IVUS and FDPA
images. A frequency domain correlation method was used for signal processing and
image reconstruction. The graphite and lipid targets show an increase in FDPA
signal as compared to the background of 21dB and 16dB, respectively. Use of
compact CW laser diodes may provide a valuable alternative for the development of
photoacoustic intravascular devices instead of pulsed laser systems.
PMID- 27895985
TI - Multi-channel multi-distance broadband near-infrared spectroscopy system to
measure the spatial response of cellular oxygen metabolism and tissue
oxygenation.
AB - We present a multi-channel, multi-distance broadband near-infrared spectroscopy
(NIRS) system with the capability of measuring changes in haemoglobin
concentrations (Delta[HbO2], Delta[HHb]), oxidation state of cytochrome-c-oxidase
(Delta[oxCCO]) and tissue oxygen saturation (TOI) in the adult human brain. The
main components of the instrument are two customized spectrographs and two light
sources. Each spectrograph is lens-based to improve light throughput, has a
grating enhanced to optimise reflection in the near-infrared (NIR) spectral
region and uses a front illuminated cooled CCD camera (-70 degrees C) with a
square chip dimension of 12.3 x 12.3 mm (512 x 512 pixels). Each light source
uses a 50W halogen bulb with a gold plated mirror to increase the intensity of
the NIR light. Each light source was connected to a custom-built bifurcated fibre
bundle to create two source fibre bundles (3.2 mm diameter each). Each
spectrograph received light input from another custom-built fibre bundle
comprised of six individual bundles (one with 0.6 mm diameter and the other five
with 1.5 mm diameter). All fibre bundles were fixed on a 3D printed optode holder
(two light sources x two fibre bundles each = four probes; and two spectrographs
x six fibre bundles each = 12 probes) that allowed 24 multi-distance channels
across the forehead (six channels at 20 mm, three channels at 30 mm and 15
channels at 35 mm) and six TOI measurements. We demonstrated the use of the
system in a cohort of nine healthy adult volunteers during prefrontal cortex
functional activation using the Stroop task. We have observed functional
responses identified as significant increase in Delta[HbO2], decrease in
Delta[HHb] and increase in Delta[oxCCO] in five channels (out of 12), that
overlay the left and right dorsolateral prefrontal cortices. There was no
observable TOI functional response and we have shown small variations in TOI
across different sites within the same subject and within the same site across
subjects.
PMID- 27895988
TI - Terahertz identification and quantification of neurotransmitter and neurotrophy
mixture.
AB - Terahertz spectroscopy has been widely used for investigating the fingerprint
spectrum of different substances. For cancerous tissues, the greatest difficulty
is the absorption peaks of various substances contained in tissues overlap with
each other, which are hard to identify and quantitative analyze. As a result, it
is very hard to measure the presence of cancer cell and then to diagnose
accurately. In this paper, we select three typical neurotransmitters (gamma
aminobutyric acid, L-glutamic acid, dopamine hydrochloride) and two typical
metabolites (inositol and creatine) in neurons to measure their terahertz spectra
with different mixture ratios. By choosing characteristic absorption peaks,
removing baseline and using the least square method, we can identify the
components and proportions of each mixture, where the goodness of fit to
practical situation is up to 94%. These results provide important evidences for
identifying nerve substances and obtaining exact quantitative analysis.
PMID- 27895987
TI - Characterization of fiber-optic light delivery and light-induced temperature
changes in a rodent brain for precise optogenetic neuromodulation.
AB - Understanding light intensity and temperature increase is of considerable
importance in designing or performing in vivo optogenetic experiments. Our study
describes the optimal light power at target depth in the rodent brain that would
maximize activation of light-gated ion channels while minimizing temperature
increase. Monte Carlo (MC) simulations of light delivery were used to provide a
guideline for suitable light power at a target depth. In addition, MC simulations
with the Pennes bio-heat model using data obtained from measurements with a
temperature-measuring cannula having 12.3 mV/ degrees C of thermoelectric
sensitivity enabled us to predict tissue heating of 0.116 degrees C/mW on
average at target depth of 563 MUm and specifically, a maximum mean plateau
temperature increase of 0.25 degrees C/mW at 100 MUm depth for 473 nm light. Our
study will help to improve the design and performance of optogenetic experiments
while avoiding potential over- and under-illumination.
PMID- 27895989
TI - Diet-induced obesity skin changes monitored by in vivo SHG and ex vivo CARS
microscopy.
AB - Obesity related metabolic syndrome and type 2 diabetes have severe consequences
on our skin. Latest developments in nonlinear microscopy allow the use of
noninvasive, label free imaging methods, such as second harmonic generation (SHG)
and coherent anti-Stokes Raman scattering (CARS), for early diagnosis of
metabolic syndrome-related skin complications by 3D imaging of the skin and the
connective tissue. Our aim was to study effects of various types of diet-induced
obesity in mice using these methods. We examined mice on different diets for 32
weeks. The collagen morphology was evaluated four times in vivo by SHG
microscopy, and adipocytes were examined once at the end of experiment by ex vivo
CARS method. A strong correlation was found between the body weight and the
adipocyte size, while we found that the SHG intensity of dermal collagen reduces
considerably with increasing body weight. Obese mice on high-fat diet showed
worse results than those on high-fat - high-fructose diet. Animals on high
fructose diet did not gain more weight than those on ordinary diet despite of the
increased calorie intake, but their collagen damage was nonetheless significant.
Obesity and high sugar intake damages the skin, mainly the dermal connective
tissue and subcutaneous adipose tissue, which efficiently can be monitored by in
vivo SHG and ex vivo CARS microscopy.
PMID- 27895990
TI - Influence of coherence length, signal-to-noise ratio, log transform, and low-pass
filtering on layer thickness assessment with OCT in the retina.
AB - Optical coherence tomography (OCT) images of the retina are inevitably affected
by the finite width of the coherence function and noise. To make low-reflective
layers visible, the raw OCT signal is log transformed; to reduce the effect of
noise the images can be low-pass filtered. We determined the effects of these
operations on layer thickness assessment, as a function of signal-to-noise ratio
(SNR), by performing measurements in a phantom eye and modeling. The log
transform appeared to be the key factor in a SNR-dependent overestimation of peak
widths and a less predictive bias in the widths of low-reflective layers.
PMID- 27895991
TI - Intracellular dynamics measurements with full field optical coherence tomography
suggest hindering effect of actomyosin contractility on organelle transport.
AB - Intracellular motion can be quantitatively monitored in tissues using coherence
gated microscopic techniques. With full-field optical coherence tomography
(FFOCT), the use of high numerical aperture microscope objectives provides a high
resolution mapping of intracellular dynamics that are probed with subwavelength
sensitivity. In the upper temporal bandwidth that we have used (1-6 Hz) the main
contribution to the dynamic signal arises from the overall dynamical, optically
heterogeneous cytoplasm. We propose a method to specifically study the impact of
actomyosin contractility on the intracellular dynamic signal by performing high
throughput, comparative measurements of multicellular aggregates with and without
blebbistatin action, a selective inhibitor of class-II myosins that disrupts
actomyosin contractile activity. Our results indicate a significant increase in
the fraction of the signal that decorrelates within 1 second after inhibition of
contractility. This observation mitigates the anticipated importance of
actomyosin contractile forces to directly move organelles, but highlights their
role in hindering organelle transport via their stiffening effect of the
viscoelastic cytoplasm.
PMID- 27895992
TI - Subtraction-based approach for enhancing the depth sensitivity of time-resolved
NIRS.
AB - The aim of this study was to evaluate enhancing of the depth sensitivity of time
resolved near-infrared spectroscopy with a subtraction-based approach. Due to the
complexity of light propagation in a heterogeneous media, and to prove the
validity of the proposed method in a heterogeneous turbid media we conducted a
broad analysis taking into account a number of parameters related to the method
as well as various parameters of this media. The results of these experiments
confirm that the depth sensitivity of the subtraction-based approach is better
than classical approaches using continuous-wave or time-resolved methods.
Furthermore, the results showed that the subtraction-based approach has a unique,
selective sensitivity to a layer at a specific depth. In vivo application of the
proposed method resulted in a greater magnitude of the hemodynamic changes during
functional activation than with the standard approach.
PMID- 27895993
TI - Non-stationary reconstruction for dynamic fluorescence molecular tomography with
extended kalman filter.
AB - Dynamic fluorescence molecular tomography (FMT) plays an important role in drug
delivery research. However, the majority of current reconstruction methods focus
on solving the stationary FMT problems. If the stationary reconstruction methods
are applied to the time-varying fluorescence measurements, the reconstructed
results may suffer from a high level of artifacts. In addition, based on the
stationary methods, only one tomographic image can be obtained after scanning one
circle projection data. As a result, the movement of fluorophore in imaged object
may not be detected due to the relative long data acquisition time (typically >1
min). In this paper, we apply extended kalman filter (EKF) technique to solve the
non-stationary fluorescence tomography problem. Especially, to improve the EKF
reconstruction performance, the generalized inverse of kalman gain is calculated
by a second-order iterative method. The numerical simulation, phantom, and in
vivo experiments are performed to evaluate the performance of the method. The
experimental results indicate that by using the proposed EKF-based second-order
iterative (EKF-SOI) method, we cannot only clearly resolve the time-varying
distributions of fluorophore within imaged object, but also greatly improve the
reconstruction time resolution (~2.5 sec/frame) which makes it possible to detect
the movement of fluorophore during the imaging processes.
PMID- 27895994
TI - Motion-corrected Fourier ptychography.
AB - Fourier ptychography (FP) is a recently proposed computational imaging technique
for high space-bandwidth product imaging. In real setups such as endoscope and
transmission electron microscope, the common sample motion largely degrades the
FP reconstruction and limits its practicability. In this paper, we propose a
novel FP reconstruction method to efficiently correct for unknown sample motion.
Specifically, we adaptively update the sample's Fourier spectrum from low spatial
frequency regions towards high spatial-frequency ones, with an additional motion
recovery and phase-offset compensation procedure for each sub-spectrum.
Benefiting from the phase retrieval redundancy theory, the required large overlap
between adjacent sub-spectra offers an accurate guide for successful motion
recovery. Experimental results on both simulated data and real captured data show
that the proposed method can correct for unknown sample motion with its standard
deviation being up to 10% of the field-of-view scale. We have released our source
code for non-commercial use, and it may find wide applications in related FP
platforms such as endoscopy and transmission electron microscopy.
PMID- 27895995
TI - Photoreceptor disc shedding in the living human eye.
AB - Cone photoreceptors undergo a daily cycle of renewal and shedding of membranous
discs in their outer segments (OS), the portion responsible for light capture.
These physiological processes are fundamental to maintaining photoreceptor
health, and their dysfunction is associated with numerous retinal diseases. While
both processes have been extensively studied in animal models and postmortem
eyes, little is known about them in the living eye, in particular human. In this
study, we report discovery of the optical signature associated with disc shedding
using a method based on adaptive optics optical coherence tomography (AO-OCT) in
conjunction with post-processing methods to track and monitor individual cone
cells in 4D. The optical signature of disc shedding is characterized by an abrupt
transient loss in the cone outer segment tip (COST) reflection followed by its
return that is axially displaced anteriorly. Using this signature, we measured
the temporal and spatial properties of shedding events in three normal subjects.
Average duration of the shedding event was 8.8 +/- 13.4 minutes, and average
length loss of the OS was 2.1 MUm (7.0% of OS length). Prevalence of cone
shedding was highest in the morning (14.3%) followed by the afternoon (5.7%) and
evening (4.0%), with load distributed across the imaged patch. To the best of our
knowledge these are the first images of photoreceptor disc shedding in the living
retina.
PMID- 27895997
TI - Thermal therapy with magnetic nanoparticles for cell destruction.
AB - In this article we suggest a new concept for cell destruction based upon
manipulating magnetic nanoparticles (MNPs) by applying external, low frequency
alternating magnetic field (AMF) that oscillates the particles, together with
focused laser illumination. Assessment of temperature profiles in a head and neck
squamous cell carcinoma sample showed that cells with MNPs, treated with AMF (3
Hz, 300 mW) and laser irradiation (30 mW), reached 42 degrees C after 4.5 min, as
opposed to cells treated with laser but without AMF. Moreover, a theoretical
model was developed to assess the overall theoretical temperature rise, which was
shown to be 50% lower than the experimental temperature. Furthermore, we found
that the combination of laser irradiation and AMF decreased the number of live
cells by ~50%. Thus, the concentrated assembly of laser heating with AMF-induced
MNP oscillations leads to more rapid and efficient cell death. These results
suggest that the manipulated MNP technique can serve as a superior agent for PTT,
with improved cell death capabilities.
PMID- 27895996
TI - Label-free fast 3D coherent imaging reveals pancreatic islet micro
vascularization and dynamic blood flow.
AB - In diabetes, pancreatic beta-cells play a key role. These cells are clustered
within structures called islets of Langerhans inside the pancreas and produce
insulin, which is directly secreted into the blood stream. The dense
vascularization of islets of Langerhans is critical for maintaining a proper
regulation of blood glucose homeostasis and is known to be affected from the
early stage of diabetes. The deep localization of these islets inside the
pancreas in the abdominal cavity renders their in vivo visualization a
challenging task. A fast label-free imaging method with high spatial resolution
is required to study the vascular network of islets of Langerhans. Based on these
requirements, we developed a label-free and three-dimensional imaging method for
observing islets of Langerhans using extended-focus Fourier domain Optical
Coherence Microscopy (xfOCM). In addition to structural imaging, this system
provides three-dimensional vascular network imaging and dynamic blood flow
information within islets of Langerhans. We propose our method to deepen the
understanding of the interconnection between diabetes and the evolution of the
islet vascular network.
PMID- 27895998
TI - Quantifying intraocular scatter with near diffraction-limited double-pass point
spread function.
AB - Measurement of the double-pass (DP) point-spread function (PSF) can provide an
objective and non-invasive method for estimating intraocular scatter in the human
eye. The objective scatter index (OSI), which is calculated from the DP PSF
images, is commonly used to quantify intraocular scatter. In this article, we
simulated the effect of higher-order ocular aberrations on OSI, and the results
showed that higher-order ocular aberrations had a significant influence on OSI.
Then we developed an adaptive optics DP PSF measurement system (AO-DPPMS) which
was capable of correcting ocular aberrations up to eighth-order radial Zernike
modes over a 6.0-mm pupil. Employing this system, we obtained DP PSF images of
four subjects at the fovea. OSI values with aberrations corrected up to 2nd, 5th
and 8th Zernike order were calculated respectively, from the DP PSF images of the
four subjects. The experimental results were consistent with the simulation,
suggesting that it is necessary to compensate for the higher-order ocular
aberrations for accurate intraocular scatter estimation.
PMID- 27895999
TI - Quantifying the effect of adipose tissue in muscle oximetry by near infrared
spectroscopy.
AB - Change of muscle tissue oxygen saturation (StO2), due to exercise, measured by
near infrared spectroscopy (NIRS) is known to be lower for subjects with higher
adipose tissue thickness. This is most likely not physiological but caused by the
superficial fat and adipose tissue. In this paper we assessed, in vitro, the
influence of adipose tissue thickness on muscle StO2, measured by NIRS oximeters.
We measured StO2 of a liquid phantom by 3 continuous wave (CW) oximeters
(Sensmart Model X-100 Universal Oximetry System, INVOS 5100C, and OxyPrem v1.3),
as well as a frequency-domain oximeter, OxiplexTS, through superficial layers
with 4 different thicknesses. Later, we employed the results to calibrate OxyPrem
v1.3 for adipose tissue thickness in-vivo.
PMID- 27896000
TI - Erratum: Perceived image quality with simulated segmented bifocal corrections:
publisher's note.
AB - [This corrects the article on p. 4388 in vol. 7.].
PMID- 27896001
TI - Long-range, wide-field swept-source optical coherence tomography with GPU
accelerated digital lock-in Doppler vibrography for real-time, in vivo middle ear
diagnostics.
AB - We present the design, implementation and validation of a swept-source optical
coherence tomography (OCT) system for real-time imaging of the human middle ear
in live patients. Our system consists of a highly phase-stable Vernier-tuned
distributed Bragg-reflector laser along with a real-time processing engine
implemented on a graphics processing unit. We use the system to demonstrate, for
the first time in live subjects, real-time Doppler measurements of middle ear
vibration in response to sound, video rate 2D B-mode imaging of the middle ear
and 3D volumetric B-mode imaging. All measurements were performed non-invasively
through the intact tympanic membrane demonstrating that the technology is readily
translatable to the clinic.
PMID- 27896002
TI - Erratum: Estimation of Jones matrix, birefringence and entropy using Cloude
Pottier decomposition in polarization-sensitive optical coherence tomography:
erratum.
AB - [This corrects the article on p. 3551 in vol. 7, PMID: 27699120.].
PMID- 27896003
TI - Kagome fiber based ultrafast laser microsurgery probe delivering micro-Joule
pulse energies.
AB - We present the development of a 5 mm, piezo-actuated, ultrafast laser scalpel for
fast tissue microsurgery. Delivery of micro-Joules level energies to the tissue
was made possible by a large, 31 MUm, air-cored inhibited-coupling Kagome fiber.
We overcome the fiber's low NA by using lenses made of high refractive index ZnS,
which produced an optimal focusing condition with 0.23 NA objective. The optical
design achieved a focused laser spot size of 4.5 MUm diameter covering a 75 * 75
MUm2 scan area in a miniaturized setting. The probe could deliver the maximum
available laser power, achieving an average fluence of 7.8 J/cm2 on the tissue
surface at 62% transmission efficiency. Such fluences could produce
uninterrupted, 40 MUm deep cuts at translational speeds of up to 5 mm/s along the
tissue. We predicted that the best combination of speed and coverage exists at 8
mm/s for our conditions. The onset of nonlinear absorption in ZnS, however,
limited the probe's energy delivery capabilities to 1.4 MUJ for linear operation
at 1.5 picosecond pulse-widths of our fiber laser. Alternatives like broadband
CaF2 crystals should mitigate such nonlinear limiting behavior. Improved opto
mechanical design and appropriate material selection should allow substantially
higher fluence delivery and propel such Kagome fiber-based scalpels towards
clinical translation.
PMID- 27896004
TI - Photolysis of a peptide with N-peptidyl-7-nitroindoline units using two-photon
absorption.
AB - N-acyl-7-nitroindolines have been used as caged compounds to photorelease active
molecules by a one- or two-photon excitation mechanism in biological systems.
Here, we report the photolysis of a polypeptide that contains 7-nitroindoline
units as linker moieties in its peptide backbone for potential materials
engineering applications. Upon two-photon excitation with femtosecond laser light
at 710 nm the photoreactive amide bond in N-peptidyl-7-nitroindolines is cleaved
rendering short peptide fragments. Thus, this photochemical process changes the
molecular composition at the laser focal volume. Gel modifications of this
peptide can potentially be used for three-dimensional microstructure fabrication.
PMID- 27896005
TI - Cerebral blood flow is decoupled from blood pressure and linked to EEG bursting
after resuscitation from cardiac arrest.
AB - In the present study, we have developed a multi-modal instrument that combines
laser speckle imaging, arterial blood pressure, and electroencephalography (EEG)
to quantitatively assess cerebral blood flow (CBF), mean arterial pressure (MAP),
and brain electrophysiology before, during, and after asphyxial cardiac arrest
(CA) and resuscitation. Using the acquired data, we quantified the time and
magnitude of the CBF hyperemic peak and stabilized hypoperfusion after
resuscitation. Furthermore, we assessed the correlation between CBF and MAP
before and after stabilized hypoperfusion. Finally, we examined when brain
electrical activity resumes after resuscitation from CA with relation to CBF and
MAP, and developed an empirical predictive model to predict when brain electrical
activity resumes after resuscitation from CA. Our results show that: 1) more
severe CA results in longer time to stabilized cerebral hypoperfusion; 2) CBF and
MAP are coupled before stabilized hypoperfusion and uncoupled after stabilized
hypoperfusion; 3) EEG activity (bursting) resumes after the CBF hyperemic phase
and before stabilized hypoperfusion; 4) CBF predicts when EEG activity resumes
for 5-min asphyxial CA, but is a poor predictor for 7-min asphyxial CA. Together,
these novel findings highlight the importance of using multi-modal approaches to
investigate CA recovery to better understand physiological processes and
ultimately improve neurological outcome.
PMID- 27896006
TI - Ultrahigh-speed, phase-sensitive full-field interferometric confocal microscopy
for quantitative microscale physiology.
AB - We developed ultra-high-speed, phase-sensitive, full-field reflection
interferometric confocal microscopy (FFICM) for the quantitative characterization
of in vivo microscale biological motions and flows. We demonstrated 2D frame
rates in excess of 1 kHz and pixel throughput rates up to 125 MHz. These fast
FFICM frame rates were enabled by the use of a low spatial coherence, high-power
laser source. Specifically, we used a dense vertical cavity surface emitting
laser (VCSEL) array that synthesized low spatial coherence light through a large
number of narrowband, mutually-incoherent emitters. Off-axis interferometry
enabled single-shot acquisition of the complex-valued interferometric signal. We
characterized the system performance (~2 MUm lateral resolution, ~8 MUm axial
gating depth) with a well-known target. We also demonstrated the use of this
highly parallelized confocal microscopy platform for visualization and
quantification of cilia-driven surface flows and cilia beat frequency in an
important animal model (Xenopus embryos) with >1 kHz frame rate. Such frame rates
are needed to see large changes in local flow velocity over small distance (high
shear flow), in this case, local flow around a single ciliated cell. More
generally, our results are an important demonstration of low-spatial coherence,
high-power lasers in high-performance, quantitative biomedical imaging.
PMID- 27896007
TI - In-vivo monitoring of tissue oxygen saturation in deep brain structures using a
single fiber optical system.
AB - We propose a single fiber optical system for monitoring tissue oxygen saturation
(sO2) based on continuous-wave reflectance spectroscopy in the visible
wavelengths. The system is designed for measurements in deep brain structures by
stereotaxically implanting the 200 MUm-core fiber probe into the tissue of
interest. Monte Carlo (MC) simulations were used to estimate the measurement
tissue volume between 0.02-0.03 mm3. Experiments in an optical phantom indicated
the system had a root mean squared error (RMSE) of 4.21% compared with a
commercial fluorescence-based tissue oxygen partial pressure measuring system.
Finally, we used the system for continuously monitoring tissue sO2 from a highly
localized volume in anesthetized mice.
PMID- 27896008
TI - Experimental results of full scattering profile from finger tissue-like phantom.
AB - Human tissue is one of the most complex optical media since it is turbid and
nonhomogeneous. We suggest a new optical method for sensing physiological tissue
state, based on the collection of the ejected light at all exit angles, to
receive the full scattering profile. We built a unique set-up for noninvasive
encircled measurement. We use a laser, a photodetector and finger tissues
mimicking phantoms presenting different optical properties. Our method reveals an
isobaric point, which is independent of the optical properties. We compared the
new finger tissues-like phantoms to others samples and found the linear
dependence between the isobaric point's angle and the exact tissue geometry.
These findings can be useful for biomedical applications such as non-invasive and
simple diagnostic of the fingertip joint, ear lobe and pinched tissues.
PMID- 27896009
TI - Nonlinear characterization of elasticity using quantitative optical coherence
elastography.
AB - Optical coherence elastography (OCE) has been used to perform mechanical
characterization on biological tissue at the microscopic scale. In this work, we
used quantitative optical coherence elastography (qOCE), a novel technology we
recently developed, to study the nonlinear elastic behavior of biological tissue.
The qOCE system had a fiber-optic probe to exert a compressive force to deform
tissue under the tip of the probe. Using the space-division multiplexed optical
coherence tomography (OCT) signal detected by a spectral domain OCT engine, we
were able to simultaneously quantify the probe deformation that was proportional
to the force applied, and to quantify the tissue deformation. In other words, our
qOCE system allowed us to establish the relationship between mechanical stimulus
and tissue response to characterize the stiffness of biological tissue. Most
biological tissues have nonlinear elastic behavior, and the apparent stress
strain relationship characterized by our qOCE system was nonlinear an extended
range of strain, for a tissue-mimicking phantom as well as biological tissues.
Our experimental results suggested that the quantification of force in OCE was
critical for accurate characterization of tissue mechanical properties and the
qOCE technique was capable of differentiating biological tissues based on the
elasticity of tissue that is generally nonlinear.
PMID- 27896010
TI - Freeze-thaw hysteresis effects in terahertz imaging of biomedical tissues.
AB - There have recently been several studies published involving terahertz (THz)
imaging of frozen biomedical samples. In this paper, we investigate the effects
of the freeze-thaw cycle on THz properties of porcine muscle and fat samples. For
ordinary freezing, there was a significant change in the THz properties after
thawing for muscle tissue but not for fat tissue. However, if snap-freezing was
combined with fast-thawing instead of ordinary freezing and ordinary thawing,
then the freeze-thaw hysteresis was removed.
PMID- 27896012
TI - Long-range and wide field of view optical coherence tomography for in vivo 3D
imaging of large volume object based on akinetic programmable swept source.
AB - Current optical coherence tomography (OCT) imaging suffers from short ranging
distance and narrow imaging field of view (FOV). There is growing interest in
searching for solutions to these limitations in order to expand further in vivo
OCT applications. This paper describes a solution where we utilize an akinetic
swept source for OCT implementation to enable ~10 cm ranging distance, associated
with the use of a wide-angle camera lens in the sample arm to provide a FOV of
~20 x 20 cm2. The akinetic swept source operates at 1300 nm central wavelength
with a bandwidth of 100 nm. We propose an adaptive calibration procedure to the
programmable akinetic light source so that the sensitivity of the OCT system over
~10 cm ranging distance is substantially improved for imaging of large volume
samples. We demonstrate the proposed swept source OCT system for in vivo imaging
of entire human hands and faces with an unprecedented FOV (up to 400 cm2). The
capability of large-volume OCT imaging with ultra-long ranging and ultra-wide FOV
is expected to bring new opportunities for in vivo biomedical applications.
PMID- 27896011
TI - Skin inhomogeneity as a source of error in remote PPG-imaging.
AB - Remote photoplethysmography (rPPG) imaging is an optical technique to remotely
assess the local cutaneous microcirculation. Despite its potential for enabling
health-related applications, the current understanding of the outcome images/maps
remains incomplete. In this paper, we present a model and supporting experiments
confirming the contribution of skin inhomogeneity to the morphology of PPG
waveforms. Since rPPG imagers rely on the complex inner-product operator and may
combine multiple wavelengths, the derived phase measurements reflect
morphological heterogeneity of PPG signals to a larger extent than propagation
related phase differences. The influence of light penetration depth on PPG was
observed and modeled on the green and red wavelengths at the hand region. We
further show how our work contributes to understanding reproducibility issues in
recent papers on pulse wave velocity (PWV) estimation.
PMID- 27896013
TI - Using electron microscopy to calculate optical properties of biological samples.
AB - The microscopic structural origins of optical properties in biological media are
still not fully understood. Better understanding these origins can serve to
improve the utility of existing techniques and facilitate the discovery of other
novel techniques. We propose a novel analysis technique using electron microscopy
(EM) to calculate optical properties of specific biological structures. This
method is demonstrated with images of human epithelial colon cell nuclei. The
spectrum of anisotropy factor g, the phase function and the shape factor D of the
nuclei are calculated. The results show strong agreement with an independent
study. This method provides a new way to extract the true phase function of
biological samples and provides an independent validation for optical property
measurement techniques.
PMID- 27896014
TI - Single-frame rapid autofocusing for brightfield and fluorescence whole slide
imaging.
AB - A critical consideration for whole slide imaging (WSI) platform is to perform
accurate autofocusing at high speed. Typical WSI systems acquire a z-stack of
sample images and determine the best focal position by maximizing a figure of
merit. This strategy, however, has suffered from several limitations, including
low speed due to multiple image acquisitions, relatively low accuracy of focal
plane estimation, short axial range for autofocusing, and difficulties in
handling transparent samples. By exploring the autocorrelation property of the
tissue sections, we report a novel single-frame autofocusing scheme to address
the above challenges. In this approach, we place a two-pinhole-modulated camera
at the epi-illumination arm. The captured image contains two copies of the sample
separated by a certain distance. By identifying this distance, we can recover the
defocus distance of the sample over a long z-range without z-scanning. To handle
transparent samples, we set an offset distance to the autofocusing camera for
generating out-of-focus contrast in the captured image. The single-frame nature
of our scheme allows autofocusing even when the stage is in continuous motion. We
demonstrate the use of the our autofocusing scheme for fluorescence WSI and
quantify the focusing performance on 1550 different tissue tiles. The average
autofocusing error is ~0.11 depth-of-field, 3 folds better than that of
conventional methods. We report an autofocusing speed of 0.037 s per tile, which
is much faster than that of conventional methods. The autofocusing range is ~80
um, 8 folds longer than that of conventional methods. The reported scheme is able
to solve the autofocusing challenges in WSI systems and may find applications in
high-throughput brightfield/fluorescence WSI.
PMID- 27896015
TI - Development of a multi-wavelength diffuse optical tomography system for early
diagnosis of rheumatoid arthritis: simulation, phantoms and healthy human
studies.
AB - A multi-wavelength diffuse optical tomography (DOT) system has been developed to
directly extract physiological information, such as total haemoglobin
concentration, from tissue in human hand joints. Novel methods for 3D surface
imaging and spectrally constrained image reconstruction are introduced and their
potential application to imaging of rheumatoid arthritis is discussed. Results
are presented from simulation studies as well as experiments using phantoms and
data from imaging of three healthy volunteers. The image features are recovered
partially for phantom data using transmission measurements only. Images that
reveal joint regions and surrounding features within the hand are shown to co
register with co-acquired ultrasound images which are shown to be related to
total haemoglobin concentration.
PMID- 27896016
TI - Wide-field Fourier ptychographic microscopy using laser illumination source.
AB - Fourier ptychographic (FP) microscopy is a coherent imaging method that can
synthesize an image with a higher bandwidth using multiple low-bandwidth images
captured at different spatial frequency regions. The method's demand for multiple
images drives the need for a brighter illumination scheme and a high-frame-rate
camera for a faster acquisition. We report the use of a guided laser beam as an
illumination source for an FP microscope. It uses a mirror array and a 2
dimensional scanning Galvo mirror system to provide a sample with plane-wave
illuminations at diverse incidence angles. The use of a laser presents speckles
in the image capturing process due to reflections between glass surfaces in the
system. They appear as slowly varying background fluctuations in the final
reconstructed image. We are able to mitigate these artifacts by including a phase
image obtained by differential phase contrast (DPC) deconvolution in the FP
algorithm. We use a 1-Watt laser configured to provide a collimated beam with 150
mW of power and beam diameter of 1 cm to allow for the total capturing time of
0.96 seconds for 96 raw FPM input images in our system, with the camera sensor's
frame rate being the bottleneck for speed. We demonstrate a factor of 4
resolution improvement using a 0.1 NA objective lens over the full camera field
of-view of 2.7 mm by 1.5 mm.
PMID- 27896017
TI - Simple approach to three-color two-photon microscopy by a fiber-optic wavelength
convertor.
AB - A simple approach to multi-color two-photon microscopy of the red, green, and
blue fluorescent indicators was reported based on an ultra-compact 1.03-MUm
femtosecond laser and a nonlinear fiber. Inside the nonlinear fiber, the 1.03-MUm
laser pulses were simultaneously blue-shifted to 0.6~0.8 MUm and red-shifted to
1.2~1.4 MUm region by the Cherenkov radiation and fiber Raman gain effects. The
wavelength-shifted 0.6~0.8 MUm and 1.2~1.4 MUm radiations were co-propagated with
the residual non-converted 1.03-MUm pulses inside the same nonlinear fiber to
form a fiber-output three-color femtosecond source. The application of the multi
wavelength sources on multi-color two-photon fluorescence microscopy were also
demonstrated. Overall, due to simple system configuration, convenient wavelength
conversion, easy wavelength tunability within the entire 0.7~1.35 MUm bio
penetration window and less requirement for high power and bulky light sources,
the simple approach to multi-color two-photon microscopy could be widely
applicable as an easily implemented and excellent research tool for future
biomedical and possibly even clinical applications.
PMID- 27896018
TI - Development and preliminary results of bimanual smart micro-surgical system using
a ball-lens coupled OCT distance sensor.
AB - Bimanual surgery enhances surgical effectiveness and is required to successfully
accomplish complex microsurgical tasks. The essential advantage is the ability to
simultaneously grasp tissue with one hand to provide counter traction or
exposure, while dissecting with the other. Towards enhancing the precision and
safety of bimanual microsurgery we present a bimanual SMART micro-surgical system
for a preliminary ex-vivo study. To the best of our knowledge, this is the first
demonstration of a handheld bimanual microsurgical system. The essential
components include a ball-lens coupled common-path swept source optical coherence
tomography sensor. This system effectively suppresses asynchronous hand tremor
using two PZT motors in feedback control loop and efficiently assists
ambidextrous tasks. It allows precise bimanual dissection of biological tissues
with a reduction in operating time as compared to the same tasks performed with
conventional one-handed approaches.
PMID- 27896019
TI - Naloxone and Metabolites Quantification in Cord Blood of Prenatally Exposed
Newborns and Correlations with Maternal Concentrations.
AB - Objective To quantify naloxone and metabolite concentrations in newborns
prenatally exposed to sublingual buprenorphine/naloxone and to correlate neonatal
and maternal metabolite concentrations. Methods This is a prospective
observational cohort study. Eleven pregnant women treated for opioid use disorder
with sublingual buprenorphine/naloxone were enrolled. Maternal and newborn blood
was collected and analyzed for naloxone, buprenorphine, and metabolites via
liquid chromatography tandem mass spectrometry. Descriptive statistics and
correlation coefficients were utilized to analyze data. Results Maternal daily
naloxone and buprenorphine doses were 1 to 5 mg and 4 to 20 mg, respectively; the
mean (standard deviation) time from medication until delivery was 9.9 (4.3)
hours. Naloxone was below the limits of quantification (LOQ) in five infants and
six mothers with a range of less than LOQ to 0.3 MUg/L. There was a strong
positive correlation between maternal and newborn naloxone concentrations:
Spearman's rho = 0.89 (p < 0.01). There were strong positive correlations between
maternal and neonatal assays for the buprenorphine analyte concentrations:
buprenorphine rho = 0.88 (p < 0.01), norbuprenorphine rho = 0.71 (p = 0.01), and
norbuprenorphine-glucuronide rho = 0.98 (p < 0.01), but not for buprenorphine
glucuronide, rho = 0.53 (p = 0.10). Conclusion Naloxone and buprenorphine are
transferred to the fetus during prenatal exposure to maternal sublingual
buprenorphine/naloxone. The quantity of naloxone transferred from maternal
circulation is minimal and highly correlated with maternal concentrations.
PMID- 27896021
TI - Lithocholic acid induces endoplasmic reticulum stress, autophagy and
mitochondrial dysfunction in human prostate cancer cells.
AB - Lithocholic acid (LCA) is a secondary bile acid that is selectively toxic to
human neuroblastoma, breast and prostate cancer cells, whilst sparing normal
cells. We previously reported that LCA inhibited cell viability and proliferation
and induced apoptosis and necrosis of androgen-dependent LNCaP and androgen
independent PC-3 human prostate cancer cells. In the present study, we
investigated the roles of endoplasmic reticulum (ER) stress, autophagy and
mitochondrial dysfunction in the toxicity of LCA in PC-3 and autophagy deficient,
androgen-independent DU-145 cells. LCA induced ER stress-related proteins, such
as CCAAT-enhancer-binding protein homologous protein (CHOP), and the
phosphorylation of eukaryotic initiation factor 2-alpha (p-eIF2alpha) and c-Jun N
terminal kinases (p-JNK) in both cancer cell-types. The p53 upregulated modulator
of apoptosis (PUMA) and B cell lymphoma-like protein 11 (BIM) levels were
decreased at overtly toxic LCA concentrations, although PUMA levels increased at
lower LCA concentrations in both cell lines. LCA induced autophagy-related
conversion of microtubule-associated proteins 1A/1B light chain 3B (LC3BI
LC3BII), and autophagy-related protein ATG5 in PC-3 cells, but not in autophagy
deficient DU-145 cells. LCA (>10 uM) increased levels of reactive oxygen species
(ROS) concentration-dependently in PC-3 cells, whereas ROS levels were not
affected in DU-145 cells. Salubrinal, an inhibitor of eIF2alpha dephosphorylation
and ER stress, reduced LCA-induced CHOP levels slightly in PC-3, but not DU-145
cells. Salubrinal pre-treatment increased the cytotoxicity of LCA in PC-3 and DU
145 cells and resulted in a statistically significant loss of cell viability at
normally non-toxic concentrations of LCA. The late-stage autophagy inhibitor
bafilomycin A1 exacerbated LCA toxicity at subtoxic LCA concentrations in PC-3
cells. The antioxidant alpha-tocotrienol strongly inhibited the toxicity of LCA
in PC-3 cells, but not in DU-145 cells. Collectively, although LCA induces
autophagy and ER stress in PC-3 cells, these processes appear to be initially of
protective nature and subsequently consequential to, but not critical for the ROS
mediated mitochondrial dysfunction and cytotoxicity of LCA. The full mechanism of
LCA-induced mitochondrial dysfunction and cytotoxicity in the similarly sensitive
DU-145 cells remains to be elucidated.
PMID- 27896022
TI - PDIA3 gene induces visceral hypersensitivity in rats with irritable bowel
syndrome through the dendritic cell-mediated activation of T cells.
AB - This study investigated the mechanism of protein disulfide-isomerase A3 (PDIA3)
induced visceral hypersensitivity in irritable bowel syndrome (IBS). Rats were
treated with saline (control), acetic acid and restraint stress (IBS model),
empty vector (RNAi control) and PDIA3-RNAi vector (PDIA3-RNAi). Mesenteric lymph
node DCs (MLNDCs) and splenic CD4+/CD8+ T cells were isolated for co-cultivation.
Compared with control, MLNDCs co-cultured with CD4+ or CD8+ T cells showed an
increased ability to promote T cell proliferation and produced more IL-4 or IL-9
secretion. Compared with the RNAi control, MLNDCs from the PDIA3 knockdown models
were less effective in promoting the proliferation of CD4+/CD8+ T cells. It is
concluded that PDIA3 plays an important role in the development of IBS through
the DC-mediated activation of T cells, resulting in degranulation of MCs and
visceral hypersensitivity.
PMID- 27896020
TI - Identification of phenolic secondary metabolites from Schotia brachypetala Sond.
(Fabaceae) and demonstration of their antioxidant activities in Caenorhabditis
elegans.
AB - BACKGROUND: Schotia brachypetala Sond. (Fabaceae) is an endemic tree of Southern
Africa whose phytochemistry and pharmacology were slightly studied. The present
work aimed at profiling the major phenolics compounds present in the hydro
alcohol extract from S. brachypetala leaves (SBE) using LC/HRESI/MS/MS and NMR
and prove their antioxidant capabilities using novel methods. METHODS: In vitro
assays; DPPH, TEAC persulfate decolorizing kinetic and FRAP assays, and in vivo
assays: Caenorhabditis elegans strains maintenance, Intracellular ROS in C.
elegans, Survival assay, GFP expression and Subcellular DAF-16 localization were
employed to evaluate the antioxidant activity. RESULTS: More than forty
polyphenols, including flavonoid glycosides, galloylated flavonoid glycosides,
isoflavones, dihydrochalcones, procyanidins, anthocyanins, hydroxy benzoic acid
derivatives, hydrolysable tannins, and traces of methylated and acetylated
flavonoid derivatives were identified. Three compounds were isolated and
identified from the genus Schotia for the first time, namely gallic acid,
myricetin-3-O-alpha-L-1C4-rhamnoside and quercetin-3-O-L-1C4-rhamnoside. The
total phenolics content of SBE was (376 mg CAE/g), followed by flavonoids (67.87
QE/g). In vitro antioxidant activity of SBE was evidenced by DPPH radical
scavenging activity (IC50 of 9 ug/mL), FRAP ferric reducing activity (5,000 mol
Fe2+ E/mg) and ABTS peroxide inhibiting activity (1,054 mM Trolox E/mg). The
tested extract was able to protect the worms against juglone induced oxidative
stress, an increased survival rate (up to 41%) was recorded, when compared with
the control group (11%) and attenuate the reactive oxygen species (ROS)
accumulation in dose-dependent and reached up to 72% for the highest tested
concentration. SBE was also able to attenuate the levels of heat shock protein
(HSP) expression in dose-dependent up to 60% in the 150 ug SBE/mL group. In DAF
16 Subcellular localization SBE treated worms showed nuclear localization pattern
up to 78%, while it was only 5% in the untreated control group. DISCUSSION: A
pronounced antioxidant activity in vivo, which can be attributed to its ability
to promote the nuclear translocation of DAF-16/FOXO, the main transcription
factor regulating the expression of stress response genes. The remarkable
antioxidant activity in vitro and in vivo correlates to SBE rich phenolic
profile.
PMID- 27896023
TI - Ceratopogonidae (Diptera: Nematocera) of the piedmont of the Yungas forests of
Tucuman: ecology and distribution.
AB - Within the Ceratopogonidae family, many genera transmit numerous diseases to
humans and animals, while others are important pollinators of tropical crops. In
the Yungas ecoregion of Argentina, previous systematic and ecological research on
Ceratopogonidae focused on Culicoides, since they are the main transmitters of
mansonelliasis in northwestern Argentina; however, few studies included the
genera Forcipomyia, Dasyhelea, Atrichopogon, Alluaudomyia, Echinohelea, and
Bezzia. Therefore, the objective of this study was to determine the presence and
abundance of Ceratopogonidae in this region, their association with
meteorological variables, and their variation in areas disturbed by human
activity. Monthly collection of specimens was performed from July 2008 to July
2009 using CDC miniature light traps deployed for two consecutive days. A total
of 360 specimens were collected, being the most abundant Dasyhelea genus (48.06%)
followed by Forcipomyia (26.94%) and Atrichopogon (13.61%). Bivariate analyses
showed significant differences in the abundance of the genera at different
sampling sites and climatic conditions, with the summer season and El Corralito
site showing the greatest abundance of specimens. Accumulated rainfall was the
variable that related the most to the abundance of Culicoides (10.56%), while
temperature was the most closely related variable to the abundance of
Forcipomyia, Dasyhelea, and Atrichopogon.
PMID- 27896024
TI - Salinity modulates thermotolerance, energy metabolism and stress response in
amphipods Gammarus lacustris.
AB - Temperature and salinity are important abiotic factors for aquatic invertebrates.
We investigated the influence of different salinity regimes on thermotolerance,
energy metabolism and cellular stress defense mechanisms in amphipods Gammarus
lacustris Sars from two populations. We exposed amphipods to different thermal
scenarios and determined their survival as well as activity of major antioxidant
enzymes (peroxidase, catalase, glutathione S-transferase) and parameters of
energy metabolism (content of glucose, glycogen, ATP, ADP, AMP and lactate).
Amphipods from a freshwater population were more sensitive to the thermal
challenge, showing higher mortality during acute and gradual temperature change
compared to their counterparts from a saline lake. A more thermotolerant
population from a saline lake had high activity of antioxidant enzymes. The
energy limitations of the freshwater population (indicated by low baseline
glucose levels, downward shift of the critical temperature of aerobic metabolism
and inability to maintain steady-state ATP levels during warming) was observed,
possibly reflecting a trade-off between the energy demands for osmoregulation
under the hypo-osmotic condition of a freshwater environment and protection
against temperature stress.
PMID- 27896025
TI - Zebra mussel beds: an effective feeding ground for Ponto-Caspian gobies or
suitable shelter for their prey?
AB - Aggregations of the Ponto-Caspian invasive zebra mussel (Dreissena polymorpha)
constitute a suitable habitat for macroinvertebrates, considerably increasing
their abundance and providing effective antipredator protection. Thus, the
overall effect of a mussel bed on particular predator species may vary from
positive to negative, depending on both prey density increase and predator
ability to prey in a structurally complex habitat. Alien Ponto-Caspian goby fish
are likely to be facilitated when introduced into new areas by zebra mussels,
provided that they are capable of utilizing mussel beds as habitat and feeding
grounds. We ran laboratory experiments to find which prey (chironomid larvae)
densities (from ca. 500 to 2,000 individuals m-2) in a mussel bed make it a more
beneficial feeding ground for the racer goby Babka gymnotrachelus (RG) and
western tubenose goby Proterorhinus semilunaris (WTG) compared to sandy and stone
substrata (containing the basic prey density of 500 ind. m-2). Moreover, we
checked how food availability affects habitat selection by fish. Mussel beds
became more suitable for fish than alternative mineral substrata when food
abundance was at least two times higher (1,000 vs. 500 ind. m-2), regardless of
fish size and species. WTG was associated with mussel beds regardless of its size
and prey density, whereas RG switched to this habitat when it became a better
feeding ground than alternative substrata. Larger RG exhibited a stronger
affinity for mussels than small individuals. WTG fed more efficiently from a
mussel bed at high food abundances than RG. A literature review has shown that
increasing chironomid density, which in our study was sufficient to make a mussel
habitat an attractive feeding ground for the gobies, is commonly observed in
mussel beds in the field. Therefore, we conclude that zebra mussels may
positively affect the alien goby species and are likely to facilitate their
establishment in novel areas, contributing to an invasional meltdown in the Ponto
Caspian invasive community.
PMID- 27896026
TI - jicbioimage: a tool for automated and reproducible bioimage analysis.
AB - There has been steady improvement in methods for capturing bioimages. However
analysing these images still remains a challenge. The Python programming language
provides a powerful and flexible environment for scientific computation. It has a
wide range of supporting libraries for image processing but lacks native support
for common bioimage formats, and requires specific code to be written to ensure
that suitable audit trails are generated and analyses are reproducible. Here we
describe the development of a Python tool that: (1) allows users to quickly view
and explore microscopy data; (2) generate reproducible analyses, encoding a
complete history of image transformations from raw data to final result; and (3)
scale up analyses from initial exploration to high throughput processing
pipelines, with a minimal amount of extra effort. The tool, jicbioimage, is open
source and freely available online at http://jicbioimage.readthedocs.io.
PMID- 27896027
TI - Assessing pollinators' use of floral resource subsidies in agri-environment
schemes: An illustration using Phacelia tanacetifolia and honeybees.
AB - BACKGROUND: Honeybees (Apis mellifera L.) are frequently used in agriculture for
pollination services because of their abundance, generalist floral preferences,
ease of management and hive transport. However, their populations are declining
in many countries. Agri-Environment Schemes (AES) are being implemented in
agricultural systems to combat the decline in populations of pollinators and
other insects. Despite AES being increasingly embedded in policy and budgets,
scientific assessments of many of these schemes still are lacking, and only a few
studies have examined the extent to which insect pollinators use the floral
enhancements that are part of AES and on which floral components they feed (i.e.,
pollen and/or nectar). METHODS: In the present work, we used a combination of
observations on honeybee foraging for nectar/pollen from the Californian annual
plant Phacelia tanacetifolia in the field, collection of pollen pellets from
hives, and pollen identification, to assess the value of adding phacelia to an
agro-ecosystem to benefit honeybees. RESULTS: It was found that phacelia pollen
was almost never taken by honeybees. The work here demonstrates that honeybees
may not use the floral enhancements added to a landscape as expected and points
to the need for more careful assessments of what resources are used by honeybees
in AES and understanding the role, if any, which AES play in enhancing pollinator
fitness. DISCUSSION: We recommend using the methodology in this paper to explore
the efficacy of AES before particular flowering species are adopted more widely
to give a more complete illustration of the actual efficacy of AES.
PMID- 27896029
TI - Biology of tiny animals: three new species of minute salamanders (Plethodontidae:
Thorius) from Oaxaca, Mexico.
AB - We describe three new species of minute salamanders, genus Thorius, from the
Sierra Madre del Sur of Oaxaca, Mexico. Until now only a single species, T.
minutissimus, has been reported from this region, although molecular data have
long shown extensive genetic differentiation among geographically disjunct
populations. Adult Thorius pinicola sp. nov., T. longicaudus sp. nov., and T.
tlaxiacus sp. nov. are larger than T. minutissimus and possess elliptical rather
than oval nostrils; T. pinicola and T. longicaudus also have longer tails. All
three new species occur west of the range of T. minutissimus, which has the
easternmost distribution of any member of the genus. The new species are
distinguished from each other and from other named Thorius in Oaxaca by a
combination of adult body size, external morphology and osteology, and by protein
characters (allozymes) and differences in DNA sequences. In addition, we
redescribe T. minutissimus and a related species, T. narisovalis, to further
clarify the taxonomic status of Oaxacan populations and to facilitate future
studies of the remaining genetically differentiated Thorius that cannot be
satisfactorily assigned to any named species. Populations of all five species
considered here appear to have declined dramatically over the last one or two
decades and live specimens are difficult to find in nature. Thorius may be the
most endangered genus of amphibians in the world. All species may go extinct
before the end of this century.
PMID- 27896028
TI - The scavenger receptor repertoire in six cnidarian species and its putative role
in cnidarian-dinoflagellate symbiosis.
AB - Many cnidarians engage in a mutualism with endosymbiotic photosynthetic
dinoflagellates that forms the basis of the coral reef ecosystem. Interpartner
interaction and regulation includes involvement of the host innate immune system.
Basal metazoans, including cnidarians have diverse and complex innate immune
repertoires that are just beginning to be described. Scavenger receptors (SR) are
a diverse superfamily of innate immunity genes that recognize a broad array of
microbial ligands and participate in phagocytosis of invading microbes. The
superfamily includes subclades named SR-A through SR-I that are categorized based
on the arrangement of sequence domains including the scavenger receptor cysteine
rich (SRCR), the C-type lectin (CTLD) and the CD36 domains. Previous functional
and gene expression studies on cnidarian-dinoflagellate symbiosis have implicated
SR-like proteins in interpartner communication and regulation. In this study, we
characterized the SR repertoire from a combination of genomic and transcriptomic
resources from six cnidarian species in the Class Anthozoa. We combined these
bioinformatic analyses with functional experiments using the SR inhibitor
fucoidan to explore a role for SRs in cnidarian symbiosis and immunity.
Bioinformatic searches revealed a large diversity of SR-like genes that resembled
SR-As, SR-Bs, SR-Es and SR-Is. SRCRs, CTLDs and CD36 domains were identified in
multiple sequences in combinations that were highly homologous to vertebrate SRs
as well as in proteins with novel domain combinations. Phylogenetic analyses of
CD36 domains of the SR-B-like sequences from a diversity of metazoans grouped
cnidarian with bilaterian sequences separate from other basal metazoans. All
cnidarian sequences grouped together with moderate support in a subclade
separately from bilaterian sequences. Functional experiments were carried out on
the sea anemone Aiptasia pallida that engages in a symbiosis with Symbiodinium
minutum (clade B1). Experimental blocking of the SR ligand binding site with the
inhibitor fucoidan reduced the ability of S. minutum to colonize A. pallida
suggesting that host SRs play a role in host-symbiont recognition. In addition,
incubation of symbiotic anemones with fucoidan elicited an immune response,
indicating that host SRs function in immune modulation that results in host
tolerance of the symbionts.
PMID- 27896031
TI - Salinity and temperature significantly influence seed germination, seedling
establishment, and seedling growth of eelgrass Zostera marina L.
AB - Globally, seagrass beds have been recognized as critical yet declining coastal
habitats. To mitigate seagrass losses, seagrass restorations have been conducted
in worldwide over the past two decades. Seed utilization is considered to be an
important approach in seagrass restoration efforts. In this study, we
investigated the effects of salinity and temperature on seed germination,
seedling establishment, and seedling growth of eelgrass Zostera marina L. (Swan
Lake, northern China). We initially tested the effects of salinity (0, 5, 10, 15,
20, 25, 30, 35, and 40 ppt) and water temperature (5, 10, 15, and 20 degrees C)
on seed germination to identify optimal levels. To identify levels of salinity
that could potentially limit survival and growth, and, consequently, the spatial
distribution of seedlings in temperate estuaries, we then examined the effect of
freshwater and other salinity levels (10, 20, and 30 ppt) on seedling growth and
establishment to confirm suitable conditions for seedling development. Finally,
we examined the effect of transferring germinated seeds from freshwater or low
salinity levels (1, 5, and 15 ppt) to natural seawater (32 ppt) on seedling
establishment rate (SER) at 15 degrees C. In our research, we found that: (1)
Mature seeds had a considerably lower moisture content than immature seeds;
therefore, moisture content may be a potential indicator of Z. marina seed
maturity; (2) Seed germination significantly increased at low salinity (p <
0.001) and high temperature (p < 0.001). Salinity had a much stronger influence
on seed germination than temperature. Maximum seed germination (88.67 +/- 5.77%)
was recorded in freshwater at 15 degrees C; (3) Freshwater and low salinity
levels (< 20 ppt) increased germination but had a strong negative effect on
seedling morphology (number of leaves per seedling reduced from 2 to 0, and
maximum seedling leaf length reduced from 4.48 to 0 cm) and growth (seedling
biomass reduced by 46.15-66.67% and maximum seedling length reduced by 21.16
69.50%). However, Z. marina performed almost equally well at salinities of 20 and
30 ppt. Very few germinated seeds completed leaf differentiation and seedling
establishment in freshwater or at low salinity, implying that freshwater and low
salinity may potentially limit the distribution of this species in coastal and
estuarine waters. Therefore, the optimum salinity for Z. marina seedling
establishment and colonization appears to be above 20 ppt in natural beds; (4)
Seeds germinated in freshwater or at low salinity levels could be transferred to
natural seawater to accomplish seedling establishment and colonization. This may
be the optimal method for the adoption of seed utilization in seagrass
restoration. We also identified seven stages of seed germination and seedling
metamorphosis in order to characterize growth and developmental characteristics.
Our results may serve as useful information for Z. marina habitat establishment
and restoration programs.
PMID- 27896030
TI - Historical reconstruction of climatic and elevation preferences and the evolution
of cloud forest-adapted tree ferns in Mesoamerica.
AB - BACKGROUND: Cloud forests, characterized by a persistent, frequent or seasonal
low-level cloud cover and fragmented distribution, are one of the most threatened
habitats, especially in the Neotropics. Tree ferns are among the most conspicuous
elements in these forests, and ferns are restricted to regions in which minimum
temperatures rarely drop below freezing and rainfall is high and evenly
distributed around the year. Current phylogeographic data suggest that some of
the cloud forest-adapted species remained in situ or expanded to the lowlands
during glacial cycles and contracted allopatrically during the interglacials.
Although the observed genetic signals of population size changes of cloud forest
adapted species including tree ferns correspond to predicted changes by
Pleistocene climate change dynamics, the observed patterns of intraspecific
lineage divergence showed temporal incongruence. METHODS: Here we combined
phylogenetic analyses, ancestral area reconstruction, and divergence time
estimates with climatic and altitudinal data (environmental space) for phenotypic
traits of tree fern species to make inferences about evolutionary processes in
deep time. We used phylogenetic Bayesian inference and geographic and altitudinal
distribution of tree ferns to investigate ancestral area and elevation and
environmental preferences of Mesoamerican tree ferns. The phylogeny was then used
to estimate divergence times and ask whether the ancestral area and elevation and
environmental shifts were linked to climatic events and historical climatic
preferences. RESULTS: Bayesian trees retrieved Cyathea, Alsophyla, Gymnosphaera
and Sphaeropteris in monophyletic clades. Splits for species in these genera
found in Mesoamerican cloud forests are recent, from the Neogene to the
Quaternary, Australia was identified as the ancestral area for the clades of
these genera, except for Gymnosphaera that was Mesoamerica. Climate tolerance was
not divergent from hypothesized ancestors for the most significant variables or
elevation. For elevational shifts, we found repeated change from low to high
elevations. CONCLUSIONS: Our data suggest that representatives of Cyatheaceae
main lineages migrated from Australia to Mesoamerican cloud forests in different
times and have persisted in these environmentally unstable areas but extant
species diverged recentrly from their ancestors.
PMID- 27896032
TI - Gene expression profile of sodium channel subunits in the anterior cingulate
cortex during experimental paclitaxel-induced neuropathic pain in mice.
AB - Paclitaxel, a chemotherapeutic agent, causes neuropathic pain whose supraspinal
pathophysiology is not fully understood. Dysregulation of sodium channel
expression, studied mainly in the periphery and spinal cord level, contributes to
the pathogenesis of neuropathic pain. We examined gene expression of sodium
channel (Nav) subunits by real time polymerase chain reaction (PCR) in the
anterior cingulate cortex (ACC) at day 7 post first administration of paclitaxel,
when mice had developed paclitaxel-induced thermal hyperalgesia. The ACC was
chosen because increased activity in the ACC has been observed during neuropathic
pain. In the ACC of vehicle-treated animals the threshold cycle (Ct) values for
Nav1.4, Nav1.5, Nav1.7, Nav1.8 and Nav1.9 were above 30 and/or not detectable in
some samples. Thus, comparison in mRNA expression between untreated control,
vehicle-treated and paclitaxel treated animals was done for Nav1.1, Nav1.2,
Nav1.3, Nav1.6, Nax as well as Navbeta1-Navbeta4. There were no differences in
the transcript levels of Nav1.1-Nav1.3, Nav1.6, Nax, Navbeta1-Navbeta3 between
untreated and vehicle-treated mice, however, vehicle treatment increased Navbeta4
expression. Paclitaxel treatment significantly increased the mRNA expression of
Nav1.1, Nav1.2, Nav1.6 and Nax, but not Nav1.3, sodium channel alpha subunits
compared to vehicle-treated animals. Treatment with paclitaxel significantly
increased the expression of Navbeta1 and Navbeta3, but not Navbeta2 and Navbeta4,
sodium channel beta subunits compared to vehicle-treated animals. These findings
suggest that during paclitaxel-induced neuropathic pain (PINP) there is
differential upregulation of sodium channels in the ACC, which might contribute
to the increased neuronal activity observed in the area during neuropathic pain.
PMID- 27896033
TI - Multiple, independent colonizations of the Hawaiian Archipelago by the family
Dolichopodidae (Diptera).
AB - The family Dolichopodidae forms two of the four largest evolutionary radiations
in the Hawaiian Islands across all flies: Campsicnemus (183 spp) and the
Eurynogaster complex (66 spp). They also include a small radiation of Conchopus
(6 spp). A handful of other dolichopodid species are native to the islands in
singleton lineages or small radiations. This study provides a phylogenetic
perspective on the colonization history of the dolichopodid fauna in the islands.
We generated a multi-gene data set including representatives from 11 of the 14
endemic Hawaiian dolichopodid genera to examine the history of colonization to
the islands, and analyzed it using Bayesian and maximum likelihood phylogenetic
methods. We used a subset of the data that included Conchopus and the eight
genera comprising the Eurynogaster complex to estimate the first phylogenetic
hypothesis for these endemic groups, then used Beast to estimate their age of
arrival to the archipelago. The Eurynogaster complex, Campsicnemus and Conchopus
are clearly the result of independent colonizations. The results strongly support
the Eurynogaster complex as a monophyletic group, and also supports the monophyly
of 4 of the 8 described genera within the complex (Adachia, Arciellia, Uropachys
and Eurynogaster). Members of the family Dolichopodidae have been dispersing over
vast distances to colonize the Hawaiian Archipelago for millions of years,
leading to multiple independent evolutionary diversification events. The
Eurynogaster complex arrived in the Hawaiian Archipelago 11.8 Ma, well before the
arrival of Campsicnemus (4.5 Ma), and the even more recent Conchopus (1.8 Ma).
Data presented here demonstrate that the Hawaiian Dolichopodidae both disperse
and diversify easily, a rare combination that lays the groundwork for field
studies on the reproductive isolating mechanisms and ecological partitioning of
this group.
PMID- 27896035
TI - A large scale test of the gaming-enhancement hypothesis.
AB - A growing research literature suggests that regular electronic game play and game
based training programs may confer practically significant benefits to cognitive
functioning. Most evidence supporting this idea, the gaming-enhancement
hypothesis, has been collected in small-scale studies of university students and
older adults. This research investigated the hypothesis in a general way with a
large sample of 1,847 school-aged children. Our aim was to examine the relations
between young people's gaming experiences and an objective test of reasoning
performance. Using a Bayesian hypothesis testing approach, evidence for the
gaming-enhancement and null hypotheses were compared. Results provided no
substantive evidence supporting the idea that having preference for or regularly
playing commercially available games was positively associated with reasoning
ability. Evidence ranged from equivocal to very strong in support for the null
hypothesis over what was predicted. The discussion focuses on the value of
Bayesian hypothesis testing for investigating electronic gaming effects, the
importance of open science practices, and pre-registered designs to improve the
quality of future work.
PMID- 27896034
TI - Ficolin-2 inhibitors are present in sera after prolonged storage at -80 degrees
C.
AB - Ficolins can activate the lectin pathway of the complement system that provides
innate immune protection against pathogens, marks host cellular debris for
clearance, and promotes inflammation. Baseline inflammation increases with aging
in a phenomenon known as "inflammaging." Although IL-6 and C-reactive protein are
known to increase with age, contributions of many complement factors, including
ficolins, to inflammaging have been little studied. Ficolin-2 is abundant in
human serum and can recognize many target structures; therefore, ficolin-2 has
potential to contribute to inflammaging. We hypothesized that inflammaging would
alter ficolin-2 levels among older adults and examined 360 archived sera
collected from older individuals. We found that these sera had apparently reduced
ficolin-2 levels and that 84.2% of archived sera exhibited ficolin-2 inhibitors,
which suppressed apparent amounts of ficolin-2 detected by enzyme-linked
immunosorbent assay. Fresh serum samples were obtained from donors whose archived
sera showed inhibitors, but the fresh sera did not have ficolin-2 inhibitors.
Ficolin-2 inhibitors were present in other long-stored sera from younger persons.
Furthermore, noninhibiting samples and fresh sera from older adults had
apparently normal amounts of ficolin-2. Thus, ficolin-2 inhibitors may arise as
an artifact of long-term storage of serum at -80 degrees C.
PMID- 27896036
TI - Detecting sedimentation impacts to coral reefs resulting from dredging the Port
of Miami, Florida USA.
AB - The federal channel at Port of Miami, Florida, USA, was dredged between late 2013
and early 2015 to widen and deepen the channel. Due to the limited spatial extent
of impact-assessment monitoring associated with the project, the extent of the
dredging impacts on surrounding coral reefs has not been well quantified.
Previously published remote sensing analyses, as well as agency and anecdotal
reports suggest the most severe and largest area of sedimentation occurred on a
coral reef feature referred to as the Inner Reef, particularly in the sector
north of the channel. A confounding regional warm-water mass bleaching event
followed by a coral disease outbreak during this same time frame made the
assessment of dredging-related impacts to coral reefs adjacent to the federal
channel difficult but still feasible. The current study sought to better
understand the sedimentation impacts that occurred in the coral reef environment
surrounding Port of Miami, to distinguish those impacts from other regional
events or disturbances, and provide supplemental information on impact assessment
that will inform discussions on compensatory mitigation requirements. To this
end, in-water field assessments conducted after the completion of dredging and a
time series analysis of tagged corals photographed pre-, during, and post
dredging, are used to discern dredging-related sedimentation impacts for the
Inner Reef north. Results indicate increased sediment accumulation, severe in
certain times and places, and an associated biological response (e.g., higher
prevalence of partial mortality of corals) extended up to 700 m from the channel,
whereas project-associated monitoring was limited to 50 m from the channel. These
results can contribute to more realistic prediction of areas of indirect effect
from dredging projects needed to accurately evaluate proposed projects and design
appropriate compliance monitoring. Dredging projects near valuable and sensitive
habitats subject to local and global stressors require monitoring methods capable
of discerning non-dredging related impacts and adaptive management to ensure
predicted and unpredicted project-related impacts are quantified. Anticipated
increasing frequency and intensity of seasonal warming stress also suggests that
manageable- but- unavoidable local stressors such as dredging should be
partitioned from such seasonal thermal stress events.
PMID- 27896037
TI - Functional Evaluation of Patients Undergoing Multiple Joint Replacements: A
Retrospective Study of 50 Patients with a Minimum of Six Months of Follow-up.
AB - INTRODUCTION: Polyarthritis is a challenging condition that an orthopedic
surgeon faces in day-to-day practice. Some of the conditions where multiple
joints are affected are rheumatoid arthritis, osteoarthritis, and ankylosing
spondylitis. Multiple joint afflictions can cause severe impairment in the
quality of life, which leads to a significant socioeconomic burden on the family
and society. Joint replacement is considered as a treatment when severe joint
pain or dysfunction is not alleviated by conservative management. Total joint
arthroplasty remains one of the most commonly performed and universally accepted
operative interventions for such patients. MATERIALS AND METHODS: Fifty patients
were invited into the study. All patients included in the study were 18 years of
age and older and had undergone two or more joint replacements with a minimum of
six months duration from the last surgery. The data was collected during the
preoperative and postoperative periods through patient records and
questionnaires. The Short Form 36 Health Survey Questionnaire (SF-36) scores were
generated from an online application that is readily available on the official
website SF-36 scoring system. The results were compared, analyzed, and tested for
significance using the Wilcoxon signed rank test. RESULTS: The highest incidence
of multiple joint replacements appears to be in the age-group of 51 - 70 years
(52%), the mean age of patients being 51.7 +/- 14.4 years. The ratio of female to
male patients was 1.6:1. On comparison of preoperative and postoperative (six
months) physical component and mental component scores, the differences were
found to be significant (p-value: < 0.01). This finding is irrespective of the
diagnosis, gender, or age of the patient. CONCLUSION: In the study conducted on
50 patients, we found out that multiple joint arthroplasties are fruitful
surgeries. The procedures are efficient in reducing the disabilities seen in
patients with polyarthritis of various causes and improving the overall quality
of life. We strongly recommend multiple joint arthroplasties to patients with
severe disability. However, adequate medical management plays an equally
important role to improve the overall results. Well-designed and larger studies
are required to establish the treatment protocols and order of surgeries in
patients with differing causes of polyarthritis.
PMID- 27896038
TI - Focal Seizures Induced by Intracranial Electroencephalogram Grids.
AB - Here we present a unique, but important seizure variant directly related to
placement of subdural grids. Two distinct epileptogenic zones were identified,
one which correlated with the patient's baseline seizures and a separate zone
associated with atypical semiology and localization. Inspection of this zone at
surgery revealed cortical deformation from the grid itself. The patient underwent
successful surgical resection of the primary epileptogenic zone, but not that of
the atypical zone. She remains seizure free at two years following surgery.
Recognition of grid-induced seizures is important as they may confound the
interpretation of intracranial electroencephalograms (iEEG) and mislead resective
surgery.
PMID- 27896039
TI - The Association between Alcohol and Sexual Risk Behaviors among College Students:
A Review.
AB - PURPOSE OF REVIEW: Alcohol use is prevalent among college students and may
contribute to sexual risk behavior engagement. A narrative review of the recent
empirical literature examining the association between alcohol use and sexual
risk behaviors among college student samples was conducted. The purpose of this
review was to: (a) review studies examining the association between alcohol use
and risky sexual behaviors; and (b) overview research investigating alcohol
expectancies and partner characteristics as factors that may influence the
alcohol-risky sex relation among college students. RECENT FINDINGS: Findings
regarding the direct link between alcohol use and sexual risk behaviors were
mixed. Results suggest a more nuanced association between alcohol and risky
sexual behaviors that is influenced by alcohol expectancies and partner
characteristics. SUMMARY: Results highlight the importance of considering
additional factors that may influence the alcohol-risky sex relation. Future
interventions targeting alcohol-related sexual risk behavior engagement among
college students are needed.
PMID- 27896040
TI - Antibody-mediated Rejection in Lung Transplantation.
AB - There has been increasing awareness of antibody-mediated rejection (AMR) as an
important cause of graft failure after lung transplantation in recent years.
However, the diagnostic criteria for pulmonary AMR are not well defined. All four
tenets of AMR in kidney and heart transplantation, graft dysfunction, complement
component deposition, circulating donor-specific antibodies (DSA), and
histopathologic changes consistent with AMR, are infrequently present in lung
transplantation. Nonetheless, the lung transplant community has made important
progress recognizing cases of AMR and developing a definition. However, AMR is
often refractory to therapy resulting in graft failure and death. In this review,
we discuss the progress and challenges in the diagnosis and therapeutic options
for pulmonary AMR. In addition, we briefly examine emerging paradigms of C4d
negative AMR and chronic AMR, and conclude that significant progress is needed to
mitigate the effects of humoral immune responses after lung transplantation.
PMID- 27896041
TI - Flavored e-cigarette use: Characterizing youth, young adult, and adult users.
AB - The purpose of this study is to investigate how the use of flavored e-cigarettes
varies between youth (12-17 years old), young adults (18-29 years old), and older
adults (30 + years old). Cross-sectional surveys of school-going youth (n = 3907)
and young adult college students (n = 5482) in Texas, and young adults and older
adults (n = 6051) nationwide were administered in 2014-2015. Proportions and 95%
confidence intervals were used to describe the percentage of e-cigarette use at
initiation and in the past 30 days that was flavored, among current e-cigarette
users. Chi-square tests were applied to examine differences by combustible
tobacco product use and demographic factors. Most e-cigarette users said their
first and "usual" e-cigarettes were flavored. At initiation, the majority of
Texas school-going youth (98%), Texas young adult college students (95%), and
young adults (71.2%) nationwide said their first e-cigarettes were flavored to
taste like something other than tobacco, compared to 44.1% of older adults
nationwide. Fruit and candy flavors predominated for all groups; and, for youth,
flavors were an especially salient reason to use e-cigarettes. Among adults, the
use of tobacco flavor at initiation was common among dual users (e-cigarettes +
combustible tobacco), while other flavors were more common among former cigarette
smokers (P = 0.03). Restricting the range of e-cigarette flavors (e.g.,
eliminating sweet flavors, like fruit and candy) may benefit youth and young
adult prevention efforts. However, it is unclear what impact this change would
have on adult smoking cessation.
PMID- 27896043
TI - Health and societal effects from exposure to fragranced consumer products.
AB - Fragranced consumer products-such as air fresheners, cleaning supplies, and
personal care products- pervade society. This study investigated the occurrence
and types of adverse effects associated with exposure to fragranced products in
Australia, and opportunities for prevention. Data were collected in June 2016
using an on-line survey with a representative national sample (n = 1098).
Overall, 33% of Australians report health problems, such as migraine headaches
and asthma attacks, when exposed to fragranced products. Of these health effects,
more than half (17.1%) could be considered disabling under the Australian
Disability Discrimination Act. Additionally, 7.7% of Australians have lost
workdays or a job due to illness from fragranced product exposure in the
workplace, 16.4% reported health problems when exposed to air fresheners or
deodorizers, 15.3% from being in a room after it was cleaned with scented
products, and 16.7% would enter but then leave a business as quickly as possible
due to fragranced products. About twice as many respondents would prefer that
workplaces, health care facilities and professionals, hotels, and airplanes were
fragrance-free rather than fragranced. While 73.7% were not aware that fragranced
products, even ones called green and organic, emitted hazardous air pollutants,
56.3% would not continue to use a product if they knew it did. This is the first
study in Australia to assess the extent of adverse effects associated with
exposure to common fragranced products. It provides compelling evidence for the
importance and value of reducing fragranced product exposure in order to reduce
and prevent adverse health effects and costs.
PMID- 27896042
TI - An opportunity to address modifiable breast cancer risks: Mammography screening
and physical activity readiness to change.
AB - Breast cancer risk is significantly reduced by improvements in lifestyle factors
such as physical activity. Previous work suggests personal experiences such as
false positive mammography or family history of breast cancer may influence these
health behaviors. Surveys were distributed to women aged 40-75 who had received a
negative mammogram from an academic hospital in Virginia in 2015. Measures
assessed breast cancer worry and perceived risk, awareness of cancer risk
factors, family history of breast cancer, false positive mammography experience,
and readiness to change physical activity. Surveys were collected from 106 women.
The regression for readiness to change physical activity was significant, F(7,
91) = 3.7, p = 0.001, R2 = 0.22. Physical activity readiness to change was
positively associated with income (p = 0.034) and receipt of a false positive
mammogram (p = 0.045). African American women (p = 0.031) and women with family
history of breast cancer (p = 0.027) reported lower readiness to change physical
activity. Results support previous qualitative work suggesting the receipt of a
false positive mammogram may stimulate motivation to increase physical activity.
Mammography screening may serve as a strategic opportunity to target modifiable
breast cancer risk factors at a time when women are highly receptive to a
lifestyle change intervention.
PMID- 27896044
TI - Curricular activities and change in determinants of fruit and vegetable intake
among adolescents: Results from the Boost intervention.
AB - Knowledge of the association between implementation of different intervention
components and the determinants they are tailored to change may contribute to
evaluating the effects and working mechanisms of multi-component interventions.
This study examined 1) the effect of a Danish multi-component school-based
intervention (2010 - 2011) on key determinants of adolescents' fruit and
vegetable intake and 2) if dose of curricular activities was positively
associated with change in these determinants. Using multi-level linear and
logistic regression analyses stratified by gender and socioeconomic position, we
analyzed survey data from the cluster-randomized Boost study targeting Danish 13
year-olds' fruit and vegetable intake. We examined 1) differences in knowledge of
recommendations, taste preferences and situational norms between students from 20
intervention (n = 991) and 20 control (n = 915) schools at follow-up; and 2)
associations between curriculum dose received and delivered (student and teacher
data aggregated to school- and class-level) and these determinants among students
at intervention schools only. At follow-up, more students from intervention than
control schools knew the recommendation for vegetable intake (OR 1.56, CI:1.18,
2.06) and number of fruits liked (taste preferences) increased by 0.22 (CI:0.04,
0.41). At class-level, curriculum dose received was positively associated with
proportion of students knowing the recommendation for vegetable intake (OR 1.06,
CI:1.002, 1.13). In stratified analyses, this association was only significant
among students from high social class (OR 1.17, CI:1.04, 1.31). The Boost
intervention succeeded in improving students' taste preferences for fruit and
knowledge of recommendation for vegetable intake, but only the latter determinant
was positively associated with curriculum dose. Trial registration:
ISRCTN11666034.
PMID- 27896046
TI - "Applied and Translational Genomics" - What is new?
PMID- 27896045
TI - Barriers to physical activity as moderators of intervention effects.
AB - The impact of interventions to increase physical activity (PA) may vary as a
function of participants' barriers to PA. The aim of this paper is to determine
whether individual barriers (demographic, physical health, psychological health,
neighborhood factors, perceived barriers to PA, social support for PA) moderate
treatment effects on increases in PA. Three treatment conditions tested the
relative efficacy of a group-based PA intervention alone or supplemented by
either personal or automated phone calls made between group meetings. From 2010
to 2012, 284 African American women (ages 40-65) living in the Chicago, IL, area
were randomized to one of the three treatment conditions. Data collection
occurred at baseline as well as 24 and 48 weeks after baseline. Moderation of
intervention effects by barriers to PA were tested across four outcome measures
(self-reported moderate-vigorous PA, self-reported walking, accelerometer steps,
and aerobic fitness) using multilevel mixed-effects analyses. Significant
condition by barrier interaction effects for the accelerometer steps outcome were
found for material hardships, general health, depressive symptoms, neighborhood
crime rate, and perceived barriers to PA. For aerobic fitness, intervention
effects were moderated by material hardships and perceived pain. Increases in the
outcome variables were greater for the conditions in which group sessions were
supplemented with personal and/or automated calls. Among participants with
greater barriers to PA, supplementing the intervention group meetings with
between-session personal and/or automated phone calls may be an effective way to
strengthen intervention effects. These results may inform the use of treatment
supplements in the context of adaptive interventions.
PMID- 27896047
TI - A new method for non-invasive prenatal diagnosis of Down syndrome using MeDIP
real time qPCR.
AB - During the last decade, the area of non-invasive prenatal diagnosis (NIPD) has
rapidly evolved. Several methodological approaches have been presented and
demonstrated a proof of concept for the NIPD of chromosomal aneuploidies. The two
most promising methods are NIPD using next generation sequencing technologies and
NIPD using Methylation DNA Immunoprecipitation (MeDIP) with real time qPCR. Both
approaches have been validated with blind studies and have > 99% accuracy. NIPD
using next generation sequencing is achieved by high throughput shotgun
sequencing of DNA from plasma of maternal women followed by ratio comparisons of
each chromosome sequence tag density over the median tag density of all autosomes
(z-score analysis). The MeDIP real time qPCR method, which is described in this
review in more detail, is based on the identification of differentially
methylated regions (DMRs) and their use in discriminating normal from abnormal
cases. More than 10,000 DMRs were identified for chromosomes 13, 18, 21, X and Y
using high resolution oligo-arrays that can be potentially used for the NIPD of
aneuploidies for chromosomes 13, 18, 21, X and Y. Both NIPD methods have several
advantages and limitations and it is believed that they will soon be implemented
in clinical practice. With the continuous advancements of genetic methodologies
and technologies, we predict that within the next 10 years we will be able to
provide NIPD for all common and rare genetic disorders where the molecular basis
is known.
PMID- 27896048
TI - Co-evolution of RNA polymerase with RbpA in the phylum Actinobacteria.
AB - The role of RbpA in the backdrop of M. smegmatis showed that it rescues
mycobacterial RNA polymerase from rifampicin-mediated inhibition (Dey et al.,
2010; Dey et al., 2011). Paget and co-workers (Paget et al., 2001; Newell et al.,
2006) have revealed that RbpA homologs occur exclusively in actinobacteria.
Newell et al. (2006) showed that MtbRbpA, when complemented in a ?rbpA mutant of
S. coelicolor, showed a low recovery of MIC (from 0.75 to 2 MUg/ml) as compared
to complementation by native RbpA of S. coelicolor (MIC increases from 0.75 to 11
MUg/ml). Our studies on MsRbpA show that it is a differential marker for M.
smegmatis RNA polymerase as compared to E. coli RNA polymerase at IC50 levels of
rifampicin. A recent sequence-based analysis by Lane and Darst (2010) has shown
that RNA polymerases from Proteobacteria and Actinobacteria have had a divergent
evolution. E. coli is a representative of Proteobacteria and M. smegmatis is an
Actinobacterium. RbpA has an exclusive occurrence in Actinobacteria. Since
protein-protein interactions might not be conserved across different species,
therefore, the probable reason for the indifference of MsRbpA toward E. coli RNA
polymerase could be the lineage-specific differences between actinobacterial and
proteobacterial RNA polymerases. These observations led us to ask the question as
to whether the evolution of RbpA in Actinobacteria followed the same route as
that of RNA polymerase subunits from actinobacterial species. We show that the
exclusivity of RbpA in Actinobacteria and the unique evolution of RNA polymerase
in this phylum share a co-evolutionary link. We have addressed this issue by a
blending of experimental and bioinformatics based approaches. They comprise of
induction of bacterial cultures coupled to rifampicin-tolerance, transcription
assays and statistical comparison of phylogenetic trees for different pairs of
proteins in actinobacteria.
PMID- 27896049
TI - Comprehensive characterization of genomic instability in pluripotent stem cells
and their derived neuroprogenitor cell lines.
AB - The genomic integrity of two human pluripotent stem cells and their derived
neuroprogenitor cell lines was studied, applying a combination of high-resolution
genetic methodologies. The usefulness of combining array-comparative genomic
hybridization (aCGH) and multiplex fluorescence in situ hybridization (M-FISH)
techniques should be delineated to exclude/detect a maximum of possible genomic
structural aberrations. Interestingly, in parts different genomic imbalances at
chromosomal and subchromosomal levels were detected in pluripotent stem cells and
their derivatives. Some of the copy number variations were inherited from the
original cell line, whereas other modifications were presumably acquired during
the differentiation and manipulation procedures. These results underline the
necessity to study both pluripotent stem cells and their differentiated progeny
by as many approaches as possible in order to assess their genomic stability
before using them in clinical therapies.
PMID- 27896050
TI - Using in vivo electroporation to identify hepatic LDL receptor promoter elements
and transcription factors mediating activation of transcription by T3.
AB - The technique of in vivo electroporation was adapted to investigate the promoter
elements and transcription factors mediating the rapid induction of hepatic LDL
receptor expression in response to thyroid hormone. Direct comparisons between
wild type and mutant promoter constructs were made within the same animal. It was
demonstrated that both TREs at bp - 612 and - 156 were required for the l
triiodothyronine (T3) response. ChIP analysis showed that binding of TRbeta1 to
the - 612 and - 156 TREs was markedly stimulated by T3in vivo. Introduction of
siRNAs against TRbeta1/RXRalpha with LDL receptor promoter-luciferase construct
by in vivo electroporation demonstrated that these transcription factors play the
major physiological role in the activation of hepatic LDL receptor transcription.
The findings agree with those made by transfecting H4IIE cells in vitro thus
validating this technique for in vivo studies of mechanisms of transcriptional
regulation. The findings reported herein also indicated, for the first time, that
PPARalpha and USF-2 were required for maximum transcriptional activation of the
LDL receptor in response to T3 treatment.
PMID- 27896051
TI - Screening of mutations affecting protein stability and dynamics of FGFR1-A
simulation analysis.
AB - Single amino acid substitutions in Fibroblast Growth Factor Receptor 1 (FGFR1)
destabilize protein and have been implicated in several genetic disorders like
various forms of cancer, Kallamann syndrome, Pfeiffer syndrome, Jackson Weiss
syndrome, etc. In order to gain functional insight into mutation caused by amino
acid substitution to protein function and expression, special emphasis was laid
on molecular dynamics simulation techniques in combination with in silico tools
such as SIFT, PolyPhen 2.0, I-Mutant 3.0 and SNAP. It has been estimated that 68%
nsSNPs were predicted to be deleterious by I-Mutant, slightly higher than SIFT
(37%), PolyPhen 2.0 (61%) and SNAP (58%). From the observed results, P722S
mutation was found to be most deleterious by comparing results of all in silico
tools. By molecular dynamics approach, we have shown that P722S mutation leads to
increase in flexibility, and deviated more from the native structure which was
supported by the decrease in the number of hydrogen bonds. In addition,
biophysical analysis revealed a clear insight of stability loss due to P722S
mutation in FGFR1 protein. Majority of mutations predicted by these in silico
tools were in good concordance with the experimental results.
PMID- 27896053
TI - Introduction to evolutionary genomic approaches to human disease.
PMID- 27896052
TI - Mutation analysis of the candidate genes SCN1B-4B, FHL1, and LMNA in patients
with arrhythmogenic right ventricular cardiomyopathy.
AB - INTRODUCTION: Arrhythmogenic right ventricular cardiomyopathy (ARVC) is a
genetically determined heart disease characterized by fibrofatty infiltrations in
the myocardium, right and/or left ventricular involvement, and ventricular
tachyarrhythmias. Although ten genes have been associated with ARVC, only about
40% of the patients have an identifiable disease-causing mutation. In the present
study we aimed at investigating the involvement of the genes SCN1B-SCN4B, FHL1,
and LMNA in the pathogenesis of ARVC. METHODS: Sixty-five unrelated patients (55
fulfilling ARVC criteria and 10 borderline cases) were screened for variants in
SCN1B-4B, FHL1, and LMNA by direct sequencing and LightScanner melting curve
analysis. RESULTS: A total of 28 sequence variants were identified: seven in
SCN1B, three in SCN2B, two in SCN3B, two in SCN4B, four in FHL1, and ten in LMNA.
Three of the variants were novel. One of the variants was non-synonymous. No
disease-causing mutations were identified. CONCLUSIONS: In our limited sized
cohort the six studied candidate genes were not associated with ARVC.
PMID- 27896054
TI - Disease consequences of human adaptation.
AB - Adaptive evolution has provided us with a unique set of characteristics that
define us as humans, including morphological, physiological and cellular changes.
Yet, natural selection provides no assurances that adaptation is without human
health consequences; advantageous mutations will increase in frequency so long as
there is a net gain in fitness. As such, the current incidence of human disease
can depend on previous adaptations. Here, I review genome-wide and gene-specific
studies in which adaptive evolution has played a role in shaping human genetic
disease. In addition to the disease consequences of adaptive phenotypes, such as
bipedal locomotion and resistance to certain pathogens, I review evidence that
adaptive mutations have influenced the frequency of linked disease alleles
through genetic hitchhiking. Taken together, the links between human adaptation
and disease highlight the importance of their combined influence on functional
variation within the human genome and offer opportunities to discover and
characterize such variation.
PMID- 27896055
TI - Phylooncogenomics: Examining the cancer genome in the context of vertebrate
evolution.
AB - Currently, human cancer genomics is making great progress, and many mutations of
new cancer driver genes have been detected at an unprecedented rate in a variety
of human cancers. Many details of the genetic alterations in cancer cell genomes
have been revealed by the massively parallel sequencing. Long-lasting aneuploidy
caused large-scale somatic copy number alterations remains a difficulty as there
are too many genes located on such big chromosomal fragments, and this cannot
simply be solved by increasing sequencing depth and tumor sample numbers.
Comparative oncogenomics may provide us with a solution to this problem. Here, we
review some of the common animal cancer models and propose to analyze cancer cell
genomics in vertebrate phylogenetic backgrounds. Thus phylooncogenomics may
provide us with a unique perspective on he nature of cancer biology unattainable
by single species studies.
PMID- 27896056
TI - Maternal regulation of offspring development in mammals is an ancient adaptation
tied to lactation.
AB - The developmental origins of health and disease (DOHaD) is a paradigm for
understanding metabolic diseases of modern humans. Vulnerability to disease is
linked to perturbations in development during critical time periods in fetal and
neonatal life. These perturbations are caused by environmental signals, often
generated or transduced by the mother. The regulation of mammalian development
depends to a large extent on maternal biochemical signals to her offspring. We
argue that this adaptation is ancient, and originated with the evolution of
lactation. Lactation evolved earlier than live birth and before the extensive
placental development of modern eutherian mammals. Milk contains a host of
signaling molecules including nutrients, immunoglobulins, growth factors and
metabolic hormones. As evidenced by marsupials, lactation originally served to
supply the biochemical factors for growth and development for what is essentially
a fetus to a weanling transitioning to independent existence. In placental
mammals maternal signaling in earliest life is accomplished through the maternal
placental-fetal connection, with more of development shifted to in utero life.
However, significant development occurs postpartum, supported by milk. Mothers of
all taxa provide biochemical signals to their offspring, but for non-mammalian
mothers the time window is short. Developing mammals receive maternal biochemical
signals over an extended period. These signals serve to guide normal development,
but also can vary in response to environmental conditions. The ancient adaptation
of lactation resulted in a lineage (mammals) in which maternal regulation of
offspring development evolved to a heightened degree, with the ability to modify
development at multiple time points. Modern metabolic diseases may arise due to a
mismatch between maternal regulation and eventual circumstances of the offspring,
and due to a large proportion of mothers that exceed past evolutionary norms in
body fat and pregnancy weight gain such that maternal signals may no longer be
within the adaptive range.
PMID- 27896057
TI - Evolutionary perspectives into placental biology and disease.
AB - In all mammals including humans, development takes place within the protective
environment of the maternal womb. Throughout gestation, nutrients and waste
products are continuously exchanged between mother and fetus through the
placenta. Despite the clear importance of the placenta to successful pregnancy
and the health of both mother and offspring, relatively little is understood
about the biology of the placenta and its role in pregnancy-related diseases.
Given that pre- and peri-natal diseases involving the placenta affect millions of
women and their newborns worldwide, there is an urgent need to understand
placenta biology and development. Here, we suggest that the placenta is an organ
under unique selective pressures that have driven its rapid diversification
throughout mammalian evolution. The high divergence of the placenta complicates
the use of non-human animal models and necessitates an evolutionary perspective
when studying its biology and role in disease. We suggest that diversifying
evolution of the placenta is primarily driven by intraspecies evolutionary
conflict between mother and fetus, and that many pregnancy diseases are a
consequence of this evolutionary force. Understanding how maternal-fetal conflict
shapes both basic placental and reproductive biology - in all species - will
provide key insights into diseases of pregnancy.
PMID- 27896058
TI - From PPROM to caul: The evolution of membrane rupture in mammals.
AB - Rupture of the extraembryonic membranes that form the gestational sac in humans
is a typical feature of human parturition. However, preterm premature rupture of
membranes (PPROM) occurs in approximately 1% of pregnancies, and is a leading
cause of preterm birth. Conversely, retention of an intact gestational sac during
parturition in the form of a caul is a rare occurrence. Understanding the
molecular and evolutionary underpinnings of these disparate phenotypes can
provide insight into both normal pregnancy and PPROM. Using phylogenetic
techniques we reconstructed the evolution of the gestational sac phenotype at
parturition in 55 mammal species representing all major viviparous mammal groups.
We infer the ancestral state in therians, eutherians, and primates, as in humans,
is a ruptured gestational sac at parturition. We present evidence that intact
membranes at parturition have evolved convergently in diverse mammals including
horses, elephants, and bats. In order to gain insight into the molecular
underpinnings of the evolution of enhanced membrane integrity we also used
comparative genomics techniques to reconstruct the evolution of a subset of genes
implicated in PPROM, and find that four genes (ADAMTS2, COL1A1, COL5A1, LEPRE1)
show significant evidence of increased nonsynonymous rates of substitution on
lineages with intact membranes as compared to those with ruptured membranes.
Among these genes, we also discovered that 17 human SNPs are associated with or
near amino acid replacement sites in those mammals with intact membranes. These
SNPs are candidate functional variants within humans, which may play roles in
both PPROM and/or the retention of the gestational sac at birth.
PMID- 27896060
TI - Diffuse bronchiectasis as the primary manifestation of endobronchial sarcoidosis.
AB - Sarcoidosis is an idiopathic disease that most commonly involves the lungs and is
characterized by granulomatous inflammation. Bronchiectasis is one pulmonary
manifestation of sarcoidosis, although it is almost always observed as traction
bronchiectasis in the setting of fibrotic lung disease. A 50-year-old woman was
evaluated for chronic cough and bronchiectasis with a small amount of peripheral
upper lobe honeycombing and no significant pulmonary fibrosis or lymphadenopathy.
After an extensive laboratory and imaging evaluation did not identify a cause of
her bronchiectasis, bronchoscopy was performed to assess for primary ciliary
dyskinesia and revealed a diffuse cobblestone appearance of the airway mucosa.
Endobronchial biopsies and lymphocyte subset analysis of bronchoalveolar lavage
fluid were consistent with a diagnosis of sarcoidosis. We believe endobronchial
sarcoidosis should be included in the differential diagnosis of patients
presenting with bronchiectasis.
PMID- 27896061
TI - Successful retreatment with osimertinib after osimertinib-induced acute pulmonary
embolism in a patient with lung adenocarcinoma: A case report.
AB - Pulmonary embolism (PE) can be life-threatening, and it is challenging to
diagnose because of its nonspecific signs and symptoms. PE is also an important
potential risk of osimertinib treatment, however, clinical courses regarding
retreatment after osimertinib-induced acute pulmonary embolism remain unclear. We
described a 77-year-old woman with postoperative recurrent lung adenocarcinoma
who developed osimertinib-induced acute PE. She received apixaban and was later
successfully retreated with osimertinib. This case suggests that retreatment with
osimertinib after osimertinib-induced acute PE may be a treatment option when
alternative therapeutic options are limited.
PMID- 27896062
TI - Acute fibrinous and organizing pneumonia in a patient with Sjogren's syndrome.
AB - Acute fibrinous and organizing pneumonia (AFOP) is a histological pattern
characterized by intra-alveolar fibrin deposition and associated organizing
pneumonia. AFOP has been associated with many rheumatologic disorders in the
literature but has not been described in association with Sjogren's syndrome.
This paper shows a rare association of AFOP with Sjogren's syndrome. Patient's
symptoms promptly improved after treatment with steroid.
PMID- 27896059
TI - Signatures of natural selection on genetic variants affecting complex human
traits.
AB - It has recently been hypothesized that polygenic adaptation, resulting in modest
allele frequency changes at many loci, could be a major mechanism behind the
adaptation of complex phenotypes in human populations. Here we leverage the large
number of variants that have been identified through genome-wide association
(GWA) studies to comprehensively study signatures of natural selection on genetic
variants associated with complex traits. Using population differentiation based
methods, such as FST and phylogenetic branch length analyses, we systematically
examined nearly 1300 SNPs associated with 38 complex phenotypes. Instead of
detecting selection signatures at individual variants, we aimed to identify
combined evidence of natural selection by aggregating signals across many trait
associated SNPs. Our results have revealed some general features of polygenic
selection on complex traits associated variants. First, natural selection acting
on standing variants associated with complex traits is a common phenomenon.
Second, characteristics of selection for different polygenic traits vary both
temporarily and geographically. Third, some studied traits (e.g. height and urate
level) could have been the primary targets of selection, as indicated by the
significant correlation between the effect sizes and the estimated strength of
selection in the trait associated variants; however, for most traits, the allele
frequency changes in trait associated variants might have been driven by the
selection on other correlated phenotypes. Fourth, the changes in allele
frequencies as a result of selection can be highly stochastic, such that,
polygenic adaptation may accelerate differentiation in allele frequencies among
populations, but generally does not produce predictable directional changes.
Fifth, multiple mechanisms (pleiotropy, hitchhiking, etc) may act together to
govern the changes in allele frequencies of genetic variants associated with
complex traits.
PMID- 27896063
TI - Necrotizing tracheobronchitis associated with rheumatoid arthritis.
AB - We report a case of necrotizing tracheobronchitis with rheumatoid arthritis. A 64
year-old-man presented with dry cough and was initially diagnosed with community
acquired pneumonia. The patient was admitted; he received initial antibiotic
treatment. The treatment was effective and the symptoms worsened. Bronchoscopy
was performed for more thorough examination. It showed that white and soft
tissues were on the trachea-bronchus. Transbronchial biopsy of the tracheal
lesions revealed necrotic tissue with squamous metaplasia and inflammatory cells.
Whereas, symmetrical arthralgia of multiple joints of the limbs was noted and
rheumatoid factor and anti-cyclic citrullinated peptide antibody of levels were
high. According to these results, the patient was diagnosed with rheumatoid
arthritis. In this case, necrotizing tracheobronchitis occurred as a result of
systemic inflammation associated with rheumatoid arthritis. An acute exacerbation
of the patient's respiratory condition was treated with steroid therapy. Tracheal
findings and respiratory symptoms were improved by steroid therapy.
PMID- 27896064
TI - Executive attention networks show altered relationship with default mode network
in PD.
AB - Attention dysfunction is a common but often undiagnosed cognitive impairment in
Parkinson's disease that significantly reduces quality of life. We sought to
increase understanding of the mechanisms underlying attention dysfunction using
functional neuroimaging. Functional MRI was acquired at two repeated sessions in
the resting state and during the Attention Network Test, for 25 non-demented
subjects with Parkinson's disease and 21 healthy controls. Behavioral and MRI
contrasts were calculated for alerting, orienting, and executive control
components of attention. Brain regions showing group differences in attention
processing were used as seeds in a functional connectivity analysis of a separate
resting state run. Parkinson's disease subjects showed more activation during
increased executive challenge in four regions of the dorsal attention and
frontoparietal networks, namely right frontal eye field, left and right
intraparietal sulcus, and precuneus. In three regions we saw reduced resting
state connectivity to the default mode network. Further, whereas higher task
activation in the right intraparietal sulcus correlated with reduced resting
state connectivity between right intraparietal sulcus and the precuneus in
healthy controls, this relationship was absent in Parkinson's disease subjects.
Our results suggest that a weakened interaction between the default mode and task
positive networks might alter the way in which the executive response is
processed in PD.
PMID- 27896066
TI - ACTH therapy for West syndrome with severe hemophilia A.
AB - Hemophilia A is an X-linked recessive disorder caused by factor VIII deficiency,
which is an important factor in the coagulation system. Here, we describe a 1
year-old boy with hemophilia A who developed West syndrome (WS). Recombinant
factor VIII was administered during adrenocorticotropic hormone (ACTH) therapy to
prevent intracranial hemorrhage. Infusion of factor VIII at fixed intervals is
useful for the safe administration of ACTH therapy for patients with WS with
severe hemophilia A. A coagulation screening test should be performed before ACTH
therapy.
PMID- 27896065
TI - Analysis of ageing-associated grey matter volume in patients with multiple
sclerosis shows excess atrophy in subcortical regions.
AB - Age of onset in multiple sclerosis (MS) exerts an influence on the course of
disease. This study examined whether global and regional brain volumes differed
between "younger" and "older" onset MS subjects who were matched for short
disease duration, mean 1.9 years and burden as measured by the MS Severity Score
and relapses. 21 younger-onset MS subjects (age 30.4 +/- 3.2 years) were compared
with 17 older-onset (age 48.7 +/- 3.3 years) as well as age-matched controls (n =
31, 31.9 +/- 3.5 years and n = 21, 47.3 +/- 4.0 years). All subjects underwent 3D
volumetric T1 and T2-FLAIR imaging. White matter (WM) and grey matter (GM)
lesions were outlined manually. Lesions were filled prior to tissue and
structural segmentation to reduce classification errors. Volume loss versus
control was predominantly in the subcortical GM, at > 13% loss. Younger and older
onset MS subjects had similar, strong excess loss in the putamen, thalamus, and
nucleus accumbens. No excess loss was detected in the amygdala or pallidum. The
hippocampus and caudate showed significant excess loss in the younger group (p <
0.001) and a strong trend in the older-onset group. These results provide a
potential imaging correlate of published neuropsychological studies that reported
the association of younger age at disease onset with impaired cognitive
performance, including decreased working memory.
PMID- 27896067
TI - Relationship between high-frequency oscillations and spikes in a case of temporal
lobe epilepsy.
AB - OBJECTIVE: The aim of this case report was to study the relationship between high
frequency oscillations (HFOs), spikes, and seizures in a patient with temporal
lobe epilepsy. INTRODUCTION: During intracranial electroencephalography (EEG),
HFOs are thought to be a marker for the seizure onset zone (SOZ). High-frequency
oscillations are classified into ripples with frequencies of 70-200 Hz and fast
ripples with frequencies of 200-500 Hz. Although HFOs are thought to be a marker
for the SOZ, their relationship to spikes has not been studied in detail,
especially within the SOZ. METHODS: We studied the time course of ripples and
spikes in a patient undergoing intracranial EEG. Medications were discontinued on
day one. She suffered three seizures on day three. Her SOZ was in the left
hippocampus, which displayed abundant ripples and spikes. Ripples, spikes with
simultaneous ripples, and spikes without ripples were counted for this study.
RESULTS: We found that ripples and spikes in the SOZ had a marked diurnal
variation. Ripples, spikes with ripples, and spikes without ripples increased and
decreased in concert until just before seizure onset, when ripples and spikes
with ripples increased markedly. Spikes without ripples did not increase.
CONCLUSIONS: These results support ripples as a marker for SOZ and show that they
co-occur with spikes. Seizure onset was heralded by an increase in ripples and
spikes with ripples, without an increase in spikes without ripples. We
hypothesize that spikes associated with ripples may have a somewhat different
pathophysiological mechanism than spikes not associated with ripples, differences
that may be relevant for the timing of seizure onset.
PMID- 27896068
TI - Metagenomic investigation of the microbial diversity in a chrysotile asbestos
mine pit pond, Lowell, Vermont, USA.
AB - Here we report on a metagenomics investigation of the microbial diversity in a
serpentine-hosted aquatic habitat created by chrysotile asbestos mining activity
at the Vermont Asbestos Group (VAG) Mine in northern Vermont, USA. The now
abandoned VAG Mine on Belvidere Mountain in the towns of Eden and Lowell includes
three open-pit quarries, a flooded pit, mill buildings, roads, and > 26 million
metric tons of eroding mine waste that contribute alkaline mine drainage to the
surrounding watershed. Metagenomes and water chemistry originated from aquatic
samples taken at three depths (0.5 m, 3.5 m, and 25 m) along the water column at
three distinct, offshore sites within the mine's flooded pit (near 44 degrees
46'00.7673", - 72 degrees 31'36.2699"; UTM NAD 83 Zone 18 T 0695720 E, 4960030
N). Whole metagenome shotgun Illumina paired-end sequences were quality trimmed
and analyzed based on a translated nucleotide search of NCBI-NR protein database
and lowest common ancestor taxonomic assignments. Our results show strata within
the pit pond water column can be distinguished by taxonomic composition and
distribution, pH, temperature, conductivity, light intensity, and concentrations
of dissolved oxygen. At the phylum level, metagenomes from 0.5 m and 3.5 m
contained a similar distribution of taxa and were dominated by Actinobacteria
(46% and 53% of reads, respectively), Proteobacteria (45% and 38%, respectively),
and Bacteroidetes (7% in both). The metagenomes from 25 m showed a greater
diversity of phyla and a different distribution of reads than the two upper
strata: Proteobacteria (60%), Actinobacteria (18%), Planctomycetes, (10%),
Bacteroidetes (5%) and Cyanobacteria (2.5%), Armatimonadetes (< 1%),
Verrucomicrobia (< 1%), Firmicutes (< 1%), and Nitrospirae (< 1%). Raw metagenome
sequence data from each sample reside in NCBI's Short Read Archive (SRA ID:
SRP056095) and are accessible through NCBI BioProject PRJNA277916.
PMID- 27896070
TI - Metagenome sequencing of the microbial community of two Brazilian anthropogenic
Amazon dark earth sites, Brazil.
AB - The Anthropogenic Amazon Dark Earth soil is considered one of the world's most
fertile soils. These soils differs from conventional Amazon soils because its
higher organic content concentration. Here we describe the metagenome sequencing
of microbial communities of two sites of Anthropogenic Amazon Dark Earth soils
from Amazon Rainforest, Brazil. The raw sequence data are stored under Short Read
Accession number: PRJNA344917.
PMID- 27896069
TI - Evaluation of de novo assembly technique in the South African abalone Haliotis
midae transcriptome: A comparison from Illumina and 454 systems.
AB - Next generation sequencing platforms have recently been used to rapidly
characterize transcriptome sequences from a number of non-model organisms. The
present study compares two of the most frequently used platforms, the Roche 454
pyrosequencing and the Illumina sequencing-by-synthesis (SBS), on the same RNA
sample obtained from an intertidal gastropod mollusc species, Haliotis midae. All
the sequencing reads were deposited in the Short Read Archive (SRA) database are
retrievable under the accession number [SRR071314 (Illumina Genome Analyzer II)]
and [SRR1737738, SRR1737737, SRR1737735, SRR1737734 (454 GS FLX)] in the SRA
database of NCBI. Three transcriptomes, composed of either pure 454 or Illumina
reads or a mixture of read types (Hybrid), were assembled using CLC Genomics
Workbench software. Illumina assemblies performed the best de novo transcriptome
characterization in terms of contig length, whereas the 454 assemblies tended to
improve the complete assembly of gene transcripts. Both the Hybrid and Illumina
assemblies produced longer contigs covering more of the transcriptome than 454
assemblies. However, the addition of 454 significantly increased the number of
genes annotated.
PMID- 27896071
TI - Draft genome sequence of Thermoactinomyces sp. Gus2-1 isolated from the hot
spring Gusikha in Bargusin Valley (Baikal Rift Zone, Russia).
AB - The Thermoactinomyces sp. strain Gus2-1 was isolated from hot-spring sediments
sample from the hot-spring Gusikha in Bargusin Valley (Baikal Rift Zone, Russia).
The sequenced and annotated genome is 2,623,309 bp and encodes 2513 genes. The
draft genome sequence of the Thermoactinomyces sp. strain Gus2-1 has been
deposited at DDBJ/EMBL/GenBank under the accession JPZM01000000 and the sequences
could be found at the site https://www.ncbi.nlm.nih.gov/nuccore/JPZM01000000.
PMID- 27896072
TI - Influence of Npc1 genotype on the toxicity of hydroxypropyl-beta-cyclodextrin, a
potentially therapeutic agent, in Niemann-Pick Type C disease models.
AB - Hydroxypropyl-beta-cyclodextrin (HPBCD) is an attractive drug candidate against
Niemann-Pick Type C (NPC) disease. However, the safety of HPBCD treatment for NPC
patients remains to be elucidated. In this study, we examined the acute toxicity
of HPBCD in Npc1-deficient mice. When treated with HPBCD (20,000 mg/kg,
subcutaneously), over half of the wild-type (Npc1+/+) or Npc1+/- mice died by 72
h after the injection. In contrast, all of the Npc1-/- mice survived. Marked
pathophysiological changes, such as an elevation in serum transaminase and
creatinine levels, hepatocellular necrosis, renal tubular damage, interstitial
thickening, and hemorrhages in lungs, were induced by the HPBCD treatment in
Npc1+/+ or Npc1+/- mice. However, these pathophysiological changes were
significantly alleviated in Npc1-/- mice. In addition, in vitro analysis showed
that the Npc1 gene deficiency and treatment with U18666A, an Npc1 inhibitor,
remarkably attenuated the cytotoxicity of HPBCD in Chinese hamster ovary cells.
These results suggest that the NPC1 genotype exacerbates the cytotoxicity of
HPBCD and Npc1-/- mice have substantial resistance to the lethality and the organ
injury induced by HPBCD injection compared with Npc1+/+ or Npc1+/- mice. We
suggest that the Npc1 genotype should be considered in the safety evaluation of
HPBCD using experimental animals and cells.
PMID- 27896074
TI - Effect of isolated AMP deaminase deficiency on skeletal muscle function.
AB - Mutation of the AMP deaminase 1 (AMPD1) gene, the predominate AMPD gene expressed
in skeletal muscle, is one of the most common inherited defects in the Caucasian
population; 2-3% of individuals in this ethnic group are homozygous for defects
in the AMPD1 gene. Several studies of human subjects have reported variable
results with some studies suggesting this gene defect may cause symptoms of a
metabolic myopathy and/or easy fatigability while others indicate individuals
with this inherited defect are completely asymptomatic. Because of confounding
problems in assessing muscle symptoms and performance in human subjects with
different genetic backgrounds and different environmental experiences such as
prior exercise conditioning and diet, a strain of inbred mice with selective
disruption of the AMPD1 was developed to study the consequences of muscle AMPD
deficiency in isolation. Studies reported here demonstrate that these animals are
a good metabolic phenocopy of human AMPD1 deficiency but they exhibit no
abnormalities in muscle performance in three different exercise protocols.
PMID- 27896075
TI - The value of neuroimaging in the assessment and follow-up of early-onset
methylmalonic aciduria and homocystinuria.
PMID- 27896073
TI - Medium-chain triglyceride supplementation under a low-carbohydrate formula is a
promising therapy for adult-onset type II citrullinemia.
AB - BACKGROUND: Citrin, encoded by SLC25A13, is a component of the malate-aspartate
shuttle, which is the main NADH-transporting system in the liver. Citrin
deficiency causes neonatal intrahepatic cholestasis (NICCD), which usually
resolves within the first year of life. However, small numbers of adults with
citrin deficiency develop hyperammonemic encephalopathy, adult-onset type II
citrullinemia (CTLN2), which leads to death due to cerebral edema. Liver
transplantation is the only definitive therapy for patients with CTLN2. We
previously reported that a lactose (galactose)-restricted and medium-chain
triglyceride (MCT)-supplemented formula is notably effective for patients with
NICCD. Citrin deficiency may impair the glycolysis in hepatocytes because of an
increase in the cytosolic NADH/NAD+ ratio, leading to an energy shortage. MCT
administration can provide energy to hepatocytes and was expected to have a good
effect on CTLN2. METHODS: An MCT supplementation therapy under a low-carbohydrate
formula was administered to five patients with CTLN2. Four of the patients had
episodes of hyperammonemic encephalopathy, and one patient had postprandial
hyperammonemia with no symptoms. RESULTS: One of the patients displaying
hyperammonemic encephalopathy completely recovered with all normal laboratory
findings. Others notably improved in terms of clinical and or laboratory findings
with no hyperammonemic symptoms; however, the patients displayed persistent mild
citrullinemia and occasionally had postprandial mild hyperammonemia most likely
due to an irreversible change in the liver. CONCLUSIONS: An MCT supplement can
provide energy to hepatocytes and promote hepatic lipogenesis, leading to a
reduction in the cytosolic NADH/NAD+ ratio. MCT supplementation under a low
carbohydrate formula could be a promising therapy for CTLN2 and should also be
used to prevent CTLN2 to avoid irreversible liver damage.
PMID- 27896076
TI - Thiamine pyrophosphokinase deficiency causes a Leigh Disease like phenotype in a
sibling pair: identification through whole exome sequencing and management
strategies.
AB - We present a sibling pair with Leigh-like disease, progressive hypotonia,
regression, and chronic encephalopathy. Whole exome sequencing in the younger
sibling demonstrated a homozygous thiamine pyrophosphokinase (TPK) mutation.
Initiation of high dose thiamine, niacin, biotin, alpha-lipoic acid and ketogenic
diet in this child demonstrated improvement in neurologic function and re
attainment of previously lost milestones. The diagnosis of TPK deficiency was
difficult due to inconsistent biochemical and diagnostic parameters, rapidity of
clinical demise and would not have been made in a timely manner without the use
of whole exome sequencing. Molecular diagnosis allowed for attempt at dietary
modification with cofactor supplementation which resulted in an improved clinical
course.
PMID- 27896078
TI - Risk factors for osteoporosis, falls and fractures in hereditary myopathies and
sporadic inclusion body myositis - A cross sectional survey.
AB - BACKGROUND: The risk of osteoporosis is known in myopathies requiring long-term
steroid treatment and Pompe disease, but not in other hereditary myopathies or
sporadic inclusion body myositis (sIBM). METHODS: Risk factors of osteoporosis,
laboratory parameters of bone metabolism, frequency of falls and fractures,
walking ability, and pain were surveyed using questionnaires in 89 patients with
sIBM and genetically confirmed myopathies facioscapulohumeral muscular dystrophy
(FSHD), myotonic dystrophy types 1 and 2 (DM1, DM2), limb girdle muscular
dystrophies (LGMD2A, LGMD2B, LGMD2I), MATR3 myopathy, and oculopharyngeal
muscular dystrophy (OPMD). Additionally laboratory parameters of bone metabolism
were determined. RESULTS: The mean age at examination per disease group ranged
from 32 years in LGMD2A to 70 years in sIBM. Myopathies with a higher degree of
walking impairment had a higher risk of falls (sIBM, LGMD2A, LGMD2B). At the time
of examination 3.4% had a history of osteoporosis. The 25-OH D3 level was
decreased in 20% of patients (and in 55% of patients with LGMDs), 57% of them
were ambulatory. The 25-OH D3 level was significantly lower in patients with
myopathies than in other neurological disorders (p < 0.001). 2.7 falls per year
per person occurred. Fractures were reported in 6.8% of patients within the last
year. They involved frequently the tibia bone. The pain score didn't correlate
with either the walking disability (WGMS) score or the 25-OH D3 level.
CONCLUSION: The risk for osteoporosis and reduced 25-OH D3 level seems to be
increased in wheelchair-bound patients with myopathy but also in patients with
DM1 and autosomal-recessive myopathies.
PMID- 27896077
TI - Sequencing analysis of insulin receptor defects and detection of two novel
mutations in INSR gene.
AB - : Mutations in the insulin receptor gene cause the inherited insulin resistant
syndromes Leprechaunism and Rabson-Mendenhall syndrome. These recessive
conditions are characterized by intrauterine and post-natal growth restrictions,
dysmorphic features, altered glucose homeostasis, and early demise. The insulin
receptor gene (INSR) maps to the short arm of chromosome 19 and is composed of 22
exons. Here we optimize the conditions for sequencing this gene and report novel
mutations in patients with severe insulin resistance. METHODS: PCR amplification
of the 22 coding exons of the INSR gene was performed using M13-tailed primers.
Bidirectional DNA sequencing was performed with BigDye Terminator chemistry and
M13 primers and the product was analyzed on the ABI 3100 genetic analyzer. Data
analysis was performed using Mutation Surveyor software comparing the sequence to
a reference INSR sequence (Genbank NC_000019). RESULTS: We sequenced four
patients with Leprechaunism or Rabson-Mendenhall syndromes as well as seven
samples from normal individuals and confirmed previously identified mutations in
the affected patients. Three of the four mutations identified in this group
caused premature insertion of a stop codon. In addition, the INSR gene was
sequenced in 14 clinical samples from patients with suspected insulin resistance
and one novel mutation was found in an infant with a suspected diagnosis of
Leprechaunism. DISCUSSION: Leprechaunism and Rabson-Mendenhall syndrome are very
rare and difficult to diagnose. Diagnosis is currently based mostly on clinical
criteria. Clinical availability of DNA sequencing can provide an objective way of
confirming or excluding the diagnosis.
PMID- 27896079
TI - A de novo or germline mutation in a family with Mucolipidosis III gamma:
Implications for molecular diagnosis and genetic counseling.
AB - Mucolipidosis III (ML III) gamma is a very rare autosomal-recessive disorder
characterized by the abnormal trafficking and subcellular localization of
lysosomal enzymes due to mutations in the GNPTG gene. The present study consists
of a report of a Brazilian compound heterozygote patient with ML III gamma
resulting from one mutant paternal allele and one allele that had most likely
undergone a de novo or maternal germline mutation. This is the first report of a
de novo mutation in ML III gamma. This finding has significant implications for
genetic counseling.
PMID- 27896080
TI - Lysine-restricted diet and mild cerebral serotonin deficiency in a patient with
pyridoxine-dependent epilepsy caused by ALDH7A1 genetic defect.
AB - Pyridoxine dependent epilepsy (PDE) is caused by mutations in the ALDH7A1 gene
(PDE-ALDH7A1) encoding alpha-aminoadipic-semialdehyde-dehydrogenase enzyme in the
lysine catabolic pathway resulting in an accumulation of alpha-aminoadipic-acid
semialdehyde (alpha-AASA). We present the one-year treatment outcome of a patient
on a lysine-restricted diet. Serial cerebral-spinal-fluid (CSF) alpha-AASA and
CSF pipecolic-acid levels showed decreased levels but did not normalize. He had a
normal neurodevelopmental outcome on a lysine-restricted diet. Despite normal CSF
and plasma tryptophan levels and normal tryptophan intake, he developed mild CSF
serotonin deficiency at one year of therapy. Stricter lysine restriction would be
necessary to normalize CSF alpha-AASA levels, but might increase the risks
associated with the diet. Patients are at risk of cerebral serotonin deficiency
and should be monitored by CSF neurotransmitter measurements.
PMID- 27896083
TI - Pain in adult patients with Pompe disease.
PMID- 27896081
TI - Evidence of redox imbalance in a patient with succinic semialdehyde dehydrogenase
deficiency.
AB - The pathophysiology of succinic semialdehyde dehydrogenase (SSADH) deficiency is
not completely understood. Oxidative stress, mitochondrial pathology, and low
reduced glutathione levels have been demonstrated in mice, but no studies have
been reported in humans. We report on a patient with SSADH deficiency in whom we
found low levels of blood reduced glutathione (GSH), and elevations of
dicarboxylic acids in urine, suggestive of possible redox imbalance and/or
mitochondrial dysfunction. Thus, targeting the oxidative stress axis may be a
potential therapeutic approach if our findings are confirmed in other patients.
PMID- 27896082
TI - A rapid screening with direct sequencing from blood samples for the diagnosis of
Leigh syndrome.
AB - Large numbers of genes are responsible for Leigh syndrome (LS), making genetic
confirmation of LS difficult. We screened our patients with LS using a limited
set of 21 primers encompassing the frequently reported gene for the respiratory
chain complexes I (ND1-ND6, and ND4L), IV(SURF1), and V(ATP6) and the pyruvate
dehydrogenase E1alpha-subunit. Of 18 LS patients, we identified mutations in 11
patients, including 7 in mDNA (two with ATP6), 4 in nuclear (three with SURF1).
Overall, we identified mutations in 61% of LS patients (11/18 individuals) in
this cohort. Sanger sequencing with our limited set of primers allowed us a rapid
genetic confirmation of more than half of the LS patients and it appears to be
efficient as a primary genetic screening in this cohort.
PMID- 27896084
TI - Accuracy of formula preparation equipment for liquid measurement.
AB - BACKGROUND: Modular specialist feeds may consist of a number of individual liquid
ingredients. Accurate feed preparation is dependent on competent liquid
measurement. We investigate the accuracy of two measuring jugs (one retail mix
and-measure; and one produced to laboratory standards); and the influence of
volume and technique on accuracy. MATERIALS AND METHODS: 20 health professionals
aged 18-60 y (mean: 46 y) measured 3 different volumes of water with each of two
measuring jugs. For each volume with each jug, 2 measurements in randomised order
were made: 1) eye-level with the jug, and 2) standing upright (total of 12
measurements). Measured quantities were weighed and the difference between
measured and target volumes calculated. RESULTS: The laboratory jug was more
accurate (mean difference 9.3 ml, range - 30.5 to 57.5 ml, std error mean 1.59)
than the retail jug (mean difference - 17.7 ml, range - 92.0 to 48.5 ml, std
error mean 1.59). Accuracy improved with increased volume (450 ml: mean
difference - 9.4 ml, range - 75.5 to 49.5 ml, std error mean 1.95; and 810 ml:
mean difference - 0.7 ml; range - 92.0 to 43.0 ml, std error mean 1.95).
CONCLUSIONS: Accurate measurement of liquid ingredients is difficult to achieve
even for trained professionals. The cumulative effect of many different liquid
measurement errors (inappropriate jug type, inaccurate volume measured and poor
technique) may lead to clinically important errors in the preparation of modular
specialist feeds.
PMID- 27896085
TI - Promoting psychological well-being in women with phenylketonuria: Pregnancy
related stresses, coping strategies and supports.
AB - OBJECTIVE: To explore the pregnancy-related stresses anticipated and experienced
by women with phenylketonuria (PKU) and the coping strategies and supports
utilised or anticipated to be beneficial during pregnancy. METHODS: Thematic
analysis of interview data from eight women with PKU in a cross-sectional,
qualitative study. Five of the participants had never had a pregnancy but were
planning to in the future, two participants had children, and one participant was
pregnant. RESULTS: The central concern regarding pregnancy was achieving and
maintaining the essential low Phe levels, in the context of the devastating
effects of high levels. The Transactional Model of Stress and Coping was utilised
to understand the coping strategies and supports utilised or anticipated to be
beneficial during pregnancy. Similarities and differences between the women who
had experienced pregnancy, and those who were planning a pregnancy in the future
were evident in key coping strategies, with knowledge seeking, positive
reappraisal, and reassurance seeking reported. Support from health professionals
and other mothers with PKU was key for all women. Psychological support was
identified as a resource perceived to be beneficial to promote psychological well
being during pregnancy but not yet provided. CONCLUSION: Pregnancy is associated
with significant stresses for women with PKU. Clinical implications of the
findings include provision of psychological support.
PMID- 27896086
TI - Differential hexosamine biosynthetic pathway gene expression with type 2
diabetes.
AB - The hexosamine biosynthetic pathway (HBP) culminates in the attachment of O
linked beta-N-acetylglucosamine (O-GlcNAc) onto serine/threonine residues of
target proteins. The HBP is regulated by several modulators, i.e. O-linked beta-N
acetylglucosaminyl transferase (OGT) and beta-N-acetylglucosaminidase (OGA)
catalyze the addition and removal of O-GlcNAc moieties, respectively; while flux
is controlled by the rate-limiting enzyme glutamine:fructose-6-phosphate
amidotransferase (GFPT), transcribed by two genes, GFPT1 and GFPT2. Since
increased HBP flux is glucose-responsive and linked to insulin resistance/type 2
diabetes onset, we hypothesized that diabetic individuals exhibit differential
expression of HBP regulatory genes. Volunteers (n = 60; n = 20 Mixed Ancestry, n
= 40 Caucasian) were recruited from Stellenbosch and Paarl (Western Cape, South
Africa) and classified as control, pre- or diabetic according to fasting plasma
glucose and HbA1c levels, respectively. RNA was purified from leukocytes isolated
from collected blood samples and OGT, OGA, GFPT1 and GFPT2 expressions determined
by quantitative real-time PCR. The data reveal lower OGA expression in diabetic
individuals (P < 0.01), while pre- and diabetic subjects displayed attenuated OGT
expression vs. controls (P < 0.01 and P < 0.001, respectively). Moreover, GFPT2
expression decreased in pre- and diabetic Caucasians vs. controls (P < 0.05 and P
< 0.01, respectively). We also found ethnic differences, i.e. Mixed Ancestry
individuals exhibited a 2.4-fold increase in GFPT2 expression vs. Caucasians,
despite diagnosis (P < 0.01). Gene expression of HBP regulators differs between
diabetic and non-diabetic individuals, together with distinct ethnic-specific
gene profiles. Thus differential HBP gene regulation may offer diagnostic utility
and provide candidate susceptibility genes for different ethnic groupings.
PMID- 27896088
TI - Metyrapone, an inhibitor of cytochrome oxidases, does not affect viability in a
neuroblastoma cell model of bilirubin toxicity.
AB - BACKGROUND: Unconjugated hyperbilirubinemia may cause brain damage in infants,
and globally remains a source of neonatal morbidity and mortality. A significant
inter-individual variability in vulnerability to bilirubin toxicity remains
largely unexplained. An enzyme located in mitochondria oxidizes bilirubin. We
hypothesized that inhibiting bilirubin oxidation in human neuronal cell cultures
exposed to bilirubin would increase cell death. METHODS: The ability of
mitochondrial membranes from CHP-212 human neuroblastoma cells to oxidize
bilirubin was verified by spectrophotometry. Intact cells in culture were exposed
to bilirubin (75 MUM) with or without metyrapone (250 MUM) for 24 h, stained with
Annexin-V and Propidium iodide and analyzed for apoptosis and necrosis by flow
cytometry. RESULTS: Bilirubin caused a significant reduction of viability, from
84 +/- 2.0% (mean +/- SEM) vs 67 +/- 2.7% (p < 0.05), but adding metyrapone to
the bilirubin-exposed cells did not further impact cell viability. Metyrapone
alone did not influence cell viability. CONCLUSION: Herein we have shown that
metyrapone does not increase cell death in neuroblastoma cells in culture exposed
to bilirubin. Our results question the relationship between the oxidative
mechanism evaluated by spectrophotometry and cell viability. Our findings add to
the discussion on whether bilirubin oxidation represents a potentially important
protective mechanism in neurons challenged by hyperbilirubinemia.
PMID- 27896087
TI - Glutaric Aciduria type I and acute renal failure - Coincidence or causality?
AB - Glutaric Aciduria type I (GA-I) is a rare organic acidemia, caused by mutations
in the GCDH gene, and characterized by encephalopathic crises with neurological
sequelae. We report herein a patient with GA-I who presented with severe acute
renal failure requiring dialysis, following an acute diarrheal illness.
Histopathological evaluation demonstrated acute tubular necrosis, and molecular
diagnosis revealed the patient to be homozygous for a previously unreported
mutation, p.E64D. As renal impairment is not part of the clinical spectrum
typical to GA-I, possible associations of renal failure and the underlying inborn
error of metabolism are discussed, including recent advancements made in the
understanding of the renal transport of glutaric acid and its derivatives during
metabolic disturbance in GA-I.
PMID- 27896089
TI - ATP6V0A2 mutations present in two Mexican Mestizo children with an autosomal
recessive cutis laxa syndrome type IIA.
AB - Patients with ARCL-IIA harbor mutations in ATP6V0A2 that codes for an organelle
proton pump. The ARCL-IIA syndrome characteristically presents a combined
glycosylation defect affecting N-linked and O-linked glycosylations,
differentiating it from other cutis laxa syndromes and classifying it as a
Congenital Disorder of Glycosylation (ATP6V0A2-CDG). We studied two Mexican
Mestizo patients with a clinical phenotype corresponding to an ARCL-IIA syndrome.
Both patients presented abnormal transferrin (N-linked) glycosylation but Patient
1 had a normal ApoCIII (O-linked) glycosylation profile. Mutational screening of
ATP6V0A2 using cDNA and genomic DNA revealed in Patient 1 a previously reported
homozygous nonsense mutation c.187C>T (p.R63X) associated with a novel clinical
finding of a VSD. In Patient 2 we found a homozygous c.2293C>T (p.Q765X) mutation
that had been previously reported but found that it also altered RNA processing
generating a novel transcript not previously identified (r.2176_2293del;
p.F726Sfs*10). This is the first report to describe Mestizo patients with
molecular diagnosis of ARCL-IIA/ATP6V0A2-CDG and to establish that their
mutations are the first to be found in patients from different regions of the
world and with different genetic backgrounds.
PMID- 27896090
TI - Comments on 'Infantile hypophosphatasia without bone deformities presenting with
severe pyridoxine-resistant seizures' in Molecular Genetics and Metabolism' 2014
Mar;111(3):404-7 by M.G. de Roo, N.G. Abeling, C.B. Majoie, A.M. Bosch, J.H.
Koelman, J.M. Cobben, M. Duran, B.T. Poll-The.
PMID- 27896092
TI - Enzyme replacement therapy and antibodies in late-onset Pompe disease.
PMID- 27896091
TI - Clinical variability in neurohepatic syndrome due to combined mitochondrial DNA
depletion and Gaucher disease.
AB - A 1-year-old girl born to consanguineous parents presented with unexplained liver
failure, leading to transplantation at 19 months. Subsequent partial splenectomy
for persistent cytopenia showed the presence of foamy cells, and Gaucher disease
was confirmed by homozygosity for the p.Leu483Pro mutation in the GBA gene. She
was treated by enzyme replacement therapy (ERT). Clinical follow-up showed mild
developmental delay, strabismus, nystagmus and oculomotor apraxia. Biochemical
studies revealed multiple respiratory chain deficiencies and a mosaic pattern of
deficient complex IV immunostaining in liver and fibroblast. Molecular analysis
identified a mtDNA depletion syndrome due to the homozygous p.Pro98Leu mutation
in MPV17. A younger sister unaffected by mtDNA depletion, presented with
pancytopenia and hepatosplenomegaly. ERT for Gaucher disease resulted in visceral
normalization without any neurological symptom. A third sister, affected by both
conditions, had marked developmental delay, strabismus and ophthalmoplegia but no
liver cirrhosis. In conclusion, intrafamilal variability occurs in MPV17-related
disease. The combined pathological effect of Gaucher and mitochondrial diseases
can negatively impact neurological and liver functions and influence the outcome
in consanguineous families. The immunocytochemical staining of OXPHOS protein in
tissues and cultured cells is a powerful tool revealing mosaic pattern of
deficiency pointing to mtDNA-related mitochondrial disorders.
PMID- 27896093
TI - Neonatal pulmonary hypertension in mitochondrial disorders due to TMEM70
mutations.
PMID- 27896094
TI - Concurrent non-ketotic hyperglycinemia and propionic acidemia in an eight year
old boy.
AB - This is the first reported case of a patient with both non-ketotic
hyperglycinemia and propionic acidemia. At 2 years of age, the patient was
diagnosed with non-ketotic hyperglycinemia by elevated glycine levels and
mutations in the GLDC gene (paternal allele: c.1576_1577insC delT and
c.1580delGinsCAA; p.S527Tfs*13, and maternal allele: c.1819G>A; p.G607S). At 8
years of age after having been placed on ketogenic diet, he became lethargic and
had severe metabolic acidosis with ketonuria. Urine organic acid analysis and
plasma acylcarnitine profile were consistent with propionic acidemia. He was
found to have an apparently homozygous mutation in the PCCB gene: c.49C>A;
p.Leu17Met. The patient was also treated with natural protein restriction,
carnitine, biotin, and thiamine and had subjective and biochemical improvement.
PMID- 27896095
TI - Residual OCTN2 transporter activity, carnitine levels and symptoms correlate in
patients with primary carnitine deficiency.
AB - BACKGROUND: The prevalence of primary carnitine deficiency (PCD) in the Faroe
Islands is the highest reported in the world (1:300). Serious symptoms related to
PCD, e.g. sudden death, have previously only been associated to the c.95A >
G/c.95A > G genotype in the Faroe Islands. We report and characterize novel
mutations associated with PCD in the Faroese population and report and compare
free carnitine levels and OCTN2 transport activities measured in fibroblasts from
PCD patients with different genotypes. METHODS: Genetic analyses were used to
identify novel mutations, and carnitine uptake analyses in cultured skin
fibroblasts from selected patients were used to examine residual OCTN2
transporter activities of the various genotypes. RESULTS: Four different
mutations, including the unpublished c.131C > T (p.A44V), the novel splice
mutation c.825-52G > A and a novel risk-haplotype (RH) were identified in the
Faroese population. The two most prevalent genotypes were c.95A > G/RH (1:600)
and c.95A > G/c.95A > G (1:1300). Patients homozygous for the c.95A > G mutation
had both the significantly (p < 0.01) lowest mean free carnitine level at 2.03
(SD 0.66) MUmol/L and lowest residual OCTN2 transporter activity (4% of normal).
There was a significant positive correlation between free carnitine levels and
residual OCTN2 transporter activities in PCD patients (R2 = 0.430, p < 0.01).
CONCLUSION: There was a significant positive correlation between carnitine levels
and OCTN2 transporter activities. The c.95A > G/c.95A > G genotype had the
significantly lowest mean free carnitine level and residual OCTN2 transporter
activity.
PMID- 27896096
TI - Peripheral leukocyte anomaly detected with routine automated hematology analyzer
sensitive to adipose triglyceride lipase deficiency manifesting neutral lipid
storage disease with myopathy/triglyceride deposit cardiomyovasculopathy.
AB - Adipose triglyceride lipase (ATGL) deficiency manifesting neutral lipid storage
disease with myopathy/triglyceride deposit cardiomyovasculopathy presents
distinct fat-containing vacuoles known as Jordans' anomaly in peripheral
leucocytes. To develop an automatic notification system for Jordans' anomaly in
ATGL-deficient patients, we analyzed circulatory leukocyte scattergrams on
automated hematology analyzer XE-5000. The BASO-WX and BASO-WY values were found
to be significantly higher in patients than those in non-affected subjects. The
two parameters measured by automated hematology analyzer may be expected to
provide an important diagnostic clue for homozygous ATGL deficiency.
PMID- 27896097
TI - Female adipocyte androgen synthesis and the effects of insulin.
AB - The metabolic syndrome is a cluster of metabolic disorders characterized by
insulin resistance and hyperinsulinaemia, and its presence can increase the risk
of cardiovascular disease significantly. The metabolic syndrome is associated
with increased circulating androgen levels in women, which may originate from the
ovaries and adrenal glands. Adipocytes are also able to synthesise steroid
hormones, and this output has been hypothesised to increase with elevated insulin
plasma concentrations. However, the contribution of the adipocytes to the
circulating androgen levels in women with metabolic syndrome is limited and the
effects of insulin are not fully understood. The aim of this study was to
investigate the presence of steroid precursors and synthetic enzymes in human
adipocyte biopsies as markers of possible adipocyte androgen synthesis. We
examined pre and mature adipocytes taken from tissue biopsies of abdominal
subcutaneous adipose tissue of participating women from the Department of
Obstetrics and Gynaecology, of the Royal Derby Hospital. The results showed the
potential for localised adipocyte androgen synthesis through the presence of the
androgen precursor progesterone, as well as the steroid-converting enzyme 17alpha
hydroxylase. Furthermore, we found the controlled secretion of androstenedione in
vitro and that insulin treatment caused levels to increase. Continued examination
of a localised source of androgen production is therefore of clinical relevance
due to its influence on adipocyte metabolism, its negative impact on female
steroidogenic homeostasis, and the possible aggravation this may have when
associated to obesity and obesity related metabolic abnormalities such as
hyperinsulinaemia.
PMID- 27896098
TI - Disease-associated marked hyperalphalipoproteinemia.
AB - Marked hyperalphalipoproteinemia (HAL) is a heterogeneous syndrome. To clarify
the pathophysiological significance of HAL, we compared clinical profiles between
marked HAL subjects with and without cholesteryl ester transfer protein (CETP)
deficiency. CETP deficiency was associated with cardiovascular diseases and
strokes in the HAL population, particularly in female. HAL women without CETP
deficiency tended to have higher prevalence with cancer history. HAL may not
always be a longevity marker, but be sometimes accompanied with pathological
conditions.
PMID- 27896099
TI - Natural history of motor neuron disease in adult onset GM2-gangliosidosis: A case
report with 25 years of follow-up.
AB - An adult with Sandhoff disease presented with pure lower motor neuron phenotype.
Twenty years later, he showed signs of upper motor neuron involvement. 25 years
from the onset, his muscle weakness slightly worsened but he was fully
independent in activities of daily living. GM2-gangliosidosis can manifest as a
motor neuron disease with a slowly progressive course. The correct knowledge of
the natural history can be really important to achieve the diagnosis, design new
therapies and evaluate clinical trials.
PMID- 27896100
TI - Identification of three novel mutations by studying the molecular genetics of
Maple Syrup Urine Disease (MSUD) in the Lebanese population.
AB - Maple Syrup Urine Disease (MSUD) is a genetically heterogeneous metabolic
disorder that is transmitted in an autosomal recessive manner. According to
clinical data, MSUD prevalence in Lebanon is expected to be higher than the
International prevalence because of consanguineous marriage. Novel mutations are
still getting detected by using DNA sequencing for mutation analysis in MSUD
patients. In the current study, we have extracted DNA from Lebanese MSUD patients
in order to amplify the exonic and flanking intronic regions of the genes
implicated in MSUD (BCKDHA, BCKDHB, and DBT) and sequenced the resultant
amplified products to assess the molecular genetics of MSUD in the Lebanese
population studied. All of the mutations identified occurred in the homozygous
state, which reflects the high rate of consanguineous marriage in Lebanon. In the
current study, we have identified one previously cited mutation and three novel
mutations not previously described in the scientific literature. The identified
mutations were distributed as follows: three patients (60%) had two nucleotide
substitutions in the DBT gene (c.224G>A and c.1430T>G), one patient (20%) had a
gross deletion in the BCKDHA gene (c.488_1167+3del), and one patient (20%) had a
small deletion in the BCKDHB gene (c.92_102del). The majority of the mutations
identified in the Lebanese MSUD patients occurred in the DBT gene. Consanguineous
marriage is a major risk factor for the prevalence of MSUD in Lebanon.
PMID- 27896101
TI - Late onset Leigh syndrome mimicking central nervous system vasculitis.
PMID- 27896102
TI - Frequency of de novo mutations in Japanese patients with Fabry disease.
AB - We examined alpha-galactosidase A (GLA) gene mutations in 74 Japanese families
with Fabry disease (FD) to determine the frequency of de novo mutations. In 5 of
74 families (6.8%), the probands had no positive family histories and were
diagnosed as de novo because their parents had no mutations in GLA gene. The
parents of Fabry patients do not necessarily have mutations in GLA gene which is
an important consideration in genetic counseling for FD.
PMID- 27896103
TI - Plasma mutant alpha-galactosidase A protein and globotriaosylsphingosine level in
Fabry disease.
AB - Fabry disease is an X-linked genetic disorder characterized by deficient activity
of alpha-galactosidase A (GLA) and accumulation of glycolipids, and various GLA
gene mutations lead to a wide range of clinical phenotypes from the classic form
to the later-onset one. To investigate the biochemical heterogeneity and
elucidate the basis of the disease using available clinical samples, we measured
GLA activity, GLA protein and accumulated globotriaosylsphingosine (Lyso-Gb3), a
biomarker of this disease, in plasma samples from Fabry patients. The analysis
revealed that both the enzyme activity and the protein level were apparently
decreased, and the enzyme activity was well correlated with the protein level in
many Fabry patients. In these cases, a defect of biosynthesis or excessive
degradation of mutant GLAs should be involved in the pathogenesis, and the
residual protein level would determine the accumulation of Lyso-Gb3 and the
severity of the disease. However, there are some exceptional cases, i.e., ones
harboring p.C142Y, p.R112H and p.M296I, who exhibit a considerable amount of GLA
protein. Especially, a subset of Fabry patients with p.R112H or p.M296I has been
attracted interest because the patients exhibit almost normal plasma Lyso-Gb3
concentration. Structural analysis revealed that C142Y causes a structural change
at the entrance of the active site. It will lead to a complete enzyme activity
deficiency, resulting in a high level of plasma Lyso-Gb3 and the classic Fabry
disease. On the other hand, it is thought that R112H causes a relatively large
structural change on the molecular surface, and M296I a small one in a restricted
region from the core to the surface, both the structural changes being far from
the active site. These changes will cause not only partial degradation but also
degeneration of the mutant GLA proteins, and the degenerated enzymes exhibiting
small and residual activity remain and probably facilitate degradation of Lyso
Gb3 in plasma, leading to the later-onset phenotype. The results of this
comprehensive analysis will be useful for elucidation of the basis of Fabry
disease.
PMID- 27896104
TI - Novel method to characterize CYP21A2 in Florida patients with congenital adrenal
hyperplasia and commercially available cell lines.
AB - Congenital adrenal hyperplasia (CAH) is an autosomal recessive disorder and
affects approximately 1 in 15,000 births in the United States. CAH is one of the
disorders included on the Newborn Screening (NBS) Recommended Uniform Screening
Panel. The commonly used immunological NBS test is associated with a high false
positive rate and there is interest in developing second-tier assays to increase
screening specificity. Approximately 90% of the classic forms of CAH, salt
wasting and simple virilizing, are due to mutations in the CYP21A2 gene. These
include single nucleotide changes, insertions, deletions, as well as chimeric
genes involving CYP21A2 and its highly homologous pseudogene CYP21A1P. A novel
loci-specific PCR approach was developed to individually amplify the CYP21A2
gene, the nearby CYP21A1P pseudogene, as well as any 30 kb deletion and gene
conversion mutations, if present, as single separate amplicons. Using
commercially available CAH positive specimens and 14 families with an affected
CAH proband, the single long-range amplicon approach demonstrated higher
specificity as compared to previously published methods.
PMID- 27896105
TI - Retrospective TREC testing of newborns with Severe Combined Immunodeficiency and
other primary immunodeficiency diseases.
AB - In Manitoba, Canada, the overall incidence of Severe Combined Immunodeficiency
(SCID) is three-fold higher than the national average, with SCID overrepresented
in two population groups: Mennonites and First Nations of Northern Cree
ancestries. T-cell receptor excision circle (TREC) assay is being used
increasingly for neonatal screening for SCID in North America. However, the
majority of SCID patients in Manitoba are T-cell-positive. Therefore it is likely
that the TREC assay will not identify these infants. The goal of this study was
to blindly and retrospectively perform TREC analysis in confirmed SCID patients
using archived Guthrie cards. Thirteen SCID patients were tested: 5 T-negative
SCID (3 with adenosine deaminase deficiency, 1 with CD3delta deficiency, and 1
unclassified) and 8 T-positive SCID (5 with zeta chain-associated protein kinase
(ZAP70) deficiency and 3 with inhibitor of kappa light polypeptide gene enhancer
in B-cells, kinase beta (IKKbeta) deficiency). As a non-SCID patient group, 5
Primary Immunodeficiency Disease (PID) patients were studied: 1 T-negative PID
(cartilage-hair hypoplasia) and 4 T-positive PID (2 common immune deficiency
(CID), 1 Wiskott-Aldrich syndrome, and 1 X-linked lymphoproliferative disease).
Both patient groups required hematopoietic stem cell transplantation. In
addition, randomly-selected de-identified controls (n = 982) were tested.
Results: all T-negative SCID and PID had zero TRECs. Low-TRECs were identified in
2 ZAP70 siblings, 1 CID patient as well as 5 preterm, 1 twin, and 4 de-identified
controls. Conclusions: TREC method will identify T-negative SCID and T-negative
PID. To identify other SCID babies, newborn screening in Manitoba must include
supplemental targeted screening for ethnic-specific mutations.
PMID- 27896106
TI - Parental knowledge reduces long term anxiety induced by false-positive test
results after newborn screening for cystic fibrosis.
AB - BACKGROUND: False-positive screening results in newborn screening for cystic
fibrosis may lead to parental stress, family relationship problems and a changed
perception of the child's health. AIM OF THE STUDY: To evaluate whether parental
anxiety induced by a false positive screening result disappears after six months
and to assess whether a special program to inform parents prior and during the
screening procedure prevents or diminishes parental anxiety. METHODS: Prospective
controlled study assessing the long term effects of false-positive test results
of newborn screening for cystic fibrosis (NBSCF) on parental anxiety and stress
by means of questionnaires sent to parents of 106 infants with a false positive
newborn screening test and 318 randomly selected infants with a true negative
screening test. Additionally we interviewed 25 parents of the false-positive
group. RESULTS: Parents showed negative feelings after being informed about the
positive screening test result. After confirmation that their child was healthy
and not suffering from CF, most parents felt reassured. After six months no
difference in anxiety levels between both groups of parents was found. Well
informed parents in the false positive group experienced less stress.
CONCLUSIONS: A positive screening test result induces parental anxiety but false
positive test results in NBSCF do not seem to cause long-term anxiety. Well
informed parents show lower stress and anxiety levels.
PMID- 27896107
TI - Newborn screening for dihydrolipoamide dehydrogenase deficiency: Citrulline as a
useful analyte.
AB - Dihydrolipoamide dehydrogenase deficiency, also known as maple syrup urine
disease (MSUD) type III, is caused by the deficiency of the E3 subunit of
branched chain alpha-ketoacid dehydrogenase (BCKDH), alpha-ketoglutarate
dehydrogenase (alphaKGDH), and pyruvate dehydrogenase (PDH). DLD deficiency
variably presents with either a severe neonatal encephalopathic phenotype or a
primarily hepatic phenotype. As a variant form of MSUD, it is considered a core
condition recommended for newborn screening. The detection of variant MSUD forms
has proven difficult in the past with no asymptomatic DLD deficiency patients
identified by current newborn screening strategies. Citrulline has recently been
identified as an elevated dried blood spot (DBS) metabolite in symptomatic
patients affected with DLD deficiency. Here we report the retrospective DBS
analysis and second-tier allo-isoleucine testing of 2 DLD deficiency patients. We
show that an elevated citrulline and an elevated allo-isoleucine on second-tier
testing can be used to successfully detect DLD deficiency. We additionally
recommend that DLD deficiency be included in the "citrullinemia/elevated
citrulline" ACMG Act Sheet and Algorithm.
PMID- 27896108
TI - Association of genetic variants of the incretin-related genes with quantitative
traits and occurrence of type 2 diabetes in Japanese.
AB - BACKGROUND: None of the high frequency variants of the incretin-related genes has
been found by genome-wide association study (GWAS) for association with
occurrence of type 2 diabetes in Japanese. However, low frequency and rare and/or
high frequency variants affecting glucose metabolic traits remain to be
investigated. METHOD: We screened all exons of the incretin-related genes (GCG,
GLP1R, DPP4, PCSK1, GIP, and GIPR) in 96 patients with type 2 diabetes and
investigated for association of genetic variants of these genes with quantitative
metabolic traits upon test meal with 38 young healthy volunteers and with the
occurrence of type 2 diabetes in Japanese subjects comprising 1303 patients with
type 2 diabetes and 1014 controls. RESULT: Two mutations of GIPR, p.Thr3Alafsx21
and Arg183Gln, were found only in patients with type 2 diabetes, and both of them
were treated with insulin. Of ten tagSNPs, we found that risk allele C of SNP393
(rs6235) of PCSK1 was nominally associated with higher fasting insulin and HOMA-R
(P = 0.034 and P = 0.030), but not with proinsulin level, incretin level or BMI.
The variant showed significant association with occurrence of type 2 diabetes
after adjustment for age, sex, and BMI (P = 0.0043). CONCLUSION: Rare variants of
GIPR may contribute to the development of type 2 diabetes, possibly through
insulin secretory defects. Furthermore, the genetic variant of PCSK1 might
influence glucose homeostasis by altered insulin resistance independently of BMI,
incretin level or proinsulin conversion, and may be associated with the
occurrence of type 2 diabetes in Japanese.
PMID- 27896109
TI - Somatic mosaicism for a novel PDHA1 mutation in a male with severe pyruvate
dehydrogenase complex deficiency.
AB - Pyruvate dehydrogenase complex (PDC) deficiencies are mostly due to mutations in
the X-linked PDHA1 gene. Males with hemizygous PDHA1 mutations are clinically
more severely affected, while those with mosaic PDHA1 mutations may manifest
milder phenotypes. We report a patient harboring a novel, mosaic missense PDHA1
mutation, c.523G > A (p.A175T), with a severe clinical presentation of congenital
microcephaly, significant brain abnormalities, persistent seizures, profound
developmental delay, and failure to thrive. We review published cases of PDHA1
mosaicism.
PMID- 27896110
TI - Whole exome sequencing reveals compound heterozygous mutations in SLC19A3 causing
biotin-thiamine responsive basal ganglia disease.
AB - Biotin-thiamine responsive basal ganglia disease (BTBGD) is a rare metabolic
condition caused by mutations in the SLC19A3 gene. BTBGD presents with
encephalopathy and significant disease progression when not treated with biotin
and/or thiamine. We present a patient of Mexican and European ancestry diagnosed
with BTBGD found to have compound heterozygous frameshift mutations, one novel.
Our report adds to the genotype-phenotype correlation, highlighting the clinical
importance of considering SLC19A3 gene defects as part of the differential
diagnosis for Leigh syndrome.
PMID- 27896111
TI - Development of catecholamine and cortisol stress responses in zebrafish.
AB - Both adrenal catecholamines and steroids are known to be involved in the stress
response, immune function, blood pressure and energy homeostasis. The response to
stress is characterized by the activation of the hypothalamus-pituitary-adrenal
(HPA) axis and the sympathetic-adrenomedullary system, though the correlation
with activation and development is not well understood. We evaluated the stress
response of both cortisol and catecholamines during development in zebrafish.
Zebrafish at two different stages of development were stressed in one of two
different ways and cortisol and catecholamine were measured. Cortisol was
measured by enzyme immune assay and catecholamine was measured by ELISA. Our
results show that stress responses are delayed until after the synthesis of both
cortisol and catecholamines. These observations suggest that the development of
HPA axis may be required for the acquisition of the stress response for cortisol
and catecholamines.
PMID- 27896112
TI - Effects of intracerebroventricular administration of 2-hydroxypropyl-beta
cyclodextrin in a patient with Niemann-Pick Type C disease.
AB - Niemann-Pick Type C disease (NPC) is an autosomal recessive lysosomal storage
disorder characterized by progressive neurological deterioration. Previously, we
reported that intravenous administration of 2-hydroxypropyl-beta-cyclodextrin
(HPB-CD) in two patients with NPC had only partial and transient beneficial
effects on neurological function. The most likely reason for HPB-CD not
significantly improving the neurological deficits of NPC is its inability to
cross the blood-brain barrier. Herein, we describe the effects of intrathecal HPB
CD in an eight-year-old patient with a perinatal onset of NPC, administered
initially at a dose of 10 mg/kg every other week and increased up to 10 mg/kg
twice a week. Clinically, the patient maintained residual neurological functions
for two years, at which time nuclear magnetic resonance spectroscopy showed a
decreased choline to creatine ratio and increased N-acetylaspartate to creatine
ratio, and positron emission tomography revealed increased standardized uptake
values. Total-tau in the cerebrospinal fluid (CSF) was also decreased after two
years. No adverse effects were observed over the course of treatment. The CSF
concentrations of HPB-CD during the distribution phase after the injections were
comparable with those at which HPB-CD could normalize cellular cholesterol
abnormality in vitro. Further studies are necessary to elucidate the mechanisms
of action of HPB-CD in NPC, and to determine the optimal dose and intervals of
HPB-CD injection.
PMID- 27896113
TI - Identification of 17 novel mutations in 40 Argentinean unrelated families with
mucopolysaccharidosis type II (Hunter syndrome).
AB - Mucopolysaccharidosis type II (MPSII) is an X-linked lysosomal storage disorder
caused by deficiency of the enzyme iduronate-2-sulfatase (IDS). The human IDS
gene is located in chromosome Xq28. This is the first report of genotype and
phenotype characterization of 49 Hunter patients from 40 families of Argentina.
Thirty different alleles have been identified, and 57% were novel. The frequency
of de novo mutations was 10%. Overall, the percentage of private mutations in our
series was 75%.
PMID- 27896114
TI - Mitochondrial damage and cholesterol storage in human hepatocellular carcinoma
cells with silencing of UBIAD1 gene expression.
AB - Heterozygous mutations in the UBIAD1 gene cause Schnyder corneal dystrophy
characterized by abnormal cholesterol and phospholipid deposits in the cornea.
Ubiad1 protein was recently identified as Golgi prenyltransferase responsible for
biosynthesis of vitamin K2 and CoQ10, a key protein in the mitochondrial electron
transport chain. Our study shows that silencing UBIAD1 in cultured human
hepatocellular carcinoma cells causes dramatic morphological changes and
cholesterol storage in the mitochondria, emphasizing an important role of UBIAD1
in mitochondrial function.
PMID- 27896115
TI - Right frontal lobe encephalomalacia in an adult propionic acidemia patient with
neuropsychiatric manifestations.
PMID- 27896116
TI - Fabry disease: Evidence for a regional founder effect of the GLA gene mutation
30delG in Brazilian patients.
AB - The Fabry disease is caused by mutations in the gene (GLA) that encodes the
enzyme alpha-galactosidase A (alpha-Gal A). More than 500 pathologic variants of
GLA have already been described, most of them are family-specific. In southern
Brazil, a frequent single-base deletion (GLA 30delG) was identified among four
families that do not recognize any common ancestral. In order to investigate the
history of this mutation (investigate the founder effect, estimate the mutation
age and the most likely source), six gene-flanking microsatellite markers of the
X chromosome on the mutation carriers and their parents, 150 individuals from the
same population and 300 individuals that compose the Brazilian parental
populations (Europeans, Africans and Native Americans) were genotyped. A common
haplotype to the four families was identified and characterized as founder. The
age was estimated with two statistics software (DMLE 2.2 and ESTIAGE) that agreed
with 11 to 12 generations old. This result indicates that the mutation GLA 30delG
was originated from a single event on the X chromosome of a European immigrant,
during the southern Brazil colonization between 1710 and 1740.
PMID- 27896117
TI - Cardiac disease as the presenting feature of mucopolysaccharidosis type IIIA: A
case report.
AB - Severe cardiac involvement is a common feature of mucopolysaccharidoses (MPS),
but occurs only rarely in MPS III (Sanfilippo syndrome). We report herein a case
of MPS III-A having cardiac involvement as its first manifestation. Analysis of
the SGSH gene showed homozygosity for the novel mutation p.G80V. We propose that
MPS disorders, including MPS III-A, should be included in the differential
diagnosis of every case of cardiomyopathy presenting during the first year of
life.
PMID- 27896119
TI - Novel OPA1 mutation featuring spastic paraparesis and intestinal dysmotility.
AB - A 58-year-old man with optic atrophy, spastic paraparesis, axonal sensorimotor
peripheral neuropathy and intestinal dysmotility harbors a novel heterozygous
missense mutation in the mitochondrial import signal peptide of OPA1. The case
underscores the role of OPA1 in the pathogenesis of spastic paraparesis, so far
reported only in very few cases, and it adds intestinal dysmotility to the
spectrum of adult-onset clinical manifestation of OPA1-associated disease.
PMID- 27896118
TI - Expanding the spectrum of HEXA mutations in Indian patients with Tay-Sachs
disease.
AB - Tay-Sachs disease is an autosomal recessive neurodegenerative disorder occurring
due to impaired activity of beta-hexosaminidase-A (EC 3.2.1.52), resulting from
the mutation in HEXA gene. Very little is known about the molecular pathology of
TSD in Indian children except for a few mutations identified by us. The present
study is aimed to determine additional mutations leading to Tay-Sachs disease in
nine patients confirmed by the deficiency of beta-hexosaminidase-A (< 2% of total
hexosaminidase activity for infantile patients) in leucocytes. The enzyme
activity was assessed by using substrates 4-methylumbelliferyl-N-acetyl-beta-d
glucosamine and 4-methylumbelliferyl-N-acetyl-beta-d-glucosamine-6-sulfate for
total-hexosaminidase and hexosaminidase-A respectively, and heat inactivation
method for carrier detection. The exons and exon-intron boundaries of the HEXA
gene were bi-directionally sequenced on an automated sequencer. 'In silico'
analyses for novel mutations were carried out using SIFT, Polyphen2 and
MutationT@ster software programs. The structural study was carried out by UCSF
Chimera software using the crystallographic structure of beta-hexosaminidase-A
(PDB-ID: 2GJX) as the template. Our study identified four novel mutations in
three cases. These include a compound heterozygous missense mutation c.524A>C
(D175A) and c.805G>C (p.G269R) in one case; and one small 1 bp deletion c.426delT
(p.F142LfsX57) and one splice site mutation c.459+4A>C in the other two cases
respectively. None of these mutations were detected in 100 chromosomes from
healthy individuals of the same ethnic group. Three previously reported missense
mutations, (i) c.532C>T (p.R178C), (ii) c.964G>T (p.D322Y), and (iii) c.1385A>T
(p.E462V); two nonsense mutations (i) c.709C>T (p.Q237X) and (ii) c.1528C>T
(p.R510X), one 4 bp insertion c.1277_1278insTATC (p.Y427IfsX5) and one splice
site mutation c.459+5G>A were also identified in six cases. We observe from this
study that novel mutations are more frequently observed in Indian patients with
Tay-Sachs disease with clustering of ~ 73% of disease causing mutations in exons
5 to 12. This database can be used for a carrier rate screening in the larger
population of the country.
PMID- 27896121
TI - A SUCLG1 mutation in a patient with mitochondrial DNA depletion and congenital
anomalies.
AB - Defects in two subunits of succinate-CoA ligase encoded by the genes SUCLG1 and
SUCLA2 have been identified in mitochondrial DNA (mtDNA) depletion syndromes.
Patients generally present with encephalomyopathy and mild methylmalonic acidemia
(MMA), however mutations in SUCLG1 normally appear to result in a more severe
clinical phenotype. In this report, we describe a patient with fatal infantile
lactic acidosis and multiple congenital anomalies (MCAs) including renal and
cardiac defects. Molecular studies showed a defective electron transport chain
(ETC), mtDNA depletion, and a novel homozygous mutation in the SUCLG1 gene.
Although our patient's clinical biochemical phenotype is consistent with a SUCLG1
mutation, it is unclear whether the MCAs observed in our patient are a result of
the SUCLG1 mutation or alterations in a second gene. An increasing number of
reports have described MCAs associated with mitochondrial disorders and SUCLG1
specifically. Additional studies such as whole exome sequencing will further
define whether additional genes are responsible for the observed MCAs.
PMID- 27896120
TI - Non-depleting anti-CD4 monoclonal antibody induces immune tolerance to ERT in a
murine model of Pompe disease.
AB - Approximately 35-40% of patients with classic infantile Pompe disease treated
with enzyme replacement therapy (ERT) develop high, sustained antibody titers
against the therapeutic enzyme alglucosidase alfa, which abrogates the treatment
efficacy. Induction of antigen-specific immune tolerance would greatly enhance
ERT for these patients. Here we show that a short-course treatment with non
depleting anti-CD4 monoclonal antibody successfully induced long-term ERT
specific immune tolerance in Pompe disease mice. Our data suggest an effective
adjuvant therapy to ERT.
PMID- 27896122
TI - Clinical and biochemical characterization of 3-hydroxyisobutyryl-CoA hydrolase
(HIBCH) deficiency that causes Leigh-like disease and ketoacidosis.
AB - 3-Hydroxyisobutyryl-CoA hydrolase (HIBCH) deficiency is an autosomal recessive
disorder characterized by episodes of ketoacidosis and a Leigh-like basal ganglia
disease, without high concentrations of pyruvate and lactate in the cerebrospinal
fluid. Only 4 cases of HIBCH deficiency have been reported. However, clinical
biochemical correlation in HIBCH deficiency by determining the detailed residual
enzyme activities has not yet been elucidated. Here, we report a case of two
Japanese siblings with HIBCH deficiency carrying a new homozygous missense
mutation (c.287C > A, [p.A96D]) at the substrate-binding site. A transfection
study using HIBCH expression vectors harboring wild type or 4 reported mutations,
including the newly identified mutation (p.A96D, p.Y122C, p.G317E, and
p.K74Lfs*13), revealed a correlation between residual HIBCH activities and the
severity of the disease. All HIBCH mutants, except p.K74Lfs*13, showed residual
enzyme activity and only the patient with p.K74Lfs*13 had congenital anomalies.
p.G317E showed only low enzyme activity (~ 3%) of that of wild-type HIBCH.
Although p.A96D had approximately 7 times higher enzyme activity than p.G317E,
patients with p.A96D died during childhood. These findings are essential for
clinical management, genetic counseling, and specific meal and concomitant drug
considerations as part of the treatment for patients with HIBCH deficiency.
PMID- 27896123
TI - Development of a fluorometric microtiter plate based enzyme assay for MPS IVA
(Morquio type A) using dried blood spots.
AB - Mucopolysaccharidosis type IVA or Morquio type-A disease is a hereditary
lysosomal storage disorder caused by deficient activity of the lysosomal enzyme N
acetylgalactosamine-6-sulfate sulfatase (GALNS). The disease is caused by
lysosomal accumulation of unprocessed glycosaminoglycans (GAGs) that manifests
with severe to mild skeletal and cardiopulmonary abnormalities. We have developed
a modified microtiter plate-based enzyme activity assay using dried blood spots
and a fluorescent substrate for measuring specific GALNS activity to identify
patients with MPS IVA.
PMID- 27896124
TI - Development of a fluorometric microtiter plate-based enzyme assay for
arylsulfatase B (MPS VI) using dried blood spots.
AB - Mucopolysaccharidosis type VI or Maroteaux-Lamy syndrome is an autosomal
recessive lysosomal storage disorder caused by deficiency of arylsulfatase B (ARS
B) enzyme activity. It results in mild to severe multi-organ system failure from
accumulation of undigested glycosaminoglycans (GAGs); dermatan sulfate and
chondroitin-4-sulfate. We have developed a single-step enzyme assay using a
fluorescent substrate and dried blood spots to measure ARS-B activity to identify
disease patients. This assay is robust, reproducible, specific and convenient to
perform.
PMID- 27896125
TI - Genotypic and bioinformatic evaluation of the alpha-l-iduronidase gene and
protein in patients with mucopolysaccharidosis type I from Colombia, Ecuador and
Peru.
AB - Mucopolysaccharidosis type I (MPSI) is a rare autosomal recessive disorder caused
by mutations in the gene encoding the lysosomal enzyme alpha-l-iduronidase
(IDUA), which is instrumental in the hydrolysis of the glycosaminoglycans,
dermatan and heparan sulfate. The accumulation of unhydrolyzed glycosaminoglycans
leads to pathogenesis in multiple tissue types, especially those of skeletal,
nervous, respiratory, cardiovascular, and gastrointestinal origin. Although
molecular diagnostic tools for MPSI have been available since the identification
and characterization of the IDUA gene in 1992, Colombia, Ecuador, and Peru have
lacked such methodologies. Therefore, the mutational profile of the IDUA gene in
these countries has largely been unknown. The goal of this study was to
characterize genotypes in 14 patients with MPSI from Colombia, Ecuador, and Peru.
The most common mutation found at a frequency of 42.8% was W402X. Six patients
presented with seven novel mutations, a high novel mutational rate in this
population (32%). These novel mutations were validated using bioinformatic
techniques. A model of the IDUA protein resulting from three of the novel
missense mutations (Y625C, P385L, R621L) revealed that these mutations alter
accessible surface area values, thereby reducing the accessibility of the enzyme
to its substrates. This is the first characterization of the mutational profile
of the IDUA gene in patients with MPSI in Colombia, Ecuador, and Peru. The
findings contribute to our understanding of IDUA gene expression and IDUA enzyme
function, and may help facilitate early and improved diagnosis and management for
patients with MPSI.
PMID- 27896126
TI - A novel mutation in the leptin gene (W121X) in an Egyptian family.
AB - Congenital leptin deficiency is a rare recessively inherited condition due to
homozygous mutations in the LEP gene. To date, only nine mutations have been
identified in the LEP gene (p.L72S, p.N103K, p.R105W, p.H118L, p.S141C,
c.104_106delTCA, c.135del3bp, c.398delG and c.481_482delCT). In this study we
present a novel homozygous nonsense mutation (W121X) in LEP in a twelve year old
obese male and his severely obese sister. As this disorder is treatable with
recombinant leptin, it is intriguing to report a novel homozygous nonsense
mutation in LEP in two obese children of consanguineous parents. These patients
showed features in accordance with leptin deficiency.
PMID- 27896128
TI - Overweight and obesity in PKU: The results from 8 centres in Europe and Turkey.
AB - INTRODUCTION: In PKU there is little data comparing the prevalence of overweight
and obesity in different countries. The aim of this cross sectional study was to
evaluate prevalence data from different PKU treatment centres in Europe and
Turkey. SUBJECTS AND METHODS: In children, body mass index (BMI) and z scores and
in adults BMI were calculated in 947 patients (783 children aged < 19 years; 164
adults aged >= 19 years) with PKU from centres in Europe and Turkey (Ankara,
Birmingham, Brussels, Copenhagen, Groningen, Madrid, Munich and Porto). RESULTS:
In adults with PKU, 83% of centres (n = 5/6) had less overweight than the general
populations but 83% (n = 5/6) had a higher rate of female obesity. In childhood,
all centres reported obesity rates within or similar to local population ranges
in boys but in 57% (n = 4/7) of centres a higher rate of obesity in girls. The
percentage of overweight and obesity increased with age. DISCUSSION: In PKU, it
is clear from a number of treatment centres that women and girls with PKU appear
particularly vulnerable to excess weight gain and it is important that female
weight gain is closely monitored and individual strategies introduced to prevent
excess weight gain. Overall, in PKU there is a need to understand better the food
patterns and activity levels of patients.
PMID- 27896127
TI - Can APOE and MTHFR polymorphisms have an influence on the severity of
cardiovascular manifestations in Italian Pseudoxanthoma elasticum affected
patients?
AB - BACKGROUND: The clinical phenotype of Pseudoxanthoma elasticum (PXE) affected
patients, although progressive with age, is very heterogeneous, even in the
presence of identical ABCC6 mutations, thus suggesting the occurrence of modifier
genes. Beside typical skin manifestations, the cardiovascular (CV) system, and
especially the peripheral vasculature, is frequently and prematurely compromised.
METHODS AND RESULTS: A cohort of 119 Italian PXE patients has been characterized
for apolipoprotein E (APOE) and methylenetetrahydrofolate reductase (MTHFR) gene
polymorphisms by PCR. The severity of the clinical phenotype has been quantified
according to the Phenodex PXE International score system. Statistical analysis
(chi2 test, odd ratio, regression analysis, analysis of variance) were done by
GraphPad. Data demonstrate that the frequency of APOE alleles is similar in PXE
patients and in healthy subjects and that the allelic variant E2 confers a
protection against the age-related increase of CV manifestations. By contrast,
PXE patients are characterized by high frequency of the MTHFR-T677T polymorphism.
With age, CV manifestations in T677T, but also in C677T, patients are more severe
than those associated with the C677C genotype. Interestingly, compound
heterozygosity for C677T and A1298C polymorphisms is present in 70% of PXE
patients. CONCLUSIONS: PXE patients may be screened for these polymorphisms in
order to support clinicians for a better management of disease-associated CV
complications.
PMID- 27896129
TI - One-carbon cycle alterations induced by Dyrk1a dosage.
AB - Hyperhomocysteinemia due to cystathionine beta synthase deficiency confers
diverse clinical manifestations. It is characterized by elevated plasma
homocysteine levels, a common amino acid metabolized by remethylation to
methionine or transsulfuration to cysteine. We recently found a relationship
between hepatic Dyrk1A protein expression, a serine/threonine kinase involved in
signal transduction in biological processes, hepatic S-adenosylhomocysteine
activity, and plasma homocysteine levels. We aimed to study whether there is also
a relationship between Dyrk1a and cystathionine beta synthase activity. We used
different murine models carrying altered gene coy numbers for Dyrk1a, and found a
decreased cystathionine beta synthase activity in the liver of mice under
expressing Dyrk1a, and an increased in liver of mice over-expressing Dyrk1a. For
each model, a positive correlation was found between cystathionine beta synthase
activity and Dyrk1a protein expression in the liver of mice, which was confirmed
in a non-modified genetic context. The positive correlation found between liver
Dyrk1a protein expression and CBS activity in modified and non-modified genetic
context strengthens the role of this kinase in one carbon metabolism.
PMID- 27896130
TI - Studies of the autoinhibitory segment comprising residues 31-60 of the prodomain
of PCSK9: Possible implications for the mechanism underlying gain-of-function
mutations.
AB - Proprotein convertase subtilisin/kexin type 9 (PCSK9) binds to the low density
lipoprotein receptor (LDLR) at the cell surface and is internalized as a complex
with the LDLR. In the acidic milieu of the sorting endosome, PCSK9 remains bound
to the LDLR and prevents the LDLR from folding over itself to adopt a closed
conformation. As a consequence, the LDLR fails to recycle back to the cell
membrane. Even though it is the catalytic domain of PCSK9 that interacts with the
LDLR at the cell surface, the structurally disordered segment consisting of
residues 31-60 and which is rich in acidic residues, has a negative effect both
on autocatalytic cleavage and on the activity of PCSK9 towards the LDLR. Thus,
this unstructured segment represents an autoinhibitory domain of PCSK9. One may
speculate that post-translational modifications within residues 31-60 may affect
the inhibitory activity of this segment, and represent a mechanism for fine
tuning the activity of PCSK9 towards the LDLR. Our data indicate that the
inhibitory effect of this unstructured segment results from an interaction with
basic residues of the catalytic domain of PCSK9. Mutations in the catalytic
domain which involve charged residues, could therefore be gain-of-function
mutations by affecting the positioning of this segment.
PMID- 27896131
TI - Case report: 5 year follow-up of adult late-onset mitochondrial encephalomyopathy
with lactic acid and stroke-like episodes (MELAS).
AB - Mitochondrial encephalomyopathy with lactic acid and stroke-like episodes (MELAS)
is a multisystem mitochondrial disorder that typically presents in childhood. We
describe the follow-up of a patient who was diagnosed with late-onset MELAS at
the age of 49. Her clinical course includes sensorineural hearing loss, seizures,
and multiple episodes of stroke-like metabolic crises. Molecular genetic testing
on whole blood revealed 31% heteroplasmy of a m.3243A > G variant in the mtDNA,
the causative variant in approximately 80% of MELAS cases. The original
diagnostic criteria for MELAS required the onset of stroke-like episodes prior to
40 years of age but this case and others demonstrate that onset may be delayed in
certain individuals. Therefore, MELAS should be included in the differential
diagnosis of stroke-like episodes in patients of any age.
PMID- 27896132
TI - Divergent clinical outcomes of alpha-glucosidase enzyme replacement therapy in
two siblings with infantile-onset Pompe disease treated in the symptomatic or pre
symptomatic state.
AB - Pompe disease is an autosomal recessive, lysosomal glycogen storage disease
caused by acid alpha-glucosidase deficiency. Infantile-onset Pompe disease (IOPD)
is the most severe form and is characterized by cardiomyopathy, respiratory
distress, hepatomegaly, and skeletal muscle weakness. Untreated, IOPD generally
results in death within the first year of life. Enzyme replacement therapy (ERT)
with recombinant human acid alpha glucosidase (rhGAA) has been shown to markedly
improve the life expectancy of patients with IOPD. However, the efficacy of ERT
in patients with IOPD is affected by the presence of symptoms and cross-reactive
immunologic material (CRIM) status. We have treated two siblings with IOPD with
ERT at different ages: the first was symptomatic and the second was asymptomatic.
The female proband (Patient 1) was diagnosed with IOPD and initiated ERT at 4
months of age. Her younger sister (Patient 2) was diagnosed with IOPD at 10 days
of age and initiated ERT at Day 12. Patient 1, now 6 years old, is alive but
bedridden, and requires 24-hour invasive ventilation due to gradually progressive
muscle weakness. In Patient 2, typical symptoms of IOPD, including cardiac
failure, respiratory distress, progressive muscle weakness, hepatomegaly and
myopathic facial features were largely absent during the first 12 months of ERT.
Her cardiac function and mobility were well-maintained for the first 3 years, and
she had normal motor development. However, she developed progressive hearing
impairment and muscle weakness after 3 years of ERT. Both siblings have had low
anti-rhGAA immunoglobulin G (IgG) antibody titers during ERT and have tolerated
the treatment well. These results suggest that initiation of ERT during the pre
symptomatic period can prevent and/or attenuate the progression of IOPD,
including cardiomyopathy, respiratory distress, and muscle weakness for first
several years of ERT. However, to improve the long-term efficacy of ERT for IOPD,
new strategies for ERT for IOPD, e.g. modifying the enzyme to enhance uptake into
skeletal muscle and/or to cross the blood brain barrier (BBB), will be required.
PMID- 27896133
TI - Genital Self-mutilation Case in High-level Educated Person.
AB - Self-mutilation is the deliberate direct injuring of body tissue, often done
without suicidal intention. Genital self-mutilation is a very rare event and self
harm of the penis, especially in the genital system is exceedingly rare.
Generally, this kind of behavior is related to psychotic disorders but can
sometimes be seen in non-psychotic people due to bizarre autoerotic acts, a
desire for to change sex or religious beliefs that view sexual intercourse as a
sin. Our case was the reported genital self-mutilation as a result of the bizarre
sexual arousal of a young man who is employed as an architect.
PMID- 27896134
TI - Robot-assisted Pyeloureterostomy in Bifid Renal Pelvis With Ureteropelvic
Junction Obstruction of the Lower Moiety.
AB - Duplications of the urinary collecting system and pyelo-ureteral junction
obstruction (PUJO) are common, but the simultaneous presence of both anomalies is
rarely encountered. In incomplete duplicated systems, PUJO usually affects the
lower moiety. We present the case of a 5-year old boy with left bifid renal
pelvis and hydronephosis of the lower moiety treated by robot-assisted
pyeloureterostomy.
PMID- 27896135
TI - Thrombus-like Tumor of Renal Cell Carcinoma Mimicking Transitional Cell Carcinoma
of Kidney: A Case Report.
AB - Renal cell carcinoma (RCC) is the most common malignancy of the kidney. It is not
commonly form tumor thrombus in the ureter or renal pelvis. A 29-year-old woman
presented with asymptomatic gross hematuria. Contrast CT study revealed a tumor
suspected to be a Transitional Cell Carcinoma (TCC). However, tumor thrombus was
found in the renal pelvis and ureter. We performed Nephroureterectomy, bladder
cuff excision, and lymph node dissection, and the tumor was diagnosed
histopathologically as RCC. We report a very rare case of thrombus-like tumor of
renal cell carcinoma mimicking transitional cell carcinoma of kidney.
PMID- 27896136
TI - Attention deficit-hyperactivity disorder suffers from mitochondrial dysfunction.
AB - BACKGROUND: Pathophysiology of attention-deficit hyperactivity disorder (ADHD) is
not known, and therefore the present study investigated mitochondrial defects, if
any in cybrids created from patients and control population. METHODS: To
investigate mitochondrial pathology in ADHD, cybrids cell lines were created from
ADHD probands and controls by fusing their platelets with rho0-cells prepared
from SH-SY5Y neuroblastoma cell line. Cellular respiration, oxidative stress,
mitochondrial membrane potential and morphology were evaluated employing
oxygraph, mitochondria-specific fluorescence staining and evaluation by FACS, and
immunocytochemistry. HPLC-electrochemical detection, quantitative RT-PCR and Blue
Native PAGE were employed respectively for assays of serotonin, mitochondrial
ATPase 6/8 subunits levels and complex V activity. RESULTS: Significantly low
cellular and mitochondrial respiration, ATPase6/8 transcripts levels,
mitochondrial complex V activity and loss of mitochondrial membrane potential and
elevated oxidative stress were observed in ADHD cybrids. Expression of monoamine
oxidizing mitochondrial enzymes, MAO-A and MAO-B levels remained unaffected. Two
fold increase in serotonin level was noted in differentiated cybrid-neurons.
CONCLUSIONS: Since cybrids are shown to replicate mitochondrial defects seen in
post-mortem brains, these observed defects in ADHD cybrids strongly suggest
mitochondrial pathology in this disorder. GENERAL SIGNIFICANCE: Mitochondrial
defects are detected in ADHD cybrids created from patients' platelets, implying
bioenergetics crisis in the mitochondria could be a contributory factor for ADHD
pathology and/or phenotypes.
PMID- 27896138
TI - Making standards for quantitative real-time pneumococcal PCR.
AB - Quantitative lytA PCR is often performed using in-house standards. We
hypothesised equivalence when measuring a standard suspension of Streptococcus
pneumoniae by colony-forming-units (CFU) or genome-copies. Median (IQR) ratio of
CFU/genome-copies was 0.19 (0.1-1.2). Genome-copies were less variable than CFU,
but the discrepancy between the methods highlights challenges with absolute
quantification.
PMID- 27896137
TI - Lymph node or perineural invasion is associated with low miR-15a, miR-34c and miR
199b levels in head and neck squamous cell carcinoma.
AB - BACKGROUND: MicroRNAs (miRNAs or miRs) are post-transcriptional regulators of
eukaryotic cells and knowledge of differences in miR levels may provide new
approaches to diagnosis and therapy. METHODS: The present study measured the
levels of nine miRs in head and neck squamous cell carcinomas (HNSCC) and
determined whether clinical pathological features are associated with differences
in miR levels. SET (I2PP2A) and PTEN protein levels were also measured, since
their levels can be regulated by miR-199b and miR-21, respectively. Nine miRs
(miR-15a, miR-21, miR-29b, miR-34c, miR-100, miR-125b, miR-137, miR-133b and miR
199b) were measured by real time qRT-PCR in HNSCC samples from 32 patients and
eight resection margins. SET (I2PP2A) and PTEN protein levels were estimated by
immunohistochemistry in paired HNSCC tissues and their matched resection margins.
RESULTS: In HNSCC, the presence of lymph node invasion was associated with low
miR-15a, miR-34c and miR-199b levels, whereas the presence of perineural invasion
was associated with low miR-199b levels. In addition, miR-21 levels were high
whereas miR-100 and miR-125b levels were low in HNSCC compared to the resection
margins. When HNSCC line HN12, with or without knockdown of SET, were transfected
with miR-34c inhibitor or miR-34c mimic, the miR-34c inhibitor increased cell
invasion capacity while miR-34c mimic decreased the cell invasion. CONCLUSIONS:
We showed that the levels of specific miRs in tumor tissue can provide insight
into the maintenance and progression of HNSCC. GENERAL SIGNIFICANCE: MiRNAs are
up- or down-regulated during cancer development and progression; they can be
prognosis markers and therapeutic targets in HNSCC.
PMID- 27896139
TI - A novel approach for evaluating the performance of real time quantitative loop
mediated isothermal amplification-based methods.
AB - Molecular diagnostic measurements are currently underpinned by the polymerase
chain reaction (PCR). There are also a number of alternative nucleic acid
amplification technologies, which unlike PCR, work at a single temperature. These
'isothermal' methods, reportedly offer potential advantages over PCR such as
simplicity, speed and resistance to inhibitors and could also be used for
quantitative molecular analysis. However there are currently limited mechanisms
to evaluate their quantitative performance, which would assist assay development
and study comparisons. This study uses a sexually transmitted infection
diagnostic model in combination with an adapted metric termed isothermal doubling
time (IDT), akin to PCR efficiency, to compare quantitative PCR and quantitative
loop-mediated isothermal amplification (qLAMP) assays, and to quantify the impact
of matrix interference. The performance metric described here facilitates the
comparison of qLAMP assays that could assist assay development and validation
activities.
PMID- 27896141
TI - Characterization of non-classical quinolone resistance in Salmonella enterica
serovar Typhi: Report of a novel mutation in gyrB gene and diagnostic challenges.
AB - OBJECTIVE: To establish the relative importance of Salmonella enterica serovar
Typhi with non-classical quinolone resistance. METHODS: Eight hundred and ninety
one isolates of S. Typhi, isolated between 2004 and 2011, were tested for
antibiotic susceptibility determination using disc diffusion and E-test. The
mechanisms of fluoroquinolone resistance were studied in a sub-set of the NALS
(nalidixic acid susceptible) isolates by wave nucleic acid fragment analysis of
PCR products from gyrA, gyrB, parC and parE and from the plasmid borne
determinants: qnrA,B,S; aac(6')-Ib-cr and qepA. To assess genetic relatedness
multi-locus variable number tandem repeat analysis was carried out using five
loci. RESULTS: Eighty isolates with a nalidixic acid MIC of <32 mg/L (NALS) and a
ciprofloxacin MIC of >0.064 mg/L CIPI (ciprofloxacin reduced susceptibility) were
found. In 36 NALS CIPI isolates two distinct genotypes were identified when
compared with 16 susceptible controls: Group B (n = 34), mutation in gyrB at
codon 464, NAL MIC of 3-12 mg/L and CIP MIC of 0.064-0.5 mg/L.; and Group C,
mutation in gyrA at codon 83 (n = 2) NAL MIC of 16 mg/L and CIP MIC of 0.25-0.38
mg/L. Group B isolates were found in different strain backgrounds as defined by
MLVA. CONCLUSION: The use of nalidixic acid to screen for reduced susceptibility
to fluoroquinolones in S. Typhi misses CIPI-NALS isolates, an established
phenotype in India.
PMID- 27896143
TI - New avenues for reducing intensive care needs in patients with chronic spinal
cord injury.
AB - Relatively soon after their accident, patients suffering a spinal cord injury
(SCI) begin generally experiencing the development of significant, often life
threatening secondary complications. Many of which are associated with chronic
physical inactivity-related immune function problems and increasing
susceptibility to infection that repeatedly requires intensive care treatment.
Therapies capable of repairing the spinal cord or restoring ambulation would
normally prevent many of these problems but, as of now, there is no cure for SCI.
Thus, management strategies and antibiotics remain the standard of care although
antimicrobial resistance constitutes a significant challenge for patients with
chronic SCI facing recurrent infections of the urinary tract and respiratory
systems. Identifying alternative therapies capable of safe and potent actions
upon these serious health concerns should therefore be considered a priority.
This editorial presents some of the novel approaches currently in development for
the prevention of specific infections after SCI. Among them, brain-permeable
small molecule therapeutics acting centrally on spinal cord circuits that can
augment respiratory capabilities or bladder functions. If eventually approved by
regulatory authorities, some of these new avenues may potentially become
clinically-relevant therapies capable of indirectly preventing the occurrence
and/or severity of these life-threatening complications in people with paraplegic
or tetraplegic injuries.
PMID- 27896140
TI - Multi-template polymerase chain reaction.
AB - PCR is a formidable and potent technology that serves as an indispensable tool in
a wide range of biological disciplines. However, due to the ease of use and often
lack of rigorous standards many PCR applications can lead to highly variable,
inaccurate, and ultimately meaningless results. Thus, rigorous method validation
must precede its broad adoption to any new application. Multi-template samples
possess particular features, which make their PCR analysis prone to artifacts and
biases: multiple homologous templates present in copy numbers that vary within
several orders of magnitude. Such conditions are a breeding ground for chimeras
and heteroduplexes. Differences in template amplification efficiencies and
template competition for reaction compounds undermine correct preservation of the
original template ratio. In addition, the presence of inhibitors aggravates all
of the above-mentioned problems. Inhibitors might also have ambivalent effects on
the different templates within the same sample. Yet, no standard approaches exist
for monitoring inhibitory effects in multitemplate PCR, which is crucial for
establishing compatibility between samples.
PMID- 27896142
TI - The reproducibility of biomedical research: Sleepers awake!
AB - There is increasing concern about the reliability of biomedical research, with
recent articles suggesting that up to 85% of research funding is wasted. This
article argues that an important reason for this is the inappropriate use of
molecular techniques, particularly in the field of RNA biomarkers, coupled with a
tendency to exaggerate the importance of research findings.
PMID- 27896144
TI - Clinical decision support for drug related events: Moving towards better
prevention.
AB - Clinical decision support (CDS) systems with automated alerts integrated into
electronic medical records demonstrate efficacy for detecting medication errors
(ME) and adverse drug events (ADEs). Critically ill patients are at increased
risk for ME, ADEs and serious negative outcomes related to these events.
Capitalizing on CDS to detect ME and prevent adverse drug related events has the
potential to improve patient outcomes. The key to an effective medication safety
surveillance system incorporating CDS is advancing the signals for alerts by
using trajectory analyses to predict clinical events, instead of waiting for
these events to occur. Additionally, incorporating cutting-edge biomarkers into
alert knowledge in an effort to identify the need to adjust medication therapy
portending harm will advance the current state of CDS. CDS can be taken a step
further to identify drug related physiological events, which are less commonly
included in surveillance systems. Predictive models for adverse events that
combine patient factors with laboratory values and biomarkers are being
established and these models can be the foundation for individualized CDS alerts
to prevent impending ADEs.
PMID- 27896145
TI - Interprofessional, multiple step simulation course improves pediatric resident
and nursing staff management of pediatric patients with diabetic ketoacidosis.
AB - AIM: To investigate the use of a multidisciplinary, longitudinal simulation to
educate pediatric residents and nurses on management of pediatric diabetic
ketoacidosis. METHODS: A multidisciplinary, multiple step simulation course was
developed by faculty and staff using a modified Delphi method from the Pediatric
Simulation Center and pediatric endocrinology department. Effectiveness of the
simulation for the residents was measured with a pre- and post-test and a
reference group not exposed to simulation. A follow up post-test was completed 3
6 mo after the simulation. Nurses completed a survey regarding the education
activity. RESULTS: Pediatric and medicine-pediatric residents (n = 20) and
pediatric nurses (n = 25) completed the simulation course. Graduating residents
(n = 16) were used as reference group. Pretest results were similar in the
control and intervention group (74% +/- 10% vs 76% +/- 15%, P = 0.658). After
completing the intervention, participants improved in the immediate post-test in
comparison to themselves and the control group (84% +/- 12% post study; P <
0.05). The 3-6 mo follow up post-test results demonstrated knowledge decay when
compared to their immediate post-test results (78% +/- 14%, P = 0.761). Residents
and nurses felt the interdisciplinary and longitudinal nature of the simulation
helped with learning. CONCLUSION: Results suggest a multidisciplinary,
longitudinal simulation improves immediate post-intervention knowledge but
important knowledge decay occurs, future studies are needed to determine ways to
decrease this decay.
PMID- 27896146
TI - Determination of functional prognosis in hospitalized patients following an
intensive care admission.
AB - AIM: To investigate the factors associated with the functional progress of
hospitalized patients following an intensive care admission. METHODS:
Retrospective study including data from a cohort of 198 hospitalized patients
following an intensive care admission and not requiring mechanical ventilation in
a single tertiary referral hospital. A generalized linear model was used to
identify the main effects of clinical and demographic variables on the outcomes
of functionality (KATZ Index of Independence in Activities of Daily Living) and
muscle strength (MRC Scale). The covariates identified as independent predictors
were analysed using the receiver operating characteristic curves. The analysis
differentiated the periods in the intensive care unit (ICU), in the Ward (WARD)
and the total time of hospital stay (TOT). RESULTS: Considering the functional
outcome (DeltaKATZ), the variables that significantly contributed to the model (P
< 0.05) were the KATZ and MRC on admission, age, sepsis (no), and total length of
stay (TLS). Regarding the muscle strength outcome model (DeltaMRC), the
predictors were MRC on admission, Simplified Acute Physiology Score III, previous
stroke, TLS, and sex (female). The variable age (AUC = 0.664) discriminated the
DeltaKATZICU. The variables age (AUC = 0.712), KATZ in ICU (AUC = 0.590) and on
ward admission (AUC = 0.746), and MRC on ward admission (AUC = 0.721) were
discriminative for DeltaKATZWARD. For DeltaKATZTOT the variables KATZ on ICU
admission (AUC = 0.621) and TLS (AUC = 0.617) were discriminative. For
DeltaMRCICU the variables SAPSIII (AUC = 0.661) and MRC on ICU admission (AUC =
0.653) were discriminative. MRC on ICU (AUC = 0.681) and ward admission (AUC =
0.553) were discriminative for DeltaMRCWARD. TLS (AUC = 0.649) and MRC on ward
admission (AUC = 0.696) discriminative for the DeltaMRCTOT. CONCLUSION: Specific
functional, clinical and demographical variables at ICU admission are associated
with the functional prognosis during the hospitalization period.
PMID- 27896147
TI - Early debridement and delayed primary vascularized cover in forearm electrical
burns: A prospective study.
AB - AIM: To look into the management options of early debridement of the wound,
followed by vascularized cover to bring in fresh blood supply to remaining tissue
in electrical burns. METHODS: A total of 16 consecutive patients sustaining full
thickness forearm burns over a period of one year were included in the study
group. Debridement was undertaken within 48 h in 13 patients. Three patients were
taken for debridement after 48 h. Debridement was repeated within 2-4 d after
daily wound assessment and need for further debridement. RESULTS: On an average
two debridements (range 1-4) was required in our patients for the wound to be
ready for definitive cover. Interval between each debridement ranged from 2-18 d.
Fourteen patients were provided vascularized cover after final debridement (6
free flaps, 8 pedicled flaps). Functional assessment of gross hand function done
at 6 wk, 2 mo, 3 mo and 6 mo follow-up. CONCLUSION: High-tension electrical burns
lead to significant morbidity. These injuries are best managed by early
decompression followed by multiple serial debridements. The ideal timing of free
flap coverage needs further investigation.
PMID- 27896149
TI - Congenital Neonatal Intestinal Obstruction.
PMID- 27896148
TI - Plasma-Lyte 148: A clinical review.
AB - AIM: To outline the physiochemical properties and specific clinical uses of
Plasma-Lyte 148 as choice of solution for fluid intervention in critical illness,
surgery and perioperative medicine. METHODS: We performed an electronic
literature search from Medline and PubMed (via Ovid), anesthesia and pharmacology
textbooks, and online sources including studies that compared Plasma-Lyte 148 to
other crystalloid solutions. The following keywords were used: "surgery",
"anaesthesia", "anesthesia", "anesthesiology", "anaesthesiology", "fluids",
"fluid therapy", "crystalloid", "saline", "plasma-Lyte", "plasmalyte",
"hartmann's", "ringers" "acetate", "gluconate", "malate", "lactate". All relevant
articles were accessed in full. We summarized the data and reported the data in
tables and text. RESULTS: We retrieved 104 articles relevant to the choice of
Plasma-Lyte 148 for fluid intervention in critical illness, surgery and
perioperative medicine. We analyzed the data and reported the results in tables
and text. CONCLUSION: Plasma-Lyte 148 is an isotonic, buffered intravenous
crystalloid solution with a physiochemical composition that closely reflects
human plasma. Emerging data supports the use of buffered crystalloid solutions in
preference to saline in improving physicochemical outcomes. Further large
randomized controlled trials assessing the comparative effectiveness of Plasma
Lyte 148 and other crystalloid solutions in measuring clinically important
outcomes such as morbidity and mortality are needed.
PMID- 27896150
TI - Early Reoperations after Primary Repair of Jejunoileal Atresia in Newborns.
AB - Aim: To review nine-year experience in managing jejuno-ileal atresia (JIA) by
primary resection and anastomosis and identify factors associated with
reoperations. Methods: From April 2006 to May 2015, all consecutive neonates who
underwent bowel resection and primary anastomosis for JIA were analyzed
retrospectively. Patients with temporary enterostomy were excluded. Patient
demographics, types of atresia, surgical techniques, need for reoperations, and
long-term outcomes were investigated. Results: A total of forty-three neonates
were included, in which nineteen (44.2%) of them were preterm and fourteen
(32.6%) were of low birth weight. Thirteen patients (30.2%) had jejunal atresia
whereas thirty patients (69.8%) had ileal atresia. Volvulus, intussusception and
meconium peritonitis were noted in 12, 8, and13 patients, respectively. Eight
patients (18.6%) had short bowel syndrome after operation. Ten patients (23.3%)
required reoperations from 18 days to 4 months after the initial surgery due to
anastomotic stricture (n=1), adhesive intestinal obstruction (n=1), small bowel
perforation (n=2) and functional obstruction (n=6). Prematurity and low birth
weight were associated with functional obstruction leading to reoperation (p=0.04
and 0.01 respectively). The overall long-term survival was 97.7%. All surviving
patients achieved enteral autonomy and catch-up growth at a median follow-up of
4.7 years. Conclusion: Long-term survival of JIA after primary resection and
anastomosis are excellent. However, patients have substantial risk of early
reoperations to tackle intraabdominal complications.
PMID- 27896151
TI - Alimentary Tract Atresias associated with Anorectal Malformations: 10 Years'
Experience.
AB - Anorectal malformation (ARM) is one of the most common congenital anomaly that
requires emergency surgery in the neonatal period. ARMs are frequently associated
with other life threatening congenital anomalies. Commonly associated anomalies
are genito-urinary, cardiovascular, gastro-intestinal, skeletal and spinal.
Alimentary tract anomalies are frequently masked by the intestinal obstruction
produced by the anorectal atresia. This retrospective study was carried out to
find out the incidence of associated alimentary tract atresias with ARM. In our
series, out of 785 cases of high ARM, 14 cases had associated esophageal atresia
(1.8%), followed by 7 cases of duodenal atresia (0.89%), and followed by pyloric
atresia, jejuno-ileal atresia and colonic atresia.
PMID- 27896152
TI - A Retrospective Cohort Study of Total Colonic Aganglionosis: Is the Appendix a
Reliable Diagnostic Tool?
AB - BACKGROUND: Hirschsprung's disease (HD) is characterized by a lack of ganglion
cells in the myenteric and submucosal plexus, associated with increased numbers
of acetyl cholinesterase (AChE) positive nerve fibres. In approximately 10% of
patients with HD the entire colon will be affected; a condition known as Total
Colonic Aganglionosis (TCA). Aganglionosis of the appendix has long been
considered to be an important finding in a patient in whom TCA is suspected, but
its reliability for diagnosis has seldom been discussed. The aim of our study was
to assess the reliability of the appendix as a histological specimen for the
diagnosis of TCA, and to evaluate the long-term outcome of TCA. METHODS: A
retrospective cohort study was performed of all pathological specimens of
patients with confirmed HD in our institution between March 2006 and April 2016.
RESULTS: Out of a total of 91 patients identified, 15 patients also had
histopathological analysis of the appendix. Nine of these cases were confirmed as
having TCA. The remaining 6 patients had HD involving the rest of the bowel up to
the ascending colon, with normal ganglion present in the caecum. The appendix was
removed in all the 15 cases. All 9 patients with confirmed TCA had aganglionosis
of the appendix as well. The remaining 6 cases of short and long segment HD not
involving the caecum, demonstrated normal ganglion cells within the appendix.
CONCLUSION: Aganglionosis of the appendix is a reliable tool in the diagnosis of
TCA. The authors recommend that at the time of levelling biopsies, if
aganglionosis extends to the mid-transverse colon, an ileostomy be performed and
appendix sent for definitive confirmation of TCA. However, at the time of
definitive surgery, a frozen section of pull-through segment of bowel is
recommended to confirm the presence of ganglion cells.
PMID- 27896153
TI - A Minor Innovation in Constructing a Small Bowel Stoma in Neonates with Small
Bowel Atresia to Reduce the Morbidity.
AB - BACKGROUND: Intestinal atresia has still significant morbidity in developing
countries. Stomas are now not recommended in every case of intestinal atresia;
primary anastomosis is the goal of surgery after resection of dilated adynamic
gut. A new type of stoma formation along with primary anastomosis is being
presented here. MATERIALS AND METHODS: This report is based on our experience of
many cases with this technique in last 12 years but all the details and long
follow-up of each case is not available. However the method of surgical
procedure, progress, complications, and advantages encountered have been
highlighted. RESULTS: Presently we have data of 7 patients; others are lost to
follow up. Three had died with other associated problems, namely one with
multiple atresias, two with septic shock and prematurity. Two stomas did not
require formal closure because stoma shriveled and disappeared. Two other stomas
had grown very long like a diverticulum when these were closed after 5 and 8
months. CONCLUSION: This technique is another attempt to decrease morbidity of
patients of intestinal atresia especially in those cases where short bowel
syndrome is feared after resection of proximal dilated gut.
PMID- 27896154
TI - Use of T-Tube Enterostomy in Neonatal Gastro-intestinal Surgery.
AB - Aim: To evaluate the results of the use of the T-tube ileostomy in neonatal
intestinal surgery cases. Materials and Methods: A retrospective review of sixty
two neonates underwent intestinal obstruction surgery by using T-tube ileostomy
was conducted between January 1990 and January 2013.The pathologies of the
intestinal obstruction were; thirty four of jejunoileal atresia cases, thirteen
case meconium ileus, eight cases perforated necrotizing enterocolitis (NEC),
three cases meconium peritonitis, three cases with bowel resection due to
intestinal volvulus, and one case of gastroschisis. Results: Mean duration of T
tube placement was 13 days (range9-20days) and the sites of T-tube insertion
closed spontaneously in 2 days (range 1-4 days). The mean duration for starting
oral intake postoperatively in these patients was 9 days (6-16 days). All
patients well tolerated the procedure and there were no serious complications
related to the T-tube insertion. However, four patients died due to other reasons
like sepsis, respiratory failure and prematurity. Conclusion: T-tube enterostomy
is an effective and safe technique for treatment of selected cases of neonatal
intestinal surgery. It showed less morbidity and mortality rates than the
conventional stoma. Therefore, it is considered a helpful approach in cases where
there is danger of hypoperistaltic dilated bowel proximal to the anastomosis.
PMID- 27896155
TI - Colonic Atresia: Association with Other Anomalies.
AB - BACKGROUND: Colonic atresia (CA) is a rare form of congenital intestinal atresia.
Although CA may be isolated, it is more commonly reported in literature in
association with other congenital anomalies. MATERIALS AND METHODS: This study is
a review of prospectively collected data of all the patients with colonic atresia
presented to our center (Ain Shams University) during 2008 to 2016. RESULTS:
Twelve patients were enrolled in this study. The atresia was of type I in one
case, type II in four cases, type IIIa in six cases, type IV in one case. These
cases accounted for 4.9 % of intestinal atresias managed in our center during the
same period. Five cases were isolated CA, while the other seven cases had
associated abdominal congenital anomalies (exomphalos, Hirschsprung's disease,
imperforate anus, closing gastroschisis, colonic duplication, and multiple small
bowel atresia in two cases). The management in ten cases was by staged procedure
with creation of a temporary stoma initially, while primary anastomosis was
established in two cases. We had two cases with delayed presentations, one missed
diagnosis, and three mortalities in this series. CONCLUSIONS: The low incidence
of CA may result in delay in the diagnosis and management. Hirschsprung's disease
should be excluded in every case of colonic atresia. Early diagnosis and proper
surgical management is essential for good prognosis.
PMID- 27896156
TI - Modified Gut Anastomotic Technique in Type III and Type IV Jejunoileal Atresias.
AB - BACKGROUND: Type III and IV jejunoileal atresias are associated with loss of
significant length of the gut and can lead to short gut syndrome if further
resection of proximal dilated gut is done. We modified the anastomotic technique
so that proximal dilated segment of the gut is not resected as to prevent short
gut syndrome. MATERIAL AND METHODS: Medical Record of patients of Type III and IV
jejuno-ileal atresias managed with modified anastomotic technique in our center
during 5-years was reviewed. RESULTS: Fifteen patients were managed with our
modified technique. There were no anastomotic leak observed and there was 6%
mortality seen in our modified technique. CONCLUSION: We found less mortality and
morbidity in our technique compared to recommended techniques described in
literature.
PMID- 27896158
TI - Congenital Duodenal Obstruction in Neonates: Over 13 Years' Experience from a
Single Centre.
AB - AIM: To study the prevalence of associated anomalies with neonatal duodenal
obstruction and factors impacting short-term survival. MATERIAL AND METHODS:
Records of 31 neonates with neonatal duodenal obstruction could be retrieved and
analyzed for a 13.5-year-period (October 2003-May 2016). M:F ratio was 1.58:1.
The mean birth weight was 2.15 kg; 12 patients were preterm. Etiologies included
duodenal atresia (n=23), duodenal web (n=8) and malrotation of gut (n= 6).
RESULTS: Associated anomalies were seen in 19/31: Down's syndrome (n=6),
anorectal malformation (ARM) (n=5), annular pancreas (n=5), cardiac anomalies
(n=4), esophageal atresia with trachea-esophageal fistula (EA with TEF) (n=3).
Mortality in the series was 22.5%; 5 deaths and 2 patients left against medical
advice in moribund state (hidden mortality). Mortality in associated anomalies
group was 5/19; and 2/12 in the no anomalies group, though this difference was
not statistically significant (p=0.676). Similarly, low birth weight (LBW) did
not have impact on survival (p=0.639) but preterm status had highly significant p
value (<0.001). CONCLUSION: Duodenal atresia was the commonest cause of neonatal
duodenal obstruction. Associated anomalies were noted in 61% patients, Down's
syndrome being the most frequent. These anomalies did not have any significant
impact on the survival, nor did LBW. Preterm status had significant impact on
prognosis.
PMID- 27896157
TI - Congenital Neonatal Intestinal Obstruction: Retrospective Analysis at Tertiary
Care Hospital.
AB - BACKGROUND: The purpose of this study is to analyze the etiology, clinical
presentation and outcome of neonatal intestinal obstruction at our institute.
MATERIALS AND METHODS: The medical record of all the patients, presented with
intestinal obstruction in neonatal period during 2014 and 2015 was reviewed
retrospectively for etiology, clinical features, investigations, management, and
outcome. RESULTS: Out of total 53 cases of neonatal intestinal obstruction, 27
were of intestinal atresia (9 cases (17%) were of duodenal atresia, 7 (13%) were
of jejunal atresia and 8 (13%) were ileal atresias and 3 cases were found with
colonic atresia); 7 were malrotation, 17 were Hirschsprung's disease (HD). All
the patients were investigated with abdominal radiography and sonography. All
patients were managed surgically. Overall mortality was 10/53 (18.8%). Out of 27
cases of atresia, 9 patients died (33% mortality). Septicemia was the cause of
death in 7 patients (58.3%). Anastomotic leak was present in one mortality case.
CONCLUSION: The most common cause of neonatal intestinal obstruction is atresia.
Duodenal atresia was the most common atresia in our study followed by ileal
atresia. Postoperative complications like septicemia led to most of deaths in our
series. Septicemia, wound infection, hypothermia, prematurity need special
attention for survival of neonates.
PMID- 27896159
TI - Intestinal Atresia: Experience at a Busy Center of North-West India.
AB - Objective: To evaluate the presentation, management, complications and outcome of
intestinal atresia (IA) managed at our center over a period of 1 year. Materials
and methods: Records of patients of IA admitted in our center from January 2015
to December 2015 were retrospectively analyzed. Demographic data, antenatal
history, presenting complaints, location (duodenal, jejunoileal, colonic) of
atresia, surgery performed and peri-operative complications were noted. Results:
Total 78 cases of IA were included in the analyses. Mean age and weight at the
time of presentation was 5.8 days (range 0-50), and 1.9 kg (range 1.1-3.2),
respectively. IA included duodenal atresia [DA (32)], jejuno-ileal atresia [JIA
(40)], colonic atresia [CA (3)] and atresia at multiple-location (sites) in 3
cases. Ninety percent of patients underwent surgery within 5 to 20 hours of
admission. All cases of DA except one underwent Kimura's diamond shaped duodeno
duodenostomy. One case with perforated duodenal web underwent duodenotomy with
excision of web. Seven patients with JIA and CA required primary stoma, while
rest were managed by excision of dilated proximal segment and primary
anastomosis. Complications included anastomotic leak in 5, proximal perforation
in 2, functional obstruction in 7, aspiration pneumonitis in 3, and wound
infection in 6 patients. Mean hospital stay for survivors was 11 days. Overall
survival was 63%. Conclusion: Late presentation, overcrowding in intensive care
unit, septicemia, functional obstruction and anastomotic leak are the causes of
poor outcome in our series. Early diagnosis, some modification in surgical
technique, use of total parenteral nutrition and adequate investigations for
other congenital anomalies may improve the outcome.
PMID- 27896160
TI - Neonatal Intestinal Obstruction: When to Suspect Duplication Cyst of Bowel as the
Cause.
AB - BACKGROUND: Duplication cyst is a rare cause of neonatal intestinal obstruction.
Their most common location is the small intestine. The clinical presentation is
extremely variable depending upon its size, location and type and the age of the
patient and are mainly encountered during infancy or early childhood. The
diagnosis is very difficult in neonates. This study was undertaken to study their
presentation, diagnostic modality of choice and further management in neonatal
age group. MATERIALS AND METHODS: This was a retrospective study performed at the
Department of Paediatric Surgery, J .N Medical College Hospital, AMU Aligarh from
July 2008 to June 2014. The data was analyzed with respect to demographic profile
of the neonates, their initial clinical presentation, radiological features and
subsequent event leading to intervention, operative features and outcome.
RESULTS: There were a total of seven neonates between ages of 3 days and 21 days
who were diagnosed as cases of intestinal obstruction due to duplication cyst.
The majority of the patients were having ileal duplication cyst (n=4).
Ultrasonography played important role in majority of the cases for diagnosis.
There was one patient in which the diagnosis was confused with ileal atresia. All
the patients underwent excision with restoration of bowel continuity. CONCLUSION:
The diagnosis of intestinal obstruction in neonate due to duplication cyst is
difficult. It has varied presentation and preoperative diagnosis at times may be
challenging. Surgery is the mainstay of the treatment.
PMID- 27896161
TI - Distinct Presentations of Hernia of Umbilical Cord.
AB - Hernia of umbilical cord is a well-known entity which presents with herniation of
small bowel into the proximal part of umbilical cord. It has very good prognosis
after surgical repair. Occasionally, it can have distinct presentations and
varied malformations at the umbilicus which have bearing on the course of
treatment and final outcome. Herein, we describe various presentations and
malformations associated with hernia of umbilical cord. Embryological
extrapolation is attempted for the malformations at umbilicus.
PMID- 27896162
TI - Preduodenal Portal Vein Associated with Duodenal Obstruction of other Etiology: A
Case Series.
AB - DuodenalPreduodenal portal vein is a rare and interesting entity which often
causes duodenal obstruction. It is also associated with other congenital
anomalies. We report here three cases of preduodenal portal vein associated with
other anomalies causing duodenal obstruction not related to direct compression by
portal vein itself.
PMID- 27896163
TI - Varied Presentation of Congenital Segmental Dilatation of the Intestine in
Neonates: Report of Three Cases.
AB - Congenital segmental dilatation (CSD) of the intestine is a rare developmental
anomaly characterized by sharply demarcated dilatation of a gastrointestinal
segment and may present with intestinal obstruction. We report three cases of CSD
of the intestine in neonates with varied presentation. First patient was mistaken
as pneumoperitoneum on abdominal radiograph, which led to initial abdominal drain
placement. The 2nd patient was a case of anorectal malformation associated with
congenital pouch colon (CPC) and CSD of ileum; and the third case presented as
neonatal intestinal obstruction and found to have CSD of ileum. All the patients
were successfully managed in our department.
PMID- 27896164
TI - More Distally Located Duodenal Webs: A Case Series.
AB - Duodenal atresia is a frequent cause of intestinal obstruction in the newborn.
Obstruction due to duodenal web is infrequent, but its location other than second
part of duodenum is rare with only a few cases reported in the literature. We are
reporting three patients where we found duodenal webs at unusual locations. In
one neonate the web was located at third part of duodenum and in other two
patients the web was present at duodeno-jejunal junction (DJ).
PMID- 27896165
TI - Jejunal Windsock Deformity: A Rare Cause of Incomplete Neonatal Intestinal
Obstruction.
AB - Incomplete intestinal obstruction due to windsock web of the jejunum is
uncommonly noticed in neonates. We present a male neonate, prenatally suspected
case of proximal bowel obstruction, who was found to have features of incomplete
intestinal obstruction due to windsock deformity in jejunum. The difficulty in
the diagnosis and management is discussed along with relevant literature review.
PMID- 27896166
TI - Congenital Middle Mesocolic Hernia: A Rare Cause of Neonatal Intestinal
Obstruction.
AB - Congenital mesocolic hernia is an extremely rare, but serious cause of intestinal
obstruction in children. Given the rarity of this condition, delays in diagnosis
and management can have catastrophic consequences. Congenital mesocolic hernias
are usually caused by an abnormal rotation of primitive mid-gut and are divided
into left and right congenital mesocolic hernias. We report and discuss the
clinical and radiological features and management of a neonate with an extremely
rare variant, congenital middle mesocolic hernia along with a literature review
of this rare condition.
PMID- 27896167
TI - Imperforate Anus with Jejunal Atresia Complicated by Intestinal Volvulus: A Case
Report.
AB - Anorectal malformations (ARMs) commonly co-occur with other congenital anomalies,
particularly VACTERL (vertebral, anorectal, cardiac, tracheal, esophageal, renal,
limb, and duodenal) associations. However, this collection of associations is not
comprehensive, and other concurrent anomalies may exist that can be missed during
the standard work-up of patients with ARMs. We present a rare case of a neonate
with a low ARM with concurrent jejuno-ileal atresia that was diagnosed after the
correction of the ARM when the patient developed segmental volvulus. This case
illustrates the importance of having a high index of suspicion when deviation
from a classic presentation occurs.
PMID- 27896168
TI - Duodenal Atresia Associated with Apple Peel Atresia and Situs Inversus Abdominus:
A Case Report.
AB - Duodenal atresia is rarely associated with situs inversus abdominus. We report a
case of duodenal atresia associated with small bowel atresia of apple peel type
and situs inversus abdominus.
PMID- 27896169
TI - Rare Association of Extended Total Colonic Aganglionosis and Intestinal
Malrotation.
AB - Total colonic aganglionosis occurring together with malrotation is a rare
occurrence and may pose diagnostic and management dilemmas for the paediatric
surgeon. We report a case of a neonate that presented with extended total colonic
aganglionosis and malrotation, along with a spectrum of central nervous system
and renal abnormalities. The clinical and radiological features and potential
diagnostic and management pitfalls are discussed along with a literature review
of this exceptionally infrequent association.
PMID- 27896170
TI - Postoperative Gastric Perforation in a Newborn with Duodenal Atresia.
AB - Gastric perforation (GP) in neonates is a rare entity with high mortality.
Although the etiology is not completely understood, it mostly occurs in premature
neonates on assisted ventilation. Combination of duodenal atresia and gastric
perforation is very rare. We present a case duodenal atresia who developed
gastric perforation after operetion for duodenal atresia. Analysis of the patient
medical record and histology report did not reveal the etiology of the
perforation.
PMID- 27896171
TI - Isolated Jejunal Duplication Cyst Associated with Intestinal Malrotation in a
Newborn.
PMID- 27896172
TI - Congenital Hernia of the Umbilical Cord associated with type IIIa Ileal Atresia.
PMID- 27896173
TI - Closed Gastroschisis with Vanished Small Bowel and Jejunal Atresia.
PMID- 27896174
TI - Congenital Pouch Colon with Rectal Atresia: A Rare Association.
PMID- 27896175
TI - Congenital Cecal Duplication Cyst Mimicking as Intramural Mass.
PMID- 27896176
TI - How to Add a Journal to the International Databases, Science Citation Index
Expanded and MEDLINE.
PMID- 27896177
TI - Anti-Vascular Endothelial Growth Factor (Bevacizumab) Therapy Reduces
Hypertrophic Scar Formation in a Rabbit Ear Wounding Model.
AB - BACKGROUND: Hypertrophic scarring is a pathological condition that occurs after
trauma or surgery. Angiogenesis occurs more often with hypertrophic scarring than
with normotrophic scarring. The regulation of angiogenesis is one of the key
factors in hypertrophic scar management. Vascular endothelial growth factor
(VEGF) is an essential factor in the angiogenetic response. This study
investigated whether decreasing the level of VEGF is effective for treating
hypertrophic scarring. METHODS: Ten 8-week-old female New Zealand white rabbits
were included. Four defects were created on each ear by using a 6-mm punch.
Bevacizumab (Avastin, Roche Pharma, Basel, Switzerland) was administered in one
ear and normal saline was administered in the other ear. Treatment was
administered starting on day 2, every 2 days, until day 14. The levels of VEGF
were measured using enzyme-linked immunosorbent assay on day 10 and histologic
results were analyzed on day 40. RESULTS: Bevacizumab induced-defects showed less
hypertrophic scarring when compared with the control group as measured by the
scar elevation index (SEI) and loose collagen arrangement. The SEI in the
experimental group was 1.89+/-0.13, compared to 1.99+/-0.13 in the control group
(n=30, P=0.005). Additionally, the VEGF level was lower (38.72+/-11.03 pg vs.
82.50+/-21.64 pg, n=10, P=0.001) and fewer vessels existed (8.58+/-0.76 vs. 7.2+/
1.20, n=10, P=0.007). CONCLUSIONS: Preventing excessive angiogenesis is effective
for preventing scar formation, especially with hypertrophic scarring. Although it
is not an approach that is sufficient alone for the management of scarring, it
may be one of several important strategies for scar treatment.
PMID- 27896178
TI - Comparison of Saccharina japonica-Undaria pinnatifida Mixture and Minoxidil on
Hair Growth Promoting Effect in Mice.
AB - BACKGROUND: Algae have traditionally been used for promotion of hair growth. Use
of hair regrowth drugs, such as minoxidil, is limited due to side effects. The
aim of this study was to examine a mixture of Saccharina japonica and Undaria
pinnatifida (L-U mixture) on hair growth and to compare the promoting effect of
hair growth by a 3% minoxidil and a L-U mixture. METHODS: To evaluate the hair
growth-promoting activity, saline, 50% ethanol, 3% minoxidil, and the L-U mixture
were applied 2 times a day for a total of 14 days on the dorsal skin of C57BL/6
mice after depilation. Analysis was determined by using a high-resolution hair
analysis system, real-time polymerase chain reaction, and H&E staining. RESULTS:
On day 14, the hair growth effect of the L-U mixture was the same as that of the
3% minoxidil treatment. The L-U mixture significantly (P<0.05) stimulated hair
growth-promoting genes, as vascular endothelial growth factor (VEGF) and insulin
like growth factor -1. Increase of VEGF was observed in the L-U mixture group
compared with minoxidil and the negative control. In contrast, the L-U mixture
suppressed the expression of transforming growth factor-beta1, which is the hair
loss-related gene. In histological examination in the L-U mixture and minoxidil
groups, the induction of an anagen stage of hair follicles was faster than that
of control groups. CONCLUSIONS: This study provides evidence that the L-U mixture
can promote hair growth in mice, similar to the effect from minoxidil, and
suggests that there is potential application for hair loss treatments.
PMID- 27896179
TI - Clinical Factors Associated with the Non-Operative Airway Management of Patients
with Robin Sequence.
AB - BACKGROUND: The indications for surgical airway management in patients with Robin
sequence (RS) and severe airway obstruction have not been well defined. While
certain patients with RS clearly require surgical airway intervention and other
patients just as clearly can be managed with conservative measures alone, a
significant proportion of patients with RS present with a more confusing and
ambiguous clinical course. The purpose of this study was to describe the clinical
features and objective findings of patients with RS whose airways were
successfully managed without surgical intervention. METHODS: The authors
retrospectively reviewed the medical charts of infants with RS evaluated for
potential surgical airway management between 1994 and 2014. Patients who were
successfully managed without surgical intervention were included. Patient
demographics, nutritional and respiratory status, laboratory values, and
polysomnography (PSG) findings were recorded. RESULTS: Thirty-two infants met the
inclusion criteria. The average hospital stay was 16.8 days (range, 5-70 days).
Oxygen desaturation (<70% by pulse oximetry) occurred in the majority of patients
and was managed with temporary oxygen supplementation by nasal cannula (59%) or
endotracheal intubation (31%). Seventy-five percent of patients required a
temporary nasogastric tube for nutritional support, and a gastrostomy tube placed
was placed in 9%. All patients continued to gain weight following the
implementation of these conservative measures. PSG data (n=26) demonstrated mild
to moderate obstruction, a mean apneahypopnea index (AHI) of 19.2+/-5.3
events/hour, and an oxygen saturation level <90% during only 4% of the total
sleep time. CONCLUSIONS: Nonsurgical airway management was successful in patients
who demonstrated consistent weight gain and mild to moderate obstruction on PSG,
with a mean AHI of <20 events/hour.
PMID- 27896180
TI - Correction of Congenital Auricular Deformities Using the Ear-Molding Technique.
AB - BACKGROUND: Studies of the ear-molding technique have emphasized the importance
of initiating molding early to achieve the best results. In the present study, we
describe the immediate effects and long-term outcomes of this technique, focusing
on children who were older than the ideal age of treatment initiation. METHODS:
Patients who visited our institution from July 2014 to November 2015 were
included. Medical charts were reviewed to collect data on demographics, the
duration of treatment, the types of deformities, and the manner of recognition of
the deformity and referral to our institution. Parents were surveyed to assess
the degree of improvement, the level of procedural discomfort at the end of
treatment, any changes in the shape of the molded auricle, and overall
satisfaction 12 months after their last follow-up visits. RESULTS: A review of 28
ears in 18 patients was conducted, including the following types of deformities:
constricted ear (64.2%), Stahl ear (21.4%), prominent ear (7.1%), and cryptotia
(7.1%). The average score for the degree of improvement, rated on a 5-point scale
(1, very poor; 5, excellent), was 3.5 at the end of treatment, with a score of
2.6 for procedural discomfort (1, very mild; 5, very severe). After 12 months,
the shapes of all ears were well maintained. The average overall satisfaction
score was 3.6 (1, very dissatisfied; 5, very satisfied). CONCLUSIONS: We had
reasonable outcomes in older patients. After 1 year of follow-up, these outcomes
were well maintained. Patients past the ideal age at presentation can still be
candidates for the molding technique.
PMID- 27896181
TI - The Correlation between the Orbital Volume Ratio and Enophthalmos in Unoperated
Blowout Fractures.
AB - BACKGROUND: Enophthalmos may not appear immediately after trauma due to
periorbital swelling in a blowout fracture, and preoperative measurements of
enophthalmos cannot be used as a reliable guideline. It is important to predict
the eventual final extent of enophthalmos in order to determine whether to
perform surgery, and there have been several attempts to predict the degree of
late enophthalmos using preoperative orbital volume. The purpose of this study is
to investigate the correlation between the orbital volume ratio (OVR) with final
enophthalmos and the palpebral fissure, and to find the OVR that induced 2 mm of
enophthalmos in unilateral unoperated blowout fractures. METHODS: We
retrospectively reviewed the medical records of 38 patients and divided them into
3 groups, determined by the fracture location. The relationships between the OVR
and both the degree of enophthalmos and the palpebral fissure ratio (PFR) were
assessed and, in particular, the OVR that induced 2 mm of enophthalmos was
sought. RESULTS: Enophthalmos increased in proportion to the OVR, and there was a
highly significant correlation between the increase in the OVR and the degree of
enophthalmos (P<0.05). On the other hand, there was no correlation between OVR
and PFR (P>0.05). The OVR that induced 2-mm enophthalmos was 112.18%.
CONCLUSIONS: The final degree of enophthalmos can be estimated by the
preoperative measurement of OVR. Preoperative measurements of OVR can be used as
quantitative values to predict the final degree of enophthalmos in pure blowout
fractures.
PMID- 27896182
TI - The Effect of Sterile Acellular Dermal Matrix Use on Complication Rates in
Implant-Based Immediate Breast Reconstructions.
AB - BACKGROUND: The use of acellular dermal matrix (ADM) in implant-based immediate
breast reconstruction has been increasing. The current ADMs available for breast
reconstruction are offered as aseptic or sterile. No published studies have
compared aseptic and sterile ADM in implant-based immediate breast
reconstruction. The authors performed a retrospective study to evaluate the
outcomes of aseptic versus sterile ADM in implant-based immediate breast
reconstruction. METHODS: Implant-based immediate breast reconstructions with ADM
conducted between April 2013 and January 2016 were included. The patients were
divided into 2 groups: the aseptic ADM (AlloDerm) group and the sterile ADM
(MegaDerm) group. Archived records were reviewed for demographic data and
postoperative complication types and frequencies. The complications included were
infection, flap necrosis, capsular contracture, seroma, hematoma, and
explantation for any cause. RESULTS: Twenty patients were reconstructed with
aseptic ADM, and 68 patients with sterile ADM. Rates of infection (15.0% vs.
10.3%), flap necrosis (5.0% vs. 7.4%), capsular contracture (20.0% vs. 14.7%),
seroma (10.0% vs. 14.7%), hematoma (0% vs. 1.5%), and explantation (10.0% vs.
8.8%) were not significantly different in the 2 groups. CONCLUSIONS: Sterile ADM
did not provide better results regarding infectious complications than aseptic
ADM in implant-based immediate breast reconstruction.
PMID- 27896184
TI - Discussion: The Trapezius Muscle Flap: A Viable Alternative for Posterior Scalp
and Neck Reconstruction.
PMID- 27896183
TI - The Trapezius Muscle Flap: A Viable Alternative for Posterior Scalp and Neck
Reconstruction.
AB - BACKGROUND: The trapezius muscle flap is not usually the first reconstructive
option for skin and soft tissue defects in the posterior neck and scalp due to
surgeons' unfamiliarity with the surgical anatomy and developments in free tissue
transfer techniques. The goals of this study were to describe the clinical use of
trapezius flaps in posterior neck and scalp reconstruction, and to investigate
the vascular anatomy of trapezius flaps in Asians in order to obtain information
facilitating the safe design and elevation of flaps in which most of the muscle
is preserved. METHODS: A retrospective chart review was performed of 10 patients
who underwent trapezius muscle flap for posterior neck and scalp defects. We also
performed an anatomical study of 16 flaps harvested from 8 preserved Asian adult
cadavers and evaluated the main landmarks relevant for trapezius muscle flap.
RESULTS: In the anatomical study, the mean vertical height from the inferior
angle of the scapula to the point at which the superficial cervical artery
penetrated the trapezius was 4.31+/-2.14 cm. The mean vertical height of the
trapezius muscle flap pivot point was 9.53+/-2.08 cm from the external occipital
protuberance. Among the 10 flaps, partial necrosis on the overlaid skin graft
occurred in 1 patient and postoperative seroma occurred in another patient.
CONCLUSIONS: Vascular variations in the trapezius muscle flap are uncommon in
Asians, but when present, such variations appear to have little impact on
harvesting the flap or on its circulation. The trapezius muscle flap is a viable
alternative for posterior neck and scalp reconstruction.
PMID- 27896185
TI - The Relationship between the Size and the Invasion Depth of Tumors in Head and
Neck Cutaneous Squamous Cell Carcinoma.
AB - BACKGROUND: Cutaneous squamous cell carcinoma (SCC), which occurs in
keratinocytes of the epidermis and is the second most common skin cancer, has a
more invasive growth pattern and higher potential to metastasize than basal cell
carcinoma. Total excision of the primary tumor is the treatment of choice. For
clear excision of the tumor, invasion depth is one of the most important factors.
This study was conducted to clarify the relationship between the size and the
invasion depth of cutaneous SCC. METHODS: Twenty-six cases were collected for
this prospective study. Frozen biopsies were examined after complete resection of
the tumor, followed by histological confirmation by pathological examination. The
major and minor axis lengths of the tumor, the invasion depth, and the level of
invasion were measured. Recurrence or metastasis was recorded through regular
follow-up. RESULTS: The Pearson correlation coefficient was used for statistical
analysis. Significant results were observed for the relationship between the
major and minor axis lengths and the invasion depth of the tumor (0.747, 0.773).
No cases of recurrence or metastasis were observed. CONCLUSIONS: In head and neck
cutaneous SCC, the invasion depth of the tumor is closely related to the major
and minor axis lengths of the tumor. Therefore, the invasion depth of the tumor
can be estimated by measuring the size of the tumor, and a standard vertical
safety margin for head and neck cutaneous SCC can be established, which could be
helpful in the development of a preoperative reconstruction plan.
PMID- 27896186
TI - Use of a Temporary Shunt as a Salvage Technique for Distal Extremity Amputations
Requiring Repair by Vessel Grafting during Critical Ischemia.
AB - BACKGROUND: Although the use of temporary shunts in proximal extremity
amputations has been reported, no study has described the use of temporary shunts
in distal extremity amputations that require vein grafting. Moreover, the total
volume of blood loss when temporary shunts are used has not been reported. The
aim of this study was to investigate the applicability of a temporary shunt for
distal extremity amputations requiring repair by vessel grafting with an ischemia
time of >6 hours. This study also aimed to determine the total volume of blood
loss when temporary shunts were used. METHODS: Patients who underwent distal
major extremity replantation and/or revascularization with a vessel graft and who
experienced ischemia for 6-8 hours between 2013 and 2014 were included in the
study. A 6-Fr suction catheter was cut to 5 cm in length after the infusion of
heparin, and secured with a 5-0 silk suture between the distal and the proximal
ends of the artery. While bleeding continued, the bones were shortened and fixed.
After the complete restoration of circulation, the arterial shunt created using
the catheter was also repaired with a vein graft. RESULTS: Six patients were
included in this study. The mean duration of ischemia was 7.25 hours. The mean
duration of suction catheter use during limb revascularization was 7 minutes. The
mean transfusion volume was 7.5 units. No losses of the extremity were observed.
CONCLUSIONS: This procedure should be considered in distal extremity amputations
requiring repair by vessel grafting during critical ischemia.
PMID- 27896187
TI - Fascial Free Flap for Reconstruction of the Dorsolateral Hand and Digits: The
Advantage of a Thin Contour.
AB - BACKGROUND: Fascial free flaps have been widely used for reconstruction of the
hand because they are thin. However, studies reporting objective data regarding
the advantages of this approach are lacking. Thus, we report our experience with
such flaps. METHODS: Forty-five cases of fascial free flaps between November 2006
and March 2014 were reviewed. Nine cases involving reconstructed dorsal or
lateral defects were included. Four anterolateral thigh fascial free flaps and 5
lateral arm fascial free flaps were examined. Maximal flap contour was assessed
by measuring reconstructed tissue thickness at the central area from the surface
of the skin to below the bone in a vertical manner using ultrasonography and X
ray data. Contralateral regions were examined in the same manner and a
comparative analysis was performed. A questionnaire survey regarding aesthetic
satisfaction was also administered. RESULTS: All reconstructed parts had a
thicker contour than the contralateral side. The average relative percentage of
reconstructed tissue thickness was found to be 152% using ultrasonography and
143% using X-ray imaging. According to the aesthetic satisfaction survey, the
average rate of satisfaction for patients was 62%, and satisfaction with the flap
contour was 72%. CONCLUSIONS: Using a fascial free flap, the reconstructed tissue
was approximately 1.5* as thick as the contour of the normal side, which led to
positive responses regarding aesthetic satisfaction.
PMID- 27896188
TI - Foot Syndactyly: A Clinical and Demographic Analysis.
AB - BACKGROUND: Syndactyly of the foot is the second most common congenital foot
anomaly. In East Asia, however, no large case study has been reported regarding
the clinical features of isolated foot syndactyly. In this study, we report a
review of 118 patients during the last 25 years. METHODS: We conducted a chart
review of patients who underwent surgical correction for foot syndactyly between
January 1990 and December 2014. Operations were performed with a dorsal
triangular flap and a full-thickness skin graft. The demographics of included
patients and their clinical features were evaluated. Surgical outcomes and
complications were analyzed. RESULTS: Among 118 patients with 194 webs (155
feet), 111 patients showed nonsyndromic cases and 7 patients showed syndromic
cases. In 80 unilateral cases (72.1%), the second web was the most frequently
involved (37.5%), followed by the fourth (30%), the first (15%), the third (15%),
the first and second in combination (1.3%), and the second and third in
combination (1.3%). Among 31 bilateral cases, 2 cases were asymmetric. Among the
remaining 29 symmetric bilateral cases, the second web was the most frequently
involved (45.2%), followed by the first (22.6%), and the fourth (6.5%). No
specific postoperative complications were observed, except in the case of 1
patient (0.51%) who required a secondary operation to correct web creep.
CONCLUSIONS: This retrospective clinical study of 118 patients with both
unilateral and bilateral foot syndactyly revealed that the second web was the
most frequently involved. In addition, complete division and tension-free wound
closure with a full-thickness skin graft of sufficient size showed good
postoperative results.
PMID- 27896189
TI - Simple Correction of Alar Retraction by Conchal Cartilage Extension Grafts.
AB - BACKGROUND: Alar retraction is a challenging condition in rhinoplasty marked by
exaggerated nostril exposure and awkwardness. Although various methods for
correcting alar retraction have been introduced, none is without drawbacks.
Herein, we report a simple procedure that is both effective and safe for
correcting alar retraction using only conchal cartilage grafting. METHODS:
Between August 2007 and August 2009, 18 patients underwent conchal cartilage
extension grafting to correct alar retraction. Conchal cartilage extension grafts
were fixed to the caudal margins of the lateral crura and covered with vestibular
skin advancement flaps. Preoperative and postoperative photographs were reviewed
and analyzed. Patient satisfaction was surveyed and categorized into 4 groups
(very satisfied, satisfied, moderate, or unsatisfied). RESULTS: According to the
survey, 8 patients were very satisfied, 9 were satisfied, and 1 considered the
outcome moderate, resulting in satisfaction for most patients. The average
distance from the alar rim to the long axis of the nostril was reduced by 1.4 mm
(3.6 to 2.2 mm). There were no complications, except in 2 cases with palpable
cartilage step-off that resolved without any aesthetic problems. CONCLUSIONS:
Conchal cartilage alar extension graft is a simple, effective method of
correcting alar retraction that can be combined with aesthetic rhinoplasty
conveniently, utilizing conchal cartilage, which is the most similar cartilage to
alar cartilage, and requiring a lesser volume of cartilage harvest compared to
previously devised methods. However, the current procedure lacks efficacy for
severe alar retraction and a longer follow-up period may be required to
substantiate the enduring efficacy of the current procedure.
PMID- 27896190
TI - The Use of Locally Applied Vibration to Minimize Pain during Fractional CO2 Laser
Therapy in Living Liver-Donor Scar Management.
AB - BACKGROUND: Fractional CO2 laser is an effective treatment for scars, but most
patients complain about sharp burning pain, even after the application of
lidocaine ointment. This study analyzed the impact of a vibrating device to
nonpharmacologically reduce the acute pain of laser treatment, in accordance with
the gate control theory of pain management. METHODS: This is a prospective study
performed from May 2013 through March 2014. Fifty-three patients (mean age, 26.7
years; range, 16-44 years) who had donated livers for liver transplantation were
treated with a fractional CO2 laser (10,600 nm; model eCO2, Lutronic Corp) for
their abdomen scars. Laser treatment was applied 4 months after surgery. A
commercially available, locally applied vibrating device (model UM-30M, Unix
Electronics Co. Ltd.) was used, in an on-and-off pattern, together with the CO2
laser. A visual analogue scale (VAS; 0, no pain; 10, most severe pain) of pain
sensation was assessed and statistically analyzed using a paired t-test. RESULTS:
The average VAS score for pain with the vibrating device was 4.60 and the average
VAS score without the vibrating device was 6.11. The average difference between
scores was 1.51 (P=0.001). CONCLUSIONS: A locally applied vibrating device was
demonstrated to be effective in reducing pain when treating with a fractional CO2
laser. Vibration treatment could be helpful when treating scars with fractional
CO2 laser in pain-sensitive patients, particularly children.
PMID- 27896191
TI - Comparison of the Effectiveness of Ablative and Non-Ablative Fractional Laser
Treatments for Early Stage Thyroidectomy Scars.
AB - BACKGROUND: Open thyroidectomy is conventionally performed at the anterior side
of neck, which is a body part with a comparatively great degree of open exposure;
due to this, postoperative scarring may cause distress in patients. We aimed to
compare the effects of ablative and nonablative fractional laser treatments on
thyroidectomy scars. We examined medical records in a retrospective manner and
analyzed scars based on their digital images by using the modified Manchester
Scar Scale (mMSS). METHODS: Between February 2012 and May 2013, 55 patients with
thyroidectomy scars were treated with ablative (34 patients) or nonablative (21
patients) fractional laser. Each patient underwent 4 laser treatment sessions in
3-4 week intervals, 1-2 months postoperatively. Scar improvement was assessed
using patient images and the mMSS scale. RESULTS: The mean decrease in scar score
was 3.91 and 3.47 in the ablative and nonablative groups, respectively; the
reduction between 2 groups did not exhibit any significant difference (P=0.16).
We used the scale once again to individually evaluate scar attributes. The
nonablative group accounted for a considerably higher color score value (P=0.03);
the ablative group accounted for a considerably higher contour score value
(P<0.01). Patient satisfaction was high and no complications occurred.
CONCLUSIONS: Both types of fractional laser treatments can be used successfully
for thyroidectomy scar treatment with minimal complications; however, results
indicate that higher effectiveness may be obtained from the use of ablative and
nonablative lasers for hypertrophic scars and early erythematous scars,
respectively. Therefore, the appropriate laser for scar treatment should be
selected according to its specific characteristics.
PMID- 27896192
TI - Acquired Palatal Fistula in Patients with Submucous and Incomplete Cleft Palate
before Surgery.
AB - It is uncommon for a palatal fistula to be detected in individuals who have not
undergone surgery, and only sporadic cases have been reported. It is even more
difficult to find cases of acquired palatal fistula in patients with submucous or
incomplete cleft palate. Herein, we present 2 rare cases of this phenomenon. Case
1 was a patient with submucous cleft palate who acquired a palatal fistula after
suffering from oral candidiasis at the age of 5 months. Case 2 was a patient with
incomplete cleft palate who spontaneously, without trauma or infection, presented
with a palatal fistula at the age of 9 months.
PMID- 27896193
TI - Multidisciplinary Approach to an Extended Pressure Sore at the Lumbosacral Area.
AB - A pressure sore wound is often extensive or complicated by local infection
involving adjacent soft tissue and bone. In this case, a regional flap after
simple debridement is not adequate. Here, we present a case of an extensive
pressure sore in the sacral area with deep tissue infection. A 43-year-old female
patient with a complicated sore with deep tissue infection had a presacral
abscess, an iliopsoas abscess, and an epidural abscess in the lumbar spine. After
a multidisciplinary approach performed in stages, the infection had subsided and
removal of the devitalized tissue was possible. The large soft tissue defect with
significant depth was reconstructed with a free latissimus dorsi musculocutaneous
flap, which was expected to act as a local barrier from vertical infection and
provide tensionless skin coverage upon hip flexion. The extensive sacral sore was
treated effectively without complication, and the deep tissue infection
completely resolved. There was no evidence of donor site morbidity, and
wheelchair ambulation was possible by a month after surgery.
PMID- 27896194
TI - Osteomyelitis following Domestic Animal Bites to the Hand: Two Case Reports and
Practical Guidelines.
AB - Recently, the number of cases of animal bite wounds has increased significantly
in concordance with an increase in the pet population around the world. The
authors report two rare cases of osteomyelitis of the phalanx following cat and
dog bites. On initial physical examination, signs of a severe infection were
observed. Radiographs of both patients showed the presence of osteomyelitis, and
in one of the patients, the diagnosis was confirmed with a bone biopsy. After use
of empirical antibiotics, intravenous antibiotic therapy that matched the
identified bacterium's sensitivity was initiated, and at the same time, secure
dressing including debridement was performed to induce secondary healing. In
addition, the patients were closely monitored with serial X-rays, and culture and
blood test follow-up. One patient fully recovered without sequelae, but the other
patient suffered a loss of distal interphalangeal joint motion. When dealing with
bite wounds located on the hand, it is important to visit the hospital as soon as
possible and receive the appropriate treatment early. Moreover, to prevent severe
complications such as osteomyelitis, it is important to administer antibiotic
therapy to which the cultured bacteria are sensitive, along with proper wound
management and prophylactic antibiotic treatment.
PMID- 27896195
TI - Microsurgical Training using Reusable Human Vessels from Discarded Tissues in
Lymph Node Dissection.
AB - The use of human vessels at the beginning of microsurgery training is highly
recommended. But vessels with the appropriate length for training are not often
obtained. Whether these vessels may be reused for training has not been reported.
Accordingly, we harvested vessels from discarded tissues in lymph node dissection
and demonstrated that vascular anastomosis training using the same human vessels
several times is possible by placing the vessels in a freezer and defrosting them
with hot water. Vascular walls can be stored for microsurgical training until
about 4 years after harvest, as shown in the gross appearance and histologic
findings of our preserved vessels. We recommend the technique presented here for
the longterm reuse of human vessels for microsurgery training that closely
resembles real procedures.
PMID- 27896196
TI - Microdissected Prefabricated Flap: An Evolution in Flap Prefabrication.
AB - When traditional flap techniques are not feasible, we apply flap prefabrication,
which is more complicated and sophisticated but supplies large and thin flaps.
There are some disadvantages to the technique that require improvement, such as
venous congestion after flap transfer, which requires months for neoangiogenesis
and necessitates a vascular carrier. Here, the author presents a new technique,
called as 'microdissected prefabricated flap,' to successfully produce a safe,
large, and thin flap. This technique is based on the microdissection of the
perforators to the greatest extent possible, spreading them out into the
subdermal level and using them as a carrier. The details and the application of
this technique are presented and reported.
PMID- 27896197
TI - A Refined Technique for Management of Nasal Flaring: The Quest for the Holy Grail
of Alar Base Modification.
AB - "A smile is happiness you'll find right under your nose"- Tom Wilson. This quote
holds true just for patients, not for surgeons. The correction of the nose always
poses a challenge to the cosmetic surgeon. Deformities of the external and
internal nose may be congenital or acquired and may be secondary to soft tissue
and/or osseo-cartilaginous abnormalities, leading to aesthetic and/or functional
consequences. Alar flare poses a common problem, sometimes alone and sometimes in
conjunction with other external deformities. Alar base reduction is generally
considered when the interalar distance exceeds the intercanthal distance. It has
been well documented that this simple additional procedure brings about a
substantial enhancement in the nose. Various techniques have been described and
used in the past, each having their benefits and drawbacks, with the modified
Weir wedge excision, Aufricht nasal sill excision, and Bernstein V-Y advancement
being the common ones. We hereby describe a technique that is simple yet
effective in achieving the desired results and at the same time aims at
preventing relapse to obtain satisfactory long term results.
PMID- 27896198
TI - Bilateral Dermoid Cysts on the Lateral Ends of Eyebrows.
PMID- 27896199
TI - Botulinum Toxin and Burn Induces Contracture.
PMID- 27896200
TI - Full Thickness Burn on the Finger due to Pulse Oximetry during Magnetic Resonance
Imaging in a Conscious Patient.
PMID- 27896201
TI - Primary Necrotizing Fasciitis of the Breast in an Untreated Patient with
Diabetes.
PMID- 27896202
TI - A Band-Like Neck Scar Contracture after Bilateral Axillo-Breast Approach Robotic
Thyroidectomy.
PMID- 27896203
TI - Soft Tissue Reconstruction for Basaloid Squamous Cell Carcinoma on the Hemiface.
PMID- 27896204
TI - A Pedunculated Giant Cutaneous Horn Variant Overlying Invasive Squamous Cell
Carcinoma of the Scalp.
PMID- 27896205
TI - Congenital Multiple Metatarsal Synostoses with Proximal Phalangeal Deformities of
the Foot.
PMID- 27896206
TI - Early Vascularized Fibular Grafts in Infants with Congenital Pseudarthrosis.
PMID- 27896207
TI - Reader's Forum.
PMID- 27896208
TI - Relationship between maturation indices and morphology of the midpalatal suture
obtained using cone-beam computed tomography images.
AB - OBJECTIVE: The purpose of this study was to determine whether predicting
maturation of the midpalatal suture is possible by classifying its morphology on
cone-beam computed tomography (CBCT) images and to investigate relationships with
other developmental age indices. METHODS: The morphology of the midpalatal suture
was assessed by using CBCT images of 99 patients. Axial plane images of the
midpalatal suture were classified into five stages according to the
classification scheme. To make the assessment more accurate, the morphology and
fusion of the midpalatal suture were additionally investigated on coronal cross
sectional planar images and volume-rendered images. Bone age was evaluated using
the hand and wrist method (HWM) and cervical vertebrae method (CVM); dental age
(Hellman's index), sex, and chronological age were also assessed. To evaluate
relationships among variables, Spearman's rho rank test was performed along with
crosstabs using contingency coefficients. RESULTS: The HWM and CVM showed strong
correlations with the maturation stage of the midpalatal suture, while other
indices showed relatively weak correlations (p < 0.01). Through crosstabs, the
HWM and CVM showed high association values with CBCT stage; the HWM demonstrated
slightly higher values (p < 0.0001). Based on the HWM, the midpalatal suture was
not fused until stage 6 in both sexes. CONCLUSIONS: Among developmental age
indices, the HWM and CVM showed strong correlations and high associations,
suggesting that they can be useful in assessing maturation of the midpalatal
suture.
PMID- 27896209
TI - Reference points suitable for evaluation of the additional arch length required
for leveling the curve of Spee.
AB - OBJECTIVE: The additional arch length required for leveling (AALL) the curve of
Spee (COS) can be estimated by subtracting the two-dimensional (2D) arch
circumference, which is the projection of the three-dimensional (3D) arch
circumference onto the occlusal plane, from the 3D arch circumference, which
represents the arch length after leveling the COS. The purpose of this study was
to determine whether the cusp tips or proximal maximum convexities are more
appropriate reference points for estimating the AALL. METHODS: Sixteen model
setups of the mandibular arch with COS depths ranging from 0 mm to 4.7 mm were
constructed using digital simulation. Arch circumferences in 2D and 3D were
measured from the cusp tips and proximal maximum convexities and used to
calculate the AALL. The values obtained using the two reference points were
compared with the paired t-test. RESULTS: Although the 3D arch circumference
should be constant regardless of the COS depth, it decreased by 3.8 mm in cusp
tip measurements and by 0.4 mm in proximal maximum convexity measurements as the
COS deepened to 4.7 mm. AALL values calculated using the cusp tips as reference
points were significantly smaller than those calculated using the proximal
maximum convexities (p = 0.002). CONCLUSIONS: The AALL is underestimated when the
cusp tips are used as measurement reference points; the AALL can be measured more
accurately using the proximal maximum convexities.
PMID- 27896210
TI - Comparison of the bonding strengths of second- and third-generation light
emitting diode light-curing units.
AB - OBJECTIVE: With the introduction of third-generation light-emitting diodes (LEDs)
in dental practice, it is necessary to compare their bracket-bonding effects,
safety, and efficacy with those of the second-generation units. METHODS: In this
study, 80 extracted human premolars were randomly divided into eight groups of 10
samples each. Metal or polycrystalline ceramic brackets were bonded on the teeth
using second- or third-generation LED light-curing units (LCUs), according to the
manufacturers' instructions. The shear bond strengths were measured using the
universal testing machine, and the adhesive remnant index (ARI) was scored by
assessing the residual resin on the surfaces of debonded teeth using a scanning
electron microscope. In addition, curing times were also measured. RESULTS: The
shear bond strengths in all experimental groups were higher than the acceptable
clinical shear bond strengths, regardless of the curing unit used. In both LED
LCU groups, all ceramic bracket groups showed significantly higher shear bond
strengths than did the metal bracket groups except the plasma emulation group
which showed no significant difference. When comparing units within the same
bracket type, no differences in shear bond strength were observed between the
second- and third-generation unit groups. Additionally, no significant
differences were observed among the groups for the ARI. CONCLUSIONS: The bracket
bonding effects and ARIs of second- and third-generation LED LCUs showed few
differences, and most were without statistical significance; however, the curing
time was shorter for the second-generation unit.
PMID- 27896211
TI - Comparison of postoperative changes in the distal and proximal segments between
conventional and sliding mini-plate fixation following mandibular setback.
AB - OBJECTIVE: The purpose of the present study was to evaluate the postoperative
three-dimensional (3D) changes in the proximal segments after mandibular setback
sagittal split ramus osteotomy and to compare the changes between the
conventional mini-plate fixation and semi-rigid sliding plate fixation. METHODS:
Cone-beam computed tomography (CBCT) images were used to evaluate the
postoperative 3D changes in the proximal segments during the healing process.
CBCT images were superimposed using the symphysis and the lower anterior mandible
as references. RESULTS: There were no statistically significant differences
between the conventional mini-plate and semi-rigid sliding plate groups (p >
0.05). With respect to the distribution of changes greater than 2 mm in the
landmarks, the right condylion, right coronoid process, and left condylion showed
ratios of 55.6%, 50.0%, and 44.4%, respectively, in the semi-rigid sliding plate
group; however, none of the landmarks showed ratios greater than 30% in the
conventional mini-plate group. CONCLUSIONS: There were no statistically
significant differences in postoperative changes in the segments between the
conventional mini-plate and semi-rigid sliding plate groups. Nevertheless, while
selecting the type of fixation technique, clinicians should consider that
landmarks with greater than 2 mm changes were higher in the semi-rigid sliding
plate group than in the conventional mini-plate group.
PMID- 27896212
TI - Effect of slow forced eruption on the vertical levels of the interproximal bone
and papilla and the width of the alveolar ridge.
AB - OBJECTIVE: Forced eruption has been proposed for the reconstruction of deficient
bone and soft tissue. The aim of this study was to examine the changes in the
alveolar ridge width and the vertical levels of the interproximal bone and
papilla following forced eruption. METHODS: Patients whose hopeless maxillary
anterior teeth were expected to undergo severe bone resorption and soft tissue
recession upon extraction were recruited. In addition, patients whose maxillary
anterior teeth required forced eruption for restoration due to tooth fracture or
dental caries were included. Before and after forced eruption, the interproximal
bone height was measured by radiographic analysis, and changes in the alveolar
ridge width and the interproximal papilla height were measured with an acrylic
stent. RESULTS: This prospective study demonstrated that the levels of the
interproximal alveolar bone and papilla were significantly increased by 1.36 mm
and 1.09 mm, respectively, in the vertical direction. However, the alveolar ridge
width was significantly reduced by an average of 0.67 mm in the buccolingual
direction. The changes in the level of the interproximal alveolar bone and
papilla were positively correlated. CONCLUSIONS: Although the levels of the
interproximal bone and papilla were significantly increased, the alveolar ridge
width was significantly decreased following forced eruption. There was a modest
positive and significant correlation between the changes in the height of the
interproximal alveolar bone and the papilla. Based on our findings, modification
of vertical forced eruption should be considered when augmentation of the
alveolar ridge width is required.
PMID- 27896213
TI - Bone cutting capacity and osseointegration of surface-treated orthodontic mini
implants.
AB - OBJECTIVE: The objective of the study was to evaluate the practicality and the
validity of different surface treatments of self-drilling orthodontic mini
implants (OMIs) by comparing bone cutting capacity and osseointegration. METHODS:
Self-drilling OMIs were surface-treated in three ways: Acid etched (Etched),
resorbable blasting media (RBM), partially resorbabla balsting media (Hybrid). We
compared the bone cutting capacity by measuring insertion depths into artificial
bone (polyurethane foam). To compare osseointegration, OMIs were placed in the
tibia of 25 rabbits and the removal torque value was measured at 1, 2, 4, and 8
weeks after placement. The specimens were analyzed by optical microscopy,
scanning electron microscopy (SEM), and energy dispersive X-ray spectroscopy
(EDS). RESULTS: The bone cutting capacity of the etched and hybrid group was
lower than the machined (control) group, and was most inhibited in the RBM group
(p < 0.05). At 4 weeks, the removal torque in the machined group was
significantly decreased (p < 0.05), but was increased in the etched group (p <
0.05). In the hybrid group, the removal torque significantly increased at 2
weeks, and was the highest among all measured values at 8 weeks (p < 0.05). The
infiltration of bone-like tissue surface was evaluated by SEM, and calcium and
phosphorus were detected via EDS only in the hybrid group. CONCLUSIONS: Partial
RBM surface treatment (hybrid type in this study) produced the most stable self
drilling OMIs, without a corresponding reduction in bone cutting capacity.
PMID- 27896214
TI - A case of severe mandibular retrognathism with bilateral condylar deformities
treated with Le Fort I osteotomy and two advancement genioplasty procedures.
AB - We report a case involving a young female patient with severe mandibular
retrognathism accompanied by mandibular condylar deformity that was effectively
treated with Le Fort I osteotomy and two genioplasty procedures. At 9 years and 9
months of age, she was diagnosed with Angle Class III malocclusion, a skeletal
Class II jaw relationship, an anterior crossbite, congenital absence of some
teeth, and a left-sided cleft lip and palate. Although the anterior crossbite and
narrow maxillary arch were corrected by interceptive orthodontic treatment,
severe mandibular hypogrowth resulted in unexpectedly severe mandibular
retrognathism after growth completion. Moreover, bilateral condylar deformities
were observed, and we suspected progressive condylar resorption (PCR). There was
a high risk of further condylar resorption with mandibular advancement surgery;
therefore, Le Fort I osteotomy with two genioplasty procedures was performed to
achieve counterclockwise rotation of the mandible and avoid ingravescence of the
condylar deformities. The total duration of active treatment was 42 months. The
maxilla was impacted by 7.0 mm and 5.0 mm in the incisor and molar regions,
respectively, while the pogonion was advanced by 18.0 mm. This significantly
resolved both skeletal disharmony and malocclusion. Furthermore, the hyoid bone
was advanced, the pharyngeal airway space was increased, and the morphology of
the mandibular condyle was maintained. At the 30-month follow-up examination, the
patient exhibited a satisfactory facial profile. The findings from our case
suggest that severe mandibular retrognathism with condylar deformities can be
effectively treated without surgical mandibular advancement, thus decreasing the
risk of PCR.
PMID- 27896215
TI - List of the international reviewers in 2016.
PMID- 27896216
TI - Recent Advances in Immunotherapy in Metastatic NSCLC.
AB - Non-small cell lung cancer (NSCLC) is one of most common malignancies and the
leading cause of cancer deaths worldwide. Despite advances in targeted therapies,
majority of NSCLC patients do not have targetable genomic alterations.
Nevertheless, recent discovery that NSCLC is an immunogenic tumor type, and
several breakthroughs in immunotherapies have led to rapid expansion of this new
treatment modality in NSCLC with recent FDA approvals of programed death receptor
1 inhibitors, such as nivolumab and pembrolizumab. Here, we review promising
immunotherapeutic approaches in metastatic NSCLC, including checkpoint
inhibitors, agents with other mechanisms of action, and immunotherapy
combinations with other drugs. With advent of immunotherapy, therapeutic options
in metastatic NSCLC are rapidly expanding with the hope to further expand life
expectancy in metastatic lung cancer.
PMID- 27896219
TI - Enhanced Therapeutic Efficacy in Cancer Patients by Short-term Fasting: The
Autophagy Connection.
AB - Preclinical studies suggest that fasting prior to chemotherapy may be an
effective strategy to protect patients against the adverse effects of chemo
toxicity. Fasting may also sensitize cancer cells to chemotherapy. It is further
suggested that fasting may similarly augment the efficacy of oncolytic viral
therapy. The primary mechanism mediating these beneficial effects is thought to
relate to the fact that fasting results in a decrease of circulating growth
factors. In turn, such fasting cues would prompt normal cells to redirect energy
toward cell maintenance and repair processes, rather than growth and
proliferation. However, fasting is also known to upregulate autophagy, an
evolutionarily conserved catabolic process that is upregulated in response to
various cell stressors. Here, we review a number of mechanisms by which fasting
induced autophagy may have an impact on both chemo-tolerance and chemo
sensitization. First, fasting may exert a protective effect by mobilizing
autophagic components prior to chemo-induction. In turn, the autophagic apparatus
can be repurposed for removing cellular components damaged by chemotherapy.
Autophagy also plays a key role in epitope expression as well as in modulating
inflammation. Chemo-sensitization resulting from fasting may in fact be an effect
of enhanced immune surveillance as a result of better autophagy-dependent epitope
processing. Finally, autophagy is involved in host defense against viruses, and
aspects of the autophagic process are also often targets for viral subversion.
Consequently, altering autophagic flux by fasting may alter viral infectivity.
These observations suggest that fasting-induced autophagy may have an impact on
therapeutic efficacy in various oncological contexts.
PMID- 27896218
TI - Hormonal Modulation of Breast Cancer Gene Expression: Implications for Intrinsic
Subtyping in Premenopausal Women.
AB - Clinics are increasingly adopting gene-expression profiling to diagnose breast
cancer subtype, providing an intrinsic, molecular portrait of the tumor. For
example, the PAM50-based Prosigna test quantifies expression of 50 key genes to
classify breast cancer subtype, and this method of classification has been
demonstrated to be superior over traditional immunohistochemical methods that
detect proteins, to predict risk of disease recurrence. However, these tests were
largely developed and validated using breast cancer samples from postmenopausal
women. Thus, the accuracy of such tests has not been explored in the context of
the hormonal fluctuations in estrogen and progesterone that occur during the
menstrual cycle in premenopausal women. Concordance between traditional methods
of subtyping and the new tests in premenopausal women is likely to depend on the
stage of the menstrual cycle at which the tissue sample is taken and the relative
effect of hormones on expression of genes versus proteins. The lack of knowledge
around the effect of fluctuating estrogen and progesterone on gene expression in
breast cancer patients raises serious concerns for intrinsic subtyping in
premenopausal women, which comprise about 25% of breast cancer diagnoses. Further
research on the impact of the menstrual cycle on intrinsic breast cancer
profiling is required if premenopausal women are to benefit from the new
technology of intrinsic subtyping.
PMID- 27896221
TI - Microvascular Blood Flow Improvement in Hyperglycemic Obese Adult Patients by
Hypocaloric Diet.
AB - The present study was aimed to assess the changes in skin microvascular blood
flow (SBF) in newly diagnosed hyperglycemic obese subjects, administered with
hypocaloric diet. Adult patients were recruited and divided in three groups: NW
group (n=54), NG (n=54) and HG (n=54) groups were constituted by normal weight,
normoglycemic and hyperglycemic obese subjects, respectively. SBF was measured by
laser Doppler perfusion monitoring technique and oscillations in blood flow were
analyzed by spectral methods under baseline conditions, at 3 and 6 months of
dietary treatment. Under resting conditions, SBF was lower in HG group than in NG
and NW ones. Moreover, all subjects showed blood flow oscillations with several
frequency components. In particular, hyperglycemic obese patients revealed lower
spectral density in myogenic-related component than normoglycemic obese and
normal weight ones. Moreover, post-occlusive reactive hyperemia (PORH) was
impaired in hyperglycemic obese compared to normoglycemic and normal weigh
subjects. After hypocaloric diet, in hyperglycemic obese patients there was an
improvement in SBF accompanied by recovery in myogenic-related oscillations and
arteriolar responses during PORH. In conclusion, hyperglycemia markedly affected
peripheral microvascular function; hypocaloric diet ameliorated tissue blood
flow.
PMID- 27896217
TI - Adapt, Recycle, and Move on: Proteostasis and Trafficking Mechanisms in Melanoma.
AB - Melanoma has emerged as a paradigm of a highly aggressive and plastic cancer,
capable to co-opt the tumor stroma in order to adapt to the hostile
microenvironment, suppress immunosurveillance mechanisms, and disseminate. In
particular, oncogene- and aneuploidy-driven dysregulations of proteostasis in
melanoma cells impose a rewiring of central proteostatic processes, such as the
heat shock and unfolded protein responses, autophagy, and the endo-lysosomal
system, to avoid proteotoxicity. Research over the past decade has indicated that
alterations in key nodes of these proteostasis pathways act in conjunction with
crucial oncogenic drivers to increase intrinsic adaptations of melanoma cells
against proteotoxic stress, modulate the high metabolic demand of these cancer
cells and the interface with other stromal cells, through the heightened release
of soluble factors or exosomes. Here, we overview and discuss how key
proteostasis pathways and vesicular trafficking mechanisms are turned into vital
conduits of melanoma progression, by supporting cancer cell's adaptation to the
microenvironment, limiting or modulating the ability to respond to therapy and
fueling melanoma dissemination.
PMID- 27896222
TI - 67 kDa laminin receptor (67LR) in normal and neoplastic hematopoietic cells: is
its targeting a feasible approach?
AB - The 67 kDa laminin receptor (67LR) is a non-integrin cell surface receptor for
laminin (LM) that derives from a 37 kDa precursor (37LRP). 67LR expression is
increased in neoplastic cells and correlates with an enhanced invasive and
metastatic potentialin many human solid tumors, recommending this receptor as a
new promising target for cancer therapy. This is supported by in vivo studies
showing that 67LR downregulation reduces tumour cell proliferation and tumour
formation by inducing apoptosis. 67LR association with the anti-apoptotic protein
PED/PEA-15 activates a signal transduction pathway, leading to cell proliferation
and resistance to apoptosis. However, the main function of 67LR is to enhance
tumor cell adhesion to the LM of basement membranes and cell migration, two
crucial events in the metastasis cascade. Thus, inhibition of 67LR binding to LM
has been proved to be a feasible approach to block metastatic cancer cell spread.
Despite accumulating evidences on 67LR overexpression in hematologic
malignancies, 67LR role in these diseases has not been clearly defined. Here, we
review 67LR expression and function in normal and malignant hematopoietic cells,
67LR role and prognostic impact in hematological malignancies and first attempts
in targeting its activity.
PMID- 27896223
TI - Urokinase type plasminogen activator receptor (uPAR) as a new therapeutic target
in cancer.
AB - The urokinase (uPA)-type plasminogen activator receptor (uPAR) is a GPI-anchored
receptor that focuses urokinase (uPA) proteolytic activity on the cell surface.
uPAR also regulates cell adhesion, migration and proliferation, protects from
apoptosis and contributes to epithelial mesenchymal transition (EMT),
independently of uPA enzymatic activity. Indeed, uPAR interacts with beta1, beta2
and beta3 integrins, thus regulating their activities. uPAR cross-talks with
receptor tyrosine kinases through integrins and regulates cancer cell dormancy,
proliferation and angiogenesis. Moreover, uPAR mediates uPA-dependent cell
migration and chemotaxis induced by fMet-Leu-Phe (fMLF), through its association
with fMLF-receptors (fMLF-Rs). Further, uPAR is an adhesion receptor because it
binds vitronectin (VN), a component of provisional extracellular matrix. High
uPAR expression predicts for more aggressive disease in several cancer types for
its ability to increase invasion and metastasis. In fact, uPAR has been
hypothesized to be the link between tumor cell dormancy and proliferation that
usually precedes the onset of metastasis. Thus, inhibiting uPAR could be a
feasible approach to affect tumor growth and metastasis. Here, we review the more
recent advances in the development of uPAR-targeted anti-cancer therapeutic
agents suitable for further optimization or ready for the evaluation in early
clinical trials.
PMID- 27896225
TI - The Urokinase/Urokinase Receptor System in Mast Cells: Effects of its Functional
Interaction with fMLF Receptors.
AB - Mast cell and basophils express the high affinity receptor for IgE (FcERI) and
are primary effector cells of allergic disorders. The urokinase (uPA)-mediated
plasminogen activation system is involved in physiological and pathological
events based on cell migration and tissue remodelling, such as inflammation,
wound healing, angiogenesis and metastasis. uPA is a serine protease that binds
uPAR, a high affinity glycosyl-phosphatidyl-inositol (GPI)-anchored receptor.
uPAR focuses uPA activity at the cell surface and activates intracellular
signaling through lateral interactions with integrins, receptor tyrosine kinases
and the G-protein-coupled family of fMLF chemotaxis receptors (FPRs). We
investigated the expression of the uPA-uPAR system and its functional interaction
with FPRs in human mast cells (MCs). Differently from basophils, MCs produced uPA
that was able to induce their chemotaxis. Indeed, MCs also expressed uPAR, both
in the intact and in a cleaved form (DII-DIII-uPAR) that can expose, at the N
terminus, the SRSRY sequence, able to interact with FPRs and to mediate cell
chemotaxis. MCs also expressed mRNAs for FPRs that were functionally active;
indeed, uPA and a soluble peptide (uPAR84-95), containing the SRSRY chemotactic
sequence of uPAR and able to interact with FPRs, were able to induce MCs
chemotaxis. Thus, uPA is a potent chemoattractant for MCs acting through the
exposure of the chemotactic epitope of uPAR, that is an endogenous ligand for
FPRs. The same mechanism could be involved in VEGF-A secretion by human MCs, also
induced by uPA and uPAR84-95 stimulation.
PMID- 27896226
TI - Use of the directional atherectomy for the treatment of femoro-popliteal lesions
in patients with critical lower limb ischemia.
AB - : Femoro-popliteal PTA for the treatment of critical limb ischemia is frequently
associated with unsatisfactory procedural success rates while directional
atherectomy (DCA) has improved success rate since claudicant patients undergoing
percutaneous treatment of femoro-popliteal obstructive disease. The aim of this
prospective study is to evaluate the safety, efficacy and procedural success of
DCA, at one year, in the percutaneous treatment of femoro-popliteal obstructive
disease in patients with critical limb ischemia. METHODS: From March 2012 to
March 2013 18 consecutive patients with critical limb ischemia were treated with
DCA (Turbohawk/Covidien-ev3 Endovascular Inc., North Plymouth, Minnesota, USA)
for the treatment of femoro-popliteal obstructive disease. Patients were
evaluated at 12 months. RESULTS: Technical and procedural success was achieved in
every patient. No in-hospital major adverse cardiovascular events occurred.
Primary endpoint: freedom from any amputation was obtained in all patients.
Secondary endpoints: clinical (Rutherford class improvement) and hemodynamic
success (Ankle-brachial index improvement) was achieved in all patients.
CONCLUSION: The use of DCA for the treatment of femoro-popliteal obstructive
disease is a safe and effective therapeutic strategy for patients with critical
limb ischemia. The data included in our study should be considered hypothesis
generating in order to design of a randomized trial comparison with conventional
PTA.
PMID- 27896224
TI - In Vitro Apoptotic Effects of Farnesyltransferase blockade in Acute Myeloid
Leukemia Cells.
AB - Farnesyltransferase inhibitors (FTIs) are a class of oral anti-cancer drugs
currently tested in phase I-II clinical trials for treatment of hematological
malignancies. The in vitro effects of various FTIs (alpha
hydroxyfarnesylphosphonic acid, manumycin-A and SCH66336) were tested on CD34+
KG1a cell line and in primary acute myeloid leukemia (AML) cells from 64
patients. By cell viability and clonogeneic methylcellulose assays, FTIs showed a
significant inhibitory activity in CD34+ KG1a and primary bone marrow (BM)
leukemic cells from 56% of AML patients. FTIs also induced activation of caspase
3 and Fas-independent apoptosis, confirmed by the finding that inhibition of
caspase-8 was not associated with the rescue of FTI-treated cells. We concluded
that other cellular events induced by FTIs may trigger activation of caspase-3
and subsequent apoptosis, but the expression of proapoptotic molecules, as Bcl-2
and Bcl-XL, and antiapoptotic, as Bcl-X(s), were not modified by FTIs. By
contrast, expression of inducible nitric oxide synthase (iNOS) was increased in
FTI-treated AML cells. Our results suggest a very complex mechanism of action of
FTIs that require more studies for a better clinical use of the drugs alone or in
combination in the treatment of hematological malignancies.
PMID- 27896227
TI - Stress-first single photon emission computed myocardial perfusion imaging.
AB - BACKGROUND: Myocardial perfusion imaging (MPI) with single photon emission
tomography (SPET) is widely used in coronary artery disease evaluation. Recently
major dosimetric concerns have arisen. The aim of this study was to evaluate if a
pre-test scoring system could predict the results of stress SPET MPI, thus
avoiding two radionuclide injections. METHODS: All consecutive patients (n=309)
undergoing SPET MPI during the first 6 months of 2014 constituted the study
group. The scoring system is based on these characteristics: age >65 years (1
point), diabetes (2 points), typical chest pain (2 points), congestive heart
failure (3 points), abnormal ECG (4 points), male gender (4 points), and
documented previous CAD (5 points). The patients were divided on the basis of the
prediction score into 3 classes of risk for an abnormal stress-first protocol.
RESULTS: An abnormal stress SPET MPI was present in 7/31 patients (23%) with a
low risk score, in 24/90 (27%) with an intermediate score risk, and in 124/188
(66%) with an high score risk. ROC curve analysis showed good prediction of
abnormal stress MPI. CONCLUSIONS: Our results suggest an appropriate use of a pre
test clinical prediction formula of abnormal stress MPI in a routine clinical
setting.
PMID- 27896220
TI - Anti-Immune Strategies of Pathogenic Fungi.
AB - Pathogenic fungi have developed many strategies to evade the host immune system.
Multiple escape mechanisms appear to function together to inhibit attack by the
various stages of both the adaptive and the innate immune response. Thus, after
entering the host, such pathogens fight to overcome the immune system to allow
their survival, colonization and spread to different sites of infection.
Consequently, the establishment of a successful infectious process is closely
related to the ability of the pathogen to modulate attack by the immune system.
Most strategies employed to subvert or exploit the immune system are shared among
different species of fungi. In this review, we summarize the main strategies
employed for immune evasion by some of the major pathogenic fungi.
PMID- 27896228
TI - The European Innovation Partnership on Active and Healthy Ageing Synergies:
Protocol for a Prospective Observational Study to Measure the Impact of a
Community-Based Program on Prevention and Mitigation of Frailty (ICP - PMF) in
Community-Dwelling Older Adults.
AB - Aim of this paper is to describe the protocol of the study "Impact of a Community
based Program on Prevention and Mitigation of Frailty in community-dwelling older
adults" developed in the framework of the European Innovation Partnership on
Active and Healthy Ageing. This proposal has been developed by the Partnership
Action groups on frailty, fall prevention and polypharmacy in older. The proposal
wants to assess the impact of community-based programs aimed to counteract three
main outcomes related to frailty: hospitalization, institutionalization and
death. Bringing together researchers from seven European countries, the proposal
aims to achieve the critical mass and the geographical extension enough to
provide information useful to all older European citizens. An observational study
will be carried out to calculate the incidence of the different outcomes in
relation to the various interventions that will be assessed; results will be
compared with data coming from already established national, regional and local
dataset using the observed/expected approach. The sample will be made up by at
least 2000 citizens for each outcome. All the citizens will be assessed at the
baseline with two multidimensional questionnaires: the RISC questionnaire and the
Short Functional Geriatric Evaluation questionnaire. The outcomes will be
assessed every six-twelve months.
PMID- 27896229
TI - Effect Of alpha2-Adrenergic Agonists And Antagonists On Cytokine Release From
Human Lung Macrophages Cultured In Vitro.
AB - The most trusted hypothesis to explain how alpha2-adrenergic agonists may
preserve pulmonary functions in critically ill patients is that they directly act
on macrophages by interfering with an autocrine/paracrine adrenergic system that
controls cytokine release through locally synthetized noradrenaline and alpha1-
and alpha2-adrenoreceptors. We tested this hypothesis in primary cultures of
resident macrophages from human lung (HLMs). HLMs were isolated by centrifugation
on percoll gradients from macroscopically healthy human lung tissue obtained from
four different patients at the time of lung resection for cancer. HLMs from these
patients showed a significant expression of alpha2A, alpha2B and alpha2C
adrenoreceptors both at the mRNA and at the protein level. To evaluate whether
alpha2 adrenoreceptors controlled cytokine release from HMLs, we measured IL-6,
IL-8 and TNF-alpha concentrations in the culture medium in basal conditions and
after preincubation with several alpha2-adrenergic agonists or antagonists.
Neither the pretreatment with the alpha2-adrenergic agonists clonidine,
medetomidine or dexdemetomidine or with the alpha2-adrenergic antagonist
yohimbine caused significant changes in the response of any of these cytokines to
LPS. These results show that, different from what reported in rodents, clonidine
and dexdemetomidine do not directly suppress cytokine release from human
pulmonary macrophages. This suggests that alternative mechanisms such as effects
on immune cells activation or the modulation of autonomic neurotransmission could
be responsible for the beneficial effects of these drugs on lung function in
critical patients.
PMID- 27896230
TI - Evaluation of Symptoms and Prevention of Cancer in Menopause: The Value of Vulvar
Exam.
AB - Vulvar and vaginal atrophy (VVA), is a chronic medical condition experienced by
postmenopausal women, with prevalence estimated ranging from 10% to 50% [1]. VVA
is characterized by a constellation of symptoms, that may affect daily
activities, sexuality, relationships, and quality of life [3]. Early recognition
and effective treatment of VVA may enhance sexual health and the quality of life
of women and their partners. Some vulvar conditions such as lichen sclerosus are
more prevalent in the postmenopausal years. Lichen sclerosus has been suggested
as a precursor of Vulvar squamous cell carcinoma. The vulvar exam in post
menopausal women plays an essential role in prevention of cancer because it
allows to identify women who should undergo vulvar skin biopsy in order to early
detect pre-neoplastic lesions for early diagnosis of cancer of the vulva.
PMID- 27896231
TI - Prolonged complete hematologic response in relapsed/refractory T-large granular
lymphocyte leukemia after bendamustine treatment.
AB - T-large granular lymphocyte leukemia (T-LGLL) is a chronic clonal proliferation
of effector memory cytotoxic CD3+CD57+CD56- T cells and the current guidelines
suggest immunosuppressive therapy as first-line therapy, but the treatment of
refractory/relapsed patients is still challenging due to the lack of prospective
studies. We describe a series of two refractory/relapsed T-LGLL patients
successfully treated with bendamustine, a chemotherapeutic agent largely used for
B-cell neoplasms, but poorly investigated for the treatment of T-cell diseases.
Complete remission (CR) was achieved in 3 and 6 months, respectively, and
maintained for at least 20 months. One patient relapsed after a 20-month CR, but
she was responsive to bendamustine therapy again, obtaining a further prolonged
CR. Bendamustine as single agent or in combination could be a feasible
therapeutic option in refractory/relapsed T-LGLL, especially for elderly patients
because of its safety profile.
PMID- 27896232
TI - Quality of life perception in type 2 diabetes.
AB - PURPOSE: Lifestyle analysis is often used for primary and secondary prevention in
many chronic metabolic diseases, including diabetes. Questionnaires are simple
and common methods for first investigation risk of factors related to the
perception of quality of life (QoL). The present study evaluates the feasibility
to use questionnaires for first investigation of risk factors, and ascertain
whether the results of such questionnaires are associated with the perception of
QoL. METHODS: Validated questionnaires from the international ACSM guidelines
were used to study a cohort of 142 consecutive type 2 diabetes patients (mean
age: 66.1 years +/- 10.9). RESULTS: QoL perception was normal; BMI was compatible
with overweight in 79.1% of subjects, and obesity in 20.9%. Cognitive abilities
decreased with age and low consumption of dried fruit and legumes. There was
evidence of a statistically significant association between BMI and QoL (rho =
0.18; p = 0.03). CONCLUSIONS: Questionnaires are useful to assess lifestyle
habits and highlight risks factors. Poor knowledge of patients' own chronic
disease may contribute to a negative impact in diabetes.
PMID- 27896233
TI - Serum fructosamine, serum glycated albumin and serum glycated beta-lipoprotein in
type 2 diabetes mellitus patients with and without microvascular complications.
AB - BACKGROUND: Glycation of serum proteins has been proposed as an important
mechanism of complications of diabetes but whether there are differences in
glycation of different serum proteins and whether it has any correlation with
development of microvascular complications has not been studied in depth. This
study aimed to assess level of serum fructosamine, glycated albumin and glycated
beta-lipoprotein in type 2 diabetes mellitus patients with and without
microvascular complications and to find out their correlation with diabetes
complications. METHODS: Case-control study involving 150 individuals at a
tertiary care hospital in western India. Fifty participants were healthy controls
(group 1), 50 were type 2 diabetes patients without any evident microvascular
complication (group 2) and 50 were type 2 diabetes patients with one or more
microvascular complications (group 3). Serum fructosamine, FBS, PP2BS and other
biochemical parameters were measured. Glycated albumin and glycated beta
lipoprotein were measured by agarose gel electrophoresis followed by NBT
staining. Unpaired t-test was used to find out significance of difference between
two groups and correlation coefficient to find out statistical correlation
between two variables. RESULTS: Type 2 diabetes patients with one or more
microvascular complications had poor glycemic control as indicated by markers of
short and mid-term glycemia. Differences between the groups for fructosamine,
glycated albumin and glycated beta-lipoprotein were significant (p < 0.001).
Glycated albumin correlated with FBS, PP2BS and fructosamine in all diabetic
patients (group 2 and 3) whereas glycated beta-lipoprotein correlated with these
parameters only in group 3 and it was markedly elevated in group 3. CONCLUSION:
Serum glycated beta-lipoprotein was disproportionately elevated compared to
fructosamine and glycated albumin in diabetes patients with microvascular
complications (group 3) and it correlated with rest of glycemic markers only in
this group. Glycated beta-lipoprotein might help in identifying diabetic
individuals at high future risk of developing microvascular complications.
PMID- 27896234
TI - Frequency and Associated Factors of Amphotericin B Nephrotoxicity in Hospitalized
Patients in Hematology-Oncology Wards in the Southwest of Iran.
AB - BACKGROUND: Nephrotoxicity is the most clinically significant adverse reaction of
amphotericin B. Different aspects of amphotericin B (AmB) nephrotoxicity have not
been studied well in our population. OBJECTIVES: The purpose of this study was to
assess the frequency, time onset, and possible associated factors of AmB
nephrotoxicity in hospitalized patients in hematology-oncology wards in the
southwest of Iran. PATIENTS AND METHODS: A cross-sectional, observational study
was performed over a period of 9 months at 2 hematology-oncology and 1
hematopoietic stem cell transplantation wards at Namazi Hospital. Patients aged
15 years or older with no documented history of acute kidney injury or chronic
kidney disease who were scheduled to receive formulations of AmB intravenously
for at least 1 week were included. The required demographic and clinical data of
the patients were recorded. Urine urea, creatinine, sodium, potassium, and
magnesium levels were measured at days 0, 3, 5, 7, 10, and 14 of the AmB
treatment. AmB nephrotoxicity based on serum creatinine increase, renal potassium
wasting, hypokalemia, and hypomagnesemia were determined. RESULTS: Among the 40
patients recruited for the study, 11 (27.5%) patients developed AmB
nephrotoxicity with a mean +/- standard deviation onset of 6.73 +/- 2.36 days. In
5 patients, AmB nephrotoxicity resolved spontaneously without any intervention.
According to the multivariate logistic regression model, none of the studied
demographic, clinical, and paraclinical variables were significantly associated
with AmB nephrotoxicity. The duration of hospitalization (P = 0.541) and the
mortality rate (P = 0.723) were comparable between the patients with and without
AmB nephrotoxicity. Hypokalemia and renal potassium wasting were identified in
45% and 27.5% of the patients during AmB treatment, respectively. CONCLUSIONS:
Nearly one-third (27.5%) of our cohort developed nephrotoxicity within the first
week of AmB treatment. Hypokalemia and renal potassium wasting were more notable,
affecting about one-half and one-third of the AmB recipients, respectively.
PMID- 27896235
TI - Application of Parametric Models to a Survival Analysis of Hemodialysis Patients.
AB - BACKGROUND: Hemodialysis is the most common renal replacement therapy in patients
with end stage renal disease (ESRD). OBJECTIVES: The present study compared the
performance of various parametric models in a survival analysis of hemodialysis
patients. METHODS: This study consisted of 270 hemodialysis patients who were
referred to Imam Khomeini and Fatima Zahra hospitals between November 2007 and
November 2012. The Akaike information criterion (AIC) and residuals review were
used to compare the performance of the parametric models. The computations were
done using STATA Software, with significance accepted at a level of 0.05.
RESULTS: The results of a multivariate analysis of the variables in the
parametric models showed that the mean serum albumin and the clinic attended were
the most important predictors in the survival of the hemodialysis patients (P <
0.05). Among the parametric models tested, the results indicated that the
performance of the Weibull model was the highest. CONCLUSIONS: Parametric models
may provide complementary data for clinicians and researchers about how risks
vary over time. The Weibull model seemed to show the best fit among the
parametric models of the survival of hemodialysis patients.
PMID- 27896236
TI - The Effect of BCAA and ISO-WHEY Oral Nutritional Supplements on Dialysis
Adequacy.
AB - BACKGROUND: Protein-energy malnutrition is a common problem in hemodialysis
patients and has different outcomes such as reduced quality of life, longer
hospitalization time, lower dialysis adequacy, and higher mortality rate.
Investigation of dialysis adequacy is an important method for assessing
hemodialysis patients, and improving the dialysis adequacy is an important
healthcare team goal. OBJECTIVES: The present study aims to investigate and
compare the effects of BCAA and ISO-WHEY oral nutritional supplements on dialysis
adequacy. METHODS: In a clinical trial study, 66 hemodialysis patients were
randomly divided into three groups: Group A (n = 22), Group B (n = 22), and Group
C or the control group (n = 22). In Groups A and B, as prescribed and controlled
by nutritionists and nephrologists, respectively, ISO-WHEY and BCAA protein
powder were used for 2 months on a daily basis. For all groups, before
intervention and 1 and 2 months after intervention, the dialysis adequacy was
measured using URR and Kt/V. Finally, the data were analyzed using IBM SPSS
Statistics Base 21.0 software. RESULTS: Out of 66 patients, 61 (19 in Group A, 20
in Group B, and 22 in Group C) completed the study period, and before
intervention, all groups were equal in terms of quality and quantity variables (P
> 0.05). After intervention, there was a significant difference between the three
groups with regard to the variables of dialysis adequacy based on Kt/V and URR to
independent-t test and repeated measures ANOVA (P < 0.05). CONCLUSIONS: Results
show that the intake of oral nutritional supplements leads to an improvement in
the dialysis adequacy of hemodialysis patients. Therefore, the use of nutritional
supplements along with patients' training and regular consultation will be
helpful in improving the nutritional status, dialysis adequacy, and eventually
the quality of life.
PMID- 27896237
TI - Negative Religious Coping, Positive Religious Coping, and Quality of Life Among
Hemodialysis Patients.
AB - BACKGROUND: Religious coping is known as a main resource influencing how
individuals cope with the complications and stressors of chronic disease.
OBJECTIVES: The aim of this study was to assess the relationship between
religious coping and quality of life among hemodialysis patients. METHODS: This
cross-sectional study was conducted in Qom, Iran, from June 2012 to July 2013.
Ninety-five end-stage renal disease (ESRD) patients undergoing hemodialysis were
selected via the convenience sampling method. Data were collected via a
questionnaire comprising items on sociodemographic information, quality of life,
the anxiety and depression scale, and religious coping. Following this, the data
were analyzed using descriptive statistics and logistic regression analysis.
RESULTS: The mean age of patients was 50.4 (standard deviation [SD] = 15.7)
years, and most were male (61%). The mean score for positive religious coping was
23.38 (SD = 4.17), while that for negative religious coping was 11.46 (SD =
4.34). It was found that 53.6% of patients had higher than the mean score of
positive religious coping, while those with negative religious coping made up
37.9%. Negative religious coping was associated with worse quality of life,
including physical functioning (odds ratio [OR] = 0.72; P = 0.009), role physical
(OR = 0.79; P = 0.04), vitality (OR = 0.62; P = 0.005), social functioning (OR =
0.69; P = 0.007), and mental health (OR = 0.58; P = 0.01) after controlling for
sociodemographic, clinical, and anxiety and depression variables. CONCLUSIONS:
The results indicated that patients with negative religious coping abilities were
at risk of a suboptimal quality of life. Incorporating religious support in the
care of hemodialysis patients may be helpful in improving quality of life in this
patient population. Further longitudinal studies are needed to determine whether
these associations are causal and the direction of effect.
PMID- 27896238
TI - Retroperitoneal Fibrosis Due to Opium Abuse: A Case Series and Literature Review.
AB - Retroperitoneal fibrosis (RPF) is a rare condition with an unclear etiology,
presenting with the development of aberrant chronic nonspecific fibroinflammatory
tissue in the retroperitoneal space, which can result in entrapment and
obstruction of the retroperitoneal structures. RPF is a subtype of chronic
periaortitis, and can be divided into two types: primary (or idiopathic) and
secondary. RPF is usually idiopathic, but can also be secondary to malignancies,
certain drugs, infections, surgery, and trauma. The systemic clinical
manifestations are nonspecific and include low-grade fever, fatigue, anorexia,
weight loss, and myalgia. We report five patients admitted to our hospital with
clinical, laboratory, imaging, and pathologic findings compatible with RPF, and
we describe their treatment and follow-up. We were suspicious that the impurities
of some types of opium have an important role in the pathogenesis of RPF. Some of
our patients used opium again after the follow-up period; however, they used a
different type with a different origin, and we were surprised to see that RPF did
not form again.
PMID- 27896239
TI - Prostate-Specific Antigen Density and Gleason Score Predict Adverse Pathologic
Features in Patients with Clinically Localized Prostate Cancer.
AB - BACKGROUND: According to recent studies, prostate cancer is the second most
common cancer among Iranian men. Radical prostatectomy has been considered the
gold standard treatment in patients with clinically localized prostate cancer.
Gleason score, PSA density, and PSA velocity are some of the parameters used to
predict adverse pathologic features. OBJECTIVES: The aim of this study was to
evaluate the prognostic value of PSA density and Gleason score in predicting
adverse pathologic features in patients with localized prostate cancer who
undergo radical prostatectomy. METHODS: We conducted a cross-sectional study of
105 patients with localized prostate cancer who underwent radical prostatectomy
between 2006 and 2013. We recorded Gleason scores and PSA levels, in addition to
the results of pathological evaluations after radical prostatectomy, including
prostate volume, stage, LNI (lymph node involvement), SVI (seminal vesicle
invasion), and extraprostatic extension (EPE). Data were analyzed using SPSS
version 21. RESULTS: Mean PSA density was 0.27 (0.17 SD). The frequencies of EPE,
SVI, and LNI were 21.9, 16.2, and 2.9, respectively. The Mann-Whitney U-test
demonstrated a significant correlation between PSA density and adverse pathologic
features (EPE, SVI, and LNI). CONCLUSIONS: PSA, PSA density, and Gleason score
should be considered together in order to more accurately predict the adverse
pathologic features of prostate cancer.
PMID- 27896240
TI - Evaluation of Anti-Toxoplasma gondii Antibodies in Hemodialysis Patients with
Chronic Kidney Disease in Sari, Iran.
AB - BACKGROUND: Toxoplasma gondii has worldwide distribution and is one of the most
prevalent infectious agents in humans. OBJECTIVES: The aim of this study was to
determine the prevalence of anti-Toxoplasma gondii antibodies in hemodialysis
patients with chronic kidney disease (CKD) in the hemodialysis unit of Fatemeh
Zahra hospital at the Mazandaran University of Medical Sciences in Sari, Iran.
METHODS: Seventy-three patients with CKD and 145 healthy volunteers were assessed
for anti-Toxoplasma gondii (IgG, IgM, and IgA) antibodies using a conventional
ELISA technique. RESULTS: The anti-Toxoplasma gondii IgG antibody was detected in
80.8% of the cases in the patient group, while 31.5% of the cases in the CKD
patient group and 31.8% in the control group were found to be positive for the
anti-Toxoplasma gondii IgA antibody. All the patients in the CKD group were
negative for the anti-Toxoplasma gondii IgM antibody, although 2.76% of the
healthy volunteers were found to be positive. The present study suggests that
there was no significant difference between the hemodialysis patient group and
the healthy volunteers. CONCLUSIONS: The epidemiological data collected in this
study could serve as a reference for future studies and may be useful in
developing preventive and educational strategies, and consequently reducing
healthcare expenditure.
PMID- 27896241
TI - Local Administration of Tranexamic Acid During Prostatectomy Surgery: Effects on
Reducing the Amount of Bleeding.
AB - BACKGROUND: One of the issues in prostatectomy surgery is bleeding. Although
tranexamic acid (TRA) is an antifibrinolytic agent for reducing bleeding,
controversies surround its use. OBJECTIVES: In this study, the effect of local
administration of TRA on reducing bleeding during prostatectomy surgery was
evaluated. METHODS: A total of 186 patients who underwent prostatectomy surgery
were assessed in this clinical trial study. Patients were divided randomly into
two groups. After prostate removal, TRA (500 mg TRA with 5 mL total volume) to
the intervention group and normal saline to the control group were sprayed with
the same volume. At the end of surgery, the prescribed blood bags were measured
and recorded. Hemoglobin and platelet levels were recorded 6 hours after the
test. Moreover, the amounts of blood inside the blood bags in the first 24 hours,
the second 24 hours, and the total length of hospital stay were recorded and
compared in each group. RESULTS: By comparing the measured values before and
after surgery, we found that the amounts of hemoglobin, hematocrit, and platelet
decreased. The mean blood loss in the intervention group was recorded at 340 mL
and that in the control group was 515 mL. The maximum bleeding in the control
group was almost twice as much as that in the intervention group. Blood loss in
the intervention group with the administration of TRA was significantly lesser
than that in the control group (P = 0.01). The decrease in platelet level in the
intervention group was significantly lower than that in the control group (P =
0.03). CONCLUSIONS: The present study showed that local administration of TRA
significantly reduces bleeding after prostatectomy surgery and is effective in
preventing postoperative hemoglobin decrease.
PMID- 27896242
TI - Intracytoplasmic Sperm Injection Outcomes with Freshly Ejaculated Sperms and
Testicular or Epididymal Sperm Extraction in Patients with Idiopathic
Cryptozoospermia.
AB - BACKGROUND: Cryptozoospermia (CO) is a situation in which spermatozoa cannot be
observed in a fresh semen sample unless an extended centrifugation and
microscopic search are performed. CO patients are suggested to use only
intracytoplasmic sperm injection (ICSI) as infertility treatment. But still there
is debate about the choice of sperm source in cryptozoospermic men candidate for
ICSI. OBJECTIVES: This study was conducted to evaluate fertility outcomes in men
with idiopathic cryptozoospermia who were treated using ICSI with freshly
ejaculated sperm and testis sperm extraction (TESE) or percutaneous epididymal
sperm aspiration (PESA). METHODS: In this prospective cohort study carried out in
an academic institution, 83 out of 92 couples with cryptozoospermia undergoing
their first ICSI cycle were recruited. These patients were randomly allocated to
two groups: group one (n = 42) who produced freshly ejaculated sperm and, group
two (n = 41) who produced a sample by TESE or PESA. The groups were analyzed and
compared in terms of fertilization rate, cleavage rate, embryo quality,
implantation rate, and clinical pregnancy rate. RESULTS: There was a significant
difference in fertilization rate, embryo quality, implantation rate, and
pregnancy rates between the group of surgically extracted sperm and those of
naturally ejaculated sperm using conventional ICSI (P < 0.05). CONCLUSIONS: Sperm
quality extracted by percutaneous PESA and TESE procedures increases fertility
outcomes compared to naturally ejaculated sperm in men with idiopathic CO. More
specifically, embryo quality, which is most relevant to fertility outcome,
improved when surgically extracted sperm was used for ICSI.
PMID- 27896243
TI - Profile of tivantinib and its potential in the treatment of hepatocellular
carcinoma: the evidence to date.
AB - Hepatocellular carcinoma (HCC) is the fastest rising cause of cancer-related
death in the United States and carries a very poor prognosis, with a median
survival time of <50% at 1 year for advanced disease. To date, sorafenib is the
only therapy approved by the Food and Drug Administration for the treatment of
advanced HCC. Tivantinib (ARQ-197), a non-ATP competitive inhibitor of cellular
mesenchymal-epithelial transcription factor (c-MET), has shown a survival benefit
in patients with advanced HCC who have failed or are intolerant to sorafenib in
Phase I and II trials. Those patients who have tumors with high concentrations of
MET (MET-high) appear to derive the greatest benefit from tivantinib therapy.
Currently, two large randomized double-blind placebo-controlled Phase III trials
(METIV-HCC [NCT01755767] and JET-HCC [NCT02029157]) are evaluating tivantinib in
patients with MET-high advanced HCC, with the primary end points of overall
survival and progression-free survival, respectively. This study reviews the
evidence for the use of tivantinib in advanced HCC. Specific topics addressed
include the pharmacology, dosing, toxicity, and biomarkers associated with
tivantinib use.
PMID- 27896244
TI - Adaptive responses of cardiac function to fetal postural change as gestational
age increases.
AB - OBJECTIVE: The cardiovascular system maintains homeostasis through a series of
adaptive responses to physiological requirements. However, little is known about
the adaptation of fetal cardiac function to gravity, according to gestational
age. In the present study, we aimed to evaluate the adaptive responses of cardiac
function to postural changes, using Tei index measurements. METHODS: Fetal
echocardiography and Doppler examination were performed on 114 women with vertex
singleton pregnancies at 19 to 40 weeks' gestation. Participants were placed in
an upright seated position, and the Tei index for fetal left ventricular cardiac
function was measured. The women were then moved into a supine position and the
Tei index was re-measured. RESULTS: The mean Tei index when measured in an
upright seated position was significantly lower than that measured in a supine
positioning for all fetuses (0.528+/-0.103 vs. 0.555+/-0.106, P=0.014,
respectively). This difference was also noted in fetuses with a gestational age
of 28-40 weeks (0.539+/-0.107 vs. 0.574+/-0.102, P=0.011, respectively). However,
there was no difference in the Tei index between an upright seated and a supine
position among fetuses with a gestational age of <28 weeks (0.505+/-0.091 vs.
0.516+/-0.103, P=0.571, respectively). CONCLUSION: Postural changes from an
upright seated to a supine position result in an increased Tei index after a
gestational age of 28 weeks. This appears to reflect maturation in the adaptive
responses of the fetal cardiovascular system to postural changes.
PMID- 27896245
TI - Differences in clinical presentation and pregnancy outcomes in antepartum
preeclampsia and new-onset postpartum preeclampsia: Are these the same disorder?
AB - OBJECTIVE: New-onset postpartum preeclampsia is a poorly defined condition that
accounts for a significant percentage of eclampsia cases. It is unclear whether
new-onset postpartum preeclampsia is a different disorder from or belongs to the
same spectrum of classic antepartum preeclampsia. The objective of this study was
to compare the clinical presentation and pregnancy outcomes of antepartum
preeclampsia and new-onset postpartum preeclampsia. METHODS: A retrospective
study including 92 patients with antepartum preeclampsia and 92 patients with new
onset postpartum preeclampsia was performed. Clinical presentation and pregnancy
outcomes were compared. Chi-square test was used to analyze categorical
variables, and independent t-test and Mann-Whitney U-test for numerical
variables. P-values of <0.05 were used to indicate statistical signifi cance.
RESULTS: Patients with antepartum preeclampsia and new-onset postpartum
preeclampsia differ significantly in profile, symptoms at presentation,
laboratory markers and pregnancy outcomes. CONCLUSION: New-onset postpartum
preeclampsia has a distinct patient profile and clinical presentation than
antepartum preeclampsia, suggesting they may represent different disorders.
Characterization of a patient profile with increased risk of developing this
condition will help clinicians to identify patients at risk and provide early and
targeted interventions to decrease the morbidity associated with this condition.
PMID- 27896246
TI - Quantitative fluorescent polymerase chain reaction for rapid prenatal diagnosis
of fetal aneuploidies in chorionic villus sampling in a single institution.
AB - OBJECTIVE: To validate quantitative fluorescent polymerase chain reaction (QF
PCR) via chorionic villus sampling (CVS) for the diagnosis of fetal aneuploidies.
METHODS: We retrospectively reviewed the medical records of consecutive pregnant
women who had undergone CVS at Cheil General Hospital between December 2009 and
June 2014. Only cases with reported QF-PCR before long-term culture (LTC) for
conventional cytogenetic analysis were included, and the results of these two
methods were compared. RESULTS: A total of 383 pregnant women underwent QF-PCR
and LTC via CVS during the study period and 403 CVS specimens were collected. The
indications of CVS were as follows: abnormal first-trimester ultrasonographic
findings, including increased fetal nuchal translucency (85.1%), advanced
maternal age (6.8%), previous history of fetal anomalies (4.2%), and positive
dual test results for trisomy 21 (3.9%). The results of QF-PCR via CVS were as
follows: 76 (18.9%) cases were identified as trisomy 21 (36 cases), 18 (33
cases), or 13 (seven cases), and 4 (1.0%) cases were suspected to be mosaicism.
All results of common autosomal trisomies by QF-PCR were consistent with those of
LTC and there were no false-positive findings. Four cases suspected as mosaicism
in QF-PCR were confirmed as non-mosaic trisomies of trisomy 21 (one case) or
trisomy 18 (three cases) in LTC. CONCLUSION: QF-PCR via CVS has the advantage of
rapid prenatal screening at an earlier stage of pregnancy for common chromosomal
trisomies and thus can reduce the anxiety of parents. In particular, it can be
helpful for pregnant women with increased fetal nuchal translucency or abnormal
first-trimester ultrasonographic findings.
PMID- 27896247
TI - Uterine rupture in pregnancies following myomectomy: A multicenter case series.
AB - OBJECTIVE: The purpose of this case series was to retrospectively examine records
of cases with uterine rupture in pregnancies following myomectomy and to describe
the clinical features and pregnancy outcomes. METHODS: This study was conducted
as a multicenter case series. The patient databases at 7 tertiary hospitals were
queried. Records of patients with a diagnosis of uterine rupture in the pregnancy
following myomectomy between January 2012 and December 2014 were retrospectively
collected. The uterine rupture cases enrolled in this study were defined as
follows: through-and-through uterine rupture or tear of the uterine muscle and
serosa, occurrence from 24+0 to 41+6 weeks' gestation, singleton pregnancy, and
previous laparoscopic myomectomy (LSM) or laparotomic myomectomy (LTM) status.
RESULTS: Fourteen pregnant women experienced uterine rupture during their
pregnancy after LSM or LTM. Preterm delivery of less than 34 weeks' gestation
occurred in 5 cases, while intrauterine fetal death occurred in 3, and 3 cases
had fetal distress. Of the 14 uterine rupture cases, none occurred during labor.
All mothers survived and had no sequelae, unlike the perinatal outcomes, although
they were receiving blood transfusion or treatment for uterine artery
embolization because of uterine atony or massive hemorrhage. CONCLUSION: In women
of childbearing age who are scheduled to undergo LTM or LSM, the potential risk
of uterine rupture on subsequent pregnancy should be explained before surgery.
Pregnancy in women after myomectomy should be carefully observed, and they should
be adequately counseled during this period.
PMID- 27896249
TI - Glycogen synthase kinase 3beta and cyclin D1 expression in cervical
carcinogenesis.
AB - OBJECTIVE: Glycogen synthase kinase 3beta (GSK3beta) is a pluripotent protein
kinase involved in the development of cancers through regulation of numerous
oncogenic molecules. Cyclin D1, an important regulator of G1 to S phase
transition in various cells, is one of target proteins that GSK3beta regulate.
Our objective was to assess the expression of GSK3beta and cyclin D1 in cervical
neoplasm of different histologic grades and to identify their correlation in
cervical carcinogenesis. METHODS: Immunohistochemical analysis of GSK3beta and
cyclin D1 was performed in a total of 137 patients with 12 normal, 62 cervical
intraepithelial neoplasia (CIN) (31 CIN1 and 31 CIN3) and 63 invasive cancers
including 56 squamous cell carcinomas and 7 adenocarcinomas. RESULTS: The
expression of GSK3beta increased in parallel with the lesion grade, while that of
cyclin D1 decreased with severity of the lesion (P<0.001). There was a
significant inverse correlation between GSK3beta and cyclin D1 expression in
overall cervical neoplasia (Phi=-0.413, P<0.001). GSK3beta expression was higher
in squamous cell carcinoma than in adenocarcinoma (P=0.049). CONCLUSION: These
results suggest that the expressional increase in GSK3beta plays a role in
cervical carcinogenesis and has inverse correlation with cyclin D1 expression in
this process. In addition, GSK3beta expression appears to be associated with the
histologic type of cervical cancer, especially squamous cell carcinoma.
PMID- 27896250
TI - Clinical efficacy of photodynamic therapy.
AB - OBJECTIVE: The management of cervical intraepithelial neoplasia (CIN) and early
invasive cancer of the uterine cervix is very difficult to approach, especially
in case of young woman who wants to preserve her fertility. Conization of the
cervix may have various kinds of disadvantage. The objective of this clinical
retrospective study is to investigate the therapeutic effects and clinical
efficacy of photodynamic therapy (PDT) including combined chemo-photodynamic
therapy in patients with pre-malignant CIN and malignant invasive cervical
cancer. METHODS: Total number of PDT trial case was 50 cases and total number of
patient was 22 patients who registered to PDT clinic. We used photogem sensitizer
and 632 nm diode laser in early two cases. After then we performed PDT using
photofrin sensitizer and 630 nm diode laser in other cases. We used flat-cut,
microlens, cylindrical diffuser, and interstitial type optic fibers in order to
irradiate the lesions. 240 J/cm2 energy was irradiated to the lesions. RESULTS:
CIN 2 were 4 cases (18.2%) and CIN 3 were 15 (68.2%) and invasive cervical cancer
were 3 (13.6%). Complete remission (CR) was found in 20 patients (91%). One case
of 19 patients with CIN lesion recurred at 18 months after PDT treatment. CR was
found in 18 cases in the patients with CIN lesions (95%). CR was found in 2 cases
in the patients with invasive cervical cancer (67%). CONCLUSION: Our data showed
that CR rate was fantastic in CIN group (95%). This study suggests that PDT can
be recommended as new optimistic management modality on the patients with pre
malignant CIN lesions including carcinoma in situ and relatively early invasive
cancer of the uterine cervix. Combined chemo-photodynamic therapy is essential in
case of invasive cervical cancer. For the young age group who desperately want to
preserve their fertility and have a healthy baby, PDT can be a beacon of hope.
PMID- 27896248
TI - Uterine artery pulsatility index in hypertensive pregnancies: When does the index
normalize in the puerperium?
AB - OBJECTIVE: To investigate whether the uterine artery pulsatility index (UtA PI)
of hypertensive pregnancies is higher than that of normal pregnancies in the
puerperium, as well as in the antepartum period. METHODS: The UtA PI was measured
in hypertensive (group 1) and normal pregnancies (group 2) during antepartum,
immediate postpartum or late postpartum periods. Using the transvaginal approach,
the bilateral uterine artery indices were measured. RESULTS: One hundred twenty
two women were enrolled: group 1, hypertensive disease in pregnancy (11 cases in
antepartum, 13 cases in immediate postpartum and 10 cases in late postpartum
period); group 2, normal pregnancies (32 cases in antepartum, 29 cases in
immediate postpartum and 27 cases in late postpartum). In antepartum and
immediate postpartum periods, the mean UtA PI and the proportion of cases with an
early diastolic notch were higher in group 1 than in group 2 (antepartum mean UtA
PI, 1.14 in group 1 vs. 0.68 in group 2, P<0.001; early diastolic notch, 46% vs.
9%, P<0.05; immediate postpartum mean UtA PI, 1.30 vs. 1.08, P<0.05; early
diastolic notch, 85% vs. 48%, P<0.05). In late postpartum period, the mean value
of UtA PI of group 1 was still higher than that of group 2, although the
proportion of cases with an early diastolic notch was not different (mean UtA PI,
1.43 vs. 1.20, P<0.05; early diastolic notch, 60% vs. 52%, P=0.73). CONCLUSION:
The UtA PI in hypertensive pregnancies was still higher than normal pregnancies
in puerperal periods, suggesting that more than several weeks are required to
resolve increased uterine artery vascular impedance.
PMID- 27896253
TI - Study of dienogest for dysmenorrhea and pelvic pain associated with
endometriosis.
AB - OBJECTIVE: To evaluate the effect of orally administered dienogest (DNG) for
dysmenorrhea and pelvic pain associated with endometriosis. METHODS: For this
study we recruited 89 patients with dysmenorrhea and pelvic pain associated with
endometriosis diagnosed by laparoscopy. All patients complained of persistent
dysmenorrhea and pelvic pain despite surgical treatment 6 months previously.
After 6 months of DNG treatment, we used a 0 to 3 point verbal rating scale to
measure the severity of disability in daily life due to dysmenorrhea and pelvic
pain, and the use of analgesics. Weight gain, serum lipid and liver enzyme tests
were performed before treatment and after 6 months of DNG treatment. RESULTS:
Total dysmenorrhea scores assessed by the verbal rating scale significantly
decreased by the end of treatment (P<0.001). The mean (+/-standard deviation)
pain score for dysmenorrhea before and after treatment were 1.42+/-1.1 and 0.1+/
0.3, respectively. The mean non-menstrual pelvic pain scores before and after
treatment were 0.52+/-0.6 and 0.18+/-0.3, respectively, showing a significant
difference (P<0.001). The use of analgesics significantly decreased by the end of
the treatment (P<0.001). The associated adverse effects were weight gains (in 56
of 89 patients, 63%) and uterine bleeding (in 28 of 89 patients, 31.5%). The
weight gain (before treatment, 57.9+/-9.7; after treatment, 61.1+/-12.6) was
statistically significant (P<0.040). CONCLUSION: This study demonstrated that
orally administered DNG could be used to effectively treat dysmenorrhea and
pelvic pain associated with endometriosis although the side effects of weight
gain and uterine bleeding should be considered.
PMID- 27896251
TI - Combined SYBR Green real-time polymerase chain reaction and microarray method for
the simultaneous determination of human papillomavirus loads and genotypes.
AB - OBJECTIVE: The aim of this study was to describe the principle of the Cheil HPV
DNA Chip assay and evaluate its accuracy. In order to quantify the human
papillomavirus (HPV) load and identify HPV genotypes simultaneously, this assay
combined the two methods: SYBR Green quantitative real-time polymerase chain
reaction (PCR) and DNA microarray. METHODS: We designed novel consensus primer
sets that target the conserved region of the HPV L1 gene for quantifying and
detecting a broad range of HPV types by quantitative real-time PCR. Subsequently,
using the PCR products, DNA microarray was performed with 36 HPV type-specific
probes. To validate this method, direct sequencing and correlation analysis among
HPV genotype, viral load, and cytological abnormality was performed by Cohen's
kappa values, two-sided McNemar chi-square test, Kruskal-Wallis test, and odds
ratios. RESULTS: The kappa value of the Cheil HPV DNA Chip was 0.963 (95%
confidence interval, 0.919 to 0.98), which was significantly higher than the
value of 0.527 (95% confidence interval, 0.447 to 0.59) obtained using a
conventional HPV DNA Chip. HPV16 (chi2=62.28, P<0.01), HPV33 (chi2=7.18, P<0.01),
and HPV58 (chi2=9.52, P<0.01), which are classified as high-risk HPVs, were
detected at significant levels in samples with high-grade lesions. And viral
loads tended to be higher in groups with high odds ratios. CONCLUSION: The Cheil
HPV DNA Chip is an effective diagnostic assay for simultaneously detecting HPV
genotypes and loads in cervical samples.
PMID- 27896252
TI - Association between serum gonadotropin level and insulin resistance-related
parameters in Korean women with polycystic ovary syndrome.
AB - OBJECTIVE: To evaluate the relationship between serum gonadotropin level and
parameters related to insulin resistance in Korean women with polycystic ovary
syndrome (PCOS). METHODS: This retrospective study included 138 women aged 18 to
35 years who were newly diagnosed with PCOS according to the Rotterdam consensus.
Participants were divided into three groups based on the serum luteinizing
hormone to follicle-stimulating hormone (LH/FSH) ratio in the early follicular
phase: group 1 (LH/FSH <1), group 2 (1.0<= LH/FSH >2.0), and group 3 (LH/FSH
>=2.0). The correlations between the LH/FSH ratio and various metabolic
parameters were evaluated using Pearson correlation coefficients. RESULTS:
Patients with higher LH/FSH ratios showed higher total antral follicle counts and
higher total ovarian volume. In the comparison of anthropometric and biochemical
parameters among the three groups, the waist to hip ratio was the only parameter
that differed significantly among the groups (P=0.003). Correlation analysis
revealed no significant correlations between serum LH/FSH ratios and biochemical
parameters related to insulin resistance. However, after adjustments for age and
body mass index, a significant correlation between total cholesterol level and
serum LH/FSH ratio was observed (r=0.221, P=0.018). CONCLUSION: Most parameters
related to insulin resistance, with the exception of total cholesterol level, are
unrelated to the inappropriate pattern of serum gonadotropin secretion in Korean
women with PCOS.
PMID- 27896254
TI - Laparoscopic tube-preserving surgical procedures for ectopic tubal pregnancy.
AB - OBJECTIVE: To present our experience with laparoscopic tube-preserving surgery
for ectopic tubal pregnancy and evaluate its feasibility and efficacy. METHODS:
This was a prospective study of 57 consecutive patients with ectopic tubal
pregnancies undergoing laparoscopic tube-preserving procedures including
salpingotomy, salpingostomy, segmental resection and reanastomosis, and fimbrial
milking. The outcome measures were treatment success rates and homolateral
patency rates. RESULTS: Of the 57 surgical procedures, 55 (96.4%) were performed
successfully without any additional intervention. The number of patients
receiving salpingotomy, salpingostomy, segmental resection and reanastomosis, and
fimbrial milking were 24 (42.1%), 25 (43.9%), 4 (7.0%), and 2 (3.5%),
respectively. Two case was switched to salpingectomy because excessive bipolar
coagulation was required to obtain hemostasis at the tubal bleeding bed. Over a
mean beta-human chorionic gonadotropin resolution time of 18.3+/-5.9 days, no
persistent trophoblast or postoperative complications occurred. A tubal patency
test using hysterosalpingography was performed in 15 cases at 3 months
postoperatively. Among these, the homolateral tubal patency rate was 75% (11 of
15) and the contralateral patency rate was 80% (12 of 15). CONCLUSION: Tube
preserving surgery is a feasible and safe treatment option for ectopic tubal
pregnancy. However, considering that the optimal goal of tube-preserving surgical
procedures is not the treatment success, some caution is warranted in
interpreting results of this study.
PMID- 27896255
TI - Recent trends in contraceptive use among Korean adolescents: Results from a
nationwide survey from year 2013 to 2015.
AB - OBJECTIVE: This study was aimed to evaluate the recent trends in contraceptive
use among Korean adolescents. METHODS: Data reviewed were from the 2013-2015
Korean Youth Risk Behavior Web-based Survey, which is a stratified, multistage
sampling designed online-based research project performed annually by the Korean
government to ensure a nationally representative sample of Korean adolescence.
Eight questions related to the topic of contraception were reviewed for the
outcome variables. RESULTS: A total of 212,538 adolescents attending middle
school and high school participated in the survey, and 8,755 students among them
who were sexually active were included in the study. The percentage of
contraceptive use showed a steady increase from 39% in 2013 to 48.7% in 2015;
however, the proportion of adolescents who have never used any kind of
contraception still remains high. Highly effective methods such as oral
contraceptives and intrauterine devices were used by only 10% to 15% of sexually
active adolescents. CONCLUSION: The present study demonstrates the status of
contraceptive use among Korean adolescents. Our data have the potential to help
healthcare providers to formulate policies and develop interventions for
encouraging effective contraceptive use among sexually active Korean adolescents.
PMID- 27896256
TI - Comparative analysis of outcome between laparoscopic versus open surgical repair
for vesico-vaginal fistula.
AB - OBJECTIVE: Vesicovaginal fistula (VVF) causes detrimental psychosomatic effects
on a woman. It is repaired using open abdominal as well as laparoscopic approach.
Here we compare a series of open versus laparoscopic VVF repairs done at a single
centre. METHODS: Retrospectively data of patients undergoing VVF repair in our
department between January 2011 to December 2014 was analyzed. Patients who had a
single, primary, simple VVF following a gynaecological surgery were included in
the study. 26 patients met all the criteria. Out of these, thirteen patients had
undergone a laparoscopic VVF repair (group 1) while thirteen had undergone an
open transabdominal VVF repair (group 2). RESULTS: Mean fistula size was 2.14+/
0.23 cm in group 1 and 2.18+/-0.30 cm in group 2, which was comparable. Mean
blood loss was 58.69+/-6.48 mL in group 1 and 147.30+/-19.24 mL in group 2, which
is statistically significant (P<0.0001). Mean hospital stay was 4 days in group 1
and 13 days in group 2 which is statistically significant (P<0.0001). The
analgesic requirement (diclofenac) was 261.53+/-29.95 mg in group 1 and 617.30+/
34.43 mg in group 2, which is statistically significant (P<0.0001). Fistula
repair was successful in all the patients in both the groups. CONCLUSION: The
present study shows that laparoscopic VVF repair results in reduced patient
morbidity and shorter hospital stay without compromising the results. So
laparoscopic repair may be a more attractive treatment option for patients with
post gynecology surgery VVF.
PMID- 27896257
TI - A case of spontaneous hemoperitoneum by uterine vessel rupture in pregnancy.
AB - A 27-year-old pregnant woman, para 1, was transferred to our hospital at 29+3
weeks of gestation complaining of abdominal pain. She was diagnosed with
hemoperitoneum based on ultrasonography. An emergency laparotomy was performed,
and the bleeding was confirmed to be caused by ruptured surface blood vessels on
the uterine fundus. Hemostasis with compression was successfully performed to
sustain the pregnancy, and the patient delivered a full-term baby. Spontaneous
hemoperitoneum during pregnancy caused by rupture of uterine blood vessels is
very rare. It requires rapid diagnosis and surgical treatment because it
increases the morbidity of the fetus and mother. In most incidences of
spontaneous hemoperitoneum during pregnancy, a cesarean delivery is performed
along with a simultaneous emergency laparotomy. However, in this case, the
pregnancy was maintained to full term after surgical hemostasis, which prevented
neonatal complications due to premature birth.
PMID- 27896258
TI - Secondary abdominal pregnancy in human immunodeficiency virus-positive woman.
AB - We report on an abdominal pregnancy in human immunodeficiency virus-positive
mother, currently on antiretroviral therapy, which was discovered incidentally
while training the obstetric ultrasound capacity building program. Although
abdominal pregnancy is a rare form of ectopic pregnancy, it may be more common in
women with HIV infection because they tend to have a higher rate of sexually
transmitted diseases than the general population. The positive diagnosis of
abdominal pregnancy is difficult to establish and is usually missed during
prenatal assessment particularly in settings that lack routine ultrasound
examination as is the case in most developing countries. For the management of
abdominal pregnancy, surgical intervention is recommended and removal of the
placenta is a key controversy. Ultrasonography is considered the front-line and
most effective imaging method and an awareness with a high index of suspicion of
abdominal pregnancy is vital for reducing associated high maternal and even
higher perinatal mortality.
PMID- 27896259
TI - Prenatal diagnosis of spontaneous twin anemia-polycythemia sequence and postnatal
examination of placental vascular anastomoses.
AB - Twin anemia-polycythemia sequence (TAPS) is characterized by a wide discrepancy
of hemoglobin between two monochorionic fetuses without sign of twin oligo
polyhydramnios sequence. A primiparous woman with monochorionic diamniotic twin
transferred for preterm labor. Ultrasonographic evaluation at 32+3 weeks of
gestation revealed increased middle cerebral artery-peak systolic velocity (77.4
cm/sec, 1.69 multiples of median) in donor and decreased in recipient twin (36.4
cm/sec, 0.79 multiples of median), the twin was diagnosed with TAPS. Repeated
cesarean section was performed at 32+5 weeks of gestation following preeclampsia
and preterm labor. After delivery, TAPS was confirmed through neonatal
hematologic examination. There were no signs of acute hemorrhagic shock or brain
injury. Placental evaluation via dye infusion and barium angiogram revealed one
arterioarterial anastomoses with six arteriovenous anastomoses of placenta. We
report a prenatally diagnosed case of spontaneous TAPS with arterioarterial and
arteriovenous anastomoses and suggest careful monitoring of monochorionic twin
and opinion on placenta vascular architecture.
PMID- 27896260
TI - A case of prenatally diagnosed extrapulmonary arteriovenous malformation
associated with a complex heart defect.
AB - Pulmonary arteriovenous malformations are rare vascular anomalies of the lung,
only a few cases of which have been diagnosed prenatally. The diagnostic clue for
prenatal diagnosis was cardiomegaly with a particularly enlarged left atrium. All
previous cases of pulmonary arteriovenous malformations diagnosed prenatally have
been reported as an isolated anomaly or in association with simple heart defects.
We here describe the first case of a pulmonary arteriovenous malformation with a
complex heart defect that was diagnosed prenatally at 21.0 weeks of gestation and
confirmed by postmortem autopsy.
PMID- 27896261
TI - Giant invasive mole presenting as a cause of abdominopelvic mass in a
perimenopausal woman: An unusual presentation of a rare pathology.
AB - Invasive mole is a benign gestational trophoblastic disease that arises from the
myometrial invasion of any gestational event via direct extension through tissue
or vascular structures. Invasive mole (and other gestational trophoblastic
diseases) may present with life-threatening complications including uterine
perforation, excessive bleeding, acute hemoperitoneum, and abdominal pain. We
report a case of invasive mole presenting as abdominal distention in a 51-year
old perimenopausal woman (gravida 12, para 12, abortion 0). The patient was
admitted to the gynecology clinic with a giant uterine mass filling the pelvic
and abdominal cavity. To our knowledge, this is the first case in the literature
of a gestational trophoblastic neoplasia presenting with uterine mass of 28
weeks' gestational size in this age group. Interestingly, complications such as
uterine rupture or invasion of the adjacent structures (such as parametrial
tissues or blood vessels) had not developed in our patient despite the
considerable enlargement of the uterus.
PMID- 27896262
TI - Uterine arteriovenous malformation with positive serum beta-human chorionic
gonadotropin: Embolization of both uterine arteries and extra-uterine feeding
arteries.
AB - The incidence of uterine arteriovenous malformation (AVM) is rare. However, it is
clinically significant in that it can cause life-threatening vaginal bleeding. We
report a case of a large uterine AVM with positive serum beta-human chorionic
gonadotropin. A presumptive diagnosis was made; a uterine AVM accompanied by,
early pregnancy or retained product of conception. Because this uterine AVM was
extensive, transcatheter arterial embolization of both uterine arteries and extra
uterine feeding arteries was performed. Three months after undergoing
transcatheter arterial embolization, complete resolution of the uterine AVM was
confirmed without major complication.
PMID- 27896263
TI - Complete vaginal outlet stenosis in a patient with Sheehan's syndrome.
AB - We present a case of complete vaginal stenosis in a woman diagnosed with
Sheehan's syndrome. The patient delivered at full-term 5 months prior, and
experienced massive postpartum bleeding at that time. During evaluation of
persistent amenorrhea, we found that her vaginal orifice was completely adhesive
and obstructed. Prior to corrective surgery, we managed the patient with an oral
contraceptive to induce uterine bleeding into the vaginal outflow tract. After
three cycles of an oral contraceptive, we could confirm that there was no
stenotic lesion in the vaginal cavity as a hematocolpos was created. Adhesiolysis
with scar revision for the vaginal stenosis was successfully performed; it was
found that the lesion was limited to only the distal part of the vaginal outlet.
Complete vaginal stenosis in reproductive age women with hypopituitarism has not
been reported. The artificial induction of hematometrocolpos before surgery was
useful in determining the extent of the stenotic lesion, and assured safety.
PMID- 27896264
TI - Improving Fidelity of Translation of the Stepping On Falls Prevention Program
through Root Cause Analysis.
AB - BACKGROUND: Fidelity monitoring is essential with implementation of complex
health interventions, but there is little description of how to use results of
fidelity monitoring to improve the draft program package prior to widespread
dissemination. Root cause analysis (RCA) provides a systematic approach to
identifying underlying causes and devising solutions to prevent errors in complex
processes. Its use has not been described in implementation science. METHODS:
Stepping On (SO) is a small group, community-based intervention that has been
shown to reduce falls by 31%. To prepare SO for widespread U.S. dissemination, we
conducted a pilot of the draft program package, monitoring the seven SO sessions
for fidelity of program delivery and assessing participant receipt and enactment
through participant interviews after the workshop. Lapses to fidelity in program
delivery, receipt, and enactment were identified. We performed a RCA to identify
underlying causes of, and solutions to, such lapses, with the goal of preventing
fidelity lapses with widespread dissemination. RESULTS: Lapses to fidelity in
program delivery were in the domains of group leader's role, use of adult
learning principles, and introducing and upgrading the exercises. Lapses in
fidelity of participant receipt and enactment included lack of knowledge about
balance exercises and reduced adherence to frequency of exercise practice and
advancement of exercise. Root causes related to leader training and background,
site characteristics and capacity, and participant frailty and expectations prior
to starting the program. The RCA resulted in changes to the program manual, the
training program, and training manual for new leaders, and to the methods for and
criteria for participant and leader recruitment. A Site Implementation Guide was
created to provide information to sites interested in the program. CONCLUSION:
Disseminating complex interventions can be done more smoothly by first using a
systematic quality improvement technique, such as the RCA, to identify how lapses
in fidelity occur during the earliest stages of implementation. This technique
can also help bring about solutions to these lapses of fidelity prior to
widespread dissemination across multiple domain lapses.
PMID- 27896265
TI - Social Mechanisms to Get People Outdoors: Bimodal Distribution of Interest in
Nature?
AB - We report results from a post-program survey (n = 930) of participants in a non
profit outdoor health program targeted principally at women with families in
Australia's metropolitan cities. We analyze communications, motivations,
experiences, satisfaction, and intentions. The program involves 3 months' outdoor
training in scenic locations, culminating in a single-day event. Training
includes social opportunities and peer-group support. Event entry is in teams and
includes charitable fundraising and personal challenges. Drop-out rates are very
low, and repeat sign-up high. There are 2,000-3,600 places per event, and the
most recent sold out in <24 h. We propose that for urban residents of developed
nations, individual interest in exposure to nature may be bimodal rather than
unimodal. Programs of this type target individuals most likely to shift from low
interest to high-interest mode, using a set of social levers to change attitudes
and behaviors. This contrasts with most public outdoor health programs, which
assume a unimodal distribution and aim for small lifestyle changes at population
scale. We suggest that the bimodal hypothesis is relevant to the sociocultural
context of psychosocial interventions in a public health context, and merits
direct testing.
PMID- 27896267
TI - Editorial: Organ Fibrosis: Triggers, Pathways, and Cellular Plasticity.
PMID- 27896268
TI - Challenges for Relative Effectiveness Assessment and Early Access of Cancer
Immunotherapies in Europe.
AB - Clinical endpoints relevant for relative effectiveness assessment (REA) reflect
how patients feel, function, or survive. Outcome data requested by health
technology assessment (HTA) bodies in Europe to support reimbursement of an
anticancer drug are based on final endpoints coming from completed comparative
phase 3 trials; overall survival improvement is the preferred criterion for the
demonstration of the patient benefit in this field. Recent arrival of new
treatments that target identified functional genetic mutations ("targeted
therapies") or PD-1/PD-L1,2 axis ("immunotherapies") and their combinations have
profoundly changed treatment strategies in cancers as they considerably improve
patient survival, but also raise new challenges in REA and decision-making
process in Europe as compared to the REA of "classical" chemotherapies. In
addition, recent regulatory initiatives to support accelerated clinical
development and approval of innovative cancer immunotherapies based on non-final
endpoints, such as priority medicines through the European Medicines Agency,
represent an additional challenge for HTA bodies and decision makers. In order to
support adequate data generation for REA of anticancer drugs and especially for
drugs candidates for accelerated assessment and early access to market, a close
and open dialog of all stakeholders involved in development of such drugs is
crucial.
PMID- 27896269
TI - Cerebral Cavernous Malformations: Review of the Genetic and Protein-Protein
Interactions Resulting in Disease Pathogenesis.
AB - Mutations in the genes KRIT1, CCM2, and PDCD10 are known to result in the
formation of cerebral cavernous malformations (CCMs). CCMs are intracranial
lesions composed of aberrantly enlarged "cavernous" endothelial channels that can
result in cerebral hemorrhage, seizures, and neurologic deficits. Although these
genes have been known to be associated with CCMs since the 1990s, numerous
discoveries have been made that better elucidate how they and their subsequent
protein products are involved in CCM pathogenesis. Since our last review of the
molecular genetics of CCM pathogenesis in 2012, breakthroughs include a more
thorough understanding of the protein structures of the gene products,
involvement with integrin proteins, and MEKK3 signaling pathways, and the
importance of CCM2-PDCD10 interactions. In this review, we highlight the advances
that further our understanding of the "gene to protein to disease" relationships
of CCMs.
PMID- 27896266
TI - In Vitro Bone Cell Models: Impact of Fluid Shear Stress on Bone Formation.
AB - This review describes the role of bone cells and their surrounding matrix in
maintaining bone strength through the process of bone remodeling. Subsequently,
this work focusses on how bone formation is guided by mechanical forces and fluid
shear stress in particular. It has been demonstrated that mechanical stimulation
is an important regulator of bone metabolism. Shear stress generated by
interstitial fluid flow in the lacunar-canalicular network influences maintenance
and healing of bone tissue. Fluid flow is primarily caused by compressive loading
of bone as a result of physical activity. Changes in loading, e.g., due to
extended periods of bed rest or microgravity in space are associated with altered
bone remodeling and formation in vivo. In vitro, it has been reported that bone
cells respond to fluid shear stress by releasing osteogenic signaling factors,
such as nitric oxide, and prostaglandins. This work focusses on the application
of in vitro models to study the effects of fluid flow on bone cell signaling,
collagen deposition, and matrix mineralization. Particular attention is given to
in vitro set-ups, which allow long-term cell culture and the application of low
fluid shear stress. In addition, this review explores what mechanisms influence
the orientation of collagen fibers, which determine the anisotropic properties of
bone. A better understanding of these mechanisms could facilitate the design of
improved tissue-engineered bone implants or more effective bone disease models.
PMID- 27896270
TI - The Assessment of Facial Expressions in Piglets Undergoing Tail Docking and
Castration: Toward the Development of the Piglet Grimace Scale.
AB - Many piglets are exposed to potentially painful husbandry procedures within the
first week of life, including tail docking and castration, without the provision
of either anesthesia or analgesia. The assessment methods used to evaluate pain
experienced by piglets are often affected by low specificity and practical
limitations, prompting the investigation of alternative methodologies. The
assessment of changes in facial expression following a painful event has been
successfully applied to several species. The objective of this pilot study was to
evaluate the utility of a Grimace Scale applied to neonatal pigs to evaluate pain
evoked by tail docking and castration. Eight female piglets, Sus scrofa
domesticus (Landrace/Large White X synthetic sire line) underwent tail docking
and 15 male piglets (75% Large White and 25% Belgian Landrace) were exposed to
the castration procedure. Clear images of the faces of the piglets were collected
immediately pre- and post-procedure. The images were used by experienced
observers to identify facial action units (FAUs) which changed in individuals
over this period, and a scoring scale was depicted in a training manual. A set of
randomly selected images were then combined in a scorebook, which was evaluated
after training by 30 scorers, blind to the treatment. The scale for most FAU was
used with a high level of consistency across all observers. Tail docking induced
a significant change (P < 0.05) in free moving piglets only in the "orbital
tightening" FAU, whereas no change in any unit was observed in castrated piglets,
which were restrained at the time of assessment. In this initial stage of
development, orbital tightening seems to have the potential to be applied to
investigate painful conditions in neonatal pigs. Nonetheless, more studies are
needed to assess its full effectiveness and to evaluate the influence of possible
confounds (e.g., handling stress) on the observed changes in FAUs.
PMID- 27896271
TI - Expression and Clinical Significance of the Novel Long Noncoding RNA ZNF674-AS1
in Human Hepatocellular Carcinoma.
AB - Long noncoding RNAs (lncRNAs) play crucial roles in cancer occurrence and
progression. However, the relationship between the expression levels of lncRNAs
and the hepatocellular carcinoma (HCC) process is unclear. The goal of this study
was to determine the expression level of ZNF674-AS1, a newly found lncRNA, in HCC
and its clinical association. The expression of ZNF674-AS1 in 137 pairs of
tumorous and adjacent normal tissues from patients with HCC was detected by
quantitative real-time reverse transcription polymerase chain reaction.
Additionally, the potential associations between its level in HCC tissue and
clinicopathological features were analyzed. The expression of ZNF674-AS1 in the
HCC cell lines HepG2, HCCLM3, SK-Hep1, HuH7, Hep3B, and MHCC97H was significantly
downregulated compared with that in the normal liver cell line QSG-7701. The
expression of ZNF674-AS1 was downregulated in 72% (99/137) of HCC tissues
compared with that in paired adjacent normal tissues (p < 0.01). The results
showed that the ZNF674-AS1 expression level was significantly correlated with
metastasis (p = 0.041), clinical stage (p = 0.039), and histopathologic grading
(p = 0.045). In addition, the Kaplan-Meier survival curves revealed that low
ZNF674-AS1 expression was associated with poor prognosis in patients with HCC.
Our data suggest that ZNF674-AS1 may play some role during cancer occurrence and
progression and may be a new biomarker for HCC.
PMID- 27896272
TI - Long Noncoding RNA-LET Suppresses Tumor Growth and EMT in Lung Adenocarcinoma.
AB - Recently, many studies showed that long noncoding RNAs (lncRNAs) are involved in
tumor progression. It is reported that lncRNA-LET is downregulated and has
antitumor effect on several types of cancer. This study focuses on the role of
lncRNA-LET on lung adenocarcinoma (LAC) progression. RT-PCR results indicated
that frequent downregulation of lncRNA-LET in LAC tissues was related to
clinicopathologic factors. lncRNA-LET knockdown significantly promoted LAC cell
proliferation, invasion, and migration while lncRNA-LET overexpression obviously
inhibited LAC cell proliferation, invasion, and migration, indicating a tumor
inhibition of lncRNA-LET in LAC progression. Besides, lncRNA-LET inhibited EMT
and negatively regulated Wnt/beta-catenin pathway in part. Our study suggests
that lncRNA-LET exhibits an important tumor-suppressive effect on LAC progression
by inhibiting EMT and Wnt/beta-catenin pathway, which provides potential
therapeutic targets for LAC.
PMID- 27896273
TI - Relationships between Muscle Architecture of Rectus Femoris and Functional
Parameters of Knee Motion in Adults with Down Syndrome.
AB - This study was designed to measure in vivo muscle architecture of the rectus
femoris in adults with Down syndrome, testing possible relationships with
functional parameters of the knee motion. Ten adults with Down syndrome and ten
typically developed participated in the study. Pennation angle and thickness of
the rectus femoris and subcutaneous layer of the thigh were measured via
ultrasound imaging. Knee kinematics and electromyographic activity of the rectus
femoris were recorded during free leg dropping. Muscle thickness was reduced and
subcutaneous layer was thicker in persons with Down syndrome with respect to
typically developed adults, but there were no differences in the pennation angle.
The area of the rectus femoris EMG activity during the leg flexion was greater in
Down syndrome with respect to typically developed adults. The leg movement
velocity was lower in Down people than in controls, but the knee excursion was
similar between the groups. Functional parameters correlated with pennation angle
in the persons with Down syndrome and with muscle thickness in typically
developed persons. The description of muscle architecture and the relationships
between morphological and functional parameters may provide insights on the
limits and the opportunities to overcome the inherent biomechanical instability
in Down syndrome.
PMID- 27896274
TI - Halo Gravity Traction Is Associated with Reduced Bone Mineral Density of Patients
with Severe Kyphoscoliosis.
AB - Background. Halo gravity traction (HGT) is one of the most commonly used
perioperative techniques for the treatment of severe kyphoscoliosis. This study
was to explore the influence of HGT on the BMD of these patients. Methods.
Patients with severe kyphoscoliosis treated by preoperative HGT for at least 2
months were included. Patients' BMD were assessed by dual-energy X-ray
absorptiometry at lumbar spine (LS, L2-L4) and femur neck (FN) of the nondominant
side. The weight and duration of traction, as well as baseline characteristics,
were recorded. Results. Twenty patients were recruited. The average traction
duration was 77.9 +/- 13.0 days while the mean traction weight was 39.9% +/-
11.1% of total body weight. Remarkable decrease of BMD was observed at LS of 17
(85%) patients and at FN of 18 (90%) patients. After HGT, 75% of patients were
found to have osteoporosis, the incidence of which was significantly higher than
that before HGT (35%). The correlation analysis revealed BMD reduction was only
significantly correlated with the traction duration. Conclusions. The current
study showed that preoperative HGT can have obvious impact on the BMD. The BMD
reduction is associated with traction duration, suggesting that long traction
duration may bring more bone mineral loss.
PMID- 27896275
TI - Rationale for the Successful Management of EDTA Chelation Therapy in Human Burden
by Toxic Metals.
AB - Exposure to environmental and occupational toxicants is responsible for adverse
effects on human health. Chelation therapy is the only procedure able to remove
toxic metals from human organs and tissue, aiming to treat damage related to
acute and/or chronic intoxication. The present review focuses on the most recent
evidence of the successful use of the chelating agent ethylenediaminetetraacetic
acid (EDTA). Assessment of toxic-metal presence in humans, as well as the
rationale of EDTA therapy in cardiovascular and neurodegenerative diseases, is
reported.
PMID- 27896276
TI - The Efficacy of Infliximab Monotherapy versus Infliximab-Azathioprine Sequential
Treatment in Crohn's Disease: Experience from a Tertiary Medical Center in China.
AB - Objective. To evaluate the efficacy of infliximab (IFX) monotherapy versus
infliximab-azathioprine sequential treatment in Crohn's disease (CD) patients.
Methods. Patients newly diagnosed with CD using IFX as induction therapy were
enrolled. After 6 times of IFX infusions, they were divided into IFX monotherapy
group and IFX-AZA sequential therapy group. Clinical remission rates were
assessed at weeks 57, 84, 111, and 138 while endoscopic remission rates were
assessed at weeks 84 and 138 to evaluate the efficacy of these two groups.
Results. A total of seventy-nine patients had accomplished 138-week follow-up. At
weeks 84 and 138, the deep remission rate (18/22 and 17/22) of IFX monotherapy
group was significantly higher compared to IFX-AZA sequential therapy group
(26/57 and 21/57) (P = 0.004 and 0.001, resp.). Similar findings were found in
complete endoscopic remission rate. The clinical remission rates of IFX
monotherapy group were similar to that of IFX-AZA sequential therapy group (P >
0.05). At weeks 84 and 138, the endoscopic remission rate and the endoscopic
improvement rate between these two groups displayed no significant difference (P
> 0.05). Conclusion. IFX monotherapy provides higher deep remission rate compared
with IFX-AZA sequential therapy in two-year maintenance therapy. For patients who
could not receive prolonged IFX therapy, IFX-AZA sequential therapy is
acceptable, though long-term efficacy remains to be seen.
PMID- 27896278
TI - Lack of Association between SLC30A8 Variants and Type 2 Diabetes in Mexican
American Families.
AB - SLC30A8 encodes zinc transporter 8 which is involved in packaging and release of
insulin. Evidence for the association of SLC30A8 variants with type 2 diabetes
(T2D) is inconclusive. We interrogated single nucleotide polymorphisms (SNPs)
around SLC30A8 for association with T2D in high-risk, pedigreed individuals from
extended Mexican American families. This study of 118 SNPs within 50 kb of the
SLC30A8 locus tested the association with eight T2D-related traits at four
levels: (i) each SNP using measured genotype approach (MGA); (ii) interaction of
SNPs with age and sex; (iii) combinations of SNPs using Bayesian Quantitative
Trait Nucleotide (BQTN) analyses; and (iv) entire gene locus using the gene
burden test. Only one SNP (rs7817754) was significantly associated with incident
T2D but a summary statistic based on all T2D-related traits identified 11 novel
SNPs. Three SNPs and one SNP were weakly but interactively associated with age
and sex, respectively. BQTN analyses could not demonstrate any informative
combination of SNPs over MGA. Lastly, gene burden test results showed that at
best the SLC30A8 locus could account for only 1-2% of the variability in T2D
related traits. Our results indicate a lack of association of the SLC30A8 SNPs
with T2D in Mexican American families.
PMID- 27896277
TI - Prediction of Bacillus Calmette-Guerin Response in Patients with Bladder Cancer
after Transurethral Resection of Bladder Tumor by Using Genetic Variation Based
on Genomic Studies.
AB - Purpose. We aimed to comprehensively review contemporary literature on genetic
and epigenetic biomarkers associated with the prediction of Bacillus Calmette
Guerin (BCG) response after the transurethral resection of a bladder tumor and to
discuss the application of these biomarkers in precision cancer care for bladder
cancer. Method. We performed a systematic review of published literatures in the
databases PubMed and Embase by using the following key words: bladder cancer,
BCG, gene, and methylation. Studies associated with cell lines, animal models,
and muscle invasive bladder cancer were excluded. Results. The genetic variations
associated with BCG response can be classified into three categories: germline
variations, somatic variations, and epigenetic alterations. Genes related to BCG
response were mainly involved in single-nucleotide polymorphisms, copy number
variations, and gene methylations. Conclusions. Although these gene alterations
are currently the most promising predictive markers of BCG response, most studies
about bladder cancer DNA biomarkers are related to germline variations in
candidate genes, and the results are not consistent. Only one study is related to
somatic variation, and further evaluation in large-scale validation studies
should be conducted to assess the potential clinical application of these
findings. In addition, other biomarkers based on different "-omics" technologies
should be considered in future studies.
PMID- 27896281
TI - Genetics and genomic medicine in Morocco: the present hope can make the future
bright.
AB - Genetics and genomic medicine in Morocco: the present hope can make the future
bright.
PMID- 27896279
TI - A Case of Relapsing Polyarthritis Associated With Hidradenitis Suppurativa: Case
Report and Review of Literature.
AB - Hidradenitis suppurativa (HS) is a chronic, inflammatory follicular skin disease
with recurrent skin nodules, sinus tracts, and scarring. We observed a case of HS
associated with relapsing polyarthritis. On presentation the patient had a flare
of polyarthritis with an increase in the number and size of pustular nodules. He
has had similar episodes 1 to 2 times yearly subsiding with antibiotic treatment.
Radiographs revealed erosions and demineralization. Symptoms improved following
institution of anti-inflammatory and antibiotic therapy. HS is associated with
several inflammatory conditions, and dysregulation in innate immunity may play an
important role in etiopathogenesis. Spondyloarthritis/sacroiliitis is the most
common joint manifestation in HS and mechanism(s) underlying arthropathy is
unknown. Treatment of arthritis in HS is anecdotal.
PMID- 27896280
TI - Genetic hearing loss: the journey of discovery to destination - how close are we
to therapy?
PMID- 27896282
TI - A patient with lissencephaly, developmental delay, and infantile spasms, due to
de novo heterozygous mutation of KIF2A.
AB - BACKGROUND: Microtubules are dynamic polymers of alpha/beta tubulin heterodimers
that play a critical role in cerebral cortical development, by regulating
neuronal migration, differentiation, and morphogenesis. Mutations in genes that
encode either alpha- or beta-tubulin or a spectrum of proteins involved in the
regulation of microtubule dynamics lead to clinically devastating malformations
of cortical development, including lissencephaly. METHODS: This is a single case
report or a patient with lissencephaly, developmental delay, nystagmus,
persistent hyperplastic primary vitreous, and infantile spasms, and undertook a
neurogenetic workup. We include studies of mutant function in Escherichia coli
and HeLa cells. RESULTS: The patient was found to have a novel de novo mutation
in kinesin family member 2A (KIF2A). This mutation results in a substitution of
isoleucine at a highly conserved threonine residue within the ATP-binding domain.
The KIF2A p.Thr320Ile mutant protein exhibited abnormal solubility, and KIF2A
p.Thr320Ile overexpression in cultured cells led to the formation of aberrant
microtubule networks. CONCLUSION: Findings support the pathogenic link between
KIF2A mutation and lissencephaly, and expand the range of presentation to include
infantile spasms and congenital anomalies.
PMID- 27896284
TI - Analyses of more than 60,000 exomes questions the role of numerous genes
previously associated with dilated cardiomyopathy.
AB - BACKGROUND: Hundreds of genetic variants have been described as disease causing
in dilated cardiomyopathy (DCM). Some of these associations are now being
questioned. We aimed to identify the prevalence of previously DCM associated
variants in the Exome Aggregation Consortium (ExAC), in order to identify
potentially false-positive DCM variants. METHODS: Variants listed as DCM disease
causing variants in the Human Gene Mutation Database were extracted from ExAC.
Pathogenicity predictions for these variants were mined from dbNSFP v 2.9
database. RESULTS: Of the 473 DCM variants listed in HGMD, 148 (31%) were found
in ExAC. The expected number of individuals with DCM in ExAC is 25 based on the
prevalence in the general population. Yet, 35 variants were found in more than 25
individuals. In 13 genes, we identified all variants previously associated with
DCM; four genes contained variants above our estimated cut-off. Prediction tools
found ExAC variants to be significantly more tolerated when compared to variants
not found in ExAC (P = 0.004). CONCLUSION: In ExAC, we identified a higher
genotype prevalence of variants considered disease-causing than expected. More
importantly, we found 13 genes in which all variants previously associated with
DCM were identified in ExAC, questioning the association of these genes with the
monogenic form of DCM.
PMID- 27896283
TI - A potential founder variant in CARMIL2/RLTPR in three Norwegian families with
warts, molluscum contagiosum, and T-cell dysfunction.
AB - BACKGROUND: Four patients from three Norwegian families presented with a common
skin phenotype of warts, molluscum contagiosum, and dermatitis since early
childhood, and various other immunological features. Warts are a common
manifestation of human papilloma virus (HPV), but when they are overwhelming,
disseminated and/or persistent, and presenting together with other immunological
features, a primary immunodeficiency disease (PIDD) may be suspected. METHODS AND
RESULTS: The four patients were exome sequenced as part of a larger study for
detecting genetic causes of primary immunodeficiencies. No disease-causing
variants were identified in known primary immunodeficiency genes or in other
disease-related OMIM genes. However, the same homozygous missense variant in
CARMIL2 (also known as RLTPR) was identified in all four patients. In each
family, the variant was located within a narrow region of homozygosity,
representing a potential region of autozygosity. CARMIL2 is a protein of
undetermined function. A role in T-cell activation has been suggested and the
mouse protein homolog (Rltpr) is essential for costimulation of T-cell activation
via CD28, and for the development of regulatory T cells. Immunophenotyping
demonstrated reduced regulatory, CD4+ memory, and CD4+ follicular T cells in all
four patients. In addition, they all seem to have a deficiency in IFN gamma
synthesis in CD4+ T cells and NK cells. CONCLUSIONS: We report a novel primary
immunodeficiency, and a differential molecular diagnosis to CXCR4-,DOCK8-,GATA2
,MAGT1-,MCM4-,STK4-,RHOH-,TMC6-, and TMC8-related diseases. The specific variant
may represent a Norwegian founder variant segregating on a population-specific
haplotype.
PMID- 27896285
TI - Rare variants in optic disc area gene CARD10 enriched in primary open-angle
glaucoma.
AB - BACKGROUND: Genome-wide association studies (GWAS) have identified association of
common alleles with primary open-angle glaucoma (POAG) and its quantitative
endophenotypes near numerous genes. This study aims to determine whether rare
pathogenic variants in these disease-associated genes contribute to POAG.
METHODS: Participants fulfilled strict inclusion criteria of advanced POAG at a
young age of diagnosis. Myocilin mutation carriers were excluded using direct
sequencing. Whole exome sequencing was performed on 187 glaucoma cases and 103
local screened nonglaucoma controls then joint-called with exomes of 993
previously sequenced Australian controls. GWAS-associated genes were assessed for
enrichment of rare predicted pathogenic variants in POAG. Significantly enriched
genes were compared against Exome Aggregation Consortium (ExAC) public control.
RESULTS: Eighty-six GWAS disease or trait-associated glaucoma genes were captured
and sequenced. CARD10 showed enrichment after Bonferroni correction for rare
variants in glaucoma cases (OR = 13.2, P = 6.94 * 10-5) with mutations identified
in 4.28% of our POAG cohort compared to 0.27% in controls. CARD10 was
significantly associated with optic disc parameters in previous GWAS. The whole
GWAS gene set showed no enrichment in POAG overall (OR = 1.12, P = 0.51).
CONCLUSION: We report here an enrichment of rare predicted pathogenic coding
variants within a GWAS-associated locus in POAG (CARD10). These findings indicate
that both common and rare pathogenic coding variants in CARD10 may contribute to
POAG pathogenesis.
PMID- 27896286
TI - Aneuploidy screening using circulating fetal cells in maternal blood by dual
probe FISH protocol: a prospective feasibility study on a series of 172 pregnant
women.
AB - BACKGROUND: A long sought goal in medical genetics has been the replacement of
invasive procedures for the detection of chromosomal aneuploidies by isolating
and analyzing fetal cells or free fetal DNA from maternal blood, avoiding risk to
the fetus. However, a rapid, simple, consistent, and low-cost procedure suitable
for routine clinical practice has not yet been achieved. The purpose of this
study was to assess the feasibility of predicting fetal aneuploidy by applying
our recently established dual-probe FISH protocol to fetal cells isolated and
enriched from maternal blood. METHODS: A total of 172 pregnant women underwent
prospective testing for fetal aneuploidy by FISH analysis of fetal cells isolated
from maternal blood. Results were compared with the karyotype determined through
invasive procedures or at birth. RESULTS: Seven of the samples exhibited fetal
aneuploidy, which was confirmed by invasive prenatal diagnosis procedures. After
enrichment for fetal cells, the frequency of trisomic cells was at least double
in samples from aneuploid pregnancies (range 0.38-0.90%) compared to samples from
normal pregnancies (<=0.18%). One false negative result was also obtained.
CONCLUSIONS: Noninvasive prenatal aneuploidy screening using fetal cells isolated
from maternal blood is feasible and could substantially reduce the need for
invasive procedures.
PMID- 27896287
TI - Enamel ribbons, surface nodules, and octacalcium phosphate in C57BL/6 Amelx-/-
mice and Amelx+/- lyonization.
AB - BACKGROUND: Amelogenin is required for normal enamel formation and is the most
abundant protein in developing enamel. METHODS: Amelx+/+, Amelx+/- , and Amelx-/-
molars and incisors from C57BL/6 mice were characterized using RT-PCR, Western
blotting, dissecting and light microscopy, immunohistochemistry (IHC),
transmission electron microscopy (TEM), scanning electron microscopy (SEM),
backscattered SEM (bSEM), nanohardness testing, and X-ray diffraction. RESULTS:
No amelogenin protein was detected by Western blot analyses of enamel extracts
from Amelx-/- mice. Amelx-/- incisor enamel averaged 20.3 +/- 3.3 MUm in
thickness, or only 1/6th that of the wild type (122.3 +/- 7.9 MUm). Amelx-/-
incisor enamel nanohardness was 1.6 Gpa, less than half that of wild-type enamel
(3.6 Gpa). Amelx+/- incisors and molars showed vertical banding patterns unique
to each tooth. IHC detected no amelogenin in Amelx-/- enamel and varied levels of
amelogenin in Amelx+/- incisors, which correlated positively with enamel
thickness, strongly supporting lyonization as the cause of the variations in
enamel thickness. TEM analyses showed characteristic mineral ribbons in Amelx+/+
and Amelx-/- enamel extending from mineralized dentin collagen to the ameloblast.
The Amelx-/- enamel ribbons were not well separated by matrix and appeared to
fuse together, forming plates. X-ray diffraction determined that the predominant
mineral in Amelx-/- enamel is octacalcium phosphate (not calcium hydroxyapatite).
Amelx-/- ameloblasts were similar to wild-type ameloblasts except no Tomes'
processes extended into the thin enamel. Amelx-/- and Amelx+/- molars both showed
calcified nodules on their occlusal surfaces. Histology of D5 and D11 developing
molars showed nodules forming during the maturation stage. CONCLUSION: Amelogenin
forms a resorbable matrix that separates and supports, but does not shape early
secretory-stage enamel ribbons. Amelogenin may facilitate the conversion of
enamel ribbons into hydroxyapatite by inhibiting the formation of octacalcium
phosphate. Amelogenin is necessary for thickening the enamel layer, which helps
maintain ribbon organization and development and maintenance of the Tomes'
process.
PMID- 27896288
TI - Ultrastructure of early amelogenesis in wild-type, Amelx-/-, and Enam-/- mice:
enamel ribbon initiation on dentin mineral and ribbon orientation by ameloblasts.
AB - INTRODUCTION: Dental enamel is comprised of highly organized, oriented apatite
crystals, but how they form is unclear. METHODS: We used focused ion beam (FIB)
scanning electron microscopy (SEM) to investigate early enamel formation in 7
week-old incisors from wild-type, Amelx-/-, and Enam-/- C56BL/6 mice. FIB surface
imaging scans thicker samples so that the thin enamel ribbons do not pass as
readily out of the plane of section, and generates serial images by a mill and
view approach for computerized tomography. RESULTS: We demonstrate that wild-type
enamel ribbons initiate on dentin mineral on the sides and tips of mineralized
collagen fibers, and extend in clusters from dentin to the ameloblast membrane.
The clustering suggested that groups of enamel ribbons were initiated and then
extended by finger-like membrane processes as they retracted back into the
ameloblast distal membrane. These findings support the conclusions that no
organic nucleator is necessary for enamel ribbon initiation (although no ribbons
form in the Enam-/- mice), and that enamel ribbons elongate along the ameloblast
membrane and orient in the direction of its retrograde movement. Tomographic
reconstruction videos revealed a complex of ameloblast membrane processes and
invaginations associated with intercellular junctions proximal to the
mineralization front and also highlighted interproximal extracellular enamel
matrix accumulations proximal to the interrod growth sites, which we propose are
important for expanding the interrod matrix and extending interrod enamel
ribbons. Amelx-/- mice produce oriented enamel ribbons, but the ribbons fuse into
fan-like structures. The matrix does not expand sufficiently to support formation
of the Tomes process or establish rod and interrod organization. CONCLUSION:
Amelogenin does not directly nucleate, shape, or orient enamel ribbons, but
separates and supports the enamel ribbons, and expands the enamel matrix to
accommodate continued ribbon elongation, retrograde ameloblast movement, and
rod/interrod organization.
PMID- 27896291
TI - Fresh Osteochondral Allograft to the Humeral Head for Treatment of an Engaging
Reverse Hill-Sachs Lesion: Technical Case Report and Literature Review.
PMID- 27896290
TI - Do Clinical Results and Return-to-Sport Rates After Ulnar Collateral Ligament
Reconstruction Differ Based on Graft Choice and Surgical Technique?
AB - BACKGROUND: Ulnar collateral ligament reconstruction (UCLR) has become a common
procedure performed in overhead-throwing athletes of many athletic levels.
PURPOSE/HYPOTHESIS: The purpose of this study was to determine whether clinical
outcomes and return-to-sport (RTS) rates differ among patients undergoing UCLR
based on graft choice, surgical technique, athletic competition level,
handedness, and treatment of the ulnar nerve. We hypothesized that no differences
would exist in clinical outcomes or RTS rates between technique, graft choice, or
other variables. STUDY DESIGN: Cohort study; Level of evidence, 3. METHODS: All
patients who underwent UCLR from January 1, 2004 through December 31, 2014 at a
single institution were identified. Charts were reviewed to determine patient
age, sex, date of surgery, sport played, handedness, athletic level, surgical
technique, graft type, and complications. Patients were contacted via telephone
to obtain the RTS rate, Conway-Jobe score, Timmerman-Andrews score, and Kerlan
Jobe Orthopaedic Clinic (KJOC) Shoulder and Elbow score. RESULTS: Eighty-five
patients (mean age at surgery, 19.3 +/- 4.7 years; 92% male; 78% right hand
dominant) underwent UCLR between 2004 and 2014 and were available for follow-up.
Overall, 87% were baseball pitchers, 49.4% were college athletes, and 41.2% were
high school athletes. No significant difference existed between the docking and
double-docking techniques, graft choice, handedness, sex, activity level, and
treatment of the ulnar nerve with regard to clinical outcomes, RTS, or subsequent
surgeries (all P > .05). More complications were seen in the docking technique
compared with the double-docking technique (P = .036). Hamstring autograft was
used more commonly with the docking technique (P = .023) while allograft was used
more commonly with the double-docking technique (P = .0006). CONCLUSION: Both the
docking and double-docking techniques produce excellent clinical outcomes in
patients undergoing UCLR. No difference in outcome scores was seen between
surgical technique or graft type. The double-docking technique had fewer
complications than the docking technique.
PMID- 27896289
TI - How, who, and when: preferences for delivery of genome sequencing results among
women diagnosed with breast cancer at a young age.
AB - BACKGROUND: The increasing use of genome sequencing with patients raises a
critical communication challenge: return of secondary findings. While the issue
of what sequencing results should be returned to patients has been examined, much
less attention has been paid to developing strategies to return these results in
ways that meet patients' needs and preferences. To address this, we investigated
delivery preferences (i.e., who, how, when) for individual genome sequencing
results among women diagnosed with breast cancer at age 40 or younger. METHODS:
We conducted 60 semistructured, in-person individual interviews to examine
preferences for the return of different types of genome sequencing results and
the reasons underlying these preferences. Two coders independently coded
interview transcripts; analysis was conducted using NVivo 10. RESULTS: The major
findings from the study were that: (1) many participants wanted sequencing
results as soon as possible, even at the time of breast cancer diagnosis; (2)
participants wanted an opportunity for an in-person discussion of results; and
(3) they put less emphasis on the type of person delivering results than on the
knowledge and communicative skills of that person. Participants also emphasized
the importance of a results return process tailored to a patient's individual
circumstances and one that she has a voice in determining. CONCLUSIONS: A
critical goal for future transdisciplinary research including clinicians,
patients, and communication researchers may be to develop decision-making
processes to help patients make decisions about how they would like various
sequencing results returned.
PMID- 27896292
TI - Shoulder Arthroplasty Outcomes in Patients With Multiple Reported Drug Allergies:
Does Number of Drug Allergies Have an Effect on Outcome?
AB - BACKGROUND: The presence of multiple allergies has been correlated with worse
outcomes for patients undergoing hip and knee arthroplasty, but the effect of
allergies has not yet been elucidated with respect to shoulder arthroplasty.
PURPOSE/HYPOTHESIS: The purpose of this study is to identify any discrepancies in
shoulder arthroplasty outcomes with respect to reported drug allergies. We
hypothesized that patients with multiple drug allergies would have inferior
outcomes. STUDY DESIGN: Cohort study; Level of evidence, 3. METHODS: Included in
the analysis were a single surgeon's cases between 2009 and 2014 of primary total
shoulder arthroplasty with a minimum of 180 days of follow-up. Cases with
fracture as the indication were excluded. Preoperative and postoperative metrics
included visual analog scale (VAS) for pain, forward flexion range of motion, and
Simple Shoulder Test (SST) results, and postoperative patient satisfaction scores
were also collected. Chi-square and 1-way analysis of variance with Tukey post
hoc analyses were performed when appropriate. RESULTS: A total of 98 patients
were included (no allergies, n = 51; single allergy, n = 21; multiple allergies,
n = 26). The proportion of females was greater with increasing number of
allergies (no allergies, 31%; single allergies, 47%; multiple allergies, 88%;
Pearson chi2 = 22.5; P < .0001). Both preoperatively and postoperatively, no
difference was found between cohorts with respect to SST score, VAS score, or
forward flexion. There was also no difference in postoperative satisfaction
between cohorts. No difference between cohorts was identified when comparing the
pre- to postoperative change in SST scores, VAS scores, or forward flexion.
CONCLUSION: The presence of single or multiple allergies is not correlated with
worse outcomes after primary anatomic total shoulder arthroplasty.
PMID- 27896293
TI - Yoga-Related Injuries in the United States From 2001 to 2014.
AB - BACKGROUND: Yoga has become more popular among people in the United States and
has been touted by both yoga participants as well as some physicians and
researchers for its health benefits. While the health benefits have been studied,
the frequency of injury among yoga participants has not been well documented.
PURPOSE: Injury incidence, rates, and types associated with yoga in the United
States have not been quantified. This study estimates US yoga-associated injury
incidence and characterizes injury type over a 13-year period. STUDY DESIGN:
Descriptive epidemiology study. METHODS: Data from the National Electronic Injury
Surveillance System (NEISS) from 2001 to 2014 were used to estimate the incidence
and type of yoga-associated injuries. The number and age distribution of yoga
participants was estimated using data from National Health Statistics Reports.
These national population estimates were applied to the NEISS data to determine
injury rates overall and stratified according to age categories. RESULTS: There
were 29,590 yoga-related injuries seen in hospital emergency departments from
2001 to 2014. The trunk (46.6%) was the most frequent region injured, and
sprain/strain (45.0%) accounted for the majority of diagnoses. The injury rate
increased overall from 2001 to 2014, and it was greatest for those aged 65 years
and older (57.9/100,000) compared with those aged 18 to 44 years (11.9/100,000)
and 45 to 64 years (17.7/100,000) in 2014. CONCLUSION: Participants aged 65 years
and older have a greater rate of injury from practicing yoga when compared with
other age groups. Most injuries sustained were to the trunk and involved a
sprain/strain. While there are many health benefits to practicing yoga,
participants and those wishing to become participants should confer with a
physician prior to engaging in physical activity and practice only under the
guidance of certified instructors.
PMID- 27896295
TI - Retraction of: Violence and Gender, 2016;3(2):100-106; DOI:
10.1089/vio.2016.0003.
AB - [This retracts the article on p. 100 in vol. 3.].
PMID- 27896296
TI - Eradication of Mycobacterium abscessus Pulmonary Infection in a Child With
Idiopathic Bronchiectasis.
PMID- 27896294
TI - A Qualitative Assessment of Return to Sport After Hip Arthroscopy for
Femoroacetabular Impingement.
AB - BACKGROUND: Hip arthroscopy for femoroacetabular impingement (FAI) is known to
produce excellent outcomes, yet some patients do not return to their preinjury
level of sport participation. Much literature on return to sport has revolved
around anterior cruciate ligament reconstruction and even shoulder instability,
but none to date have used qualitative, semistructured patient interviews on
patients with hip labral tears. PURPOSE: To understand the factors influencing
the decision to return to sport after arthroscopic hip surgery for FAI. STUDY
DESIGN: Case series; Level of evidence, 4. METHODS: An experienced interviewer
conducted qualitative, semistructured interviews of patients aged 18 to 60 years
who had arthroscopic hip surgery for FAI. All had preinjury participation in
sport and a minimum 2-year follow-up with no revision surgery. Qualitative
analysis was then performed to derive codes, categories, and themes. An
assessment of preinjury and current sports participation by type, level of
competition, and frequency along with patient-reported hip function was also
obtained. In addition, current modified Harris Hip Score (mHHS), international
Hip Outcome Tool (iHOT-12), Hip Outcome Score-sports-specific subscale (HOS-SSS),
and a coping mechanism evaluation (Brief COPE) were also recorded. RESULTS: A
total of 23 patients were interviewed to reveal the overarching themes of
internal motivation, external encouragement, and resetting expectations as the
predominant factors influencing a patient's decision to return to preinjury
sport. Subjective outcome measurements (mHHS, iHOT-12, patient satisfaction)
showed significant differences between patients who did and did not return to
sport. Interestingly, the adaptive and maladaptive coping mechanisms matched and
supported our themes in those patients who described fear and self-motivation as
defining features influencing their cessation of or return to play, respectively.
CONCLUSION: Self-motivation, aging, pain, encouragement from others, and adapting
to physical limitations can largely affect a patient's decision to return to
sport after arthroscopic hip surgery for FAI. Innate coping mechanisms may also
help to predict the course of and subsequently aid in a patient's postoperative
recovery.
PMID- 27896297
TI - A Mouse Model of Multi-Drug Resistant Staphylococcus aureus-induced Ocular
Disease.
AB - Staphylococcus aureus infection of the cornea is a significant threat to vision.
The percentage of bacterial isolates resistant to antibiotics is increasing as is
the percentage of infections caused by methicillin resistant isolates. There is a
critical need for additional therapeutic approaches and their development will
require the use of animal models to test efficacy. Two mouse models of S. aureus
keratitis have been described but only quantified stromal keratitis (corneal
clouding and perforation). We have extended these models using the methicillin
resistant S. aureus USA300 LAC strain and show that eyelid inflammation and
swelling (blepharitis) and corneal neovascularization can be quantified. This
expanded model should prove useful in assessing additional effects of
antibacterial therapies and additional pathological mechanisms involved in
bacterial ocular infection.
PMID- 27896298
TI - Applying latent class assignments for accelerometry data to external populations:
Data from the National Health and Nutrition Examination Survey 2003-2006.
AB - Latent class analysis can identify unmeasured mutually exclusive categories
(class membership) among participants for either observed categorical or
continuous variables. More recently, latent class analysis has been applied to
accelerometry to better understand the day-to-day patterns of physical activity
and sedentary behavior. Typically, the class assignments are only relevant to the
study for which they were derived and not made available for others to use. Using
one-week accelerometry (ActiGraph #AM7164) data collected from the National
Health and Nutrition Examination Survey during 2003-2006, latent classes of
physical activity and sedentary behavior were derived separately for youths 6-17
years and adults >=18 years. The purpose of this article is to provide the latent
class assignments developed on this source population (United States) available
to others to apply to their studies using similarly collected accelerometry. This
method will extend the usefulness of the latent class analysis and allow for
comparisons across studies.
PMID- 27896300
TI - Data from a survey to determine visitor attitudes and knowledge about the
provisioning of wild dolphins at a marine tourism destination.
AB - In the Austral summer of 2014-2015 we surveyed visitors at the popular marine
tourism destination of Koombana Bay, Bunbury, Western Australia to investigate
resident and visitor attitudes towards the provisioning of the wild dolphins and
their knowledge about the legal, social and environmental repercussions arising
from the unregulated provisioning of the dolphins. We report the data collected
in our survey along with our preliminary statistical analyses and the survey
instrument we utilized to collect the data.
PMID- 27896299
TI - Basement membrane ultrastructure and component localization data from uterine
tissues during early mouse pregnancy.
AB - Basement membranes (BMs) are specialized extracellular scaffolds that provide
architecture and modulate cell behaviors in tissues, such as fat, muscle,
endothelium, endometrium, and decidua. Properties of BMs are maintained in
homeostasis for most adult tissues. However, BM ultrastructure, composition, and
localization are rapidly altered in select uterine tissues that are reprogrammed
during pregnancy to enable early maternal-embryo interactions. Here, our data
exhibit both static and dynamic BMs that were tracked in mouse uterine tissues
during pre-, peri-, and postimplantation periods of pregnancy. The data exhibit
spatial-temporal patterns of BM property regulation that coincide with the
progression of adapted physiology. Further interpretation and discussion of these
data in this article are described in the associated research article titled,
"Embryo implantation triggers dynamic spatiotemporal expression of the basement
membrane toolkit during uterine reprogramming" (C.R. Jones-Paris, S. Paria, T.
Berg, J. Saus, G. Bhave, B.C. Paria, B.G. Hudson, 2016) [1].
PMID- 27896301
TI - Data set for describing the elaboration of a compatible Gateway-based co
expression vector set and supporting its validation.
AB - This article contains Supplementary Data including methods and figures that
relate to the article entitled "Construction of a compatible Gateway-based co
expression vector set for expressing multiprotein complexes in E. coli" (L.
Salim, C. Feger, D. Busso, 2016) [1] that describes the elaboration and the
validation of a set of versatile compatible plasmids for co-expression studies in
Escherichia coli. Here, we describe experimental procedures for plasmid
construction and recombinant protein expression. We give the list of the 33 (co)
expression plasmids encoding fluorescent protein and we show extensive
experimental data obtained for all combinations tested for validating our vector
set.
PMID- 27896302
TI - Data on the uptake and metabolism of the vertebrate steroid estradiol-17beta from
water by the common mussel, Mytilus spp.
AB - The data presented in this article primarily provide support for the research
article entitled "Mussels (Mytilus spp.) display an ability for rapid and high
capacity uptake of the vertebrate steroid, estradiol-17beta from water" (T.I.
Schwarz, I. Katsiadaki, B.H. Maskrey, A.P. Scott, 2016) [1]. Data are presented
on the ability of mussels to absorb tritiated estradiol (E2) from water. The data
indicate that most of the radioactivity remaining in the water is 1,3,5(10)
estratriene-3,17beta-diol 3-sulfate (E2 3-S) and the radioactivity in the mussel
tissue is mainly in the form of fatty acid esters. The latter, following
saponification, were identified by ultra-high performance liquid chromatography
in conjunction with tandem mass spectrometry (UHPLC-MS/MS) as intact E2. Data are
included that indicate that the remaining radioactivity in the tissue is composed
of E2 3-S and unidentified free metabolites. Experimental data included also
relate to a) the efficiency of extraction of radioactivity from tissue, b) the
efficiency of separation of free and esterified E2 using solvents and c) possible
factors affecting the recovery of radioactivity. Finally, preliminary data are
provided on concentrations of immunoreactive E2 in the free and ester fractions
of tissue extracts from mussels caged in the field.
PMID- 27896303
TI - Data on some socio-economic parameters explaining the movement of extra-EU asylum
seekers in Europe.
AB - This article contains data concerning the movement of extra-EU asylum seekers in
Europe. Data used in this paper were collected from the Eurostat database and the
UNHCR database. The data consist of some socio-economic features related to 30
European countries where extra-EU asylum seekers have applied for protection. All
variables were transformed into their natural logs. The degree of statistical
correlation is evaluated from Pearson's coefficient, using the 0.05 level of
significance. Regression analysis is conducted to identify some socio-economic
predictors of countries attracting asylum migration. Six models are presented,
where 'first time asylum applicants' in 2015 (1,324,215 individuals) in 30
European countries were regressed on 2014 predictors. The multilinear regression
model was tested by using data on asylum seekers in 2014, regressed on the same
predictors referred to 2013. The data here shared provide a resource for
researchers working in the topical field of migration.
PMID- 27896304
TI - Utilization of zinc chloride for surface modification of activated carbon derived
from Jatropha curcas L. for absorbent material.
AB - The objective of this research is to produce the low-cost activated carbon from
Jatropha curcas L. by chemical activation using zinc chloride ZnCl2. The effects
of the impregnation ratio on the surface and chemical properties of activated
carbon were investigated. The impregnation ratio was selected at the range of 1:1
10:1 for investigation. The optimum conditions resulted in an activated carbon
with a carbon content of 80% wt, while the specific surface area evaluated using
nitrogen adsorption isotherm corresponds to 600 m2/g.
PMID- 27896305
TI - Measuring resilience to financial instability: A new dataset.
AB - In recognition of the severe consequences of the recent international financial
crisis, the topic of macroprudential policy has elicited considerable research
effort. The data set reports, for 46 economies around the globe, an index of the
capacity to deploy macroprudential policies. The index aims to represent the
essence of what constitutes a macroprudential regime is developed and used in
http://dx.doi.org/10.1016/j.jfs.2016.08.007 (D. Lombardi, P.L. Siklos, 2016) [1].
Specifically, the index quantifies: (1) how existing macroprudential frameworks
are organized; and (2) how far a particular jurisdiction is from reaching the
goals established by the Group of Twenty (G20) and the Financial Stability Board
(FSB). The latter is a benchmark that has not been considered in the burgeoning
literature that seeks to quantify the role of macroprudential policies.
PMID- 27896306
TI - Data for increased Rho kinase activity in type 2 diabetic patients.
AB - The data presented in this article are related to the research article entitled
"Enhanced Rho-Kinase Activity: Pathophysiological Relevance in Type 2 Diabetes"
[1]. Rho-Kinase has attracted a great deal of interest as a novel therapeutic
target in cardiovascular diseases. These data describe the observed relationship
of Rho-Kinase activity with type 2 diabetic patients. Rho-Kinase activity is
determined by immunoblotting of peripheral blood leukocytes with the Phospho
Thr853 in the myosin-binding subunit of myosin light-chain phosphatase. The level
of IL-6 is measured using Enzyme-linked immunosorbent assay.
PMID- 27896307
TI - Data on birds and habitat associated with forest management on public
conservation areas in the Mississippi Alluvial Valley.
AB - This data article contains data collected from 2006-2012 in forests located on 31
State or Federal conservation lands in or adjacent to the Mississippi Alluvial
Valley. We present the location, treatment type, and silvicultural age of data
collection locations. Presented data on bird detections and forest habitat were
collected during avian point counts and associated forest habitat plots and
linked to the publication (D.J. Twedt and R.R. Wilson, 2017) [5].
PMID- 27896308
TI - Small cell ovarian carcinoma: Long term survival in juvenile case with poor
prognostic features.
AB - BACKGROUND: Ovarian small cell carcinoma is a rare, aggressive neoplasm that
occurs in young women and has a poor long-term prognosis. Treatment involves
surgical resection and chemotherapy. The required radicality of surgery is
uncertain, balancing cytoreduction with fertility preservation. Various
chemotherapy regimens are utilized due to confusion regarding the neoplasm's
lineage. Case We describe an adolescent with small cell carcinoma, hypercalcemic
type, stage IA. Surgery included left salpingo-oopherectomy, left
pelvic/paraaortic lymphadenectomy, omentectomy and peritoneal biopsies. She
received four cycles of bleomycin, etoposide and cisplatin, similar to high-risk
germ cell cancers. She has received no further therapy and is eleven years from
diagnosis without evidence of disease. CONCLUSION: This is the first long-term
juvenile survivor managed with both fertility-sparing surgery and BEP (bleomycin,
etoposide, cisplatin).
PMID- 27896309
TI - Olfactory Stimulus Control and the Behavioral Pharmacology of Remembering.
AB - Behavior analytic approaches and techniques have much to offer to the study of
remembering. There is currently great interest in the development of animal
models of human memory processes in order to enhance understanding of the
neurobiology of memory and treatment of dementia and related disorders. Because
rodent models are so important in contemporary neuroscience and genetics,
development of procedures to study various forms of memory in rodents is a point
of emphasis. The sense of smell plays an important role in rodent behavior and
use of olfactory stimuli has permitted demonstrations of complex forms of
stimulus control that have also served as baselines for studying drug effects on
remembering. This article focuses on the effects of drugs on behavior maintained
by two related procedures: delayed matching-to-sample with odors and the Odor
Span Task. These types of procedures provide an opportunity to explore drug
effects on behavior maintained by multiple stimuli and across a range of delay
intervals with potential to advance analysis of the behavioral pharmacology of
remembering.
PMID- 27896310
TI - Preservation of Essential Odor-Guided Behaviors and Odor-Based Reversal Learning
after Targeting Adult Brain Serotonin Synthesis.
AB - The neurotransmitter serotonin (5-HT) is considered a powerful modulator of
sensory system organization and function in a wide range of animals. The
olfactory system is innervated by midbrain 5-HT neurons into both its primary and
secondary odor-processing stages. Facilitated by this circuitry, 5-HT and its
receptors modulate olfactory system function, including odor information input to
the olfactory bulb. It is unknown, however, whether the olfactory system requires
5-HT for even its most basic behavioral functions. To address this question, we
established a conditional genetic approach to specifically target adult brain
tryptophan hydroxylase 2 (Tph2), encoding the rate-limiting enzyme in brain 5-HT
synthesis, and nearly eliminate 5-HT from the mouse forebrain. Using this novel
model, we investigated the behavior of 5-HT-depleted mice during performance in
an olfactory go/no-go task. Surprisingly, the near elimination of 5-HT from the
forebrain, including the olfactory bulbs, had no detectable effect on the ability
of mice to perform the odor-based task. Tph2-targeted mice not only were able to
learn the task, but also had levels of odor acuity similar to those of control
mice when performing coarse odor discrimination. Both groups of mice spent
similar amounts of time sampling odors during decision-making. Furthermore, odor
reversal learning was identical between 5-HT-depleted and control mice. These
results suggest that 5-HT neurotransmission is not necessary for the most
essential aspects of olfaction, including odor learning, discrimination, and
certain forms of cognitive flexibility.
PMID- 27896311
TI - Interfacing with Neural Activity via Femtosecond Laser Stimulation of Drug
Encapsulating Liposomal Nanostructures.
AB - External control over rapid and precise release of chemicals in the brain
potentially provides a powerful interface with neural activity. Optical
manipulation techniques, such as optogenetics and caged compounds, enable remote
control of neural activity and behavior with fine spatiotemporal resolution.
However, these methods are limited to chemicals that are naturally present in the
brain or chemically suitable for caging. Here, we demonstrate the ability to
interface with neural functioning via a wide range of neurochemicals released by
stimulating loaded liposomal nanostructures with femtosecond lasers. Using a
commercial two-photon microscope, we released inhibitory or excitatory
neurochemicals to evoke subthreshold and suprathreshold changes in membrane
potential in a live mouse brain slice. The responses were repeatable and could be
controlled by adjusting laser stimulation characteristics. We also demonstrate
the release of a wider range of chemicals-which previously were impossible to
release by optogenetics or uncaging-including synthetic analogs of naturally
occurring neurochemicals. In particular, we demonstrate the release of a
synthetic receptor-specific agonist that exerts physiological effects on long
term synaptic plasticity. Further, we show that the loaded liposomal
nanostructures remain functional for weeks in a live mouse. In conclusion, we
demonstrate new techniques capable of interfacing with live neurons, and
extendable to in vivo applications.
PMID- 27896312
TI - The Memory Trace Supporting Lose-Shift Responding Decays Rapidly after Reward
Omission and Is Distinct from Other Learning Mechanisms in Rats.
AB - The propensity of animals to shift choices immediately after unexpectedly poor
reinforcement outcomes is a pervasive strategy across species and tasks. We
report here that the memory supporting such lose-shift responding in rats rapidly
decays during the intertrial interval and persists throughout training and
testing on a binary choice task, despite being a suboptimal strategy. Lose-shift
responding is not positively correlated with the prevalence and temporal
dependence of win-stay responding, and it is inconsistent with predictions of
reinforcement learning on the task. These data provide further evidence that win
stay and lose-shift are mediated by dissociated neural mechanisms and indicate
that lose-shift responding presents a potential confound for the study of choice
in the many operant choice tasks with short intertrial intervals. We propose that
this immediate lose-shift responding is an intrinsic feature of the brain's
choice mechanisms that is engaged as a choice reflex and works in parallel with
reinforcement learning and other control mechanisms to guide action selection.
PMID- 27896313
TI - Effects of Paternal Predation Risk and Rearing Environment on Maternal Investment
and Development of Defensive Responses in the Offspring.
AB - Detecting past experiences with predators of a potential mate informs a female
about prevailing ecological threats, in addition to stress-induced phenotypes
that may be disseminated to offspring. We examined whether prior exposure of a
male rat to a predator (cat) odor influences the attraction of a female toward a
male, subsequent mother-infant interactions and the development of defensive
(emotional) responses in the offspring. Females displayed less interest in males
that had experienced predator odor. Mothers that reared young in larger,
seminaturalistic housing provided more licking and grooming and active arched
back-nursing behavior toward their offspring compared with dams housed in
standard housing, although some effects interacted with paternal experience.
Paternal predation risk and maternal rearing environment revealed sex-dependent
differences in offspring wean weight, juvenile social interactions, and anxiety
like behavior in adolescence. Additionally, paternal predator experience and
maternal housing independently affected variations in crf gene promoter
acetylation and crf gene expression in response to an acute stressor in
offspring. Our results show for the first time in mammals that variation among
males in their predator encounters may contribute to stable behavioral variation
among females in preference for mates and maternal care, even when the females
are not directly exposed to predator threat. Furthermore, when offspring were
exposed to the same threat experienced by the father, hypothalamic crf gene
regulation was influenced by paternal olfactory experience and early housing.
These results, together with our previous findings, suggest that paternal stress
exposure and maternal rearing conditions can influence maternal behavior and the
development of defensive responses in offspring.
PMID- 27896316
TI - Compound heterozygous intermediate MJD alleles cause cerebellar ataxia with
sensory neuropathy.
AB - Spinocerebellar degeneration (SCD) is a group of disorders characterized by
progressive ataxia caused by dysfunction and atrophy of the cerebellum or its
projections. Approximately one-third of SCD cases are familial SCD, the majority
of which are attributed to CAG triplet repeat expansions including
spinocerebellar ataxia (SCA)1, SCA2, Machado-Joseph disease (MJD)/SCA3, SCA6,
SCA8, SCA12, SCA17, and dentate-rubro-pallido-luysian atrophy (DRPLA). The
triplet repeat number of the alleles representing complete penetrance varies
among diseases. Generally, there is a gap between the normal alleles and the
complete penetrance alleles. Rarely, intermediate alleles with the repeat numbers
between the abnormal and normal ranges are observed, although the implications of
these intermediate alleles remain ambiguous.
PMID- 27896317
TI - Video-game-assisted physiotherapeutic scoliosis-specific exercises for idiopathic
scoliosis: case series and introduction of a new tool to increase motivation and
precision of exercise performance.
AB - BACKGROUND: It is important to monitor how patients with juvenile and adolescent
idiopathic scoliosis comply with their physiotherapeutic scoliosis-specific
exercises (PSSE). Physiogame, a newly developed video game using the Game-Trak 3D
interactive game controller, combines correct PSSE performance with gaming. It
tracks the position of the working limb in 3D space during the exercises as
participants aim to hit certain targets and avoid others, and gives direct
feedback by stopping the game if the working limb leaves the target 3D space,
which is chosen to secure the corrective position according to the Schroth
method. Physiogame records the quality and frequency of the exercises performed.
We aimed to investigate the influence of this tool on motivation to perform
regularly and, correctly, and with self-assessment of performance quality.
METHODS: This case series included 8 consecutive patients with idiopathic
scoliosis (thoracolumbar 7, lumbar 1), ages 7-13 years, all female and treated
according to SOSORT guidelines; the COBB angle of primary curve at the start of
brace therapy was 22-34 degrees . In addition to Full Time Rigid Bracing (FTRB,
Cheneau) and PSSE (Schroth), the participants were to perform two standardized
Schroth exercises (muscle cylinder in standing position, mainly addressing the
thoracic curve, and in side-lying position, mainly addressing the lumbar curve)
with video game assistance every day for 6 months. The development (first to last
month) of the following parameters was analyzed with descriptive methods: the
actual training time to assess motivation, the ratio of the actual playing time
versus total playing time to assess exercise improvement, and self-assessment of
quality of performance. RESULTS: The average number of sessions with Physiogame
was 217 per study participant (range 24 to 572, the study protocol targeted at
least 180); actual training time decreased from 79 to 52 min (first to last
month). Actual playing time increased from 73% of the total playing time to 83%
(first to last month), and positive hits per second from 0.33 to 0.56. Self
assessment increased from "good" to "very good". The curve angles ( degrees Cobb)
were maintained over the study period (upper thoracic mean -1.3 degrees , median
1 degrees ; lower thoracic mean 3 degrees , median 2 degrees ; lumbar mean 0.5,
median 0). CONCLUSIONS: The improvement we saw in exercise performance, is
thought to result primarily from the direct given feedback during the game, as
the exercises themselves were already familiar to the patients. The synchronous
recording of actual training time allows evaluation of Schroth therapy for
idiopathic scoliosis, since both prescribed training time and actual training
time are captured. No comparable tool was found in literature.
PMID- 27896315
TI - Altered Oscillatory Dynamics of CA1 Parvalbumin Basket Cells during Theta-Gamma
Rhythmopathies of Temporal Lobe Epilepsy.
AB - Recent reports in human demonstrate a role of theta-gamma coupling in memory for
spatial episodes and a lack of coupling in people experiencing temporal lobe
epilepsy, but the mechanisms are unknown. Using multisite silicon probe
recordings of epileptic rats engaged in episodic-like object recognition tasks,
we sought to evaluate the role of theta-gamma coupling in the absence of
epileptiform activities. Our data reveal a specific association between theta
gamma (30-60 Hz) coupling at the proximal stratum radiatum of CA1 and spatial
memory deficits. We targeted the microcircuit mechanisms with a novel approach to
identify putative interneuronal types in tetrode recordings (parvalbumin basket
cells in particular) and validated classification criteria in the epileptic
context with neurochemical identification of intracellularly recorded cells. In
epileptic rats, putative parvalbumin basket cells fired poorly modulated at the
falling theta phase, consistent with weaker inputs from Schaffer collaterals and
attenuated gamma oscillations, as evaluated by theta-phase decomposition of
current-source density signals. We propose that theta-gamma interneuronal
rhythmopathies of the temporal lobe are intimately related to episodic memory
dysfunction in this condition.
PMID- 27896318
TI - Insulin elevates leptin secretion and mRNA levels via cyclic AMP in 3T3-L1
adipocytes deprived of glucose.
AB - AIMS: Leptin plays an important role in the pathogenesis of obesity and diabetes,
yet the regulatory mechanisms of this hormone have not been fully elucidated. In
this study, we aimed to clarify the roles of insulin and glucose in leptin
secretion and mRNA production using inhibitors of insulin signal transduction in
adipocytes cultured under glucose-free or normal conditions. METHODS:
Differentiated 3T3-L1 adipocytes were stimulated with insulin in combination with
inhibitors for phosphoinositide 3-kinase (PI3K), Akt, and phosphodiesterase 3B
(PDE3B), as well as epinephrine and a cyclic AMP (cAMP) analog under glucose-free
or normal conditions. After 8 h of stimulation, leptin protein levels in the
media and leptin mRNA expression levels in the adipocytes were measured. RESULTS:
Insulin significantly increased the secretion and mRNA levels of leptin under the
depletion of glucose. Glucose augmented basal leptin secretion without insulin,
while glucose nullified insulin-induced leptin mRNA upregulation. The PI3K
inhibitor BEZ-235, the Akt inhibitor MK-2206, and the PDE3B inhibitor cilostazol
attenuated the insulin stimulation of leptin secretion, but did not suppress the
insulin-induced leptin mRNA upregulation with glucose depletion. In contrast to
the glucose-free condition, insulin failed to upregulate leptin mRNA in the
presence of glucose. The cAMP analog dibutyryl cAMP and epinephrine decreased
both leptin secretion and mRNA regardless of glucose supplementation. CONCLUSION:
Insulin alone stimulates leptin secretion and elevates leptin mRNA levels via
cAMP under the lack of glucose metabolism, while glucose is a significant and
ambivalent effector on the insulin effects of leptin.
PMID- 27896319
TI - Correlation between pollution and decline of Scleractinian Cladocora caespitosa
(Linnaeus, 1758) in the Gulf of Gabes.
AB - During an expedition in 2014 in the Gulf of Gabes that aimed to evaluate the
impact of the pollution of the phosphate industry on the marine environment,
numerous dead coral fragments were retrieved from several stations along a 18 km
long transect in front of the industry complex of Gabes. Detailed taxonomy of
these coral fragments shows clearly that all fragments belong to the species
Cladocora caespitosa (Linnaeus, 1758). Quantitative analysis of the coral
fragments indicates a positive correlation with stations characterized by
positive bathymetric anomalies. We suggest the presence of probable small-scaled
(up to 4 m high) biogenic (palaeo-) build-ups composed mainly of coral colonies
and bryozoans. Radiocarbon dating of three coral fragments show ages as old as
1897, 1985 and 1986 AD and suggests the presence of living C. caespitosa as close
as 6 km to the phosphate treatment industry of Gabes at least until 1986 AD. This
latter age coincides with the construction of the ammonium phosphate production
plant, in 1979, in the Gulf of Gabes with an increase of the natural phosphate
production. The higher impact of pollution on the marine environment in the inner
part of the Gulf of Gabes likely induced the decline of C. caespitosa. This is
well in agreement with enhanced siltation processes suggested by the sedimentary
facies and grain-size analyses presently characterizing the Gulf of Gabes
nowadays.
PMID- 27896314
TI - Graph Theoretic and Motif Analyses of the Hippocampal Neuron Type Potential
Connectome.
AB - We computed the potential connectivity map of all known neuron types in the
rodent hippocampal formation by supplementing scantly available synaptic data
with spatial distributions of axons and dendrites from the open-access knowledge
base Hippocampome.org. The network that results from this endeavor, the broadest
and most complete for a mammalian cortical region at the neuron-type level to
date, contains more than 3200 connections among 122 neuron types across six
subregions. Analyses of these data using graph theory metrics unveil the
fundamental architectural principles of the hippocampal circuit. Globally, we
identify a highly specialized topology minimizing communication cost; a modular
structure underscoring the prominence of the trisynaptic loop; a core set of
neuron types serving as information-processing hubs as well as a distinct group
of particular antihub neurons; a nested, two-tier rich club managing much of the
network traffic; and an innate resilience to random perturbations. At the local
level, we uncover the basic building blocks, or connectivity patterns, that
combine to produce complex global functionality, and we benchmark their
utilization in the circuit relative to random networks. Taken together, these
results provide a comprehensive connectivity profile of the hippocampus, yielding
novel insights on its functional operations at the computationally crucial level
of neuron types.
PMID- 27896320
TI - Influences of "do-not-resuscitate order" prohibition on CPR outcomes.
AB - OBJECTIVES: The aim of the study is to determine factors affecting the return of
spontaneous circulation (ROSC) ratios, neurological outcomes at discharge, the
ratio of living patients discharged from the hospital and due to Do not attempt
resuscitation (DNAR) prohibition. MATERIAL AND METHODS: This is a retrospective
observational study conducted on patients of cardiopulmonary resuscitation (CPR)
performed in emergency department (ED) and intensive care units between February
2010 and February 2012. RESULTS: A total of 469 patients were evaluated, and 266
eligible patients who did not have DNAR orders were included in the study.
Overall, 45.1% of the adult in-hospital cardiac arrest victims returned to
spontaneous circulation, and 5.3% survived to hospital discharge. Of the patients
who were discharged alive from the hospital, 33.3% were discharged in poor
neurologic conditions of Cerebral Performance Category (CPC) score 3 or 4. The
ROSC ratio was reduced for the patients with malignancies compared to the
patients with other preexisting conditions (OR: 12.783; 95% CI 2.967-55.072; p =
0.000). None of the patients with malignancies were discharged alive from the
hospital. Only one patient with end-stage disease was discharged alive from
hospital, and this patient's CPC score was 4. DISCUSSION AND CONCLUSION: CPR has
not increased the ROSC and alive discharge rates in patients with malignancy and
end-state disease. DNAR order prohibition have been increased the futile CPR
attempts. DNAR should be accepted as a human right that represents an honorable
death option and whether a DNAR is order demanded should be specifically
discussed with patients with malignancies and end-stage disease presenting to ED.
PMID- 27896321
TI - Comparison of the C-MAC video laryngoscope to the Macintosh laryngoscope for
intubation of blunt trauma patients in the ED.
AB - OBJECTIVES: We aimed to compare the performance of the C-MAC video laryngoscope
(C-MAC) to the Macintosh laryngoscope for intubation of blunt trauma patients in
the ED. MATERIAL AND METHODS: This was a prospective randomized study. The
primary outcome measure is overall successful intubation. Secondary outcome
measures are first attempt successful intubation, Cormack-Lehane (CL) grade, and
indicators of the reasons for unsuccessful intubation at the first attempt with
each device. Adult patients who suffered from blunt trauma and required
intubation were randomized to video laryngoscopy with C-MAC device or direct
laryngoscopy (DL). RESULTS: During a 17-month period, a total of 150 trauma
intubations were performed using a C-MAC and DL. Baseline characteristics of
patients were similar between the C-MAC and DL group. Overall success for the C
MAC was 69/75 (92%, 95% CI 0.83 to 0.96) while for the DL it was 72/75 (96%, 95%
CI 0.88 to 0.98). First attempt success for the C-MAC was 47/75 (62.7%, 95% CI
0.51 to 0.72) while for the DL it was 44/75 patients (58.7%, 95% CI 0.47 to
0.69). The mean time to achieve successful intubation was 33.4 +/- 2.5 s for the
C-MAC versus 42.4 +/- 5.1 s for the DL (p = 0.93). There was a statistically
significant difference between the DL and C-MAC in terms of visualizing the
glottic opening and esophageal intubation in favor of the C-MAC (p = 0.002 and p
= 0.013 respectively). DISCUSSION AND CONCLUSION: The overall success rates were
similar. The C-MAC demonstrated improved glottic view and decrease in esophageal
intubation rate.
PMID- 27896322
TI - Effectiveness of the synthetic cannabinoids seminar.
AB - OBJECTIVES: Synthetic cannabinoid or "Bonzai" use is a public health issue as its
use has increased in Turkey as well as all over the world. The aim of this study
is to evaluate the effectiveness of the "Synthetic Cannabinoids Seminar" that was
provided to physicians who work in emergency services, using multiple choice
questionnaires before and after the seminar as a tool for evaluation. MATERIAL
AND METHOD: Synthetic cannabinoids seminars were provided to physicians who work
in emergency services in two different cities, with the participation of 20
physicians in each scheduled seminar. The seminars were instructed by emergency
medicine specialists and psychiatrists who were certified as an instructor by the
Turkish Ministry of Health, after receiving an instructor course in synthetic
cannabinoids. In order to measure basal knowledge levels of the participants, a
pretest was performed for all participants before the seminar. After the seminar,
a posttest was performed using the same questions in the pretest. The number of
correct answers in both tests was then compared. RESULTS: In total, 85
individuals attended the seminars; 29 individuals from Dumlupinar University
Evliya Celebi Training and Research Hospital constituted first group, and 56
individuals from Denizli State Hospital constituted second group. In both groups,
the ratios of correct answers in the posttest were determined to be higher when
compared to the pretest. CONCLUSION: The seminars on synthetic cannabinoids are
useful and necessary for physicians.
PMID- 27896323
TI - Intra-articular lidocaine versus intravenous sedative and analgesic for reduction
of anterior shoulder dislocation.
AB - OBJECTIVE: This prospective clinical trial was performed to compare the safety
and efficiency of intra-articular lidocaine (IAL) versus intravenous sedative and
analgesic (IVSA) in reduction of anterior shoulder dislocation. MATERIALS AND
METHODS: Patients with anterior shoulder dislocation were randomly divided into 2
groups to receive IAL and IVSA. One group patients received an intravenous dose
of 0.05 mg/kg midazolam and 1 MUg/kg fentanyl, while the other group received 20
mL intra-articular lidocaine (1%). Patient satisfaction (via a standard 5-choice
questionnaire), pain score (based on visual analog scale ranging from 0 to 10
points), comfort reduction, recovery time, and side effects were recorded and
compared between the two groups before, during and after the reduction procedure.
RESULTS: Totally 104 patients with acute anterior shoulder dislocation and the
mean age of 28.75 +/- 7.24 years were included (86.5% male). There was no
statistically significant difference between IAL and IVSA groups regarding age (p
= 0.45) and gender (p = 0.25). A total of forty-seven (45.2%) patients,
distributed in both groups, had a history of anterior shoulder dislocation. A
significant difference was seen with regard to diminished pain intensity during
reduction in IAL group (p < 0.001); Complications including nausea, apnea,
hypoxia and headache were only observed in IVSA group, and there was no adverse
effect in IAL group; increased patient satisfaction in IVSA group (p = 0.007);
similar success rate at first attempt of reduction in both groups, and a shorter
time to discharge in IAL group (p < 0.001). CONCLUSION: It seems that the use of
intra-articular lidocaine for reduction of anterior shoulder dislocation is
effective, safe, and time saving in the emergency department and has few
complications. It can be considered as the first line analgesia in managing
anterior shoulder dislocation.
PMID- 27896324
TI - The relationship between anemia and recurrence of ischemic stroke in patients
with Trousseau's syndrome: A retrospective cross-sectional study.
AB - OBJECTIVES: The relationship between cancer and thrombosis was first recognized
by the French internist Armand Trousseau in 1865. Trousseau's syndrome is a
spectrum of symptoms that result from recurrent thromboembolism associated with
cancer or malignancy-related hypercoagulability. In this study, we investigated
whether demographics, clinical features, or laboratory findings were able to
predict recurrent stroke episodes in patients with Trousseau's syndrome. METHODS:
In total, 178 adult patients were enrolled in this retrospective cross-sectional
study. All patients had been admitted to the emergency room of our hospital
between January 2011 and September 2014 and were diagnosed with acute ischemic
stroke. Patients were divided into two groups: patients with malignancy
(Trousseau's syndrome), and patients without malignancy. RESULTS: There were
several significant differences between the laboratory results of the two patient
groups. For patients with Trousseau's, the hemoglobin levels for those with one
stroke was 12.29 +/- 1.81, while those in patients who had experienced more than
one stroke was 10.94 +/- 2.14 (p = 0.004). CONCLUSIONS: Trousseau's syndrome is a
cancer-associated coagulopathy associated with high morbidity and mortality
rates. In this study, anemia was associated with increased stroke recurrence in
patients with malignancy (Trousseau's syndrome).
PMID- 27896325
TI - Utilization of plasmapheresis for organophosphate intoxication: A case report.
AB - Organophosphate (OP) compounds are extremely toxic chemicals that may be absorbed
via skin, conjunctiva, gastrointestinal and respiratory systems. Treatment of OP
poisoning is a critical and aggressive process which includes decontamination,
antidote administration (atropin and oximes), mechanical ventilation support and
extracorporeal elimination procedures if needed. Here we present a young female
patient who was unintentionally poisoned by an OP (trichlorfon) after using it to
moisture her skin. The importance of this patient is the dermal disease that
makes her unprotective to dermal exposure of chemicals and application of
plasmapheresis to treat her poisoning.
PMID- 27896326
TI - Preoperative diagnosis of Amyand's hernia by ultrasound and computed tomography.
AB - Inguinal hernia is the most common seen groin hernias which mostly contain bowel.
The incidence of vermiform appendix in an inguinal hernia is seen in 1% of all
inguinal hernia. This is known as Amyand's hernia. Appendix within a hernia can
be normal or complicated by appendicitis. Most of these cases are not diagnosed
preoperatively and managed during surgery. Preoperative diagnosis of these cases
is so rare. Very few cases have been reported so far. In our case, we diagnosed
an inflamed appendix in a 49 years old female within right inguinal hernia by
using ultrasound and confirmed it by CT scan.
PMID- 27896327
TI - A case of mediastinitis accompanied with hyperosmolar nonketotic coma.
AB - Mediastinitis is a serious infection involving mediastinal spaces after cervical
infections spread along the facial planes. A late diagnosis of mediastinitis may
result in death. Here we present a diabetic patient suffered from mediastinit
accompanied with hyperosmolar nonketotic coma. A 61 years old male patient with
type 2 diabetes was admitted to our hospital, with complaint of generalized
worsening and fever. A diagnosis of nonketotic hyperosmolar coma was done and
proper treatment started immediately. Neck tomography revealed abscess formation
in the upper mediastinum. The needle aspirat culture failed to show bacterial
growth. After five days of antibiotic treatment the patient's symptoms resolved.
The abscess formation and pleural effusion almost disappeared on control
tomography. No similar case presentation was seen in the current literature.
Apart from this case, mediastinit should be keep in mind when a patient suffered
from dysphagia, fever and cervical swelling.
PMID- 27896328
TI - Liver laceration related to cardiopulmonary resuscitation.
AB - Cardiopulmonary resuscitation (CPR) is recognized as a medical procedure
performed to maintain vital functions of a person whose cardiac and respiratory
functions have stopped. Chest compression is the most essential component of CPR
and it is performed on the lower half of the sternum. During CPR, many
complications may occur because of chest compressions, especially chest injuries
including sternum and rib fractures. Rarely tracheal injury, rupture of the
stomach, or liver or spleen injury may also occur as complications. In this
study, we present two cases of liver injury caused by resuscitation. With this
article, we want to emphasize the importance of making correct chest
compressions.
PMID- 27896330
TI - Biventricular thrombus in hypereosinophilic syndrome presenting with shortness of
breath.
AB - A 48 years old male presented to clinic with 12 months of low grade fever with
shortness of breath which has progressively worsened with no associated weight
loss, night sweats or loss of appetite. There was no prior history of chronic
illness before the current illness. Laboratory workup revealed a high white blood
cell count with predominant eosinophils. Chest X-ray was normal. Transthoracic
echocardiography and Cardiac Magnetic Resonance showed biventricular thrombi. On
further extensive workup the findings were consistent with hypereosinophilic
syndrome. The patient was started on oral steroids, hydroxyurea, imatanib
mesylate and oral anticoagulation. The patient responded to the treatment with
complete resolution of his symptoms over the course of few months. The repeat
Echocardiogram after a year showed normal left ventricular systolic and diastolic
function with complete resolution of biventricular thrombi.
PMID- 27896329
TI - Myasthenia gravis with acute respiratory failure in the emergency department.
AB - Acute respiratory failure (ARF) is defined as a sudden malfunction in the ability
of respiratory system to maintain adequate gas exchange. Acute hypercapnic
respiratory failure develops as a result of ventilation deficiency and it is
defined as an increase of PaCO2 above 45 mmHg. Myasthenia Gravis (MG) is a
sporadically developing auto-immune deficiency where the neuro-muscular
transmission is affected and it is one of the important reasons for
neurologically-induced respiratory distress. Here, we report a case of a 75-year
old male patient previously undiagnosed MG, who presented with ARF. MG is not a
common entity that we encounter daily. Patients on occasions may present to the
emergency department because of acute exacerbation. Though most of them were
known cases, we should be aware of some unrecognized cases and should consider MG
as a differential diagnosis for patients with acute respiratory failure.
PMID- 27896331
TI - Successful selective arterial thrombolysis in patient with acute abdominal
thromboembolism.
AB - The paper reports successful thrombolysis conducted in 64 years old woman
admitted to the clinic with clinical and angiographic data for acute surgical
abdomen caused by acute tromboembolia of arteria mesenterica superior (AMS). The
therapeutic approach required to undertake lifesaving decision on i.e. surgical
vs. invasive treatment in conditions of emergency. Finally, it was decided to
undertake invasive treatment with successful restoration of blood flow in the
related artery. The patient was discharged from the clinic with considerable
clinical improvement on the fifth day of her stay. The case report includes
discussion on issues relating the consequence of the diagnostic and
interventional procedures in such patients, opportunities for conducting
emergency thrombolysis in acute embolia of AMS and preventive measures in
patients with high tromboembolic risk.
PMID- 27896333
TI - Shoulder pain after falling from bicycle.
PMID- 27896332
TI - Acute pelvic pain in female with ruptured ectopic pregnancy: Magnetic Resonance
Imaging as problem solving tool.
PMID- 27896335
TI - A Rare Case of a Symptomatic Sternalis Muscle: Ultrasonograpy And MRI
Correlation.
PMID- 27896334
TI - Ultrasound Vascular Elastography as a Tool for Assessing Atherosclerotic Plaques
A Systematic Literature Review.
AB - Atherosclerosis is a widespread disease that accounts for nearly 3-quarters of
deaths due to cardiovascular disease. Ultrasound elastography might be able to
reliably identify characteristics associated with vulnerable plaques. There is a
need for the evaluation of elastography and its ability to distinguish between
vulnerable and stable plaques. The aim of this paper is to provide an overview of
the literature on vascular elastography. A systematic search of the available
literature for studies using elastography for assessing atherosclerotic plaques
was conducted using the MEDLINE, Embase, Cochrane Library and Web of Science
databases. A standardized template was used to extract relevant data following
the PRISMA 2009 checklist. 20 articles were included in this paper. The studies
were heterogeneous. All studies reported that elastography was a feasible
technique and provided additional information compared to B-mode ultrasound
alone. Most studies reported higher strain values for vulnerable plaques.
Ultrasound elastography has potential as a clinical tool in the assessment of
atherosclerotic plaques. Elastography is able to distinguish between different
plaque types, but there is considerable methodological variation between studies.
There is a need for larger studies in a clinical setting to determine the full
potential of elastography.
PMID- 27896336
TI - CuI-Catalyzed intramolecular aminocyanation of terminal alkynes in N-(2
ethynylphenyl)-N-sulfonylcyanamides via Cu-vinylidene intermediates.
AB - CuI-Catalyzed intramolecular aminocyanation of terminal alkynes in N-(2
ethynylphenyl)-N-sulfonylcyanamides was initiated by the formation of Cu
acetylide to trigger N-CN bond cleavage of the N-sulfonylcyanamide moiety
followed by CN migration to form a beta-cyano Cu-vinylidene intermediate.
Subsequently, the indole ring closure furnished the corresponding 1-sulfonyl-3
cyanoindoles.
PMID- 27896337
TI - A multicomponent assembly approach for the design of deep desulfurization
heterogeneous catalysts.
AB - Deep desulfurization is a challenging task and global efforts are focused on the
development of new approaches for the reduction of sulfur-containing compounds in
fuel oils. In this work, we have proposed a new design strategy for the
development of deep desulfurization heterogeneous catalysts. Based on the adopted
design strategy, a novel composite material of polyoxometalate (POM)-based ionic
liquid-grafted layered double hydroxides (LDHs) was synthesized by an
exfoliation/grafting/assembly process. The structural properties of the as
prepared catalyst were characterized using FT-IR, XRD, TG, NMR, XPS, BET, SEM and
HRTEM. The heterogeneous catalyst exhibited high activity in deep desulfurization
of DBT (dibenzothiophene), 4,6-DMDBT (4,6-dimethyldibenzothiophene) and BT
(benzothiophene) at 70 degrees C in 25, 30 and 40 minutes, respectively. The
catalyst can be easily recovered and reused at least ten times without obvious
decrease of its catalytic activity. Such excellent sulfur removal ability as well
as the cost efficiency of the novel heterogeneous catalyst can be attributed to
the rational design, where the spatial proximity of the substrate and the active
sites, the immobilization of ionic liquid onto the LDHs via covalent bonding and
the recyclability of the catalyst are carefully considered.
PMID- 27896338
TI - Electrochemical investigation of [Co4(MU3-O)4(MU-OAc)4(py)4] and peroxides by
cyclic voltammetry.
AB - Two oxidative redox processes of the neutral cobalt(iii) cubane, [Co4(MU3-O)4(MU
OAc)4(py)4], were investigated by cyclic voltammetry at a glassy carbon electrode
in acetonitrile. In addition to the first quasi-reversible one-electron oxidation
at E1/2 = 0.283 V vs. Fc0/+, a second quasi-reversible one-electron oxidation was
observed at E1/2 = 1.44 V vs. Fc0/+. Oxidation at this potential does not
facilitate water oxidation. In the presence of tert-butylhydroperoxide the peak
current of this second oxidation increases, suggesting oxidation of the peroxide
by the doubly oxidised cubane.
PMID- 27896339
TI - Intramolecular dehydration of biomass-derived sugar alcohols in high-temperature
water.
AB - The intramolecular dehydration of biomass-derived sugar alcohols d-sorbitol, d
mannitol, galactitol, xylitol, ribitol, l-arabitol, erythritol, l-threitol, and
dl-threitol was investigated in high-temperature water at 523-573 K without the
addition of any acid catalysts. d-Sorbitol and d-mannitol were dehydrated into
isosorbide and isomannide, respectively, as dianhydrohexitol products. Galactitol
was dehydrated into anhydrogalactitols; however, the anhydrogalactitols could not
be dehydrated into dianhydrogalactitol products because of the orientation of the
hydroxyl groups at the C-3 and C-6 positions. Pentitols such as xylitol, ribitol,
and l-arabitol were dehydrated into anhydropentitols. The dehydration rates of
the pentitols containing hydroxyl groups in the trans form, which remained as
hydroxyl groups in the product tetrahydrofuran, were larger than those containing
hydroxyl groups in the cis form because of the structural hindrance caused by the
hydroxyl groups in the cis form during the dehydration process. In the case of
the tetritols, the dehydration of erythritol was slower than that of threitol,
which could also be explained by the structural hindrance of the hydroxyl groups.
The dehydration of l-threitol was faster than that of dl-threitol, which implies
that molecular clusters were formed by hydrogen bonding between the sugar
alcohols in water, which could be an important factor that affects the
dehydration process.
PMID- 27896340
TI - One-step fabrication of porous oxygen-doped g-C3N4 with feeble nitrogen vacancies
for enhanced photocatalytic performance.
AB - Porous oxygen-doped graphitic carbon nitride (g-C3N4) with feeble nitrogen
vacancies was fabricated through thermal polycondensation of melamine with an
appropriate amount of polyvinylpyrrolidone. After optimization, the bandgap of g
C3N4 can be narrowed by 0.2 eV and the specific surface area expanded, which
contribute to increasing the utilization of solar energy. Consequently, the
optimized g-C3N4 exhibits impressive enhancement in photocatalytic hydrogen
evolution performance, by nearly 5 times compared with the pristine one under the
irradiation of visible light.
PMID- 27896341
TI - Energetics of CO oxidation on lanthanide-free perovskite systems: the case of Co
doped SrTiO3.
AB - The energetics of the catalytic oxidation of CO on a complex metal oxide are
investigated for the first time via density functional theory calculations. The
catalyst, Co-doped SrTiO3, is modelled using periodically repeated slabs based on
the SrTiO3(100) surface. The comparison of the energy profiles obtained for the
pure host and the Co-doped material reveals the actual pathway followed by the
reaction, and shows that Co doping enhances the catalytic properties of SrTiO3 by
reducing the energy cost for the formation of oxygen vacancies.
PMID- 27896342
TI - Micellization of alkyltrimethylammonium bromide surfactants in choline
chloride:glycerol deep eutectic solvent.
AB - Deep eutectic solvents have shown the ability to promote the self-assembly of
surfactants in solution. However, some differences have been found compared with
self-assembly in pure water and other polar organic solvents. The behaviour of
alkyltrimethylammonium bromides in choline chloride:glycerol deep eutectic
solvent has been studied by means of surface tension, X-ray and neutron
reflectivity and small-angle neutron scattering. The surfactants were found to
remain surface active and showed comparable critical micelle concentrations to
the same surfactants in water. Our scattering studies demonstrate that these
surfactants form globular micelles with ellipsoidal shape in solution. The size,
shape and aggregation number of the aggregates were found to vary with the chain
length of the surfactant. Specific solvent-headgroup interactions were not found
in this system, unlike those we have previously postulated for anionic
surfactants in choline chloride deep eutectic solvents.
PMID- 27896344
TI - Thermodynamics and kinetics of graphene chemistry: a graphene hydrogenation
prototype study.
AB - The thermodynamic and kinetic controls of graphene chemistry are studied
computationally using a graphene hydrogenation reaction and polyaromatic
hydrocarbons to represent the graphene surface. Hydrogen atoms are concertedly
chemisorped onto the surface of graphene models of different shapes (i.e., all
zigzag, all-armchair, zigzag-armchair mixed edges) and sizes (i.e., from 16-42
carbon atoms). The second-order Z-averaged perturbation theory (ZAPT2) method
combined with Pople double and triple zeta basis sets are used for all
calculations. It is found that both the net enthalpy change and the barrier
height of graphene hydrogenation at graphene edges are lower than at their
interior surfaces. While the thermodynamic product distribution is mainly
determined by the remaining pi-islands of functionalized graphenes (Phys. Chem.
Chem. Phys., 2013, 15, 3725-3735), the kinetics of the reaction is primarily
correlated with the localization of the electrostatic potential of the graphene
surface.
PMID- 27896345
TI - High performance flexible strain sensor based on self-locked overlapping graphene
sheets.
AB - Strain sensors have been widely used in the fields of wearable devices, robot
arms, medical sensing, bio-sensing, artificial skin and so on, but the existing
strain sensors have some shortcomings such as a limited gauge factor (GF) or
strain range. We fabricate a novel and flexible strain sensor with high
performance based on self-locked overlapping graphene sheets (SOGS) which can be
used for wearable devices. Polydimethylsiloxane (PDMS) is used to lock the
overlapping graphene sheets, and then the graphene can be stretched and achieve
an ultrahigh GF. In addition, a new theory is put forward to explain the GF
changes with strain range for the SOGS strain sensor. In this work, graphene
oxide (GO) film is reduced to reduced GO (rGO) by a laser. Then, the SOGS and
electrodes are encapsulated by PDMS. The SOGS strain sensor has a high GF up to
400 and strain range over 7.5%, and this SOGS strain sensor achieves a balance
between high sensitivity and large strain range compared with other existing
strain sensors. Furthermore the theoretical equation based on the new theory
agrees well with the experimental results. And this strain sensor can be used in
many applications because of its high sensitivity. Some applications of the SOGS
strain sensors are demonstrated for the detection of various human motions and
human sounds. The SOGS strain sensor can exhibit great potential in wearable
electronics because of its good balance between high sensitivity and large
strain.
PMID- 27896343
TI - Electronic and non-adiabatic dynamics: general discussion.
PMID- 27896346
TI - Visible light driven mesoporous Ag-embedded ZnO nanocomposites: reactive oxygen
species enhanced photocatalysis, bacterial inhibition and photodynamic therapy.
AB - We present here the multitasking capabilities of Ag-embedded ZnO nanocomposites
(Ag-ZnO NCs), which include the photocatalytic degradation of organic dyes,
bacterial inhibition, and cancer therapeutics. Ag-embedded ZnO nanocomposites (Ag
ZnO NCs) of mesoporous spherical morphology (size ~ 150 +/- 50 nm) are
successfully synthesized by a facile and single step soft-chemical approach. To
understand the effect of Ag loading on multitasking properties, Ag-ZnO NCs are
synthesized with different wt% of Ag. It was found that Ag5-ZnO NCs (5 wt% of Ag)
showed excellent solar light-induced photocatalytic degradation properties
against both cationic as well as anionic dyes. In addition, the presence of Ag in
these NCs makes them strongly antibacterial, and kills 100% Escherichia coli (E.
coli) cells within 2 hours (under dark), and within 30 min (under solar light).
The enhanced photocatalytic and antibacterial activity of Ag-ZnO NCs is due to
the anchoring of Ag NPs onto ZnO as well as minor substitution of Ag ions in the
lattice of ZnO. This produces abundant charge carriers and generates
significantly enhanced reactive oxygen species (ROS), which seem responsible for
the multitasking properties. Furthermore, the cytotoxic study shows that Ag5-ZnO
NCs kill oral carcinoma (KB) cells under visible light irradiation, and work as
photosensitizers towards the photodynamic therapy of cancer due to the excellent
photocatalytic activity. The high ROS concentration depolarizes the mitochondrial
membrane potential, which in turn initiates apoptosis in oral carcinoma (KB)
cells inducing cell death. Therefore, the as-prepared mesoporous Ag-ZnO NCs show
great promise in waste water treatment, and cancer therapeutics.
PMID- 27896347
TI - First-principles study of pressure-induced structural phase transitions in MnF2.
AB - In this work we report a complete structural and magnetic characterization of
crystalline MnF2 under pressure obtained using first principle calculations.
Density functional theory was used as the theoretical framework, within the
generalized gradient approximation plus the Hubbard formalism (GGA+U) necessary
to describe the strong correlations present in this material. The vibrational,
the magnetic exchange couplings and the structural characterization of MnF2 in
the rutile ground state structure and potential high pressure phases are
reported. The quasiharmonic approximation has been used to obtain the free
energy, which at the same time is used to evaluate the different structural
transitions at 300 K. Based on previous theoretical and experimental studies on
AF2 compounds, ten different structural candidates were considered for the high
pressure regime, which led us to propose a path for the MnF2 structural
transitions under pressure. As experimental pressure settings can lead to non
hydrostatic conditions, we consider hydrostatic and non-hydrostatic strains in
our calculations. According to our results we found the following sequence for
the pressure-driven structural phase transition in MnF2: rutile (P42/mnm) ->
alpha-PbO2-type (Pbcn) -> dist. HP PdF2-type (Pbca) -> dist. fluorite (I4/mmm) ->
cotunnite (Pnma). This structural path is correlated with other phase transitions
reported on other metal rutile fluorides. In particular, we found that our
proposed structural phase transition sequence offers an explanation of the
different paths observed in the literature by taking into account the role of the
hydrostatic conditions. In order to get a deep understanding of the modifications
of MnF2 under pressure, we have analyzed the pressure evolution of the
structural, vibrational, electronic, and magnetic properties for rutile and for
each of the high pressure phases.
PMID- 27896348
TI - Reaction rate theory: summarising remarks.
AB - This paper summarizes the contributions to the Faraday Discussion on reaction
rate theory. The topics range from contemporary usage of transition state theory,
including rare event sampling, to instantons and non-adiabatic dynamics.
PMID- 27896349
TI - Silver nanoparticles: a mechanism of action on moulds.
AB - Silver nanoparticles (AgNPs) are widely used in all branches of industry.
However, their mechanisms of action towards moulds have not been studied yet.
Thus we conducted this study in which we have used laser desorption/ionization
time-of-flight mass spectrometry (LDI-ToF-MS) analysis to determine metabolomic
changes, and microscopic analysis (transmission electron microscopy, fluorescent
microscopy) to observe changes in mould cells. The AgNP treatment caused the
downregulation of 162 (15 ppm) and 284 (62 ppm), and 19 (15 ppm) and 29 (62 ppm)
metabolites of Aspergillus niger and Penicillium chrysogenum, respectively. All
influenced features were below m/z 600 (mass-to-charge ratio). We have observed
silver ions and their clusters (Ag, Ag2, and Ag3) accumulated in the mould
mycelium. As well as, mono-silver ion adducts with nucleotide derivatives
(Coenzyme A), amino acids (phenylglycine), peptides (LeuSerAlaLeuGlu) and lipids
(fatty acids, diacylglycerophosphoglycerols, monoglicerides and
glycerophospholipids). The ultrastructure analysis revealed many sever
alterations due to the action of AgNPs, such us shortening and condensation of
hyphae, ultrastructural reorganisation, cell plasmolysis, increased
vacuolisation, numerous membranous structures, collapsed cytoplasm, accumulation
of lipid material, condensed mitochondria, disintegration of organelles, nuclear
deformation, condensation and fragmentation of chromatin, creation of apoptotic
bodies, as well as a new inside cell wall in P. chrysogenum.
PMID- 27896350
TI - Characterizing the optical properties of single palladium-coated core-shell gold
nanorods as multifunctional orientation probes.
AB - Bimetallic core-shell gold nanorods are promising multifunctional orientation
probes due to the synergetic effect of two different metals, as examined under an
optical microscope. Herein, we performed a single particle study to characterize
the optical properties of palladium-coated core-shell gold nanorods (Pd-AuNRs)
using scattering-based dark-field (DF) and differential interference contrast
(DIC) microscopy, and to examine their use as multifunctional orientation probes
in biological and physical studies. The longitudinal surface plasmon resonance
(SPR) was strongly damped with the increased SPR linewidth for single Pd-AuNRs
due to Pd metals coated on the AuNR surface under single particle scattering
spectroscopy. Despite the strong plasmon damping with much reduced scattering
intensity, DIC microscopy allowed us to detect single Pd-AuNRs with much higher
sensitivity. We found polarization-dependent DIC images and intensities of single
Pd-AuNRs, which enabled the determination of their orientation angle under DIC
microscopy. Furthermore, we found that DIC polarization anisotropy can be
obtained from the orthogonally polarized bright and dark intensities for more
accurate and reliable angle measurements of the Pd-AuNR probes. The results
support the idea that bimetallic Pd-AuNRs can be used to develop multifunctional
orientation probes.
PMID- 27896351
TI - On-chip integration of organic synthesis and HPLC/MS analysis for monitoring
stereoselective transformations at the micro-scale.
AB - We present a microfluidic system, seamlessly integrating microflow and microbatch
synthesis with a HPLC/nano-ESI-MS functionality on a single glass chip. The
microfluidic approach allows to efficiently steer and dispense sample streams
down to the nanoliter-range for studying reactions in quasi real-time. In a proof
of-concept study, the system was applied to explore amino-catalyzed reactions,
including asymmetric iminium-catalyzed Friedel-Crafts alkylations in microflow
and micro confined reaction vessels.
PMID- 27896352
TI - High-throughput metabolomics approach reveals new mechanistic insights for drug
response of phenotypes of geniposide towards alcohol-induced liver injury by
using liquid chromatography coupled to high resolution mass spectrometry.
AB - Alcohol-induced liver injury (ALD) shows obvious metabolic disorders, categorized
by a wide range of metabolite abnormalities. High-throughput metabolomics
technology appears to be an appropriate solution. In this study, a urine
metabolic profile was assessed using a UPLC-Q-TOF/HDMS (liquid chromatography
coupled to high resolution mass spectrometry) approach to investigate the
underlying molecular mechanisms of ALD and the therapeutic effect of geniposide.
The endogenous low-molecular-weight metabolites in the mouse model of ALD were
observed and 48 specific biomarkers were identified. Geniposide was found to have
a regulatory effect on 32 of them. Furthermore, targeted analysis of biomarkers
showed clear separation between the model and geniposide treatment group. Fifteen
biomarkers with high contribution to group differentiation were screened out.
Also, a comprehensive analysis of a significant disturbance of multiple metabolic
pathways indicated that geniposide could modify abnormal metabolism due to
ethanol exposure, during which disorders relating to amino acid metabolism and
the oxidative stress state could be alleviated. At the same time, accessory
examinations, including plasma biochemical indicators and liver tissue
pathological analysis, showed similar results. It was suggested that geniposide
was effective as a hepatoprotective agent against ethanol-induced liver damage by
re-balancing a wide range of metabolic disorders.
PMID- 27896353
TI - Pseudopeptidic compounds for the generation of dynamic combinatorial libraries of
chemically diverse macrocycles in aqueous media.
AB - A straightforward four-step synthesis leads to the preparation of C2-symmetric
dithiols containing a central aromatic core and amino acid side chains. These
building blocks allow the preparation of dynamic covalent libraries of
pseudopeptidic macrocycles in aqueous media that cover a broad range of
polarities, functional groups and bulkiness mirroring the diversity found in
natural peptides. The versatility of the generated dynamic libraries has been
illustrated by the amplification of two different members from the same library
upon the action of two biologically relevant templates.
PMID- 27896354
TI - Structural and dynamic studies of substrate binding in porous metal-organic
frameworks.
AB - Porous metal-organic frameworks (MOFs) are the subject of considerable research
interest because of their high porosity and capability of specific binding to
small molecules, thus underpinning a wide range of materials functions such as
gas adsorption, separation, drug delivery, catalysis, and sensing. MOFs,
constructed by the designed assembly of metal ions and functional organic
linkers, are an emerging class of porous materials with extended porous
structures containing periodic binding sites. MOFs thus provide a new platform
for the study of the chemistry and reactivity of small molecules in confined
pores using advanced diffraction and spectroscopic techniques. In this review, we
focus on recent progress in experimental investigations on the crystallographic,
dynamic and kinetic aspects of substrate binding within porous MOFs. In
particular, we focus on studies on host-guest interactions involving open metal
sites or pendant functional groups in the pore as the primary binding sites for
guest molecules.
PMID- 27896355
TI - Structural diversity and magnetic properties of six cobalt coordination polymers
based on 2,2'-phosphinico-dibenzoate ligand.
AB - Six novel Co(ii) coordination polymers, namely, [Co10L6(OH)2(H2O)9].10.5H2O (1),
[Co3L2(3-abpt)2].4H2O (2), [Co3L2(4-azpy)2(H2O)2(EtOH)] (3), [Co3L2(4,4'
bipy)2(H2O)2(MeCN)] (4), [Co3L2(4,4'-bipy)2] (5), and [Co5L2(OH)2(ina)2(H2O)2]
(6) (H3L = 2,2'-phosphinico-dibenzoic acid, 3-abpt = 4-amino-3,5-bis(3-pyridyl)
1,2,4-triazole, 4-azpy = 4,4'-azobispyridine, 4,4'-bipy = 4,4'-bipyridine, Hina =
isonicotinic acid), have been hydrothermally synthesized and their magnetic
properties have been characterized. The L3- anion displays six types of
coordination modes in the compounds. Compound 1 exhibits a novel 1D ladder-like
structure, which consists of non-centrosymmetric Co10 units. Compounds 2-4
comprise 2D networks assembled from Co3L2 chains and N-heterocyclic linkers.
Compound 5 comprises a 3D framework built from six neighboring parallel Co3L2
ladders bridged by 4,4'-bipy linkers. Compound 6 features a 3D framework that
exhibits pcu topology with the Schlafli symbol of (412.63) using a pentanuclear
[Co5(OH)2]8+ cluster as the node. Variable-temperature magnetic susceptibility
studies indicate that the six coordination polymers exhibit remarkable magnetic
behavior such as spin-canted antiferromagnetism and spin glass, which were found
to coexist in compound 6.
PMID- 27896356
TI - Vapochromic features of new luminogens based on julolidine-containing styrene
copolymers.
AB - We report on vapochromic films suitable for detecting volatile organic compounds
(VOCs), based on new polystyrene copolymers containing julolidine fluorescent
molecular rotors (JCAEM). Poly(styrene-co-hydroxyethylmethacrylate) copolymers
functionalized with cyanovinyl-julolidine moieties of different compositions were
prepared, (P(STY-co-JCAEM)(m) with m = 0.06-0.61). The sensing performance of the
spin-coated copolymer films demonstrated significant vapochromism when exposed to
VOCs characterized by high vapour pressure and a favourable interaction with the
polymer matrix, such as Et2O and CH2Cl2. It is worth mentioning that the
fluorescence decrease rate was 7 times faster than that of previously
investigated julolidine-based fluorescent molecular rotors dispersed in PS films.
This phenomenon was attributed to the better control of the JCAEM moiety
distribution in the polymer matrix conferred by the covalent approach, combined
with a minimal film thickness of 4 microns. These factors, in concert, strongly
accelerate the deactivation pathways of the JCAEM units in the presence of VOCs
which interact well. Overall, the present results support the use of julolidine
enriched styrene copolymers as effective chromogenic materials suitable for the
fast detection of VOCs.
PMID- 27896357
TI - Photoluminescence spectra and quantum yields of gold nanosphere monomers and
dimers in aqueous suspension.
AB - The intrinsic one-photon excited photoluminescence (PL) of dimers and monomers of
gold spheres suspended in water was studied by combining photon time-of-flight
spectroscopy (PTOFS) and light scattering fluctuation correlation spectroscopy
(LS-FCS). The samples are obtained by precisely controlling the dimerization of
aqueous colloidal systems based on 50 and 80 nm gold nanospheres. The combination
of PTOFS and LS-FCS enables the separate spectroscopic study of monomers and
dimers even though they exist as a mixture in the samples. PL emission spectra
and diffusional dynamics are obtained simultaneously through measurement at the
single particle level. The PL spectra resemble the light scattering spectra,
indicating the plasmon-assisted character of the photoluminescence process. We
determine the intrinsic PL quantum yields of the dimers and the monomers. It is
often not possible to measure such very low quantum yields in solution using
conventional techniques, and we show here that PTOFS provides access to this
information. The quantum yield of the dimers was found to be of same order of
magnitude as that of the monomers, of the order of 10-6, which indicates that the
interparticle 'electromagnetic hot-spots' do not play a major role in the
luminescence emission mechanism in such plasmonic molecules.
PMID- 27896358
TI - Emergence of ordered network mesophases in kinetic pathways of order-order
transition for linear ABC triblock terpolymers.
AB - Applying the string method to the self-consistent field theory (SCFT) of ABC
linear triblock copolymers, we developed a new strategy to design kinetic
pathways for the formation of stable or metastable network mesophases in order
order transition (OOT) processes. The design principle regarding the kinetic
pathways between distinct mesophases is based on the matching relationships of
both domain spacing and dominant Fourier components of the density distributions.
The results suggest that complex ordered network mesophases, such as alternating
diamond (DA) and alternating plumber's nightmare (PA) could be obtained in
kinetic pathways between simple phases covering lamellae, cylinders and spheres.
By virtue of the minimal free energy pathway (MEP) obtained, we could acquire the
epitaxial relationship and phase transition mechanism. Furthermore, we managed to
regulate the MEP by changing the block composition to adjust packing frustration.
Two new metastable networks, core-shell five-pronged and six-pronged
morphologies, were found in the kinetic pathways, further demonstrating the
regulating mechanism. The results will contribute to a better understanding of
the kinetic relationship between simple phases and complex networks, thus
providing a platform for soft materials design via the OOT route and guiding
experimental procedures to fabricate ordered network mesophases.
PMID- 27896359
TI - Management of chronic hepatitis C in 2017.
AB - Hepatitis C virus (HCV) represents one of the most common aetiologies of chronic
liver disease and causes a major global health burden. Globally an estimated 80
million people are chronically infected, but the majority of whom is still
undiagnosed. Prior to the discovery of the virus in 1989 a significant number of
patients were exposed and consecutively infected with HCV via contaminated
transfusions, as it is a blood-borne disease. Chronic HCV infection pursues a
progressive course that ultimately results in the development of cirrhosis, liver
failure and hepatocellular carcinoma (HCC), if left untreated. The efficiency and
tolerability of therapeutical approaches improved considerably with the
development of direct-acting antivirals (DAA). The majority of patients treated
with the recommended DAA combinations can be cured, which is reflected in
achievement of sustained virological response (SVR). This review is intended to
provide guidance in the management of patients with chronic hepatitis C,
including recommendations for adequate screening, diagnostic procedures, clinical
care, treatment and follow-up strategies.
PMID- 27896361
TI - Intracranial Stenting in Germany : The Reimbursement Decision has been made, but
the Scientific Debate Continues.
PMID- 27896360
TI - Optical redox imaging indices discriminate human breast cancer from normal
tissues.
AB - Our long-term goal was to investigate the potential of incorporating redox
imaging technique as a breast cancer (BC) diagnosis component to increase the
positive predictive value of suspicious imaging finding and to reduce unnecessary
biopsies and overdiagnosis. We previously found that precancer and cancer tissues
in animal models displayed abnormal mitochondrial redox state. We also revealed
abnormal mitochondrial redox state in cancerous specimens from three BC patients.
Here, we extend our study to include biopsies of 16 patients. Tissue aliquots
were collected from both apparently normal and cancerous tissues from the
affected cancer-bearing breasts shortly after surgical resection. All specimens
were snap-frozen and scanned with the Chance redox scanner, i.e., the three
dimensional cryogenic NADH/Fp (reduced nicotinamide adenine dinucleotide/oxidized
flavoproteins) fluorescence imager. We found both Fp and NADH in the cancerous
tissues roughly tripled that in the normal tissues ( p < 0.05 ). The redox ratio
Fp/(NADH + Fp) was ? 27 % higher in the cancerous tissues ( p < 0.05 ).
Additionally, Fp, or NADH, or the redox ratio alone could predict cancer with
reasonable sensitivity and specificity. Our findings suggest that the optical
redox imaging technique can provide parameters independent of clinical factors
for discriminating cancer from noncancer breast tissues in human patients.
PMID- 27896362
TI - The association between urinary sodium to potassium ratio and bone density in
middle-aged Chinese adults.
AB - : The joint effect of sodium and potassium on bone health remains uncertain. We
examined the associations between urinary excretion of sodium, potassium, and
their ratio and bone mineral density (BMD), and reported an inverse association
between urinary sodium-to-potassium ratio and BMD in women, but not in men.
INTRODUCTION: Several studies have suggested that a higher sodium or lower
potassium intake is associated with poor bone health. However, few studies have
examined their joint effects. We examined the associations of urinary excretion
of sodium, potassium, and the sodium-to-potassium ratio with BMD in Chinese
adults. METHODS: This community-based, cross-sectional study included 2202 women
and 1063 men (40-75 years) in Guangzhou, China. The BMD of the whole body, lumbar
spine, and hip sites were measured by dual-energy x-ray absorptiometry. The
concentrations of sodium, potassium, and creatinine of the fasting morning first
void urine sample were measured, and creatinine-adjusted values were then used
for further analyses. General information was collected via face-to-face
interviews. RESULTS: For women, after multivariable adjustment, the urinary
sodium-to-potassium ratio was inversely associated with BMD at the whole body,
total hip, trochanter, and intertrochanter (all p trend <0.05). The mean BMD
differences between extreme quartiles ranged from 1.50 to 2.98 % at these sites
(all p < 0.05). Similar, but less significant, associations were observed for
urinary sodium/creatinine, for which the only significant difference was found at
the trochanter (2.00 %, p = 0.016). We did not find any significant associations
of BMD with urinary potassium in women and with urinary sodium/creatinine,
potassium/creatinine, or their ratio in men. CONCLUSION: Our findings suggest
that the urinary sodium-to-potassium ratio, but not individual creatinine
adjusted values of sodium or potassium, is a good predictor of BMD in women, but
not in men.
PMID- 27896364
TI - [Proximal rupture of the hamstring tendon : From clinical presentation to
diagnosis and therapy].
AB - Rupture of the proximal tendon enthesis of the hamstring muscle (ICM) accounts
for approximately 10% of all injuries of the ICM. It occurs most commonly in
athletes and active middle-aged individuals. The complete rupture of all three
tendons in active patients is generally seen as an indication for surgical repair
of the tendon enthesis; however, the correct diagnosis is often not reached in a
timely manner. This can lead to prolonged symptoms with pain, weakness and
neuralgia. Operative treatment consists of anchor repair of the tendons resulting
in good clinical outcome in several case series. Good knowledge of the anatomy
and operative approach are mandatory to avoid complications as well as compliance
with a gradual rehabilitation scheme to allow tendon to bone healing. The main
aim of this review is to highlight the typical history, clinical presentation and
examination technique to reach an immediate clinical diagnosis which should be
confirmed with a magnetic resonance imaging (MRI) scan.
PMID- 27896363
TI - Oxygen ultra-fine bubbles water administration prevents bone loss of
glucocorticoid-induced osteoporosis in mice by suppressing osteoclast
differentiation.
AB - : Oxygen ultra-fine bubbles (OUB) saline injection prevents bone loss of
glucocorti?coid-induced osteoporosis in mice, and OUB inhibit osteoclastogenesis
via RANK-TRAF6-c-Fos-NFATc1 signaling and RANK-p38 MAPK signaling in vitro.
INTRODUCTION: Ultra-fine bubbles (<200 nm in diameter) have several unique
properties, and they are tested in various medical fields. The purpose of this
study was to investigate the effects of oxygen ultra-fine bubbles (OUB) on
glucocorticoid-induced osteoporosis (GIO) model mice. METHODS: Prednisolone (PSL,
5 mg) was subcutaneously inserted in 6-month-old male C57BL/6J mice, and 200 MUl
of saline, OUB-diluted saline, or nitrogen ultra-fine bubbles (NUB)-diluted
saline was intraperitoneally injected three times per week for 8 weeks the day
after operations. Mice were divided into four groups; (1) control, sham-operation
+ saline; (2) GIO, PSL + saline; (3) GIO + OUB, PSL + OUB saline; (4) GIO + NUB,
PSL + NUB saline. The effects of OUB on osteoblasts and osteoclasts were examined
by serially diluted OUB medium in vitro. RESULTS: Bone mass was significantly
decreased in GIO [bone volume/total volume (%): control vs. GIO 12.6 vs. 7.9; p <
0.01] while significantly preserved in GIO + OUB (GIO vs. GIO + OUB 7.9 vs. 12.9;
p < 0.05). In addition, tartrate-resistant acid phosphatase (TRAP)-positive cells
in the distal femur [mean osteoclasts number/bone surface (mm-1)] was
significantly increased in GIO (control vs. GIO 6.8 vs. 11.6; p < 0.01) while
suppressed in GIO + OUB (GIO vs. GIO + OUB 11.6 vs. 7.5; p < 0.01). NUB did not
affect these parameters. In vitro experiments revealed that OUB significantly
inhibited osteoclastogenesis by inhibiting RANK-TRAF6-c-Fos-NFATc1 signaling,
RANK-p38 MAPK signaling, and TRAP/Cathepsin K/DC-STAMP mRNA expression in a
concentration-dependent manner. OUB did not affect osteoblastogenesis in vitro.
CONCLUSIONS: OUB prevent bone loss in GIO mice by inhibiting osteoclastogenesis.
PMID- 27896365
TI - [Complete proximal hamstring tendon tear : Anchor-based sliding suture technique
for reduction and fixation].
PMID- 27896366
TI - Allelism analysis of BrRfp locus in different restorer lines and map-based
cloning of a fertility restorer gene, BrRfp1, for pol CMS in Chinese cabbage
(Brassica rapa L.).
AB - KEY MESSAGE: In Chinese cabbage, there are two Rf loci for pol CMS and one of
them was mapped to a 12.6-kb region containing a potential candidate gene
encoding PPR protein. In Chinese cabbage (Brassica rapa), polima cytoplasmic male
sterility (pol CMS) is an important CMS type and is widely used for hybrid
breeding. By extensive test crossing in Chinese cabbage, four restorer lines
(92s105, 01s325, 00s109, and 88s148) for pol CMS were screened. By analyzing the
allelism of the four restorer lines, it was found that 92s105, 01s325, and 00s109
had the same "restorers of fertility" (Rf) locus (designated as BrRfp1), but
88s148 had a different Rf locus (designated as BrRfp2). For fine mapping the
BrRfp1 locus of 92s105, a BC1F1 population with 487 individuals and a BC1F2
population with 2485 individuals were successively constructed. Using simple
sequence repeat (SSR) markers developed from Brassica rapa reference genome and
InDel markers derived from whole-genome resequencing data of 94c9 and 92s105,
BrRfp1 was mapped to a 12.6-kb region containing a potential candidate gene
encoding pentatricopeptide repeat-containing protein. Based on the nucleotide
polymorphisms of the candidate gene sequence between the restoring and
nonrestoring alleles, a co-segregating marker SC718 was developed, which would be
helpful for hybrid breeding by marker-assisted screening and for detecting new
restorer lines.
PMID- 27896367
TI - Erratum to: Fine mapping and candidate gene analysis of qHD5, a novel major QTL
with pleiotropism for yield-related traits in rice (Oryza sativa L.).
PMID- 27896368
TI - Targeting of the WT191-138 fragment to human dendritic cells improves leukemia
specific T-cell responses providing an alternative approach to WT1-based
vaccination.
AB - Due to its immunogenicity and overexpression concomitant with leukemia
progression, Wilms tumor protein 1 (WT1) is of particular interest for
immunotherapy of AML relapse after allogeneic hematopoietic stem cell
transplantation (allo-HSCT). So far, WT1-specific T-cell responses have mainly
been induced by vaccination with peptides presented by certain HLA alleles.
However, this approach is still not widely applicable in clinical practice due to
common limitations of HLA restriction. Dendritic cell (DC) vaccines
electroporated with mRNA encoding full-length protein have also been tested for
generating WT1-derived peptides for presentation to T-cells. Alternatively, an
efficient and broad WT1 peptide presentation could be elicited by triggering
receptor-mediated protein endocytosis of DCs. Therefore, we developed antibody
fusion proteins consisting of an antibody specific for the DEC205 endocytic
receptor on human DCs and various fragments of WT1 as DC-targeting recombinant
WT1 vaccines (anti-hDEC205-WT1). Of all anti-hDEC205-WT1 fusion proteins designed
for overcoming insufficient expression, anti-hDEC205-WT110-35, anti-hDEC205-WT191
138, anti-hDEC205-WT1223-273, and anti-hDEC205-WT1324-371 were identified in good
yields. The anti-hDEC205-WT191-138 was capable of directly inducing ex vivo T
cell responses by co-incubation of the fusion protein-loaded monocyte-derived
mature DCs and autologous T-cells of either healthy or HSCT individuals.
Furthermore, the DC-targeted WT191-138-induced specific T-cells showed a strong
cytotoxic activity by lysing WT1-overexpressing THP-1 leukemia cells in vitro
while sparing WT1-negative hematopoietic cells. In conclusion, our approach
identifies four WT1 peptide-antibody fusion proteins with sufficient production
and introduces an alternative vaccine that could be easily translated into
clinical practice to improve WT1-directed antileukemia immune responses after
allo-HSCT.
PMID- 27896371
TI - [Mental treatment of refugees in Germany : Model for a stepped approach].
AB - The recent influx of refugees and asylum seekers into Germany poses a challenge
for the national healthcare system. In compliance with the present Asylum Seekers
Benefits Act, the national healthcare system can be expected to have 1.5 million
new members by early 2017. Providing adequate care particularly for people with
mental illnesses or disorders will represent an immense challenge for all actors
in the system. The circumstances of the flight combined with the foreign
linguistic and socio-cultural background increase the severity of the cases and
the difficulties of treatment. No procedures or guidelines for treatment have yet
been established to ensure a standardized, cost-efficient and therapeutically
effective treatment of patients with this background. This article describes the
components of a stepped treatment procedure and proposes a stepped and
collaborative care model (SCCM) that could be evaluated in nationwide studies.
This approach is based on national and international treatment guidelines and
aims to provide target-group specific, culturally sensitive methods of diagnosis
and treatment. The various steps of the model build on each other, with the first
steps relying on technological aids (e.g. online or smartphone options) and
support from lay helpers and the more expensive specialist psychiatric and
psychotherapeutic therapy only being initiated in cases of more severe mental
disorders.
PMID- 27896369
TI - Detection rate of PET/CT in patients with biochemical relapse of prostate cancer
using [68Ga]PSMA I&T and comparison with published data of [68Ga]PSMA HBED-CC.
AB - PURPOSE: To determine the detection rate of PET/CT in biochemical relapse of
prostate cancer using [68Ga]PSMA I&T and to compare it with published detection
rates of [68Ga]PSMA HBED-CC. METHODS: We performed a retrospective analysis in 83
consecutive patients with documented biochemical relapse after prostatectomy. All
patients underwent whole body [68Ga]PSMA I&T PET/CT. PET/CT images were evaluated
for presence of local recurrence, lymph node metastases, and distant metastases.
Proportions of positive PET/CT results were calculated for six subgroups with
increasing prostate specific antigen (PSA) levels (<0.5 ng/mL, 0.5 to <1.0 ng/mL,
1.0 to <2.0 ng/mL, 2.0 to <5.0 ng/mL, 5.0 to <10.0, >=10.0 ng/mL). Detection
rates of [68Ga]PSMA I&T were statistically compared with published detection
rates of [68Ga]PSMA HBED-CC using exact Fisher's test. RESULTS: Median PSA was
0.81 (range: 0.01 - 128) ng/mL. In 58/83 patients (70 %) at least one [68Ga]PSMA
I&T positive lesion was detected. Local recurrent cancer was present in 18
patients (22 %), lymph node metastases in 29 patients (35 %), and distant
metastases in 15 patients (18 %). The tumor detection rate was positively
correlated with PSA levels, resulting in detection rates of 52 % (<0.5 ng/mL), 55
% (0.5 to <1.0 ng/mL), 70 % (1.0 to <2.0 ng/mL), 93 % (2.0 to <5.0 ng/mL), 100 %
(5.0 to <10.0 ng/mL), and 100 % (>=10.0 ng/mL). There was no significant
difference between the detection rate of [68Ga]PSMA I&T and published detection
rates of [68Ga]PSMA HBED-CC (all p>0.05). CONCLUSIONS: [68Ga]PSMA I&T PET/CT has
high detection rates of recurrent prostate cancer that are comparable to
[68Ga]PSMA HBED-CC.
PMID- 27896372
TI - Opioid receptor agonists may favorably affect bone mechanical properties in rats
with estrogen deficiency-induced osteoporosis.
AB - The results of epidemiological, clinical, and in vivo and in vitro experimental
studies on the effect of opioid analgesics on bone are inconsistent. The aim of
the present study was to investigate the effect of morphine (an agonist of opioid
receptors), buprenorphine (a partial MU opioid receptor agonist and kappa opioid
receptor antagonist), and naloxone (an antagonist of opioid receptors) on the
skeletal system of female rats in vivo. The experiments were carried out on 3
month-old Wistar rats, divided into two groups: nonovariectomized (intact; NOVX)
rats and ovariectomized (OVX) rats. The bilateral ovariectomy was performed 7
days before the start of drug administration. Morphine hydrochloride (20
mg/kg/day s.c.), buprenorphine (0.05 mg/kg/day s.c.), or naloxone hydrochloride
dihydrate (2 mg/kg/day s.c.) were administered for 4 weeks to NOVX and OVX rats.
In OVX rats, the use of morphine and buprenorphine counteracted the development
of osteoporotic changes in the skeletal system induced by estrogen deficiency.
Morphine and buprenorphine beneficially affected also the skeletal system of NOVX
rats, but the effects were much weaker than those in OVX rats. Naloxone generally
did not affect the rat skeletal system. The results confirmed the role of opioid
receptors in the regulation of bone remodeling processes and demonstrated, in
experimental conditions, that the use of opioid analgesics at moderate doses may
exert beneficial effects on the skeletal system, especially in estrogen
deficiency.
PMID- 27896374
TI - Loss of group memberships predicts depression in postpartum mothers.
AB - PURPOSE: The postpartum period presents the highest risk for women's mental
health throughout the lifespan. We aimed to examine the Social Identity Model of
Identity Change in this context. More specifically, we investigated changes in
social identity during this life transition and their consequences for women's
postpartum mental health. METHODS: Women who had given birth within the last 12
months (N = 387) reported on measures of depression, social group memberships,
and motherhood identification. RESULTS: Analyses indicated that a decrease in
group memberships after having a baby, controlling for group memberships prior to
birth, was associated with an increase in depressive symptomology. However,
maintaining pre-existing group memberships was predictive of better mental
health. New group memberships were not associated with depressive symptomology.
Identification as a mother was a strong positive predictor of mental health in
the postpartum period. CONCLUSIONS: The social identity model of identity change
provides a useful framework for understanding postpartum depression.
Interventions to prevent and treat postpartum depression might aim to support
women in maintaining important social group networks throughout pregnancy and the
postpartum period.
PMID- 27896373
TI - Assessing colonic anatomy normal values based on air contrast enemas in children
younger than 6 years.
AB - BACKGROUND: Contrast enemas with barium or water-soluble contrast agents are
sometimes performed in children with severe intractable constipation to identify
anatomical abnormalities. However there are no clear definitions for normal
colonic size or abnormalities such as colonic dilation or sigmoid redundancy in
children. OBJECTIVE: To describe characteristics of colonic anatomy on air
contrast enemas in children without constipation to provide normal values for
colonic size ratios in children. MATERIALS AND METHODS: We performed a
retrospective chart review of children aged 0-5 years who had undergone air
contrast enemas for intussusception. The primary outcome measures were the ratios
of the diameters and lengths of predetermined colonic segments (lengths of
rectosigmoid and descending colon; diameters of rectum, sigmoid, descending
colon, transverse colon and ascending colon) in relation to the L2 vertebral body
width. RESULTS: We included 119 children (median age 2.0 years, range 0-5 years,
68% boys). Colonic segment length ratios did not change significantly with age,
although the differences for the rectosigmoid/L2 ratio were borderline
significant (P = 0.05). The ratios that involved the rectal and ascending colon
diameters increased significantly with age, while diameter ratios involving the
other colonic segments did not. Differences by gender and race were not
significant. CONCLUSION: These data can be used for reference purposes in young
children undergoing contrast studies of the colon.
PMID- 27896375
TI - [Extracorporeal CO2 removal as an alternative to tracheotomy in a patient with
extubation failure].
AB - We report a patient with chest trauma who was admitted to the ICU after surgery.
As he fulfilled protocol-based criteria, he was extubated 7 days after admission.
However, despite intermittent non-invasive ventilation, the patient had to be re
intubated on day 10 owing to progressive hypercapnia. We decided to support the
patient with a mid-flow veno-venous extracorporeal carbon dioxide removal (ECCO2
R) system instead of a tracheotomy. Sufficient CO2 removal was established with a
blood flow of 1.5 l/min and the patient was successfully extubated within a few
hours. After 5 days of ECCO2-R the patient could be weaned and transferred to a
general ward in a stable condition.
PMID- 27896376
TI - Reducing the Bottleneck in Discovery of Novel Antibiotics.
AB - Most antibiotics were discovered by screening soil actinomycetes, but the
efficiency of the discovery platform collapsed in the 1960s. By now, more than
3000 antibiotics have been described and most of the current discovery effort is
focused on the rediscovery of known compounds, making the approach impractical.
The last marketed broad-spectrum antibiotics discovered were daptomycin,
linezolid, and fidaxomicin. The current state of the art in the development of
new anti-infectives is a non-existent pipeline in the absence of a discovery
platform. This is particularly troubling given the emergence of pan-resistant
pathogens. The current practice in dealing with the problem of the background of
known compounds is to use chemical dereplication of extracts to assess the
relative novelty of a compound it contains. Dereplication typically requires
scale-up, extraction, and often fractionation before an accurate mass and
structure can be produced by MS analysis in combination with 2D NMR. Here, we
describe a transcriptome analysis approach using RNA sequencing (RNASeq) to
identify promising novel antimicrobial compounds from microbial extracts. Our
pipeline permits identification of antimicrobial compounds that produce distinct
transcription profiles using unfractionated cell extracts. This efficient
pipeline will eliminate the requirement for purification and structure
determination of compounds from extracts and will facilitate high-throughput
screen of cell extracts for identification of novel compounds.
PMID- 27896377
TI - Self-administration and behavioral economics of second-generation synthetic
cathinones in male rats.
AB - RATIONALE: Synthetic cathinones have become increasingly available as drugs of
abuse. Distribution of these drugs is made possible by altering the chemical
structures of prohibited cathinones and marketing them under misleading labels.
Very little is known about the relative reinforcing effectiveness of new
synthetic cathinones relative to known drugs of abuse. OBJECTIVE: We examined
self-administration of three second-generation synthetic cathinones: alpha
pyrrolidinopentiophenone (alpha-PVP), 4-methyl-N-ethylcathinone (4-MEC), and 4
methyl-alpha-pyrrolidinopropiophenone (4-MePPP) relative to methamphetamine.
METHOD: Male, Sprague-Dawley rats, implanted with intravenous catheters, were
trained to self-administer methamphetamine (0.05 mg/kg/injection) under a fixed
ratio schedule. Following training, various doses of methamphetamine (0.006-0.1
mg/kg/injection), alpha-PVP (0.0015-0.1 mg/kg/injection), 4-MEC (0.1-3.2
mg/kg/injection), or 4-MePPP (0.1-0.8 mg/kg/injection) were available for self
administration in separate groups, followed by a behavioral-economics evaluation
of the reinforcing effectiveness of each drug. RESULTS: For all drugs, at least
one dose functioned as a reinforcer. Alpha-PVP and 4-MePPP maintained the highest
numbers of infusions per session and both were more effective reinforcers
relative to methamphetamine. 4-MEC and methamphetamine were not significantly
different in terms of infusions per session or reinforcing effectiveness.
CONCLUSION: Emerging synthetic cathinones whose primary pharmacological mechanism
is to block dopamine uptake but with little effects on monoamine release or
serotonin uptake may have a greater degree of abuse potential compared with known
abused stimulants.
PMID- 27896378
TI - Reply to: kynurenic acid and alcohol and cocaine dependence: novel effects and
multiple mechanisms?
PMID- 27896379
TI - Seizure and tetany secondary to hypomagnesaemic hypoparathyroidism induced by a
proton-pump inhibitor.
PMID- 27896381
TI - CPR and brain death: confounders, clearance, caution.
PMID- 27896380
TI - Authors' response: CPR and brain death: confounders, clearance, caution.
PMID- 27896382
TI - The mechanism of improved intracellular organic selenium and glutathione contents
in selenium-enriched Candida utilis by acid stress.
AB - Batch culture of Candida utilis CCTCC M 209298 for the preparation of selenium
(Se)-enriched yeast was carried out under different pH conditions, and maximal
intracellular organic Se and glutathione (GSH) contents were obtained in a
moderate acid stress environment (pH 3.5). In order to elucidate the
physiological mechanism of improved performance of Se-enriched yeast by acid
stress, assays of the key enzymes involved in GSH biosynthesis and determinations
of energy supply and regeneration were performed. The results indicated that
moderate acid stress increased the activity of gamma-glutamylcysteine synthetase
and the ratios of NADH/NAD+ and ATP/ADP, although no significant changes in
intracellular pH were observed. In addition, the molecular mechanism of moderate
acid stress favoring the improvement of Se-yeast performance was revealed by
comparing whole transcriptomes of yeast cells cultured at pH 3.5 and 5.5.
Comparative analysis of RNA-Seq data indicated that 882 genes were significantly
up-regulated by moderate acid stress. Functional annotation of the up-regulated
genes based on gene ontology and the Kyoto Encyclopedia of Genes and Genome
(KEGG) pathway showed that these genes are involved in ATP synthesis and sulfur
metabolism, including the biosynthesis of methionine, cysteine, and GSH in yeast
cells. Increased intracellular ATP supply and more amounts of sulfur-containing
substances in turn contributed to Na2SeO3 assimilation and biotransformation,
which ultimately improved the performance of the Se-enriched C. utilis.
PMID- 27896383
TI - Degradation of Mesotrione Affected by Environmental Conditions.
AB - With the widespread use of mesotrione, its residues have become increasingly
serious and caused a series of environmental problems in northern China. To
reduce the harm of these residues, we investigated the degradation effect of
mesotrione in typical soils in northern China at different temperatures, soil
moisture, pH values and initial concentrations. We also examined the influence of
soil type, microorganisms and the use of organic matter and biogas slurry as soil
amendments. Mesotrione degradation rates increased as the temperature, soil
moisture, soil pH and the content of biogas slurry increased; and decreased as
the organic content and the initial concentration of mesotrione increased. The
degradation rates were different in the three soils. Microorganisms played an
important role in the degradation process. These result may offer a theoretical
basis for decreasing mesotrione residue when using this product in northern
China.
PMID- 27896384
TI - Uptake of Total Petroleum Hydrocarbon (TPH) and Polycyclic Aromatic Hydrocarbons
(PAHs) by Oryza sativa L. Grown in Soil Contaminated with Crude Oil.
AB - The purpose of this study was to determine whether total petroleum hydrocarbon
(TPH) and polycyclic aromatic hydrocarbons (PAHs) present in crude oil
contaminated sites are transferred to roots, shoots and finally the grains of
rice crops (Oryza sativa L.) grown in those sites. Soil was artificially
contaminated with crude oil at concentrations of 0, 1000, 5000, 10,000, and
15,000 mg/kg, followed by planting of rice seedlings. After harvest, TPH in plant
samples were measured, and it was determined that the uptake of TPH by the plants
gradually increased as the concentration of oil in soil increased. Further, from
GC-MS analysis, it was observed that PAHs including naphthalene and phenanthrene
bioaccumulated in rice plant parts. Vital physico-chemical properties of soil
were also altered due to crude oil contamination. Our study revealed that rice
plants grown in crude oil polluted sites can uptake TPH including PAHs, thus
emphasising the importance of prior investigation of soil condition before
cultivation of crops.
PMID- 27896385
TI - Pictorial review: the pearls and pitfalls of the radiological manifestations of
gallstone ileus.
AB - We present a pictorial review of a range of typical and atypical cases of
gallstone ileus (GI), across a wide range of imaging modalities. GI is a
complication of gallstone disease causing mechanical intestinal obstruction due
to impaction of gallstone in the gastrointestinal tract. The spectrum of
presentation can vary enormously, and we highlight the importance of accurate
imaging diagnosis of GI especially early use of computed tomography. This will
lead to timely and appropriate surgical intervention with the potential avoidance
of unnecessary outcomes. The ambition of pictorial synopsis is to make the
radiologists to be more vigilant to the common and more obscure imaging findings
of GI.
PMID- 27896386
TI - Dual energy CT angiography: pros and cons of dual-energy metal artifact reduction
algorithm in patients after endovascular aortic repair.
AB - PURPOSE: To evaluate the value of metal artifact reduction (MAR) post-processing
and iodine MD images in fast kV-switching dual-energy computed tomography (DECT)
in patients after endovascular aortic repair (EVAR). MATERIALS AND METHODS:
Twenty-four consecutive EVAR patients (age 76 +/- 9 years, 7/24 (29%) with coils,
9/24 (37.5%) with 10 endoleaks) who underwent DECT angiography were included in
this HIPAA-compliant, IRB-approved retrospective study. Monochromatic
reconstructions included 55, 60, 65, 70, and 75 keV with and without MAR and
iodine MD images. Near field, far field, and vessel artifacts were assessed
subjectively (1 = none; 5 = severe) and objectively by measuring noise and
contrast-to-noise ratio. Visibility of endoleak was evaluated (1 = optimal; 5 =
not visible). RESULTS: MAR objectively decreased artifacts from EVAR stents in
the near field (60.7 +/- 25.4 HU vs. 70.1 +/- 34.2; p = .002) and subjectively
increased near field (3.2 +/- 0.9 vs. 2.8 +/- 0.6; p < .001), far field (2.2 +/-
0.6 vs. 1.6 +/- 0.6; p < .001), and vessel (3.1 +/- 1.1 vs. 2.5 +/- 0.9; p <
.001) artifacts. Near-field artifacts from coils were reduced by the MAR
objectively (72.4 +/- 24.8 vs. 182.7 +/- 57.3 HU; p < .001) and subjectively (4.5
+/- 0.5 vs. 4.9 +/- 0.4; p = .02). CNR of standard reconstructions was optimal at
60 keV (38.3 +/- 16.8). Reconstructions without MAR and iodine MD images provided
improved endoleak visualization in 6/10 (60%) of cases (median 1 for both)
compared to MAR (median 3) (p < 0.001). However, MAR improved visualization in
1/10 (10%) cases due to endoleak location adjacent to a coil. CONCLUSION: DECT
with MAR reduced artifacts from coils and improved endoleak visualization in 1/10
(10%) cases due to location adjacent to a coil. However, MAR impaired endoleak
visualization in 6/10 (60%) cases and should be reviewed combined with 60 keV
standard reconstructions and iodine MD images.
PMID- 27896387
TI - Alexandr I. Oparin and the Origin of Life: A Historical Reassessment of the
Heterotrophic Theory.
AB - The heterotrophic origin of life proposed by A. I. Oparin in the 1920s was part
of a Darwinian framework that assumed that living organisms were the historical
outcome of a gradual transformation of lifeless matter. Eighty years ago, he
presented a much more detailed scheme of the processes that may have led to life.
As argued here, the development of the heterotrophic theory has been shaped by an
entangled scenario in which a number of technical and scientific developments
concur, as well as non-scientific issues including the Stalinist period and the
tensions of the Cold War atmosphere. What has been largely ignored until now is
the key role played by Haeckel's ideas in shaping Oparin's theory. The
heterotrophic theory has been erroneously described as a metabolism-first
hypothesis in which genetic material was not included due to ideological
pressures of the Soviet government. As shown here, both characterizations are
mistaken. The development of Oparin's views and the ensuing debates cannot be
understood without considering the confrontation between Mendelism and Darwinism
during the first three decades of the past century, combined with the doubts
surrounding the existence of genes.
PMID- 27896388
TI - [Dying and death in societal transformation].
AB - Dying and death in modern societies are subject to profound social, professional
and cultural-religious changes. Secularization and a stronger differentiation of
societies have led to a change in the way humans handle the dying process.
Normatively ritualized collective behaviour has been replaced by an individual,
subjectivized approach. In late modern societies there are many different views
of what "successful" or "good" dying means.In the article this change is
described by the following seven theses: 1. We live longer and we die longer. 2.
We no longer die suddenly and unexpectedly but slowly and foreseeably. 3. Even
though our biological life on earth has become longer, our life has been
shortened by the loss of eternity. 4. We no longer die on the stage of ritualized
relationships with our family and neighbours but behind the curtains of
organizations. 5. We live and die in a society of organizations and have to get
organized for the final phase of our life. 6. Living and dying are no large,
state-owned enterprises but small, private enterprises. 7. The hospice movement
as well as palliative medicine have created public awareness, made dying a matter
of discussion and offered a new set of options.In late modernism end-of-life care
requires new approaches based on individual and shared responsibility as well as
cooperation between professional institutions and community-based voluntary
care.A change towards community care is visible. Thus "dying" is a topic in the
discussion about the future of public health and societal solidarity.
PMID- 27896389
TI - [Attitudes and experiences regarding physician assisted suicide : A survey among
members of the German Association for Palliative Medicine].
AB - BACKGROUND: The need to regulate physician-assisted suicide (PAS) and
organizations offering assisted suicide has been controversially debated in
Germany. Before the German parliament voted on various drafts in November 2015,
the German Association for Palliative Medicine surveyed its members on their
attitudes and experiences regarding PAS. METHOD: Items for the survey were
derived from the literature and consented in a focus group. LITERATURE SEARCH:
2005-2015 - PubMed: PAS [Title/Abstract] UND survey (all countries), grey
literature. We invited 5152 members of the DGP to participate in the online/paper
survey. Descriptive quantitative and content analytic qualitative analysis of
data using SPSS and MaxQDA. RESULTS: We obtained 1811 valid data sets (response
rate 36.9%). 33.7% of the participants were male, 43.6% were female, and 0.4%
identifed as other. Physicians accounted for 48.5% of the respondents, 17.8%
nurses, other professions 14.3%, and about 20% of the data was missing socio
demographic information. More than 90% agreed that "wishes for PAS may be
ambivalent" and "are rather a wish to end an unbearable situation". Of the 833
participating physicians, 56% refused participating in PAS and 74.2% had been
asked to perform PAS. PAS was actually performed by 3%. Of all participating
members, 56% approved of a legal ban of organizations offering assisted suicide.
More than 60% of all professions agreed that PAS is not a part of palliative
care. CONCLUSION: The respondents show a broad spectrum of attitudes, only partly
supporting statements of relevant bodies, such as DGP. Because many are
confronted with the issue, PAS is relevant to professionals in palliative care.
PMID- 27896390
TI - [Assisted suicide in the movies - what is (not) shown?]
AB - Whereas changes to the existing legal situation regarding assisted suicide have
been a topic of controversial debate in Germany for the last few years, this
issue has long been of interest for international film-makers. Since the mid
1980s, the theme of assisted suicide has repeatedly been taken up by cinema,
predominantly as central to a relationship drama. A sick person asks somebody
close to them for help. Often this somebody is a physician or a nurse, ultimately
an obvious way of solving the practical problem of how the assistant is to gain
access to a lethal substance. At the same time, this constellation enables a
physician or nurse to be forced into a dramatic conflict between professional
ethics and a personal obligation towards a loved one.Alongside more classic
clinical pictures such as terminal cancer, recent films about assisted suicide
have featured neurodegenerative diseases and physical disabilities. Another new
development is that elderly patients are no longer alone in requesting
assistance; films also and increasingly portray young adults. Besides a fear of
unbearable pain, more recent films have also increasingly addressed the worry
that permanent nursing might be required, as well as the subjectively experienced
loss of dignity. The possibilities offered by palliative care hardly play a role
in feature films. However, we should not forget, that movies are fictional and
orchestrated, or, in other words, they are neither educational nor documental.
They neither need nor want to portray reality, although they do wish to draw upon
real experiences. They exploit highly emotional and ethically controversial
themes to create tensions and stir up emotions in the audience, but ultimately
they seek to entertain. Movies about death and dying are always "die-tainment".
PMID- 27896392
TI - Tubular and genetic disorders associated with kidney stones.
AB - This concise review summarizes our current understanding and the recent
developments in genetics and related renal tubular disorders that have been
linked with, or have been shown to be causal in, renal stone disease. The aim is
to provide a readily accessible quick and easy update for urologists,
nephrologists and endocrine or metabolic physicians whose practice involves the
diagnosis and management of nephrolithiasis. An important message is to always
consider a seemingly rare, and usually genetic, cause of kidney stones, since
some of these are emerging as more common than originally thought, especially in
adult clinical practice in which a family history of stones is a common finding.
PMID- 27896391
TI - Histological aspects of the "fixed-particle" model of stone formation: animal
studies.
AB - Crystallization by itself is not harmful as long as the crystals are not retained
in the kidneys and are allowed to pass freely down the renal tubules to be
excreted in the urine. A number of theories have been proposed, and studies
performed, to determine the mechanisms involved in crystal retention within the
kidneys. It has been suggested that urinary transit through the nephron is too
fast for crystals to grow large enough to be retained. Thus, free particle
mechanism alone cannot lead to stone formation, and there must be a mechanism for
crystal fixation within the kidneys. Animal model studies suggest that crystal
retention is possible through both the free- and fixed-particle mechanisms.
Crystal-cell interaction leads to pathological changes which promote crystal
attachment to either epithelial cells or their basement membrane. Alternatively,
crystals aggregate and produce large enough particles to block the tubules
particularly at sites, where urinary flow is affected because of changes in the
luminal diameter of the tubule. Crystal deposits plugging the openings of the
ducts of Bellini may be the result of such a phenomenon. Intratubular crystals
translocating to renal interstitium may produce osteogenic changes in the
epithelial or endothelial cells resulting in the formation of the Randall's
plaques. Thus, fixation appears to be either through the formation of Randall's
plugs, crystal plugs clogging the openings of the ducts of Bellini or sub
epithelial crystal deposits, and the Randall's plaques.
PMID- 27896393
TI - Degree of tendon degeneration and stage of rotator cuff disease.
AB - PURPOSE: While tendon degeneration has been known to be an important cause of
rotator cuff disease, few studies have objectively proven the association of
tendon degeneration and rotator cuff disease. The purpose of this study was to
investigate changes of tendon degeneration with respect to the stage of rotator
cuff disease. METHODS: A total of 48 patients were included in the study: 12 with
tendinopathy, 12 with a partial-thickness tear (pRCT), 12 with a full-thickness
tear (fRCT), and 12 as the control. A full-thickness supraspinatus tendon sample
was harvested en bloc from the middle portion between the lateral edge and the
musculotendinous junction of the tendon using a biopsy punch with a diameter of 3
mm. Harvested samples were evaluated using a semi-quantitative grading scale with
7 parameters after haematoxylin and eosin staining. RESULTS: There was no
significant difference in age, gender, symptom duration, and Kellgren-Lawrence
grade between the groups except for the global fatty degeneration index. All of
the seven parameters were significantly different between the groups and could be
categorized as follows: early responders (fibre structure and arrangement),
gradual responder (rounding of the nuclei), after-tear responders (cellularity,
vascularity, and stainability), and late responder (hyalinization). The total
degeneration scores were not significantly different between the control (6.08 +/
1.16) and tendinopathy (6.67 +/- 1.83) (n.s.). However, the score of pRCT group
(10.42 +/- 1.31) was greater than that of tendinopathy (P < 0.001), and so was
the score of fRCT (12.33 +/- 1.15) than that of pRCT (p = 0.009). CONCLUSION:
This study showed that the degeneration of supraspinatus tendon increases as the
stage of rotator cuff disease progresses from tendinopathy to pRCT, and then to
fRCT. The degree of degeneration of tendinopathy was not different from that of
normal but aged tendons, and significant tendon degeneration began from the stage
of pRCT. The clinical relevance of the study is that strategies and goals of the
treatment for rotator cuff disease should be specific to its stage, in order to
prevent disease progression for tendinopathy and pRCT, as well to restore the
structural integrity for fRCT. LEVEL OF EVIDENCE: Diagnostic, Level I.
PMID- 27896394
TI - The orientation of the surgical epicondylar axis varies in varus and non-varus
knees in the coronal plane.
AB - PURPOSE: To investigate the orientations of the surgical epicondylar axis (SEA)
of varus and non-varus knees in the coronal plane. METHODS: One-hundred and sixty
two knees from 81 Chinese patients undergoing total knee arthroplasty (TKA) were
retrospectively investigated. The angle between the medial side of the femoral
mechanical axis and the SEA (MA-SEA), as well as the physiological valgus angle,
was measured in the coronal plane using three-dimensional reconstruction. The
joint line angle (JLA) and hip-knee-ankle angle (HKAA) were measured in long-leg
weight-bearing radiographs. The mean of each parameter was compared between the
varus (HKAA < 177.0 degrees ) and the non-varus knees (HKAA >= 177.0 degrees )
using an independent t test. Linear regression was used to assess the correlation
between MA-SEA with JLA and HKAA. RESULTS: A total of 42 non-varus knees (6
valgus and 36 neutral knees) and 98 varus knees were measured, as 22 knees were
abandoned due to unrecognizable bony landmarks. The mean MA-SEA and JLA were
significantly larger in non-varus knees (both, p < 0.01). The mean physiological
valgus angle was 5.9 +/- 1.0 degrees for Chinese TKA patients and was
significantly larger in varus knees (p < 0.01). There was a strong positive
correlation between the MA-SEA and JLA (R 2 = 0.35, p < 0.05). CONCLUSIONS: There
were significant differences in the orientation of the SEA between varus and non
varus knees, which was strongly correlated with the orientation of the femoral
joint line. These findings will enhance the current knowledge of knee anatomy and
should prove useful for coronal alignment in TKA. LEVEL OF EVIDENCE: III.
PMID- 27896397
TI - Potential of vis-NIR spectroscopy to monitor the silica precipitation reaction.
AB - Controlling production online is an important issue for chemical companies.
Visible and near-infrared (NIR) spectroscopy offers a number of important
advantages for process monitoring, and has been used since the 1980s. For complex
media such as silica precipitation samples, it is interesting to be able to study
independently the scattering and absorption effects. From the scattering
coefficient it is possible to extract information on the physical structure of
the medium. In this work, the physical changes were monitored during a silica
precipitation reaction by simple measurement of collimated transmittance NIR
spectra. It is shown that it is possible to differentiate samples before and
after the gel point, which is a key parameter for monitoring the process. From
these NIR spectra the scattering coefficients were simply extracted, allowing a
global vision of the physical changes in the medium. Then principal component
analysis of the spectra allowed refinement of the understanding of the scattering
effects, in combination with particle size monitoring.
PMID- 27896396
TI - Metabolic profiling of ob/ob mouse fatty liver using HR-MAS 1H-NMR combined with
gene expression analysis reveals alterations in betaine metabolism and the
transsulfuration pathway.
AB - Metabolic perturbations resulting from excessive hepatic fat accumulation are
poorly understood. Thus, in this study, leptin-deficient ob/ob mice, a mouse
model of fatty liver disease, were used to investigate metabolic alterations in
more detail. Metabolites were quantified in intact liver tissues of ob/ob (n = 8)
and control (n = 8) mice using high-resolution magic angle spinning (HR-MAS) 1H
NMR. In addition, after demonstrating that HR-MAS 1H-NMR does not affect RNA
integrity, transcriptional changes were measured by quantitative real-time PCR on
RNA extracted from the same specimens after HR-MAS 1H-NMR measurements.
Importantly, the gene expression changes obtained agreed with those observed by
Affymetrix microarray analysis performed on RNA isolated directly from fresh
frozen tissue. In total, 40 metabolites could be assigned in the spectra and
subsequently quantified. Quantification of lactate was also possible after
applying a lactate-editing pulse sequence that suppresses the lipid signal, which
superimposes the lactate methyl resonance at 1.3 ppm. Significant differences
were detected for creatinine, glutamate, glycine, glycolate, trimethylamine-N
oxide, dimethylglycine, ADP, AMP, betaine, phenylalanine, and uridine.
Furthermore, alterations in one-carbon metabolism, supported by both metabolic
and transcriptional changes, were observed. These included reduced demethylation
of betaine to dimethylglycine and the reduced expression of genes coding for
transsulfuration pathway enzymes, which appears to preserve methionine levels,
but may limit glutathione synthesis. Overall, the combined approach is
advantageous as it identifies changes not only at the single gene or metabolite
level but also deregulated pathways, thus providing critical insight into changes
accompanying fatty liver disease. Graphical abstract A Evaluation of RNA
integrity before and after HR-MAS 1H-NMR of intact mouse liver tissue. B
Metabolite concentrations and gene expression levels assessed in ob/ob
(steatotic) and ob/+ (control) mice using HR-MAS 1H-NMR and qRT-PCR,
respectively.
PMID- 27896395
TI - Level of evidence of free papers presented at the European Society of Sports
Traumatology, Knee Surgery and Arthroscopy congress from 2008 to 2016.
AB - PURPOSE: The European Society of Sports Traumatology, Knee Surgery and
Arthroscopy (ESSKA) congress is an important venue, and the research presented
can be a critical source of information used to impact clinical decisions and
health policies. The purpose of this study was to evaluate the level of evidence
of clinical free papers presented at the ESSKA congress from 2008 to 2016.
Moreover, this study evaluated whether there were any changes in the distribution
of level of evidence over time. METHODS: Two reviewers screened the free papers
presented at the ESSKA biannual congresses 2008-2016 for clinical evidence.
Clinical papers included observational studies and trials involving direct
interaction between an investigator and human subjects. Biomechanical studies,
technique demonstrations, cadaveric studies, and panel discussions were excluded.
The reviewers independently graded their level of evidence from level I (e.g.
high-quality randomized trials) to level IV (e.g. case series and reports) using
the classification system published by the American Academy of Orthopaedic
Surgeons. RESULTS: Of 1036 free papers that were identified, 729 met the
inclusion criteria and were evaluated. Overall, 18% of studies were level I, 24%
level II, 25% level III, and 33% level IV evidence. There was a significant
improvement in level of evidence over time (p < 0.0001), with the proportion of
level I studies increasing most dramatically (9% in 2008, 20% in 2012, 24% in
2016). Free papers studying the knee had higher levels of evidence than those
evaluating other joints (p = 0.002). CONCLUSION: The level of evidence of
clinical free papers presented at the ESSKA congress between 2008 and 2016 is
high relative to other orthopaedic meetings. Moreover, there has been a
significant improvement in the level of evidence over time. LEVEL OF EVIDENCE:
Systematic review, Level IV.
PMID- 27896399
TI - Cytochrome P450-mediated metabolism of triclosan attenuates its cytotoxicity in
hepatic cells.
AB - Triclosan is a widely used broad-spectrum anti-bacterial agent. The objectives of
this study were to identify which cytochrome P450 (CYP) isoforms metabolize
triclosan and to examine the effects of CYP-mediated metabolism on triclosan
induced cytotoxicity. A panel of HepG2-derived cell lines was established, each
of which overexpressed a single CYP isoform, including CYP1A1, CYP1A2, CYP1B1,
CYP2A6, CYP2A7, CYP2A13, CYP2B6, CYP2C8, CYP2C9, CYP2C18, CYP2C19, CYP2D6,
CYP2E1, CYP3A4, CYP3A5, CYP3A7, CYP4A11, and CYP4B1. The extent of triclosan
metabolism by each CYP was assessed by reversed-phase high-performance liquid
chromatography with online radiochemical detection. Seven isoforms were capable
of metabolizing triclosan, with the order of activity being CYP1A2 > CYP2B6 >
CYP2C19 > CYP2D6 ~ CYP1B1 > CYP2C18 ~ CYP1A1. The remaining 11 isoforms (CYP2A6,
CYP2A7, CYP2A13, CYP2C8, CYP2C9, CYP2E1, CYP3A4, CYP3A5, CYP3A7, CYP4A11, and
CYP4B1) had little or no activity toward triclosan. Three metabolites were
detected: 2,4-dichlorophenol, 4-chlorocatechol, and 5'-hydroxytriclosan.
Consistent with the in vitro screening data, triclosan was extensively
metabolized in HepG2 cells overexpressing CYP1A2, CYP2B6, CYP2C19, CYP2D6, and
CYP2C18, and these cells were much more resistant to triclosan-induced
cytotoxicity compared to vector cells, suggesting that CYP-mediated metabolism of
triclosan attenuated its cytotoxicity. In addition, 2,4-dichlorophenol and 4
chlorocatechol were less toxic than triclosan to HepG2/vector cells. Conjugation
of triclosan, catalyzed by human glucuronosyltransferases (UGTs) and
sulfotransferases (SULTs), also occurred in HepG2/CYP-overexpressing cells and
primary human hepatocytes, with a greater extent of conjugation being associated
with higher cell viability. Co-administration of triclosan with UGT or SULT
inhibitors led to greater cytotoxicity in HepG2 cells and primary human
hepatocytes, indicating that glucuronidation and sulfonation of triclosan are
detoxification pathways. Among the 18 CYP-overexpressing cell lines, an inverse
correlation was observed between cell viability and the level of triclosan in the
culture medium. In conclusion, human CYP isoforms that metabolize triclosan were
identified, and the metabolism of triclosan by CYPs, UGTs, and SULTs decreased
its cytotoxicity in hepatic cells.
PMID- 27896398
TI - Acute liver effects, disposition and metabolic fate of [14C]-fenclozic acid
following oral administration to normal and bile-cannulated male C57BL/6J mice.
AB - The distribution, metabolism, excretion and hepatic effects of the human
hepatotoxin fenclozic acid were investigated following single oral doses of 10
mg/kg to normal and bile duct-cannulated male C57BL/6J mice. Whole body
autoradiography showed distribution into all tissues except the brain, with
radioactivity still detectable in blood, kidney and liver at 72 h post-dose. Mice
dosed with [14C]-fenclozic acid showed acute centrilobular hepatocellular
necrosis, but no other regions of the liver were affected. The majority of the
[14C]-fenclozic acid-related material recovered was found in the urine/aqueous
cage wash, (49%) whilst a smaller portion (13%) was eliminated via the faeces.
Metabolic profiles for urine, bile and faecal extracts, obtained using liquid
chromatography and a combination of mass spectrometric and radioactivity
detection, revealed extensive metabolism of fenclozic acid in mice that involved
biotransformations via both oxidation and conjugation. These profiling studies
also revealed the presence of glutathione-derived metabolites providing evidence
for the production of reactive species by mice administered fenclozic acid.
Covalent binding to proteins from liver, kidney and plasma was also demonstrated,
although this binding was relatively low (less than 50 pmol eq./mg protein).
PMID- 27896400
TI - Quantitative assessment of the supraspinatus tendon on MRI using T2/T2* mapping
and shear-wave ultrasound elastography: a pilot study.
AB - OBJECTIVE: To determine whether there is an association between T2/T2* mapping
and supraspinatus tendon mechanical properties as assessed by shear-wave
ultrasound elastography (SWE). MATERIALS AND METHODS: This HIPAA-compliant
prospective pilot study received approval from our hospital's institutional
review board. Eight patients (3 males/5 females; age range 44-72 years) and nine
shoulders underwent conventional shoulder MRI, T2/T2* mapping on a 3-T scanner,
and SWE. Two musculoskeletal radiologists reviewed the MRI examinations in
consensus for evidence of supraspinatus tendon pathology, with tear size measured
for full-thickness tears. T2/T2* values and ultrasound shear-wave velocities
(SWV) were calculated in three corresponding equidistant regions of interest
(ROIs) within the insertional 1-2 cm of the supraspinatus tendon (medial, middle,
lateral). Pearson correlation coefficients between T2/T2* values and SWV, as well
as among T2, T2*, SWV and tear size, were calculated. RESULTS: There was a
significant negative correlation between T2* and SWV in the lateral ROI (r =
0.86, p = 0.013) and overall mean ROI (r = -0.90, p = 0.006). There was
significant positive correlation between T2 and measures of tear size in the
lateral and mean ROIs (r range 0.71-0.77, p range 0.016-0.034). There was
significant negative correlation between SWV and tear size in the middle and mean
ROIs (r range -0.79--0.68, p range 0.011-0.046). CONCLUSION: Our pilot study
demonstrated a potential relationship between T2* values and shear wave velocity
values in the supraspinatus tendon, a finding that could lead to an improved,
more quantitative evaluation of the rotator cuff tendons.
PMID- 27896401
TI - Sleeve Gastrectomy Combined with the Simplified Hill Repair in the Treatment of
Morbid Obesity and Gastro-esophageal Reflux Disease: Preliminary Results in 14
Patients.
AB - BACKGROUND: Our aim is to report our initial experience with a novel technique
which addresses morbid obesity and gastro-esophageal reflux disease (GERD)
simultaneously by combination of laparoscopic sleeve gastrectomy (LSG) and
simplified laparoscopic Hill repair (sLHR). METHODS: Retrospective analysis of
LSG+sLHR patients >5 months postoperatively includes demographics, GERD status,
proton-pump inhibitor (PPI) use, body mass index (BMI), excess BMI loss (EBMIL),
complications and GERD-Health Related Quality of Life (GERD-HRQL) questionnaire.
LSG+sLHR surgical technique: posterior cruroplasty, standard LSG, fixation of
the esophagogastric junction to the median arcuate ligament. RESULTS: Fourteen
patients underwent LSG+sLHR [12 women and 2 men, mean (range) age 47 years (27
57), BMI 41 kg/m2 (35-65)]. Five patients had previous gastric banding (GB). All
had symptomatic GERD confirmed by gastroscopy and/or upper-gastrointestinal
contrast study, two with chronic cough, 10 took PPI daily. Twelve had hiatus
hernia and two patulous cardia at surgical exploration. Associated interventions
were three GB removals and one cholecystectomy. Postoperative complication was
one surgical site infection. Follow-up of all patients at median 12.5 months (5
17) is as follows: symptomatic GERD 3/14 patients, chronic cough 0/14, daily PPI
use in 1/14, mean EBMIL 68% (17-120), satisfaction 93%, mean GERD-HRQL score
3,28/50 (0-15), with 4 patients 0/50, occasional bloatedness in 2 patients and
dysphagia not reported. CONCLUSION: The novel technique which combines LSG with
sLHR is feasible, safe and can be associated with GB removal. Preliminary results
showed patient satisfaction, high remission rate of preexisting GERD, decrease in
PPI use and unimpaired weight loss. Further evaluation is necessary in a
controlled and staged manner to establish the technique's real effectiveness.
PMID- 27896402
TI - Multifocal Versus Solitary Papillary Thyroid Carcinoma: Reply.
PMID- 27896403
TI - Histology is a Prognostic Indicator After Pulmonary Metastasectomy from Renal
Cell Carcinoma.
AB - OBJECTIVES: There are only a few detailed reports concerning the prognosticators
following surgical resection of pulmonary metastases (PMs) from renal cell
carcinoma (RCC). We investigated the prognosis of patients with RCC PMs
undergoing pulmonary metastasectomy and identified prognostic factors in a multi
institutional retrospective study. METHODS: We retrospectively evaluated 84
patients who underwent resection of PMs from RCC between 1993 and 2014. We
assessed the clinicopathological characteristics, focusing on the histological
findings of PMs. We classified the histology into three types: pure clear cell
carcinoma (N = 68), clear cell carcinoma combined with other histology type (N =
8), and non-clear cell carcinoma (N = 8). We examined the relationship between
these histological types and the prognosis of patients with PMs from RCC.
RESULTS: Complete resection was achieved in 78 patients (93%). The 5-year overall
survival rate after metastasectomy was 59.7%. In multivariate analysis, three
factors were found to be independent favorable prognostic factors of overall
survival after lung metastasectomy [tumor size <2 cm, hazard ratio (HR) = 0.31,
95% confidence interval (CI) 0.13-0.78, P = 0.012; clear cell type, HR = 0.37,
95% CI 0.16-0.83, P = 0.025; and complete resection, HR = 0.27, 95% CI 0.10-0.78,
P = 0.015]. CONCLUSIONS: This study indicates that a histological finding of the
clear cell type is a significant favorable prognostic factor in addition to
complete resection and a tumor size <2 cm. Histological evaluation of PM lesions
is important for predicting survival after metastasectomy.
PMID- 27896404
TI - En Bloc Resection with Major Blood Vessel Reconstruction for Locally Invasive
Retroperitoneal Paragangliomas: A 15-Year Experience with Literature Review.
AB - BACKGROUND: Retroperitoneal paragangliomas (PGs) are a rare subgroup of
neuroendocrine tumors that are commonly located alongside the abdominal aorta.
Complete tumor resection is thought to be restricted in patients with major blood
vessel involvement. However, no study has specifically focused on aggressive
surgical treatment in such patients. We evaluated the value of en bloc resection
with major blood vessel reconstruction for locally invasive retroperitoneal PGs.
METHODS: Twenty-nine patients with retroperitoneal PGs with major blood vessel
involvement were included in this retrospective study. Survival was compared
between patients who underwent en bloc resection with major blood vessel
reconstruction and those who underwent medical treatment. Prognostic predictors
were analyzed in patients who underwent en bloc resection with major blood vessel
reconstruction. RESULTS: All 11 patients who underwent medical treatment obtained
a pathological diagnosis by computed tomography-guided percutaneous needle
biopsy. All 18 patients who underwent en bloc resection with major blood vessel
reconstruction achieved complete tumor resection. Overall survival was higher in
patients who underwent complete tumor resection than in those who underwent
medical treatment (p < 0.05). No perioperative mortality occurred in patients who
underwent complete tumor resection. Patients with no metastasis, no organ
invasion, R0 resection, a Ki-67 index of <=3%, and a tumor diameter of <=11.7 cm
showed better tumor-free survival (p < 0.05). CONCLUSIONS: En bloc resection with
major blood vessel reconstruction can be successfully performed and provide
satisfactory outcomes for patients with locally invasive retroperitoneal PGs.
This technique may become a standard surgical strategy for properly selected
patients.
PMID- 27896405
TI - The Totally Implantable Venous Access Device with Occurrence of Pneumothorax
Still Remains an Issue.
PMID- 27896406
TI - Evaluation of Rex Shunt on Cavernous Transformation of the Portal Vein in
Children.
AB - BACKGROUND: Children with cavernous transformation of the portal vein (CTPV)
develop severe complications from prehepatic portal hypertension, such as
recurrent variceal bleeding and thrombocytopenia. In this study, we reported the
results of 30 children with symptomatic CTPV that were treated by a Rex shunt.
The effectiveness of this surgical approach was evaluated. METHODS: A
retrospective review was performed of 30 children aged between 3 and 18 years
with CTPV, who underwent a Rex shunt between 2008 and 2015. All children were
evaluated based on symptoms, complete blood count, portal system color-flow
Doppler ultrasound or computed tomography angiography portography and gastroscopy
for gastroesophageal varices pre- and postoperatively. Children were also
evaluated during follow-up. Intraoperative evaluations included liver biopsy,
portography and portal pressure. RESULTS: Twenty-one patients demonstrated
intermittent bleeding from gastroesophageal varices, 3 patients showed
hypersplenism with varying degrees of leucopenia, anemia and thrombocytopenia,
and in 6 patients both bleeding and hypersplenism were observed. Rex was
successful in 28 patients (93.3%). The portal pressure immediately decreased
significantly after placing of the shunt (P < 0.01). During the clinical follow
up period within 2-82 months, transaminase levels were maintained in the normal
range. Blood flow velocity and diameter of the left portal vein significantly
increased after surgery (P < 0.01). In addition, leukocyte and platelet counts
increased postoperatively and anemia improved significantly (P < 0.01).
Gastroscopy results indicated that the degree of gastroesophageal varices
significantly alleviated postoperatively within 3 months and 1 year (P < 0.01).
In 2 patients who demonstrated nodular cirrhosis and chronic active hepatitis,
success of the Rex shunt was not achieved after operation. We found that for Rex
effectiveness hepatic pathology and patient age were major determinants.
CONCLUSION: Rex shunt is an effective approach for the treatment of children
suffering from CTPV at an early stage that do not show additional liver lesions.
PMID- 27896407
TI - Mixed-Methods Assessment of Trauma and Acute Care Surgical Quality Improvement
Programs in Peru.
AB - BACKGROUND: Evidence for the positive impact of quality improvement (QI) programs
on morbidity, mortality, patient satisfaction, and cost is strong. Data regarding
the status of QI programs in low- and middle-income countries, as well as in
depth examination of barriers and facilitators to their implementation, are
limited. METHODS: This cross-sectional, descriptive study employed a mixed
methods design, including distribution of an anonymous quantitative survey and
individual interviews with healthcare providers who participate in the care of
the injured at ten large hospitals in Lima, Peru. RESULTS: Key areas identified
for improvement in morbidity and mortality (M&M) conferences were the
standardization of case selection, incorporation of evidence from the medical
literature into case presentation and discussion, case documentation, and the
development of a clear plan for case follow-up. The key barriers to QI program
implementation were a lack of prioritization of QI, lack of sufficient human and
administrative resources, lack of political support, and lack of education on QI
practices. CONCLUSIONS: A national program that makes QI a required part of all
health providers' professional training and responsibilities would effectively
address a majority of identified barriers to QI programs in Peru. Specifically,
the presence of basic QI elements, such as M&M conferences, should be required at
hospitals that train pre-graduate physicians. Alternatively, short of this
national-level organization, efforts that capitalize on local examples through
apprenticeships between institutions or integration of QI into continuing medical
education would be expected to build on the facilitators for QI programs that
exist in Peru.
PMID- 27896408
TI - Is Routine Prophylactic Cholecystectomy Necessary During Gastrectomy for Gastric
Cancer?
AB - BACKGROUND: Performing routine prophylactic cholecystectomy during gastrectomy in
gastric cancer patients has been controversial. The frequency of cholelithiasis,
cholecystitis, and cholangitis after gastrectomy has not been reported for large
patient populations, so we carried out this retrospective study to aid the
assessment of the necessity for prophylactic cholecystectomy. METHODS: This
retrospective study reviewed 969 patients with gastric cancer who underwent
distal gastrectomies with Billroth I reconstructions (DG) or total gastrectomies
with Roux-en-Y reconstructions (TG), preserving the gallbladder, between January
2000 and May 2012. Risk factors for cholelithiasis, cholecystitis, and
cholangitis after gastrectomy were evaluated using logistic regression analysis.
RESULTS: The median follow-up period after gastrectomy was 48 months (range 12
159 months). After gastrectomy, cholelithiasis occurred in 6.1% (59/969) patients
and cholecystitis and/or cholangitis occurred in 1.2% (12/969) patients. The
method used for gastrectomy was an independent risk factor for both
cholelithiasis (TG/DG: OR (95%CI): 1.900 (1.114-3.240), p = 0.018) and
cholecystitis and/or cholangitis (TG/DG: OR (95%CI): 8.325 (1.814-38.197), p =
0.006). In patients who developed cholelithiasis, the incidence of cholecystitis
and/or cholangitis was 31.3% (10/32) after TG, but only 7.4% after DG.
CONCLUSIONS: Prophylactic cholecystectomy may be unnecessary in distal
gastrectomy with Billroth I reconstruction.
PMID- 27896409
TI - Post-resection Prognosis of Combined Hepatocellular Carcinoma-Cholangiocarcinoma
According to the 2010 WHO Classification.
AB - BACKGROUND: Combined hepatocellular carcinoma and cholangiocarcinoma (cHC) has
wide histological diversity. We intended to investigate the prognostic influence
of tumor types of cHC. METHODS: We analyzed the clinical and pathological
features of cHC along 2010 WHO classification. Study group was 100 cHC patients
who underwent primary resection. Control group comprised 200 propensity score
matched patients with intrahepatic cholangiocarcinoma (ICC). RESULTS: In cHC
group, tumor diameter was 4.4 +/- 2.8 cm and 95 patients had single tumor. They
were classified as classical type in 46 and subtypes with stem cell (SC) features
in 54. Subtypes with SC features included typical in 16, intermediate cell in 22,
and cholangiolocellular in 16. Their 1- and 3-year tumor recurrence rates were
31.7 and 59.8%; and 1- and 3-year patient survival rates were 92.5 and 77.3%,
respectively. Tumor recurrence (p = 0.008) and patient survival (p = 0.005) rates
were different according to tumor types. Further stratification by subtypes with
SC features resulted in prognostic stratification in tumor recurrence (p = 0.045)
and patient survival (p = 0.042). However, tumor stage was the only independent
risk factor for tumor recurrence and patient survival. Comparing with ICC control
group, cHC group showed no significant difference in rates of tumor recurrence (p
= 0.523), but better survival outcomes (p = 0.008). Median post-recurrence
patient survival period was 20 months in cHC patients and 6 months in ICC
patients (p = 0.001). CONCLUSIONS: Our results indicated that there would be
close relationship between the post-resection prognosis and histological types
according to the 2010 WHO classification, but these histological types did not
become an independent prognostic factor.
PMID- 27896410
TI - Low Incidence of Lymph Node Metastasis After Resection of Hepatitis Virus-Related
Intrahepatic Cholangiocarcinoma.
AB - BACKGROUND AND OBJECTIVES: We determined the rates of initial lymph node
metastasis following curative resection of mass-forming type-intrahepatic
cholangiocarcinoma (ICC) in patients with and without hepatitis virus infection.
METHODS: We enrolled 87 patients between January 2000 and December 2013 with ICC
without preoperative lymph node metastasis and without lymph node dissection.
Patients included 32 who were seropositive for hepatitis B or C virus (virus
group) and 55 who had no evidence of hepatitis virus infection (nonvirus group).
Postsurgical outcomes and initial recurrence of the groups were compared, and we
identified the risk factors for lymph node metastasis as initial recurrence.
RESULTS: Platelet counts and prothrombin activities were significantly lower in
the virus group compared with those of the nonvirus group. The number of patients
with chronic hepatitis or liver cirrhosis was significantly higher in the virus
group compared with the nonvirus group as well as their respective rates of
recurrence-free survival. One patient (3%) in the virus group and 14 patients
(25%) in the nonvirus group had lymph node metastasis as initial recurrence (p =
0.007). Multivariate analysis revealed that the absence of hepatitis virus
infection as an independent risk factor (p = 0.047). CONCLUSION: Hepatitis virus
associated mass-forming-type ICC confers a low risk of lymph node metastasis as
initial postoperative recurrence.
PMID- 27896411
TI - The Effects of Intravenous Lidocaine Infusions on the Quality of Recovery and
Chronic Pain After Robotic Thyroidectomy: A Randomized, Double-Blinded,
Controlled Study.
AB - BACKGROUND: The effect of the systemic lidocaine on postoperative recovery has
not been definitively investigated despite its analgesic efficacy after surgery.
The aim of this randomized, double-blinded, controlled study was to evaluate the
effect of intravenously administered lidocaine on the quality of recovery and on
acute and chronic postoperative pain after robot-assisted thyroidectomy. METHODS:
Ninety patients who were undergoing robotic thyroidectomy were randomly assigned
to the lidocaine or the control groups. The patients received 2 mg/kg of
lidocaine followed by continuous infusions of 3 mg/kg/h of lidocaine (Group L) or
the same volume of 0.9% normal saline (Group C) intravenously during anesthesia.
The acute pain profiles and the quality of recovery, which was assessed using the
quality of recovery-40 questionnaire (QoR-40), were evaluated for 2 days
postoperatively. Chronic postsurgical pain (CPSP) and sensory disturbances at the
surgical sites were evaluated 3 months after surgery. RESULTS: The QoR-40 and
pain scores that were assessed during the 2 days that followed surgery were
largely comparable between the groups. However, CPSP was more prevalent in the
Group C than in the Group L (16/43 vs. 6/41; p = 0.025). The tactile sensory
score 3 months after the operation was significantly greater in the Group L than
in the Group C (7 vs. 5; p = 0.001). CONCLUSION: Systemic lidocaine
administration was associated with reductions in CPSP and sensory impairment
after robot-assisted thyroidectomy although it was not able to reduce acute
postsurgical pain or improve the quality of recovery. Trial registry number
NCT01907997 ( http://clinicaltrials.gov ).
PMID- 27896413
TI - Endovascular Revascularization of Hemodialysis Thrombosed Grafts with the
Hydrodynamic Thrombectomy Catheter. Our 7-Year Experience.
AB - PURPOSE: To evaluate the efficacy and safety of the hydrodynamic thrombectomy
catheter (AngioJet DVX) in the salvage of thrombosed hemodialysis vascular
grafts. MATERIALS AND METHODS: A retrospective study was designed, including all
patients with occluded arteriovenous grafts treated with the AngioJet system
between 2007 and 2014 in our institution. Outcomes included technical success,
clinical success, complications, and primary and secondary patencies. Procedural
success was defined as angiographic confirmation of flow restoration, the
presence of a pulsatile thrill along the graft, and successful resumption of at
least one hemodialysis session. Primary and secondary patencies after first AVG
thrombectomy were calculated with Kaplan-Meier curves. Cox regression was used to
determine prognostic factors of primary patency after every thrombectomy episode.
RESULTS: A total of 149 thrombectomies were performed in 68 grafts. After
thrombectomy, endovascular treatment of one or more stenosis was performed in all
cases. Technical success was 93% and clinical success was 86%. Complications
occurred in 7 thrombectomies, most of them were minor except for one anastomosis
rupture requiring surgery. Primary and secondary patencies were 52, 41, and 23
and 76, 68, and 57% at 3, 6 and 12 months, respectively. Independent prognostic
factors of poor patency after every thrombectomy episode were the presence of
residual thrombus (OR 1.831, P = 0.008) and time from last thrombosis (less than
1 month; and OR 7.116, P < 0.001). CONCLUSION: Percutaneous mechanical
thrombectomy with AngioJet is a safe technique with a high-clinical success rate.
The presence of residual thrombus after thrombectomy and early re-occlusions are
related to poorer results.
PMID- 27896412
TI - The bacterial quorum-sensing molecule, N-3-oxo-dodecanoyl-L-homoserine lactone,
inhibits mediator release and chemotaxis of murine mast cells.
AB - OBJECTIVE: Bacterial colonization relies on communication between bacteria via so
called "quorum-sensing molecules", which include the acyl-homoserine lactone
group. Certain acyl-homoserine lactones can modulate mammalian cell function and
are thought to contribute to bacterial pathogenicity. Given the role of mast
cells in host defense, we investigated the ability of acyl-homoserine lactones to
modulate mast cell function. METHODS: We utilized murine primary mast cell
cultures to assess the effect of acyl-homoserine lactones on degranulation and
cytokine release in response to different stimuli. We also assessed cell
migration in response to chemoattractants. The effect of acyl-homoserine lactones
in vivo was tested using a passive cutaneous anaphylaxis model. RESULTS: Two of
the tested quorum-sensing molecules, N-3-oxo-dodecanoyl-L-homoserine lactone and
N-Dodecanoyl-L-homoserine lactone, inhibited IgE dependent and independent
degranulation and mediator release from primary mast cells. Further testing of N
3-oxo-dodecanoyl-L-homoserine lactone, the most potent inhibitor and a product of
Pseudomonas aeruginosa, revealed that it also attenuated chemotaxis and LPS
induced cytokine production. In vivo, N-3-oxo-dodecanoyl-L-homoserine lactone
inhibited the passive cutaneous anaphylaxis response in mice. CONCLUSION: The
ability of N-3-oxo-dodecanoyl-L-homoserine lactone to stabilize mast cells may
contribute to the pathogenicity of P. aeruginosa but could potentially be
exploited therapeutically in allergic disease.
PMID- 27896415
TI - Predicting risk factors for varicella zoster virus infection and postherpetic
neuralgia after hematopoietic cell transplantation using ordered logistic
regression analysis.
AB - To identify risk factors for varicella zoster virus (VZV) infection and
postherpetic neuralgia (PHN) after hematopoietic cell transplantation (HCT), we
conducted a retrospective chart review of 163 consecutive patients who underwent
HCT between November 2004 and July 2014. Overall, the male/female (M/F) ratio was
80/83, median age at HCT was 54 (range 15-69) years, and autologous/allogeneic
HCT (auto/allo-HCT) ratio was 71/92. Forty-four patients [M/F, 25/19; median age,
57 (range: 16-68) years; auto/allo-HCT, 26/18] developed VZV infection after HCT.
All cases were successfully treated with acyclovir (ACV) or valacyclovir, and
there was no VZV-related death. Nine (20%) of the 44 patients [M/F, 5/4; median
age, 58 (range: 21-63) years; auto/allo-HCT, 7/2] developed PHN after resolution
of zoster. Multivariate ordered logistic analysis identified receiving
immunosuppressive therapy at the cessation of ACV [odds ratio (OR) = 74.53; 95%
confidence interval (CI) = 6.99-794.32; P = 0.0004] as a risk factor for VZV
infection and PHN in allo-HCT recipients. However, in auto-HCT recipients, only
advanced age was identified as a risk factor (OR = 1.06, 95% CI = 1.002-1.127, P
= 0.0429). Our findings indicate receiving immunosuppressive therapy at the
cessation of ACV is a significant risk factor for allo-HCT recipients, while
advanced age is a significant risk factor for auto-HCT recipients.
PMID- 27896414
TI - Closure Devices for Iatrogenic Thoraco-Cervical Vascular Injuries.
AB - INTRODUCTION: The unintentional arterial placement of a central venous line can
have catastrophic complications. The purpose of this systematic review is to
assess and analyse the available evidence regarding the use of the various
vascular closure devices (VCDs) for the management of iatrogenic thoraco-cervical
arterial injuries (ITCAI). METHODS: A systematic review was performed according
to PRISMA guidelines. RESULTS: Thirty-two relevant case series and case reports
were identified with a total of 69 patients having being studied. In the majority
of the studies, plug-based VCDs were used (81%) followed by suture-based devices
(19%). The majority of studies reported successful outcomes from the use of VCDs
in terms of achieving immediate haemostasis without any acute complications. Long
term follow-up data were only available in nine studies with only one case of
carotid pseudoaneurysm being reported after 1-month post-procedure. All other
cases had no reported long-term complications. Five studies performed direct or
indirect comparisons between VCDs and other treatments (open surgery or stent
grafting) suggesting no significant differences in safety or effectiveness.
CONCLUSION: Although there is limited evidence, VCDs appear to be safe and
effective for the management of ITCAIs. Further research is warranted regarding
the effectiveness of this approach in comparison to surgery and in order to
identify those patients who are more likely to benefit from this minimally
invasive approach.
PMID- 27896416
TI - Prevalence of isolated maxillary lateral incisor agenesis in Syrian adolescents.
AB - PURPOSE: This research is designed to obtain a better understanding and provide
more insight of this phenomenon through evaluating the prevalence of congenital
absence of maxillary lateral incisors in a Syrian population. METHODS: The method
involved clinical examination of 8000 school children with an equal number of
males and females (age range 12-15 years) to identify students only affected by
bilateral or unilateral congenital absence of maxillary lateral incisors.
Agenesis was determined based on radiological evidence. RESULTS: The results of
this study showed that the prevalence of isolated maxillary lateral incisors
agenesis was 1.15%. In the sample studied, 66.3% of the patients were female and
33.7% were male (p < 0.05). Absence was bilateral in 47.8% of patients and
unilateral in 52.2%. Of the unilateral cases, 48% occurred on the right side and
52% on the left side, and the contralateral maxillary incisor was found to be
microdont in 43.75%, right lateral in 47.6%, and left lateral in 52.4%.
CONCLUSION: The results of this study allow the conclusion that the prevalence of
isolated maxillary lateral incisors agenesis in a Syrian population is within the
average range with regard to other populations. A significant familial component
to the etiology of maxillary lateral incisors agenesis was found.
PMID- 27896417
TI - Age assessment based on third molar mineralisation : An epidemiological
radiological study on a Central-European population.
AB - PURPOSE: The method published in 1973 by Demirjian et al. to assess age based on
the mineralisation stage of permanent teeth is standard practice in forensic and
orthodontic diagnostics. From age 14 onwards, however, this method is only
applicable to third molars. No current epidemiological data on third molar
mineralisation are available for Caucasian Central-Europeans. Thus, a method for
assessing age in this population based on third molar mineralisation is
presented, taking into account possible topographic and gender-specific
differences. METHODS: The study included 486 Caucasian Central-European
orthodontic patients (9-24 years) with unaffected dental development. In an
anonymized, randomized, and blinded manner, one orthopantomogram of each patient
at either start, mid or end of treatment was visually analysed regarding the
mineralisation stage of the third molars according to the method by Demirjian et
al. Corresponding topographic and gender-specific point scores were determined
and added to form a dental maturity score. Prediction equations for age
assessment were derived by linear regression analysis with chronological age and
checked for reliability within the study population. RESULTS: Mineralisation of
the lower third molars was slower than mineralisation of the upper third molars,
whereas no jaw-side-specific differences were detected. Gender-specific
differences were relatively small, but girls reached mineralisation stage C
earlier than boys, whereas boys showed an accelerated mineralisation between the
ages of 15 and 16. CONCLUSIONS: The global equation generated by regression
analysis (age = -1.103 + 0.268 * dental maturity score 18 + 28 + 38 + 48) is
sufficiently accurate and reliable for clinical use. Age assessment only based on
either maxilla or mandible also shows good prognostic reliability.
PMID- 27896418
TI - Effects of two different removable functional appliances on depth of the
posterior airway space : A retrospective cephalometric study.
AB - OBJECTIVES: Aim of the study was the comparison of treatment effects upon
pharyngeal depth in patients treated with either (1) S-II-appliance or (2)
Activator. METHODS: Pre- and posttreatment lateral cephalograms of 73 patients
were analyzed using a customized set of measurements. According to predefined
criteria, patients were either treated with S-II-appliance or Activator.
Pharyngeal depth was assessed from cranial to caudal at six levels (P1 to P6).
Paired t tests were used for intragroup and t tests for independent samples for
intergroup comparisons. Results were considered statistically significant at p <=
0.05. RESULTS: 37 (22 female, 15 male) patients were treated with the S-II
appliance (mean pretreatment age 11.1 +/- 1.07 years), and 36 (20 female, 16
male) patients with an Activator (mean pretreatment age 11.3 +/- 1.12 years).
Mean treatment time was 14 months for the S-II-appliance and 12 months for the
Activator. Most measurements at the different levels showed an average increase
ranging from approximately 0.5 mm to almost 2 mm. Changes were more pronounced in
S-II patients. In contrast to intergroup comparisons, some intragroup comparisons
revealed statistically significant differences at levels P5 (p = 0.0062) and P6
(p = 0.0155) in S-II patients and at P1-level (p = 0.0197) in Activator patients.
CONCLUSIONS: Both appliances similarly led to an increase of the pharyngeal
depth. The sites of statistically significant changes differed.
PMID- 27896419
TI - Effects of enamel sealing on shear bond strength and the adhesive remnant index :
Study of three fluoride-releasing adhesives in combination with metal and ceramic
brackets.
AB - OBJECTIVES: Selected combinations of materials were used to create tooth-adhesive
bracket complexes to evaluate shear bond strength (SBS) and the adhesive remnant
index (ARI) with regard to enamel sealing. METHODS: Four adhesive systems also
appropriate for use as enamel sealants were combined with four bracket types,
resulting in 16 adhesive-bracket combinations, each of which was tested on 15
permanent bovine incisors. Sealant-adhesives included two recently introduced
fluoride-releasing systems (Riva bond LC(r) and go!(r)), one established primer
(Opal(r) SealTM), and one commonly used adhesive as control (TransbondTM XT).
Brackets included two metal (discovery(r) by Dentaurum and Sprint(r)) and two
ceramic (discovery(r) pearl and GLAM(r)) systems. After embedding the bovine
teeth, bonding the brackets to their surface, and storing the resultant samples
as per DIN 13990-2 with modifications, an SBS test was performed by applying the
shear force directly at the bracket base in an incisocervical direction. Then the
ARI scores were determined. RESULTS: Discovery(r) + TransbondTM XT yielded the
highest (47.2 MPa) and GLAM(r) + go!(r) the lowest (17.0 MPa) mean SBS values.
Significant differences (p < 0.0001) were found between metal and ceramic
brackets of the same manufacturers (Dentaurum and Forestadent). Our ratings of
the failure modes upon debonding predominantly yielded ARI 0 or 1. The high SBS
values and low ARI scores observed with discovery(r) + Transbond XTTM were
reflected in a high rate of enamel fracture, which occurred on 11 of the 15 tooth
specimens in this group. CONCLUSIONS: All sealant-bracket combinations were found
to yield levels of SBS adequate for clinical application. SBS values and ARI
scores varied significantly depending on which sealant-brackets were used.
PMID- 27896420
TI - Level of agreement between three-dimensional volumetric ultrasound and real-time
conventional ultrasound in the assessment of synovitis, tenosynovitis and
erosions in rheumatoid arthritis patients.
AB - The aim of the study was to assess agreement between three-dimensional volumetric
ultrasound (3D US) performed by inexperienced staff and real-time conventional
ultrasound (2D US) performed by experienced rheumatologists in detecting and
scoring rheumatoid arthritis (RA) lesions. Thirty-one RA patients underwent
examination of seven joints by 2D and 3D US for synovitis and tenosynovitis in B
and PD modes and erosions in B mode. A global score for synovitis and global
counts for synovitis, tenosynovitis and erosions were also calculated for every
patient. Agreement between 2D and 3D US was analysed for counts and scores at the
patient level with the intraclass correlation coefficient (ICC) and for counts at
the joint level with Cohen's kappa coefficient. B-mode synovitis was detected at
a median of five joints in each patient, frequently in wrists and hand joints but
less frequently in foot joints. PD-mode synovitis, tenosynovitis and erosions
were detected less frequently. All ICCs for agreement between 2D and 3D US
findings were significant. All kappa coefficients were significant for B- and PD
mode synovitis and for erosions (except PIP3), while those for tenosynovitis were
only significant for MCP2 (B and PD modes) and PIP2 (B mode). Although the 3D US
volumes were acquired by inexperienced operators, agreement between 2D and 3D US
was acceptable in detecting and scoring synovitis. A higher level of agreement
was attained for patient-level global scores and counts than for individual
joints.
PMID- 27896422
TI - Transcatheter aortic matryoshka doll: thrombosis.
PMID- 27896421
TI - Multiple behavioral factors are associated with occurrence of large, flat
colorectal polyps.
AB - PURPOSE: The prevalence of advanced dysplasia and synchronous lesions is
particularly high in patients with large, flat colorectal polyps. However, the
impact of lifestyle on the development of such polyps is poorly investigated.
Hence, this study aims to identify associations between behavioral factors and
the occurrence of large, flat colorectal polyps. METHODS: Behavioral factors were
retrospectively analyzed in patients with large, flat polyps and control patients
with at most one diminutive polyp. Information on lifestyle factors,
comorbidities, and demographic parameters were determined by a structured, self
administered questionnaire. RESULTS: Questionnaires of 350 patients with large,
flat polyps and 489 control patients were included in the analysis. Most large,
flat colorectal polyps contained adenoma with low-grade neoplasia and were
located in the right colon. Multivariate analysis showed that advanced age (per 1
year increase-OR 1.09, CI 1.07-1.11, p < 0.0001), frequent cigarette smoking (OR
2.04, CI 1.25-3.32, p = 0.0041), daily consumption of red meat (OR 3.61, CI 1.00
12.96, p = 0.0492), and frequent bowel movements (OR 1.62, CI 1.13-2.33, p =
0.0093) were independent risk factors for occurrence of large, flat colorectal
polyps. In contrast, frequent intake of cereals (OR 0.62, CI 0.44-0.88, p =
0.0074) was associated with a reduced risk. CONCLUSION: Multiple behavioral
factors modulate the risk for developing large, flat colorectal polyps. This
knowledge can be used to improve prevention of colorectal cancer.
PMID- 27896423
TI - Long-term outcomes following H-type tracheoesophageal fistula repair in infants.
AB - PURPOSE: To evaluate outcomes following repair of H-type tracheoesophageal
fistula (TEF). METHODS: Retrospective chart review of infants with H-type TEF
treated at our institution between 2000 and 2014. Patient demographics, surgical
management, and postoperative function were evaluated. RESULTS: Of the 268
patients with esophageal atresia/TEF treated at our center, 16 (6%) had an H-type
TEF (10 males). Thirteen (81%) had associated anomalies. All patients were
symptomatic: choking and sputtering were the most common presentation (n = 10,
63%). Diagnosis Age at diagnosis was 8 days (1 day-34 months). All patients were
diagnosed based on a single esophagogram. Prior to surgery, 12 (75%) patients
underwent bronchoscopy and 11 underwent cannulation of the TEF tract. Surgery All
patients underwent open repair. One was started thoracoscopically but converted
to open due to esophageal sero-muscular injury. Repair was achieved in all
patients via a transcervical approach (right-sided incision in 15). One patient
had an unsuccessful prior attempt at repair using tissue glue. Following TEF
division, 11 patients had tissue interposition grafts placed (9 muscle, 2 fat).
Postoperative course Eight (50%) patients had postoperative vocal cord paresis (6
right-sided, 2 bilateral). A patient developed recurrent TEF 78 days
postoperatively that was subsequently repaired. Follow-up At 41 months (8-143),
there were no mortalities, all patients with vocal cord paresis were asymptomatic
despite the fact that only 3 of 8 (38%) regained function, and nine (56%)
patients had gastro-esophageal reflux requiring treatment. CONCLUSIONS: This
large, single-center series demonstrates that H-type TEF can be diagnosed with
esophagogram at an early age. Postoperative recurrent laryngeal nerve paresis and
gastro-esophageal reflux disease are common following repair. Although most
patients with vocal cord paresis eventually become asymptomatic, two-thirds do
not regain vocal cord function. This reinforces the importance of routine
examination of vocal cord movement following H-type TEF repair.
PMID- 27896425
TI - Probability and pattern of occult cervical lymph node metastases in primary
parotid carcinoma.
AB - The present study was undertaken to evaluate real probability and pattern of
cervical occult lymph node metastases (OLNM) in primary parotid carcinoma (PPC).
We carried out a retrospective analysis of 66 patients treated in years 1992-2010
due to PPC, who underwent elective neck dissection (END). In search of risk
factors for OLNM, we analysed the following parameters: age, sex, pT-Status,
tumour size, skin invasion, facial nerve palsy, tumour fixation, extraparotid
extension, localization, grade, histology, intra/periparotid LN metastases
(IPLNM). OLNM was observed in 30.3% of patients. In a univariate analysis
statistical significance was found for IPLNM, extraparotid extension and high
risk histology. A multivariate analysis showed statistical significance only for
the first variable. The most common location of cervical OLNM was level II (80%),
then III (45%) and V (30%). In a compilation of our own material with data from
the literature (5 series), we obtained a group of 80 patients with OLNM, selected
out of 650 patients with cN0 (12.3%). The proportion of metastases to particular
levels was the following: 69%-II, 22.5%-III, 20%-I,16%-V, 7.5%-IV. END should be
carried out in case of all T3/T4a carcinomas with minimal range of levels II and
III. Removal of levels Ib and Va is recommended as well. In the T1/T2 carcinomas
with high grade/high risk histology, END should be performed including levels II
and III.
PMID- 27896424
TI - Dynamic expression analysis of early response genes induced by potato virus Y in
PVY-resistant Nicotiana tabacum.
AB - KEY MESSAGE: Dynamic transcriptional changes of the host early responses genes
were detected in PVY-resistant tobacco varieties infected with Potato virus Y;
PVY resistance is a complex process that needs series of stress responses. Potato
virus Y (PVY) causes a severe viral disease in cultivated crops, especially in
Solanum plants. To understand the molecular basis of plant responses to the PVY
stress, suppression subtractive hybridization (SSH) and microarray approaches
were combined to identify the potentially important or novel genes that were
involved in early stages (12 h, 1, 2, 3, 5, 8 days) of tobacco response to PVY
infection. Dynamic changes of the host plant early responses to PVY infection on
a transcriptional level were detected. In total, 167 different expressed ESTs
were identified. The majority of genes involved in the metabolic process were
found to be down-regulated at 12 h and 1 day, and then up-regulated at least one
later period. Genes related to signaling and transcriptions were almost up
regulated at 12 h, 1 or 2 days, while stress response genes were almost up
regulated at a later stage. Genes involved in transcription, transport, cell
wall, and several stress responses were found to have changed expression during
the PVY infection stage, and numbers of these genes have not been previously
reported to be associated with tobacco PVY infection. The diversity expression of
these genes indicated that PVY resistance is a complex process that needs a
series of stress responses. To resist the PVY infection, the tobacco plant has
numerous active and silent responses.
PMID- 27896426
TI - Expression and characterization of a codon-optimized alkaline-stable carbonic
anhydrase from Aliivibrio salmonicida for CO2 sequestration applications.
AB - The CO2 mineralization process, accelerated by carbonic anhydrase (CA) was
proposed for the efficient capture and storage of CO2, the accumulation of which
in the atmosphere is the main cause of global warming. Here, we characterize a
highly stable form of the cloned CA from the Gram-negative marine bacterium
Aliivibrio salmonicida, named ASCA that can promote CO2 absorption in an alkaline
solvent required for efficient carbon capture. We designed a mature form of ASCA
(mASCA) using a codon optimization of ASCA gene and removal of ASCA signal
peptide. mASCA was highly expressed (255 mg/L) with a molecular weight of
approximately 26 kDa. The mASCA enzyme exhibited stable esterase activity within
a temperature range of 10-60 degrees C and a pH range of 6-11. mASCA activity
remained stable for 48 h at pH 10. We also investigated its inhibition profiles
using inorganic anions, such as acetazolamide, sulfanilamide, iodide, nitrate,
and azide. We also demonstrate that mASCA is capable of catalyzing the conversion
of CO2 to CaCO3 (calcite form) in the presence of Ca2+. It should be noted that
mASCA enzyme exhibits high production yield and sufficient stabilities against
relatively high temperature and alkaline pH, which are required conditions for
the development of more efficient enzymatic CCS systems.
PMID- 27896427
TI - Predictors of motor developmental milestones during the first year of life.
AB - : Studies suggest that both pre- and postnatal factors are predictors of age of
attaining milestones in infancy. However, no studies evaluate the comparative
strength of these predictors and the amount of the variance in development they
explain. This study aimed to conduct a systematic evaluation of a broad selection
of possible predictors of age at milestone attainment and to identify factors
that explain significant inter-individual variance. Mothers of 5765 children of
the Copenhagen Perinatal Cohort (1959-61) recorded 12 developmental milestones
prospectively during the child's first year of life. Information on possible
predictors was collected during pregnancy and at follow-up and was categorized
into the domains: Family background, Pregnancy and delivery, Postnatal
influences, and Postnatal growth. The domain Pregnancy and delivery contributed
most of the explained variance in Overall mean of milestones (14.4%), with
especially gestational age (beta = -0.15; p <= 0.001) and birth weight (beta =
0.16; p <= 0.001) being important predictors. CONCLUSION: Several individual
factors, especially gestational age, birth weight, breastfeeding, having lived in
a full-time institution, and weight and head increase in the first year, were
significantly associated with milestone attainment in the first year of life.
Variables within the domain of Pregnancy and delivery explained the largest
proportion of variance in milestone attainment compared to the other domains.
What is known: * Younger age at attainment of motor developmental milestones
positively predicts cognitive outcomes in adulthood. * Both pre- and postnatal
factors have been associated with age of attaining milestones in infancy. What is
new: * First study to provide a systematic evaluation of a broad selection of
predictors of infant milestone attainment. * Variables within the domain of
Pregnancy and delivery, especially gestational age and birth weight, explained
the largest proportion of variance in milestone attainment. * The variance
explained by the predictors decreased time-dependently with later milestones.
PMID- 27896428
TI - XCI-escaping gene KDM5C contributes to ovarian development via downregulating miR
320a.
AB - Mechanisms underlying female gonadal dysgenesis remain unclarified and relatively
unstudied. Whether X-chromosome inactivation (XCI)-escaping genes and microRNAs
(miRNAs) contribute to this condition is currently unknown. We compared 45,X
Turner Syndrome women with 46,XX normal women, and investigated differentially
expressed miRNAs in Turner Syndrome through plasma miRNA sequencing. We found
that miR-320a was consistently upregulated not only in 45,X plasma and peripheral
blood mononuclear cells (PBMCs), but also in 45,X fetal gonadal tissues. The
levels of miR-320a in PBMCs from 45,X, 46,XX, 46,XY, and 47,XXY human subjects
were inversely related to the expression levels of XCI-escaping gene KDM5C in
PBMCs. In vitro models indicated that KDM5C suppressed miR-320a transcription by
directly binding to the promoter of miR-320a to prevent histone methylation. In
addition, we demonstrated that KITLG, an essential gene for ovarian development
and primordial germ cell survival, was a direct target of miR-320a and that it
was downregulated in 45,X fetal gonadal tissues. In conclusion, we demonstrated
that downregulation of miR-320a by the XCI-escaping gene KDM5C contributed to
ovarian development by targeting KITLG.
PMID- 27896430
TI - Myosin light chain phosphorylation is required for peak power output of mouse
fast skeletal muscle in vitro.
AB - The skeletal myosin light chain kinase (skMLCK) catalyzed phosphorylation of the
myosin regulatory light chain (RLC) is associated with potentiation of force,
work, and power in rodent fast twitch muscle. The purpose of this study was to
compare concentric responses of EDL from wild-type (WT) and skMLCK devoid (skMLCK
/-) muscles at a range of shortening speeds (0.05 to 0.70 V max) around that
expected to produce maximal power (in vitro, 25 degrees C) both before
(unpotentiated) and after (potentiated) a potentiating stimulus (PS). When
collapsed across all speeds tested, neither unpotentiated force, work, or power
differed between genotypes (all data n = 10, P < 0.05). In contrast, although
both genotypes displayed speed-dependent increases, these increases were greater
for WT than skMLCK-/- muscles. For example, when collapsed across the six fastest
speeds we tested, both concentric force and power were increased 30-34 % in WT
but only 15-17 % in skMLCK-/- muscles. In contrast, at the two slowest speeds,
these parameters were increased in WT but decreased in skMLCK-/- muscles (8-10
and 7-9 %, respectively). Intriguingly, potentiation of concentric force and
power was optimal near speeds producing maximal power in both genotypes. Because
the PS elevated RLC phosphorylation above resting levels in WT but not in skMLCK
/- muscles, our data suggest that skMLCK-catalyzed phosphorylation of the RLC is
required for maximal concentric power output of mouse EDL muscle stimulated at
high frequency in vitro.
PMID- 27896431
TI - Functional natural allelic variants of flavonoid 3',5'-hydroxylase gene governing
catechin traits in tea plant and its relatives.
AB - MAIN CONCLUSION: Functional allelic variants of the flavonoid 3',5'-hydroxylase
(F3'5'H) gene provides new information of F3'5'H function of tea plant and its
relatives. This insight may serve as the foundation upon which to advance
molecular breeding in the tea plant. Catechins are the active components of tea
that determine its quality and health attributes. This study established the
first integrated genomic strategy for deciphering the genetic basis of catechin
traits of tea plant. With the RNA-sequencing analysis of bulked segregants
representing the tails of a F1 population segregated for total catechin content,
we identified a flavonoid 3',5'-hydroxylase (F3'5'H) gene. F3'5'H had one copy in
the genomic DNA of tea plant. Among 202 tea accessions, we identified 120 single
nucleotide polymorphisms (SNPs) at F3'5'H locus. Seventeen significant marker
trait associations were identified by association mapping in multiple
environments, which were involved in 10 SNP markers, and the traits including the
ratio of di/tri-hydroxylated catechins and catechin contents. The associated
individual and combination of SNPs explained 4.5-25.2 and 53.0-63.0% phenotypic
variations, respectively. In the F1 population (validation population), the
catechin trait variation percentages explained by F3'5'H diplotype were 6.9
74.3%. The genotype effects of ten functional SNPs in the F1 population were all
consistent with the association population. Furthermore, the function of SNP-711/
655 within F3'5'H was validated by gene expression analysis. Altogether, our work
indicated functional SNP allelic variants within F3'5'H governing the ratio of
di/tri-hydroxylated catechins and catechin contents. The strong catechin
associated SNPs identified in this study can be used for future marker-assisted
selection to improve tea quality.
PMID- 27896433
TI - Continuous daily assessment of multiple sclerosis disability using remote step
count monitoring.
AB - Disability measures in multiple sclerosis (MS) rely heavily on ambulatory
function, and current metrics fail to capture potentially important variability
in walking behavior. We sought to determine whether remote step count monitoring
using a consumer-friendly accelerometer (Fitbit Flex) can enhance MS disability
assessment. 99 adults with relapsing or progressive MS able to walk >=2-min were
prospectively recruited. At 4 weeks, study retention was 97% and median Fitbit
use was 97% of days. Substudy validation resulted in high interclass correlations
between Fitbit, ActiGraph and manual step count tally during a 2-minute walk
test, and between Fitbit and ActiGraph (ICC = 0.76) during 7-day home monitoring.
Over 4 weeks of continuous monitoring, daily steps were lower in progressive
versus relapsing MS (mean difference 2546 steps, p < 0.01). Lower average daily
step count was associated with greater disability on the Expanded Disability
Status Scale (EDSS) (p < 0.001). Within each EDSS category, substantial
variability in step count was apparent (i.e., EDSS = 6.0 range 1097-7152). Step
count demonstrated moderate-strong correlations with other walking measures.
Lower average daily step count is associated with greater MS disability and
captures important variability in real-world walking activity otherwise masked by
standard disability scales, including the EDSS. These results support remote step
count monitoring as an exploratory outcome in MS trials.
PMID- 27896434
TI - Towards a functional pathology of hereditary neuropathies.
AB - A growing number of hereditary neuropathies have been assigned to causative gene
defects in recent years. The study of human nerve biopsy samples has contributed
substantially to the discovery of many of these neuropathy genes. Genotype
phenotype correlations based on peripheral nerve pathology have provided a
comprehensive picture of the consequences of these mutations. Intriguingly,
several gene defects lead to distinguishable lesion patterns that can be studied
in nerve biopsies. These characteristic features include the loss of certain
nerve fiber populations and a large spectrum of distinct structural changes of
axons, Schwann cells and other components of peripheral nerves. In several
instances the lesion patterns are directly or indirectly linked to the known
functions of the mutated gene. The present review is designed to provide an
overview on these characteristic patterns. It also considers other aspects
important for the manifestation and pathology of hereditary neuropathies
including the role of inflammation, effects of chemotherapeutic agents and
alterations detectable in skin biopsies.
PMID- 27896429
TI - Copy number variability in Parkinson's disease: assembling the puzzle through a
systems biology approach.
AB - Parkinson's disease (PD), the second most common progressive neurodegenerative
disorder of aging, was long believed to be a non-genetic sporadic origin
syndrome. The proof that several genetic loci are responsible for rare Mendelian
forms has represented a revolutionary breakthrough, enabling to reveal molecular
mechanisms underlying this debilitating still incurable condition. While single
nucleotide polymorphisms (SNPs) and small indels constitute the most commonly
investigated DNA variations accounting for only a limited number of PD cases,
larger genomic molecular rearrangements have emerged as significant PD-causing
mutations, including submicroscopic Copy Number Variations (CNVs). CNVs
constitute a prevalent source of genomic variations and substantially participate
in each individual's genomic makeup and phenotypic outcome. However, the majority
of genetic studies have focused their attention on single candidate-gene
mutations or on common variants reaching a significant statistical level of
acceptance. This gene-centric approach is insufficient to uncover the genetic
background of polygenic multifactorial disorders like PD, and potentially masks
rare individual CNVs that all together might contribute to disease development or
progression. In this review, we will discuss literature and bioinformatic data
describing the involvement of CNVs on PD pathobiology. We will analyze the most
frequent copy number changes in familiar PD genes and provide a "systems biology"
overview of rare individual rearrangements that could functionally act on
commonly deregulated molecular pathways. Assessing the global genome-wide burden
of CNVs in PD patients may reveal new disease-related molecular mechanisms, and
open the window to a new possible genetic scenario in the unsolved PD puzzle.
PMID- 27896432
TI - Repression of telomere-associated genes by microglia activation in
neuropsychiatric disease.
AB - Microglia senescence may promote neuropsychiatric disease. This prompted us to
examine the relationship between microglia activation states and telomere
biology. A panel of candidate genes associated with telomere maintenance,
mitochondrial biogenesis, and cell-cycle regulation were investigated in M1- and
M2-polarized microglia in vitro as well as in MACS-purified CD11b+
microglia/brain macrophages from models of stroke, Alzheimer's disease, and
chronic stress. M1 polarization, ischemia, and Alzheimer pathology elicited a
strikingly similar transcriptomic profile with, in particular, reduced expression
of murine Tert. Our results link classical microglia activation with repression
of telomere-associated genes, suggesting a new mechanism underlying microglia
dysfunction.
PMID- 27896435
TI - Course of pelvic lift during total hip arthroplasty.
AB - INTRODUCTION: The position of the cup makes a major contribution to the success
of total hip arthroplasty (THA). In conventional implantation of the prosthesis
without navigation, the surgeon relies on the spatial position of the pelvis.
However, iatrogenic manipulation of the pelvis during different surgical steps
constantly changes the position of the pelvis during the operation. The position
of the pelvis is substantial for the correct placement of the cup. The objective
of this study was to investigate and visualize the course of this pelvic lift and
correlate it to certain surgical steps. MATERIALS AND METHODS: Pelvic lift was
measured in 67 patients during implantation of a THA. This was done by measuring
acceleration using the SensorLog app on a smartphone. It was placed on the
patient's contralateral anterior superior iliac spine and recorded the movement
of the pelvis throughout the whole surgical procedure. The position of the pelvis
was allocated to each of eight relevant surgical steps during the operation.
These surgical steps were normed over the time axis and transferred to a diagram.
RESULTS: We found an average pelvic lift displacement of up to 14.9 degrees upon
placement in the figure-of-four position. This lift is particularly critical when
exposing the acetabulum, as the true cup position can be unconsciously
influenced. Average values of between 5.6 degrees and 6.9 degrees were found
here. CONCLUSIONS: When implanting a THA in supine position, the pelvis is not
fixed on the operating table. Rather, the side to be operated on is lifted to a
greater or lesser degree, depending on the surgical step to be performed. The
retractor traction immediately before cup implantation should be minimized.
Nevertheless, it should be taken into account that anteversion of the cup implant
in relation to the table plane is systematically higher than in relation to the
pelvic entry plane.
PMID- 27896436
TI - Risk factors for complications after adrenalectomy: results from a comprehensive
national database.
AB - PURPOSE: Most knowledge regarding outcome after adrenal surgery stems from
retrospective studies reported by highly specialized centres. The aim of this
study was to report a national experience of adrenalectomy with particular
attention to predictive factors for postoperative complications, conversion from
endoscopic to open surgery and length of hospital stay. METHODS: Adrenalectomies
reported in the Scandinavian Quality Register for Thyroid, Parathyroid and
Adrenal Surgery (SQRTPA) 2009-2014 were included. Risk factors for complications,
conversion and hospital stay >3 days were assessed using univariable and
multivariable logistic regression analysis. RESULTS: There were 659 operations.
Endoscopic adrenalectomy was performed in 513 (77.8%) operations and almost half
of these were robotic assisted. The median length of hospital stay was 3 (range 1
30) days. There was no 30-day mortality. In 43 (6.6%) patients, at least one
complication was registered. The only factor associated with complications in
multivariable analysis was conversion to open surgery odds ratio (OR) 3.61 (95%
confidence interval 1.07 to 12.12). The risk for conversion was associated with
tumour size OR 1.03 (1.00 to 1.06) and with malignancy on histopathology OR 8.33
(2.12 to 32.07). Length of hospital stay increased in patients with operation of
bilateral tumours OR 3.13, left-sided tumours OR 1.98, hyper secretion of
catecholamines OR 2.32, conversion to open surgery OR 42.05 and open surgery OR
115.18. CONCLUSIONS: The present study shows that endoscopic surgery is widely
used. Complications were associated with conversion and the risk for conversion
was associated with tumour size and malignant tumour. Hospital stay was short.
PMID- 27896437
TI - [Inflammatory myopathies].
AB - Inflammatory myopathies comprise heterogeneous, often multisystemic autoimmune
diseases with muscle involvement as a common feature. The prognosis largely
depends on a timely diagnosis and initiation of therapy. Given the complexity of
these rare diseases, when an inflammatory myopathy is suspected patients should
be referred to an expert center with established algorithms for the diagnostic
work-up. The differential diagnostic exclusion of myositis mimics should ideally
be carried out in close collaboration with neurologists and neuropathologists.
The choice of immunosuppressive treatment should primarily depend on disease
severity and organ involvement but age and comorbidities also have to be taken
into account.
PMID- 27896438
TI - Survival of a recessive allele in a Mendelian diploid model.
AB - In this paper we analyse the genetic evolution of a diploid hermaphroditic
population, which is modelled by a three-type nonlinear birth-and-death process
with competition and Mendelian reproduction. In a recent paper, Collet et al. (J
Math Biol 67(3):569-607, 2013) have shown that, on the mutation time-scale, the
process converges to the Trait-Substitution Sequence of adaptive dynamics,
stepping from one homozygotic state to another with higher fitness. We prove
that, under the assumption that a dominant allele is also the fittest one, the
recessive allele survives for a time of order at least [Formula: see text], where
K is the size of the population and [Formula: see text].
PMID- 27896440
TI - A phase III, open label, randomized multicenter controlled trial of oral versus
intravenous treosulfan in heavily pretreated recurrent ovarian cancer: a study of
the North-Eastern German Society of Gynecological Oncology (NOGGO).
AB - OBJECTIVE: In recurrent ovarian cancer (ROC), there is a high demand on effective
therapies with a mild toxicity profile. Treosulfan is an alkylating agent
approved as oral (p.o.) and intravenous (i.v.) formulation for the treatment of
recurrent ovarian cancer. Data on safety and efficacy for either formulation are
rare. For the first time we conducted a randomized phase III study comparing both
formulations in women with ROC. METHODS: Patients having received at least two
previous lines of chemotherapy were randomly assigned to one of two treatment
arms: treosulfan i.v. 7000 mg/m2 d1 q4w or treosulfan p.o. 600 mg/m2 d1-28 q8w.
Primary endpoint was safety regarding hematological and gastrointestinal toxicity
grade III/IV, secondary endpoints were other toxicities, clinical benefit rate
(CBR), time to progression (TTP), overall survival (OS) and quality of life.
RESULTS: 250 patients were treated with treosulfan i.v. (128) or treosulfan p.o.
(122). In general treosulfan therapy was well tolerated in both treatment arms.
Leukopenia grade III/IV occurred significantly more frequently in the p.o. arm
(3.9% i.v. arm, 14.8% p.o. arm, p = 0.002). Other toxicities were similar in both
arms. CBR was comparable between arms (41.4% i.v. arm, 36.9% p.o. arm). No
difference in TTP (3.7 months i.v. arm, 3.5 months p.o. arm) or OS (13.6 months
i.v. arm, 10.4 months p.o. arm, p = 0.087) occurred. CONCLUSIONS: Given the
safety and efficacy results treosulfan is an acceptable option for heavily
pretreated OC patients. Regarding the toxicity profile the i.v. application was
better tolerated with less grade III and IV toxicities.
PMID- 27896439
TI - Characterization of pollen-expressed bZIP protein interactions and the role of
ATbZIP18 in the male gametophyte.
AB - KEY MESSAGE : bZIP TF network in pollen. Transcriptional control of gene
expression represents an important mechanism guiding organisms through
developmental processes and providing plasticity towards environmental stimuli.
Because of their sessile nature, plants require effective gene regulation for
rapid response to variation in environmental and developmental conditions.
Transcription factors (TFs) provide such control ensuring correct gene expression
in spatial and temporal manner. Our work reports the interaction network of six
bZIP TFs expressed in Arabidopsis thaliana pollen and highlights the potential
functional role for AtbZIP18 in pollen. AtbZIP18 was shown to interact with three
other pollen-expressed bZIP TFs-AtbZIP34, AtbZIP52, and AtbZIP61 in yeast two
hybrid assays. AtbZIP18 transcripts are highly expressed in pollen, and at the
subcellular level, an AtbZIP18-GFP fusion protein was located in the nucleus and
cytoplasm/ER. To address the role of AtbZIP18 in the male gametophyte, we
performed phenotypic analysis of a T-DNA knockout allele, which showed slightly
reduced transmission through the male gametophyte. Some of the phenotype defects
in atbzip18 pollen, although observed at low penetrance, were similar to those
seen at higher frequency in the T-DNA knockout of the interacting partner,
AtbZIP34. To gain deeper insight into the regulatory role of AtbZIP18, we
analysed atbzip18/- pollen microarray data. Our results point towards a potential
repressive role for AtbZIP18 and its functional redundancy with AtbZIP34 in
pollen.
PMID- 27896441
TI - Pterygium surgery using the principle of contact inhibition: results of 13 years'
experience.
AB - PURPOSE: To report a technique to prevent pterygium recurrence using the
principle of contact inhibition. METHODS: Two hundred and fifteen patients (232
eyes; average age, 64.1 years) with primary pterygia who underwent pterygium
surgery at the Hara Eye Hospital between 1999 and 2012. We retrospectively
evaluated the patients who underwent the following procedure to prevent pterygium
recurrence. The surface conjunctiva on the pterygium body was not removed. After
removing the pterygium body, by placing a narrow pedicle autoconjunctival flap
along the corneal limbus and tying it tightly to the front area of the residual
conjunctiva, there is no room for the active residual tissue to proliferate, thus
preventing a recurrence by contact inhibition. The key factor is conjunctival
suturing, which establishes face-to-face contact of both areas of cut
conjunctival tissue. Mitomycin C is applied locally for 3 min intraoperatively
and 5 days postoperatively. The main outcome measure was the prevention of
pterygium recurrence using this technique. RESULTS: By the end of the average
follow-up of 5 years 4 months, three eyes (1.3%) had a recurrence. Among the 232
eyes, 23 eyes had large pterygia extending to the pupillary area. Using the
surgical technique, there were no recurrences. No specific characteristic of the
recurrence was found in association with the eye, sex, and preoperative grade.
CONCLUSIONS: This surgery has three relevant features: (1) reconfirmation of the
effect of contact inhibition, (2) the anatomic structure of the conjunctival sac
scarcely changes postoperatively, because the surface conjunctiva of the
pterygium body is not removed, and (3) a low recurrence rate.
PMID- 27896442
TI - Regional citrate anticoagulation for continuous renal replacement therapy in
children.
AB - BACKGROUND: Anticoagulation of the continuous renal replacement therapy (CRRT)
circuit is an important technical aspect of this medical procedure. Most studies
evaluating the efficacy and safety of citrate use have been carried out in
adults, and little evidence is available for the pediatric patient population.
The aim of this study was to compare regional citrate anticoagulation versus
systemic heparin anticoagulation in terms of the lifetime of hemofilters in a
pediatric population receiving CRRT at a pediatric center in Bogota, Colombia.
METHODS: This was an analytical, observational, retrospective cohort study in
which we assessed the survival of 150 hemofilters (citrate group 80 hemofilters,
heparin group 70 hemofilters) used in a total of 3442 hours of CCRT (citrate
group 2248 h, heparin group 1194 h). Hemofilter survival was estimated beginning
at placement and continuing until filter replacement due to clotting or high
trans-membrane pressures. RESULTS: Hemofilter survival was higher in the citrate
group than in the heparin group (72 vs. 18 h; p <0.0001). Bivariate analysis
showed that the hemofilter coagulation risk was significantly increased when
heparin was used, regardless of hemofilter size and pump flow (hazard ratio 3.70,
standard error 0.82, 95% confidence interval 2.39-5.72; p <0.00001). CONCLUSIONS:
Regional citrate anticoagulation could be more effective than heparin systemic
anticoagulation in terms of prolonging the hemofilter lifetime in patients with
acute renal injury who require CRRT.
PMID- 27896444
TI - Is all hypoglycaemia treated as equal? An observational study of how the type of
diabetes and treatment prescribed prior to admission influences quality of
treatment of inpatient hypoglycaemia.
AB - AIMS: Inpatient hypoglycaemia is common and associated with adverse outcomes.
There is often increased vigilance of hypoglycaemia in inpatients with type 1
diabetes (T1DM) compared to type 2 diabetes (T2DM). We aimed to investigate this
apparent discrepancy, utilising the time to repeat (TTR) capillary blood glucose
(CBG) measurement as a surrogate for engagement with guidelines stating that CBG
should be rechecked following intervention within 15 min of an initial CBG of <4
mmol/L. METHODS: This is an observational study of inpatient CBG data from 8
hospitals over a 7-year period. A national diabetes registry allowed
identification of individual's diagnosis and diabetes therapy. For each initial
(index) CBG, the TTR for individuals with T2DM-on insulin or sulphonylurea-was
compared with the TTR for individuals with T1DM, using a t test for significance
performed on log(TTR). The median TTR was plotted for each group per index CBG.
RESULTS: In total, 1480,335 CBG measurements were obtained. A total of 26,664
were <4 mmol/L. The TTR in T2DM individuals on sulphonylurea was significantly
greater than in T1DM individuals where index CBG was >=2.3 mmol/L (except index
CBG 2.6 mmol/L). For T2DM patients receiving insulin significance exists for
index CBGs of >=3.2 mmol/L. CONCLUSIONS: This analysis suggests that quality of
care of hypoglycaemia varies according to diagnosis and medication. The group
with the highest TTR (T2DM sulphonylurea treated) are possibly the clinical group
in whom hypoglycaemia is most concerning. These data therefore suggest a need for
education and raising awareness within the inpatient nursing staff.
PMID- 27896443
TI - Dietary sources of sugars in adolescents' diet: the HELENA study.
AB - OBJECTIVE: To report dietary sugars consumption and their different types and
food sources, in European adolescents. METHODS: Food consumption data of selected
groups were obtained from 1630 adolescents (45.6% males, 12.5-17.5 years) from
the HELENA study using two nonconsecutive 24-h recalls. Energy intake, total
sugars and free sugars were assessed using the HELENA-DIAT software. Multiple
regression analyses were performed adjusting for relevant confounders. RESULTS:
Total sugars intake (137.5 g/day) represented 23.6% and free sugars (110.1
g/day), 19% of energy intake. Girls had significantly lower intakes of energy,
carbohydrates, total sugars and free sugars. 94% of adolescents had a consumption
of free sugars above 10% of total energy intake. The main food contributor to
free sugars was 'carbonated, soft and isotonic drinks,' followed by 'non
chocolate confectionary' and 'sugar, honey, jam and syrup.' Older boys and girls
had significantly higher intakes of free sugars from 'cakes, pies and biscuits.'
Free sugars intake was negatively associated with low socioeconomic status for
'non-chocolate confectionary' and 'sugar, honey and jam' groups; with low
maternal educational level for carbonated and 'soft drinks,' 'sugar, honey and
jam,' 'cakes and pies' and 'breakfast cereals' groups; and with high paternal
educational level for 'carbonated and soft drinks' and 'chocolates' group.
CONCLUSIONS: The majority (94%) of studied adolescents consumed free sugars above
10% of daily energy intake. Our data indicate a broad variety in foods providing
free sugars. Continued efforts are required at different levels to reduce the
intake of free sugars, especially in families with a low educational level.
PMID- 27896446
TI - Biocompatible silver nanoparticles prepared with amino acids and a green method.
AB - The synthesis of nanoparticles is usually carried out by chemical reduction,
which is effective but uses many toxic substances, making the process potentially
harmful to the environment. Hence, as part of the search for environmentally
friendly or green synthetic methods, this study aimed to produce silver
nanoparticles (AgNPs) using only AgNO3, Milli-Q water, white light from a xenon
lamp (Xe) and amino acids. Nanoparticles were synthetized using 21 amino acids,
and the shapes and sizes of the resultant nanoparticles were evaluated. The
products were characterized by UV-Vis, zeta potential measurements and
transmission electron microscopy. The synthesis of silver nanoparticles with
tryptophan and tyrosine, methionine, cystine and histidine was possible through
photoreduction method. Spherical nanoparticles were produced, with sizes ranging
from 15 to 30 nm. Tryptophan does not require illumination nor heating, and the
solution color changes immediately after the mixing of reagents if sodium
hydroxide is added to the solution (pH = 10). The Xe illumination acts as sodium
hydroxide in the nanoparticles synthesis, releases H+ and allows the reduction of
silver ions (Ag+) in metallic silver (Ag0).
PMID- 27896445
TI - Serum 1,5-anhydroglucitol level as a screening tool for diabetes mellitus in a
community-based population at high risk of diabetes.
AB - AIMS: Early diagnosis of diabetes yields significant clinical benefits; however,
currently available diagnostic tools for community-based population are limited.
This study aimed to assess the value of serum 1,5-anhydroglucitol (1,5-AG) for
the diagnosis and screening of diabetes mellitus in a community-based population
at high risk of diabetes. METHODS: In this diagnostic test, 1170 participants
underwent a 75-g oral glucose tolerance test. Venous blood samples were collected
for fasting blood glucose (FBG), 2-h postprandial blood glucose (PBG), and
glycosylated hemoglobin A1c (HbA1c) measurements. Serum 1,5-AG levels were
detected by the GlycoMark assay, and a receiver operating characteristic (ROC)
curve was generated to assess their diagnostic value for diabetes. RESULTS: A
total of 298 adults were diagnosed with diabetes, indicating a prevalence of
25.47%. Partial Pearson correlation analysis adjusted for age and body mass index
showed that serum 1,5-AG level was negatively correlated with FBG, PBG, and HbA1c
(all P < 0.01). Areas under the curves (AUCs) for serum 1,5-AG, FBG, PBG, and
HbA1c in identifying diabetes were 0.920, 0.874, 0.933, and 0.887, respectively.
According to the ROC curve, the optimal cutoff value of serum 1,5-AG for
diagnosing diabetes was 11.18 MUg/ml, which yielded a sensitivity of 92.6% and a
specificity of 82.3%, respectively. Comparisons between 1,5-AG and HbA1c showed
that both the AUC and sensitivity of 1,5-AG were higher than those of HbA1c (both
P < 0.01). CONCLUSIONS: Serum 1,5-AG is a simple and effective marker with high
sensitivity and specificity for identifying diabetes in populations at high risk
of diabetes.
PMID- 27896447
TI - Novel "extended sequons" of human N-glycosylation sites improve the precision of
qualitative predictions: an alignment-free study of pattern recognition using
ProtDCal protein features.
AB - N-Glycosylation is a common post-translational modification that plays an
important role in the proper folding and function of many proteins. This
modification is largely dependent on the presence of a sequence motif called a
"sequon" defined as Asn-Xxx-Ser/Thr. However, evidence has shown that the
presence of such a "sequon" is insufficient to determine the occurrence of N
glycosylation with high precision. This study aims to elucidate patterns that can
more accurately predict N-glycosylation sites in human proteins. The novel motifs
are evaluated using benchmarking data from 188 organisms. Performance is largely
sustained compared to the human data, which validates the robustness of the novel
extracted "extended sequons". We, therefore, introduce new knowledge about
sequence-related factors that control N-glycosylation.
PMID- 27896448
TI - ?
PMID- 27896449
TI - ?
PMID- 27896451
TI - Transfer Learning with Convolutional Neural Networks for Classification of
Abdominal Ultrasound Images.
AB - The purpose of this study is to evaluate transfer learning with deep
convolutional neural networks for the classification of abdominal ultrasound
images. Grayscale images from 185 consecutive clinical abdominal ultrasound
studies were categorized into 11 categories based on the text annotation
specified by the technologist for the image. Cropped images were rescaled to 256
* 256 resolution and randomized, with 4094 images from 136 studies constituting
the training set, and 1423 images from 49 studies constituting the test set. The
fully connected layers of two convolutional neural networks based on CaffeNet and
VGGNet, previously trained on the 2012 Large Scale Visual Recognition Challenge
data set, were retrained on the training set. Weights in the convolutional layers
of each network were frozen to serve as fixed feature extractors. Accuracy on the
test set was evaluated for each network. A radiologist experienced in abdominal
ultrasound also independently classified the images in the test set into the same
11 categories. The CaffeNet network classified 77.3% of the test set images
accurately (1100/1423 images), with a top-2 accuracy of 90.4% (1287/1423 images).
The larger VGGNet network classified 77.9% of the test set accurately (1109/1423
images), with a top-2 accuracy of VGGNet was 89.7% (1276/1423 images). The
radiologist classified 71.7% of the test set images correctly (1020/1423 images).
The differences in classification accuracies between both neural networks and the
radiologist were statistically significant (p < 0.001). The results demonstrate
that transfer learning with convolutional neural networks may be used to
construct effective classifiers for abdominal ultrasound images.
PMID- 27896450
TI - Extremity CTA for penetrating trauma: 10-year experience using a 64-detector row
CT scanner.
AB - PURPOSE: The purpose of this study is to assess the performance of CT angiography
(CTA) in the evaluation of penetrating vascular trauma to the extremities in a
large cohort of patients at our level I trauma center. METHODS: A retrospective,
IRB-approved review of consecutive CTAs for the evaluation of penetrating trauma
to the extremities in 446 patients (M/F = 396:50, mean age = 27 years) from
1/1/2005 to 5/1/2015 was performed. Medical records were reviewed to correlate
diagnostic imaging findings with clinical history and subsequent interventions.
Image quality was quantified by measurement of CT attenuation coefficients in the
major arteries of the extremities. The Fisher's exact test was used to analyze
the relationships between the presence and type of vascular injury and subsequent
clinical management. RESULTS: One hundred and thirty-one (29.4 %) of 446 patients
with penetrating trauma demonstrated major vascular injury on CTA, 35 (26.7 %) of
whom underwent subsequent surgical repair. None of the patients without vascular
injury on CTA underwent subsequent vascular intervention. Fisher's exact test
demonstrated a statistically significant difference in management and requirement
for vascular repair in those patients with a vascular injury on CTA when compared
to those without a vascular injury (p < 0.0001). The mean attenuation values
achieved in upper and lower extremity CTAs in this population exceeded 250 HU.
CONCLUSION: Extremity CTA is found to be an accurate tool for surgical triage in
patients having sustained penetrating vascular trauma.
PMID- 27896452
TI - Optimization of Image Quality and Dose in Digital Mammography.
AB - Nowadays, the optimization in digital mammography is one of the most important
challenges in diagnostic radiology. The new digital technology has introduced
additional elements to be considered in this scenario. A major goal of
mammography is related to the detection of structures on the order of micrometers
(MUm) and the need to distinguish the different types of tissues, with very close
density values. The diagnosis in mammography faces the difficulty that the breast
tissues and pathological findings have very close linear attenuation coefficients
within the energy range used in mammography. The aim of this study was to develop
a methodology for optimizing exposure parameters of digital mammography based on
a new Figure of Merit: FOM = (IQFinv)2/AGD, considering the image quality and
dose. The study was conducted using the digital mammography Senographe DS/GE, and
CDMAM and TORMAM phantoms. The characterization of clinical practice, carried out
in the mammography system under study, was performed considering different breast
thicknesses, the technical parameters of exposure, and processing options of
images used by the equipment's automatic exposure system. The results showed a
difference between the values of the optimized parameters and those ones chosen
by the automatic system of the mammography unit, specifically for small breast.
The optimized exposure parameters showed better results than those obtained by
the automatic system of the mammography, for the image quality parameters and its
impact on detection of breast structures when analyzed by radiologists.
PMID- 27896454
TI - Venous air embolism in the sitting position in cranial neurosurgery: incidence
and severity according to the used monitoring.
AB - BACKGROUND: There is an ongoing debate about the sitting position (SP) in
neurosurgical patients. The SP provides a number of advantages as well as severe
complications such as commonly concerning venous air embolism (VAE). The best
monitoring system for the detection of VAE is still controversial. METHODS: In
this retrospective analysis we compared 208 patients. Transesophageal
echocardiography (TEE) or transthoracic Doppler (TTD) were used as monitoring
devices to detect VAE; 101 cases were monitored with TEE and 107 with TTD.
RESULTS: The overall incidence of VAE was 23% (TTD: 10%; TEE: 37%), but the
incidence of clinically relevant VAE (drop in end-tidal carbon dioxide above 3
mmHg) was higher in the TTD group (9 out of 17 VAE, 53%) compared to the TEE
group (19 out of 62 VAE, 31%). None of the patients with recorded VAE had
clinically significant sequelae. CONCLUSIONS: In this small sample we found more
VAE events in the TEE group, but the incidence of clinically relevant VAE was
rare and comparable to other data. There is no consensus in the definition of
clinically relevant VAE.
PMID- 27896455
TI - Erratum to: Tachycardia detection in modern implantable cardioverter
defibrillators.
PMID- 27896453
TI - Management of phosphorus load in CKD patients.
AB - Disturbances in mineral and bone metabolism play a critical role in the
pathogenesis of cardiovascular complications in patients with chronic kidney
disease (CKD). The term "renal osteodystrophy" has recently been replaced with
"CKD-mineral and bone disorder (CKD-MBD)", which includes vascular calcification
as well as bone abnormalities. In Japan, proportions of the aged and long-term
dialysis patients are increasing which makes management of vascular calcification
and parathyroid function increasingly more important. There are three main
strategies to manage phosphate load: phosphorus dietary restriction,
administration of phosphate binder and to ensure in the CKD 5D setting, an
adequate dialysis.
PMID- 27896456
TI - DNA copy number profiling in microsatellite-stable and microsatellite-unstable
hereditary non-polyposis colorectal cancers by targeted CNV array.
AB - About half of hereditary non-polyposis colorectal cancers (HNPCCs) fulfilling the
Amsterdam criteria (AC) do not display evidence of mismatch repair defects, and
the difference between microsatellite-stable (MSS) and microsatellite-unstable
HNPCC remains poorly understood. The study was to compare overall copy number
variation (CNV) and loss of heterozygosity (LOH) of the entire genome in HNPCCs
with MSS and microsatellite-instability (MSI) using the Cytoscan HD Array. This
was a study carried out in samples from 20 patients with MSS HNPCC and four
patients with MSI HNPCC from the Fudan University Shanghai Cancer Center (China).
The microsatellite status was examined using a panel of microsatellite markers.
MMR expression status was evaluated by immunohistochemistry. Tumor samples were
analyzed with the Genome-Wide Human CytoScan HD Array. CNV and LOH were
determined. Fourteen specific CNVs (eight gains: 5p13.1, 7p13, 7q22.3, 8q11.21,
8q12.2, 19q13.11, 20q11.21, and 20q11.23; and six losses: 8p22, 8p23.1, 8p23.1,
17p13.1, 17p13.2, and 18q21.3) were associated with MSS HNPCC. Of these 14 CNVs,
gain on 8q12.2 and loss on 17p13.1 were novel. The total length of 8q gains and
20q gains were greater in MSS tumors than in MSI (P < 0.05). The presence of
similar levels of copy-neutral-LOH in MSS (31.7%) and MSI (29.7%) HNPCC suggested
that unknown DNA repair genes might be involved in the tumorigenesis of MSS
HNPCC. MSS HNPCC is a genetically specific population with increased CNV, which
are different from MSI HNPCC. The results may help to clarify the genetic basis
of MSS HNPCC tumorigenesis.
PMID- 27896457
TI - The value of quantitative sensory testing in spine research.
AB - The improvement of pain and functionality is the major goal of a surgical
intervention. Thus, the purpose of the present prospective study was to evaluate
whether subjective sensory deficits in patients with lumbar radiculopathy caused
by a lumbar disc herniation are related to clinical status, using several outcome
scores and the quantitative sensory testing (QST) pre- and 12 months
postoperatively. We applied the QST in 52 patients with a single lumbar disc
herniation treated by lumbar sequestrectomy pre- and 12 months postoperatively.
Further evaluation included numeric rating scale (NRS) for leg, EuroQoL-5D (EQ
5D), Core Outcome Measure Index (COMI), Oswestry Disability Index (ODI), Beck
Depression Inventory (BDI) and PaindDetect questionnaire (PD-Q). Patients were
then categorized into two groups based on their subjective recovery of sensory
function. The patients' self-assessment and QST were correlated with each other
for the pre- and postoperative visit after 12 months. The two groups showed
postoperative differences in mechanical and vibration detection threshold as well
as in the postoperative PD-Q (p < 0.005). Multidimensional scores did not
consistently match the QST parameters in patients with a lumbar disc herniation.
Commonly used clinical scores in spine research show low or no correlation with
QST. Nevertheless, mechanical thresholds seem to play an important role to detect
and follow up a sensory deficit investigated by QST.
PMID- 27896459
TI - Gluteal transposition flap without donor site scar for closing a perineal defect
after abdominoperineal resection.
PMID- 27896458
TI - Prognostic factors in the treatment of carpal scaphoid non-unions.
AB - In this literature review, the authors analyse the prognostic factors in the
curative treatment of scaphoid non-unions. The main negative prognostic factors
are smoking, the time elapsed since the fracture, and avascular necrosis of the
proximal fragment. If the latter is present, the revascularization by a pedicle
or microsurgical bone autograft is probably the treatment of choice. In non
unions without evidence of osteonecrosis, vascularized bone grafts are probably
not superior to conventional bone grafts, which can presently be performed under
arthroscopic control, with minimal morbidity.
PMID- 27896460
TI - New-onset rectoanal intussusceptions after laparoscopic ventral rectopexy: a
normal image?
PMID- 27896462
TI - With gratitude for the Journal of Plant Research.
PMID- 27896461
TI - Transversus abdominis plane block for postoperative pain relief after hand
assisted laparoscopic colon surgery: a randomized, placebo-controlled clinical
trial.
AB - BACKGROUND: Although hand-assisted laparoscopic surgery (HALS) offers patients
smaller surgical incisions, they still experience pain. Currently, there is no
consensus on the optimal analgesic package for patients undergoing HALS. The aim
of this prospective, randomized, double-blinded, placebo-controlled clinical
trial was to evaluate the effect of transversus abdominis plane (TAP) block on
postoperative pain control (pain score and analgesic use) and other outcomes in
colon cancer patients undergoing hand-assisted laparoscopic left hemicolectomy.
METHODS: Sixty-four patients with colon cancer scheduled for an elective colon
resection were enrolled in this study. Patients were randomized into two groups
to receive either TAP block using 20 mL of 0.375% ropivacaine (TAP block group:
32 patients) or 20 mL of 0.9% normal saline infusion (placebo group: 32
patients). Anaesthetic and surgical techniques were standardized. Twenty-four
hour postoperative analgesia was maintained by continuous infusion of 0.1-0.9
ug/kg/h fentanyl and intravenous injection of ketorolac. The primary outcome of
the study was postoperative pain control (pain score and analgesic use). Pain was
assessed using numeric rating scale at 2, 4, 8, 12, and 24 h after surgery at
rest and during movement. Secondary outcomes included the time to resumption of
intestinal function and the length of hospital stay. The data of the two groups
were compared using Mann-Whitney U test. All statistical tests were two-tailed at
a significance level of 0.05. RESULTS: The patients' mean age was 60.50 +/- 6.77
years, and 68.75% of patients were males. The mean body mass index was 26.23 +/-
4.83 kg/m2. The TAP block group had lower pain scores after surgery at 2, 4, and
12 h at rest (p < 0.05), at 2 and 4 h during movement (p < 0.01) and used less
fentanyl and ketorolac than the placebo group (p < 0.01). The mean time to
resumption of intestinal function was shorter in the TAP block group than that in
the placebo group (p < 0.0001). The mean length of hospital stay was by 2.7 days
shorter in the TAP block group than in the placebo group (p = 0.001).
CONCLUSIONS: The ultrasound-guided TAP block given during hand-assisted
laparoscopic colon surgery as part of a multimodal analgesic regimen is a
feasible and effective technique for postoperative analgesia in colon cancer
patients and significantly reduces both pain experienced by patients and short
term postoperative analgesic use and promotes early ambulation. Future studies
are needed to determine the efficacy and costs/benefits of the ultrasound-guided
TAP block in HALS.
PMID- 27896463
TI - Litter mixture dominated by leaf litter of the invasive species, Flaveria
bidentis, accelerates decomposition and favors nitrogen release.
AB - In natural ecosystems, invasive plant litter is often mixed with that of native
species, yet few studies have examined the decomposition dynamics of such
mixtures, especially across different degrees of invasion. We conducted a 1-year
litterbag experiment using leaf litters from the invasive species Flaveria
bidentis (L.) and the dominant co-occurring native species, Setaria viridis (L.).
Litters were allowed to decompose either separately or together at different
ratios in a mothproof screen house. The mass loss of all litter mixtures was non
additive, and the direction and strength of effects varied with species ratio and
decomposition stage. During the initial stages of decomposition, all mixtures had
a neutral effect on the mass loss; however, at later stages of decomposition,
mixtures containing more invasive litter had synergistic effects on mass loss.
Importantly, an increase in F. bidentis litter with a lower C:N ratio in mixtures
led to greater net release of N over time. These results highlight the importance
of trait dissimilarity in determining the decomposition rates of litter mixtures
and suggest that F. bidentis could further synchronize N release from litter as
an invasion proceeds, potentially creating a positive feedback linked through
invasion as the invader outcompetes the natives for nutrients. Our findings also
demonstrate the importance of species composition as well as the identity of
dominant species when considering how changes in plant community structure
influence plant invasion.
PMID- 27896464
TI - A hypergravity environment increases chloroplast size, photosynthesis, and plant
growth in the moss Physcomitrella patens.
AB - The physiological and anatomical responses of bryophytes to altered gravity
conditions will provide crucial information for estimating how plant
physiological traits have evolved to adapt to significant increases in the
effects of gravity in land plant history. We quantified changes in plant growth
and photosynthesis in the model plant of mosses, Physcomitrella patens, grown
under a hypergravity environment for 25 days or 8 weeks using a custom-built
centrifuge equipped with a lighting system. This is the first study to examine
the response of bryophytes to hypergravity conditions. Canopy-based plant growth
was significantly increased at 10*g, and was strongly affected by increases in
plant numbers. Rhizoid lengths for individual gametophores were significantly
increased at 10*g. Chloroplast diameters (major axis) and thicknesses (minor
axis) in the leaves of P. patens were also increased at 10*g. The area-based
photosynthesis rate of P. patens was also enhanced at 10*g. Increases in shoot
numbers and chloroplast sizes may elevate the area-based photosynthesis rate
under hypergravity conditions. We observed a decrease in leaf cell wall thickness
under hypergravity conditions, which is in contrast to previous findings obtained
using angiosperms. Since mosses including P. patens live in dense populations, an
increase in canopy-based plant numbers may be effective to enhance the toughness
of the population, and, thus, represents an effective adaptation strategy to a
hypergravity environment for P. patens.
PMID- 27896467
TI - Audit of geriatric hip fracture care - a Slovenian trauma center analysis.
AB - BACKGROUND: The aim was to describe an audit of hip fracture patient care and
outcomes in a Slovenian healthcare setting prior to the implementation of the
Geriatric Fracture Center (GFC) model of care. METHODS: The Fragility Fracture
Network (FFN) hip fracture audit database was used to collect data on hip
fracture care in elderly patients. Epidemiological data were submitted as well as
fracture type, prefracture residence and mobility prior to the fracture. The
timeline of events and acute care data were also collected. Follow-up after 30
days included hip-related readmission, mobility, residence and life status.
RESULTS: Included were 495 patients with a mean age of 81 years of which 20% were
preoperatively seen by a physician or geriatrician, 93.1% had surgical repair,
58.5% of them within 48 h of admission. The mortality rate in hospital was 5.4%
and 10.1% at follow-up, 61.8% patients were able to return to prefracture
residency and 23% could walk with minor assistance. CONCLUSION: This
comprehensive and detailed audit report provides baseline data on case-mix, care
and outcomes following hip fractures in Slovenia, in advance of planned quality
improvement work in geriatric fracture care and provides a strong basis for the
assessment of the impact of the GFC model of care.
PMID- 27896465
TI - Psychocardiology in the elderly.
AB - The population is rapidly aging worldwide and the burden of chronic disease
follows along. Frequently, diseases go hand in hand and cardiovascular disease
and mental health problems are no exception. Symptoms of depression in the
elderly are often overlooked and untreated because they coincide with other
problems encountered by the elderly. Older adults with depressive symptoms have
poorer functioning compared to those with chronic medical conditions. The burden
of cardiovascular disease also remains a leading cause of morbidity and
mortality. Bidirectional associations between depression and cardiovascular
diseases are described with a focus on psychosocial factors affecting cardiac
functioning and disease outcome.
PMID- 27896466
TI - Early hemodynamic assessment and treatment of elderly patients in the medical
ICU.
AB - BACKGROUND AND OBJECTIVES: The aim of this retrospective study was to analyze
differences in the initial hemodynamic assessment and its impact on the treatment
in patients aged 80 years or older compared to younger patients during the first
6 h after admission to the medical intensive care unit (ICU). RESULTS: We
analyzed 615 consecutive patients admitted to the medical ICU of which 124 (20%)
were aged 80 years or more. The older group had a significantly higher acute
physiology and chronic health evaluation (APACHE II) score, an overall mortality
in the ICU and a presence of pre-existing cardiac disease. Both groups did not
differ in the presence of shock and shock types on admission. In 57% of older and
in 56% of younger patients, transthoracic echocardiography was performed with a
higher therapeutic impact in the older patients. Transesophageal echocardiography
was performed in 3% of the patients in both groups for specific diagnostic
problems. Early reassessment with transthoracic echocardiography was necessary in
5% of the older and in 6% of the younger patients and resulted in a change of the
treatment in one third of the patients. Continuous invasive hemodynamic
monitoring was used in 11% of the older and in 10% of the younger patients and
resulted in a therapeutic change in 71% of the older and in 64% of the younger
patients. CONCLUSION: Patients aged 80 years or older represent 20% of all
admissions to the medical ICU. Once admitted the older patients were similarly
hemodynamically assessed as the younger ones with a similar impact on the
treatment.
PMID- 27896468
TI - Evaluation of major trauma in elderly patients - a single trauma center analysis.
AB - BACKGROUND: The objective of the study was to gather information about elderly
major trauma patients admitted to one particular Slovenian trauma centre in Celje
and examine this group of polytrauma patients, specifically with respect to
mechanisms of injury, injury severity and distribution of injuries. Further on,
to identify morbidity and mortality rates and compare these to the younger
population and, finally, to determine the factors that have the most impact on
treatment results. METHODS: The study gathered and evaluated data of 532 patients
included in the Trauma Register DGU(r) of the German Trauma Society (TR-DGU)
during a 10-year period and two distinct groups of patients were established,
separated on account of age as older or younger than 65 years. The differences
between these two groups were analyzed with respect to demographics,
comorbidities, preclinical management, injury patterns, relevant clinical and
laboratory findings. Furthermore, differences between deceased and surviving
elderly patients were also analyzed. RESULTS: The majority of elderly patients
suffered from a blunt mechanism of trauma (96.6%) and of these simple falls
represented 47.9% within this injury mechanism. There were two body regions,
which were most frequently represented, namely head and thorax injuries,
accounting for 54.7% each. Complications were more frequent among the elderly,
with sepsis being present in 29.9% and multiple organ failure (MOF) in 19.7% of
cases. Cardiovascular failure was also high in both the elderly and young,
accounting for 45.3% of the elderly and 31.3% of the younger population. The in
hospital mortality rate for the elderly group was 25.6% and was significantly
higher compared to the younger counterparts (14.7%). Low fall mechanism of
injury, coma and the new injury severity score (NISS) were statistically
important factors for the mortality of seriously injured elderly patients during
the acute phase of treatment. CONCLUSIONS: Despite advances in care, morbidity
and mortality in elderly patients after major trauma remains considerably higher
than in younger populations with head injuries accounting for the majority of
fatalities. The elderly patient population in this study mostly suffered from
blunt mechanisms of injury, with simple falls representing a high proportion of
injury mechanisms. Generally, the injury severity scale (ISS) in the elderly is
not statistically higher than with the younger population. Likewise, the
distribution of injuries according to body regions is also similar; however, the
elderly are more prone to complications (e. g. sepsis and MOF), which is likely
due to a lower physiological reserves.
PMID- 27896470
TI - Erratum to: High rates of clinically relevant incidental findings by total-body
CT scanning in trauma patients: Results of the REACT-2 trial.
PMID- 27896469
TI - CT evaluation after neoadjuvant FOLFIRINOX chemotherapy for borderline and
locally advanced pancreatic adenocarcinoma.
AB - AIM: To assess anatomic changes on computed tomography (CT) after neoadjuvant
FOLFIRINOX (5-fluorouracil/leucovorin/irinotecan/oxaliplatin) chemotherapy for
secondary resected borderline resectable (BR) and locally advanced (LA)
pancreatic adenocarcinoma and their accuracy to predict resectability and
pathological response. METHODS: Thirty-six patients with secondary resected BR/LA
pancreatic adenocarcinoma after neoadjuvant FOLFIRINOX chemotherapy (+/-
chemoradiotherapy) were retrospectively included. Two radiologists reviewed
baseline and pre-surgical CTs in consensus. NCCN (National Comprehensive Cancer
Network) classification, largest axis, product of the three axes (P3A), and
arterial/venous involvement were studied and compared to pathological response
and resection status and to disease-free survival (DFS). RESULTS: Thirty-one
patients had R0 resection, including only six exhibiting a downstaging according
to the NCCN classification. After treatment, the largest axis and P3A decreased
(P < 0.0001). The pre-surgical largest axis and P3A were smaller in case of R0
resection (P = 0.019/P = 0.021). The largest axis/P3A variations were higher in
case of complete pathological response (P = 0.011/P = 0.016). A decrease of the
arterial/venous involvement was not able to predict R0 or ypT0N0 (P > 0.05).
Progression of the vascular involvement was seen in two (5 %) patients and led to
a shorter DFS. CONCLUSION: In BR/LA pancreatic adenocarcinoma after the
neoadjuvant FOLFIRINOX regimen (+/- chemoradiotherapy), significant tumour size
decreases were observed on CT. However, CT staging was not predictive of
resectability and pathological response. KEY POINTS: * Significant tumour size
decreases were observed on CT after FOLFIRINOX (+/- chemoradiotherapy). * CT is
not able to predict R0 resection accurately after FOLFIRINOX (+/-
chemoradiotherapy). * CT is not able to predict complete response accurately
after FOLFIRINOX (+/- chemoradiotherapy). * Even with a stable NCCN
classification, BR/LA pancreatic adenocarcinoma could have R0 resection.
PMID- 27896471
TI - Contrast-enhanced spectral mammography vs. mammography and MRI - clinical
performance in a multi-reader evaluation.
AB - OBJECTIVES: To compare the diagnostic performance of contrast-enhanced spectral
mammography (CESM) to digital mammography (MG) and magnetic resonance imaging
(MRI) in a prospective two-centre, multi-reader study. METHODS: One hundred
seventy-eight women (mean age 53 years) with invasive breast cancer and/or DCIS
were included after ethics board approval. MG, CESM and CESM + MG were evaluated
by three blinded radiologists based on amended ACR BI-RADS criteria. MRI was
assessed by another group of three readers. Receiver-operating characteristic
(ROC) curves were compared. Size measurements for the 70 lesions detected by all
readers in each modality were correlated with pathology. RESULTS: Reading results
for 604 lesions were available (273 malignant, 4 high-risk, 327 benign). The area
under the ROC curve was significantly larger for CESM alone (0.84) and CESM + MG
(0.83) compared to MG (0.76) (largest advantage in dense breasts) while it was
not significantly different from MRI (0.85). Pearson correlation coefficients for
size comparison were 0.61 for MG, 0.69 for CESM, 0.70 for CESM + MG and 0.79 for
MRI. CONCLUSIONS: This study showed that CESM, alone and in combination with MG,
is as accurate as MRI but is superior to MG for lesion detection. Patients with
dense breasts benefitted most from CESM with the smallest additional dose
compared to MG. KEY POINTS: * CESM has comparable diagnostic performance (ROC
AUC) to MRI for breast cancer diagnostics. * CESM in combination with MG does not
improve diagnostic performance. * CESM has lower sensitivity but higher
specificity than MRI. * Sensitivity differences are more pronounced in dense and
not significant in non-dense breasts. * CESM and MRI are significantly superior
to MG, particularly in dense breasts.
PMID- 27896472
TI - Safety and perioperative morbidity of laparoscopic sacropexy: a systematic
analysis and a comparison with laparoscopic hysterectomy.
AB - PURPOSE: The high prevalence of Pelvic Organ Prolapse (POP) along with the
demographic trend of the ageing population raises the value of sacropexy in the
treatment of POP. Thus, efforts to decrease risks associated with this procedure
have the potential for public health impact. We examined the perioperative
morbidity of laparoscopic sacropexy regarding the surgical access and compared it
with the morbidity of one of the most common gynecological procedure, the
laparoscopic hysterectomy. Our aim was to prove the safety of laparoscopic
sacropexy. METHODS: A retrospective evaluation of 80 consecutive laparoscopic
sacropexies performed from Sept. 2012 until Oct. 2014 and 126 laparoscopic
hysterectomies for a benign indication were undertaken. We assessed the
anatomical outcome and the intra- and postoperative complications using the
classification system according to Clavien-Dindo (CD). RESULTS: Apical success
rate after sacropexy was 100% and global success rate was 95% (POP-Q stage <=1).
The decline in hemoglobin was low in both groups and showed no statistically
significant differences. Both operative time (P < 0.001) and the duration of
hospitalization (P < 0.001) were longer in case of a sacropexy. Although overall
intraoperative complications seemed more frequent during a sacropexy, differences
were not statistically significant. Both early and late postoperative
complications showed a higher rate of mild complications (CD-I/II) and a lower
rate of severe complications (CD-IIIa/IIIb) after a sacropexy. The differences
were not statistically significant. CONCLUSION: The laparoscopic sacropexy
represents a safe procedure with good anatomical outcome. Despite higher
technical severity, it doesn't seem to bare higher risks for perioperative
morbidity than the laparoscopic hysterectomy does.
PMID- 27896473
TI - Experience with oral emergency contraception since the OTC switch in Germany.
AB - PURPOSE: In March 2015, the oral emergency contraceptives levonorgestrel (LNG)
and ulipristal acetate (UPA) were released from prescription-only status in
Germany. The main research question is to analyse whether the OTC status of oral
emergency contraceptives has an influence on the patterns of use. METHODS: All
information is based on searches for public domain sources on emergency
contraception. Searches were made for scientific publications, statistics, and
surveys. RESULTS: Due to additional active ingredient properties, UPA is superior
to LNG in terms of ovulation-inhibiting effect. Since the OTC switch, demand for
oral emergency contraceptives has risen by almost 50%, especially at weekends
when sexual encounters and thus contraceptive failures are most frequent.
However, the age distribution of the users has not changed as a result of the OTC
switch. Doctors still play an important role in advising on emergency
contraception after the removal of the prescription-only requirement. Pregnancies
despite emergency contraception are terminated in more than half of the cases. In
federal states with higher rates of use of the morning-after pill, fewer
terminations of pregnancy were performed. CONCLUSION: As a result of the OTC
switch, more women and girls use the morning-after pill after unprotected
intercourse and the time between unprotected intercourse and taking the oral
emergency contraceptive decreases. This is of great advantage in terms of the
mechanism of action. UPA is used more frequently than LNG. Only half of all
people aged between 16 and 39 years in Germany are aware of the morning-after
pill and 94% of women who had a pregnancy terminated in 2015 did not use any
emergency contraception after the unprotected intercourse. In the population,
there is still a great need for information and education on contraception and
emergency contraception.
PMID- 27896474
TI - Worldwide prevalence of adverse pregnancy outcomes among singleton pregnancies
after in vitro fertilization/intracytoplasmic sperm injection: a systematic
review and meta-analysis.
AB - PURPOSE: The worldwide prevalence of adverse pregnancy outcomes (APOs) in
singleton pregnancies after in vitro fertilization (IVF)/intracytoplasmic sperm
injection (ICSI) is suggested to vary; however, a complete overview is missing.
The aim of this review is to estimate the worldwide prevalence of APOs associated
with IVF/ICSI singleton pregnancies. METHODS: PubMed, Google Scholar, Cochrane
Libraries, and Chinese databases were searched for studies assessing APOs among
IVF/ICSI singleton births through March 2016. The prevalence estimates were
summarized and analyzed by meta-analysis. RESULTS: Fifty-two cohort studies, with
181,741 IVF/ICSI singleton births and 4,636,508 spontaneously conceived singleton
births, were selected for analysis. Among IVF/ICSI singleton pregnancies, pooled
estimates were 10.9% [95% confidence interval (CI) 10.0-11.8] for preterm birth,
2.4% (95% CI 1.9-3.0) for very preterm birth, 8.7% (95% CI 7.4-10.2) for low
birth weight, 2.0% (95% CI 1.5-2.6) for very low birth weight, 7.1% (95% CI 5.5
9.2) for small for gestational age, 1.1% (95% CI 0.9-1.3) for perinatal
mortality, and 5.7% (95% CI 4.7-6.9) for congenital malformations. The IVF/ICSI
singleton pregnancies have higher prevalence of APOs compared with those
conceived naturally (all P = 0.000). Significant differences in different
continents, countries, income groups, and type of assisted conception were found.
CONCLUSIONS: The IVF/ICSI singleton pregnancies are at a higher prevalence of
adverse perinatal outcomes compared with those conceived naturally. Important
geographical differences were found. Yet, population-wide prospective APO
registries covering the entire world population for IVF/ICSI pregnancies are
needed to determine the exact perinatal prevalence.
PMID- 27896475
TI - An exploratory association of polymorphisms in angiogenesis-related genes with
susceptibility, clinical response and toxicity in gastrointestinal stromal tumors
receiving sunitinib after imatinib failure.
AB - The angiogenic pathway plays a pivotal role in tumor growth, invasiveness and
metastasis. The most important actors in the angiogenic pathway are VEGFA and its
receptors VEGFR1, 2 and 3. These genes are polymorphic, and the presence of
single nucleotide polymorphisms may result in angiogenic deregulation. Herein, we
hypothesized that germline variants may affect sunitinib efficacy (TTP and OS)
and/or toxicity. Therefore, we investigated 19 polymorphisms, in four genes, in
54 GIST patients, treated with second-line sunitinib and 147 healthy controls.
Through a multiple candidate gene approach, we also investigated, for the first
time, any possible significant associations with GIST susceptibility and clinical
pathological features. The most important result shows two associations between
polymorphisms in VEGFR3 rs6877011 (CC vs. CG, OR 9.7, 95% CI 3.31-28.4; P <
0.001) and rs7709359 (AA+AG vs. GG, OR 5.01, 95% CI 1.33-18.8; P = 0.017) and
TTP. Interestingly, the association between VEGFR3 rs6877011 and TTP maintained
the significance after applying the Bonferroni correction for multiple testing (P
= 0.017). We also highlighted the association with sunitinib-related toxicity; in
particular, VEGFA polymorphism rs3025039 (CT+TT vs. CC, OR 15.3, 95% CI 2.2
102.1; P = 0.005) is associated with severe toxicity, with the presence of the
variant T allele associated with a grade >=3 AE. Because of the small sample size
and large number of tests performed, we cannot ignore the possibility that some
associations have been retrieved by chance. However, the influence of VEGF
polymorphisms in angiogenesis is a hypothesis worthy of exploration in cellular
models and confirmation in a sizeable cohort of patients.
PMID- 27896476
TI - Medicinal Chemistry of the Noncanonical Cyclic Nucleotides cCMP and cUMP.
AB - After decades of intensive research on adenosine-3',5'-cyclic monophosphate
(cAMP)- and guanosine-3',5'-cyclic monophosphate (cGMP)-related second messenger
systems, also the noncanonical congeners cyclic cytidine-3',5'-monophosphate
(cCMP) and cyclic uridine-3',5'-monophosphate (cUMP) gained more and more
interest. Until the late 1980s, only a small number of cCMP and cUMP analogs with
sometimes undefined purities had been described. Moreover, most of these
compounds had been rather synthesized as precursors of antitumor and antiviral
nucleoside-5'-monophosphates and hence had not been tested for any second
messenger activity. Along with the recurring interest in cCMP- and cUMP-related
signaling in the early 2000s, it became evident that well-characterized small
molecule analogs with reliable purities would serve as highly valuable tools for
the evaluation of a putative second messenger role of cyclic pyrimidine
nucleotides. Meanwhile, for this purpose new cCMP and cUMP derivatives have been
developed, and already known analogs have been resynthesized and highly purified.
This chapter summarizes early medicinal chemistry work on cCMP and cUMP and
analogs thereof, followed by a description of recent synthetic developments and
an outlook on potential future directions.
PMID- 27896477
TI - How do anthropogenic contaminants (ACs) affect behaviour? Multi-level analysis of
the effects of copper on boldness in hermit crabs.
AB - Natural animal populations are increasingly exposed to human impacts on the
environment, which could have consequences for their behaviour. Among these
impacts is exposure to anthropogenic contaminants. Any environmental variable
that influences internal state could impact behaviour across a number of levels:
at the sample mean, at the level of among-individual differences in behaviour
('animal personality') and at the level of within-individual variation in
behaviour (intra-individual variation, 'IIV'). Here we examined the effect of
exposure to seawater-borne copper on the startle response behaviour of European
hermit crabs, Pagurus bernhardus across these levels. Copper exposure rapidly led
to longer startle responses on average, but did not lead to any change in
repeatability indicating that individual differences were present and equally
consistent in the presence and absence of copper. There was no strong evidence
that copper exposure led to changes in IIV. Our data show that exposure to copper
for 1 week produces sample mean level changes in the behaviour of hermit crabs.
However, there is no evidence that this exposure led to changes in repeatability
through feedback loops.
PMID- 27896478
TI - Soil microbial communities buffer physiological responses to drought stress in
three hardwood species.
AB - Trees possess myriad adaptations for coping with drought stress, but the extent
to which their drought responses are influenced by interactions with soil
microbes is poorly understood. To explore the role of microbes in mediating tree
responses to drought stress, we exposed saplings of three species (Acer
saccharum, Liriodendron tulipifera, and Quercus alba) to a four week experimental
drought in mesocosms. Half of the pots were inoculated with a live soil slurry
(i.e., a microbial inoculum derived from soils beneath the canopies of mature A.
saccharum, L. tulipifera or Q. alba stands), while the other half of the pots
received a sterile soil slurry. Soil microbes ameliorated drought stress in L.
tulipifera by minimizing reductions in leaf water potential and by reducing
photosynthetic declines. In A. saccharum, soil microbes reduced drought stress by
lessening declines in leaf water potential, though these changes did not buffer
the trees from declining photosynthetic rates. In Q. alba, soil microbes had no
effects on leaf physiological parameters during drought stress. In all species,
microbes had no significant effects on dynamic C allocation during drought
stress, suggesting that microbial effects on plant physiology were unrelated to
source-sink dynamics. Collectively, our results suggest that soil microbes have
the potential to alter key parameters that are used to diagnose drought
sensitivity (i.e., isohydry or anisohydry). To the extent that our results
reflect dynamics occurring in forests, a revised perspective on plant hydraulic
strategies that considers root-microbe interactions may lead to improved
predictions of forest vulnerability to drought.
PMID- 27896480
TI - Ultimate regulation of fecundity in species with precocial young: declining
marginal value of offspring with increasing brood size does not explain maximal
clutch size in Black Brent geese.
AB - Lack 18:125-128 (1967) proposed that clutch size in precocial species was
regulated by nutrients available to females during breeding. Drent and Daan
68:225-252 (1980) proposed the individual optimization hypothesis, whereby
individual state determines the optimal combination of breeding date and clutch
size. Neither hypothesis accounts for variation in nutrients among females at the
end of egg laying, strong right truncations in clutch size distributions, or the
fact that many species with precocial young are determinate layers. One solution
is that there is a maximum clutch size, above which the number of fledged young
declines. We manipulated brood size in Black Brent geese to decouple brood size
from maternal quality and produce broods larger than the natural maximum. We
recaptured marked goslings to assess variation in prefledging survival as a
function of brood size and we estimated relative prefledging survival of goslings
using a Bayesian hierarchical approach. We considered effects of natural clutch
size, brood size and their interaction on probability that we captured goslings
at about 4 weeks of age. Prefledging survival declined with increasing brood size
([Formula: see text] = -0.53; 95% CI -0.91 to -0.16), while laid clutch size had
little influence on prefledging survival ([Formula: see text] = -0.04; 95% CI
0.42 to 0.32). Despite declining per capita survival with increasing brood size,
the most productive brood size was six goslings, which is greater than the
typical maximum clutch size of five. Thus, reduced survival in large broods, by
itself, is not the sole mechanism that limits maximum clutch size. We suggest
elsewhere that incubation limitation and lower residual reproductive value for
females tending larger broods may be other mechanisms limiting maximal clutch
size in brent.
PMID- 27896479
TI - Body size drives allochthony in food webs of tropical rivers.
AB - Food web subsidies from external sources ("allochthony") can support rich
biological diversity and high secondary and tertiary production in aquatic
systems, even those with low rates of primary production. However, animals vary
in their degree of dependence on these subsidies. We examined dietary sources for
aquatic animals restricted to refugial habitats (waterholes) during the dry
season in Australia's wet-dry tropics, and show that allochthony is strongly size
dependent. While small-bodied fishes and invertebrates derived a large proportion
of their diet from autochthonous sources within the waterhole (phytoplankton,
periphyton, or macrophytes), larger animals, including predatory fishes and
crocodiles, demonstrated allochthony from seasonally inundated floodplains,
coastal zones or the surrounding savanna. Autochthony declined roughly 10% for
each order of magnitude increase in body size. The largest animals in the food
web, estuarine crocodiles (Crocodylus porosus), derived ~80% of their diet from
allochthonous sources. Allochthony enables crocodiles and large predatory fish to
achieve high biomass, countering empirically derived expectations for negative
density vs. body size relationships. These results highlight the strong degree of
connectivity that exists between rivers and their floodplains in systems largely
unaffected by river regulation or dams and levees, and how large iconic predators
could be disproportionately affected by these human activities.
PMID- 27896481
TI - High Perineal and Overall Frequency of Staphylococcus aureus in People Who Inject
Drugs, Compared to Non-Injectors.
AB - To investigate the prevalence, distribution, and colonization burden of
Staphylococcus aureus (S. aureus) and MRSA in different body sites among people
who inject drugs (PWID) and compare it to a control group consisting of non
injectors. In this cross-sectional survey, 49 active PWID from the needle
exchange program (NEP) in Malmo, Sweden, and 60 non-injecting controls from an
emergency psychiatric inpatient ward at Malmo Addiction Centre were tested for S.
aureus (including MRSA) by culture, PCR, and MALDI-TOF. Samples were taken from
anterior nares, throat, perineum, and skin lesions if present. Sixty-seven
percent of the PWID were colonized with S. aureus, compared to 50% of the
controls (P = 0.08). Perineal carriage was significantly more frequent among PWID
than in the control group [37 vs 17%, OR 2.96 (95% CI 1.13-7.75), P = 0.03], also
after adjusting for sex and age in multivariate analysis [OR 4.01 (95% CI 1.34
12.03)]. Only one individual in the whole cohort (NEP participant) tested
positive for MRSA. PWID may be more frequently colonized with S. aureus in the
perineum than non-injection drug users, and there was a trend indicating more
frequent overall S. aureus colonization in PWID, as well as higher perineal
colonization burden. No indication of a high MRSA prevalence among PWID in Sweden
was noted. However, further MRSA prevalence studies among PWID are needed.
Knowledge about S. aureus colonization is important for the prevention of S.
aureus infections with high morbidity in PWID.
PMID- 27896483
TI - The Effects of Different Carbon Sources on the Antifungal Activity by Lactic Acid
Bacteria.
AB - Lactobacillus rhamnosus R-2002 strain isolated from Armenian pickled cheese
sample has a fungicide effect, which was carried out by the first-time designed
method. The fungicide effect of LAB strain was expressed after 5 days of co
cultivation with Mucor plumbeus and 6 days of co-cultivation with Penicillium
aurantioviolaceum. The growth as well as the antifungal activity of L. rhamnosus
R-2002 was shown to directly depend on the nature of the medium's carbon source.
The antifungal activity of this strain against both moulds was inhibited when the
combination of 10 g l-1 glucose and 10 ml l-1 ethanol in the medium was used as a
carbon source. The results could be applied in food industry, medicine, and
veterinary.
PMID- 27896482
TI - Phage Therapy in Bacterial Infections Treatment: One Hundred Years After the
Discovery of Bacteriophages.
AB - The therapeutic use of bacteriophages has seen a renewal of interest blossom in
the last few years. This reversion is due to increased difficulties in the
treatment of antibiotic-resistant strains of bacteria. Bacterial resistance to
antibiotics, a serious problem in contemporary medicine, does not implicate
resistance to phage lysis mechanisms. Lytic bacteriophages are able to kill
antibiotic-resistant bacteria at the end of the phage infection cycle. Thus, the
development of phage therapy is potentially a way to improve the treatment of
bacterial infections. However, there are antibacterial phage therapy difficulties
specified by broadening the knowledge of the phage nature and influence on the
host. It has been shown during experiments that both innate and adaptive immunity
are involved in the clearance of phages from the body. Immunological reactions
against phages are related to the route of administration and may vary depending
on the type of bacterial viruses. For that reason, it is very important to test
the immunological response of every single phage, particularly if intravenous
therapy is being considered. The lack of these data in previous years was one of
the reasons for phage therapy abandonment despite its century-long study.
Promising results of recent research led us to look forward to a phage therapy
that can be applied on a larger scale and subsequently put it into practice.
PMID- 27896484
TI - Oral health status and need for oral care of care-dependent indwelling elderly:
from admission to death.
AB - OBJECTIVES: The objective of this study is to assess oral health and oral status
of elderly patients newly admitted to a nursing home from admission until death.
MATERIALS AND METHODS: Oral health, oral status, need for dental care,
cooperation with dental treatment, and given dental care were assessed by two
geriatric dentists in all new long-stay patients (n = 725) admitted to a nursing
home between January 2009 and December 2013. All patients were followed from
admission until death or until they left the nursing home. RESULTS: At admission,
dementia patients were significantly older than somatic patients; median [IQR]
ages were, respectively, 85 [79-89] and 81 [76-87] (p = 0.001). In addition,
edentulous patients were significantly older than patients with remaining teeth,
83 [79-89] versus 80 [74-86] (p = 0.001) years. Thirty percent of the admitted
patients died within 12 months after admission. A small minority (20%) of the
patients had their own teeth. In this group, poor oral hygiene (72%), caries
(70%), and broken teeth (62%) were frequently observed. Edentulous patients were
significantly more cooperative with treatment than patients with remaining teeth
(64 versus 27%). Finally, significantly less professional dental care was given
to edentulous patients when compared to patients with remaining teeth (median 90
[IQR 60-180] versus 165 [75-375] min). CONCLUSION: When compared to edentulous
elderly patients, patients with remaining teeth were younger at admittance, were
more often non-cooperative, and had a poorer oral health and higher need for
dental care. CLINICAL RELEVANCE: It is important that health care workers ensure
adequate oral health and dental care to frail elderly, especially for elderly
with remaining teeth.
PMID- 27896485
TI - Biomarkers for precision medicine in bladder cancer.
AB - Bladder cancer (BC) is classified as non-muscle-invasive BC (NMIBC) or muscle
invasive BC (MIBC). Because the recurrence and mortality rates of BC are high,
suitable biomarkers for early detection, evaluation of prognosis, and
surveillance of drug responses are needed. Urinary markers simplify surveillance
schedules and improve early detection of tumors, especially in NMIBC. Various
markers have been identified at DNA, RNA, and protein levels with different
sensitivities and specificities. Several biomarkers show a higher sensitivity
than urinary cytology, but they are not accurate enough to replace it. In terms
of prediction of clinical outcome and treatment response of BC, conventional
clinical and pathological parameters are widely used, but the predictive ability
of these parameters is limited; therefore, molecular biomarkers in this field are
strongly desired. Molecular profiling using fluid and tissue is becoming more
feasible with recent developments in next-generation sequencing technologies.
Currently, these profiling methods are beginning to be used for early detection,
prediction of prognosis, and drug sensitivity. Furthermore, several groups used
transcriptome profiling to classify MIBC into various distinct subtypes, showing
distinct clinical behaviors and responses to chemotherapy and immune checkpoint
inhibitors. The aim of this review is to provide a summary of the most relevant
biomarkers that have been investigated as diagnostic and prognostic indicators of
BC.
PMID- 27896488
TI - Erratum to: Designing 3-Dimensional In Vitro Oviduct Culture Systems to Study
Mammalian Fertilization and Embryo Production.
PMID- 27896486
TI - Neural Correlates of the Binaural Masking Level Difference in Human Frequency
Following Responses.
AB - The binaural masking level difference (BMLD) is an auditory phenomenon where
binaural tone-in-noise detection is improved when the phase of either signal or
noise is inverted in one of the ears (SpiNo or SoNpi, respectively), relative to
detection when signal and noise are in identical phase at each ear (SoNo).
Processing related to BMLDs and interaural time differences has been confirmed in
the auditory brainstem of non-human mammals; in the human auditory brainstem,
phase-locked neural responses elicited by BMLD stimuli have not been
systematically examined across signal-to-noise ratio. Behavioral and
physiological testing was performed in three binaural stimulus conditions: SoNo,
SpiNo, and SoNpi. BMLDs at 500 Hz were obtained from 14 young, normal-hearing
adults (ages 21-26). Physiological BMLDs used the frequency-following response
(FFR), a scalp-recorded auditory evoked potential dependent on sustained phase
locked neural activity; FFR tone-in-noise detection thresholds were used to
calculate physiological BMLDs. FFR BMLDs were significantly smaller (poorer) than
behavioral BMLDs, and FFR BMLDs did not reflect a physiological release from
masking, on average. Raw FFR amplitude showed substantial reductions in the SpiNo
condition relative to SoNo and SoNpi conditions, consistent with negative effects
of phase summation from left and right ear FFRs. FFR amplitude differences
between stimulus conditions (e.g., SoNo amplitude-SpiNo amplitude) were
significantly predictive of behavioral SpiNo BMLDs; individuals with larger
amplitude differences had larger (better) behavioral B MLDs and individuals with
smaller amplitude differences had smaller (poorer) behavioral B MLDs. These data
indicate a role for sustained phase-locked neural activity in BMLDs of humans and
are the first to show predictive relationships between behavioral BMLDs and human
brainstem responses.
PMID- 27896490
TI - Cerebrospinal fluid levels of neurofilament light chain in multiple system
atrophy relative to Parkinson's disease: a meta-analysis.
AB - As a biomarker of axonal injury, neurofilament light chain (NFL) in multiple
system atrophy (MSA) patients and Parkinson's disease (PD) patients has been
investigated by numerous studies. However, cerebrospinal fluid (CSF) NFL changes
are conflicting in MSA patients relative to PD patients to date. Therefore, the
current study was carried out to find out possible heterogeneity sources.
Furthermore, "Neurofilament", "Neurofilament light chain" and "Multiple system
atrophy" were employed to search "PubMed", "Springer" and "Medline" databases
until August 2016 with standard mean difference (Std.MD) being calculated. In
addition, subgroup analysis and meta-regression were performed to assess possible
heterogeneity sources. Nine studies were pooled, in which 212 MSA patients and
373 PD patients were involved. Moreover, CSF NFL in MSA patients was higher than
that in PD patients [pooled Std.MD = 1.56, 95% CI (1.12, 2.00), p < 0.00001] with
significant heterogeneity (I 2 = 76%). Besides, population variations, sample
size, the difference in CSF phosphorylated tau (p-tau) levels between MSA
patients and PD patients, and Hoehn-Yahr staging of PD patients were the main
heterogeneity sources. As shown by meta-regression, Hedges's g of CSF NFL was
correlated with CSF Std.MD of alpha-synuclein between MSA patients and healthy
controls (r = -1.34824, p = 0.00025). Therefore, CSF NFL increased in MSA
patients relative to PD patients. Meta-regression showed that NFL was associated
with alpha-synuclein in CSF of MSA patients relative to healthy controls. Due to
the influence of heterogeneity sources, more prospective large sample studies are
still needed to assess CSF NFL changes in MSA patients relative to PD patients.
PMID- 27896487
TI - Natural Compounds as Occult Ototoxins? Ginkgo biloba Flavonoids Moderately Damage
Lateral Line Hair Cells.
AB - Several drugs, including aminoglycosides and platinum-based chemotherapy agents,
are well known for their ototoxic properties. However, FDA-approved drugs are not
routinely tested for ototoxicity, so their potential to affect hearing often goes
unrecognized. This issue is further compounded for natural products, where there
is a lack of FDA oversight and the manufacturer is solely responsible for
ensuring the safety of their products. Natural products such as herbal
supplements are easily accessible and commonly used in the practice of
traditional eastern and alternative medicine. Using the zebrafish lateral line,
we screened a natural products library to identify potential ototoxins. We found
that the flavonoids quercetin and kaempferol, both from the Gingko biloba plant,
demonstrated significant ototoxicity, killing up to 30 % of lateral line hair
cells. We then examined a third Ginkgo flavonoid, isorhamnetin, and found similar
levels of ototoxicity. After flavonoid treatment, surviving hair cells
demonstrated reduced uptake of the vital dye FM 1-43FX, suggesting that the
health of the remaining hair cells was compromised. We then asked if these
flavonoids enter hair cells through the mechanotransduction channel, which is the
site of entry for many known ototoxins. High extracellular calcium or the
quinoline derivative E6 berbamine significantly protected hair cells from
flavonoid damage, implicating the transduction channel as a site of flavonoid
uptake. Since known ototoxins activate cellular stress responses, we asked if
reactive oxygen species were necessary for flavonoid ototoxicity. Co-treatment
with the antioxidant D-methionine significantly protected hair cells from each
flavonoid, suggesting that antioxidant therapy could prevent hair cell loss. How
these products affect mammalian hair cells is still an open question and will be
the target of future experiments. However, this research demonstrates the
potential for ototoxic damage caused by unregulated herbal supplements and
suggests that further supplement characterization is warranted.
PMID- 27896489
TI - Effects of Iron on Physical and Mechanical Properties, and Osteoblast Cell
Interaction in beta-Tricalcium Phosphate.
AB - Iron (Fe) is a vital element and its deficiency causes abnormal bone metabolism.
We investigated the effects of Fe and its concentration in beta-tricalcium
phosphate (beta-TCP) on physicomechanical properties and in vitro proliferation
and differentiation of osteoblasts. Our results showed that Fe addition at
concentrations of 0.5 wt.% (0.5 Fe-TCP) and 1.0 wt.% (1.0 Fe-TCP) inhibits the
beta-TCP to alpha-TCP phase transformation at sintering temperature of 1250
degrees C. Addition of 0.25 wt.% Fe (0.25 Fe-TCP) increased the compressive
strength of beta-TCP from 167.27 +/- 16.2 to 227.10 +/- 19.3 MPa. After 3 days of
culture, surfaces of 0.5 Fe-TCP and 1.0 Fe-TCP samples were covered by osteoblast
cells, compared to that of pure and 0.25 Fe-TCP. Cells grew to confluency on all
Fe-doped samples after 7 days of culture and monolayer sheet-like cellular
structure was found at 11 days. Optical cell density and alkaline phosphatase
activity were significantly higher on Fe-doped samples and the highest values
were found in 0.5 Fe-TCP samples. Our results show that Fe concentration had
significant effect on physical and mechanical properties of TCP ceramics, and
also on the in vitro osteoblast cellular interactions in TCP ceramics.
PMID- 27896492
TI - Hemianopic line bisection error in a patient with Alzheimer's disease.
AB - Visual symptoms are frequently observed in posterior cortical atrophy compared to
typical amnestic Alzheimer's disease (AD). We report the case of a patient with
amnestic AD with long-lasting homonymous hemianopia. A 62-year-old woman, who was
diagnosed in amnestic AD, complained of visual disturbance. She had left
homonymous hemianopia in the perimetry test and left tactile extinction.
Regardless of posture, retinotopically leftward error was observed on 21 cm line
bisection test. Brain single photon emission computerized tomography (SPECT)
showed bilateral temporoparietal hypoperfusion, which was more severe to the
right than left side. This case suggested that long-lasting hemianopia could
occur in the patient with amnestic AD and that hemianopic line bisection error
might be compensatory mechanism.
PMID- 27896491
TI - Clusters of cognitive impairment among different phenotypes of myotonic dystrophy
type 1 and type 2.
AB - Neuropsychological examinations in myotonic dystrophy (DM) patients show a great
variability of results from a condition of intellectual disability to the subtle
cognitive impairments. It is unclear if different clusters of neuropsychological
deficits appear in different phenotypes of DM, or if there are patients with no
cognitive deficit at all. The aim of this study is to assess cognitive
impairments among patients with different phenotypes of DM type 1 (DM1) and type
2 (DM2), and to potentially define cognitive clusters in these disorders. Study
comprised 101 DM1 and 46 DM2 adult patients who were genetically confirmed.
Patients underwent analysis of five cognitive domains (visuospatial, executive,
attention, memory and language). Virtually all DM1 patients had cognitive defect
with approximately 2-3 cognitive domains affected. On the other hand, one-third
of DM2 patients had completely normal neuropsychological findings, and in other
two-thirds approximately 1-2 domains were affected. Cluster analysis showed that
in both diseases visuospatial and executive dysfunctions seemed to be the main
cognitive defects, while memory and language impairments appeared in more severe
phenotypes. Our results showed that a single form of DM1 or DM2 may consist of
several cognitive clusters. Understanding of cognitive impairments in DM is very
important to follow positive and side effects in ongoing and future clinical
trials.
PMID- 27896493
TI - Hearing impairment and risk of Alzheimer's disease: a meta-analysis of
prospective cohort studies.
AB - Observational studies suggested an association between hearing impairment and
cognitive disorders. However, whether hearing impairment is an independent risk
factor or a harbinger of Alzheimer's disease remains controversial. Our goal was
to assess the association between hearing impairment (HI) and the risk of
Alzheimer's disease (AD) by conducting a meta-analysis of prospective cohort
studies. We comprehensively searched the PubMed, Embase, Web of Science and
Cochrane Library databases on January 19, 2016 to incorporate all the prospective
cohort studies meeting the inclusion criteria to perform a systematic review and
meta-analysis. Four prospective cohort studies with comparison between hearing
impairment and normal hearing were incorporated, with 7461 participants. The
outcomes of three studies were the incidence of Alzheimer's disease and the
outcome of the fourth study was the incidence of mild cognitive impairment. The
overall combined relative risk of people with hearing impairment to develop
Alzheimer's disease was 4.87 (95% CI 0.90-26.35; p = 0.066), compared with the
control group. Since both Alzheimer's disease and mild cognitive impairment are
cognitive disorders, we incorporated all the four studies and the overall
combined relative risk was 2.82 (95% CI 1.47-5.42; p = 0.002), indicating that
the difference was significant. This meta-analysis suggests that hearing
impairment significantly increases the risk of cognitive disorders and future
well-designed prospective cohort studies are awaited to confirm the association
between hearing impairment and risk of Alzheimer's disease.
PMID- 27896494
TI - Endohedral metalloborofullerenes M@B44 (M = Ca, Sr, Ba): a computational
investigation.
AB - For the first time, the structures, stabilities and electronic properties of
alkaline-earth metal doped B44 fullerenes were investigated by means of density
functional theory calculations. Our results reveal that M@B44 (M = Ca, Sr, Ba)
possess endohedral configurations as their lowest energy structures, whereas the
exohedral form is favored when metal is Be or Mg. The large binding energies and
sizable HOMO-LUMO gap energies of Ca@B44, Sr@B44 and Ba@B44 suggest the
considerable possibility to achieve these novel endohedral borofullerenes
experimentally. Born-Oppenheimer molecular dynamics (BO-MD) simulations at
various temperatures further confirmed the extreme dynamic stabilities of these
endohedral complexes. Their bonding patterns were also analyzed in detail.
Finally, we simulated their infrared absorption spectra and 11B nuclear magnetic
resonance spectra to help future structural characterization. Graphical Abstract
Stuffing B44 fullerene with metals.
PMID- 27896496
TI - Dalbavancin reduces biofilms of methicillin-resistant Staphylococcus aureus
(MRSA) and methicillin-resistant Staphylococcus epidermidis (MRSE).
AB - Activity of dalbavancin against methicillin-resistant Staphylococcus aureus
(MRSA) and methicillin-resistant Staphylococcus epidermidis (MRSE) in biofilm was
investigated and the microbicidal biofilm concentrations (MBC) were determined.
Biofilms obtained from ten MRSA and ten MRSE bloodstream isolates, collected from
patients in the General Hospital of Vienna between 2012 and 2015, were incubated
with dalbavancin in trypticase soy broth (TSB) in serial dilution from 0.0625
mg/l to 256 mg/l using a microtiter plate biofilm model. The plates were
incubated for 24 h at 37 degrees C and 50% humidity. Biofilms were fixed with
2.5% glutaraldehyde and stained with crystal violet. Subsequently the optical
density (OD620) was used to measure the MBC, defined as the concentration of
dalbavancin leading to a 50% reduction of biofilm. MBC for MRSA was 1 mg/l-4 mg/l
(minimal inhibitory concentrations (MIC) 0.0312 mg/l-0.064 mg/l). MBC for MRSE
was 2 mg/l-16 mg/l (MIC 0.023 mg/l-0.0625 mg/l). Dalbavancin successfully reduced
MRSA and MRSE in biofilms, and therefore provides a promising option for the
treatment of biofilm-associated infections.
PMID- 27896497
TI - The slippery difficulty of ever containing drug resistance with current
practices.
AB - It has previously been shown that the rate of drug resistance emergence in
medicine is exponential, while we have been producing drugs at a much lower rate.
Our ability to successfully contain resistance at any one time is function of how
many drugs we have at our disposal to counter new resistances from pathogens.
Here, we assess our level of preparedness through a mathematical comparison of
the drug manufacture rate by the pharmaceutical industry with the resistance
emergence rate in pathogens. To that effect, changes in the rates of growth of
the drugs production and resistance emergence processes are computed over
multiple time segments and compared. It is found that new resistance emergence
rate in infectious diseases medicine remains mathematically and permanently ahead
of the drugs production rate by the pharmaceutical industry. Consequently, we are
not in a position to ever contain current or future strengths of resistance from
pathogens. A review of current practices is called for.
PMID- 27896495
TI - Accuracy of coronary computed tomography angiography for bioresorbable scaffold
luminal investigation: a comparison with optical coherence tomography.
AB - To establish the accuracy of coronary computed tomography angiography (CTA) for
in-scaffold quantitative evaluation with optical coherence tomography (OCT) as a
reference. The translucent backbone of the bioresorbable scaffold allow us to
evaluate non-invasively the coronary lumen with coronary CTA. In the ABSORB first
in-man studies, coronary CTA was shown to be feasible for quantitative luminal
assessment. Nevertheless, a comparison with an intravascular modality with higher
resolution has never been performed. In the ABSORB Cohort B trial, 101 patient
with non-complex lesions were treated with the fully biodegradable vascular
scaffold. For this analysis, all patients who underwent coronary CTA at 18 months
and OCT within +/-180 days were included. Coronary CTA and OCT data were analysed
at an independent core laboratory for quantitative cross-sectional luminal
dimensions. The primary objective was the accuracy and precision of coronary CTA
for in-scaffold minimal lumen area assessment, with OCT as a reference. Among the
101 patients of the ABSORB Cohort B trial, 35 underwent both OCT and coronary
CTA. The feasibility of quantitative evaluation was 74%. In the scaffolded
segment, coronary CTA underestimated minimal lumen area by 9.8% (accuracy 0.39
mm2, precision 1.0 mm2, 95% limits of agreement -1.71 to 2.50 mm2). A similar
level of agreement was observed in the non-scaffolded segment. Compared to OCT,
coronary CTA appears to be accurate for the estimation of in-scaffold luminal
areas, with no difference compared to the non-scaffolded region.
PMID- 27896498
TI - Ratchet effect for two-dimensional nanoparticle motion in a corrugated
oscillating channel.
AB - The motion of a single rigid or elastic particle inside a corrugated narrow
channel is investigated by means of Brownian dynamics simulations. Periodic
oscillations of one of the asymmetric channel surfaces induce directed particle
transport. For different surface structures of the resting channel surface, we
determine optimal transport properties in terms of the driving frequency,
particle size, and corrugation amplitude. The transport direction is changed when
switching from perpendicular motion of the oscillating surface to parallel motion
with respect to the resting surface, which can be rationalized by a transition
from a flashing to a pushing ratchet effect. We also study the diffusion behavior
and find strongly enhanced diffusion for parallel oscillatory motion with a
diffusivity significantly larger than for free diffusion. Elastic large particles
exhibit suppressed transport with increasing rigidity. In contrast, for small
particles, increasing rigidity enhances the particle transport both in terms of
particle velocity and diffusivity.
PMID- 27896499
TI - Phase behaviour of colloids plus weakly adhesive polymers.
AB - The phase behaviour of a colloidal dispersion mediated by weakly adhesive
polymers is considered. The polymers are depleted but are weakly adhesive and
hence comprise a non-zero polymer concentration at the colloid's surface, in
contrast to the classical assumption in depletion theories involving a zero
polymer concentration at the surface. The theory is composed of a generalized
free-volume theory for colloid-polymer mixtures and a self-consistent mean-field
theory for polymers at surfaces. It is found that the weak adhesion of the
polymers shifts the phase stability of the colloid-polymer mixtures to higher
polymer concentrations as compared to assuming a full depletion effect. The
predicted phase diagrams employing the new theory are consistent with experiments
on mixtures of silica spheres coated with stearyl alcohol and
polydimethylsiloxane in cyclohexane and with Monte Carlo simulation results.
PMID- 27896500
TI - Differences in the adsorption of nafamostat mesilate between polyester-polymer
alloy and polysulfone membranes.
AB - We previously experienced severe clot formation in a polyester-polymer alloy
(PEPA) dialyzer and hemodialysis (HD) circuit with nafamostat mesilate (NM) as an
anticoagulant. The possibility of NM adsorption to the PEPA membrane was taken
into consideration, but there was not enough information. In the present study,
we evaluated differences in the adsorption of NM between a PEPA membrane (FDX-120
GW, Nikkiso, Tokyo, Japan) and two different polysulfone membranes (FX-140,
Fresenius Medical Care, Tokyo, Japan; NV-15U, Toray Medical, Tokyo, Japan). We
calculated the NM concentration by measuring absorbance at 241 nm using a
spectrometer. NM adsorption was evaluated in three ways. First, we evaluated NM
adsorption to hollow fibers. Then, we passed an NM solution through dialyzers and
evaluated its adsorption in a single-pass examination. Finally, we circulated an
NM solution in an HD circuit using a blood pump and evaluated NM adsorption. In
all the experiments, NM adsorption to the PEPA membrane was greater than that to
the polysulfone membranes examined. In the blood pump experiment, the estimated
adsorption quantities of NM to the PEPA membrane and the FX-140 and NV-15U
polysulfone membranes were 12.0 +/- 0.1, 1.0 +/- 0.1, and 4.1 +/- 0.4 mg/m2,
respectively. NM adsorption was confirmed, especially in the early phase, and the
PEPA membrane adsorbed greater amounts of NM than the polysulfone membranes. We
should pay attention to the choice of dialyzer as well as the appropriate dose of
NM administration during the preparation of HD circuits.
PMID- 27896501
TI - A manganese catalase from Thermomicrobium roseum with peroxidase and catecholase
activity.
AB - An enzyme with catechol oxidase activity was identified in Thermomicrobium roseum
extracts via solution assays and activity-stained SDS-PAGE. Yet, the genome of T.
roseum does not harbor a catecholase gene. The enzyme was purified with two anion
exchange chromatography steps and ultimately identified to be a manganese
catalase with additional peroxidase and catecholase activity. Catalase activity
(6280 +/- 430 IU/mg) clearly dominated over pyrogallol peroxidase (231 +/- 53
IU/mg) and catecholase (3.07 +/- 0.56 IU/mg) activity as determined at 70
degrees C. Most enzyme kinetic properties were comparable to previously
characterized manganese catalase enzymes. Catalase activity was highest at
alkaline pH values and showed inhibition by excess substrate and chloride. The
apparent K m and k cat values were 20 mM and 2.02 * 104 s-1 subunit-1 at 25
degrees C and pH 7.0.
PMID- 27896502
TI - Supporting of the Fathers to Visit Their Infants in Neonatal Intensive Care Unit
Decreases Their Stress Level: A Pretest-Posttest Quasi-Experimental Study.
AB - It is known that fathers whose infants are hospitalized in NICUs (Neonatal
Intensive Care Units) are severely stressed. This study was conducted for the
purpose of determining the effect of supporting and visiting infants in NICUs on
stress levels of Turkish fathers. This was a pretest-posttest quasi-experimental
study. The population of the study consisted of 47 fathers who had their infants
receiving treatment in NICU at a state hospital in the eastern Turkey and agreed
to participate in the study. The data were collected by using "Father-Infant
Introductory Information Form" and "Parental Stressor Scale: Neonatal Intensive
Care Unit, PSS:NICU". When comparing the PSS:NICU total mean scores of the
fathers before and after interventions; it was determined that their mean scores
were higher before visits compared to those obtained after interventions and the
difference between them was significant at advanced level. It was found that the
fathers visiting and supporting their infants in NICUs had the decreased stress
levels. It is a useful nursing intervention to support fathers to visit their
babies in NICUs and establish environments where they could spend time with their
babies.
PMID- 27896503
TI - Effect of PGC-1alpha overexpression or silencing on mitochondrial apoptosis of
goat luteinized granulosa cells.
AB - During goat follicular development, abnormal expression of peroxisome
proliferator- activated receptor gamma coactivator-1 alpha (PGC-1alpha) in
granulosa cells (GCs) may contribute to follicular atresia with unknown
regulatory mechanisms. In this study, we investigate the effect of ectopic
expression or interference of PGC-1alpha on cell apoptosis of goat first passage
granulosa cells (FGCs) in vitro. The results indicate that PGC-1alpha silencing
by short hairpin RNA (shRNA) in goat FGCs significantly reduced mitochondrial DNA
(mtDNA) copy number (P < 0.05), changed mitochondria ultrastructure, and induced
cell apoptosis (P < 0.05). The transcription and translation levels of the
apoptosis-related genes BCL-2-associated X protein (BAX), caspase 3, and caspase
9 were significantly up-regulated (P < 0.05, respectively). Moreover, the ratio
of BAX/B-cell lymphoma 2 (BCL-2) was reduced (P < 0.05), and the release of
cytochrome c (cyt c) and lactate dehydrogenase (LDH) was significantly enhanced
(P < 0.05, respectively) in PGC-1alpha interference goat FGCs. Furthermore, the
expression of anti-oxidative related genes superoxide dismutase 2 (SOD2),
glutathione peroxidase (GPx) and catalase (CAT) was down-regulated (P < 0.05,
respectively) and the activity of glutathione/glutathione disulfide (GSH/GSSG)
was inhibited (P < 0.05). While enforced expression of PGC-1alpha increased the
levels of genes involved in the regulation of mitochondrial function and
biogenesis, and enhanced the anti-oxidative and anti-apoptosis capacity. Taken
together, our results reveal that lack of PGC-1alpha may lead to mitochondrial
dysfunction and disrupt the cellular redox balance, thus resulting in goat GCs
apoptosis through the mitochondria-dependent apoptotic pathway.
PMID- 27896505
TI - Focused Versus Bilateral Parathyroid Exploration for Primary Hyperparathyroidism:
A Systematic Review and Meta-analysis.
AB - BACKGROUND: Focused exploration (FE) and bilateral parathyroid exploration (BE)
are the standard surgical options for patients with primary hyperparathyroidism.
However, the relative risk of recurrence, persistence, overall failure,
reoperation, and any complications associated with either surgical approach is
unclear. This study compared the outcomes and complication rates after FE and BE
for patients with primary hyperparathyroidism. METHODS: PubMed and Embase were
searched for studies comparing these outcomes between FE and BE. A meta-analysis
was performed using RevMan 5.3 software. Published data were pooled using the
DerSimonian random-effect model, and results were presented as odds ratio (OR) or
mean difference with 95% confidence interval (CI). RESULTS: A total of 12,743
patients from 19 studies were included in this meta-analysis. In comparison with
BE, the FE arm had comparable rates of recurrence (OR 1.08; 95% CI 0.59-2.00; p =
0.80; n = 9 studies), persistence (OR 0.89; 95% CI 0.58-1.35; p = 0.58; n = 13),
overall failure (OR 0.88; 95% CI 0.58-1.34; p = 0.56; n = 13), and reoperation
(OR 1.05; 95% CI 0.25-4.32; p = 0.95, n = 4). The operative time was
significantly shorter (mean difference = -39.86; 95% CI -53.05 to -26.84; p <
0.01, n = 9), with a lower overall complication rate in the FE arm (OR 0.35; 95%
CI 0.15-0.84; p = 0.02; n = 12). The latter was attributed predominantly to a
lower risk of transient hypocalcemia (OR 0.36; 95% CI 0.14-0.90; p = 0.03; n =
9). There was a significant heterogeneity among these studies for all outcomes
except for disease recurrence. CONCLUSIONS: Compared with BE, FE has similar
recurrence, persistence, and reoperation rates but significantly lower overall
complication rates and shorter operative time.
PMID- 27896504
TI - Essential Resources for Implementation and Sustainability of Evidence-Based
Health Promotion Programs: A Mixed Methods Multi-Site Case Study.
AB - As of October 2016, use of federal Older Americans Act funds for health promotion
and disease prevention will be restricted to the Administration on Aging's
criteria for high-level evidence-based health promotion programs. Dissemination
of these programs to rural communities remains limited. Therefore a strong need
exists to identify strategies that facilitate program implementation and
sustainability. The objective of this study was to compare organizational
readiness and implementation strategies used by rural communities that achieved
varying levels of success in sustaining evidence-based health promotion programs
for older adults. We utilized a qualitative multi-site case study design to
analyze the longitudinal experiences of eight rural sites working to implement
evidence-based health promotion program over 3 years (8/2012-7/2015). Multiple
sources of data (interviews, documents, reports, surveys) from each site informed
the analysis. We used conventional content analysis to conduct a cross-case
comparison to identify common features of rural counties that successfully
implemented and sustained their target evidence-based health promotion program.
Readiness to implement evidence-based programs as low at baseline as all site
leaders described needing to secure additional resources for program
implementation. Sites that successfully utilized six essential resources
implemented and sustained greater numbers of workshops: (1) External
Partnerships, (2) Agency Leadership Commitment, (3) Ongoing Source of Workshop
Leaders, (4) Health Promotion Coordination Tasks Assigned to Specific Staff, (5)
Organizational Stability, and (6) Change Team Engagement. The six essential
resources described in this study can help rural communities assess their
readiness to implement health promotion programs and work secure the resources
necessary for successful implementation.
PMID- 27896506
TI - Inflammation-Based Prognostic Scores: Utility in Prognostication and Patient
Selection for Cytoreduction and Perioperative Intraperitoneal Chemotherapy in
Patients with Peritoneal Metastasis of Colonic Origin.
AB - BACKGROUND: Tumor-associated systemic inflammatory response has been correlated
with prognosis. Our aim was to analyze the utility of inflammation-based
prognostic scores for prognostication and patient selection for cytoreduction and
perioperative intraperitoneal chemotherapy (POIC) in patients diagnosed with
peritoneal metastasis of colonic origin. METHODS: A retrospective analysis of a
prospectively maintained database for all patients treated for peritoneal
metastasis of colonic origin from February 2001 to April 2015. Inflammation-based
prognostic scores including neutrophil-lymphocyte ratio, platelet-lymphocyte
ratio (PLR) and Onodera's prognostic nutritional index (ONI) were calculated.
RESULTS: Of 123 patients, 70 (56.9%) were men and 53 (43.1%) were women. Mean age
at diagnosis was 49.9 years. Significant prognosticators in univariate analysis
included intraoperative peritoneal cancer index (p < 0.000), tumor marker CA19-9
(p < 0.000), PLR (p = 0.020), POIC regimen (p < 0.003), and completeness of
cytoreduction (p < 0.000). Multivariate Cox analysis identified CA19-9 (hazard
ratio [HR] 1.0; 95% confidence interval [CI] 1.00-1.01, p = 0.031), ONI (HR 0.86;
95% CI 0.79-0.94, p < 0.000), PLR (HR 1.0; 95% CI 0.90-1.01, p = 0.041),
incompleteness of cytoreduction CC2 and CC3, and mucinous adenocarcinoma
histology as significant independent prognosticators. CONCLUSIONS: The
inflammation-based prognostic scores PLR and ONI and tumor marker CA19-9 are
significant prognosticators of survival. They are useful in patient selection and
prognostication for cytoreductive surgery and POIC in patients with peritoneal
carcinomatosis of colonic origin.
PMID- 27896507
TI - Levonorgestrel-Releasing Intrauterine Systems Versus Oral Cyclic
Medroxyprogesterone Acetate in Endometrial Hyperplasia Therapy: A Meta-Analysis.
AB - BACKGROUND: This study aimed to compare the levonorgestrel-releasing intrauterine
system (LNG-IUS) with oral cyclic medroxyprogesterone acetate (MPA) in
endometrial hyperplasia therapy using randomized controlled trials (RCTs).
METHODS: The study searched MEDLINE, EMBASE, CENTRAL, and other databases. All
regression outcomes were calculated for dichotomous outcomes in terms of relative
risk (RR) and 95% confidence intervals (CIs) using a Mantel-Haenszel random
effects model. RESULTS: The search found 543 articles but selected 342 articles
after the removal of duplicates. A meta-analysis found five RCTs (377 patients).
The study did not analyze RR for total outcome because of high heterogeneity (I 2
= 87%). In a subgroup analysis of studies with non-obese women, the LNG-IUS
treatment appeared to have a higher regression rate than oral MPA (RR 1.41; 95%
CI 1.23-1.62; 4 trials, 265 patients; I 2 = 0%). In a subgroup analysis of
studies with obese women, LNG-IUS appeared to have a regression rate similar to
that of oral MPA (RR 1.03; 95% CI 0.94-1.13; 1 trial, 60 patients). In a subgroup
analysis according to histology in the non-obese group, the LNG-IUS treatment
appeared to have a higher regression rate than oral cyclic MPA in a meta-analysis
of women with non-atypical endometrial hyperplasia (RR 1.36; 95% CI 1.07-1.73; 2
trials, 92 patients; I 2 = 6%) and mixed endometrial hyperplasia (atypical and
non-atypical) (RR 1.44; 95% CI 1.21-1.71; 2 trials, 173 patients; I 2 = 0%).
CONCLUSIONS: The LNG-IUS treatment has a higher regression rate than cyclic MPA
in non-atypical endometrial hyperplasia and mixed endometrial hyperplasia therapy
for non-obese women but has a similar regression rate, albeit limited, for obese
women.
PMID- 27896508
TI - Trends in Mortality After Primary Cytoreductive Surgery for Ovarian Cancer: A
Systematic Review and Metaregression of Randomized Clinical Trials and
Observational Studies.
AB - BACKGROUND: Primary cytoreductive surgery (PDS) followed by platinum-based
chemotherapy is the cornerstone of treatment and the absence of residual tumor
after PDS is universally considered the most important prognostic factor. The aim
of the present analysis was to evaluate trend and predictors of 30-day mortality
in patients undergoing primary cytoreduction for ovarian cancer. METHODS:
Literature was searched for records reporting 30-day mortality after PDS. All
cohorts were rated for quality. Simple and multiple Poisson regression models
were used to quantify the association between 30-day mortality and the following:
overall or severe complications, proportion of patients with stage IV disease,
median age, year of publication, and weighted surgical complexity index. Using
the multiple regression model, we calculated the risk of perioperative mortality
at different levels for statistically significant covariates of interest.
RESULTS: Simple regression identified median age and proportion of patients with
stage IV disease as statistically significant predictors of 30-day mortality.
When included in the multiple Poisson regression model, both remained
statistically significant, with an incidence rate ratio of 1.087 for median age
and 1.017 for stage IV disease. Disease stage was a strong predictor, with the
risk estimated to increase from 2.8% (95% confidence interval 2.02-3.66) for
stage III to 16.1% (95% confidence interval 6.18-25.93) for stage IV, for a
cohort with a median age of 65 years. CONCLUSIONS: Metaregression demonstrated
that increased age and advanced clinical stage were independently associated with
an increased risk of mortality, and the combined effects of both factors greatly
increased the risk.
PMID- 27896510
TI - Standard Clinical Protocol for Bidirectional Hyperthermic Intraperitoneal
Chemotherapy (HIPEC): Systemic Leucovorin, 5-Fluorouracil, and Heated
Intraperitoneal Oxaliplatin in a Chloride-Containing Carrier Solution.
AB - BACKGROUND: Intraperitoneal chemotherapy has an established role in the treatment
of selected patients with colorectal peritoneal metastases. Oxaliplatin is highly
suitable as a chemotherapeutic agent for hyperthermic intraperitoneal
chemotherapy (HIPEC), but its use to date has been limited because of the
morbidity caused by severe electrolyte and glycemic imbalances associated with 5%
glucose as its carrier solution. This report provides an overview of the
development, rationale, and application of intraperitoneal chemotherapy and the
use of various drugs and carrier solutions. A novel, evidence-based protocol for
bidirectional oxaliplatin-based HIPEC in a physiologic carrier solution (Dianeal
PD4 dextrose 1.36%) is presented, and its impact on electrolyte and glucose
levels is demonstrated. METHODS: After implementation of the new protocol, the
serum electrolyte (sodium, potassium, and chloride) levels, glucose levels, and
intravenous insulin requirements were intensively measured in eight consecutive
cases immediately before HIPEC (T = 0), immediately after HIPEC (T = 30), 1 h
after HIPEC (T = 60), and 3 h after HIPEC (T = 180). RESULTS: The median sodium
levels were 140 mmol/L at T = 0, 138 mmol/L at T = 30, 140 mmol/L at T = 60, and
140 mmol/L at T = 180. The respective median potassium levels were 4.6, 4.2, 3.7,
and 3.9 mmol/L, and the respective median chloride levels were 112, 111, 111, and
112 mmol/L. The respective median glucose levels were 9, 11.5, 10.7, and 8.6
mmol/L. The median insulin requirements were respectively 0.5, 1.5, 1.2, and 0
U/h. None of the patients were diabetic. CONCLUSION: Using a novel protocol for
bidirectional oxaliplatin-based HIPEC in Dianeal instead of 5% glucose, the
observed fluctuations in this study were minimal and not clinically relevant
compared with historical values for electrolyte and glycemic changes using 5%
glucose as a HIPEC carrier solution. This novel protocol leads to only minimal
and clinically irrelevant electrolyte and glycemic disturbances, and its adoption
as the standard protocol for oxaliplatin-based HIPEC should be considered.
PMID- 27896509
TI - Shape and Enhancement Characteristics of Pancreatic Neuroendocrine Tumor on
Preoperative Contrast-enhanced Computed Tomography May be Prognostic Indicators.
AB - BACKGROUND: Prognostic indicators of the malignant potential of pancreatic
neuroendocrine tumors (PNET) are limited. We assessed tumor shape and enhancement
pattern on contrast-enhanced computed tomography as predictors of malignant
potential. METHODS: Sixty cases of PNET patients undergoing curative surgery from
2001 to 2014 were enrolled onto our retrospective study. Preoperative enhanced
CTs were assessed, and criteria defined for regularly shaped and enhancing tumors
(group 1), and irregularly shaped and/or enhancing tumors (group 2). The relation
of tumor shape and enhancement pattern to outcome was assessed. RESULTS:
Interobserver agreement was substantial (kappa = 0.74). Group 2 (n = 24) was
significantly correlated with synchronous liver metastasis (23 vs. 0 %), lymph
node metastasis (36 vs. 3 %), pathologic capsular invasion (68 vs. 8 %), larger
tumor size (30 vs. 12 mm), tumor, node, metastasis classification system (TNM)
stage III/IV disease (46 vs. 3 %), and histologic grade 2/3 (41 vs. 0 %).
Multivariate analysis revealed that tumor grade 2/3 and group 2 criteria
correlated with tumor relapse (hazard ratio 6.5 and 13.6, P = 0.0071 and 0.039,
respectively), and that only group 2 criteria were independently correlated with
poor overall survival (hazard ratio 5.56e + 9, P = 0.0041). CONCLUSIONS:
Irregular tumor shape/enhancement on preoperative computed tomography is a
negative prognostic factor after curative surgery for PNET.
PMID- 27896511
TI - Resection of Pheochromocytoma Improves Diabetes Mellitus in the Majority of
Patients.
AB - BACKGROUND: Catecholamine excess in patients with pheochromocytoma often results
in impaired glucose tolerance, leading to diabetes mellitus. Little data are
available on the long-term effect of surgery on diabetes. OBJECTIVE: The primary
aim of this study was to determine the likelihood of diabetes cure after surgery,
while secondary objectives were to determine risk factors for development of
diabetes preoperatively and persistence of diabetes postoperatively. METHODS: All
patients undergoing surgery for pheochromocytoma from 1996 to 2015 were
retrospectively reviewed to identify those with a preoperative diagnosis of
diabetes. Demographic and diabetes-specific data were collected. Median follow-up
was 52.1 months. RESULTS: Overall, 153 patients underwent surgery. Diabetes was
seen in 36 (23.4%) patients. Eight patients met the exclusion criteria and were
removed from the final analysis, while 22 (78.6%) patients had complete
resolution of diabetes. Four patients remained on medication with improved
control. Overall, 93.0% of patients had improvement of their diabetes; two
patients did not improve. Patients with large, symptomatic tumors were more
likely to develop preoperative diabetes, and diabetes was more likely to persist
in patients who had an elevated body mass index (BMI). CONCLUSIONS: Diabetes was
found concurrently with pheochromocytoma in 23% of patients, more often in those
with large, symptomatic tumors. The majority of patients had long-term resolution
of diabetes after successful resection; however, some patients may continue to
require treatment of diabetes after operation, especially those with a higher
BMI.
PMID- 27896512
TI - Cytoreduction (Peritonectomy Procedures) Combined with Hyperthermic
Intraperitoneal Chemotherapy (HIPEC) in Advanced Ovarian Cancer: Retrospective
Italian Multicenter Observational Study of 511 Cases.
AB - PURPOSE: The aim of this study was to help with the process of selecting patients
with advanced ovarian cancer to undergo cytoreductive surgery (CRS) with
hyperthermic intraperitoneal chemotherapy (HIPEC) by analyzing outcome data at
distinct clinical time points reflecting the natural history of the disease.
METHODS: In a retrospective Italian multicenter study investigating patients with
advanced ovarian cancer who underwent CRS plus HIPEC between 1998 and 2014, we
analyzed data for consecutive patients at eight treatment time points: primary
debulking surgery (PDS); interval debulking surgery after partial response, after
no response, and after a pathologic complete response to neoadjuvant
chemotherapy; first recurrence with a progression-free interval >12, <12 months,
or >12 months in patients who underwent further chemotherapy before CRS and
HIPEC; and patients who underwent two or more CRS procedures and chemotherapy
lines before CRS and HIPEC. RESULTS: The 511 enrolled patients underwent 3373
procedures; 72.6% achieved complete cytoreduction, with an overall major
morbidity of 17.4%. At a median follow-up of 53.8 months, overall survival (OS)
was 54.2 months (95% confidence interval [CI] 44-58.4) and progression-free (PFS)
survival was 16.6 months (95% CI 14.7-19.1). Outcome analysis in patients in whom
CRS plus HIPEC was used for primary advanced cancer or recurrent ovarian cancer
showed significant differences in OS and PFS according to the time points
analyzed. Multivariate analysis identified completeness of CRS, Peritoneal Cancer
Index, and the times when patients underwent CRS plus HIPEC as independent
prognostic factors. CONCLUSIONS: This selective information on survival should
help in interpreting the findings from ongoing randomized studies focusing on CRS
plus HIPEC in patients with advanced ovarian cancer.
PMID- 27896513
TI - Clinical Outcomes of Taiwanese Patients with cT4 Oral Cavity Squamous Cell
Carcinoma: Toward the Identification of the Optimal Initial Treatment Approach
for cT4b Patients.
AB - BACKGROUND: The National Comprehensive Cancer Network guidelines recommend that
patients with oral cavity squamous cell carcinoma (OSCC) and cT4b disease should
be either included in clinical trials or treated with a nonsurgical approach.
However, surgery may be feasible in selected patients with adequate safety
margins. Using the nationwide Taiwanese Cancer Registry Database, we examined the
prognosis of cT4b OSCC patients in relation to their treatment approach. METHODS:
Of the 18,910 patients with previously untreated first primary OSCC identified
between 2004 and 2010, 492 (2.6 %) had cT4b tumors. Of them, 327 (66 %) received
initial treatment with surgery, whereas 165 (34 %) were initially treated with a
nonsurgical approach. Of the latter group, 78 patients subsequently underwent
surgery. A 5-year disease-specific survival (DSS) >=45 % was considered as a
favorable outcome. RESULTS: Better 5-year DSS and overall survival (OS) rates
were observed in cT4b patients initially treated with surgery (vs. nonsurgery;
DSS, 51 vs. 38 %; OS, 43 vs. 27 %, respectively, p < 0.001). Of the participants
initially treated with surgery, patients with cN0-2 disease had better 5-year
survival rates (DSS: cN0, 59 %; cN1, 53 %; cN2, 46 %; OS: cN0, 49 %; cN1, 50 %;
cN2, 37 %) than those with cN3 disease (DSS: 0 %; OS: 0 %). Among cT4b patients
who initially received a nonsurgical treatment, subjects who subsequently
underwent surgery showed better outcomes. CONCLUSIONS: Primary surgery is
performed in approximately two-thirds of cT4b OSCC patients, with cN0-2 cases
showing a good prognosis. Patients who initially received a nonsurgical approach
can subsequently be treated with surgery and achieve favorable outcomes.
PMID- 27896514
TI - Tumor Infiltrating Mast Cells (TIMs) Confers a Marked Survival Advantage in
Nonmetastatic Clear-Cell Renal Cell Carcinoma.
AB - PURPOSE: The role played by the innate immune system in determining the clinical
outcome of clear-cell renal cell carcinoma (ccRCC) was still blurred. This study
was designed to investigate the prognostic significance of tumor infiltrating
mast cells (TIMs) in ccRCC. METHODS: The study retrospectively enrolled a
training set (474 patients) and a validation set (188 patients) with
nonmetastasis (pT1-4N0M0) ccRCC from two institutional medical centers of China.
TIMs was evaluated by immunohistochemical staining of tryptase and its
association with clinicopathologic features and prognosis were evaluated.
RESULTS: In ccRCC tissues, TIMs ranged from 0 to 103 cells/mm2 and 0 to 113
cells/mm2 in the training set and validation set, respectively. TIMs was
negatively correlated with tumor size (P < 0.001 and P < 0.001, respectively),
pathological T stage (P = 0.005 and P = 0.007, respectively) and Fuhrman grade (P
< 0.001 and P < 0.001, respectively). Patients with abundant TIMs infiltration
showed significantly longer cancer-specific survival in the training cohort and
the validation cohort (P < 0.001 and P < 0.001). Patients with abundant mast cell
infiltration showed significantly longer overall survival in the TCGA cohort (P <
0.001). Moreover, multivariate analysis identified TIMs as an independent
prognostic factor for cancer-specific survival (CSS) and relapse-free survival
(RFS). Also, TIMs was significantly correlated with CSS and RFS of the mediate
and high-risk patients in the training cohort and the validation cohort.
CONCLUSIONS: TIMs density is a powerful independent prognostic factor for CSS and
RFS in patients with nonmetastasis (pT1-4N0M0) ccRCC.
PMID- 27896515
TI - Outcome After Sentinel Lymph Node Dissection in Vulvar Cancer: A Subgroup
Analysis of the AGO-CaRE-1 Study.
AB - PURPOSE: Analyzing the large patient cohort of the multicenter AGO-CaRE-1 study,
we compared isolated sentinel lymph node dissection (SLND) with radical lymph
node dissection (LND) of the groin in relation to recurrence rates and survival.
METHODS: The AGO-CaRE-1 study retrospectively collected data on treatment
patterns and follow-up of vulvar cancer patients [International Federation of
Gynecology and Obstetrics (FIGO) stage >=1B] treated at 29 gynecologic cancer
centers between 1998 and 2008. This subgroup analysis evaluated the influence of
SLND alone on progression-free survival (PFS) and overall survival (OS). RESULTS:
In 487 (63.1%) of 772 included patients with tumors smaller than 4 cm, an LND was
performed and no metastatic lymph nodes were detected (LN0). Another 69/772
(8.9%) women underwent SLND alone, showing a negative SLN (SLN0). Tumors in the
LN0 group were larger and showed a deeper invasion (LN0 vs. SLN0 tumor diameter:
20.0 vs. 13.0 mm, p < 0.001; depth of invasion: 4.0 vs. 3.0 mm, p = 0.002). After
a median follow-up of 33 months (0-156), no significant differences in relation
to isolated groin recurrence rates (SLN0 3.0% vs. LN0 3.4%, p = 0.845) were
detected. Similarly, univariate 3-year PFS analysis showed no significant
differences between both groups (SLN0 82.7% vs. LN0 77.6%, p = 0.230). A
multivariate Cox regression analysis, including tumor diameter, depth of
invasion, age, grading, and lymphovascular space invasion was performed: PFS
[hazard ratio (HR) 0.970, 95% confidence interval (CI) 0.517-1.821] and OS (HR
0.695, 95% CI 0.261-1.849) did not differ significantly between both cohorts.
CONCLUSION: This subgroup analysis of the large AGO-CaRE-1 study showed similar
results for groin LND and SLND alone with regard to recurrence rates and survival
in node-negative patients with tumors <4 cm.
PMID- 27896516
TI - The Differential Impact of Body Mass Index and the Feature of Metabolic Syndrome
on Oncological Outcomes Following Different Surgical Procedures in Japanese Men
with Prostate Cancer.
AB - PURPOSE: This study aimed to examine the differential impact of body mass index
and the feature of metabolic syndrome (MetS; obesity, hypertension, diabetes
mellitus, and dyslipidemia) on biochemical recurrence (BCR) following radical
prostatectomy (RP) treatment for prostate cancer using different surgical
procedures. METHODS: This study included 283 Japanese patients with clinically
localized prostate cancer who were treated with RP between 2008 and 2012. The
prognostic significance of overweight and the feature of MetS were analyzed
according to surgical procedures. RESULTS: BCR occurred in 68/283 (24.0%) men.
Overweight and the feature of MetS were predictors of BCR in patients who had
undergone open RP (ORP), but not in those treated with laparoscopic surgery.
Multivariate analyses incorporating preoperative and postoperative risk factors
revealed that overweight and the feature of MetS were independent BCR risk
factors when treated with ORP. CONCLUSIONS: In Japanese men, overweight and the
feature of MetS were associated with worse outcomes following RP, particularly
ORP, compared with those following laparoscopic surgery. These results suggest
that laparoscopic surgery can overcome the surgical challenges associated with
abdominal obesity.
PMID- 27896517
TI - Surgical Resection of Cerebral Metastases Leads to Faster Resolution of
Peritumoral Edema than Stereotactic Radiosurgery: A Volumetric Analysis.
AB - BACKGROUND: Surgical resection and stereotactic radiosurgery (SRS) are well
established treatment options for selected patients with oligo-brain metastases
(BMs). The dynamics of edema resolution with each treatment method have not been
well characterized. METHODS: Of 389 patients treated for BMs between 2012 and
2014, this study retrospectively identified 107 patients (150 metastases) who
underwent either surgery or SRS as a single treatment method for BMs. The two
groups of patients were matched for clinical parameters. Volumetric assessments
of the tumor and associated edema were performed before treatment and then 2-3
months after treatment. RESULTS: In this study, 76 surgical cases were compared
with 74 cases treated with SRS. The volume of the tumor and surrounding edema was
significantly greater in the surgery group than in the SRS group. However,
resolution of edema was significantly more rapid in the surgical group (p <
0.0001), accompanied by faster weaning from steroids. After a matching process
based on the propensity of a patient to receive SRS, a subgroup cohort was
analyzed (mean maximal diameter: 21 mm in the surgical group vs 20.8 mm in the
SRS group; p = 0.9). At diagnosis, edema volume, but not tumor volume, was
significantly greater in the surgical group. The resolution of edema 2-3 months
after treatment was better in the surgical group than in the SRS group (89.6% vs
71.1% of baseline, respectively; p = 0.09), although this difference did not
reach the level of significance. CONCLUSIONS: Resolution of tumor-associated
edema in BMs suitable for either surgery or SRS was significantly faster after
surgical resection than after SRS. Accordingly, when both treatment options are
suitable, surgery appears to induce faster resolution of the edema.
PMID- 27896518
TI - Analysis of Predictors of Resection and Survival in Locally Advanced Stage III
Pancreatic Cancer: Does the Nature of Chemotherapy Regimen Influence Outcomes?
AB - BACKGROUND: Locally advanced unresectable pancreatic cancer (LAPC) historically
portends a poor prognosis. FOLFIRINOX and gemcitabine/nab-paclitaxel have proven
effective in the metastatic setting. We sought to evaluate the outcomes of these
regimens compared with older regimens in LAPC. METHODS: A retrospective, single
institutional review of all consecutive LAPC treated with "new" (FOLFIRINOX
and/or gemcitabine/nab-paclitaxel) and "old" (gemcitabine or 5-FU) chemotherapy
from 2010 to 2014 was performed. Univariate and multivariate predictors of
resection and survival were determined. RESULTS: A total of 92 patients (new
chemotherapy = 61, old chemotherapy = 31) were analyzed, of which 19 (21%)
underwent eventual resection (median overall survival [OS] = 32 vs. 14.3 months
for unresected patients, P = 0.0002). For the overall cohort, resection (hazard
ratio [HR] 0.261, P = 0.014), radiation therapy (HR 0.458, P = 0.004), number of
lines of chemotherapy (HR 0.486, P = 0.012), and new chemotherapy (HR 0.593 vs.
old regimens, P = 0.065) were independent predictors of OS on multivariate
analyses (MVA). On MVA, predictors of eventual resection were head and neck
tumors (OR 0.307, P = 0.033) or SMA involvement (OR 0.285, P = 0.023). In
nonresected patients (73), MVA showed treatment with new chemotherapy (HR 0.452,
P = 0.006), radiation (HR 0.459, P = 0.006), and number of lines of CT (HR 0.705,
P = 0.013) to be predictors of survival. CONCLUSIONS: In LAPC, use of FOLFIRNOX
and/or gemcitabine/nab-paclitaxel is associated with improved survival compared
with older chemotherapy regimens, regardless of eventual resection. Tumor
location and relationship to certain vasculature are important determinants of
resection in this cohort.
PMID- 27896521
TI - PI3K/AKT/mTOR: role in breast cancer progression, drug resistance, and treatment.
AB - Anti-cancer cancer-targeted therapies are designed to exploit a particular
vulnerability in the tumor, which in most cases results from its dependence on an
oncogene and/or loss of a tumor suppressor. Mutations in the phosphoinositide 3
kinase (PI3K)/AKT/mTOR pathway are freqcuently found in breast cancers and
associated with cellular transformation, tumorigenesis, cancer progression, and
drug resistance. Several drugs targeting PI3K/ATK/mTOR are currently in clinical
trials, mainly in combination with endocrine therapy and anti-HER2 therapy. These
drugs are the focus of this review.
PMID- 27896519
TI - Image-guidance technology and the surgical resection of spinal column tumors.
AB - Precision imaging is paramount to achieving success in surgical resection of many
spinal tumors, whether the goal involves guiding a surgical cure for primary
tumors or improving neurological decompression for metastatic lesions. Pre
operatively, image visualization is intimately involved with defining a clear
target and surgical planning. Intra-operatively, image-guidance technology allows
for surgeons to maximize the probability for gross total resection of spinal cord
tumors and minimize damage to adjacent structures. Through this review, it is
evident that spinal surgery has undergone significant advancements with the
continued technological progression of different modalities of imaging guided
technologies. Sophisticated imaging techniques compliment the surgeon's knowledge
by providing an intraoperative reference to spinal column anatomy. This review
discusses research efforts focusing on immersive imaging guided interactions with
subject specific medical images that could enhance a surgeon's ability to plan
and perform complex spinal oncology procedures with safety and efficiency.
PMID- 27896520
TI - Early perfusion MRI predicts survival outcome in patients with recurrent
glioblastoma treated with bevacizumab and carboplatin.
AB - Bevacizumab, an anti-angiogenic agent, is FDA-approved for use in patients with
recurrent glioblastoma multiforme (rGBM). The radiologic evaluation of tumor
response to bevacizumab is complex and there is no validated method of monitoring
tumor vascularity during therapy. We evaluated perfusion-weighted MR imaging
(PWI) in our cohort of patients enrolled in the CABARET trial, which examined the
effectiveness of bevacizumab with or without carboplatin in patients with rGBM.
Pre-treatment and early follow-up (4- and 8-week) PWI were used to calculate
relative cerebral blood volume (rCBV) histogram statistics of the contrast
enhancing and FLAIR hyperintense tumor volumes. A novel rCBV measurement (load)
was developed to estimate the total volume of perfused tumor blood vessels.
Changes in all rCBV measures were examined for correlations with progression-free
(PFS) and overall survival (OS). All of our 15 patients enrolled in the CABARET
trial were included. Median PFS and OS were 23 and 45 weeks respectively. Kaplan
Meier analysis of pre-treatment PWI revealed an 18 week reduction in median OS in
patients with high tumor rCBV (p = 0.031). Changes in rCBV measures, especially
load, correlated significantly with PFS and OS at both follow-up time-points.
Patients with the greatest reduction in rCBVload by 8-weeks of therapy had a
significantly increased median OS (30 weeks; p = 0.013). PWI may be of
significant clinical utility in managing patients with rGBM, particularly those
treated with anti-angiogenic agents such as bevacizumab. These findings need to
be confirmed prospectively in larger studies.
PMID- 27896524
TI - Guidelines on homocystinurias and methylation defects: a harmonized approach to
diagnosis and management.
PMID- 27896525
TI - From solidarity to autonomy: towards a redefinition of the parameters of the
notion of autonomy.
AB - Starting from examples of concrete situations in France, I show that autonomy and
solidarity can coexist only if the parameters of autonomy are redefined. I show
on the one hand that in situations where autonomy is encouraged, solidarity
nevertheless remains at the foundation of their practices. On the other hand, in
situations largely infused with family solidarity, the individual autonomy may be
put in danger. Yet, based on my ethnographic observations regarding clinical
encounters and medical secrecy, I show that while solidarity may endanger
individual autonomy, it does not necessarily endanger autonomy itself. The social
practices observable in France reflect the reality of an autonomy that goes
beyond the individual, a reality that involves a collective subject and includes
solidarity. The opposition between these two values can then be resolved if the
content of the notion of autonomy is understood to be dependent on its cultural
context of application and on its social use.
PMID- 27896522
TI - Tapering biologics in rheumatoid arthritis: a pragmatic approach for clinical
practice.
AB - Optimal rheumatoid arthritis (RA) therapy in daily clinical practice is based on
the treat-to-target strategy. Quicker escalation of therapy and earlier
introduction of biological disease-modifying anti-rheumatic drugs have led to
improved outcomes in RA. However, chronic immunosuppressive therapy is associated
with adverse events and higher costs. In addition, our patients frequently
express a desire for lower dosing and drug holidays. Current clinical practice
guidelines from the American College of Rheumatology and European League Against
Rheumatism suggest that rheumatologists consider tapering treatment after
achieving remission. However, the optimal approach for tapering therapy in RA,
specifically de-escalation of biologics, remains unknown. This clinical review
discusses biologic tapering strategies in RA. We draw our recommendations for
everyday clinical practice from the most recent observational, pragmatic, and
controlled clinical trials on de-escalation of biologics in RA. For each
biologic, we highlight clinically relevant outcomes, such as flare rates,
recapture of the disease control with retreatment, radiographic progression, side
effects, and functional impact. We discuss the use of musculoskeletal ultrasound
to select patients for successful tapering. In conclusion, we provide the reader
with a practical guide for tapering biologics in the rheumatology clinic.
PMID- 27896523
TI - A CD57+ CTL Degranulation Assay Effectively Identifies Familial Hemophagocytic
Lymphohistiocytosis Type 3 Patients.
AB - PURPOSE: Familial hemophagocytic lymphohistiocytosis type 3 (FHL3) is a genetic
disorder that results in immune dysregulation. It requires prompt and accurate
diagnosis. A natural killer (NK) cell degranulation assay is often used to screen
for FHL3 patients. However, we recently encountered two cases of late-onset FHL3
carrying novel UNC13D missense mutations: in these cases, the degranulation
assays using freshly isolated and interleukin (IL)-2-activated NK cells yielded
contradictory results. Since the defective degranulation of CD57+ cytotoxic T
lymphocytes (CTLs) in these cases was helpful for making the diagnosis, we
assessed whether the CD57+ CTL degranulation assay more effectively identified
FHL3 patients than the NK cell assays. METHODS: Forty additional patients with
hemophagocytic lymphohistiocytosis were prospectively screened for FHL3 by
measuring the perforin expression in NK cells and the expression of Munc13-4,
syntaxin-11, and Munc18-2 in platelets and by performing NK cell and CTL
degranulation assays. The results were confirmed by genetic analysis. RESULTS:
The freshly isolated NK cell degranulation assay detected FHL3 patients with high
sensitivity (100%) but low specificity (71%). The IL-2-stimulated NK cell assay
had improved specificity, but 3 out of the 31 non-FHL3 patients still showed
degranulation below the threshold level. The CD57+ CTL degranulation assay
identified FHL3 patients with high sensitivity and specificity (both 100%).
CONCLUSIONS: The CD57+ CTL degranulation assay more effectively identified FHL3
patients than the NK cell-based assays.
PMID- 27896526
TI - Solidarity, justice, and recognition of the other.
AB - Solidarity has for a long time been referred to as the core value underpinning
European health and welfare systems. But there has been debate in recent years
about whether solidarity, with its alleged communitarian content, can be
reconciled with the emphasis on individual freedom and personal autonomy. One may
wonder whether there is still a place for solidarity, and whether the concept of
justice should be embraced to analyse the moral issues regarding access to health
care. In this article, I will answer this question by analysing the normative
foundations of the concept of justice, followed by a deeper examination of the
concept of solidarity in continental philosophy. More specifically, I will
compare the philosophical traditions rooted in Kant (with emphasis on autonomy
and individual rights) to approaches rooted in Hegel (with emphasis on individual
relations of recognition). In addition, I will present the work of Avishai
Margalit on the decent society to criticize a predominantly liberal approach to
access to health care. The importance of solidarity lies particularly in its
emphasis on relational aspects and the role of recognition in care practices,
which are usually ignored in liberal approaches to justice. However, the article
will argue that solidarity is not an alternative to a rights-based concept of
justice, but must be considered as a necessary complement to it.
PMID- 27896527
TI - An enzyme kinetics study of the pH dependence of chloride activation of oxygen
evolution in photosystem II.
AB - Oxygen evolution by photosystem II (PSII) involves activation by Cl- ion, which
is regulated by extrinsic subunits PsbQ and PsbP. In this study, the kinetics of
chloride activation of oxygen evolution was studied in preparations of PSII
depleted of the PsbQ and PsbP subunits (NaCl-washed and Na2SO4/pH 7.5-treated)
over a pH range from 5.3 to 8.0. At low pH, activation by chloride was followed
by inhibition at chloride concentrations >100 mM, whereas at high pH activation
continued as the chloride concentration increased above 100 mM. Both activation
and inhibition were more pronounced at lower pH, indicating that Cl- binding
depended on protonation events in each case. The simplest kinetic model that
could account for the complete data set included binding of Cl- at two sites, one
for activation and one for inhibition, and four protonation steps. The intrinsic
(pH-independent) dissociation constant for Cl- activation, K S, was found to be
0.9 +/- 0.2 mM for both preparations, and three of the four pK as were
determined, with the fourth falling below the pH range studied. The intrinsic
inhibition constant, K I, was found to be 64 +/- 2 and 103 +/- 7 mM for the NaCl
washed and Na2SO4/pH7.5-treated preparations, respectively, and is considered in
terms of the conditions likely to be present in the thylakoid lumen. This enzyme
kinetics analysis provides a more complete characterization of chloride and pH
dependence of O2 evolution activity than has been previously presented.
PMID- 27896528
TI - Low-level laser therapy as an adjunct to conventional therapy in the treatment of
diabetic foot ulcers.
AB - Foot ulcers are serious complications of diabetes mellitus (DM) and are known to
be resistant to conventional treatment. This study was conducted to evaluate the
efficacy of low-level laser therapy (LLLT) for the treatment of diabetic foot
ulcers in a tertiary care centre (Department of Surgery, Mahatma Gandhi Memorial
Medical College and Maharaja Yashwantrao Hospital, A.B. Road, Indore). A total of
30 patients with type 2 DM having Meggitt-Wagner grade I foot ulcers of more than
6 weeks duration with negative culture were studied. Patients were randomized
into two groups of 15 each. Patients in study group received LLLT (660 +/- 20 nm,
3 J/cm2) along with conventional therapy and those in control group were treated
with conventional therapy alone. The primary outcome measure was the absolute and
relative wound size reduction at 2 weeks compared to the baseline parameter.
Percentage ulcer area reduction was 37 +/- 9% in the LLLT group and 15 +/- 5.4%
in the control group (p < 0.001). For ~75% of wounds of the treatment group,
wound area reduction of 30-50% was observed. In contrast, for the control group,
~80% of wounds showed a wound area reduction of <20% on day 15. Further, the
wounds with initial wound area 1000-2000 mm2 seems to have better final outcome
than the groups with larger areas. The treated groups showed higher amount of
granulation than the control group. The results suggest that LLLT is beneficial
as an adjunct to conventional therapy in the treatment of diabetic foot ulcers.
PMID- 27896529
TI - Acetaldehyde kinetics of enological yeast during alcoholic fermentation in grape
must.
AB - Acetaldehyde strongly binds to the wine preservative SO2 and, on average, causes
50-70 mg l-1 of bound SO2 in red and white wines, respectively. Therefore, a
reduction of bound and total SO2 concentrations necessitates knowledge of the
factors that affect final acetaldehyde concentrations in wines. This study
provides a comprehensive analysis of the acetaldehyde production and degradation
kinetics of 26 yeast strains of oenological relevance during alcoholic
fermentation in must under controlled anaerobic conditions. Saccharomyces
cerevisiae and non-Saccharomyces strains displayed similar metabolic kinetics
where acetaldehyde reached an initial peak value at the beginning of
fermentations followed by partial reutilization. Quantitatively, the range of
values obtained for non-Saccharomyces strains greatly exceeded the variability
among the S. cerevisiae strains tested. Non-Saccharomyces strains of the species
C. vini, H. anomala, H. uvarum, and M. pulcherrima led to low acetaldehyde
residues (<10 mg l-1), while C. stellata, Z. bailii, and, especially, a S. pombe
strain led to large residues (24-48 mg l-1). Acetaldehyde residues in S.
cerevisiae cultures were intermediate and less dispersed (14-34 mg l-1). Addition
of SO2 to Chardonnay must triggered significant increases in acetaldehyde
formation and residual acetaldehyde. On average, 0.33 mg of residual acetaldehyde
remained per mg of SO2 added to must, corresponding to an increase of 0.47 mg of
bound SO2 per mg of SO2 added. This research demonstrates that certain non
Saccharomyces strains display acetaldehyde kinetics that would be suitable to
reduce residual acetaldehyde, and hence, bound-SO2 levels in grape wines. The
acetaldehyde formation potential may be included as strain selection argument in
view of reducing preservative SO2 concentrations.
PMID- 27896530
TI - Maxillary sinus mucocele: predisposing factors, clinical presentations, and
treatment.
AB - PURPOSE: Maxillary sinus mucocele (MSM) is uncommon lesion and has many
presenting features. The aim of this study was to detect the possible
predisposing factors, clinical characteristics, and to assess the efficacy of
trans-nasal endoscopic treatment of this lesion. METHODS: This retrospective
multicenter study was conducted on 36 patients with MSM, the diagnosis of the
disease was based on computed tomographic criteria. The patients' history,
presenting features, and surgical management were reviewed. All patients were
followed up postoperatively for at least 3 years. RESULTS: Chronic sinusitis,
previous surgery, allergic rhinitis, and nasal trauma may be implicated as
predisposing factors for the disease. However, in some patients (56%) the cause
may remain uncertain. MSM may present with unilateral cheek pain, heaviness,
swelling, numbness, hemifacial pain, nasal obstruction, nasal discharge, and/or
proptosis. All patients were treated with trans-nasal endoscopic marsupialization
through the middle meatus, patients with large MSM showed bulged medial maxillary
wall, and they needed to empty the fluid through inferior antrostomy to
facilitate introduction of the instruments to the middle meatus. All patients
reported resolution of their symptoms, and none required revision surgery through
the follow-up period. CONCLUSIONS: MSM has several predisposing factors such as
chronic sinusitis, previous surgery, allergic rhinitis and nasal trauma. However,
some patients have no identifiable cause. The disease can present with a variety
of symptoms which are usually related to their expansion and subsequent pressure
on the surrounding structures. Trans-nasal endoscopic approach is an effective
and safe method for treatment of the lesion.
PMID- 27896532
TI - Answer to the Letter to the Editor of Y. Zhao et al. concerning "Percutaneous
versus traditional and paraspinal posterior open approaches for treatment of
thoracolumbar fractures without neurologic deficit: a meta-analysis'' by Sun XY,
Zhang XN, Hai Y: Eur Spine J (2016); doi:10.1007/s00586-016-4818-4.
PMID- 27896531
TI - Primary intramedullary hydatid cyst: a case report and literature review.
AB - Intramedullary hydatid cyst is extremely rare. We present a case of
pathologically confirmed primary intramedullary hydatid cyst in an otherwise
healthy patient. A 17-year-old boy presented with lumbar pain, weakness, and
numbness in both lower limbs, and urinate difficulty interrupted for 2 years. The
patient had no other signs of systemic hydatid cyst disease. An intramedullary
cystic lesion was identified with magnetic resonance imaging and was shown to be
a hydatid cyst by histopathologic examination after the surgical removal.
Although extremely rare, primary intramedullary hydatid cyst pathology might be
the cause of lumbar pain, weakness, and numbness in both lower limbs for those
living in endemic areas. Surgical removement is feasible and effective for
intramedullary hydatid cyst.
PMID- 27896533
TI - Characteristics of higher-order aberrations and anterior segment tomography in
patients with pathologic myopia.
AB - PURPOSE: To investigate prospectively the characteristics in the higher-order
aberrations and anterior segment tomography in patients with pathologic myopia.
METHODS: One hundred and twelve consecutive highly myopic patients (mean age 43.4
+/- 9.3 years, spherical equivalent of refractive error >=8 D and an axial length
>=26.5 mm) were studied. Thirty-seven emmetropic individuals (mean age 37.0 +/-
14.5 years, spherical equivalent of refractive error <= +/-1 D) were analyzed as
controls. The ocular and cornea higher-order aberrations were measured using a
Hartmann-Shack wavefront sensor (KR-1W; Topcon Corporation, Tokyo, Japan). The
crystalline lens rise, the angle-to-angle, and the white-to-white values were
measured using anterior segment OCT (SS-1000; Tomey Corporation, Nagoya, Japan).
The mean curvature of the anterior corneal surface, the thickness at the thinnest
central corneal point, the location of the central corneal point, the corneal
volume, the anterior chamber volume, and the anterior chamber depth were measured
using the Pentacam HR (Oculus, Inc., Wetzlar, Germany). RESULTS: The ocular total
higher-order aberration for 4-mm pupil, the ocular spherical aberrations, and
internal spherical aberration for 6-mm pupil were significantly higher in highly
myopic eyes than in the emmetropic controls. The crystalline lens rise was
significantly smaller in highly myopic eyes than in the emmetropic controls. The
anterior chamber depth and the anterior chamber volume were significantly larger
in highly myopic eyes than in the emmetropic controls. CONCLUSION: Highly myopic
eyes had higher-order aberrations than emmetropic eyes because of the increasing
internal aberrations.
PMID- 27896534
TI - Reactions and Strategies of German Catholic Priests to Cope with Phases of
Spiritual Dryness.
AB - We investigated strategies of 763 Catholic priests (response rate 36%) to deal
with phases of spiritual dryness, specifically their reactions toward these
feelings, and which strategies were used. Most priests have found strategies to
cope with feelings of spiritual dryness. Those who have managed to overcome these
phases were stimulated "all the more to help others" and experienced "deeper
spiritual clarity and depth." Whatever strategy was chosen (we differentiated
eight strategies in various combinations), there were no significant differences
for priests' self-efficacy expectation, transcendence perception or life
satisfaction. Instead, we found significant differences for social support (F =
6.5; p < 0.0001) and somatization (F = 3.4; p = 0.002).
PMID- 27896536
TI - Erratum to: Health-exploring complexity: an interdisciplinary systems approach
HEC2016 : 28 August-2 September 2016, Munich, Germany.
PMID- 27896535
TI - Generation of gene edited birds in one generation using sperm transfection
assisted gene editing (STAGE).
AB - Generating transgenic and gene edited mammals involves in vitro manipulation of
oocytes or single cell embryos. Due to the comparative inaccessibility of avian
oocytes and single cell embryos, novel protocols have been developed to produce
transgenic and gene edited birds. While these protocols are relatively efficient,
they involve two generation intervals before reaching complete somatic and
germline expressing transgenic or gene edited birds. Most of this work has been
done with chickens, and many protocols require in vitro culturing of primordial
germ cells (PGCs). However, for many other bird species no methodology for long
term culture of PGCs exists. Developing methodologies to produce germline
transgenic or gene edited birds in the first generation would save significant
amounts of time and resource. Furthermore, developing protocols that can be
readily adapted to a wide variety of avian species would open up new research
opportunities. Here we report a method using sperm as a delivery mechanism for
gene editing vectors which we call sperm transfection assisted gene editing
(STAGE). We have successfully used this method to generate GFP knockout embryos
and chickens, as well as generate embryos with mutations in the doublesex and mab
3 related transcription factor 1 (DMRT1) gene using the CRISPR/Cas9 system. The
efficiency of the method varies from as low as 0% to as high as 26% with multiple
factors such as CRISPR guide efficiency and mRNA stability likely impacting the
outcome. This straightforward methodology could simplify gene editing in many
bird species including those for which no methodology currently exists.
PMID- 27896537
TI - A serious misinterpretation of a consistent inverse association of statin use
with glioma across 3 case-control studies.
PMID- 27896538
TI - Reply to Greenland: A serious misinterpretation of a consistent inverse
association of statin use with glioma across 3 case-control studies.
PMID- 27896539
TI - Why Health and Social Care Support for People with Long-Term Conditions Should be
Oriented Towards Enabling Them to Live Well.
AB - There are various reasons why efforts to promote "support for self-management"
have rarely delivered the kinds of sustainable improvements in healthcare
experiences, health and wellbeing that policy leaders internationally have hoped
for. This paper explains how the basis of failure is in some respects built into
the ideas that underpin many of these efforts. When (the promotion of) support
for self-management is narrowly oriented towards educating and motivating
patients to adopt the behaviours recommended for disease control, it implicitly
reflects and perpetuates limited and somewhat instrumental views of patients. It
tends to: restrict the pursuit of respectful and enabling 'partnership working';
run the risk of undermining patients' self-evaluative attitudes (and then of
failing to notice that as harmful); limit recognition of the supportive value of
clinician-patient relationships; and obscure the practical and ethical tensions
that clinicians face in the delivery of support for self-management. We suggest
that a focus on enabling people to live (and die) well with their long-term
conditions is a promising starting point for a more adequate conception of
support for self-management. We then outline the theoretical advantages that a
capabilities approach to thinking about living well can bring to the development
of an account of support for self-management, explaining, for example, how it can
accommodate the range of what matters to people (both generally and more
specifically) for living well, help keep the importance of disease control in
perspective, recognize social influences on people's values, behaviours and
wellbeing, and illuminate more of the rich potential and practical and ethical
challenges of supporting self-management in practice.
PMID- 27896541
TI - Gomisin N Decreases Inflammatory Cytokine Production in Human Periodontal
Ligament Cells.
AB - Gomisin N, which is a lignan isolated from Schisandra chinensis, has some
pharmacological effects. However, the anti-inflammatory effects of gomisin N on
periodontal disease are uncertain. The aim of this study was to examine the
effect of gomisin N on inflammatory mediator production in tumor necrosis factor
(TNF)-alpha-stimulated human periodontal ligament cells (HPDLC). Gomisin N
inhibited interleukin (IL)-6, IL-8, CC chemokine ligand (CCL) 2, and CCL20
production in TNF-alpha-stimulated HPDLC in a dose-dependent manner. Moreover, we
revealed that gomisin N could suppress extracellular signal-regulated kinase
(ERK) and c-Jun N terminal kinase (JNK) phosphorylation in TNF-alpha-stimulated
HPDLC though protein kinase B (Akt) phosphorylation was not suppressed by gomisin
N treatment. In summary, gomisin N might exert anti-inflammatory effects by
attenuating cytokine production in periodontal ligament cells via inhibiting the
TNF-alpha-stimulated ERK and JNK pathways activation.
PMID- 27896540
TI - Variations in the anatomy of the vertebral artery cervical loop segment - a
potential predisposing factor for traumatic basal subarachnoid hemorrhage?
AB - Fatal traumatic basal subarachnoid hemorrhage (TBSAH) is a characteristic
forensic pathological entity, the investigation of which requires special
techniques. In Liverpool, post-mortem room angiography is undertaken, followed by
complete removal of the vertebral arteries and histological examination. It has
been observed that the arterial anatomy can be highly variable, particularly the
length and course of the loop segments located between the C2 vertebra and the
dura. In a number of cases of TBSAH the loop segments of torn vessels have
appeared relatively short. Having observed this phenomenon subjectively in our
case work, a radiological study was undertaken with the aim of quantifying
vertebral artery loop anatomy variation in a 'normal' population. Multiphase post
mortem computed tomography angiography (MPMCTA) scans of 98 subjects were
reviewed and the lengths of the different portions of the loop segments (foramen
magnum to the upper border of C1, between C1 and C2, and the bony foramina
themselves) of each vertebral artery were measured using semi-automated vessel
analysis software. The measurements obtained provide objective evidence of marked
anatomical variation, with some loop segments more than twice the length of
others. These results are important because a short vertebral artery loop segment
might be a significant factor in predisposing an individual to TBSAH following a
blow to the head or neck.
PMID- 27896542
TI - Immunomodulatory Effects of Adjuvants CPG, MPLA, and BCG on the Derp2-Induced
Acute Asthma at Early Life in an Animal Model of BALB/c Mice.
AB - The Th1- and Treg cell-related immune responses play key roles in the modulation
of Th2 cell-related allergic disorders. The aim was to evaluate the effects of
CPG, MPLA, and BCG on the number of Th1-, Th2-, and Treg cell-related parameters
in an animal model of asthma. BALB/c mice were divided into five groups and
immunized subcutaneously (SC) on days 1, 15, and 22 with allergen Derp2. Three
groups of mice were pretreated SC on days 0, 14, and 21 with CPG, CPG + MPLA, or
CPG + BCG. All mice were then challenged intranasally with Derp2 on days 28-37.
Blood samples were collected from the retro-orbital sinus, on days 0, 23, and 40.
The serum levels of IL-4, IFN-gamma, IgE, and IgG2a were measured using ELISA
technique. The blood number of Th1 and Treg cells was determined using flow
cytometry. At the sensitization phase, the number of Th1 and the serum levels of
IFN-gamma and IgG2a were significantly increased in the Derp2-sensitized group
pretreated with CPG plus MPLA, and the number of Treg cells was significantly
elevated in Derp2-sensitized mice pretreated with CPG or CPG plus MPLA as
compared with that in Derp2-sensitized control mice. At the challenge phase, the
number of Th1 was significantly elevated in Derp2-sensitized mice pretreated with
CPG plus MPLA, CPG plus BCG, or CPG; the count of Treg cells was significantly
increased in Derp2-sensitized mice pretreated with CPG plus BCG group; and the
levels of IFN-gamma and IgG2a were significantly enhanced in the Derp2-sensitized
group pretreated with CPG plus MPLA in comparison with those in Derp2-sensitized
control mice. The scores of inflammation and mucus secretion in the lung were
significantly decreased in the Derp2-sensitized group pretreated with CPG, BCG,
and CPG plus MPLA in comparison with those in the Derp2-sensitized control group.
These results showed that BCG, MPLA, and CPG modulate Th1-, Th2-, and Treg
related parameters and ameliorate lung inflammatory parameters in a mouse model
of asthma.
PMID- 27896544
TI - Mourning the Death of a Foreign Child.
PMID- 27896543
TI - Vitamin K antagonists: relative strengths and weaknesses vs. direct oral
anticoagulants for stroke prevention in patients with atrial fibrillation.
AB - Vitamin K antagonists (VKAs) have been the mainstay of anticoagulation therapy
for more than 50 years. VKAs are mainly used for the prevention of stroke in
patients with atrial fibrillation (AF) and the treatment and secondary prevention
of venous thromboembolism. In the past 5 years, four new agents-the direct factor
Xa inhibitors apixaban, edoxaban and rivaroxaban and the direct thrombin
inhibitor dabigatran [collectively known as direct oral anticoagulants (DOACs) or
non-VKA oral anticoagulants]-have been approved for these and other indications.
Despite these new treatment options, the VKA warfarin currently remains the most
frequently prescribed oral anticoagulant. The availability of DOACs provides an
alternative management option for patients with AF, especially when the treating
physician is hesitant to prescribe a VKA owing to associated limitations, such as
food and drug interactions, and concerns about bleeding complications. Currently
available real-world evidence shows that DOACs have similar or improved
effectiveness and safety outcomes compared with warfarin. Treatment decisions on
which DOAC is best suited for which patient to maximize safety and effectiveness
should take into account not only clinically relevant patient characteristics but
also patient preference. This article reviews and highlights real and perceived
implications of VKAs for the prevention of stroke in patients with non-valvular
AF, with specific reference to their strengths and weaknesses compared with
DOACs.
PMID- 27896545
TI - Safety and tolerability of pasireotide long-acting release in acromegaly-results
from the acromegaly, open-label, multicenter, safety monitoring program for
treating patients who have a need to receive medical therapy (ACCESS) study.
AB - PURPOSE: Pasireotide long-acting release is a somatostatin analog that is
indicated for treatment of patients with acromegaly. This analysis documents the
safety of pasireotide long-acting release in patients with acromegaly enrolled in
the ACCESS trial (ClinicalTrials.gov identifier: NCT01995734). METHODS: ACCESS is
an open-label, multicenter, single-arm, expanded-treatment protocol designed to
provide patients access to pasireotide long-acting release pending regulatory
approval. Patients received pasireotide long-acting release 40 mg administered
intramuscularly every 28 days. The primary outcome was the proportion of patients
having a treatment-emergent grade >=3 or serious adverse event. Efficacy data
were not collected. RESULTS: Forty-four adult patients with active acromegaly
were enrolled in the study for an average of 37.6 weeks (range, 4-70 weeks).
Twenty-five grade >=3 treatment-emergent adverse events were reported in 11
patients (25.0 %), 3 of whom (27.3 %) experienced grade >=3 hyperglycemia. In
patients treated with pasireotide long-acting release for >=3 months (n = 42),
mean glycated hemoglobin and fasting plasma glucose levels increased
significantly from 5.9 % and 100.4 mg/dL at baseline to 6.8 % and 135.9 mg/dL at
3 months, respectively. Ten patients (22.7 %) were treated with pasireotide long
acting release for >=15 months, after which mean glycated hemoglobin and fasting
plasma glucose levels were 6.3 % and 123 mg/dL, respectively. Twenty-one patients
(48 %) initiated antidiabetic medication. CONCLUSIONS: Grade >=3 adverse events
(primary outcome) were reported in 25.0 % of acromegaly patients treated with
pasireotide long-acting release in a clinical setting. Hyperglycemia-related
adverse events were reported in 45.5 % of patients, but were typically
manageable, supporting the role of pasireotide long-acting release as a safe
treatment option for acromegaly patients.
PMID- 27896546
TI - JMV2894, a novel growth hormone secretagogue, accelerates body mass recovery in
an experimental model of cachexia.
AB - Oncologic patients subjected to chemotherapy frequently present aphagia,
malnutrition, and cachexia. The purpose of this study was to investigate whether
selected growth hormone secretagogues including hexarelin, JMV2894 and JMV2951
could antagonize body weight loss and wasting induced by cisplatin administration
in rats. The three growth hormone secretagogues behaved as full agonists of the
growth hormone secretagogues receptor both in terms of ability to stimulate
calcium mobilization in Chinese hamster ovary cells and stimulation of growth
hormone release in neonatal rats. Adult rats were (i) treated with vehicle
throughout (controls), or (ii) treated with cisplatin (days 1-3) and a growth
hormone secretagogues or vehicle, (days 1-12). Body weight and food consumption
were measured daily. Although all growth hormone secretagogues caused initial
transient acute increases in food intake, the total amount of food eaten by
controls and growth hormone secretagogues treated groups over the 12 experimental
days was not significantly different. All groups pre-treated with cisplatin lost
up to 5-10 % body weight in the first 4 days; they subsequently gained weight at
a rate comparable with controls. Interestingly, rats which received JMV2894
demonstrated a faster gain in body weight than any other growth hormone
secretagogues treated group and at the end of the protocol reached a weight
similar to that of controls. JMV2894 did not stimulate perirenal and epididymal
fat accumulation but reduced MuRF mRNA levels in skeletal muscles. In conclusion,
our findings demonstrate that JMV2894 antagonizes cisplatin induced weight loss
in rats and may prove useful in antagonizing cachexia associated with cancer and
chemotherapy in humans.
PMID- 27896547
TI - Chemical Analysis of a "Miller-Type" Complex Prebiotic Broth : Part II: Gas, Oil,
Water and the Oil/Water-Interface.
AB - We have analyzed the chemical variety obtained by Miller-Urey-type experiments
using nuclear magnetic resonance (NMR) spectroscopy and coherent anti-Stokes
Raman scattering (CARS) spectroscopy, gas chromatography followed by mass
spectrometry (GC/MS) and two-dimensional gas chromatography followed by mass
spectrometry (GCxGC/MS). In the course of a running Miller-Urey-type experiment,
a hydrophobic organic layer emerged besides the hydrophilic aqueous phase and the
gaseous phase that were initially present. The gas phase mainly consisted of
aromatic compounds and molecules containing C=C or C=N triple bonds. The
hydrophilic phase contained at least a few thousands of different molecules,
primarily distributed in a range of 50 and 500 Da. The hydrophobic phase is
characterized by carbon-rich, oil-like compounds and their amphiphilic
derivatives containing oxygen with tensioactive properties. The presence of a
wide range of oxidized molecules hints to the availability of oxygen radicals. We
suggest that they intervene in the formation of alkylated polyethylene glycol
(PEG) in the oil/water interface. CARS spectroscopy revealed distinct vibrational
molecular signatures. In particular, characteristic spectral bands for cyanide
compounds were observed if the broth was prepared with electric discharges in the
gaseous phase. The characteristic spectral bands were absent if discharges were
released onto the water surface. NMR spectroscopy on the same set of samples
independently confirmed the observation. In addition, NMR spectroscopy revealed
overall high chemical variability that suggests strong non-linearities due to
interdependent, sequential reaction steps.
PMID- 27896548
TI - Four generations of SDHB-related disease: complexities in management.
AB - SDHB mutations are linked to the familial paraganglioma syndrome type 4 (PGL4),
which is associated with predominantly extra-adrenal disease and has high
metastatic rates. Despite the lower penetrance rates in carriers of SDHB
mutations compared to mutations in other paraganglioma susceptibility genes, the
aggressive behavior of SDHB-linked disease warrants intensive surveillance to
identify and resect tumors early. Patients with similar SDHB genotypes in whom
the PGL syndrome manifests often exhibit very heterogeneous phenotypes. Tumors
can arise in various locations, and management can be considerably different,
depending on tumor site and pathology. We present a case series of five SDHB
mutation carriers over four generations from the same family to illustrate the
complexities in management.
PMID- 27896550
TI - Global Trends of Monitoring and Data Collection on the HIV Response among Key
Populations Since the 2001 UN Declaration of Commitment on HIV/AIDS.
AB - Monitoring and evaluation indicators for HIV programs' response to the epidemic
among key populations (sex workers, people who inject drugs, men who have sex
with men, transgender people) are critical for reviewing the global response.
From the beginning of global reporting, insufficiency of data has been a
challenge for monitoring the epidemic response among key populations. However,
key populations were only indirectly referenced in the 2001 Declaration of
Commitment. By the 2006 Political Declaration on HIV/AIDS, data from key
populations were still not required from every country, and were sparsely
reported compared to other indicators. The 2011 Political Declaration on HIV/AIDS
referenced key populations by name for the first time. In 2006, fewer than twenty
countries (10%) reported HIV prevalence among key populations, whereas in 2012
the number of countries surpassed sixty (30%).
PMID- 27896549
TI - Embryonal rhabdomyosarcoma in a patient with a heterozygous frameshift variant in
the DICER1 gene and additional manifestations of the DICER1 syndrome.
AB - Germline mutations in the DICER1 gene are associated with an inherited cancer
predisposition syndrome also known as the DICER1-syndrome, which is implicated in
a broad range of tumors including pleuropulmonary blastoma, ovarian Sertoli
Leydig cell tumors, ciliary body medulloepithelioma (CBME), pituitary blastoma,
embryonal rhabdomyosarcoma (eRMS), anaplastic renal sarcoma as well as ocular,
sinonasal tumors ovarian sex-cord tumors, thyroid neoplasia and cystic nephroma.
This study describes a novel, heterozygous frameshift DICER1 mutation in a
patient, who is affected by different tumors of the DICER1-syndrome, including
eRMS, CBME and suspected pleuropulmonary blastoma type I. By whole-exome
sequencing of germline material using peripheral blood-derived DNA, we identified
a single base pair duplication within the DICER1 gene (c.3405 dupA) that leads to
a frameshift and results in a premature stop in exon 21 (p.Gly1136Arg). The
metachronous occurrence of two unrelated tumor entities (eRMS and CBME) in a very
young child within a short timeframe should have raised the suspicion of an
underlying cancer susceptibility syndrome and should be prompt tested for DICER1.
PMID- 27896551
TI - Investing for Impact: The Global Fund Approach to Measurement of AIDS Response.
AB - The Global Fund raises and invests nearly US$4 billion a year to support programs
run in more than 140 countries. The Global Fund strategy 2012-2016 is focused on
"Investing for Impact". In order to accomplish this, timely and accurate data are
needed to inform strategies and prioritize activities to achieve greater coverage
with quality services. Monitoring and evaluation is intrinsic to the Global
Fund's system of performance-based funding. The Global Fund invests in
strengthening measurement and reporting of results at all stages of the grant
cycle. The Global Fund approach to measurement is based on three key principles
(1) simplified reporting: the Global Fund has updated its measurement guidance to
focus on impact, coverage and quality with the use of a harmonized set of
indicators. (2) Supporting data systems-based on a common framework developed and
supported by partners, it promotes investment in five common data systems:
routine reporting including HMIS; Surveys-population based and risk group
surveys; Analysis, reviews and transparency; Administrative and financial data
sources; and, Vital registration systems. (3) Strengthen data use: the Global
Fund funding encourages use of data at all levels-national, subnational and site
level. Countries do not automatically prioritize M&E but when guidance, tools and
investments are available, there is high level utilization of M&E systems in
program design, planning, implementation, and results reporting. An in-depth
analysis of the available data helps the Global Fund and countries to direct
investments towards interventions where impact could be achieved and focus on
target population groups and geographic areas that are most affected.
PMID- 27896552
TI - Primary Care Physicians' Willingness to Prescribe HIV Pre-exposure Prophylaxis
for People who Inject Drugs.
AB - Pre-exposure prophylaxis for HIV (PrEP) is recommended for people who inject
drugs (PWID). Despite their central role in disease prevention, willingness to
prescribe PrEP to PWID among primary care physicians (PCPs) is largely
understudied. We conducted an online survey (April-May 2015) of members of a
society for academic general internists regarding PrEP. Among 250 respondents,
74% (n = 185) of PCPs reported high willingness to prescribe PrEP to PWID. PCPs
were more likely to report high willingness to prescribe PrEP to all other HIV
risk groups (p's < 0.03 for all pair comparisons). Compared with PCPs delivering
care to more HIV-infected clinic patients, PCPs delivering care to fewer HIV
infected patients were more likely to report low willingness to prescribe PrEP to
PWID (Odds Ratio [95% CI] = 6.38 [1.48-27.47]). PCP and practice characteristics
were not otherwise associated with low willingness to prescribe PrEP to PWID.
Interventions to improve PCPs' willingness to prescribe PrEP to PWID are needed.
PMID- 27896553
TI - Associations of HIV Testing, Sexual Risk and Access to Prevention Among Female
Sex Workers in the Dominican Republic.
AB - The Caribbean region has one of the highest proportions of HIV in the general
female population attributable to sex work. In 2008 (n = 1256) and 2012 (n =
1525) in the Dominican Republic, HIV biological and behavioral surveys were
conducted among female sex workers (FSW) in four provinces using respondent
driven sampling. Participants were >=15 years who engaged in intercourse in
exchange for money in the past 6 months and living/working in the study province.
There were no statistically significant changes in HIV and other infections
prevalence from 2008 to 2012, despite ongoing risky sexual practices. HIV testing
and receiving results was low in all provinces. FSW in 2012 were more likely to
receive HIV testing and results if they participated in HIV related information
and education and had regular checkups at health centers. Further investigation
is needed to understand barriers to HIV testing and access to prevention
services.
PMID- 27896554
TI - Emission of Volatile Compounds from Apple Plants Infested with Pandemis heparana
Larvae, Antennal Response of Conspecific Adults, and Preliminary Field Trial.
AB - This study investigated the volatile emission from apple (Malus x domestica
Borkh., cv. Golden Delicious) foliage that was either intact, mechanically
damaged, or exposed to larval feeding by Pandemis heparana (Denis and
Schiffermuller) (Lepidoptera: Tortricidae). Volatiles were collected by closed
loop-stripping-analysis and characterized by gas chromatography-mass spectrometry
in three time periods: after 1 h and again 24 and 48 h later. Volatiles for all
treatments also were monitored continuously over a 72-h period by the use of
proton transfer reaction - time of flight-mass spectrometry (PTR-ToF-MS). In
addition, the volatile samples were analyzed by gas chromatography
electroantennographic detection (GC-EAD) using male and female antennae of P.
heparana. Twelve compounds were detected from intact foliage compared with 23
from mechanically-damaged, and 30 from P. heparana-infested foliage.
Interestingly, six compounds were released only by P. heparana-infested foliage.
The emission dynamics of many compounds measured by PTR-ToF-MS showed striking
differences according to the timing of herbivory and the circadian cycle. For
example, the emission of green leaf volatiles began shortly after the start of
herbivory, and increased over time independently from the light-dark cycle.
Conversely, the emission of terpenes and aromatic compounds showed a several-hour
delay in response to herbivory, and followed a diurnal rhythm. Methanol was the
only identified volatile showing a nocturnal rhythm. Consistent GC-EAD responses
were found for sixteen compounds, including five aromatic ones. A field trial in
Sweden demonstrated that benzyl alcohol, 2-phenylethanol, phenylacetonitrile, and
indole lures placed in traps were not attractive to Pandemis spp. adults, but 2
phenylethanol and phenylacetonitrile when used in combination with acetic acid
were attractive to both sexes.
PMID- 27896555
TI - Methyl Jasmonate-Induced Monoterpenes in Scots Pine and Norway Spruce Tissues
Affect Pine Weevil Orientation.
AB - In large parts of Europe, insecticide-free measures for protecting conifer plants
are desired to suppress damage by the pine weevil Hylobius abietis (L.).
Treatment with methyl jasmonate (MeJA), a chemical elicitor already used in crop
production, may enhance expression of chemical defenses in seedlings in conifer
regenerations. However, in a previous experiment, MeJA treatment resulted in
substantially better field protection for Scots pine (Pinus sylvestris L.) than
for Norway spruce (Picea abies (L.) Karst.). Hypothesizing that the variations
may be at least due partly to volatiles released by MeJA-treated seedlings and
their effects on pine weevil orientation, we examined tissue extracts of
seedlings (from the same batches as previously used) by two-dimensional GC-MS. We
found that the MeJA treatment increased contents of the monoterpene (-)-beta
pinene in phloem (the weevil's main target tissue) of both tree species, however,
the (-)-beta-pinene/(-)-alpha-pinene ratio increased more in the phloem of P.
sylvestris. We also tested the attractiveness of individual monoterpenes found in
conifer tissues (needles and phloem) for pine weevils using an arena with traps
baited with single-substance dispensers and pine twigs. Trap catches were reduced
when the pine material was combined with a dispenser releasing (-)-beta-pinene,
(+)-3-carene, (-)-bornyl acetate or 1,8-cineole. However, (-)-alpha-pinene did
not have this effect. Thus, the greater field protection of MeJA-treated P.
sylvestris seedlings may be due to the selective induction of increases in
contents of the deterrent (-)-beta-pinene, in contrast to strong increases in
both non-deterrent (-)-alpha-pinene and the deterrent (-)-beta-pinene in P. abies
seedlings.
PMID- 27896556
TI - Towards standardized automated immunomonitoring: an automated ELISpot assay for
safe and parallelized functionality analysis of immune cells.
AB - The ELISpot assay is used for the detection of T cell responses in clinical
trials and vaccine evaluations. Standardization and reproducibility are necessary
to compare the results worldwide, inter- and intra-assay variability being
critical factors. To assure operator safety as well as high-quality experiment
performance, the ELISpot assay was implemented on an automated liquid handling
platform, a Tecan Freedom EVO. After validation of the liquid handling, automated
loading of plates with cells and reagents was investigated. With step by step
implementation of the manual procedure and liquid dispensing optimization on the
robot platform, a fully automated ELISpot assay was accomplished with plates
remaining in the system from the plate blocking step to spot development. The
mean delta difference amounted to a maximum of 6%, and the mean dispersion was
smaller than in the manual assay. Taken together, we achieved with this system
not only a lower personnel attendance but also higher throughput and a more
precise and parallelized analysis. This platform has the potential to guarantee
validated, safe, fast, reproducible and cost-efficient immunological and
toxicological assays in the future.
PMID- 27896557
TI - Cytotoxic and apoptotic activities of extract of Amaranthus spinosus L. in Allium
cepa and human erythrocytes.
AB - The present study examined the apoptosis inducing effects of Amaranthus spinosus
L. aqueous extract in Allium cepa root meristematic cells and human erythrocytes.
Cytogenetic assay revealed many apoptosis inducing cytogenetic aberrations viz.,
cytoplasmic breakage, cytoplasmic disintegration, cytoplasmic shrinkage, receding
of cytoplasm, cytoplasmic vacuolation, enucleated cell, ghost cell, nuclear
vacuolation, nuclear fragmentation and nuclear disintegration. A remarkable
modification of red blood cell surface morphology was observed in the result of
RBC assay. The treated RBCs show membrane blebbing and shrinkage, features
typical for apoptosis in nucleated cells. Significant induction of cell death was
observed in treated Allium root tip cells after Evans blue staining, disclosing
the membrane damage potential of the plant extract. TTC assay results in reduced
mitochondrial/metabolic activity in Allium root tip cells after treatment,
designating the adverse effect of plant extract on mitochondrial respiratory
chain. These results confirm the apoptosis inducing potential of A. spinosus
extract. Confirming the present results by further in vitro studies, it can be
effectively targeted against cell proliferation during cancer treatment by
inducing apoptosis. Thus from the present investigation it can be concluded that
the aqueous extract of A. spinosus exhibited apoptosis induction and cytotoxic
activities.
PMID- 27896558
TI - H3K9ac involved in the decondensation of spermatozoal nuclei during
spermatogenesis in Chinese mitten crab Eriocheir sinensis.
AB - As a well-known crustacean model species, the Chinese mitten crab Eriocheir
sinensis presents spermatozoa with decondensed DNA. Our aim was to analyze
structural distribution of the histone H3 and its acetylated lysine 9 (H3K9ac)
during spermatogenesis for the mechanistic understanding of the nuclear
decondensation of the spermatozoa in E. sinensis. Using specific antibodies, we
followed the structural distribution and acetylated lysine 9 of the histone H3
during spermatogenesis, especially spermiogenesis, of E. sinensis. Various
spermary samples at different developmental stages were used for histological
immunofluorescence and ultrastructural immunocytochemistry. Our results
demonstrate a wide distribution of the histone H3 and H3K9ac during
spermatogenesis, including spermatogonia, spermatocytes, spermatids, and immature
and mature spermatozoa except for absence of H3K9ac in the secondary
spermatocytes. Especially during the initial stage of nuclear decondensation,
histone H3 lysine 9 was acetylated and then an amount of H3K9ac was removed from
within to outside of the nuclei of late spermatids. The portion of remaining
H3K9ac was gradually transferred from the nuclei during the stages of spermatozoa
maturation. Our findings suggest both the acetylation of histone H3 lysine 9 and
the remain of H3K9ac to contribute to the nuclear decondensation in spermatozoa
of E. sinensis.
PMID- 27896559
TI - Antiviral activity of hemolymph of Podalia against rubella virus.
AB - Many active principles produced by animals, plants and microorganisms have been
employed in the development of new drugs for the treatment of human diseases.
Among animals known to produce pharmacologically active molecules that interfere
in human cell physiology. Rubella virus (genus Rubivirus, family Togaviridae) is
a single stranded RNA virus of positive genome polarity. Rubella virus infection
of susceptible women during the first trimester of pregnancy often results in
long-term virus persistence in the fetus causing multiple organ abnormalities.
Potent antiviral activity against rubella virus (RV) has been observed in the
hemolymph of Podalia sp. (Lepidoptera: Megalopygidae). This study evaluated the
effect of hemolymph on RV infected Statens Serum Institute Rabbit Cornea (SIRC)
cells. Results of cell viability and cell proliferation assays indicated that
hemolymph was not toxic to cultured SIRC cells. Viral binding assay, antiviral
assay, PCR, real-time PCR, and transmission electron microscopy were used to
demonstrate that hemolymph in post-treatment could inhibit the production of
infectious RV particles. Specifically, hemolymph was found to inhibit RV
adsorption to the SIRC cells.
PMID- 27896560
TI - Neuroimaging findings of Zika virus infection: beyond the brain CT scans.
PMID- 27896561
TI - Polyester Fabric's Fluorescent Dyeing in Supercritical Carbon Dioxide and its
Fluorescence Imaging.
AB - As one of the most important coumarin-like dyes, disperse fluorescent Yellow 82
exhibits exceptionally large two-photon effects. Here, it was firstly introduced
into the supercritical CO2 dyeing polyester fabrics in this work. Results of the
present work showed that the dyeing parameters such as the dyeing time, pressure
and temperature had remarkable influences on the color strength of fabrics. The
optimized dyeing condition in supercritical CO2 dyeing has been proposed that the
dyeing time was 60 min; the pressure was 25 MPa and the temperature was 120
degrees C. As a result, acceptable products were obtained with the wash and rub
fastness rating at 5 or 4-5. The polyester fabrics dyed with fluorescent dyes can
be satisfied for the requirement of manufacturing warning clothing. Importantly,
the confocal microscopy imaging technology was successfully introduced into
textile fields to observe the distribution and fluorescence intensity of disperse
fluorescent Yellow 82 on polyester fabrics. As far as we know, this is the first
report about supercritical CO2 dyeing polyester fabrics based on disperse
fluorescent dyes. It will be very helpful for the further design of new
fluorescent functional dyes suitable for supercritical CO2 dyeing technique.
PMID- 27896562
TI - Characterization of isolates of equine infectious anemia virus in Brazil.
AB - Equine infectious anemia is an important infectious disease that affects equids
worldwide. Control of the disease is currently based on detection of anti-p26
EIAV by Agar Gel Immunodiffusion (AGID). In this work, 62 animals were examined
by AGID and nested-PCR using primers for the gag gene. Fifty-three samples
(85.5%) were positive by nested-PCR, whereas only 33 samples (53%) were positive
for AGID. Fifteen amplicons obtained by nested-PCR were sequenced and the aligned
results subjected to phylogenetic analysis. The analysis suggests that the
Brazilian EIAV form a cluster with WSU5, EIAVUK and Wyoming strains from United
States.
PMID- 27896563
TI - Broad-spectrum antiviral properties of andrographolide.
AB - Andrographolide, a diterpenoid, is known for its anti-inflammatory effects. It
can be isolated from various plants of the genus Andrographis, commonly known as
'creat'. This purified compound has been tested for its anti-inflammatory effects
in various stressful conditions, such as ischemia, pyrogenesis, arthritis,
hepatic or neural toxicity, carcinoma, and oxidative stress, Apart from its anti
inflammatory effects, andrographolide also exhibits immunomodulatory effects by
effectively enhancing cytotoxic T cells, natural killer (NK) cells, phagocytosis,
and antibody-dependent cell-mediated cytotoxicity (ADCC). All these properties of
andrographolide form the foundation for the use of this miraculous compound to
restrain virus replication and virus-induced pathogenesis. The present article
covers antiviral properties of andrographolide in variety of viral infections,
with the hope of developing of a new highly potent antiviral drug with multiple
effects.
PMID- 27896564
TI - Children's Gender-Typed Toy Interests: Does Propulsion Matter?
AB - Children's toy play is at the foundation of child development. However, gender
differentiation in early play experiences may result in gender differences in
cognitive abilities, social interactions, and vocational choices. We investigated
gender-typing of toys and toys' propulsive properties (e.g., wheels, forward
motion) as possible factors impacting children's toy interests, perceptions of
other children's interests, and children's actual toy choices during free play.
In Studies 1 and 2, 82 preschool children (42 boys, 40 girls; mean age = 4.90
years) were asked to report their interest and perceptions of other children's
interests in toys. In Study 1, masculine, feminine, and neutral toys with and
without propulsive properties were presented. Children reported greater interest
in gender-typed toys and neutral toys compared to cross-gender-typed toys. In
Study 2, unfamiliar, neutral toys with and without propulsive properties were
presented. Propulsive properties did not affect children's interest across both
studies. Study 3 was an observational study that assessed toy preferences among
42 preschool children (21 males, 21 females, mean age = 4.49 years) during a play
session with masculine, feminine, and neutral toys with and without propulsive
properties. Gender-typed toy preferences were less apparent than expected, with
children showing high interest in neutral toys, and girls playing with a wide
variety of masculine, feminine, and neutral toys. Gender differences in interest
for toys with propulsion properties were not evident. Overall, gender differences
in children's interest in toys as a function of propulsion properties were not
found in the three experiments within this study.
PMID- 27896565
TI - None of the Above: Toward Identity and Community-Based Understandings of
(A)sexualities.
PMID- 27896566
TI - Croatia Needs a Gender Incongruence Diagnosis for Prepubertal Children.
PMID- 27896568
TI - How Can a Good Idea Fail? Basal Insulin Peglispro [LY2605541] for the Treatment
of Type 2 Diabetes.
AB - INTRODUCTION: Lack of control in diabetic patients has stimulated the development
of new insulin analogues. One of these was basal insulin peglispro (BIL) or
LY2605541; it had a large hydrodynamic size, flat pharmacokinetic profile, half
life of 2-3 days and acted preferably in the liver. METHODS: We reviewed the
recent literature examining the pharmacokinetics, pharmacodynamics, efficacy and
safety of BIL treatment in type 2 diabetes patients. RESULTS: The pharmacodynamic
and pharmacokinetic outline of BIL seemed to have an advantage over neutral
protamine Hagedorn and glargine insulins. Recently, phase 3 studies suggested BIL
was superior to glargine in reducing glucose levels in type 1 and type 2 diabetes
patients in addition to causing less weight gain. It showed a different
hypoglycaemia rate profile depending on the study population, with less nocturnal
hypoglycaemia compared to glargine. Unfortunately, it caused higher transaminase
and triglyceride levels, which led the company to discontinue development. The
decision came after it had been analysed by the regulatory authorities and other
external experts concerning the worse liver profile data from the IMAGINE trials.
CONCLUSIONS: BIL was an adequate basal insulin analogue with interesting specific
properties. Unfortunately the disadvantages as shown in the lipid values and
liver function tests led to its failure.
PMID- 27896567
TI - FOXO1 and LXRalpha downregulate the apolipoprotein A-I gene expression during
hydrogen peroxide-induced oxidative stress in HepG2 cells.
AB - Reactive oxygen species damage various cell components including DNA, proteins,
and lipids, and these impairments could be a reason for severe human diseases
including atherosclerosis. Forkhead box O1 (FOXO1), an important metabolic
transcription factor, upregulates antioxidant and proapoptotic genes during
oxidative stress. Apolipoprotein A-I (ApoA-I) forms high density lipoprotein
(HDL) particles that are responsible for cholesterol transfer from peripheral
tissues to liver for removal in bile in vertebrates. The main sources for plasma
ApoA-I in mammals are liver and jejunum. Hepatic apoA-I transcription depends on
a multitude of metabolic transcription factors. We demonstrate that ApoA-I
synthesis and secretion are decreased during H2O2-induced oxidative stress in
human hepatoma cell line HepG2. Here, we first show that FOXO1 binds to site B of
apoA-I hepatic enhancer and downregulates apoA-I gene activity in HepG2 cells.
Moreover, FOXO1 and LXRalpha transcription factors participate in H2O2-triggered
downregulation of apoA-I gene together with Src, JNK, p38, and AMPK kinase
cascades. Mutations of sites B or C as well as the administration of siRNAs
against FOXO1 or LXRalpha to HepG2 cells abolished the hydrogen peroxide-mediated
suppression of apoA-I gene.
PMID- 27896570
TI - Quiet MR sequences in clinical routine: initial experience in abdominal imaging.
AB - OBJECTIVE: Purpose of our study was to demonstrate the feasibility and
limitations of acoustic noise reduction in a standard clinical MRI protocol for
abdominal imaging. METHODS: Acoustic noise and image quality were assessed in 17
patients for a standard liver imaging protocol including TSE and GRE sequences
and compared to quiet optimizations as described by Heismann et al. Two blinded
readers scored artifacts, the delineation of the abdominal organs and level of
diagnostic confidence. Means of the sound level measurements, the ratings and the
measurement of SNR and CNR were compared. RESULTS: Significant reduction of
acoustic noise was measured for T2 TSE (-30.7%), T2 HASTE (-22.6%) and less
difference for T1 DIXON (-4.7%) and T1 FLASH (-2.7%). SNR and CNR were lower for
quiet T2 TSE (-18.0%, -23.1%) and T2 HASTE (-46.2%, -37.7%) and higher for T1
DIXON (+32.0%, +24.4%). Inter-rater correlation was k = 0.987 with p < 0.001.
CONCLUSIONS: Although sequence-based noise optimizations faces problems in T1
FLASH and DIXON sequences, there is an important acoustic benefit in T2 TSE and
T2 HASTE sequences, which goes along with a maintained image quality and
diagnostic confidence.
PMID- 27896569
TI - Anti-pituitary antibodies against corticotrophs in IgG4-related hypophysitis.
AB - PURPOSE: IgG4-related disease is a systemic inflammatory disease characterized by
infiltration of IgG4-positive plasma cells into multiple organs, including the
pituitary gland. Autoimmunity is thought to be involved in the pathogenesis of
IgG4-related disease. The diagnosis of IgG4-related hypophysitis (IgG4-RH) is
difficult because its clinical features, such as pituitary swelling and
hypopituitarism, are similar to those of other pituitary diseases, including
lymphocytic hypophysitis and sellar/suprasellar tumors. The presence and
significance of anti-pituitary antibodies (APA) in IgG4-RH is unclear. METHODS:
In this case-control study, we used single indirect immunofluorescence on human
pituitary substrates to assess the prevalence of serum APA in 17 patients with
IgG4-RH, 8 control patients with other pituitary diseases (lymphocytic
infundibulo-neurohypophysitis, 3; craniopharyngioma, 2; germinoma, 3), and 9
healthy subjects. We further analyzed the endocrine cells targeted by the
antibodies using double indirect immunofluorescence. RESULTS: APA were found in 5
of 17 patients with IgG4-RH (29%), and in none of the pituitary controls or
healthy subjects. The endocrine cells targeted by the antibodies in the 5 IgG4-RH
cases were exclusively corticotrophs. Antibodies were of the IgG1 subclass,
rather than IgG4, in all 5 cases, suggesting that IgG4 is not directly involved
in the pathogenesis. Finally, antibodies recognized pro-opiomelanocortin in 2 of
the cases. CONCLUSIONS: Our study suggests that autoimmunity is involved in the
pathogenesis of IgG4-RH and that corticotrophs are the main antigenic target,
highlighting a possible new diagnostic marker for this condition.
PMID- 27896571
TI - Erratum to: Japanese phase II study of rituximab maintenance for untreated
indolent B-cell non-Hodgkin lymphoma with high tumor burden.
PMID- 27896572
TI - Identification of novel mutations in HFE, HFE2, TfR2, and SLC40A1 genes in
Chinese patients affected by hereditary hemochromatosis.
AB - Hereditary hemochromatosis (HH) is a group of inherited iron-overload disorders
associated with pathogenic defects in the genes encoding hemochromatosis (HFE),
hemojuvelin (HJV/HFE2), hepcidin (HAMP), transferrin receptor 2 (TfR2), and
ferroportin (FPN1/SLC40A1) proteins, and the clinical features are well
described. However, there have been only a few detailed reports of HH in Chinese
populations. Thus, there is insufficient patient information for population-based
analyses in Chinese populations or comparative studies among different ethical
groups. In the current work, we describe eight Chinese cases of hereditary
hemochromatosis. Gene sequencing results revealed eight mutations (five novel
mutations) in HFE, HFE2, TfR2, and SLC40A1 genes in these Chinese HH patients. In
addition, we used Polymorphism Phenotyping v2 (Polyphen), Sorting Intolerant From
Tolerant (SIFT), and a sequence alignment program to predict the molecular
consequences of missense mutations.
PMID- 27896575
TI - Retraction Note to: The Efficacy of Epidermal Growth Factor Receptor Tyrosine
Kinase Inhibitors for Molecularly Selected Patients with Non-Small Cell Lung
Cancer: A Meta-Analysis of 30 Randomized Controlled Trials.
PMID- 27896573
TI - Greater decline in memory and global neurocognitive function in HIV/hepatitis C
co-infected than in hepatitis C mono-infected patients treated with pegylated
interferon and ribavirin.
AB - The human immunodeficiency virus (HIV), hepatitis C virus (HCV), and the
treatment of HCV with pegylated interferon and ribavirin (IFN/RBV) have been
associated with neurocognitive and psychiatric abnormalities. The goal of this
research was to prospectively evaluate neurocognitive functioning among a group
of HCV mono-infected and HIV/HCV co-infected patients during the first 24 weeks
of IFN/RBV treatment while accounting for practice effects, normal variations in
change over time, and variations in IFN/RBV treatment exposure. Forty-four HCV
mono-infected and 30 HIV/HCV co-infected patients were enrolled in a prospective
study of patients beginning on IFN/RBV for chronic HCV infection. Patients were
administered a depression inventory, a measure of fatigue, a structured
psychiatric interview, and a neurocognitive battery at baseline and 24 weeks
after initiation of treatment. Analyses were conducted to explore possible
associations between neurocognitive functioning and the following: HIV/HCV co
infection vs. HCV mono-infection, IFN and RBV treatment exposure, psychiatric
status, liver disease stage, and other medical characteristics. At baseline,
there were no significant differences between the two groups' neuropsychiatric or
neurocognitive function other than the mono-infected group had significantly
higher reports of fatigue (p = 0.033). Over the course of 24 weeks of treatment
after controlling for practice effects, the HIV/HCV co-infected patients
experienced significantly greater declines in memory (t(56) = 2.14, p = 0.037)
and global neurocognitive functioning (t(53) = 2.28, p = 0.027). In a well
characterized sample of mono-infected and co-infected patients, it appears that
persons with HIV/HCV co-infection are potentially more vulnerable to
neurocognitive sequalae during HCV treatment.
PMID- 27896574
TI - Cognitive function in early HIV infection.
AB - This study aimed to examine cognitive function in acute/early HIV infection over
the subsequent 2 years. Fifty-six HIV+ subjects and 21 seronegative participants
of the Chicago Early HIV Infection Study were evaluated using a comprehensive
neuropsychological assessment at study enrollment and at 2-year follow-up.
Cognitive performance measures were compared in the groups using t tests and
mixed-effect models. Patterns of relationship with clinical measures were
determined between cognitive function and clinical status markers using
Spearman's correlations. At the initial timepoint, the HIV group demonstrated
significantly weaker performance on measures of verbal memory, visual memory,
psychomotor speed, motor speed, and executive function. A similar pattern was
found when cognitive function was examined at follow-up and across both
timepoints. The HIV subjects had generally weaker performance on psychomotor
speed, executive function, motor speed, visual memory, and verbal memory. The
rate of decline in cognitive function across the 2-year follow-up period did not
differ between groups. Correlations between clinical status markers and cognitive
function at both timepoints showed weaker performance associated with increased
disease burden. Neurocognitive difficulty in chronic HIV infection may have very
early onset and reflect consequences of initial brain viral invasion and
neuroinflammation during the intense, uncontrolled viremia of acute HIV
infection. Further characterization of the changes occurring in initial stages of
infection and the risk and protective factors for cognitive function could inform
new strategies for neuroprotection.
PMID- 27896577
TI - Modified laparoscopic simple enucleation with single-layer suture technique
versus standard laparoscopic partial nephrectomy for treating localized renal
cell carcinoma.
AB - OBJECTIVES: To compare modified laparoscopic simple enucleation (MLSE) and
standard laparoscopic partial nephrectomy (SLPN) for treating localized renal
cell carcinoma in our large institutional experience. METHODS: We evaluated 385
consecutive patients who underwent MLSE or SLPN for renal tumors in our
institution from January 2013 to December 2015 in terms of perioperative
pathological and oncologic outcome variables. During MLSE, the single-layer
suture technique was performed for renal reconstruction. RESULTS: In total, 280
patients underwent MLSE and 105 underwent SLPN. Mean operative time was 182.1 and
192.8 min, respectively (p = 0.078). Warm ischemic time was significantly lower
in the MLSE than SLPN group (23.2 vs 25.4 min; p = 0.004). The estimated blood
loss was similar (p = 0.537). Tumor bed suturing was performed in 9.3 and 82.9%
of MLSE and SLPN cases (p = 0.000). No hilar clamping was needed for 29 MLSE
patients (10.4%) and 4 SLPN patients (3.8%) (p = 0.041). Grade III complications
were reported in 5 (1.8%) MLSE patients and 7 (6.6%) SLPN patients (p = 0.034).
The incidence of positive surgical margins was comparable between the MLSE and
SLPN groups (1.8 and 5.7%, p = 0.086). After a median follow-up of 18 months,
recurrence did not differ between the 2 groups: 9 (3.2%) MLSE patients and 4
(3.8%) SLPN patients (p = 1.000). CONCLUSIONS: MLSE may confer shorter warm
ischemic time, almost no need for tumor bed suturing and less grade III
complications than SLPN, with similar oncologic outcomes. MLSE may be safe and
acceptable for patients undergoing partial nephrectomy.
PMID- 27896576
TI - Comparing the bulking effect of calcium hydroxyapatite and Deflux injection into
the bladder neck for improvement of urinary incontinence in bladder exstrophy
epispadias complex.
AB - OBJECTIVES: The aim of this study was to evaluate the efficacy of the endoscopic
injection of calcium hydroxyapatite (CaHA) into the bladder neck (BN) region of
patients with urinary incontinence and bladder exstrophy-epispadias complex
(BEEC). PATIENTS AND METHODS: We designed a retrospective cohort study in which
we retrospectively studied medical charts of female and male patients of BEEC who
had undergone CaHA or Deflux injection for continence improvement between 2009
and 2014. Sixteen incontinent patients with a mean +/- SD age of 8.09 +/- 3.5
years received an endoscopic submucosal injection of 5.4 ml of pure CaHA powder
with autologous plasma (group A). Patients in group B (N = 21), control group,
with a mean +/- SD age of 7.51 +/- 2.8 years received Deflux injection (5.1 ml).
The mean follow-up after injection was 38 +/- 5.2 and 33 +/- 4.1 months in groups
A and B, respectively. RESULTS: No post-injection complication was detected in
none of the patients during the follow-up. Eleven patients (68.75%) in group A
became socially dry following 1-2 injections, the degree of incontinence was
improved in 4 patients (25%), and there was no change in one patient (6.25%).
However, Deflux injection resulted in complete dryness in 14 (66.66%),
improvement in the degree of incontinence in 5 (23.81%) and no change in 2
patients (9.52%), leading to no significant difference in continence achievement
between CaHA and Deflux groups (p = 0.9). The statistical analysis was not
significantly different in terms of bladder capacity (p = 0.7) or Q max (p =
0.8). CONCLUSION: The preliminary results of this study revealed that CaHA may be
applied as an affordable bulking agent in treatment of urinary incontinence in
BEEC.
PMID- 27896579
TI - Prostatic artery embolization in treating benign prostatic hyperplasia: a
systematic review.
AB - INTRODUCTION: We systemically reviewed the current evidence on prostatic artery
embolization (PAE) in treating men with benign prostatic hyperplasia. METHODS: A
systemic literature search was conducted in PubMed, EMBASE and Web of Science on
1 May 2016 without time constraints. Outcomes of interest included the changes in
the International Prostate Symptom Score (IPSS), quality-of-life (QOL) score,
peak urinary flow (Qmax), post-void residual urine (PVR), International Index of
Erectile Function (IIEF) score, prostate volume (PV) and prostate-specific
antigen (PSA) level. RESULTS: A total of 987 records were identified through
database searching. After removing duplicates, screening and reviewing full
length texts, a total of five records remained, with two randomized controlled
trials and three non-randomized cohort studies. Transurethral resection of
prostate resulted in better IPSS than PAE. Open prostatectomy had better IPSS,
QOL score, Qmax and PVR, but worse IIEF score than PAE at 1 year. Unilateral PAE
had higher rate of poor clinical outcome than bilateral PAE, but the difference
became statistically insignificant after adjusting for age; IPSS, QOL score,
Qmax, PVR, IIEF score, PV and PSA did not differ between the two groups. PAE with
100 MUm PVA particles resulted in greater reduction in PSA level, but worse IIEF
score than PAE with 200 MUm PVA particles; IPSS, QOL score, Qmax, PVR, PV and
poor clinical outcome did not differ between the two groups. CONCLUSION: Evidence
on different aspects of PAE was limited. Further studies are warranted to
investigate the role of PAE as compared to other forms of medical and surgical
treatment.
PMID- 27896578
TI - Is there evidence for a close connection between side of intravesical tumor
location and ipsilateral lymphatic spread in lymph node-positive bladder cancer
patients at radical cystectomy? Results of the PROMETRICS 2011 database.
AB - PURPOSE: To evaluate the possible association between bladder tumor location and
the laterality of positive lymph nodes (LN) in a prospectively collected multi
institutional radical cystectomy (RC) series. METHODS: The study population
included 148 node-positive bladder cancer (BC) patients undergoing RC and pelvic
lymph node dissection in 2011 without neoadjuvant chemotherapy and without
distant metastasis. Tumor location was classified as right, left or bilateral and
compared to the laterality of positive pelvic LN. A logistic regression model was
used to identify predictors of ipsilaterality of lymphatic spread. Using
multivariate Cox regression analyses (median follow-up: 25 months), the effect of
the laterality of positive LN on cancer-specific mortality (CSM) was estimated.
RESULTS: Overall, median 18.5 LN [interquartile range (IQR), 11-27] were removed
and 3 LN (IQR 1-5) were positive. There was concordance of tumor location and
laterality of positive LN in 82% [95% confidence interval (CI), 76-89]. Patients
with unilateral tumors (n = 78) harbored exclusively ipsilateral positive LN in
67% (95% CI 56-77). No criteria were found to predict ipsilateral positive LN in
patients with unilateral tumors. CSM after 3 years in patients with ipsilateral,
contralateral, and bilateral LN metastasis was 41, 67, and 100%, respectively (p
= 0.042). However, no significant effect of the laterality of positive pelvic LN
on CSM could be confirmed in multivariate analyses. CONCLUSIONS: Our prospective
cohort showed a concordance of tumor location and laterality of LN metastasis in
BC at RC without any predictive criteria and without any influence on CSM. It is
debatable, whether these findings may contribute to a more individualized patient
management.
PMID- 27896580
TI - Highly improved acarbose production of Actinomyces through the combination of
ARTP and penicillin susceptible mutant screening.
AB - Atmospheric and room temperature plasma (ARTP) was first employed to generate
mutants of Actinomyces JN537 for improving acarbose production. To obtain higher
acarbose producing strains, the method of screening the strains for
susceptibility to penicillin was used after treatment with ARTP. The rationale
for the strategy was that mutants showing penicillin susceptibility were likely
to be high acarbose producers, as their ability to synthesize cell walls was weak
which might enhance metabolic flux to the pathway of acarbose biosynthesis.
Acarbose yield of the mutant strain M37 increased by 62.5 % than that of the
original strain. The contents of monosaccharides and amino acids of the cell wall
of M37 were lower than that of the original strain. The acarbose production
ability in mutant strain remained relatively stable after 10 generations. This
work provides a promising strategy for obtaining high acarbose-yield strains by
combination of ARTP mutation method and efficient screening technique.
PMID- 27896582
TI - Effect of irrigation on soil health: a case study of the Ikere irrigation project
in Oyo State, southwest Nigeria.
AB - Irrigated agriculture is one of the significant contributors to the food security
of the millennium development goals (MDGs); however, the modification of soil
matrix by irrigation could alter the overall soil health due to changes in soil
properties and processes. The objective of the study was to evaluate the effect
of irrigation on soil quality status of the Ikere center pivot irrigation project
site in Oyo State, southwest Nigeria. Disturbed soil samples were collected from
0 to 30, 30 to 60, and 60 to 90-cm layers from four different sites in three
replicates, within the project location for the determination of soil bio
chemical properties. The average values of sodium adsorption ratio (SAR) < 13,
electrical conductivity (EC) <4 MUS/cm, and pH < 8.5 showed that the soil
condition is normal in relation to salinity and sodicity hazards. The effective
cation exchange capacity (ECEC), soil organic matter (SOM), total nitrogen (TN),
and calcium ion (Ca2+) concentrations were low while the available phosphorus (P)
was moderate. The principal component analysis showed EC, ECEC, SAR, SOM, and TN
as the minimum data set (MDS) for monitoring and assessing the soil quality
status of this irrigation field. In terms of bio-chemical properties, the soil
quality index (SQI) of the field was average (about 0.543) while the sampling
locations were ranked as site 2 > site 4 > site 3 > site 1 in terms of SQI. The
results of this study are designated as baseline for future evaluation of soil
quality status of this irrigation field and further studies should incorporate
soil physical and more biological properties when considering overall soil
quality status.
PMID- 27896583
TI - Use of ultra-high spatial resolution aerial imagery in the estimation of
chaparral wildfire fuel loads.
AB - Development of methods that more accurately estimate spatial distributions of
fuel loads in shrublands allows for improved understanding of ecological
processes such as wildfire behavior and postburn recovery. The goal of this study
is to develop and test remote sensing methods to upscale field estimates of
shrubland fuel to broader-scale biomass estimates using ultra-high spatial
resolution imagery captured by a light-sport aircraft. The study is conducted on
chaparral shrublands located in eastern San Diego County, CA, USA. We measured
the fuel load in the field using a regression relationship between basal area and
aboveground biomass of shrubs and estimated ground areal coverage of individual
shrub species by using ultra-high spatial resolution imagery and image processing
routines. Study results show a strong relationship between image-derived shrub
coverage and field-measured fuel loads in three even-age stands that have regrown
approximately 7, 28, and 68 years since last wildfire. We conducted ordinary
least square analysis using ground coverage as the independent variable regressed
against biomass. The analysis yielded R 2 values ranging from 0.80 to 0.96 in the
older stands for the live shrub species, while R 2 values for species in the
younger stands ranged from 0.32 to 0.89. Pooling species-based data into larger
sample sizes consisting of a functional group and all-shrub classes while
obtaining suitable linear regression models supports the potential for these
methods to be used for upscaling fuel estimates to broader areal extents, without
having to classify and map shrubland vegetation at the species level.
PMID- 27896581
TI - Secondary metabolites of endophytic Xylaria species with potential applications
in medicine and agriculture.
AB - Fungal endophytes are important sources of bioactive secondary metabolites. The
genus Xylaria Hill (ex Schrank, 1789, Xylariaceae) comprises various endophytic
species associated to both vascular and non vascular plants. The secondary
metabolites produced by Xylaria species include a variety of volatile and non
volatile compounds. Examples of the former are sesquiterpenoids, esters, and
alcohols, among others; and of the latter we find terpenoids, cytochalasins,
mellein, alkaloids, polyketides, and aromatic compounds. Some of these
metabolites have shown potential activity as herbicides, fungicides, and
insecticides; others possess antibacterial, antimalarial, and antifungal
activities, or alpha-glucosidase inhibitory activity. Thus metabolites from
Xylaria are promising compounds for applications in agriculture for plague
control as biopesticides, and biocontrol agents; and in medicine, for example as
drugs for the treatment of infectious and non-infectious diseases. This review
seeks to show the great value of the secondary metabolites of Xylaria,
particularly in the agriculture and medicine fields.
PMID- 27896585
TI - Effect of an herbal mixture of Cinnamon Cortex, Persicae Semen, and Natril Sulfas
on collagen-induced arthritis and lipopolysaccharides-induced nuclear factor
kappa B signaling.
AB - OBJECTIVE: To investigate the anti-arthritic and anti-inflammatory effects of the
mixture of three herbal agents, Cinnamon Cortex, Persica Semen, and Natril Sulfas
(CPN), the major ingredients of Taoren Chengqi Decoction (). METHODS: Collagen
induced arthritis (CIA) was induced by immunization with bovine type II collagen
on day 1 and 21. DBA/1J mice were orally administered the water extract of CPN
(100 and 500 mg/kg) and indomethacin (1 mg/kg) or vehicle (water) 3 times per
week for 6 weeks. Arthritic symptoms were recorded on day 29, 31, 33, 36 and 38.
On sacrififi ce, serum was obtained for inflammatory markers and anti-collagen
antibodies as well as arthritic joints were obtained for histologic analysis. For
the evaluation of in vitro anti-inflammatory mechanism of CPN, peritoneal
macrophages were isolated from thioglycollate injected C57BL/6 mice and
stimulated with lipopolysaccharides (LPS) for 15 min in the presence of CPN
extract. Levels of inhibitor of NF-kappaB alpha isoform (IkappaBalpha), phospho
p38, phospho-C-Jun N-terminal kinases (JNK) and phospho-extracellular signal
regulated kinase 1/2 (ERK1/2) were detected by Western blot. RESULTS: Compared
with mice in CIA group, oral administration of CPN signififi cantly reduced the
clinical scores (P<0.05), histological analysis revealed the protective effect of
CPN on inflamed joints. Serum levels of the pro-inflammatory markers tumor
necrosis factor-alpha, interleukin-6 and prostaglandin E2, but not anti-collagen
antibodies, were significantly reduced (P<0.05). CPN did not affect the
activation of p38, JNK and ERK1/2 but inhibited LPS-induced IkappaBalpha
degradation, a required event prior to the translocation of NF-kappaB to the
nucleus. CONCLUSIONS: The ameliorating effect of CPN on arthritis progression
seems to be mediated by its anti-inflammatory effect, without affecting antibody
response. As a supplementary agent, CPN could be benefifi cial for treatment of
CIA.
PMID- 27896584
TI - Soil contamination assessment for Pb, Zn and Cd in a slag disposal area using the
integration of geochemical and microbiological data.
AB - Improper disposal of mining waste is still considered a global problem, and
further details on the contamination by potentially toxic metals are required for
a proper assessment. In this context, it is important to have a combined view of
the chemical and biological changes in the mining dump area. Thus, the objective
of this study was to evaluate the Pb, Zn and Cd contamination in a slag disposal
area using the integration of geochemical and microbiological data. Analyses of
soil organic matter (SOM), pH, Eh, pseudo-total concentration of metals,
sequential extraction and microbial community by polymerase chain reaction
denaturing gradient gel electrophoresis (PCR-DGGE) were conducted. Metal
availability was evaluated based on the geoaccumulation index (I geo), ecological
risk ([Formula: see text]), Risk Assessment Code (RAC) and experimental data, and
different reference values were tested to assist in the interpretation of the
indices. The soil pH was slightly acidic to neutral, the Eh values indicated
oxidized conditions and the average SOM content varied from 12.10 to 53.60 g kg
1. The average pseudo-total concentrations of metals were in the order of Zn > Pb
> Cd. Pb and Zn were mainly bound to the residual fraction and Fe-Mn oxides, and
a significant proportion of Cd was bound to the exchangeable and carbonate
fractions. The topsoil (0-20 cm) is highly contaminated (I geo) with Cd and has a
very high potential ecological risk ([Formula: see text]). Higher bacterial
diversity was mainly associated with higher metal concentrations. It is concluded
that the integration of geochemical and microbiological data can provide an
appropriate evaluation of mining waste-contaminated areas.
PMID- 27896586
TI - Effect of berberine on the ratio of high-molecular weight adiponectin to total
adiponectin and adiponectin receptors expressions in high-fat diet fed rats.
AB - OBJECTIVE: To assess the effects of berberine (BBR) on high-molecular weight
(HMW) adiponectin and adiponectin receptors (adipoR1/adipoR2) expressions in high
fat (HF) diet fed rats. METHODS: Forty Wistar male rats were randomly assigned
into a normal diet fed group and three HF diet (fat for 45% calories) fed groups
(n=10 for each group). All rats underwent 12 weeks of feeding. After 4 weeks
feeding, rats in the two of three HF diet fed groups were treated with 150 mg.kg
1.day-1 BBR (HF+LBBR group) and 380 mg.kg-1.day-1 BBR (HF+HBBR group) by gavage
once a day respectively for the next 8 weeks while the rats in other groups
treated with vehicle (NF+Veh and HF+Veh). Body weight and food intake were
observed and recorded on daily basis. At the end of 12 weeks, the blood, liver,
epididymal fat tissues and quadriceps femoris muscles were collected. Fasting
insulin, plasma fasting glucose, serum free fatty acid (FFA), total adiponectin
and HMW adiponectin levels were measured by enzyme linked immunosorbent assay
method. Glucose tolerance test (GTT) and insulin tolerance test (ITT) were
performed to determine the insulinsensitizing. Meanwhile the homeostasis model
assessment (HOMA) method was used to determine insulin resistance (HOMA-IR). The
expressions of adipoR1, adipoR2 and adenosine monophophate activated protein
kinase (AMPK) phosphorylation level in skeletal muscle and liver tissue were
detected by Western blot. Liver and kidney toxicity were evaluated during
treatment. RESULTS: The body weight of rats in high- or low-dose BBR group
reduced as well as HOMA-IR, FFA concentrations and fasting insulin levels
decreased compared with HF+Veh group (P<0.05). BBR also increased the ratio of
HMW to total adiponectin in high fat-fed rats compared with rats in the HF+Veh
group. High- and low-dose BBR increased adipoR1 expression in skeletal muscle by
over 6- and 2-fold (P<0.05), respectively, and high-dose BBR also increased
adipoR2 expression in liver tissue by over 2-fold (P<0.05). BBR significantly
increased AMPK phosphorylation in HF diet rats compared with normal diet rats
(P<0.05). The ratio of HMW to total adiponectin was inversely correlated with
HOMA-IR (r=-0.52, P=0.001). Meantime, no liver and kidney toxicity was found in
high fat-fed rats that treated by BBR. CONCLUSION: Berberine may improve insulin
resistance by increasing the expression of adiponectin receptors and the ratio of
HMW to total adiponectin.
PMID- 27896587
TI - Psychological and Behavioral Issues in the Management of Migraine in Children and
Adolescents.
AB - PURPOSE OF REVIEW: It is sometimes assumed that children and adolescents with
migraine have a psychiatric or behavioral comorbidity, a belief that can be
stigmatizing. This review will examine the recent literature addressing this area
to determine if pediatric and adolescent migraineurs are at increased risk for
psychiatric comorbidity and to discuss management strategies. RECENT FINDINGS: A
large systematic review of pediatric and adolescent studies concluded anxiety and
depression were not associated with onset of recurrent headaches. Children with
increasing migraine frequency have reduced school attendance. Pediatric
migraineurs have mildly lower quality of life (QOL) scores than healthy peers but
not abnormally low. Finally, children with higher migraine frequency as well as
migraineurs with aura were more likely to report suicidal ideation. Migraine is a
primary neurologic disorder. Migraine and psychiatric disorders may be comorbid;
however, at this time, it can be difficult to clearly delineate some migraine
features from psychiatric diagnoses with the current screening tools available.
The majority of pediatric migraineurs do not have behavioral comorbidities;
however, when such comorbidities occur, they should be addressed and
appropriately managed. We need more accurate ways of delineating psychiatric and
behavioral comorbidities from the migraine phenotype.
PMID- 27896588
TI - Electrosprayed Myocet-like Liposomes: An Alternative to Traditional Liposome
Production.
AB - PURPOSE: Although doxorubicin (DXR) has been on the market for many years as an
anti-cancer drug, a number of serious dose-limiting toxicities hinder its
widespread use. To reduce the known toxicities of soluble DXR, various liposomes
have been designed including Doxil, Caelyx, and Myocet. Myocet, a non-PEGylated
liposomal formulation containing DXR, was found to reduce the toxicities
associated with soluble DXR and has been used in Europe and Canada (but not the
US) as a first line therapy. While regarded as successful, Myocet does have some
formulation drawbacks including stability, drug release, and an arduous
formulation and remote loading method for preparation. METHODS: Our lab has
developed a liposomal electrospray process in which formulation and remote
loading occurs continuously in one step, cutting down on the total time of
production and increasing the drug retention in the liposomes with respect to
more conventional methods. Electrosprayed Myocet-like liposomes were then tested
in vitro for release kinetics and cytotoxicity with respect to a more
conventional formulation method. RESULTS: Myocet-like liposomes manufactured via
electrospray had similar DXR loadings, hydrodynamic diameters, morphologies, and
cytotoxic profiles as their thin-film hydration counterparts, but their release
profiles were drastically prolonged. CONCLUSIONS: Our findings indicate that
electrospray is a viable manufacturing procedure to scalably produce Myocet-like
liposomes that appear to be more stable than those formulated through thin-film
hydration.
PMID- 27896589
TI - Lipid Nanoparticles Loaded with an Antisense Oligonucleotide Gapmer Against Bcl-2
for Treatment of Lung Cancer.
AB - PURPOSE: Bcl-2 is an anti-apoptotic gene that is frequently overexpressed in
human cancers. G3139 is an antisense oligonucleotide against bcl-2 that has shown
limited efficacy in clinical trials. Here, we report the synthesis of a new
antisense oligonucleotide containing additional chemical modifications and its
delivery using nanoparticles. METHODS: An oligonucleotide G3139-GAP was
synthesized, which has 2'-O-methyl nucleotides at the 5' and 3' ends based on a
"gapmer" design. Furthermore, G3139-GAP was incorporated into lipid nanoparticles
(LNPs) composed of DOTAP/egg PC/cholesterol/Tween 80. The LNP-loaded G3139-GAP
was evaluated in A549 lung cancer cells both in vitro and in a murine xenograft
model for biological activity and therapeutic efficacy. RESULTS: The LNPs showed
excellent colloidal and serum stability, and high encapsulation efficiency for
G3139-GAP. They have a mean particle diameter and zeta potential of 134 nm and
9.59 mV, respectively. G3139-GAP-LNPs efficiently downregulated bcl-2 expression
in A549 cells, as shown by 40% and 83% reduction in mRNA and protein levels,
respectively. Furthermore, G3139-GAP-LNPs were shown to inhibit tumor growth,
prolong survival, and downregulate tumor bcl-2 expression in an A549 murine
xenograft tumor model. These data indicate that G3139-GAP-LNPs have excellent
anti-tumor efficacy and warrant further evaluation.
PMID- 27896590
TI - Improvement in Thermal Stability of Sucralose by gamma-Cyclodextrin Metal-Organic
Frameworks.
AB - PURPOSE: To explain thermal stability enhancement of an organic compound,
sucralose, with cyclodextrin based metal organic frameworks. METHODS: Micron and
nanometer sized basic CD-MOFs were successfully synthesized by a modified vapor
diffusion method and further neutralized with glacial acetic acid. Sucralose was
loaded into CD-MOFs by incubating CD-MOFs with sucralose ethanol solutions.
Thermal stabilities of sucralose-loaded basic CD-MOFs and neutralized CD-MOFs
were investigated using thermogravimetric analysis (TGA), differential scanning
calorimetry (DSC) and high performance liquid chromatography with evaporative
light-scattering detection (HPLC-ELSD). RESULTS: Scanning electron microscopy
(SEM) and powder X-ray diffraction (PXRD) results showed that basic CD-MOFs were
cubic crystals with smooth surface and uniform sizes. The basic CD-MOFs
maintained their crystalline structure after neutralization. HPLC-ELSD analysis
indicated that the CD-MOF crystal size had significant influence on sucralose
loading (SL). The maximal SL of micron CD-MOFs (CD-MOF-Micro) was 17.5 +/- 0.9%
(w/w). In contrast, 27.9 +/- 1.4% of sucralose could be loaded in nanometer-sized
basic CD-MOFs (CD-MOF-Nano). Molecular docking modeling showed that sucralose
molecules preferentially located inside the cavities of gamma-CDs pairs in CD
MOFs. Raw sucralose decomposed fast at 90 degrees C, with 86.2 +/- 0.2% of the
compound degraded within only 1 h. Remarkably, sucralose stability was
dramatically improved after loading in neutralized CD-MOFs, with only 13.7 +/-
0.7% degradation at 90 degrees C within 24 h. CONCLUSIONS: CD-MOFs efficiently
incorporated sucralose and maintained its integrity upon heating at elevated
temperatures.
PMID- 27896591
TI - Design and In Vitro Evaluation of Bispecific Complexes and Drug Conjugates of
Anticancer Peptide, LyP-1 in Human Breast Cancer.
AB - PURPOSE: LyP-1, a nine-amino-acid tumor homing peptide, selectively binds to its
cognate receptor, p32. Overexpression of p32 in certain tumors should allow use
of LyP-1 as a targeting agent for the delivery of therapeutic or diagnostic
agents. Peptide conjugates are developed for enhanced pre-targeting of MDA-MB-231
breast cancer cells with peptide-antibody bispecific complexes and targeting with
multiple-drug/-fluorophore-conjugated nano-polymers. METHODS: LyP-1-anti-DTPA
bispecific antibody complexes (LyP-1-bsAbCx) were generated by conjugation of
anti-DTPA antibody and LyP-1. LyP-1-doxorubicin (Dox), Dox-DTPA-succinyl
polylysine (Dox-DSPL), Dox-DSPL-LyP-1, DTPA-Dox-poly glutamic acid (D-Dox-PGA) or
DTPA-rhodamine conjugated polylysine (DSPL-RITC) were prepared. In vitro
therapeutic efficacy and targeting by immunofluorescence in MDA-MB-231 breast
cancer cells were assessed with Dox-LyP-1. Immunofluorescence visualization of
cancer cells was evaluated after pretargeting with LyP-1-bsAbCx and targeting
with DSPL-RITC. RESULTS: Cytotoxicity of Dox-LyP-1 conjugates was significantly
greater than free doxorubicin (p < 0.0001). For fluorescent-labeled LyP-1,
internalization occurred in 30 min in tumor cells. Fluorescence intensity of two
step targeted cells showed that pretargeting with LyP-1-bsAbC, followed by
targeting with DSPL-RITC was greater than non-pretargeted DSPL-RITC (p < 0.05).
CONCLUSIONS: Peptide-conjugates are effective targeting agents for MDA-MB-231
breast cancer cells in culture. LyP-1-bsAbCx and Dox-LyP-1 conjugates may allow
development of novel targeted cancer therapy and diagnosis.
PMID- 27896592
TI - Why Have Clinical Trials of Antioxidants to Prevent Neurodegeneration Failed? - A
Cellular Investigation of Novel Phenothiazine-Type Antioxidants Reveals Competing
Objectives for Pharmaceutical Neuroprotection.
AB - PURPOSE: Only a fraction of the currently established low-molecular weight
antioxidants exhibit cytoprotective activity in living cells, which is considered
a prerequisite for their potential clinical usefulness in Parkinson's disease or
stroke. Post hoc structure-activity relationship analyses have predicted that
increased lipophilicity and enhanced radical stabilization could contribute to
such cytoprotective activity. METHODS: We have synthesized a series of novel
phenothiazine-type antioxidants exhibiting systematic variation in their
lipophilicity and radical stabilization. Phenothiazine was chosen as lead
structure for its superior activity at baseline. The novel compounds were
evaluated for their neuroprotective potency in cell culture, and for their
primary molecular targets. RESULTS: Lipophilicity was associated with enhanced
cytoprotective activity, but only to a certain threshold (logP ~ 7).
Benzannulation likewise produced improved cytoprotectants that exhibited very low
EC50 values of ~8 nM in cultivated neuronal cells. Inhibition of global protein
oxidation was the best molecular predictor of cytoprotective activity, followed
by the inhibition of membrane protein autolysis. In contrast, the inhibition of
lipid peroxidation in isolated brain lipids and the suppression of intracellular
oxidant accumulation were poor predictors of cytoprotective activity, primarily
as they misjudged the cellular advantage of high lipophilicity. CONCLUSIONS:
Lipophilicity, radical stabilization and molecular weight appear to form an
uneasy triangle, in which a slightly faulty selection may readily abolish
neuroprotective activity.
PMID- 27896593
TI - Biochemical and oxidative stress markers in the liver and kidneys of rats
submitted to different protocols of anabolic steroids.
AB - The objective of this study was to evaluate the effects of different protocols
(P1, P2, and P3) of boldenone undecylenate (BU) and stanozolol (ST) on markers of
liver and kidney function and variables of oxidative stress in these organs. For
this, 54 male Wistar rats were divided into nine groups of six animals each. Each
animal received intramuscularly 5.0 mg kg-1 of BU or ST once a week for 4 weeks
(P1); 2.5 mg kg-1 of BU or ST once a week for 8 weeks (P2); and 1.25 mg kg-1 of
BU or ST once a week for 12 weeks (P3). For each protocol, a control group was
used, and they received 0.1 ml of olive oil intramuscularly. Blood and fragments
of liver and kidney were collected for alanine aminotransferase activity (ALT),
alkaline phosphatase, albumin, creatinine, cholesterol, total protein,
triglycerides, urea, reactive oxygen species, thiobarbituric acid reactive
substances, total thiols, and glutathione evaluation. The results show that the
BU in doses of 5 (day 30) and 2.5 mg kg-1 (day 60) changes the ALT seric
activity, possibly showing a hepatotoxic effect. High doses of BU may lead to
increased levels of cholesterol (protocol P1) possibly due to inhibition of the
normal steroid biosynthesis process. All protocols used caused changes in the
redox balance of the organs studied (except in the liver, protocol P2), which
indicates that these drugs might be harmful even at low doses.
PMID- 27896596
TI - Haemodynamic and functional consequences of the iatrogenic atrial septal defect
following Mitraclip therapy.
AB - Percutaneous MitraClip placement for treatment of severe mitral regurgitation in
high surgical risk patients is a commonly performed procedure and requires a
transseptal puncture to reach the left atrium. The resulting iatrogenic atrial
septal defect (iASD) is not routinely closed, yet the haemodynamic and functional
consequences of a persisting defect are not fully understood. Despite positive
effects such as acute left atrial pressure relief, persisting iASDs are
associated with negative consequences, namely significant bidirectional shunting
and subsequent worse clinical outcome. Percutaneous closure of the iASD may
therefore be desirable in selected cases. In this review we discuss the available
literature on this matter.
PMID- 27896594
TI - Global cerebral ischemia due to circulatory arrest: insights into cellular
pathophysiology and diagnostic modalities.
AB - Circulatory arrest (CA) remains a major unresolved public health problem in the
United States; the annual incidence of which is ~0.50 to 0.55 per 1000
population. Despite seminal advances in therapeutic approaches over the past
several decades, brain injury continues to be the leading cause of morbidity and
mortality after CA. In brief, CA typically results in global cerebral ischemia
leading to delayed neuronal death in the hippocampal pyramidal cells as well as
in the cortical layers. The dynamic changes occurring in neurons after CA are
still unclear, and predicting these neurological changes in the brain still
remains a difficult issue. It is hypothesized that the "no-flow" period produces
a cytotoxic cascade of membrane depolarization, Ca2+ ion influx, glutamate
release, acidosis, and resultant activation of lipases, nucleases, and proteases.
Furthermore, during reperfusion injury, neuronal death occurs due to the
generation of free radicals by interfering with the mitochondrial respiratory
chain. The efficacy of many pharmacological agents for CA patients has often been
disappointing, reflecting our incomplete understanding of this enigmatic disease.
The primary obstacles to the development of a neuroprotective therapy in CA
include uncertainties with regard to the precise cause(s) of neuronal dysfunction
and what to target. In this review, we summarize our knowledge of the
pathophysiology as well as specific cellular changes in brain after CA and
revisit the most important neurofunctional, neuroimaging techniques, and serum
biomarkers as potent predictors of neurologic outcome in CA patients.
PMID- 27896597
TI - Noradrenergic inhibition of spinal hyperexcitation elicited by cutaneous cold
stimuli in rats with oxaliplatin-induced allodynia: electrophysiological and
behavioral assessments.
AB - We investigated the spinal action of noradrenaline on cold-elicited
hyperexcitation detected in dorsal horn neurons of rats with allodynia induced by
an oxaliplatin (6 mg/kg, i.p.) injection. In vivo extracellular recordings from
the spinal dorsal horn showed that wide dynamic range neurons responded to
cutaneous acetone (10 MUl) stimulation in normal rats, and cold-elicited firings
in oxaliplatin-administered rats were increased with a longer duration,
correlated with behavioral responses. These responses were significantly
attenuated by spinal administration (50 MUM) of noradrenaline or its agonists,
clonidine (alpha2), phenylephrine (alpha1) and isoprenaline (beta), in descending
order of efficacy. Thus, the inhibitory effect of noradrenaline on spinal
oxaliplatin-induced cold hyperexcitation is mediated mainly by activation of
alpha2- and/or alpha1-adrenoceptors.
PMID- 27896598
TI - Bacterial and fungal biofilm formation on anodized titanium alloys with fluorine.
AB - Orthopaedic device-related infections are closely linked to biofilm formation on
the surfaces of these devices. Several modified titanium (Ti-6Al-4V) surfaces
doped with fluorine were studied in order to evaluate the influence of these
modifications on biofilm formation by Gram-positive and Gram-negative bacteria as
well as a yeast. The biofilm studies were performed according to the standard
test method approved by ASTM (Designation: E2196-12) using the Rotating Disk
Reactor. Four types of Ti-6Al-4V samples were tested; chemically polished (CP),
two types of nanostructures containing fluorine, nanoporous (NP) and nanotubular
(NT), and non-nanostructured fluorine containing samples (fluoride barrier
layers, FBL). Different species of Gram-positive cocci, (Staphylococcus aureus
and epidermidis), Gram-negative rods (Escherichia coli, Pseudomonas aeruginosa),
and a yeast (Candida albicans) were studied. For one of the Gram-positive (S.
epidermidis) and one of the Gram-negative (E. coli) species a statistically
significant decrease in biofilm accumulation for NP and NT samples was found when
compared with the biofilm accumulation on CP samples. The results suggest an
effect of the modified materials on the biofilm formation.
PMID- 27896599
TI - Inflammatory cell response to ultra-thin amorphous and crystalline hydroxyapatite
surfaces.
AB - It has been suggested that surface modification with a thin hydroxyapatite (HA)
coating enhances the osseointegration of titanium implants. However, there is
insufficient information about the biological processes involved in the HA
induced response. This study aimed to investigate the inflammatory cell response
to titanium implants with either amorphous or crystalline thin HA. Human
mononuclear cells were cultured on titanium discs with a machined surface or with
a thin, 0.1 MUm, amorphous or crystalline HA coating. Cells were cultured for 24
and 96 h, with and without lipopolysaccharide (LPS) stimulation. The surfaces
were characterized with respect to chemistry, phase composition, wettability and
topography. Biological analyses included the percentage of implant-adherent cells
and the secretion of pro-inflammatory cytokine (TNF-alpha) and growth factors
(BMP-2 and TGF-beta1). Crystalline HA revealed a smooth surface, whereas the
amorphous HA displayed a porous structure, at nano-scale, and a hydrophobic
surface. Higher TNF-alpha secretion and a higher ratio of adherent cells were
demonstrated for the amorphous HA compared with the crystalline HA. TGF-beta1
secretion was detected in all groups, but without any difference. No BMP-2
secretion was detected in any of the groups. The addition of LPS resulted in a
significant increase in TNF-alpha in all groups, whereas TGF-beta1 was not
affected. Taken together, the results show that thin HA coatings with similar
micro-roughness but a different phase composition, nano-scale roughness and
wettability are associated with different monocyte responses. In the absence of
strong inflammatory stimuli, crystalline hydroxyapatite elicits a lower
inflammatory response compared with amorphous hydroxyapatite.
PMID- 27896600
TI - Bacterial metabolites from intra- and inter-species influencing thermotolerance:
the case of Bacillus cereus and Geobacillus stearothermophilus.
AB - Bacterial metabolites with communicative functions could provide protection
against stress conditions to members of the same species. Yet, information
remains limited about protection provided by metabolites in Bacillus cereus and
inter-species. This study investigated the effect of extracellular compounds
derived from heat shocked (HS) and non-HS cultures of B. cereus and Geobacillus
stearothermophilus on the thermotolerance of non-HS vegetative and sporulating B.
cereus. Cultures of B. cereus and G. stearothermophilus were subjected to HS (42
or 65 degrees C respectively for 30 min) or non-HS treatments. Cells and
supernatants were separated, mixed in a combined array, and then exposed to 50
degrees C for 60 min and viable cells determined. For spores, D values (85 and 95
degrees C) were evaluated after 120 h. In most cases, supernatants from HS B.
cereus cultures added to non-HS B. cereus cells caused their thermotolerance to
increase (D 50 12.2-51.9) when compared to supernatants from non-HS cultures (D
50 7.4-21.7). While the addition of supernatants from HS and non-HS G.
stearothermophilus cultures caused the thermotolerance of non-HS cells from B.
cereus to decrease initially (D 50 3.7-7.1), a subsequent increase was detected
in most cases (D 50 18-97.7). In most cases, supernatants from sporulating G.
stearothermophilus added to sporulating cells of B. cereus caused the
thermotolerance of B. cereus 4810 spores to decline, whereas that of B. cereus
14579 increased. This study clearly shows that metabolites in supernatants from
either the same or different species (such as G. stearothermophilus) influence
the thermotolerance of B. cereus.
PMID- 27896602
TI - A Review of the Scientific Misconduct Inquiry Process, Ankara Chamber of
Medicine, Turkey.
AB - The aim of this study is to review the inquiry process used in scientific
misconduct cases in the Ankara Chamber of Medicine between the years 1998 and
2012. The violations of the "Disciplinary Regulations of the Turkish Medical
Association" have been examined by keeping the names of the people, institutions,
associations and journals secret. In total, 31 files have been studied and 11 of
these files have been identified as related to scientific misconduct. The methods
of inquiry, the decisions about the need for an investigation process, the types
of scientific misconduct, and the adjudication processes have all been reported.
Furthermore, the motives of researchers who made allegations, the study
approaches of investigators, and the objections to the decisions about guilt and
innocence have also been examined. Based on the findings obtained, the reasons
for scientific misconduct and the distribution of responsibilities among the
people in the inquiry process have been discussed. A major conclusion is the need
to standardize the process of conducting inquiries about scientific misconduct
cases for the regional chambers of medicine in Turkey.
PMID- 27896601
TI - How are Editors Selected, Recruited and Approved?
AB - The editors of scholarly journals have a duty to uphold and promote the highest
standards of ethical conduct of research. They also have a responsibility to
maintain the integrity of the literature, and to promote transparency and honesty
in reporting research findings. In the process of screening manuscripts they
receive for possible publication, editors have the obligation to report
infractions to the institutions of offending authors, and request an
investigation. Since editors can reject a paper on ethical grounds, they can be
considered to be the guardians of ethics who should express high ethical
standards in conducting and publishing their own research. An examination of
several publishers' websites reveals no such requirement or clear selection
criteria for journal editors. Therefore, we aim to discuss the factors that
publishers, in a broad sense, should consider when selecting editors for
scholarly journals and believe that such criteria should be made public to ensure
accountability. This would restore some of the eroding public trust in
disseminated research, fortify confidence in the composition and qualification of
members of an editorial board, and help to protect the reputations of publishers
and editors.
PMID- 27896603
TI - Unethical Admissions: Academic Integrity in Question.
AB - The increasing unethical practices of graduates' admissions have heightened
concerns about the integrity of the academy. This article informs this important
subject that affects the students, admission systems, and the entire scientific
community, thus, representing an approach against scholarly black market
activities including falsified documents and unethical practices by consultants
and students' recruitment agencies.
PMID- 27896604
TI - Plagiarism in Student Research: Responsibility of the Supervisors and Suggestions
to Ensure Plagiarism Free Research.
AB - Plagiarism is a serious threat plaguing the research in publication of science
globally. There is an increasing need to address the issue of plagiarism
especially among young researchers in the developing part of the world.
Plagiarism needs to be earnestly discouraged to ensure a plagiarism free research
environment. We provide further suggestions to combat student plagiarism at
Master's level and the regulations/guidelines regarding plagiarism in India.
PMID- 27896605
TI - Personalized Medicine in a New Genomic Era: Ethical and Legal Aspects.
AB - The genome of two completely unrelated individuals is quite similar apart from
minor variations called single nucleotide polymorphisms which contribute to the
uniqueness of each and every person. These single nucleotide polymorphisms are of
great interest clinically as they are useful in figuring out the susceptibility
of certain individuals to particular diseases and for recognizing varied
responses to pharmacological interventions. This gives rise to the idea of
'personalized medicine' as an exciting new therapeutic science in this genomic
era. Personalized medicine suggests a unique treatment strategy based on an
individual's genetic make-up. Its key principles revolve around applied pharmaco
genomics, pharmaco-kinetics and pharmaco-proteomics. Herein, the ethical and
legal aspects of personalized medicine in a new genomic era are briefly
addressed. The ultimate goal is to comprehensively recognize all relevant forms
of genetic variation in each individual and be able to interpret this information
in a clinically meaningful manner within the ambit of ethical and legal
considerations. The authors of this article firmly believe that personalized
medicine has the potential to revolutionize the current landscape of medicine as
it makes its way into clinical practice.
PMID- 27896606
TI - A 'Knowledge Ecologies' Analysis of Co-designing Water and Sanitation Services in
Alaska.
AB - Willingness to collaborate across disciplinary boundaries is necessary but not
sufficient for project success. This is a case study of a transdisciplinary
project whose success was constrained by contextual factors that ultimately
favoured technical and scientific forms of knowledge over the cultural
intelligence that might ensure technical solutions were socially feasible. In
response to Alaskan Water and Sewer Challenge (AWSC), an international team with
expertise in engineering, consultative design and public health formed in 2013 to
collaborate on a two-year project to design remote area water and sanitation
systems in consultation with two native Alaskan communities. Team members were
later interviewed about their experiences. Project processes are discussed using
a 'Knowledge Ecology' framework, which applies principles of ecosystems analysis
to knowledge ecologies, identifying the knowledge equivalents of 'biotic' and
'abiotic' factors and looking at their various interactions. In a positivist
'knowledge integration' perspective, different knowledges are like Lego blocks
that combine with other 'data sets' to create a unified structure. The knowledge
ecology framework highlights how interactions between different knowledges and
knowledge practitioners ('biotic factors') are shaped by contextual ('abiotic')
factors: the conditions of knowledge production, the research policy and funding
climate, the distribution of research resources, and differential access to
enabling infrastructures (networks, facilities). This case study highlights the
importance of efforts to negotiate between different knowledge frameworks,
including by strategic use of language and precepts that help translate social
research into technical design outcomes that are grounded in social reality.
PMID- 27896607
TI - Obsolete Laws: Economic and Moral Aspects, Case Study-Composting Standards.
AB - From the early days of philosophy, ethics and justice, there is wide consensus
that the constancy of the laws establishes the legal system. On the other hand,
the rate at which we accumulate knowledge is gaining speed like never before. Due
to the recently increased attention of academics to climate change and other
environmental issues, a lot of new knowledge has been obtained about carbon
management, its role in nature and mechanisms regarding the formation and
degradation of organic matter. A multidisciplinary techno-economic assessment of
current composting standards and laws that took into account the current state of
knowledge about carbon management was carried out as a case study. Economic and
environmental damage caused by outdated laws was revealed. In addition, it was
found that the introduction of the best composts into the market is permitted,
causing additional negative environmental as well as economic impacts.
PMID- 27896608
TI - Developing Tools to Counteract and Prevent Suicide Bomber Incidents: A Case Study
in Value Sensitive Design.
AB - Developers and designers make all sorts of moral decisions throughout an
innovation project. In this article, we describe how teams of developers and
designers engaged with ethics in the early phases of innovation based on case
studies in the SUBCOP project (SUBCOP stands for 'SUicide Bomber COunteraction
and Prevention'). For that purpose, Value Sensitive Design (VSD) will be used as
a reference. Specifically, we focus on the following two research questions: How
can researchers/developers learn about users' perspectives and values during the
innovation process? and How can researchers/developers take into account these
values, and related design criteria, in their decision-making during the
innovation process? Based on a case study of several innovation processes in this
project, we conclude the researchers/developers involved are able to do something
similar to VSD (without them knowing about VSD or calling it 'VSD'), supported by
relatively simple exercises in the project, e.g., meetings with potential end
users and discussions with members of the Ethical Advisory Board of the project.
Furthermore, we also found-possibly somewhat counterintuitively-that a
commercial, with its focus on understanding and satisfying customers' needs, can
promote VSD.
PMID- 27896609
TI - Moral Polemics of Far-Reaching Economic Consequences of Antibiotics Overuse.
AB - The unethical overuse of antibiotics to seek to achieve a shortening of the
treatment period raises the cost of health services and poses a threat to
humanity due to the gradual development of antibiotic resistance. Other
consequences of our modern passion for antibiotics have appeared. Small
concentrations of antibiotic residues in sewage waters slow down the metabolism
of anaerobic microorganism thereby reducing the overall performance of the
anaerobic fermentation used to detoxify and digest sewage and other collected
organic wastes. Reduced biogas yields represents a serious threat to the energy
self-sufficiency of some waste-water treatment plants, so it might change them
from energy producers into energy consumers. Morally justifiable production of
renewable energy from bio-waste is also threatened by antibiotic residues that
remain in the bio-waste.
PMID- 27896610
TI - Limited Awareness of the Essences of Certification or Compliance Markings on
Medical Devices.
AB - Medical devices have been long used for odiagnostic, therapeutic or
rehabilitation purposes. Currently, they can range from a low-cost portable
device that is often used for personal health monitoring to high-end
sophisticated equipment that can only be operated by trained professionals.
Depending on the functional purposes, there are different certification or
compliance markings on the device when it is sold. One common certification
marking is the Conformite Europeenne affixation but this has a range of
certification mark numbering for a variety of functional purposes. While the
regulators and medical device manufacturers understand the associated
significance and clinical implications, these may not be apparent to the
professionals (using or maintaining the device) and the general public. With
portable healthcare devices and mobile applications gaining popularity, better
awareness of certification marking will be needed. Particularly, there are
differences in the allowed functional purposes and the associated cost
derivations of devices with a seemingly similar nature. A preferred approach such
as an easy-to-understand notation next to any certification marking on a device
can aid in differentiation without the need to digest mountainous regulatory
details.
PMID- 27896611
TI - Is Ignorance of Climate Change Culpable?
AB - Sometimes ignorance is an excuse. If an agent did not know and could not have
known that her action would realize some bad outcome, then it is plausible to
maintain that she is not to blame for realizing that outcome, even when the act
that leads to this outcome is wrong. This general thought can be brought to bear
in the context of climate change insofar as we think (a) that the actions of
individual agents play some role in realizing climate harms and (b) that these
actions are apt targets for being considered right or wrong. Are agents who are
ignorant about climate change and the way their actions contribute to it excused
because of their ignorance, or is their ignorance culpable? In this paper I
examine these questions from the perspective of recent developments in the
theories of responsibility for ignorant action and characterize their verdicts.
After developing some objections to existing attempts to explore these questions,
I characterize two influential theories of moral responsibility and discuss their
implications for three different types of ignorance about climate change. I
conclude with some recommendations for how we should react to the face of the
theories' conflicting verdicts. The answer to the question posed in the title,
then, is: "Well, it's complicated."
PMID- 27896612
TI - Why Tu Youyou Makes Less Money Than Zhang Ziyi?
AB - Scientists normally earn less money than many other professions which require a
similar amount of training and qualification. The economic theory of marginal
utility and cost-benefit analysis can be applied to explain this phenomenon.
Although scientists make less money than entertainment stars, the scientists do
research work out of their interest and they also enjoy a much higher reputation
and social status in some countries.
PMID- 27896613
TI - New Issues for New Methods: Ethical and Editorial Challenges for an Experimental
Philosophy.
AB - This paper examines a constellation of ethical and editorial issues that have
arisen since philosophers started to conduct, submit and publish empirical
research. These issues encompass concerns over responsible authorship, fair
treatment of human subjects, ethicality of experimental procedures, availability
of data, unselective reporting and publishability of research findings. This
study aims to assess whether the philosophical community has as yet successfully
addressed such issues. To do so, the instructions for authors, submission process
and published research papers of 29 main journals in philosophy have been
considered and analyzed. In light of the evidence reported here, it is argued
that the philosophical community has as yet failed to properly tackle such
issues. The paper also delivers some recommendations for authors, reviewers and
editors in the field.
PMID- 27896614
TI - Modulatory effects of Zn2+ ions on the toxicity of citrate- and PVP-capped gold
nanoparticles towards freshwater algae, Scenedesmus obliquus.
AB - Gold nanoparticles (GNPs) are widely used for medical purposes, both in
diagnostics as well as drug delivery, and hence are prone to release and
distribution in the environment. Thus, we have explored the effects of GNPs with
two distinct surface capping (citrate and PVP), and three different sizes (16,
27, and 37 nm) at 0.01-, 0.1-, and 1-mg L-1 concentrations on a predominant
freshwater alga Scenedesmus obliquus in the sterile freshwater matrix. We have
also investigated how an abundant metal ion from freshwater, i.e., Zn2+ ions may
modulate the effects of the selected GNPs (40 nm, citrate, and PVP capped).
Preliminary toxicity results revealed that gold nanoparticles were highly toxic
in comparison to zinc ions alone. A significant modulation in the toxicity of Zn
ions was not noticed in the presence of GNPs. In contrast, zinc ions minimized
the toxicity produced by GNPs (both CIT-37 and PVP-37), despite its individual
toxicity. Approximately, about 42, 33, and 25% toxicity reduction was noted at
0.05-, 0.5-, and 5-mg L-1 Zn ions, respectively, for CIT-37 GNPs, while 31% (0.05
mg L-1), 24% (0.5 mg L-1), and 9% (5 mg L-1) of toxicity reduction were noted for
PVP-37 GNPs. Maximum toxicity reduction was seen at 0.05 mg L-1 of Zn ions.
Abbott modeling substantiated antagonistic effects offered by Zn2+ ions on GNPs.
Stability and sedimentation data revealed that the addition of zinc ions
gradually induced the aggregation of NPs and in turn significantly reduced the
toxicity of GNPs. Thus, the naturally existing ions like Zn2+ have an ability to
modulate the toxicity of GNPs in a real-world environment scenario.
PMID- 27896615
TI - Bioprospecting hot spring metagenome: lipase for the production of biodiesel.
AB - Screening of metagenomic library from Taptapani Hot Spring (Odisha) yielded a
positive lipase clone (pUC-lip479). Sequence analysis showed an ORF (RK-lip479)
of 416 amino acid residues which was overexpressed in Escherichia coli BL21
(DE3). Optimum pH and temperature of purified lipase RK-lip479 were 8.0 and 65
degrees C, respectively, and found to be stable over a pH range of 7.0-9.0 and
temperatures 55-75 degrees C. RK-lip479 could hydrolyse a wide range of 4
nitrophenyl esters (4-nitrophenyoctanoate, 4-nitrophenyldodecanoate, 4
nitrophenylpalmitate, 4-nitrophenylmyristate and 4-nitrophenylstearate), and
maximum activity was observed with 4-nitrophenyldodecanoate. RK-lip479 was
resistant to many organic solvents, especially isopropanol, DMSO, methanol, DMF,
ethanol, dichloromethane, acetone, glycerol and ethyl acetate. RK-lip479 also
showed activity in the presence of monovalent (Na+ and K+), divalent (Mg2+, Mn2+,
Ca2+, Hg2+, Cu2+, Co2+, Zn2+ and Ag2+ ) and trivalent cations (Fe3+ and Al3+).
Yield of biodiesel production was in the range of 40-76% using various waste oils
with RK-Lip479 under optimized conditions.
PMID- 27896616
TI - Seasonal effects of pre-aeration on microbial processes for nitrogen removal in
constructed wetlands.
AB - Seasonal effects of pre-aeration on microbial nitrogen performance in constructed
wetlands (CWs) involved with anaerobic ammonium oxidation (anammox) process were
investigated in this study. Slow natural re-aeration rate was the inhibiting
factor for total nitrogen removal in CW without pre-aeration, and partial
nitrification was the main way for nitrite generation. Besides partial
nitrification, pre-aeration provided nitrite generation in CWs with an
alternative way: nitrate reduction. Advantage of pre-aeration of influent was
much different under various temperature ranges. Mean temperature of 15 degrees
C seemed to be the turning point. With a mean temperature of or higher than 15
degrees C, nitrate in the influent effectively improved nitrogen removal in CWs.
With a mean temperature lower than 15 degrees C, the nitrate reduction process
in CWs was greatly inhibited. The benefit of pre-aeration was weak under this
temperature range. Seasonal aeration pattern for the pre-treatment of HSSF CWs
might be a more energy-saving alternative in in-suit domestic sewage treatment.
PMID- 27896617
TI - Comprehensive DNA Methylation and Mutation Analyses Reveal a Methylation
Signature in Colorectal Sessile Serrated Adenomas.
AB - Colorectal sessile serrated adenomas (SSA) are hypothesized to be precursor
lesions of an alternative, serrated pathway of colorectal cancer, abundant in
genes with aberrant promoter DNA hypermethylation. In our present pilot study, we
explored DNA methylation profiles and examined selected gene mutations in SSA.
Biopsy samples from patients undergoing screening colonoscopy were obtained
during endoscopic examination. After DNA isolation and quality analysis, SSAs (n
= 4) and healthy controls (n = 5) were chosen for further analysis. DNA
methylation status of 96 candidate genes was screened by q(RT)PCR using Methyl
Profiler PCR array system. Amplicons for 12 gene mutations were sequenced by GS
Junior Instrument using ligated and barcoded adaptors. Analysis of DNA
methylation revealed 9 hypermethylated genes in both normal and SSA samples. 12
genes (CALCA, DKK2, GALR2, OPCML, PCDH10, SFRP1, SFRP2, SLIT3, SST, TAC1, VIM,
WIF1) were hypermethylated in all SSAs and 2 additional genes (BNC1 and PDLIM4)
were hypermethylated in 3 out of 4 SSAs, but in none of the normal samples. 2
SSAs exhibited BRAF mutation and synchronous MLH1 hypermethylation and were
microsatellite instable by immunohistochemical analysis. Our combined mutation
and DNA methylation analysis revealed that there is a common DNA methylation
signature present in pre-neoplastic SSAs. This study advocates for the use of DNA
methylation as a potential biomarker for the detection of SSA; however, further
investigation is needed to better characterize the molecular background of these
newly recognized colorectal lesions.
PMID- 27896619
TI - DQB1*060101 may contribute to susceptibility to immunoglobulin A nephropathy in
southern Han Chinese.
AB - Immunoglobulin A nephropathy (IgAN) is a common form of chronic
glomerulonephritis with unknown pathogenesis. Accumulating evidences have shown
the ethnic-specific association between certain human leukocyte antigen (HLA)
alleles and IgAN susceptibility. This study was designed to explore the
relationship between HLA-DQB1 alleles and disease susceptibility and clinical
manifestations of patients with IgAN in southern Han Chinese. A PCR sequence
based typing technique was used to detect HLA-DQB1 alleles in 217 IgAN patients
and 229 healthy subjects. Clinical data were collected from each patient at the
time of renal biopsy. Twenty HLA-DQB1 alleles were detected in IgAN patients and
healthy subjects. High frequency of HLA-DQB1* 060101 and low frequency of HLA
DQB1*030101 were observed in IgAN patients compared with healthy controls.
Further stratification analysis revealed that the frequency of DQB1*060101 was
significantly higher in patients with urine protein >= 1.0 g/24 h than in
patients with urine protein < 1.0 g/24 h. In combination with our previous DRB1
results, we also analyzed the association of DRB1-DQB1 haplotypes with IgAN. We
found that the frequency of haplotype DRB1*090102-DQB1*060101 was significantly
higher [odds ratio (OR) = 4.409, Pc = 0.016], whereas that of HLA-DRB1*070101
DQB1*020101 was significantly lower (OR = 0.194, Pc = 0.016) compared with
healthy controls. Our study indicated that HLA-DQB1*060101 alleles may be a
potential predictor of high-risk IgAN susceptibility in Chinese Han population.
PMID- 27896618
TI - Loss of liver kinase B1 causes planar polarity defects in cochlear hair cells in
mice.
AB - The tumor suppressor gene liver kinase B1 (LKB1), also called STK11, encodes a
serine/threonine kinase. LKB1 plays crucial roles in cell differentiation,
proliferation, and polarity. In this study, LKB1 conditional knockout mice
(LKB1Pax2 CKO mice) were generated using Pax2-Cre mice to investigate the
function of LKB1 in inner ear hair cells during early embryonic period. LKB1Pax2
CKO mice died perinatally. Immunofluorescence and scanning electron microscopy
revealed that stereociliary bundles in LKB1Pax2 CKO mice were clustered and
misoriented, respectively. Moreover, ectopic distribution of kinocilium bundles
resulting from abnormal migration of kinocilium was observed in the mutant mice.
The orientation of stereociliary bundles and the migration of kinocilia are
critical indicators of planar cell polarity (PCP) of hair cells. LKB1 deficiency
in LKB1Pax2 CKO mice thus disrupted hair cell planar polarity during embryonic
development. Our results suggest that LKB1 is required in PCP formation in
cochlear hair cells in mice.
PMID- 27896620
TI - Antimicrobial activity of topical agents against Propionibacterium acnes: an in
vitro study of clinical isolates from a hospital in Shanghai, China.
AB - This study aimed to compare the antimicrobial activities of topical agents
against Propionibacterium acnes isolated from patients admitted to a hospital in
Shanghai, China. The minimal inhibitory concentrations of the cultured P. acnes
were determined in accordance with the Clinical and Laboratory Standards
Institute. Susceptibilities to clindamycin and erythromycin were compared in
terms of gender, age, disease duration, previous treatment, and disease severity.
A total of 69 P. acnes strains were isolated from 98 patients (70.41%). The
susceptibility to triple antibiotic ointment (neomycin/bacitracin/polymyxin B)
and bacitracin was 100%. The susceptibility to fusidic acid was 92.7%. The
resistance rates to neomycin sulfate, erythromycin, and clindamycin were 11.7%,
49.3%, and 33.4%, respectively. The high resistance rate to clindamycin and
erythromycin was significantly affected by gender, previous treatment, and
disease severity rather than by age and disease duration. Topical antibiotics
should not be used separately for long-term therapy to avoid multiresistance. The
use of topical antibiotics should be determined by clinicians on the basis of
clinical conditions.
PMID- 27896621
TI - Distinct roles of ASIC3 and TRPV1 receptors in electroacupuncture-induced
segmental and systemic analgesia.
AB - Previous studies have demonstrated the effects of different afferent fibers on
electroacupuncture (EA)-induced analgesia. However, contributions of functional
receptors expressed on afferent fibers to the EA analgesia remain unclear. This
study investigates the roles of acid-sensing ion channel 3 (ASIC3) and transient
receptor potential vanilloid 1 (TRPV1) receptors in EA-induced segmental and
systemic analgesia. Effects of EA at acupoint ST36 with different intensities on
the C-fiber reflex and mechanical and thermal pain thresholds were measured among
the ASIC3-/-, TRPV1-/-, and C57BL/6 mice. Compared with C57BL/6 mice, the
ipsilateral inhibition of EA with 0.8 C-fiber threshold (0.8Tc) intensity on C
fiber reflex was markedly reduced in ASIC3-/- mice, whereas the bilateral
inhibition of 1.0 and 2.0Tc EA was significantly decreased in TRPV1-/- mice. The
segmental increase in pain thresholds induced by 0.3 mA EA was significantly
reduced in ASIC3-/- mice, whereas the systemic enhancement of 1.0 mA EA was
markedly decreased in TRPV1-/- mice. Thus, segmental analgesia of EA with lower
intensity is partially mediated by ASIC3 receptor on Abeta-fiber, whereas
systemic analgesia induced by EA with higher intensity is more likely induced by
TRPV1 receptor on Adelta- and C-fibers.
PMID- 27896623
TI - Effect of the new maternity insurance scheme on medical expenditures for
caesarean delivery in Wuxi, China: a retrospective pre/post-reform case study.
AB - Aiming to control rising medical expenditures and help improve China's healthcare
systems, this study examined whether a cap-based medical insurance scheme with
shared financial interest between the insurance and healthcare providers is
effective in containing hospitals' C-section medical expenditures. We used 6547
caesarean delivery case records from a teaching tertiary-level general public
hospital located in Wuxi, China (2004-2013), and used the Chow test to
investigate the possibility of significant variation in mean medical expenditures
for caesarean deliveries pre- and post-reform. We also used paired sample t-tests
and linear regression models to compare the mean medical expenditures between
insured and uninsured women undergoing caesarean delivery during the post-reform
period. After the scheme's implementation, medical expenditures for caesarean
deliveries declined and the medical expenditures of women covered by the scheme
were significantly lower than those of uninsured patients. These findings
indicated the scheme's effectiveness in minimizing caesarean delivery
expenditures. The cap-based medical insurance scheme with shared financial
interest between insurance and healthcare providers would likely steer healthcare
providers' behaviors in a more cost-effective direction.
PMID- 27896622
TI - Caveolin proteins: a molecular insight into disease.
AB - Caveolae are a kind of specific cystic structures of lipid rafts in the
cytoplasmic membrane and are rich in cholesterol and sphingolipids. In recent
years, many researchers have found that both caveolins and caveolae play a role
in the development of various human diseases, including coronary heart disease,
hypertension, and nervous system disorders. The specific mechanisms by which
caveolins induce diseases have been a topic of interest. However, a number of
detailed molecular mechanisms remain poorly understood. This article focuses on
the relationship between caveolin proteins and human diseases and reviews the
molecular mechanisms of caveolins in disease networks.
PMID- 27896624
TI - Autologous bone marrow stem cell transplantation for the treatment of ulcerative
colitis complicated with herpes zoster: a case report.
AB - Ulcerative colitis (UC) is a chronic inflammatory bowel disease with continuous
or recurrent symptoms. A 42-year-old male patient with intermittent diarrhea
accompanied by bloody mucopurulent stools was admitted to our hospital. The
diagnosis of UC was confirmed by a combination of laboratory examination,
colonoscopy, and histological assay. The patient developed herpes zoster in the
hospital, which challenged traditional treatments. Therefore, we performed an
autologous bone marrow cells to modulate the immune system with his permission.
Autologous bone marrow mononuclear cells were collected and injected locally into
the bowel mucosa, and subsequently injected systemically through a peripheral
vein. After the patient underwent auto bone marrow mononuclear cells
transplantations twice, the patient's symptoms were alleviated. Furthermore, he
recovered from hematochezia, and his hypersensitive C reactive protein decreased.
Colonoscopy results showed reduced lesions and decreased areas with bleeding and
edema in the sigmoid colon and rectum. No recurrence occurred in the subsequent
two years, but long-time monitoring is still necessary for the prophylaxis of
colorectal cancer.
PMID- 27896626
TI - Cheyne-stokes respiration during wakefulness in patients with chronic heart
failure.
AB - PURPOSE: Cheyne-Stokes respiration (CSR) during sleep has been studied
extensively in patients with chronic heart failure (CHF). Prevalence and
prognostic significance of CSR during wakefulness in CHF, however, are largely
unknown. METHODS: CSR during wakefulness with an apnea-hypopnea cut-off >=5/h and
moderate to severe CSR with an apnea-hypopnea cutoff >=15/h were analyzed using
polysomnographic recordings in 267 patients with stable CHF with reduced left
ventricular (LV) ejection fraction at our institution. Primary endpoint during
follow-up was heart transplant-free survival. RESULTS: Fifty of 267 patients
(19%) had CSR during wakefulness and 73 of 267 patients (27%) had CSR during
sleep. CSR during wakefulness was associated with advanced age, atrial
fibrillation, decreased LV ejection fraction, increased LV end-diastolic
diameter, brain natriuretic peptide, New York Heart Failure class, and CSR during
sleep. During 43 months mean follow-up, 67 patients (25%) died and 4 patients
(1%) underwent heart transplantation. Multivariate Cox analysis identified age,
male gender, chronic kidney disease, and LV ejection fraction as predictors of
reduced transplant-free survival. CSR during wakefulness with an apnea-hypopnea
cutoff >=5/h as well as moderate to severe CSR while awake using an apnea
hypopnea cutoff >=15/h did not predict reduced transplant-free survival
independently from confounding factors. CONCLUSION: CSR during wakefulness
appears to be a marker of heart failure severity.
PMID- 27896625
TI - MRI Characterization in the Acute Phase of Experimental Subarachnoid Hemorrhage.
AB - A number of mechanisms have been proposed for the early brain injury after
subarachnoid hemorrhage (SAH). In this study, we investigated the radiographic
characteristics and influence of gender on early brain injury after experimental
SAH. SAH was induced by endovascular perforation in male and female rats.
Magnetic resonance imaging was performed in a 7.0-T Varian MR scanner at 24 h
after SAH. The occurrence and size of T2 lesions, ventricular dilation, and white
matter injury (WMI) were determined on T2-weighted images (T2WI). The effects of
SAH on heme oxygenase-1 and fibrin/fibrinogen were examined by Western blotting
and immunohistochemistry. SAH severity was assessed using a MRI grading system,
and neurological function was evaluated according to a modified Garcia's scoring
system. T2 hyperintensity areas and enlarged ventricles were observed in T2WI
coronal sections 24 h after SAH. The overall incidence of T2 lesions, WMI, and
hydrocephalus was 54, 20, and 63%, respectively. Female rats had a higher
incidence of T2 hyperintensity lesions and hydrocephalus, as well as larger T2
lesion volumes and higher average ventricular volume. SAH rats graded at 3-4 (our
previously validated MRI grading scale) had larger T2 lesion volumes, more
hydrocephalus, and worse neurological function compared with those graded at 0-2.
In conclusion, T2 lesion, WMI, and hydrocephalus were the most prevalent MRI
characteristics 24 h after experimental SAH. The T2 lesion area matched with
fibrinogen/fibrin positive staining in the acute phase of SAH. SAH induced more
severe brain injury in females compared to males in the acute phase of SAH.
PMID- 27896627
TI - N-[11C]Methyl-AMD3465 PET as a Tool for In Vivo Measurement of Chemokine Receptor
4 (CXCR4) Occupancy by Therapeutic Drugs.
AB - PURPOSE: Chemokine receptor 4 (CXCR4) is overexpressed in many cancers and a
potential drug target. We have recently developed the tracer N-[11C]methyl
AMD3465 for imaging of CXCR4 expression by positron emission tomography (PET). We
investigated the pharmacokinetics of N-[11C]methyl-AMD3465 in rats bearing a C6
tumor and assessed whether the CXCR4 occupancy by the drug Plerixafor(r) can be
measured with this PET tracer. PROCEDURE: A subcutaneous C6 tumor was grown in
Wistar rats. Dynamic N-[11C]methyl-AMD3465 PET scans with arterial blood sampling
was performed in control rats and rats pretreated with Plerixafor(r) (30 mg/kg,
s.c). The distribution volume (V T) of the tracer was estimated by compartment
modeling with a two-tissue reversible compartment model (2TRCM) and by Logan
graphical analysis. The non-displaceable binding potential (BPND) was estimated
with the 2TRCM. Next, CXCR4 receptor occupancy of different doses of the drug
Plerixafor(r) (0.5-60 mg/kg) was investigated. RESULTS: The tumor could be
clearly visualized by PET in control animals. Pretreatment with 30 mg/kg
Plerixafor(r) significantly reduced tumor uptake (SUV 0.65 +/- 0.08 vs. 0.20 +/-
0.01, p < 0.05). N-[11C]Methyl-AMD3465 was slowly metabolized in vivo, with 70 +/
7% of the tracer in plasma still being intact after 60 min. The tracer showed
reversible in vivo binding to its receptor. Both 2TRCM modeling and Logan
graphical analysis could be used to estimate V T. Pre-treatment with 30 mg/kg
Plerixafor(r) resulted in a significant reduction in V T (2TCRM 0.87 +/- 0.10 vs.
0.23 +/- 0.12, p < 0.05) and BPND (1.85 +/- 0.14 vs. 0.87 +/- 0.12, p < 0.01).
Receptor occupancy by Plerixafor(r) was dose-dependent with an in vivo ED50 of
12.7 +/- 4.0 mg/kg. Logan analysis gave comparable results. CONCLUSION: N
[11C]Methyl-AMD3465 PET can be used to visualize CXCR4 expression and to
calculate receptor occupancy. V T determined by Logan graphical analysis is a
suitable parameter to assess CXCR4 receptor occupancy. This approach can easily
be translated to humans and used for early drug development and optimization of
drug dosing schedules.
PMID- 27896628
TI - Clinical Translation of Tumor Acidosis Measurements with AcidoCEST MRI.
AB - PURPOSE: We optimized acido-chemical exchange saturation transfer (acidoCEST)
magnetic resonance imaging (MRI), a method that measures extracellular pH (pHe),
and translated this method to the radiology clinic to evaluate tumor acidosis.
PROCEDURES: A CEST-FISP MRI protocol was used to image a flank SKOV3 tumor model.
Bloch fitting modified to include the direct estimation of pH was developed to
generate parametric maps of tumor pHe in the SKOV3 tumor model, a patient with
high-grade invasive ductal carcinoma, and a patient with metastatic ovarian
cancer. The acidoCEST MRI results of the patient with metastatic ovarian cancer
were compared with DCE MRI and histopathology. RESULTS: The pHe maps of a flank
model showed pHe measurements between 6.4 and 7.4, which matched with the
expected tumor pHe range from past acidoCEST MRI studies in flank tumors. In the
patient with metastatic ovarian cancer, the average pHe value of three adjacent
tumors was 6.58, and the most reliable pHe measurements were obtained from the
right posterior tumor, which favorably compared with DCE MRI and
histopathological results. The average pHe of the kidney showed an average pHe of
6.73 units. The patient with high-grade invasive ductal carcinoma failed to
accumulate sufficient agent to generate pHe measurements. CONCLUSIONS: Optimized
acidoCEST MRI generated pHe measurements in a flank tumor model and could be
translated to the clinic to assess a patient with metastatic ovarian cancer.
PMID- 27896629
TI - Remote Limb Ischemic Preconditioning Protects Rats Against Cerebral Ischemia via
HIF-1alpha/AMPK/HSP70 Pathway.
AB - Remote limb ischemic preconditioning (RIPC) is a clinically feasible strategy to
protect against ischemia/reperfusion injury, but the knowledge concerning the
mechanism underlying RIPC is scarce. This study was performed to examine the
effect of RIPC on brain tissue suffering from ischemia challenge and explore its
underlying mechanism in a rat model. The animals were divided into four groups:
Sham, middle cerebral artery occlusion (MCAO), RIPC, and MCAO+RIPC. We found that
previous exposure to RIPC significantly attenuated neurological dysfunction and
lessened brain edema in MCAO+RIPC group. Moreover, other important events were
observed in MCAO+RIPC group, including substantial decrements in the
concentrations of oxidative response indicators [malondialdehyde (MDA), 8-hydroxy
2-deoxyguanosine (8-OHdG), and protein carbonyl], significant reductions in
levels of inflammation mediators [myeloperoxidase (MPO), tumor necrosis factor-a
(TNF-a), interleukin-1beta (IL-1beta), and IL-6], and significant decline in
neuronal apoptosis revealed by a smaller number of TUNEL-positive cells.
Interestingly, both MCAO and RIPC groups exhibited meaningful elevations in the
levels of HIF-1a, HSP70, and AMP-activated protein kinase (AMPK) compared to Sham
group, and previous exposure to RIPC further elevated the levels of HIF-1a,
HSP70, and AMPK in MCAO+RIPC group. Furthermore, the administration of YC-1 (HIF
1 inhibitor), 8-bAMP (AMPK inhibitor), and Quercetin (HSP70 inhibitor) to
MCAO+RIPC rats demonstrated that HIF-1alpha/AMPK/HSP70 was involved in RIPC
mediated protection against cerebral ischemia.
PMID- 27896630
TI - The many faces of working memory and short-term storage.
AB - The topic of working memory (WM) is ubiquitous in research on cognitive
psychology and on individual differences. According to one definition, it is a
small amount of information kept in a temporary state of heightened
accessibility; it is used in most types of communication and problem solving.
Short-term storage has been defined as the passive (i.e., non-attention-based,
nonstrategic) component of WM or, alternatively, as a passive store separate from
an attention-based WM. Here I note that much confusion has been created by the
use by various investigators of many, subtly different definitions of WM and
short-term storage. The definitions are sometimes made explicit and sometimes
implied. As I explain, the different definitions may have stemmed from the use of
a wide variety of techniques to explore WM, along with differences in theoretical
orientation. By delineating nine previously used definitions of WM and explaining
how additional ones may emerge from combinations of these nine, I hope to improve
scientific discourse on WM. The potential advantages of clarity about definitions
of WM and short-term storage are illustrated with respect to several ongoing
research controversies.
PMID- 27896631
TI - Selective weighting of action-related feature dimensions in visual working
memory.
AB - Planning an action primes feature dimensions that are relevant for that
particular action, increasing the impact of these dimensions on perceptual
processing. Here, we investigated whether action planning also affects the short
term maintenance of visual information. In a combined memory and movement task,
participants were to memorize items defined by size or color while preparing
either a grasping or a pointing movement. Whereas size is a relevant feature
dimension for grasping, color can be used to localize the goal object and guide a
pointing movement. The results showed that memory for items defined by size was
better during the preparation of a grasping movement than during the preparation
of a pointing movement. Conversely, memory for color tended to be better when a
pointing movement rather than a grasping movement was being planned. This pattern
was not only observed when the memory task was embedded within the preparation
period of the movement, but also when the movement to be performed was only
indicated during the retention interval of the memory task. These findings reveal
that a weighting of information in visual working memory according to action
relevance can even be implemented at the representational level during
maintenance, demonstrating that our actions continue to influence visual
processing beyond the perceptual stage.
PMID- 27896633
TI - Adverse orienting effects on visual working memory encoding and maintenance.
AB - Visual working memory (VWM) representations can be strengthened by pre-cues
presented before, and retro-cues presented after, the memory display, providing
evidence that attentional orienting plays a role in memory encoding and
maintenance. It is unknown whether attentional orienting to VWM stimuli can also
have adverse effects (known as inhibition of return; IOR), as has been found for
perceptual-cueing tasks. If so, this would provide further evidence for common
attentional orienting mechanisms for mnemonic and perceptual representations. In
Experiment 1, we used pre-cueing and demonstrated an increased encoding
probability, but not precision, at short SOAs, but probability decreased at long
SOAs, reminiscent of the classic IOR findings. In Experiment 2, we used retro
cueing and showed that it improved memory performance, unless attention was cued
back to the center of the display by a second cue. In this case, the deleterious
effects were on precision, indicating that the item was still retained, but its
quality of representation suffered. Together, these results provide further
evidence for universal spatial attentional mechanisms operating on perceptual as
well as mnemonic representations.
PMID- 27896634
TI - TH9 cells in skin disorders.
AB - Interleukin 9 secreting TH9 cells have been proposed as the latest addition to
the family of T helper cell subsets. While a growing body of evidence from animal
models points to important roles for these cells in allergic inflammation of the
lung, autoinflammation of the gastrointestinal tract, and tumor immunity, their
role in skin immunity and skin immunopathology remains poorly defined.
Interestingly, studies of T helper cells from healthy humans suggest that TH9
cells are predominantly skin-homing and skin-resident and that they are involved
in protection against extracellular pathogens. Thus, TH9 cells have entered the
stage as potential mediators of cutaneous pathology. However, under which
conditions and by which mechanisms these cells contribute to skin immunity and
disease still has to be investigated. Here, we review our current understanding
of TH9 cells as skin-tropic T helper cells and their involvement in skin
pathology. Further, we discuss open questions with regard to the intricate nature
of interleukin 9 producing T helper cells.
PMID- 27896635
TI - Discovery and initial characterization of Th9 cells: the early years.
AB - The launch of the Th1/Th2 concept represented a decisive breakthrough concerning
our understanding of how very diverse immune reactions can be regulated by
functionally different T helper subpopulations via the secretion of different
panels of cytokines. In this context, IL-9 was identified to be produced by T
helper cell lines in addition to Th2 cytokines IL-4 and IL-5. Detailed analyses
revealed that IL-9 production of mouse CD4+ T helper cells was dependent on a
combination of IL-2, IL-4, and TGF-beta. Roughly a decade later, it was found
that TGF-beta can also induce the development of CD4+ Treg cells. This finding
engendered a series of studies on the central role of TGF-beta for cytokine
mediated T helper cell differentiation which elucidated that IL-4 curbed the Treg
cell-promoting effect of TGF-beta while TGF-beta impaired the Th2-promoting
capacity of IL-4. Instead, TGF-beta in combination with IL-4 induced the
development of CD4+ T helper cells that preferentially produced IL-9 and that
were different from Th2 cells which originally were thought to be the main source
of IL-9. In addition, adoptive transfer of such IL-9-producing CD4+ T helper
cells was shown to cause the development of colitis and peripheral neuritis.
Hence, the unique cytokine expression pattern in combination with the
inflammatory in vivo phenotype led to the designation of Th9 cells as a new CD4+
T helper subpopulation.
PMID- 27896632
TI - Tactile suppression in goal-directed movement.
AB - Sharing numerous characteristics with suppression in the other senses, tactile
suppression is a reliable phenomenon that accompanies movement. By investigating
the simplest of movements (e.g., finger flexions), early research tried to
explain the origins of the phenomenon in terms of motor command generation
together with sensory reafference. Here, we review recent research that has
delved into (naturalistic) goal-directed movements. In connection with goal
directed movement, tactile suppression is evident as a decrease in behavioural
performance measured shortly prior to, and during, movement execution. It is also
reflected in a consistent response bias highlighting the (perceptual) uncertainty
of the movement. Goal-directed movement supports the forward model and
establishes contextual influences as the defining influences on tactile
suppression. Depending on the task at hand, people prioritize a certain percept
during movement. Future research, we argue, should focus on studying naturalistic
movements, or sequences of movements, that share a common meaning or goal.
PMID- 27896637
TI - SEOM Clinical Guideline for the treatment of pancreatic cancer (2016).
AB - Pancreatic cancer remains an aggressive disease with a 5 year survival rate of
5%. Only 15% of patients with pancreatic cancer are eligible for radical surgery.
Evidence suggests a benefit on survival with adjuvant chemotherapy (gemcitabine o
fluourouracil) after R1/R0 resection. Adjuvant chemoradiotherapy is also a valid
option in patients with positive margins. Borderline resectable pancreatic cancer
is defined as the involvement of the mesenteric vasculature with a limited
extension. These tumors are technically resectable, but with a high risk of
positive margins. Neoadjuvant treatment represents the best option for achieving
an R0 resection. In advanced disease, two new chemotherapy treatment schemes
(Folfirinox or Gemcitabine plus nab-paclitaxel) have showed improvements in
overall survival compared with gemcitabine alone. Progress in pancreatic cancer
treatment will require a better knowledge of the molecular biology of this
disease, focusing on personalized cancer therapies in the near future.
PMID- 27896636
TI - TNF superfamily cytokines in the promotion of Th9 differentiation and
immunopathology.
AB - The tumor necrosis factor (TNF) receptors and their corresponding cytokine
ligands have been implicated in many aspects of the biology of immune functions.
TNF receptors have key roles during various stages of T cell homeostasis. Many of
them can co-stimulate lymphocyte proliferation and cytokine production.
Additionally, several TNF cytokines can regulate T cell differentiation,
including promoting Th1, Th2, Th17, and more recently the newly described Th9
subset. Four TNF family cytokines have been identified as regulators for IL-9
production by T cells. OX40L, TL1A, and GITRL can promote Th9 formation but can
also divert iTreg into Th9, while 4-1BBL seems to inhibit IL-9 production from
iTreg and has not been studied for its ability to promote Th9 generation.
Regulation of IL-9 production by TNF family cytokines has repercussions in vivo,
including enhancement of anti-tumor immunity and immunopathology in allergic lung
and ocular inflammation. Regulating T cell production of IL-9 through blockade or
agonism of TNF family cytokine receptors may be a therapeutic strategy for
autoimmune and allergic diseases and in tumor.
PMID- 27896638
TI - SEOM Clinical Guideline for gastrointestinal sarcomas (GIST) (2016).
AB - Gastrointestinal stromal tumors (GISTs) are the most common mesenchymal neoplasms
of the digestive tract, and this disease has served as a paradigmatic model for
successful rational development of targeted therapies. The introduction of
tyrosine kinase inhibitors with activity against KIT/PDGFRA in both localized and
advanced stages has remarkably improved the survival in a disease formerly deemed
resistant to all systemic therapies. The Spanish Society of Medical Oncology
(SEOM) guidelines provide a multidisciplinary and updated consensus for the
diagnosis and treatment of GIST patients. We strongly encourage that the managing
of these patients should be performed within multidisciplinary teams in reference
centers.
PMID- 27896639
TI - SEOM Clinical Guideline for bone metastases from solid tumours (2016).
AB - Bone metastases are common in many advanced solid tumours, being breast,
prostate, thyroid, lung, and renal cancer the most prevalent. Bone metastases can
produce skeletal-related events (SREs), defined as pathological fracture, spinal
cord compression, need of bone irradiation or need of bone surgery, and
hypercalcaemia. Patients with bone metastases experience pain, functional
impairment and have a negative impact on their quality of life. Several imaging
techniques are available for diagnosis of this disease. Bone-targeted therapies
include zoledronic acid, a potent biphosfonate, and denosumab, an anti-RANKL
monoclonal antibody. Both reduce the risk and/or delay the development of SREs in
several types of tumours. Radium 233, an alpha-particle emitter, increases
overall survival in patients with bone metastases from resistant castration
prostate cancer. Multidisciplinary approach is essential and bone surgery and
radiotherapy should be integrated in the treatment of bone metastases when
necessary. This SEOM Guideline reviews bone metastases pathogenesis, clinical
presentations, lab tests, imaging techniques for diagnosis and response
assessment, bone-targeted agents, and local therapies, as radiation and surgery,
and establishes recommendations for the management of patients with metastases to
bone.
PMID- 27896640
TI - Role of axillary ultrasound, magnetic resonance imaging, and ultrasound-guided
fine-needle aspiration biopsy in the preoperative triage of breast cancer
patients.
AB - PURPOSE: Roughly two-thirds of early breast cancer cases are associated with
negative axillary nodes and do not benefit from axillary surgery at all.
Accordingly, there is an ongoing search for non-surgical staging procedures to
avoid lymph-node dissection or sentinel node biopsy (SNB). Non-invasive imaging
techniques with very high sensitivity (Se) and negative predictive value (NPV)
could eventually replace SNB. We aimed to establish the role of axillary US and
MRI, alone or in combination, associated with ultrasound-guided fine-needle
aspiration biopsy (US-FNAB) in the prediction of axillary node involvement.
METHODS/PATIENTS: Between January 2003 and September 2015, we included 1505 of
the 1538 breast cancer patients attending our centres. All patients had been
referred from a single geographical area. Axillary US, magnetic resonance imaging
and ultrasound-guided fine-needle aspiration biopsy (US-FNAB) were performed if
required. RESULTS: 1533 axillary US examinations and 1351 axillary MRI studies
were analyzed. For axillary US, Se, Specificity (Sp), Positive Predictive Value
(PPV), and NPV were 47.5, 93.6, 82.5, and 73.8%, respectively. For axillary MRI,
corresponding values were 29.8, 96.6, 84.9, and 68.4%. When both tests were
combined, Sp and PPV slightly improved over individual tests alone. US-FNAB
showed a 100% Sp and PPV, with a Se of 80%. CONCLUSION: We may confidently state
that axillary US and US-FNAB have to be included in the preoperative work-up of
breast cancer patients.
PMID- 27896642
TI - SEOM Clinical Guideline update for the prevention of chemotherapy-induced nausea
and vomiting (2016).
AB - Chemotherapy-induced nausea and vomiting is one of the most worrisome adverse
effects of chemotherapy for cancer patients. It can cause severe discomfort and
affect the quality of life. In recent years, the incorporation of new drugs has
increased the efficacy of antiemetic treatments in the control of emesis
associated with chemotherapy. This guideline, in which we give some treatment
recommendations with level of evidence and grade of recommendation, provides an
update of the previously published guideline of the Spanish Society of Medical
Oncology and represents our continued commitment to improving supportive care in
cancer patients.
PMID- 27896641
TI - SEOM Clinical Guideline of fertility preservation and reproduction in cancer
patients (2016).
AB - Chemotherapy and radiotherapy often result in reduced fertility in cancer
patients. With increasing survival rates, fertility is an important quality-of
life concern for many young cancer patients. Around 70-75% of young cancer
survivors are interested in parenthood but the numbers of patients who access
fertility preservation techniques prior to treatment are significantly lower.
Moreover, despite existing guidelines, healthcare professionals do not address
fertility preservation issues adequately. There is a critical need for
improvements in clinical care to ensure patients are well informed about
infertility risks and fertility preservation options and to support them in their
reproductive decision-making prior to cancer treatment.
PMID- 27896643
TI - A novel signaling role for miR-451 in esophageal tumor microenvironment and its
contribution to tumor progression.
AB - OBJECTIVE: We evaluated miR-451 expression in serum and tissue samples of
esophageal squamous cell carcinoma (ESCC) patients. Then, we examined a secretory
role of miR-451 in esophageal tumor microenvironment. METHODS: miR-451 expression
was evaluated in 39 serum samples from esophageal SCC patients compared to 39
normal individuals as well as 26 pairs of fresh-frozen tumor and adjacent normal
tissues from patients with ESCC, using qRT-PCR. In a co-culture system of human
normal fibroblasts (HFSF-PI3) and esophageal cancer cell line (KYSE-30), we
evaluated exosomal miR-451 secretion into the conditioned medium (CM) of both
cell lines. Then, we analyzed the effect of primiR-451-transfected fibroblasts on
the migration potency of their neighboring KYSE-30 cells. RESULTS: We detected
miR-451 over-expression in serum samples of esophageal cancer patients compared
to the normal group (P = 0.005). Interestingly, fresh-frozen tumor tissues from
the same patients showed miR-451 down-regulation compared to their adjacent
normal counterparts (P = 0.043). Co-culturing the KYSE-30 cell line with normal
fibroblasts significantly induced miR-451 exosomal secretion into the CM.
Moreover, co-culture of KYSE-30 cell line with miR-451-over-expressing
fibroblasts significantly induced migration tendency in KYSE-30 cell line
compared to the mock-transfected fibroblasts (P < 0.0001). In this system, MIF
expression (a validated target of miR-451) in the KYSE-30 cell line was increased
although this alteration was not statistically significant (fold change = 4.44).
CONCLUSIONS: Our data suggest that cancer-associated fibroblasts use exosomal miR
451 as a signaling molecule to provide a favorable niche for tumor cell migration
and cancer progression. Our findings provide new insights into the stromal role
of miR-451 in the esophageal tumor microenvironment as a communicatory molecule
and suggest a signaling role for miR-451 in extracellular matrix cross-talks.
PMID- 27896644
TI - The Effects of Musical Training on Child Development: a Randomized Trial of El
Sistema in Venezuela.
AB - : Many studies have explored the links between music and children's outcomes;
however, study designs have not been sufficiently rigorous to support causal
findings. This study aims to assess the effects of a large-scale music program on
children's developmental functioning in the context of high rates of exposure to
violence. The paper describes the results of an experimental evaluation of
Venezuela's National System of Youth and Children's Orchestras. The curriculum of
the program, better known as "El Sistema," emphasizes social interactions through
group instruction and group performances. The randomized control trial was
conducted in 16 music centers between May 2012 and November 2013. In total, 2914
children ages 6-14 participated in the experiment, with approximately half
receiving an offer of admission to the program in September 2012 and half in
September 2013. The treatment group children participated for one semester more
than the control group children. After 1 year, full-sample ITT estimates indicate
improved self-control (by 0.10 standard deviations) and reduced behavioral
difficulties (by 0.08 standard deviations), both significant at 10% after
controlling for multiple hypothesis testing. There were no full-sample effects on
other domains. Sub-sample effects are larger among (1) children with less
educated mothers and (2) boys, especially those exposed to violence at baseline.
In the latter subgroup, we find lower levels of aggressive behavior. We find that
the program improved self-control and reduced behavioral difficulties, with the
effects concentrated among subgroups of vulnerable children. The results suggest
the importance of devising mechanisms to target resources to the most vulnerable
children. TRIAL REGISTRATION: https://clinicaltrials.gov/ct2/show/NCT02369315.
PMID- 27896645
TI - Response to Letter to the Editor: Intragastric Balloon for Management of Severe
Obesity: a Systematic Review.
PMID- 27896646
TI - Improvement of Health-Related Quality of Life After Roux-en-Y Gastric Bypass
Related to Weight Loss.
AB - INTRODUCTION: Effect of bariatric surgery on health-related quality of life
(HRQOL) varies greatly. This might be caused by the diversity in questionnaires
used to assess HRQOL and the weight loss of the studied population. This study
assesses the relationship between weight loss and HRQOL in primary Roux-en-Y
gastric bypass (RYGB) patients by using an obesity-specific (impact of weight on
quality of life-lite, IWQOL-lite) and a generic (RAND-36) questionnaire. METHODS:
HRQOL and weight parameters were assessed before and 15 and 24 months after RYGB
surgery. HRQOL was assessed by using IWQOL-lite (an obesity-specific
questionnaire consisting of one total score and five domains) and RAND-36 (a
generic questionnaire consisting of two subtotal scores, the physical health
summary (PHS) and mental health summary (MHS), and nine scales). RESULTS: Two
thousand one hundred thirty-seven patients were included. HRQOL improved
significantly after RYGB. Preoperative BMI was negatively related to baseline PHS
(p < 0.001) and IWQOL-lite total (p < 0.001). Percentage total weight loss (%TWL)
was positively related to HRQOL score at both follow-up moments. Change in HRQOL
from baseline to 24 months was related to %TWL at 24 months in both subtotals of
RAND-36 and IWQOL-lite total score (p <= 0.001 in all). CONCLUSION: HRQOL
improves after RYGB. Higher %TWL is related to greater improvement in HRQOL and
better HRQOL 15 and 24 months after RYGB. The variance in the effect of RYGB
surgery on HRQOL can be explained by the questionnaire used and weight loss of
the population.
PMID- 27896647
TI - Changes in Non-Diabetic Comorbid Disease Status Following Laparoscopic Vertical
Sleeve Gastrectomy (LVSG) Versus Laparoscopic Roux-En-Y Gastric Bypass (LRYGB)
Procedures: a Systematic Review of Randomized Controlled Trials.
AB - PURPOSE: Laparoscopic Roux-en-Y gastric bypass (LRYGB) and laparoscopic vertical
sleeve gastrectomy (LVSG) have been proposed as cost-effective strategies to
manage obesity-related chronic disease. The aim of this systematic review was to
study the peer review literature regarding postoperative nondiabetic comorbid
disease resolution or improvement reported from randomized controlled trials
(RCTs) comparing LVSG and LRYGB procedures. MATERIAL AND METHODS: RCTs comparing
postoperative comorbid disease resolution such as hypertension, dyslipidemia,
obstructive sleep apnea, joint and musculoskeletal conditions, gastroesophageal
reflux disease, and menstrual irregularities following LVSG and LRYGB were
included for analysis. The studies were selected from PubMed, Medline, EMBASE,
Science Citation Index, Current Contents, and the Cochrane database and reported
on at least one comorbidity resolution or improvement. The present work was
undertaken according to the Preferred Reporting Items of Systematic Reviews and
Meta-Analyses (PRISMA). The Jadad method for assessment of methodological quality
was applied to the included studies. RESULTS: Six RCTs performed between 2005 and
2015 involving a total of 695 patients (LVSG n = 347, LRYGB n = 348) reported on
the resolution or improvement of comorbid disease following LVSG and LRYGB
procedures. Both bariatric procedures provide effective and almost comparable
results in improving or resolving these comorbidities. CONCLUSIONS: This
systematic review of RCTs suggests that both LVSG and LRYGB are effective in
resolving or improving preoperative nondiabetic comorbid diseases in obese
patients. While results are not conclusive at this time, LRYGB may provide
superior results compared to LVSG in mediating the remission and/or improvement
in some conditions such as dyslipidemia and arthritis.
PMID- 27896649
TI - The micropapillary/hobnail variant of papillary thyroid carcinoma: A review of
series described in the literature compared to a series from one southern Italy
pathology institution.
AB - Papillary thyroid carcinoma (PTC) has a good prognosis with a 10-yr survival
greater than 90%. Recently, a micro-papillary pattern with hobnail appearance
(MPHC) in PTC has been indicated as associated with poor prognosis, but this
suggestion is based only on a few cases from geographical areas different from
ours. Two-hundred ninety-nine consecutive PTC cases were collected between the
years of 1992 and 2014 at our institution. The corresponding histologic sections
(at least 6 for each case) were stained with hematoxylin and eosin and reviewed
independently by two pathologists to reach a consensus on the identification and
quantification of the MPHC. As done in other cohorts, parallel serial sections
were stained with antisera for thyroglobulin, epithelial membrane antigen,
thyroid-transcription-factor-1 and Ki 67. BRAF gene mutation at codon 600 and
RET/PTC1 gene rearrangements were searched. A comparative statistical analysis
was done between the present series and previously published series. Of the 295
PTC, 124 (42.5%) were follicular, 104 (35%) classic, 34 (11.5%) sclerosing, 15
(5%) tall cells, 10 (3.4%) Warthin-like, and 8 (2.7%) MPHC. Four MHPC cases (50%)
harbored the BRAF V600E variant, while one was positive for RET/PTC1
rearrangement. Our rate of MPHC-PTC (2.7%) is 2X to 8X greater than those
reported previously for cohorts from North America + North Italy, Korea and
Mexico. MPHC prognosis appears to be better compared to other cohorts, probably
due to not only to the lower rate of the vascular invasion, but also to the
smaller size of the MPHC-PTC nodule.
PMID- 27896648
TI - Clinical and Metabolic Effects of Biliopancreatic Diversion Persist After
Reduction of the Gastric Pouch and Elongation of the Common Alimentary Tract.
Preliminary Report in a Series of Patients with a 10-Year Follow-Up.
AB - BACKGROUND: Biliopancreatic diversion (BPD) is a bariatric technique burdened, in
some instances, by clinical evidence of malabsorption and malnutrition, and by
intractable diarrhea. OBJECTIVE: The objective of this study was to assess
metabolic and nutritional effects on patients undergoing BPD and BPD plus
revisional surgery because of side effects. METHODS: Thirty-five consecutive BPD
patients underwent revisional surgery (elongation of the common limb from 50 to
200 cm and reduction of the gastric pouch from 500 to 40 ml) after a median 48
month period [48.3 +/- 9.17 months (mean +/- SD)] and were observed for a total
period of 116.2 +/- 6.21 months; 88 patients only undergoing BPD (controls) were
observed for 120 months. RESULTS: Revisional surgery significantly improved side
effects of BPD, with resolution of clinical symptoms in most instances. After
revisional surgery, patients had a further decrease of body weight. The effect on
disappearance of diabetes mellitus (DM) was remarkable, with no difference
between revisional surgery and BPD. Triglycerides and transaminases decreased in
a similar way, while cholesterol levels differed significantly. Estimated
glomerular filtration rate improved. Nutritional parameters were similarly
affected. CONCLUSION: This study suggests that it is possible to maintain the
clinical and metabolic effects of BPD after a revisional procedure that leads to
lesser malabsorption and to a greater restriction of the stomach. In particular,
the positive effects on DM still persist after revisional surgery. This approach
should be kept in mind in the presence of significant side effects due, inter
alia, to excessive malabsorption.
PMID- 27896650
TI - Neuroprotection by Chlorpromazine and Promethazine in Severe Transient and
Permanent Ischemic Stroke.
AB - Previous studies have demonstrated depressive or hibernation-like roles of
phenothiazine neuroleptics [combined chlorpromazine and promethazine (C + P)] in
brain activity. This ischemic stroke study aimed to establish neuroprotection by
reducing oxidative stress and improving brain metabolism with post-ischemic C + P
administration. Sprague-Dawley rats were subjected to transient (2 or 4 h) middle
cerebral artery occlusion (MCAO) followed by 6 or 24 h reperfusion, or permanent
(28 h) MCAO without reperfusion. At 2 h after ischemia onset, rats received
either an intraperitoneal (IP) injection of saline or two doses of C + P. Body
temperatures, brain infarct volumes, and neurological deficits were examined.
Oxidative metabolism and stress were determined by levels of ATP, NADH, and
reactive oxygen species (ROS). Protein kinase C-delta (PKC-delta) and Akt
expression were determined by Western blotting. C + P administration induced a
neuroprotection in both transient and permanent ischemia models evidenced by
significant reduction in infarct volumes and neurological deficits post-stroke. C
+ P induced a dose-dependent reduction in body temperature as early as 5 min post
ischemia and lasted up to 12 h. However, reduction in body temperature either
only slightly or did not enhance C + P-induced neuroprotection. C + P therapy
improved brain metabolism as determined by increased ATP levels and NADH
activity, as well as decreased ROS production. These therapeutic effects were
associated with alterations in PKC-delta and Akt protein expression. C + P
treatments conferred neuroprotection in severe stroke models by suppressing the
damaging cascade of metabolic events, most likely independent of drug-induced
hypothermia. These findings further prove the clinical potential for C + P
treatment and may direct us closer towards the development of an efficacious
neuroprotective therapy.
PMID- 27896652
TI - The Long-Term Efficacy and Safety of Pyloroplasty Combined with Gastric
Electrical Stimulation Therapy in Gastroparesis.
AB - INTRODUCTION: Gastroparesis is delayed gastric emptying without mechanical
obstruction. Symptomatic improvement can be 50-60% with gastric electrical
stimulation. To address delayed gastric emptying, pyloroplasty was added. This
study examines the long-term efficacy and safety of simultaneous gastric
electrical stimulator implantation and pyloroplasty. METHODS: In this prospective
single-arm trial conducted from 2012 to 2015, 27 [23 females; mean age 43 (22
63)] gastroparesis patients who underwent simultaneous gastric electrical
stimulator implantation with Heineke-Mikulicz pyloroplasty were studied. Six
(25%) underwent simultaneous robot-assisted pyloroplasty and gastric electrical
stimulator implantation. Diagnosis of gastroparesis was based on the 4-h gastric
emptying test defined as >60% retention of isotope at 2 h and >10% at 4 h. Total
symptom scores assessing severity of nausea, early satiety, bloating, vomiting,
post-prandial fullness, and epigastric pain were obtained at baseline and at
follow-up visits, ranging from 3 to 38 months (mean: 17). RESULTS: Follow-up data
from 24 patients were available for analysis. There was 71% improvement in total
symptom score on follow-up. Mean retention decreased by 29.6 and 48.7% at 2 and 4
h and gastric emptying was normalized in 60%. There were no post-surgical
complications. CONCLUSIONS: Combination of gastric electrical stimulator and
pyloroplasty significantly accelerated gastric emptying and improved
gastroparesis symptoms. Combining these two surgical therapies improves both
subjective and objective endpoints in drug refractory gastroparesis.
PMID- 27896651
TI - Postnatal Administration of Dizocilpine Inhibits Neuronal Excitability in PFC and
Induces Social Deficits Detected by MiceProfiler.
AB - Schizophrenia is a devastating mental disease with social deficit as its core
component of negative symptoms, which could be induced in rodents by dizocilpine
(MK-801), a noncompetitive NMDA receptor antagonist. NMDA receptors are highly
expressed during the postnatal period. However, less attention has been paid to
the effects of postnatal MK-801 administration on social interaction. In this
study, we evaluated the effects of postnatal administration of MK-801 on social
interaction and explored the possible mechanisms. Postnatal day-7 mice were
intraperitoneally injected with MK-801 twice daily for 5 days, and their social
interaction repertoire was monitored by a computerized video in the 10th week.
The contact event, relative position event, stop-state, and dynamic event were
analyzed with MiceProfiler automatic idTracker system. The results showed that MK
801 reduced the number of the contact events, relative position events, and stop
states, while increased the number and duration of dynamic events. These changes
implied that MK-801-injected mice had indifference and lower motivation in social
interaction and could be a useful model for studies on the social deficit of
schizophrenia. The prefrontal cortex is the key region for social interaction
behaviors. Slice patch clamp was performed to analyze the cellular excitability
of prefrontal cortical neurons after postnatal treatment with MK-801 in mice. The
results demonstrated that MK-801 injection reduced the frequency and amplitude of
action potentials, but increased the frequency of miniature inhibitory
postsynaptic currents. These data illustrated that the excitability of neurons in
the prefrontal cortex was inhibited. Finally, immunoblotting data demonstrated
that MK-801 significantly decreased the levels of sirtuin 1 (SIRT1) and
phosphorylated protein kinase B (p-PKB) in the prefrontal cortex (both P < 0.05).
Taken together, our results indicated that administration of MK-801 to postnatal
mice induces social interaction deficits possibly due to inhibiting the neuronal
excitability and decreasing the levels of SIRT1 and p-PKB in the prefrontal
cortex.
PMID- 27896653
TI - Clinical Characteristics of Stoma-Related Obstruction after Ileal Pouch-Anal
Anastomosis for Ulcerative Colitis.
AB - AIMS: We defined small bowel obstruction occurring around the limbs of the
ileostomy as stoma-related obstruction (SRO) and investigated the clinical
characteristics and predictive factors of SRO. METHODS: From January 2002 to
March 2016, 309 consecutive patients who underwent ileal pouch-anal anastomosis
(IPAA) for ulcerative colitis were enrolled. Two-stage IPAA with diverting
ileostomy was analyzed. We assessed the possible associations between SRO and
clinical factors. RESULTS: A total of 205 patients met the inclusion criteria.
Fifty-three (25.8%) patients with SRO before ileostomy closure were identified
for review. All patients with SRO were at least transiently resolved by
intubation though orifice of ileostomy (98.1%) or nasally (3.7%). In 18 (33.9%)
patients, the ileostomy was taken down ahead of schedule and the small bowel
obstruction improved in all cases. Multivariate analysis revealed that age at
surgery <16 years old and body mass index <21 were significant predictive factors
for SRO (P = 0.013 and 0.0012, respectively). CONCLUSION: Younger age at surgery
and low body mass index may be significant predictive factors for SRO after IPAA
with diverting ileostomy in patients with ulcerative colitis.
PMID- 27896654
TI - Bowel Preparation Is Associated with Reduced Morbidity in Elderly Patients
Undergoing Elective Colectomy.
AB - BACKGROUND: Bowel preparation in elderly patients is associated with physiologic
derangements that may result in postoperative complications. The aim of this
study is to determine the impact of bowel preparation on postoperative outcomes
in elderly patients. METHODS: Patients age 75 years and older who underwent
elective colectomy were identified from the 2012-2014 American College of
National Surgical Quality Improvement Program (ACS-NSQIP database). Patients were
grouped into no bowel preparation, mechanical bowel preparation (MBP), oral
antibiotic preparation (OABP), or combined MBP + OABP. Logistic regression
modeling was conducted to calculate risk-adjusted 30-day outcomes. RESULTS: There
were 4829 patients included in the analysis. Morbidity was 34.3% in no bowel
prep, 32.4% in MBP, 24.8% in OABP, and 24.6% in MBP + OABP groups (p < 0.001).
The MBP + OABP group compared with no bowel prep was associated with reduced
rates of anastomotic leak, ileus, superficial surgical site infection (SSI),
organ space SSI, respiratory compromise, and reduced length of stay. There was no
difference in the rate of acute kidney injury between the groups. CONCLUSION: MBP
+ OABP was associated with reduced morbidity compared with no bowel preparation
in elderly patients undergoing elective colorectal resection. MBP alone was not
associated with differences in outcomes compared with no bowel preparation. The
use of MBP + OABP is safe and effective in elderly patients undergoing elective
colectomy.
PMID- 27896655
TI - Transhepatic Hilar Approach for Perihilar Cholangiocarcinoma: Significance of
Early Judgment of Resectability and Safe Vascular Reconstruction.
AB - In the most common surgical procedure for perihilar cholangiocarcinoma, the
margin status of the proximal bile duct is determined at the final step. Our
procedure, the transhepatic hilar approach, confirms a cancer-negative margin
status of the proximal bile duct first. We first performed a partial hepatic
parenchymal transection to expose the hilar plate, and then transected the
proximal bile duct to confirm margin status. Then, divisions of the hepatic
artery and portal vein of the future resected liver are performed, followed by
the residual hepatic parenchymal transection. The transhepatic hilar approach
offers a wide surgical field for safe resection and reconstruction of the portal
vein in the middle of the hepatectomy. We reviewed 23 patients with perihilar
cholangiocarcinoma who underwent major hepatectomy using our procedure from 2011
to 2015. A combined vascular resection and reconstruction was carried out in 14
patients (60.9%). R0 resection was achieved in 17 patients (73.9%), and the
overall 3-year survival rate was 52.9% (median survival time 52.4 months). The
transhepatic hilar approach is useful and practicable regardless of local tumor
extension, enabling us to determine tumor resectability and perform safe
resection and reconstruction of the portal vein early in the operation.
PMID- 27896656
TI - Pancreatitis After Pancreatoduodenectomy Predicts Clinically Relevant
Postoperative Pancreatic Fistula.
AB - BACKGROUND: Morbidity after pancreas resection is still high with postoperative
pancreatic fistulas (POPF) being the most frequent complication. However,
exocrine insufficiency seems to protect from POPF. In clinical practice, patients
showing increased postoperative systemic amylase concentrations appear to
frequently develop POPF. We therefore retrospectively examined the occurrence of
systemic amylase increase after pancreas resections and its association with the
clinical course. PATIENTS AND METHODS: Perioperative data from 739 consecutive
pancreas resections were assessed in a prospectively maintained SPSS database.
Serum and drain amylase concentrations were determined by routine clinical
chemistry. POPFs were graded into A-C according to ISGPF definitions. RESULTS: In
patients with reduced serum amylase (n = 89) on day 1 after
pancreatoduodenectomy, clinically relevant POPFs were not observed. In patients
with normal serum amylase concentrations, clinically relevant POPFs occurred in 9
%, while in 39 % of the patients with more than three times elevated amylase
concentrations, a clinically relevant postoperative fistula was observed (p <
0.001). Systemic hyperamylasemia detected on postoperative day 1 after
pancreatoduodenectomy was further a good predictor for clinically relevant POPFs
(AUROC = 0.797, p < 0.001). CONCLUSION: Patients with a high risk for developing
clinically relevant POPFs can be identified on the first postoperative day by
determining serum amylase.
PMID- 27896658
TI - Poor Glycemic Control Is Associated with Failure to Complete Neoadjuvant Therapy
and Surgery in Patients with Localized Pancreatic Cancer.
AB - BACKGROUND: The impact of glycemic control in patients with pancreatic cancer
treated with neoadjuvant therapy is unclear. METHODS: Glycated hemoglobin (HbA1c)
values were measured in patients with localized pancreatic cancer prior to any
therapy (pretreatment) and after neoadjuvant therapy prior to surgery
(preoperative). HbA1c levels greater than 6.5% were classified as abnormal.
Patients were categorized based on the change in HbA1c levels from pretreatment
to preoperative: GrpA, always normal; Gr B, worsened; GrpC, improved; and GrpD,
always abnormal. RESULTS: Pretreatment HbA1c levels were evaluable in 123
patients; there were 67 (55%) patients in GrpA, 8 (6%) in GrpB, 22 (18%) in GrpC,
and 26 (21%) in GrpD. Of the 123 patients, 92 (75%) completed all intended
therapy to include surgery; 57 (85%) patients in GrpA, 4 (50%) patients in GrpB,
16 (72%) patients in GrpC, and 15 (58%) patients in GrpD (p = 0.01). Elevated
preoperative carbohydrate antigen 19-9 (CA19-9) (OR 0.22;[0.07-0.66]), borderline
resectable (BLR) disease stage (OR 0.20;[0.01-0.45]) and abnormal preoperative
HbA1c (OR 0.30;[0.11-0.90]) were negatively associated with completion of all
intended therapy. Abnormal preoperative HbA1c was associated with a 2.74-fold
increased odds of metastatic progression during neoadjuvant therapy (p = 0.08).
CONCLUSIONS: Elevated preoperative HbA1c is associated with failure to complete
neoadjuvant therapy and surgery and a trend for increased risk of metastatic
progression.
PMID- 27896659
TI - The Relationship Between Pulsatile Flow Impingement and Intraluminal Thrombus
Deposition in Abdominal Aortic Aneurysms.
AB - Direct numerical simulations were performed on four patient-specific abdominal
aortic aneurysm (AAA) geometries and the resulting pulsatile blood flow dynamics
were compared to aneurysm shape and correlated with intraluminal thrombus (ILT)
deposition. For three of the cases, turbulent vortex structures impinged/sheared
along the anterior wall and along the posterior wall a zone of recirculating
blood formed. Within the impingement region the AAA wall was devoid of ILT and
remote to this region there was an accumulation of ILT. The high wall shear
stress (WSS) caused by the impact of vortexes is thought to prevent the
attachment of ILT. WSS from impingement is comparable to peak-systolic WSS in a
normal-sized aorta and therefore may not damage the wall. Expansion occurred to a
greater extent in the direction of jet impingement and the wall-normal force from
the continuous impact of vortexes may contribute to expansion. It was shown that
the impingement region has low oscillatory shear index (OSI) and recirculation
zones can have either low or high OSI. No correlation could be identified between
OSI and ILT deposition since different flow dynamics can have similar OSI values.
PMID- 27896657
TI - Performing the Difficult Cholecystectomy Using Combined Endoscopic and Robotic
Techniques: How I Do It.
AB - Laparoscopic cholecystectomy is the standard of care for cholelithiasis as well
as cholecystitis. However, in the setting of Mirizzi syndrome or gangrenous
cholecystitis where the critical view cannot be ascertained, subtotal
cholecystectomy may be necessary. Using the robot-assisted approach, difficult
cholecystectomies can be performed upfront without need for partial
cholecystectomy. Even in the setting of Mirizzi syndrome where severe scarring
and fibrosis are evident, definitive cholecystectomy and takedown of the
cholechystocholedochal fistula can be performed in a safe and feasible fashion
following successful endoscopic common bile duct stent placement. The purposes of
this report are to review the history of Mirizzi syndrome as well as its
traditional and novel treatment techniques and highlight technical pearls of the
robotic approach to this diagnosis.
PMID- 27896660
TI - Ayahuasca Exposure: Descriptive Analysis of Calls to US Poison Control Centers
from 2005 to 2015.
AB - BACKGROUND: Ayahuasca is a hallucinogenic plant preparation which usually
contains the vine Banisteriopsis caapi and the shrub Psychotria viridis. This tea
originates from the Amazon Basin where it is used in religious ceremonies.
Because interest in these religious groups spreading as well as awareness of use
of ayahuasca for therapeutic and recreational purposes, its use is increasing.
Banisteriopsis caapi is rich in beta-carbolines, especially harmine,
tetrahydroharmine and harmaline, which have monoamine oxidase inhibiting (MAOI)
activity. Psychotria viridis contains the 5HT2A/2C/1A receptor agonist
hallucinogen N,N-dimethyltryptamine (DMT). Usual desired effects include
hallucination, dissociation, mood alteration and perception change. Undesired
findings previously reported are nausea, vomiting, hypertension, and tachycardia.
METHODS: All human exposure calls reported to the American Association of Poison
Controls Centers' (AAPCC) National Poison Data System (NPDS) between September 1,
2005 and September 1, 2015 were reviewed. Cases were filtered for specific plant
derived ayahuasca-related product codes. Abstracted data included the following:
case age and gender, exposure reason, exposure route, clinical manifestations,
treatments given, medical outcomes and fatality. RESULTS: Five hundred and thirty
eight exposures to ayahuasca botanical products were reported. The majority of
the calls to poison control centers came from healthcare facilities (83%). The
most common route of exposure was ingestion. Most cases were men (437, 81%, 95%
CI 77.7% - 84.3%). The median age was 21 (IQR 18-29). Most exposures were acute.
Three hundred thirty-seven (63%) were reported to have a major or moderate
clinical effect. The most common clinical manifestations reported were
hallucinations (35%), tachycardia (34%), agitation (34%), hypertension (16%),
mydriasis (13%) and vomiting (6%). Benzodiazepines were commonly given (30%).
There were 28 cases in the series who required endotracheal intubation (5%). Four
cases were reported to have had a cardiac arrest and 7 a respiratory arrest.
Twelve cases had a seizure. Reports of exposures called to poison centers
appeared to increase during this period based on annual estimates. Three
fatalities were reported. CONCLUSIONS: Ayahuasca use appears to be rising in the
United States based on calls to poison control centers. While most use is
reported to be safe and well tolerated, with possible beneficial effects, serious
and life threatening adverse manifestations are possible. Most of the exposures
reported to poison control centers were young people, more likely to be men and
already in a healthcare facility. Further research, which includes comprehensive
drug testing, will be needed to better identify the risks and effects of
ayahuasca use.
PMID- 27896661
TI - Erratum to: Breast reconstruction using free medial circumflex femoral artery
perforator flaps: intraoperative anatomic study and clinical results.
PMID- 27896663
TI - Significant Role of Segmental Duplications and SIDD Sites in Chromosomal
Translocations of Hematological Malignancies: A Multi-parametric Bioinformatic
Analysis.
AB - Recurrent non-random chromosomal translocations are hallmark characteristics of
leukemogenesis, and however, molecular mechanisms underlying these rearrangements
are less explored. The fundamental question is, why and how chromosomes break and
reunite so precisely in the genome. Meticulous understanding of mechanism leading
to chromosomal rearrangement can be achieved by characterizing breakpoints. To
address this hypothesis, a novel multi-parametric computational approach for
characterization of major leukemic translocations within and around breakpoint
region was performed. To best of our knowledge, this bioinformatic analysis is
unique in finding the presence of segmental duplications (SDs) flanking
breakpoints of all major leukemic translocation. Breakpoint islands (BpIs) were
analyzed for stress-induced duplex destabilization (SIDD) sites along with other
complex genomic architecture and physicochemical properties. Our study distinctly
emphasizes on the probable correlative role of SDs, SIDD sites and various
genomic features in the occurrence of breakpoints. Further, it also highlights
potential features which may be playing a crucial role in causing double-strand
breaks, leading to translocation.
PMID- 27896662
TI - Investigating the Additive Interaction of QT-Prolonging Drugs in Older People
Using Claims Data.
AB - INTRODUCTION: Drugs that potentially prolong the QT interval carry the risk of
life-threatening Torsades de pointes (TdP) ventricular arrhythmia. OBJECTIVE: The
objective of this study was to investigate the potential additive risk for
ventricular arrhythmia with concomitant prescriptions of QT-prolonging drugs.
METHODS: Claims data for persons aged >=65 years between 2010 and 2012 in Germany
were analyzed and all cases hospitalized for ventricular arrhythmia were
selected. In a case-crossover analysis, exposure with QT-prolonging drugs
according to the Arizona Center for Education and Research on Therapeutics
(AZCERT) classification of 'known,' 'conditional,' and 'possible' TdP risk was
determined in respective event and control windows preceding hospitalization.
Conditional logistic regression was applied to derive odds ratios (ORs). RESULTS:
Among 6,849,622 health-insured persons, we identified 2572 patients newly
hospitalized for ventricular arrhythmia. Drugs with 'known' risk were more
frequently prescribed in the event window than in the control window (309 vs.
239; P < 0.001). The number of drugs with an attributed 'known' risk of TdP was
significantly associated with hospitalization for ventricular arrhythmia (OR:
2.22; 95% confidence interval [1.51-3.25]; P < 0.001), while increased risk
estimates were also obtained upon categorization into one and two or more drugs
compared with no drugs for the combined group of drug with 'known' (1.52 [1.16
2.00]) and 'conditional' risk (2.20 [1.42-3.41]). Pairwise comparisons and trend
tests based on these classification categories could not demonstrate a
significantly increased risk of two or more drugs compared with one drug.
CONCLUSION: Beyond suitable single-drug classifications for QT-associated risk
estimation, the situation when there is co-prescription of several drugs appears
to be complex and may not be extrapolated to all possible multi-drug
combinations.
PMID- 27896664
TI - Molecular Interactions, Structural Transitions and Alterations in SoxB Protein
Due to SoxYZ Interaction from Two Distinct beta-Proteobacteria: An In silico
Approach Towards the Thiosulfate Oxidation and Recycling of SoxY Protein.
AB - Microbial oxidation-reduction reactions utilizing the environmental thiosulfate
ions and mediated mainly by the sox operon are very much essential to maintain
the sulfur balance in the environment. Majority of the previously documented wet
laboratory studies show genetics behind the functionality of Sox proteins encoded
by the sox operon. However, the molecular details of the involvements of the
essential SoxB, SoxY and SoxZ proteins in the beta-proteobacteria have not yet
been elucidated. In this work, an attempt was made to analyze the interaction
profiles of the aforementioned SoxB, SoxY and SoxZ proteins to predict their
roles in biological sulfur oxidation process. In order to establish the possible
roles of these Sox proteins, we built the homology models of these proteins from
the two different beta-proteobacteria Dechloromonas aromatica and Thiobacillus
denitrificans. We then used molecular docking and simulation studies to further
analyze the interaction profiles of these sox proteins. Our analyses revealed
that SoxB protein from T. denitrificans exhibited steadier and stronger
interactions with SoxYZ protein complex. On the other hand, SoxB protein from D.
aromatica was found to exhibit a spontaneous interaction with greater DeltaG
values and therefore was well documented to exhibit a dual role. This is the
first research article to discern the molecular level of interaction profiles of
SoxB with SoxYZ protein complex in the beta-proteobacteria D. aromatica and T.
denitrificans during the oxidations of thiosulfate. It would further prompt the
future investigation into the mutational impact on the sequential interaction
pattern in sox operon.
PMID- 27896665
TI - Ultrasound-guided thoracic paravertebral block by the paralaminar in-plane
approach using a microconvex array transducer: methodological utility based on
anatomical structures.
AB - OBJECTIVE: We evaluated the analgesic feasibility of paralaminar in-plane (PL)
approach for ultrasound-guided thoracic paravertebral block (USG-TPVB). As the
needle trajectory was expected to be closely affected by the distance from the
skin to the lamina-transverse process junction (LTPJ), we examined the
correlativity between them on computed tomography (CT) or ultrasonography.
METHODS: Thirty-two patients undergoing thoracotomy were recruited. We measured
the distances between the skin and LTPJ using preoperative CT (S-L) and
procedural ultrasonography (US-L). At the beginning and the end of the surgery,
20 ml of 0.5% ropivacaine was injected. The level of sensory block and
postoperative numerical pain rating scale (NRS) was assessed. Relationships among
the measured parameters and the agreement of the needle depth (ND) with S-L and
US-L were evaluated using Pearson's correlation coefficient and Bland-Altman
analysis. RESULTS: S-L and US-L were strongly correlated with ND (r = 0.72 and r
= 0.81, respectively) but not with BMI. The Bland-Altman analysis showed that the
mean percent differences between the ND and S-L or ND and US-L were -9.6 and
20.1%, respectively. Catheters were inserted 18.6 mm lateral from the midline on
average. Analgesia extended to 3-5 dermatomes in 29 patients, and the median NRS
was 2 at 1, 6, 12, and 24 h after surgery, respectively. CONCLUSIONS: We
demonstrated that PL approach provided feasible analgesia for thoracotomy and the
ND was significantly correlated with the morphometric values. This technique
allowed for inner catheter insertion route targeting longer anteroposterior
thoracic paravertebral space length; this may reduce potential risk of pleural
puncture for USG-TPVB. Trial registry number This study was registered in the
UMIN Clinical Trials Registry (UMIN-CTR). (URL: http://umin.ac.jp/ctr/ ,
ID:UMIN000014821).
PMID- 27896666
TI - Characteristics Associated with HPV Diagnosis and Perceived Risk for Cervical
Cancer Among Unmarried, Sexually Active College Women.
AB - Human papillomavirus (HPV) has been identified as the leading cause of cervical
cancer. While HPV risk factors have been well studied, less is known about those
with HPV and their perceptions about health ramifications. The purposes of this
study were to examine unmarried college student women's (1) HPV diagnosis status
and (2) perceived risk of getting cervical cancer in the next 5 years. Data were
analyzed from 1106 unmarried, sexually active college women aged 18 to 26. Binary
logistic regression compared HPV-related knowledge, vaccination-related
perceptions, mandate support, healthcare utilization, sexual behaviors, and
personal characteristics. Multinomial logistic regression was performed to assess
the degree to which these factors were associated with perceived risk of cervical
cancer diagnosis. Relative to those not diagnosed with HPV, participants who had
more lifetime sex partners (P < 0.001), unprotected sex during last intercourse
(P = 0.003), Pap test in the past year (P < 0.001), and perceived themselves to
be at higher risk for cervical cancer (P < 0.001) were significantly more likely
to be diagnosed with HPV. Those with HPV were more likely to support HPV
vaccination mandates (P = 0.036) and have fewer friends vaccinated (P = 0.002).
Participants who were uninsured (P = 0.011), diagnosed with HPV (P < 0.001), and
had a family member (P < 0.001) or friend (P < 0.001) with cervical cancer were
more likely to perceive themselves at risk for developing cervical cancer in the
next 5 years. Findings indicate women with HPV, despite engaging in risky sexual
behaviors, acknowledge their cervical cancer risk and may be strong advocates for
HPV vaccination mandates to protect youth against this preventable virus.
PMID- 27896667
TI - Head and Neck Rhabdomyosarcoma: Clinical and Pathologic Characterization of Seven
Cases.
AB - Head and neck rhabdomyosarcoma occurs frequently in children and adolescents, and
has been well studied in that population. In contrast, it is rare in adults and
is not as well characterized clinically and pathologically. Seven cases of adult
rhabdomyosarcoma occurring in head and neck were retrieved from the archives of
Department of Pathology and Division of Oral Pathology at University of
Washington. Radiologic findings and clinical history, as well as pathologic
findings from hematoxylin and eosin slides and immunohistochemistry for myogenic
markers were reviewed. A total of seven cases of rhabdomyosarcoma (two embryonal,
three alveolar and two pleomorphic subtype) were reviewed. Patient ages ranged
from 18 to 57 years (median 21 years). Classic and unique histologic features for
each subtype, including post-treatment morphologic changes, were identified.
Clinical follow-up information was available for 4 patients. 3 of 4 patients
experienced recurrence, including two with distant metastasis. One patient died
of disease progression 41 months after presentation. Head and neck
rhabdomyosarcoma in adults can manifest both classic and unique histologic
features for each subtype. In addition, recurrence and distant metastasis were
observed, suggesting aggressive clinical behavior regardless of subtype.
PMID- 27896668
TI - Target-specific delivery of siRNA into hepatoma cells' cytoplasm by bifunctional
carrier peptide.
AB - RNA interference (RNAi) is among the most potential approach for the therapy of
hepatocellular carcinoma and the major barrier hindering siRNA therapeutics is
the low efficiency of delivery to the desired cells. The current study aimed at
developing a novel peptide for more efficient hepatoma targeted siRNA delivery,
by combining luteinizing hormone-releasing hormone with hepatoma targeting
specificity and MPG?NLS with cytoplasm-delivery tendency. The developed
bifunctional peptide LHRH-MPG?NLS and siRNA were mixed together and resulted in
LHRH-MPG?NLS/siRNA polyplexes through self-assembly. The polyplexes were
characterized by agarose gel retardation and dynamic light scatting analysis.
Hepatoma targeting specificity was analyzed with the GE IN Cell Analyzer 2000
High-Content Cellular Analysis System after cell transfection, and the effect of
RNA interference was detected by RT-PCR. The results demonstrated that LHRH
MPG?NLS was able to assemble with siRNA to form stable and nano-sized
peptide/siRNA polyplexes, which could inhibit the expression of the target gene
and was essentially non-cytotoxic, as compared with the commercial transfection
reagent lipofectamine 2000.
PMID- 27896669
TI - Detection of autoantibodies to DSF70/LEDGFp75 in Mexican Hispanics using multiple
complementary assay platforms.
AB - PURPOSE: Antinuclear autoantibodies (ANA) targeting the dense fine speckled
antigen DFS70, also known as lens epithelium-derived growth factor p75
(LEDGF/p75), are attracting attention due to their low frequency in systemic
rheumatic diseases but increased frequency in clinical laboratory referrals and
healthy individuals (HI). These ANA specifically recognize the stress protein
DFS70/LEDGFp75, implicated in cancer, HIV-AIDS, and inflammation. While their
frequency has been investigated in various ethnic populations, there is little
information on their frequency among Hispanics/Latinos. In this study, we
determined the frequency of anti-DFS70/LEDGFp75 autoantibodies in Mexican
Hispanics using multiple detection platforms. METHODS: The frequency of anti
DFS70/LEDGFp75 antibodies was determined in 171 individuals, including 71
dermatomyositis (DM) patients, 47 rheumatoid arthritis (RA) patients, 30 obesity
(OB) patients, and 23 HI. Antibody detection was achieved using four
complementary assay platforms: indirect immunofluorescence, Western blotting,
ELISA, and chemiluminescent immunoassay. RESULTS: We detected relatively low
frequencies of anti-DFS70/LEDGFp75 antibodies in patients with DM (1.4%), RA
(4.3%), and OB (6.6%), and elevated frequency (17.4%) in HI. A strong concordance
between the different antibody detection platforms was observed. CONCLUSIONS: The
low frequency of anti-DFS70/LEDGFp75 antibodies in Mexican patients with
rheumatic diseases, but relatively higher frequency in HI, is consistent with
previous observations with non-Hispanic populations, suggesting that geographic
differences or ethnicity do not influence the frequency of these autoantibodies.
Our results also highlight the importance of confirmatory assays for the accurate
detection of these autoantibodies. Future studies with larger cohorts of healthy
Hispanics/Latinos are needed to confirm if their anti-DFS70/LEDGFp75 antibody
frequencies are significantly higher than in non-Hispanics.
PMID- 27896670
TI - The activation of the IFNbeta induction/signaling pathway in porcine alveolar
macrophages by porcine reproductive and respiratory syndrome virus is variable.
AB - BACKGROUND: It has been recognized that the expression of type I interferon
(IFNalpha/beta) may be suppressed during infection with porcine reproductive,
respiratory syndrome virus (PRRSV). This causes profound negative effects on both
the innate and adaptive immunity of the host resulting in persistence of
infection. OBJECTIVE: Test the effects of PRRSV infection of porcine alveolar
macrophages (PAMs), the main target cell, on the expression of interferon beta
(IFNbeta) and downstream signaling events. METHODS: In order to examine those
effects, PAMs harvested from lungs of healthy PRRSV-free animals were infected
with virulent, attenuated, infectious clone-derived chimeric viruses, or field
PRRS virus strains. Culture supernatants from the infected PAMs were tested for
IFNbeta protein expression by means of indirect ELISA and for bioactivity by a
vesicular stomatitis virus plaque reduction assay. The expression of the Mx
protein was assayed to ascertain signaling events. RESULTS: These experiments
demonstrated that PRRSV does induce variably, the expression of bioactive IFNbeta
protein in the natural host cell. To further elucidate the effects of PRRSV
infection on IFNbeta signaling, Mx-1 an interferon stimulated gene (ISG), was
also tested for expression. Interestingly, Mx-1 expression by infected PAMs
generally correlated with IFNbeta production. CONCLUSION: The results of this
study demonstrate that the induction of IFNbeta and signaling in PAMs after PRRSV
infection is variable.
PMID- 27896671
TI - A comparison of the brainstem auditory evoked response in healthy ears of
unilaterally deaf dogs and bilaterally hearing dogs.
AB - AIMS: Auditory plasticity in response to unilateral deafness has been reported in
various animal species. Subcortical changes occurring in unilaterally deaf young
dogs using the brainstem auditory evoked response have not been evaluated yet.
The aim of this study was to assess the brainstem auditory evoked response
findings in dogs with unilateral hearing loss, and compare them with recordings
obtained from healthy dogs. METHODS: Brainstem auditory evoked responses
(amplitudes and latencies of waves I, II, III, V, the V/I wave amplitude ratio,
wave I-V, I-III and III-V interpeak intervals) were studied retrospectively in
forty-six privately owned dogs, which were either unilaterally deaf or had
bilateral hearing. The data obtained from the hearing ears in unilaterally deaf
dogs were compared to values obtained from their healthy littermates. RESULTS:
Statistically significant differences in the amplitude of wave III and the V/I
wave amplitude ratio at 75 dB nHL were found between the group of unilaterally
deaf puppies and the control group. The recordings of dogs with single-sided
deafness were compared, and the results showed no statistically significant
differences in the latencies and amplitudes of the waves between left- (AL) and
right-sided (AR) deafness. CONCLUSIONS: The recordings of the brainstem auditory
evoked response in canines with unilateral inborn deafness in this study varied
compared to recordings from healthy dogs. Future studies looking into
electrophysiological assessment of hearing in conjunction with imaging modalities
to determine subcortical auditory plasticity and auditory lateralization in
unilaterally deaf dogs are warranted.
PMID- 27896672
TI - miR-15b Inhibits the Progression of Glioblastoma Cells Through Targeting Insulin
like Growth Factor Receptor 1.
AB - The microRNAs (miRNAs) have been suggested as a tumor suppressor in recent years.
miR-15b was reported to exert an anti-oncogenic role in the proliferation,
migration, and invasion of diverse tumor cells. However, the mechanisms
underlying miR-15b-mediated biology of glioblastoma are still unclear. In the
present study, the expression of miR-15b was down-regulated in glioblastoma tumor
tissues and U87 and U251 cells, but insulin-like growth factor receptor 1 (IGF1R)
expression became up-regulated in these tumor tissues and cells (all p < 0.001).
Furthermore, IGF1R expression was inversely associated with miR-15b expression.
Notably, patients with lower miR-15b expression have a much shorter survival
period compared with high expression (log-rank test p = 0.045). In vitro data
demonstrated that miR-15b mimics inhibited the proliferation, cell cycle arrest,
and invasion of U87 and U251 cells. Besides, we validated IGF1R as a direct
target of miR-15b using dual luciferase assays, and IGF1R plasmids partially
abrogated miR-15b mimics inhibited cell proliferation. In vivo, miR-15b mimics
indeed repressed cell proliferation in mouse xenograft model. In conclusion, our
study demonstrated that miR-15b inhibits the progression of glioblastoma cells
through targeting IGF1R, and miR-15b can be recommended as a tumor suppressor in
the progression of glioblastoma.
PMID- 27896674
TI - Editorial Comment: Symposium: 2015 Meetings of the Musculoskeletal Tumor Society
and the International Society of Limb Salvage.
PMID- 27896673
TI - The clinical safety, biodistribution and internal radiation dosimetry of
[18F]AH113804 in healthy adult volunteers.
AB - BACKGROUND: Quantitative biodistribution, venous blood and excretion data have
been obtained following the intravenous bolus injection of AH113804 (18F)
Injection in six healthy volunteers (HVs), four males and two females, up to
approximately 5 h post-injection. For each subject, key organs and tissues were
delineated and analytical fits were made to the image data as functions of time
to yield the normalised cumulated activities. These were input to an internal
radiation dosimetry calculation based upon the Medical Internal Radiation Dose
(MIRD) schema for the Cristy-Eckerman adult male or female phantom. The absorbed
doses per unit administered activity to the 24 MIRD-specified target organs were
evaluated for an assumed 3.5-h urinary bladder voiding interval using the Organ
Level INternal Dose Assessment/Exponential Modelling (OLINDA/EXM) code. The sex
specific absorbed doses were then averaged, and the effective dose per unit
administered activity was calculated. RESULTS: Excluding the remaining tissue
category, the three source regions with the highest mean initial 18F activity
uptake were the liver (18.3%), lung (5.1%) and kidney (4.5%) and the highest mean
normalised cumulated activities were the urinary bladder contents and voided
urine (1.057 MBq h/MBq), liver (0.129 MBq h/MBq) and kidneys (0.065 MBq h/MBq).
The three organs/tissues with the highest mean sex-averaged absorbed doses per
unit administered activity were the urinary bladder wall (0.351 mGy/MBq), kidneys
(0.052 mGy/MBq) and uterus (0.031 mGy/MBq). CONCLUSIONS: AH113804 (18F) Injection
was safe and well tolerated. Although the effective dose, 0.0298 mSv/MBq, is
slightly greater than for other common 18F PET imaging radiopharmaceuticals, the
biodistribution and radiation dosimetry profile remain favourable for clinical
PET imaging.
PMID- 27896675
TI - Editorial: JBJS, The Bone & Joint Journal, and Clinical Orthopaedics and Related
Research Require Prospective Registration of Randomized Clinical Trials-Why Is
This Important?
PMID- 27896676
TI - What is the Incidence of Suicide in Patients with Bone and Soft Tissue Cancer? :
Suicide and Sarcoma.
AB - BACKGROUND: Patients with cancer in the United States are estimated to have a
suicide incidence that is approximately twice that of the general population.
Patients with bone and soft tissue cancer often have physical impairments and
activity limitations develop that reduce their quality of life, which may put
them at high risk for depression, anxiety, and suicidal ideation. To our
knowledge, there have been no large studies determining incidence of suicide
among patients with bone and soft tissue cancer; this information might allow
screening of certain high-risk groups. QUESTIONS/PURPOSES: To determine (1) the
incidence of suicide in patients with bone and soft tissue cancer, (2) whether
the incidence of suicide is greater in patients with bone and soft tissue cancer
than it is in the general US population, and (3) any demographic and tumor
characteristics associated with increased suicide incidence. METHODS: A
retrospective analysis of the Surveillance, Epidemiology, and End Results (SEER)
program was performed. A total of 23,620 patients with primary bone and soft
tissue cancer were identified in this database from 1973 and 2013. Patients with
a cause of death listed as "suicide and self-inflicted injury" were considered to
have committed suicide and suicide incidences were determined for different
demographic and tumor characteristics in this subset of patients. Patient data
for age, gender, race, marital status, year of diagnosis, primary cancer site,
cancer stage, course of treatment, and survival time were collected and analyzed.
The incidence of suicide in patients with bone and soft tissue sarcoma was
compared with the age-, gender-, and race-adjusted incidence of suicide in the
general US population from 1970 to 2013 available from the National Center for
Health Statistics through the SEER Program. RESULTS: The overall suicide
incidence in this population was 32 per 100,000 person-years, which was higher
than the age-, race-, and gender-adjusted US general population suicide incidence
of 13 per 100,000 person-years. When compared with the incidence of suicide in
matched subgroups of the general US population, a higher suicide incidence was
observed in men (standardized mortality ratio [SMR], 2.49; 95% CI, 1.92-3.22; p <
0.001), patients of white race (SMR, 2.68; 95% CI, 1.94-3.56; p < 0.001),
patients 21 to 30 years old (SMR, 4.40; 95% CI, 3.44-5.54; p < 0.001) and 61 to
70 years old (SMR, 3.27; 95% CI, 2.54-4.18; p < 0.001), patients with cancer of
the vertebral column (SMR, 2.88; 95% CI, 2.13-3.83; p < 0.001) and pelvic bones
(SMR, 2.75; 95% CI, 2.00-3.65; p < 0.001), and patients within the first 5 years
of cancer diagnosis (SMR, 10.8; 95% CI, 9.19-12.61; p < 0.001). CONCLUSIONS: With
identification of these characteristics that are associated with higher incidence
of suicide, physicians should consider screening patients possessing these
traits. By identifying at-risk patients, we can hope to reduce the incidence of
suicide in this population by providing the treatment that these patients need.
Further research must be done to determine how best to screen these patients and
to identify the best interventions to reduce suicide incidence. LEVEL OF
EVIDENCE: Level III, prognostic study.
PMID- 27896677
TI - Results of Database Studies in Spine Surgery Can Be Influenced by Missing Data.
AB - BACKGROUND: National databases are increasingly being used for research in spine
surgery; however, one limitation of such databases that has received sparse
mention is the frequency of missing data. Studies using these databases often do
not emphasize the percentage of missing data for each variable used and do not
specify how patients with missing data are incorporated into analyses. This study
uses the American College of Surgeons National Surgical Quality Improvement
Program (ACS-NSQIP) database to examine whether different treatments of missing
data can influence the results of spine studies. QUESTIONS/PURPOSES: (1) What is
the frequency of missing data fields for demographics, medical comorbidities,
preoperative laboratory values, operating room times, and length of stay recorded
in ACS-NSQIP? (2) Using three common approaches to handling missing data, how
frequently do those approaches agree in terms of finding particular variables to
be associated with adverse events? (3) Do different approaches to handling
missing data influence the outcomes and effect sizes of an analysis testing for
an association with these variables with occurrence of adverse events? METHODS:
Patients who underwent spine surgery between 2005 and 2013 were identified from
the ACS-NSQIP database. A total of 88,471 patients undergoing spine surgery were
identified. The most common procedures were anterior cervical discectomy and
fusion, lumbar decompression, and lumbar fusion. Demographics, comorbidities, and
perioperative laboratory values were tabulated for each patient, and the percent
of missing data was noted for each variable. These variables were tested for an
association with "any adverse event" using three separate multivariate
regressions that used the most common treatments for missing data. In the first
regression, patients with any missing data were excluded. In the second
regression, missing data were treated as a negative or "reference" value; for
continuous variables, the mean of each variable's reference range was computed
and imputed. In the third regression, any variables with > 10% rate of missing
data were removed from the regression; among variables with <= 10% missing data,
individual cases with missing values were excluded. The results of these
regressions were compared to determine how the different treatments of missing
data could affect the results of spine studies using the ACS-NSQIP database.
RESULTS: Of the 88,471 patients, as many as 4441 (5%) had missing elements among
demographic data, 69,184 (72%) among comorbidities, 70,892 (80%) among
preoperative laboratory values, and 56,551 (64%) among operating room times.
Considering the three different treatments of missing data, we found different
risk factors for adverse events. Of 44 risk factors found to be associated with
adverse events in any analysis, only 15 (34%) of these risk factors were common
among the three regressions. The second treatment of missing data (assuming
"normal" value) found the most risk factors (40) to be associated with any
adverse event, whereas the first treatment (deleting patients with missing data)
found the fewest associations at 20. Among the risk factors associated with any
adverse event, the 10 with the greatest effect size (odds ratio) by each
regression were ranked. Of the 15 variables in the top 10 for any regression, six
of these were common among all three lists. CONCLUSIONS: Differing treatments of
missing data can influence the results of spine studies using the ACS-NSQIP. The
current study highlights the importance of considering how such missing data are
handled. CLINICAL RELEVANCE: Until there are better guidelines on the best
approaches to handle missing data, investigators should report how missing data
were handled to increase the quality and transparency of orthopaedic database
research. Readers of large database studies should note whether handling of
missing data was addressed and consider potential bias with high rates or
unspecified or weak methods for handling missing data.
PMID- 27896678
TI - No Clinically Important Difference in Knee Scores or Instability Between
Transtibial and Inlay Techniques for PCL Reconstruction: A Systematic Review.
AB - BACKGROUND: It is unclear whether the biomechanical superiority of the inlay
technique over the transtibial technique, arising from avoidance of the killer
turn at the graft-tunnel margin of the proximal tibia during posterior cruciate
ligament (PCL) reconstruction, leads to better knee scores or greater knee
stability. QUESTIONS/PURPOSES: This systematic review was designed to compare
Tegner and Lysholm scores, and posterior residual laxity of the knee, between
single-bundle PCL reconstruction using transtibial and inlay techniques. METHODS:
We searched MEDLINE(r), Embase(r), and the Cochrane Library for studies comparing
Tegner and/or Lysholm scores and posterior residual laxity, in patients who
underwent PCL single-bundle reconstruction with the transtibial and tibial inlay
techniques. There were no restrictions on language or year of publication.
Studies were included if they compared clinical outcomes in patients who
underwent PCL single-bundle reconstruction with the transtibial and tibial inlay
techniques; they simultaneously reported direct comparisons of transtibial and
tibial inlay PCL single-bundle reconstruction; and their primary outcomes
included comparisons of postoperative scores on knee outcome scales and posterior
residual laxity. A total of seven studies (including 149 patients having surgery
using a transtibial approach, and 148 with the tibial inlay approach) met the
prespecified inclusion criteria and were analyzed in detail. RESULTS: Our
systematic review suggested that there are no clinically important differences
between the transtibial and the tibial inlay single-bundle PCL reconstruction in
terms of Tegner or Lysholm scores. Of the five studies that assessed Lysholm
scores, one favored the transtibial approach and four concluded no difference on
this endpoint; however, the observed differences in all studies where differences
were observed were quite small (< 7 of 100 points on the Lysholm scale), and
likely not clinically important. Of the four studies that compared postoperative
Tegner scores, three identified no differences between the approaches, while one
favored the tibial inlay approach by a small margin (0.5 of 11 points) suggesting
that there likely is no clinically important difference between the approaches in
Tegner scores, either. Finally, we identified no difference between the
approaches in terms of residual laxity, either among the seven studies that
presented data using Telos radiographs, or the five that reported on patients
with residual laxity greater than Grade 2 on a four-grade scale of posterior
drawer testing (28/107 for transtibial and 26/97 for tibial inlay). CONCLUSION:
We found no clinically important differences between the transtibial and tibial
inlay approach for PCL reconstruction. Based on the best evidence now available,
it appears that surgeons may select between these approaches based on clinical
experience and the specific elements of each patient's presentation, since there
do not appear to be important or obvious differences between the approaches with
respect to knee scores or joint stability. Future randomized trials are needed to
answer this question more definitively. LEVEL OF EVIDENCE: Level III, therapeutic
study.
PMID- 27896681
TI - Financial Impact of Direct-Acting Oral Anticoagulants in Medicaid: Budgetary
Assessment Based on Number Needed to Treat.
AB - BACKGROUND: Faced with rising healthcare costs, state Medicaid programs need
short-term, easily calculated budgetary estimates for new drugs, accounting for
medical cost offsets due to clinical advantages. OBJECTIVE: To estimate the
budgetary impact of direct-acting oral anticoagulants (DOACs) compared with
warfarin, an older, lower-cost vitamin K antagonist, on 12-month Medicaid
expenditures for nonvalvular atrial fibrillation (NVAF) using number needed to
treat (NNT). METHOD: Medicaid utilization files, 2009 through second quarter
2015, were used to estimate OAC cost accounting for generic/brand statutory
minimum (13/23%) and assumed maximum (13/50%) manufacturer rebates. NNTs were
calculated from clinical trial reports to estimate avoided medical events for a
hypothetical population of 500,000 enrollees (approximate NVAF prevalence *
Medicaid enrollment) under two DOAC market share scenarios: 2015 actual and 50%
increase. Medical service costs were based on published sources. Costs were
inflation-adjusted (2015 US$). RESULTS: From 2009-2015, OAC reimbursement per
claim increased by 173 and 279% under maximum and minimum rebate scenarios,
respectively, while DOAC market share increased from 0 to 21%. Compared with a
warfarin-only counterfactual, counts of ischemic strokes, intracranial
hemorrhages, and systemic embolisms declined by 36, 280, and 111, respectively;
counts of gastrointestinal hemorrhages increased by 794. Avoided events and
reduced monitoring, respectively, offset 3-5% and 15-24% of increased drug cost.
Net of offsets, DOAC-related cost increases were US$258-US$464 per patient per
year (PPPY) in 2015 and US$309-US$579 PPPY after market share increase.
CONCLUSIONS: Avoided medical events offset a small portion of DOAC-related drug
cost increase. NNT-based calculations provide a transparent source of budgetary
impact information for new medications.
PMID- 27896679
TI - What Are the Biomechanical Properties of the Taylor Spatial FrameTM?
AB - BACKGROUND: The Taylor Spatial FrameTM (TSF) is a versatile variant of the
traditional Ilizarov circular fixator. Although in widespread use, little
comparative data exist to quantify the biomechanical effect of substituting the
tried-and-tested Ilizarov construct for the TSF hexapod system.
QUESTIONS/PURPOSES: This study was designed to investigate the mechanical
properties of the TSF system under physiologic loads, with and without the
addition of a simulated bone model, with comparison to the standard Ilizarov
frame. METHODS: The mechanical behaviors of three identical four-ring TSF and
Ilizarov constructs were tested under levels of axial compression, bending, and
rotational torque to simulate loading during normal gait. An acrylic-pipe
fracture model subsequently was mounted, using fine wires and 5 mm half pins, and
the testing was repeated. Load-deformation curves, and so rigidity, for each
construct were calculated, with statistical comparisons performed using paired t
tests. RESULTS: Under axial loading, the TSF was found to be less rigid than the
Ilizarov frame (645 +/- 57 N/mm versus 1269 +/- 256 N/mm; mean difference, 623
N/mm; 95% CI, 438.3-808.5 N/mm; p < 0.001), but more rigid under bending and
torsional loads (bending: 42 +/- 9 Nm/degree versus 78 +/- 13 Nm/degree; mean
difference, 37 Nm/degree; 95% CI, 25.0-47.9 Nm/degree; p < 0.001; torsion: 16 +/-
2 Nm/degree versus 5 +/- 0.35 Nm/degree; mean difference, 11 Nm/degree; 95% CI,
9.5-12.2 Nm/degree; p < 0.001). On mounting the bone models, these relationships
broadly remained in the half-pin and fine-wire groups, however the half-pin
constructs were universally more rigid than those using fine wires. This effect
resulted in the TSF, using half pins, showing no difference in axial rigidity to
the fine-wire Ilizarov (107 +/- 3 N/mm versus 107 +/- 4 N/mm; mean difference,
0.05 N/mm; 95% CI, -6.99 to 7.1 N/mm; p > 0.999), while retaining greater bending
and torsional rigidity. Throughout testing, a small amount of laxity was observed
in the TSF construct on either side of neutral loading, amounting to 0.72 mm (+/
0.37 mm) for a change in loading between -10 N and 10 N axial load, and which
persisted with the addition of the synthetic fracture model. CONCLUSIONS: This
study broadly shows the TSF construct to generate lower axial rigidity, but
greater bending and torsional rigidity, when compared with the Ilizarov frame,
under physiologic loads. The anecdotally described laxity in the TSF hexapod
strut system was shown in vitro, but only at low levels of loading around
neutral. It also was shown that the increased stiffness generated by use of half
pins produced a TSF construct replicating the axial rigidity of a fine-wire
Ilizarov frame, for which much evidence of good clinical and radiologic outcomes
exist, while providing greater rigidity and so improved resistance to potentially
detrimental bending and rotational shear loads. CLINICAL RELEVANCE: If replicated
in the clinical setting, these findings suggest that when using the TSF, care
should be taken to minimize the observed laxity around neutral with appropriate
preloading of the construct, but that its use may produce constructs better able
to resist bending and torsional loading, although with lower axial rigidity. Use
of half pins in a TSF construct however may replicate the axial mechanical
behavior of an Ilizarov construct, which is thought to be conducive to bone
healing.
PMID- 27896680
TI - What Does a Shoulder MRI Cost the Consumer?
AB - BACKGROUND: More than 100 MRIs per 1000 inhabitants are performed in the United
States annually, more than almost every other country. Little is known regarding
the cost of obtaining an MRI and factors associated with differences in cost.
QUESTIONS/PURPOSES: By surveying all hospital-owned and independent imaging
centers in Iowa, we wished to determine (1) the cost to the consumer of obtaining
a noncontrast shoulder MRI, (2) the frequency and magnitude of discounts
provided, and (3) factors associated with differences in cost including location
(hospital-owned or independent) and Centers for Medicare & Medicaid Services
designation (rural, urban, and critical access). METHODS: There were 71 hospitals
and 26 independent imaging centers that offered MRI services in Iowa. Each site
was contacted via telephone and posed a scripted request for the cost of the
technical component of a noncontrast shoulder MRI. Radiologists' reading fees
were not considered. Statistical analysis was performed using standard methods
and significance was defined as a probability less than 0.05. RESULTS: The mean
technical component cost to consumers for an MRI was USD 1874 +/- USD 694 (range,
USD 500-USD 4000). Discounts were offered by 49% of imaging centers, with a mean
savings of 21%. Factors associated with increased cost include hospital-owned
imaging centers (USD 2062 +/- USD 664 versus USD 1400 +/- USD 441 at independent
imaging centers; p < 0.001; mean difference, USD 662; 95% CI, USD 351-USD 893)
and rural imaging centers, unless designated as a critical access hospital (USD
2213 +/- USD 668 versus USD 1794 +/- USD 680; p = 0.0202; mean difference, USD
419; 95% CI, USD 66-USD 772). CONCLUSIONS: In Iowa, the cost to the consumer of a
shoulder MRI is significantly less at independent imaging centers compared with
hospital-owned centers. Referring physicians and healthcare consumers should be
aware that there may be substantial price discrepancies between centers that
provide advanced imaging services. LEVEL OF EVIDENCE: Level IV, Economic and
decision analysis.
PMID- 27896683
TI - Population Pharmacokinetics of an Extended-Release Formulation of Exenatide
Following Single- and Multiple-Dose Administration.
AB - Exenatide is a glucagon-like peptide-1 receptor agonist with both immediate- and
extended-release (ER) formulations that are approved for the treatment of type 2
diabetes mellitus. Long-term exposure from the ER formulation is achieved through
slow peptide release from a degradable microsphere formulation. The goal of this
analysis was to develop a pharmacokinetic model for the ER formulation following
single and once-weekly multiple-dose administration. Pharmacokinetic data were
collected from two clinical trials-one that evaluated single-dose administration
of 2.5, 5, 7, and 10 mg of ER exenatide and a second that included weekly
administration of 0.8 and 2 mg for 15 weeks. A population pharmacokinetic model,
describing 1586 exenatide concentrations from 64 patients, was developed in the
nonlinear mixed-effects modeling software program NONMEM. Pharmacokinetics of the
ER formulation was described by a two-compartment model with linear and nonlinear
elimination. The complex absorption profile was quantified using three
simultaneous processes: a first-order process characterizing a rapid initial
release and two series of transit compartments to describe the second (~3 weeks
postinjection) and third phases of drug release (~7 weeks postinjection).
Estimation of the combined single- and multiple-dose data adequately described
the rise to steady-state (~8-10 weeks) and decline to undetectable concentrations
that occurred about 10 weeks after treatment cessation. Thus, a population-based
pharmacokinetic model was developed that provides a platform for future
pharmacodynamic analyses with the ER formulation of exenatide.
PMID- 27896682
TI - Methods of Power-Force-Velocity Profiling During Sprint Running: A Narrative
Review.
AB - The ability of the human body to generate maximal power is linked to a host of
performance outcomes and sporting success. Power-force-velocity relationships
characterize limits of the neuromuscular system to produce power, and their
measurement has been a common topic in research for the past century.
Unfortunately, the narrative of the available literature is complex, with
development occurring across a variety of methods and technology. This review
focuses on the different equipment and methods used to determine mechanical
characteristics of maximal exertion human sprinting. Stationary cycle ergometers
have been the most common mode of assessment to date, followed by specialized
treadmills used to profile the mechanical outputs of the limbs during sprint
running. The most recent methods use complex multiple-force plate lengths in
ground to create a composite profile of over-ground sprint running kinetics
across repeated sprints, and macroscopic inverse dynamic approaches to model
mechanical variables during over-ground sprinting from simple time-distance
measures during a single sprint. This review outlines these approaches
chronologically, with particular emphasis on the computational theory developed
and how this has shaped subsequent methodological approaches. Furthermore,
training applications are presented, with emphasis on the theory underlying the
assessment of optimal loading conditions for power production during resisted
sprinting. Future implications for research, based on past and present
methodological limitations, are also presented. It is our aim that this review
will assist in the understanding of the convoluted literature surrounding
mechanical sprint profiling, and consequently improve the implementation of such
methods in future research and practice.
PMID- 27896684
TI - Use of Spray-Dried Dispersions in Early Pharmaceutical Development: Theoretical
and Practical Challenges.
AB - Spray-dried dispersions (SDDs) have become an important formulation technology
for the pharmaceutical product development of poorly water-soluble (PWS)
compounds. Although this technology is now widely used in the industry,
especially in the early-phase development, the lack of mechanistic understanding
still causes difficulty in selecting excipients and predicting stability of SDD
based drug products. In this review, the authors aim to discuss several
principles of polymer science pertaining to the development of SDDs, in terms of
selecting polymers and solvents, optimizing drug loading, as well as assessing
physical stability on storage and supersaturation maintenance after dissolution,
from both thermodynamic and kinetic considerations. In order to choose compatible
solvents with both polymers and active pharmaceutical ingredients (APIs), a
symmetric Flory-Huggins interaction (Deltachi ~0) approach was introduced.
Regarding spray drying of polymer-API solutions, low critical solution
temperature (LCST) was discussed for setting the inlet temperature for drying. In
addition, after being exposed to moisture, SDDs are practically converted to
ternary systems with asymmetric Flory-Huggins interactions, which are
thermodynamically not favored. In this case, the kinetics of phase separation
plays a significant role during the storage and dissolution of SDD-based drug
products. The impact of polymers on the supersaturation maintenance of APIs in
dissolution media was also discussed. Moreover, the nature of SDDs, with
reference to solid solution and the notion of solid solubility, was examined in
the context of pharmaceutical application. Finally, the importance of robust
analytical techniques to characterize the SDD-based drug products was emphasized,
considering their complexity.
PMID- 27896685
TI - The interactions of vanadate monomer with the mycelium of fungus Phycomyces
blakesleeanus: reduction or uptake?
AB - The possibility of reduction of vanadate monomer in the mycelium of fungus
Phycomyces blakesleeanus was investigated in this study by means of polarography.
Control experiments were performed with vanadyl [V(IV)] and vanadate [V(V)] in 10
mM Hepes, pH 7.2. Addition of P. blakesleeanus mycelium resulted in disappearance
of all V(IV) polarographic waves recorded in the control. This points to the
uptake of all available V(IV) by the mycelium, up to 185 umol/gFW, and suggests
P. blakesleeanus as a potential agent in V(IV) bioremediation. Polarographic
measurements of mycelium with low concentrations (0.1-1 mM) of V(V), that only
allows the presence of monomer, showed that fungal mycelia removes around 27% of
V(V) from the extracellular solution. Uptake was saturated at 104 +/- 2 umol/gFW
which indicates excellent bioaccumulation capability of P. blakesleeanus. EPR,
51V NMR and polarographic experiments showed no indications of any measurable
extracellular complexation of V(V) monomer with fungal exudates, reduction by the
mycelium or adsorption to the cell wall. Therefore, in contrast to vanadium
oligomers, vanadate monomer interactions with the mycelium are restricted to its
transport into the fungal cell, probably by a phosphate transporter.
PMID- 27896686
TI - Blastococcus colisei sp. nov, isolated from an archaeological amphitheatre.
AB - The taxonomic position of an actinobacterial isolate, designated strain BMG 822T,
isolated from limestone from the Amphitheater of El Jem (Coliseum Thysdrus),
Tunisia, was established using a polyphasic approach. Strain BMG 822T was found
to grow well at 30 degrees C and pH 6.5-8.0, and to be coral-coloured, Gram
positive, catalase and oxidase negative. Whole cell hydrolysates contained meso
diaminopimelic acid as the diagnostic diamino acid, glucose, galactose and
ribose. The phospholipids detected were diphosphatidylglycerol,
phosphatidylcholine, phosphatidylinositol, phosphatidylethanolamine, hydroxy
phosphatidylethanolamine, an unidentified glycophospholipid and six unidentified
phospholipids. MK-9(H4) was found to be the predominant menaquinone, followed by
MK-9(H2) and MK-9. The major cellular fatty acids were identified as iso-C16:0,
C18:1 omega9c, C17:1 omega8c and iso-H-C16:1. The G+C content of the DNA (73.2%)
is typical of the genus. High degrees of 16S rRNA gene sequence similarity were
found with the type strains of the genus Blastococcus (97.1-98.3%) followed by
the type strains of Modestobacter (96.8-97.8%). Based on the above data and the
phenotypic differences from the type strains of Blastococcus species, it is
proposed that the isolate BMG 822T (=DSM 46837T=CECT 8823T) should be classified
as the type strain of a novel species, Blastococcus colisei sp. nov.
PMID- 27896687
TI - Evaluation of the influences of various force magnitudes and configurations on
scoliotic curve correction using finite element analysis.
AB - Scoliosis is a lateral curvature in the normally straight vertical line of the
spine, and the curvature can be moderate to severe. Different treatment can be
used based on severity and age of subjects, but most common treatment for this
disease is using orthosis. To design orthosis types of force arrangement can be
varied, from transverse loads to vertical loads or combination of them. But it is
not well introduced how orthoses control scoliotic curve and how to achieve the
maximum correction based on force configurations and magnitude. Therefore, it was
aimed to determine the effect of various loads configurations and magnitudes on
curve correction of a degenerative scoliotic subject. A scoliotic subject
participated in this study. The CT-Scan of the subject was used to produce 3D
model of spine. The 3D model of spine was produced by Mimics software and the
finite element analysis and deformation of scoliotic curve of the spine under
seven different forces and in three different conditions was determined by ABAQUS
software. The Cobb angle in scoliosis curve decreased significantly by applying
forces. In each condition depends on different forces, different corrections have
been achieved. It can be concluded that the configurations of the force
application mentioned in this study is effective to decrease the scoliosis curve.
Although it is a case study, it can be used for a vast number of subjects to
predict the correction of scoliosis curve before orthotic treatment. Moreover, it
is recommended that this method and the outputs can be compared with clinical
findings.
PMID- 27896688
TI - Design, simulation and modelling of auxiliary exoskeleton to improve human gait
cycle.
AB - Exoskeleton is a walking assistance device that improves human gait cycle through
providing auxiliary force and transferring physical load to the stronger muscles.
This device takes the natural state of organ and follows its natural movement.
Exoskeleton functions as an auxiliary device to help those with disabilities in
hip and knee such as devotees, elderly farmers and agricultural machinery
operators who suffer from knee complications. In this research, an exoskeleton
designed with two screw jacks at knee and hip joints. To simulate extension and
flexion movements of the leg joints, bearings were used at the end of hip and
knee joints. The generated torque and motion angles of these joints obtained as
well as the displacement curves of screw jacks in the gait cycle. Then, the human
gait cycle was simulated in stance and swing phases and the obtained torque
curves were compared. The results indicated that they followed the natural circle
of the generated torque in joints with a little difference from each other. The
maximum displacement obtained 4 and 6 cm in hip and knee joints jack
respectively. The maximum torques in hip and knee joints were generated in foot
contact phase. Also the minimum torques in hip and knee joints were generated in
toe off and heel off phases respectively.
PMID- 27896689
TI - Pharmacokinetics of Daratumumab Following Intravenous Infusion in Relapsed or
Refractory Multiple Myeloma After Prior Proteasome Inhibitor and Immunomodulatory
Drug Treatment.
AB - Daratumumab is a CD38 monoclonal antibody recently approved for the treatment of
multiple myeloma (MM). We report daratumumab pharmacokinetic data from GEN501, a
phase I/II dose-escalation (0.005-24 mg/kg) and dose-expansion (8 or 16 mg/kg)
study, and SIRIUS, a phase II study (8 or 16 mg/kg), in relapsed or refractory
MM. Noncompartmental analysis was conducted to characterize daratumumab
pharmacokinetics, and, in both studies, daratumumab exhibited nonlinear
pharmacokinetic characteristics. Decreasing daratumumab clearance with increasing
dose suggests saturation of target-mediated clearance at higher dose levels,
whereas decreasing clearance over time with repeated dosing may be due to tumor
burden reductions as CD38-positive cells are eliminated. These and other
pharmacokinetic data analyses support the use of the recommended dose regimen of
daratumumab (16 mg/kg weekly for 8 weeks, every 2 weeks for 16 weeks, and every 4
weeks thereafter) to rapidly saturate target-mediated clearance during weekly
dosing and maintain saturation when dosing every 2 or 4 weeks.
PMID- 27896690
TI - Elucidating the Plasma and Liver Pharmacokinetics of Simeprevir in Special
Populations Using Physiologically Based Pharmacokinetic Modelling.
AB - The disposition of simeprevir (SMV) in humans is characterised by cytochrome P450
3A4 metabolism and hepatic uptake by organic anion transporting polypeptide 1B1/3
(OATP1B1/3). This study was designed to investigate SMV plasma and liver exposure
upon oral administration in subjects infected with hepatitis C virus (HCV), in
subjects of Japanese or Chinese origin, subjects with organ impairment and
subjects with OATP genetic polymorphisms, using physiologically based
pharmacokinetic modelling. Simulations showed that compared with healthy
Caucasian subjects, SMV plasma exposure was 2.4-, 1.7-, 2.2- and 2.0-fold higher,
respectively, in HCV-infected Caucasian subjects, in healthy Japanese, healthy
Chinese and subjects with severe renal impairment. Further simulations showed
that compared with HCV-infected Caucasian subjects, SMV plasma exposure was 1.6
fold higher in HCV-infected Japanese subjects. In subjects with OATP1B1 genetic
polymorphisms, no noteworthy changes in SMV pharmacokinetics were observed.
Simulations suggested that liver concentrations in Caucasians with HCV are 18
times higher than plasma concentrations.
PMID- 27896691
TI - A Pilot Study of the Chronology of Present Illness: Restructuring the HPI to
Improve Physician Cognition and Communication.
AB - BACKGROUND: Patient history-taking is an essential clinical skill, with effects
on diagnostic reasoning, patient-physician relationships, and more. We evaluated
the impact of using a structured, timeline-based format, the Chronology of
Present Illness (CPI), to guide the initial patient interaction. OBJECTIVE: To
determine the feasibility and impact of the CPI on the patient interview, written
notes, and communication with other providers. DESIGN: Internal medicine
residents used the CPI during a 2-week night-float rotation. For the first week,
residents interviewed, documented, and presented patient histories according to
their normal practices. They then attended a brief educational session describing
the CPI, and were asked to use this method for new patient interviews, notes, and
handoffs during the second week. Night and day teams evaluated the method using
retrospective pre-post comparisons. PARTICIPANTS: Twenty-two internal medicine
residents in their second or third postgraduate year. INTERVENTION: An
educational dinner describing the format and potential benefits of using the CPI.
MAIN MEASURES: Retrospective pre-post surveys on the efficiency, quality, and
clarity of the patient interaction, written note, and verbal handoff, as well as
open-ended comments. Respondents included night-float residents, day team
residents, and attending physicians. KEY RESULTS: All night-float residents
responded, reporting significant improvements in written note, verbal sign-out,
assessment and plan, patient interaction, and overall efficiency (p < 0.05). Day
team residents (n = 76) also reported increased clarity in verbal sign-out and
written note, improved efficiency, and improved preparedness for presenting the
patient (p < 0.05). Attending physician ratings did not differ between groups.
CONCLUSIONS: Resident ratings indicate that the CPI can improve key aspects of
patient care, including the patient interview, note, and physician-physician
communication. These results suggest that the method should be taught and
implemented more frequently.
PMID- 27896693
TI - Capsule Commentary on Cheung et al., Leisure-Time Physical Activity and
Cardiovascular Mortality in an Elderly Population in Northern Manhattan: A
Prospective Cohort Study.
PMID- 27896694
TI - Coronary Artery Embolism from Large Aortic Valve Vegetation due to Staphylococcus
aureus Endocarditis.
PMID- 27896695
TI - Seeing Wisely.
PMID- 27896692
TI - Teaching Structure: A Qualitative Evaluation of a Structural Competency Training
for Resident Physicians.
AB - BACKGROUND: The influence of societal inequities on health has long been
established, but such content has been incorporated unevenly into medical
education and clinical training. Structural competency calls for medical
education to highlight the important influence of social, political, and economic
factors on health outcomes. AIM: This article describes the development,
implementation, and evaluation of a structural competency training for medical
residents. SETTING: A California family medicine residency program serving a
patient population predominantly (88 %) with income below 200 % of the federal
poverty level. PARTICIPANTS: A cohort of 12 residents in the family residency
program. PROGRAM DESCRIPTION: The training was designed to help residents
recognize and develop skills to respond to illness and health as the downstream
effects of social, political, and economic structures. PROGRAM EVALUATION: The
training was evaluated via qualitative analysis of surveys gathered immediately
post-training (response rate 100 %) and a focus group 1 month post-training
(attended by all residents not on service). DISCUSSION: Residents reported that
the training had a positive impact on their clinical practice and relationships
with patients. They also reported feeling overwhelmed by increased recognition of
structural influences on patient health, and indicated a need for further
training and support to address these influences.
PMID- 27896696
TI - Editorial and Review: 31st ASMS Asilomar Conference on Native Mass Spectrometry
Based Structural Biology.
PMID- 27896697
TI - Metal Cationization Extractive Electrospray Ionization Mass Spectrometry of
Compounds Containing Multiple Oxygens.
AB - Extractive electrospray ionization is an ambient ionization technique that allows
real-time sampling of liquid samples, including organic aerosols. Similar to
electrospray ionization, the composition of the electrospray solvent used in
extractive electrospray ionization can easily be altered to form metal cationized
molecules during ionization simply by adding a metal salt to the electrospray
solvent. An increase in sensitivity is observed for some molecules that are
lithium, sodium, or silver cationized compared with the protonated molecule
formed in extractive electrospray ionization with an acid additive. Tandem mass
spectrometry of metal cationized molecules can also significantly improve the
ability to identify a compound. Tandem mass spectrometry of lithium and silver
cationized molecules can result in an increase in the number and uniqueness of
dissociation pathways relative to [M + H]+. These results highlight the potential
for extractive electrospray ionization with metal cationization in analyzing
complex aerosol mixtures. Graphical Abstract ?.
PMID- 27896698
TI - Atmospheric Pressure Ionization Using a High Voltage Target Compared to
Electrospray Ionization.
AB - A new atmospheric pressure ionization (API) source, viz. UniSpray, was evaluated
for mass spectrometry (MS) analysis of pharmaceutical compounds by head-to-head
comparison with electrospray ionization (ESI) on the same high-resolution MS
system. The atmospheric pressure ionization source is composed of a grounded
nebulizer spraying onto a high voltage, cylindrical stainless steel target.
Molecules are ionized in a similar fashion to electrospray ionization,
predominantly producing protonated or deprotonated species. Adduct formation
(e.g., proton and sodium adducts) and in-source fragmentation is shown to be
almost identical between the two sources. The performance of the new API source
was compared with electrospray by infusion of a mix of 22 pharmaceutical
compounds with a wide variety of functional groups and physico-chemical
properties (molecular weight, logP, and pKa) in more than 100 different
conditions (mobile phase strength, solvents, pH, and flow rate). The new API
source shows an intensity gain of a factor 2.2 compared with ESI considering all
conditions on all compounds tested. Finally, some hypotheses on the ionization
mechanism, similarities, and differences with ESI, are discussed. Graphical
Abstract ?.
PMID- 27896700
TI - FAT4 functions as a tumor suppressor in triple-negative breast cancer.
AB - Triple-negative breast cancer (TNBC) is an aggressive subtype of breast cancer
that is often associated with biologic behavior with frequent distant metastasis.
FAT tumor suppressor homolog 4 (FAT4), a cadherin-related protein, is involved in
a variety of biological processes as a tumor suppressor; however, the role of
FAT4 in TNBC is still unclear. The aim of our study was to identify the role of
FAT4 in TNBC and examine the underlying molecular mechanisms. The expression of
FAT4 was evaluated by immunohistochemistry, western blotting, and qRT-PCR in a
series of TNBC tissues. The effects of FAT4 on the ability of cell proliferation,
migration, and invasion were assessed by MTT assay and migration and invasion
assays. We demonstrated that the repression of FAT4 by shRNA could promote TNBC
progression. Taken together, our findings provide evidence for a role of the FAT4
cluster as a tumor suppressor in TNBC patients and may serve as potential novel
targets for the treatment of TNBC.
PMID- 27896701
TI - I-123 metaiodobenzylguanidine innervation imaging as a tool for norepinephrine
transporter research: A possible application for genetic analysis in heart
failure.
PMID- 27896699
TI - Cationized Carbohydrate Gas-Phase Fragmentation Chemistry.
AB - We investigate the fragmentation chemistry of cationized carbohydrates using a
combination of tandem mass spectrometry, regioselective labeling, and
computational methods. Our model system is D-lactose. Barriers to the fundamental
glyosidic bond cleavage reactions, neutral loss pathways, and structurally
informative cross-ring cleavages are investigated. The most energetically
favorable conformations of cationized D-lactose were found to be similar. In
agreement with the literature, larger group I cations result in structures with
increased cation coordination number which require greater collision energy to
dissociate. In contrast with earlier proposals, the B n -Y m fragmentation
pathways of both protonated and sodium-cationized analytes proceed via
protonation of the glycosidic oxygen with concerted glycosidic bond cleavage.
Additionally, for the sodiated congeners our calculations support sodiated 1,6
anhydrogalactose B n ion structures, unlike the preceding literature. This
affects the subsequent propensity of formation and prediction of B n /Y m
branching ratio. The nature of the anomeric center (alpha/beta) affects the
relative energies of these processes, but not the overall ranking. Low-energy
cross-ring cleavages are observed for the metal-cationized analytes with a retro
aldol mechanism producing the 0,2 A 2 ion from the sodiated forms. Theory and
experiment support the importance of consecutive fragmentation processes,
particularly for the protonated congeners at higher collision energies. Graphical
Abstract ?.
PMID- 27896702
TI - Safety of regadenoson stress testing in patients with pulmonary hypertension.
AB - OBJECTIVES: We sought to determine the safety of regadenoson stress testing in
patients with PH. BACKGROUND: PH is increasingly recognized at more advanced
ages. As many as one-third of patients with PH have coronary artery disease.
Because of their physical limitations, patients with PH are unable to adequately
exercise. Regadenoson can potentially have an adverse impact due to their tenuous
hemodynamics. Current guidelines suggest performing a coronary angiography in
patients with PH who have angina or multiple coronary risk factors. METHODS: We
identified 67 consecutive patients with confirmed PH by catheterization (mean PA
> 25 mmHg not due to left heart disease) who underwent MPI with regadenoson
stress. Medical records were reviewed to determine hemodynamic and ECG response
to regadenoson. RESULTS: No serious events occurred. Common side effects related
to regadenoson were observed, dyspnea being the most common (70.6%). No syncope
occurred. Heart rate increased from 74.6 +/- 14 to 96.3 +/- 18.3 bpm, systolic
blood pressure increased from 129.8 +/- 20.9 to 131.8 +/- 31 mmHg, and diastolic
blood pressure decreased from 77.1 +/- 11.4 to 72.9 +/- 15.3 mmHg. There was no
ventricular tachycardia, ventricular fibrillation, or second- or third-degree
atrioventricular block. CONCLUSION: Regadenoson stress MPI appears to be well
tolerated and safe in patients with PH.
PMID- 27896704
TI - External and internal compressions to retrieve a kinked catheter in the right
brachial artery.
AB - Kinking of the catheter due to excessive rotation is not a rare complication.
However, percutaneous retrieval of a kinked catheter can be difficult. The key to
bailout is fixation of the catheter tip. Herein, we present a 78-year-old woman
who had this complication during transradial angiography. Retrieval using several
previously reported techniques was unsuccessful. We finally retrieved the kinked
catheter by fixing the tip of the catheter, using external and internal
compressions. The former comprises manual compression on the axillary artery,
while the latter comprises deployment of a balloon catheter via another puncture
site.
PMID- 27896703
TI - Spreading activation in nonverbal memory networks.
AB - Theories of spreading activation primarily involve semantic memory networks.
However, the existence of separate verbal and visuospatial memory networks
suggests that spreading activation may also occur in visuospatial memory
networks. The purpose of the present investigation was to explore this
possibility. Specifically, this study sought to create and describe the design
frequency corpus and to determine whether this measure of visuospatial spreading
activation was related to right hemisphere functioning and spreading activation
in verbal memory networks. We used word frequencies taken from the Controlled
Oral Word Association Test and design frequencies taken from the Ruff Figural
Fluency Test as measures of verbal and visuospatial spreading activation,
respectively. Average word and design frequencies were then correlated with
measures of left and right cerebral functioning. The results indicated that a
significant relationship exists between performance on a test of right posterior
functioning (Block Design) and design frequency. A significant negative
relationship also exists between spreading activation in semantic memory networks
and design frequency. Based on our findings, the hypotheses were supported.
Further research will need to be conducted to examine whether spreading
activation exists in visuospatial memory networks as well as the parameters that
might modulate this spreading activation, such as the influence of
neurotransmitters.
PMID- 27896705
TI - Does Gender Influence the Cardiovascular Benefits Observed with Sodium Glucose Co
Transporter-2 (SGLT-2) Inhibitors? A Meta-Regression Analysis.
AB - INTRODUCTION: Although a large recent trial had shown improved cardiovascular
outcomes of diabetic patients on sodium glucose co-transporter-2 (SGLT-2)
inhibitors, the influence of gender differences on such outcomes is not known.
Thus, we aimed to assess the impact of gender on such outcomes in the patients
with type 2 diabetes mellitus receiving SGLT-2 inhibitors. METHODS: A search of
electronic databases was conducted for all randomized trials comparing SGLT-2
inhibitors with placebo in patients with diabetes mellitus. Primary outcomes were
all-cause mortality and cardiovascular mortality. Random effects meta-regression
was conducted using the percentage of women included in the SGLT-2 inhibitor arm
of each trial with a P value of <0.1 for statistical significance. RESULTS: A
total of 22,256 patients from 26 trials were included. The overall odds ratio
(OR) of all-cause mortality [OR = 0.72, 95% confidence interval (CI) 0.60-0.86, P
< 0.001, I 2 = 0%], and cardiovascular mortality (OR = 0.67, 95% CI 0.53-0.84, P
= 0.001, I 2 = 23%) were lower with SGLT-2 inhibitors. Meta-regression suggested
a possible incremental increase in the OR for all-cause mortality and
cardiovascular mortality as the percentage of women in the SGLT-2 inhibitor arm
increased (P = 0.07 and 0.08, respectively). CONCLUSION: Gender might influence
the cardiovascular benefits observed with SGLT-2 inhibitors in patients with type
2 diabetes mellitus.
PMID- 27896707
TI - Detection costs and contingent attentional capture.
AB - Peripheral cues reduce reaction times (RTs) to targets at the cued location with
short cue-target SOAs (cueing benefits) but increase RTs at long SOAs (cueing
costs or inhibition of return). In detection tasks, cueing costs occur at shorter
SOAs and are larger compared with identification tasks. To account for effects of
task, detection cost theory claims that the integration of cue and target into an
object file makes it more difficult to detect the target as a new event, which is
the principal task-requirement in detection tasks. The integration of cue and
target is expected to increase when cue and target are similar. We provided
evidence for detection cost theory in the modified spatial cueing paradigm. Two
types of cues (onset, color) were paired with two types of targets (onset, color)
in separate blocks of trials. In the identification task, we found cueing
benefits with matching (i.e., similar) cue-target pairs (onset-onset, color
color) and no cueing effects with nonmatching cue-target pairs (onset-color,
color-onset), which replicates previous work. In the detection task, cueing
effects with matching cues were reduced and even turned into cueing costs for
onset cues with onset targets, suggesting that cue-target integration made it
more difficult to detect targets at the cued location as new events. In contrast,
the results for nonmatching cue-target pairs were not affected by task.
Furthermore, the pattern of false alarms in the detection task provides a measure
of similarity that may explain the size of cueing benefits and costs.
PMID- 27896708
TI - Asymmetric interference in concurrent time-to-contact estimation: Cousin or twin
of the psychological refractory period effect?
AB - In a reaction time (RT) task requiring fast responses to two stimuli presented
close in time, human observers show a delayed RT to the second stimulus. This
phenomenon has been attributed to a psychological refractory period (PRP). A
similar asymmetric interference is found when performing multiple concurrent
visual time-to-contact (TTC) estimations for moving objects, despite important
differences between the tasks. In the present study, we studied the properties of
the asymmetric interference found in the TTC task and compared them to the
classical PRP effect. In Experiment 1, we varied the time interval between the
two objects' arrival times to determine the dependence of the PRP-like effect on
the asynchrony between the two TTCs. In Experiment 2, we investigated whether the
physical or the perceived arrival order determined the asymmetric interference.
Our results confirmed the existence of asymmetric interference in the multiple
TTC estimation task, but also indicated important differences from the
traditional PRP effect observed in the RT paradigm. The origins of these
differences are discussed, as well as the practical implications.
PMID- 27896706
TI - Anesthetics and Outcome in Status Epilepticus: A Matched Two-Center Cohort Study.
AB - BACKGROUND: The use of anesthetics has been linked to poor outcome in patients
with status epilepticus (SE). This association, however, may be confounded, as
anesthetics are mostly administered in patients with more severe SE and critical
illnesses. OBJECTIVE: To minimize treatment-selection bias, we assessed the
association between continuously administered intravenous anesthetic drugs
(IVADs) and outcome in SE patients by a matched two-center study design. METHODS:
This cohort study was performed at the Johns Hopkins Bayview Medical Center,
Baltimore, MD, USA and the University Hospital Basel, Basel, Switzerland. All
consecutive adult SE patients from 2005 to 2013 were included. Odds ratios (ORs)
for death and unfavorable outcome (Glasgow Outcome Score [GOS] 1-3) associated
with administration of IVADs were calculated. To account for confounding by known
outcome determinants (age, level of consciousness, worst seizure type,
acute/fatal etiology, mechanical ventilation, and SE duration), propensity score
matching and coarsened exact matching were performed in addition to multivariable
regression models. RESULTS: Among 406 consecutive patients, 139 (34.2%) were
treated with IVADs. Logistic regression analyses of the unmatched and matched
cohorts revealed increased odds for death and unfavorable outcome in survivors
who had received IVADs (unmatched: ORdeath = 3.13, 95% confidence interval [CI]
1.47-6.60 and ORGOS1-3 = 2.51, 95% CI 1.37-4.60; propensity score matched:
ORdeath = 3.29, 95% CI 1.35-8.05 and ORGOS1-3 = 2.27, 95% CI 1.02-5.06; coarsened
exact matched: ORdeath = 2.19, 95% CI 1.27-3.78 and ORGOS1-3 = 3.94, 95% CI 2.12
7.32). CONCLUSION: The use of IVADs in SE is associated with death and
unfavorable outcome in survivors independent of known confounders and using
different statistical approaches. Randomized trials are needed to determine if
these associations are biased by outcome predictors not yet identified and hence
not accounted for in this study.
PMID- 27896709
TI - Learned value and object perception: Accelerated perception or biased decisions?
AB - Learned value is known to bias visual search toward valued stimuli. However, some
uncertainty exists regarding the stage of visual processing that is modulated by
learned value. Here, we directly tested the effect of learned value on
preattentive processing using temporal order judgments. Across four experiments,
we imbued some stimuli with high value and some with low value, using a
nonmonetary reward task. In Experiment 1, we replicated the value-driven
distraction effect, validating our nonmonetary reward task. Experiment 2 showed
that high-value stimuli, but not low-value stimuli, exhibit a prior-entry effect.
Experiment 3, which reversed the temporal order judgment task (i.e., reporting
which stimulus came second), showed no prior-entry effect, indicating that
although a response bias may be present for high-value stimuli, they are still
reported as appearing earlier. However, Experiment 4, using a simultaneity
judgment task, showed no shift in temporal perception. Overall, our results
support the conclusion that learned value biases perceptual decisions about
valued stimuli without speeding preattentive stimulus processing.
PMID- 27896710
TI - How social network heterogeneity facilitates lexical access and lexical
prediction.
AB - People learn language from their social environment. As individuals differ in
their social networks, they might be exposed to input with different lexical
distributions, and these might influence their linguistic representations and
lexical choices. In this article we test the relation between linguistic
performance and 3 social network properties that should influence input
variability, namely, network size, network heterogeneity, and network density. In
particular, we examine how these social network properties influence lexical
prediction, lexical access, and lexical use. To do so, in Study 1, participants
predicted how people of different ages would name pictures, and in Study 2
participants named the pictures themselves. In both studies, we examined how
participants' social network properties related to their performance. In Study 3,
we ran simulations on norms we collected to see how age variability in one's
network influences the distribution of different names in the input. In all
studies, network age heterogeneity influenced performance leading to better
prediction, faster response times for difficult-to-name items, and less entropy
in input distribution. These results suggest that individual differences in
social network properties can influence linguistic behavior. Specifically, they
show that having a more heterogeneous network is associated with better
performance. These results also show that the same factors influence lexical
prediction and lexical production, suggesting the two might be related.
PMID- 27896712
TI - Applications of Accelerators and Radiation Sources in the Field of Space Research
and Industry.
AB - Beyond their important economic role in commercial communications, satellites in
general are critical infrastructure because of the services they provide. In
addition to satellites providing information which facilitates a better
understanding of the space environment and improved performance of physics
experiments, satellite observations are also used to actively monitor weather,
geological processes, agricultural development and the evolution of natural and
man-made hazards. Defence agencies depend on satellite services for communication
in remote locations, as well as for reconnaissance and intelligence. Both
commercial and government users rely on communication satellites to provide
communication in the event of a disaster that damages ground-based communication
systems, provide news, education and entertainment to remote areas and connect
global businesses. The space radiation environment is an hazard to most satellite
missions and can lead to extremely difficult operating conditions for all of the
equipment travelling in space. Here, we first provide an overview of the main
components of space radiation environment, followed by a description of the basic
mechanism of the interaction of radiation with matter. This is followed by an
introduction to the space radiation hardness assurance problem and the main
effects of natural radiation to the microelectronics (total ionizing dose,
displacement damage and the single-event effect and a description of how
different effects occurring in the space can be tested in on-ground experiments
by using particle accelerators and radiation sources. We also discuss standards
and the recommended procedures to obtain reliable results.
PMID- 27896711
TI - Using EQ-5D-3L and OAB-5D to assess changes in the health-related quality of life
of men with lower urinary tract symptoms associated with benign prostatic
hyperplasia.
AB - OBJECTIVES: To assess changes in the health status of men with lower urinary
tract symptoms associated with benign prostatic hyperplasia (LUTS/BPH) using the
EQ-5D-3L and OAB-5D instruments and to evaluate the sensitivity of the
instruments. METHODS: Data were available from a large randomised phase III trial
of men with moderate-to-severe storage and voiding LUTS/BPH (NEPTUNE). Men
received a fixed-dose combination of solifenacin 6 mg plus oral controlled
absorption system (OCASTM) formulation of tamsulosin (TOCAS, 0.4 mg), TOCAS
monotherapy or placebo and completed the EQ-5D-3L and OAB-5D at baseline and
weeks 4, 8 and 12. Analysis of covariance was used to estimate changes in EQ-5D
3L Index, EQ-VAS and OAB-5D. Changes in dimension level were summarised using the
Paretian Classification of Health Change (PCHC). RESULTS: Improved health-related
quality of life from baseline was seen in all treatment arms on EQ-5D-3L and OAB
5D at week 12, although only OAB-5D showed statistically significant differences
between active treatment and placebo, both on the index score and using the PCHC
approach. Effect sizes in the active treatment groups were large (>0.8) on OAB-5D
but small (~0.2) on EQ-5D-3L. EQ-5D-3L showed a very high ceiling effect (45% of
men reported full health at baseline) and a substantial proportion of these men
reported improvements at week 12 in several dimensions of OAB-5D. CONCLUSIONS: A
large ceiling effect on EQ-5D-3L substantially limited its sensitivity in this
population. OAB-5D proved more sensitive to changes in health status and could be
considered a complement to ED-5D-3L as a source of utilities for health economic
modelling.
PMID- 27896713
TI - Resistance to Cultural Intervention: Formation of Inhibitory Collective and
children's Self-Defensive Regulation in a Chinese School.
AB - A sequel to the previous article "Roots of Excellence: The Releasing Effect of
Individual Potentials through Educational Cultural Intervention in a Chinese
School" (in press), the present study is on the unexpected reversal phenomena in
the process of cultural intervention. The goal of the intervention is to
construct the dynamics of Jiti (well-organized collective in Chinese) through
creative activities to promote students' development. In the intervention, the
releasing effect (Wu et al. 2016) emerged as well, but the teacher's concern
about worsening discipline and academic performance evoked and reinforced his
habitual notions and practices of education, turning the joint activities into a
way of strengthening discipline. The energy that had been discharging at the
beginning of the intervention was inhibited, so that many more problematic
behaviors took shape. The whole class formed an inhibitory atmosphere, within
which pupils formed self-defensive regulation strategies. By comparing with the
productive collective in which intervention was effective and analyzing this
unexpected reversal process, we can not only see pupils' self-construction status
in the inhibitory culture but illuminate the formation of the teacher's
resistance to educational and cultural transformation as well. Resistance is
originated from teachers not being able to interpret pupils' inner developmental
needs but instead anxious about the ongoing problems.
PMID- 27896715
TI - Genome Sequencing.
AB - Genome sequencing is an important step toward correlating genotypes with
phenotypic characters. Sequencing technologies are important in many fields in
the life sciences, including functional genomics, transcriptomics, oncology,
evolutionary biology, forensic sciences, and many more. The era of sequencing has
been divided into three generations. First generation sequencing involved
sequencing by synthesis (Sanger sequencing) and sequencing by cleavage (Maxam
Gilbert sequencing). Sanger sequencing led to the completion of various genome
sequences (including human) and provided the foundation for development of other
sequencing technologies. Since then, various techniques have been developed which
can overcome some of the limitations of Sanger sequencing. These techniques are
collectively known as "Next-generation sequencing" (NGS), and are further
classified into second and third generation technologies. Although NGS methods
have many advantages in terms of speed, cost, and parallelism, the accuracy and
read length of Sanger sequencing is still superior and has confined the use of
NGS mainly to resequencing genomes. Consequently, there is a continuing need to
develop improved real time sequencing techniques. This chapter reviews some of
the options currently available and provides a generic workflow for sequencing a
genome.
PMID- 27896716
TI - Sequence Assembly.
AB - We describe an efficient method for assembling short reads into long sequences.
In this method, a hashing technique is used to compute overlaps between short
reads, allowing base mismatches in the overlaps. Then an overlap graph is
constructed, with each vertex representing a read and each edge representing an
overlap. The overlap graph is explored by graph algorithms to find unique paths
of reads representing contigs. The consensus sequence of each contig is
constructed by computing alignments of multiple reads without gaps. This strategy
has been implemented as a short read assembly program called PCAP.Solexa. We also
describe how to use PCAP. Solexa in assembly of short reads.
PMID- 27896717
TI - A Practical Approach to Protein Crystallography.
AB - Macromolecular crystallography is a powerful tool for structural biology. The
resolution of a protein crystal structure is becoming much easier than in the
past, thanks to developments in computing, automation of crystallization
techniques and high-flux synchrotron sources to collect diffraction datasets. The
aim of this chapter is to provide practical procedures to determine a protein
crystal structure, illustrating the new techniques, experimental methods, and
software that have made protein crystallography a tool accessible to a larger
scientific community.It is impossible to give more than a taste of what the X-ray
crystallographic technique entails in one brief chapter and there are different
ways to solve a protein structure. Since the number of structures available in
the Protein Data Bank (PDB) is becoming ever larger (the protein data bank now
contains more than 100,000 entries) and therefore the probability to find a good
model to solve the structure is ever increasing, we focus our attention on the
Molecular Replacement method. Indeed, whenever applicable, this method allows the
resolution of macromolecular structures starting from a single data set and a
search model downloaded from the PDB, with the aid only of computer work.
PMID- 27896714
TI - Stopping ability in younger and older adults: Behavioral and event-related
potential.
AB - This study examines age-related differences in inhibitory control as measured by
stop-signal performance. The participants were 24 adults aged 20-30 years and 24
older adults aged 61-76 years. The task blocks were pure choice reaction-time
blocks, global stop-signal blocks (with an auditory stop signal), and selective
stop-signal blocks (with valid and invalid stop signals). There was a decline in
reactive inhibitory control for the older group reflected by greater stop-signal
reaction times and reduced P3 peak amplitudes in both global and selective stop
signal task blocks. The decreased reactive inhibitory control might result from
speed-accuracy tradeoffs. Conversely, no age-related decline in proactive
inhibitory control was observed. This was reflected by slower response times
(RTs) and reduced P3 peak amplitudes during GO trials in blocks with stop-signals
relative to those in blocks of pure choice reaction-time tasks, and in which the
RT and amplitude differences were similar between groups. The results further
show age-related compensation responses associated with proactive inhibition,
such as increased activation at the frontal site among older participants,
resulting in no differences in P3 peak amplitudes between electrode sites, and
smaller differences at the Fz site than other sites compared with younger adults.
For older adults, the P3 peak amplitude at the Fz site was significantly
correlated with the RT of proactive inhibitory control. This shows that larger RT
differences were associated with larger reductions in P3 peak amplitudes in the
stop-signal blocks relative to the pure choice blocks. These results appear to
support age-related compensation hypotheses.
PMID- 27896718
TI - Managing Sequence Data.
AB - Nucleotide and protein sequences are the foundation for all bioinformatics tools
and resources. Researchers can analyze these sequences to discover genes or
predict the function of their products. The INSDC (International Nucleotide
Sequence Database-DDBJ/ENA/GenBank + SRA) is an international, centralized
primary sequence resource that is freely available on the Internet. This database
contains all publicly available nucleotide and derived protein sequences. This
chapter discusses the structure and history of the nucleotide sequence database
resources built at NCBI, provides information on how to submit sequences to the
databases, and explains how to access the sequence data.
PMID- 27896719
TI - Genome Annotation.
AB - The dynamic structure and functions of genomes are being revealed simultaneously
with the progress of technologies and researches in genomics. Evidence indicating
genome regional characteristics (genome annotations in a broad sense) provide the
basis for further analyses. Target listing and screening can be effectively
performed in silico using such data. Here, we describe steps to obtain publicly
available genome annotations or to construct new annotations based on your own
analyses, as well as an overview of the types of available genome annotations and
corresponding resources.
PMID- 27896720
TI - Working with Ontologies.
AB - Ontologies are powerful and popular tools to encode data in a structured format
and manage knowledge. A large variety of existing ontologies offer users access
to biomedical knowledge. This chapter contains a short theoretical background of
ontologies and introduces two notable examples: The Gene Ontology and the
ontology for Biological Pathways Exchange. For both ontologies a short overview
and working bioinformatic applications, i.e., Gene Ontology enrichment analyses
and pathway data visualization, are provided.
PMID- 27896721
TI - The Classification of Protein Domains.
AB - The significant expansion in protein sequence and structure data that we are now
witnessing brings with it a pressing need to bring order to the protein world.
Such order enables us to gain insights into the evolution of proteins, their
function and the extent to which the functional repertoire can vary across the
three kingdoms of life. This has lead to the creation of a wide range of protein
family classifications that aim to group proteins based upon their evolutionary
relationships.In this chapter we discuss the approaches and methods that are
frequently used in the classification of proteins, with a specific emphasis on
the classification of protein domains. The construction of both domain sequence
and domain structure databases is considered and we show how the use of domain
family annotations to assign structural and functional information is enhancing
our understanding of genomes.
PMID- 27896722
TI - Multiple Sequence Alignment.
AB - The increasing importance of Next Generation Sequencing (NGS) techniques has
highlighted the key role of multiple sequence alignment (MSA) in comparative
structure and function analysis of biological sequences. MSA often leads to
fundamental biological insight into sequence-structure-function relationships of
nucleotide or protein sequence families. Significant advances have been achieved
in this field, and many useful tools have been developed for constructing
alignments, although many biological and methodological issues are still open.
This chapter first provides some background information and considerations
associated with MSA techniques, concentrating on the alignment of protein
sequences. Then, a practical overview of currently available methods and a
description of their specific advantages and limitations are given, to serve as a
helpful guide or starting point for researchers who aim to construct a reliable
MSA.
PMID- 27896724
TI - Genomic Database Searching.
AB - The availability of reference genome sequences for virtually all species under
active research has revolutionized biology. Analyses of genomic variations in
many organisms have provided insights into phenotypic traits, evolution and
disease, and are transforming medicine. All genomic data from publicly funded
projects are freely available in Internet-based databases, for download or
searching via genome browsers such as Ensembl, Vega, NCBI's Map Viewer, and the
UCSC Genome Browser. These online tools generate interactive graphical outputs of
relevant chromosomal regions, showing genes, transcripts, and other genomic
landmarks, and epigenetic features mapped by projects such as ENCODE.This chapter
provides a broad overview of the major genomic databases and browsers, and
describes various approaches and the latest resources for searching them. Methods
are provided for identifying genomic locus and sequence information using gene
names or codes, identifiers for DNA and RNA molecules and proteins; also from
karyotype bands, chromosomal coordinates, sequences, motifs, and matrix-based
patterns. Approaches are also described for batch retrieval of genomic
information, performing more complex queries, and analyzing larger sets of
experimental data, for example from next-generation sequencing projects.
PMID- 27896723
TI - Large-Scale Sequence Comparison.
AB - There are millions of sequences deposited in genomic databases, and it is an
important task to categorize them according to their structural and functional
roles. Sequence comparison is a prerequisite for proper categorization of both
DNA and protein sequences, and helps in assigning a putative or hypothetical
structure and function to a given sequence. There are various methods available
for comparing sequences, alignment being first and foremost for sequences with a
small number of base pairs as well as for large-scale genome comparison. Various
tools are available for performing pairwise large sequence comparison. The best
known tools either perform global alignment or generate local alignments between
the two sequences. In this chapter we first provide basic information regarding
sequence comparison. This is followed by the description of the PAM and BLOSUM
matrices that form the basis of sequence comparison. We also give a practical
overview of currently available methods such as BLAST and FASTA, followed by a
description and overview of tools available for genome comparison including
LAGAN, MumMER, BLASTZ, and AVID.
PMID- 27896725
TI - Finding Genes in Genome Sequence.
AB - Gene finding is the process of identifying genome sequence regions representing
stretches of DNA that encode biologically active products, such as proteins or
functional noncoding RNAs. As this is usually the first step in the analysis of
any novel genomic sequence or resequenced sample of well-known organisms, it is a
very important issue, as all downstream analyses depend on the results. This
chapter describes the biological basis for gene finding, and the programs and
computational approaches that are available for the automated identification of
protein-coding genes. For bacterial, archaeal, and eukaryotic genomes, as well as
for multi-species sequence data originating from environmental community studies,
the state of the art in automated gene finding is described.
PMID- 27896726
TI - Sequence Segmentation with changeptGUI.
AB - Many biological sequences have a segmental structure that can provide valuable
clues to their content, structure, and function. The program changept is a tool
for investigating the segmental structure of a sequence, and can also be applied
to multiple sequences in parallel to identify a common segmental structure, thus
providing a method for integrating multiple data types to identify functional
elements in genomes. In the previous edition of this book, a command line
interface for changept is described. Here we present a graphical user interface
for this package, called changeptGUI. This interface also includes tools for pre-
and post-processing of data and results to facilitate investigation of the number
and characteristics of segment classes.
PMID- 27896727
TI - Measuring Natural Selection.
AB - In this chapter, I review the basic algorithm underlying the CODEML model
implemented in the software package PAML. This is intended as a companion to the
software's manual, and a primer to the extensive literature available on CODEML.
At the end of this chapter, I hope that you will be able to understand enough of
how CODEML operates to plan your own analyses.
PMID- 27896729
TI - Identifying Optimal Models of Evolution.
AB - Most phylogenetic methods are model-based and depend on models of evolution
designed to approximate the evolutionary processes. Several methods have been
developed to identify suitable models of evolution for phylogenetic analysis of
alignments of nucleotide or amino acid sequences and some of these methods are
now firmly embedded in the phylogenetic protocol. However, in a disturbingly
large number of cases, it appears that these models were used without
acknowledgement of their inherent shortcomings. In this chapter, we discuss the
problem of model selection and show how some of the inherent shortcomings may be
identified and overcome.
PMID- 27896728
TI - Inferring Trees.
AB - Molecular evolution can reveal the relationship between sets of homologous
sequences and the patterns of change that occur during their evolution. An
important aspect of these studies is the inference of a phylogenetic tree, which
explicitly describes evolutionary relationships between homologous sequences.
This chapter provides an introduction to evolutionary trees and how to infer them
from sequence data using some commonly used inferential methodology. It focuses
on statistical methods for inferring trees and how to assess the confidence one
should have in any resulting tree, with a particular emphasis on the underlying
assumptions of the methods and how they might affect the tree estimate. There is
also some discussion of the underlying algorithms used to perform tree search and
recommendations regarding the performance of different algorithms. Finally, there
are a few practical guidelines, including how to combine multiple software
packages to improve inference, and a comparison between Bayesian and Maximum
likelihood phylogenetics.
PMID- 27896730
TI - Scaling Up the Phylogenetic Detection of Lateral Gene Transfer Events.
AB - Lateral genetic transfer (LGT) is the process by which genetic material moves
between organisms (and viruses) in the biosphere. Among the many approaches
developed for the inference of LGT events from DNA sequence data, methods based
on the comparison of phylogenetic trees remain the gold standard for many types
of problem. Identifying LGT events from sequenced genomes typically involves a
series of steps in which homologous sequences are identified and aligned,
phylogenetic trees are inferred, and their topologies are compared to identify
unexpected or conflicting relationships. These types of approach have been used
to elucidate the nature and extent of LGT and its physiological and ecological
consequences throughout the Tree of Life. Advances in DNA sequencing technology
have led to enormous increases in the number of sequenced genomes, including
ultra-deep sampling of specific taxonomic groups and single cell-based sequencing
of unculturable "microbial dark matter." Environmental shotgun sequencing enables
the study of LGT among organisms that share the same habitat.This abundance of
genomic data offers new opportunities for scientific discovery, but poses two key
problems. As ever more genomes are generated, the assembly and annotation of each
individual genome receives less scrutiny; and with so many genomes available it
is tempting to include them all in a single analysis, but thousands of genomes
and millions of genes can overwhelm key algorithms in the analysis pipeline.
Identifying LGT events of interest therefore depends on choosing the right
dataset, and on algorithms that appropriately balance speed and accuracy given
the size and composition of the chosen set of genomes.
PMID- 27896731
TI - Detecting and Analyzing Genetic Recombination Using RDP4.
AB - Recombination between nucleotide sequences is a major process influencing the
evolution of most species on Earth. The evolutionary value of recombination has
been widely debated and so too has its influence on evolutionary analysis methods
that assume nucleotide sequences replicate without recombining. When nucleic
acids recombine, the evolution of the daughter or recombinant molecule cannot be
accurately described by a single phylogeny. This simple fact can seriously
undermine the accuracy of any phylogenetics-based analytical approach which
assumes that the evolutionary history of a set of recombining sequences can be
adequately described by a single phylogenetic tree. There are presently a large
number of available methods and associated computer programs for analyzing and
characterizing recombination in various classes of nucleotide sequence datasets.
Here we examine the use of some of these methods to derive and test recombination
hypotheses using multiple sequence alignments.
PMID- 27896732
TI - Species Tree Estimation from Genome-Wide Data with guenomu.
AB - The history of particular genes and that of the species that carry them can be
different for a variety of reasons. In particular, gene trees and species trees
can differ due to well-known evolutionary processes such as gene duplication and
loss, lateral gene transfer, or incomplete lineage sorting. Species tree
reconstruction methods have been developed to take this incongruence into
account; these can be divided grossly into supertree and supermatrix approaches.
Here we introduce a new Bayesian hierarchical model that we have recently
developed and implemented in the program guenomu. The new model considers
multiple sources of gene tree/species tree disagreement. Guenomu takes as input
posterior distributions of unrooted gene tree topologies for multiple gene
families, in order to estimate the posterior distribution of rooted species tree
topologies.
PMID- 27896733
TI - 3D Computational Modeling of Proteins Using Sparse Paramagnetic NMR Data.
AB - Computational modeling of proteins using evolutionary or de novo approaches
offers rapid structural characterization, but often suffers from low success
rates in generating high quality models comparable to the accuracy of structures
observed in X-ray crystallography or nuclear magnetic resonance (NMR)
spectroscopy. A computational/experimental hybrid approach incorporating sparse
experimental restraints in computational modeling algorithms drastically improves
reliability and accuracy of 3D models. This chapter discusses the use of
structural information obtained from various paramagnetic NMR measurements and
demonstrates computational algorithms implementing pseudocontact shifts as
restraints to determine the structure of proteins at atomic resolution.
PMID- 27896734
TI - Inferring Function from Homology.
AB - Recent technological advances in sequencing and high-throughput DNA cloning have
resulted in the generation of vast quantities of biological sequence data.
Ideally the functions of individual genes and proteins predicted by these methods
should be assessed experimentally within the context of a defined hypothesis.
However, if no hypothesis is known a priori, or the number of sequences to be
assessed is large, bioinformatics techniques may be useful in predicting
function.This chapter proposes a pipeline of freely available Web-based tools to
analyze protein-coding DNA and peptide sequences of unknown function. Accumulated
information obtained during each step of the pipeline is used to build a testable
hypothesis of function.The following methods are described in detail: 1.
Annotation of gene function through Protein domain detection (SMART and Pfam). 2.
Sequence similarity methods for homolog detection (BLAST and DELTA-BLAST). 3.
Comparing sequences to whole genome data.
PMID- 27896735
TI - Inferring Functional Relationships from Conservation of Gene Order.
AB - Predicting functional associations using the Gene Neighbor Method depends on the
simple idea that if genes are conserved next to each other in evolutionarily
distant prokaryotes they might belong to a polycistronic transcription unit. The
procedure presented in this chapter starts with the organization of the genes
within genomes into pairs of adjacent genes. Then, the pairs of adjacent genes in
a genome of interest are mapped to their corresponding orthologs in other,
informative, genomes. The final step is to verify if the mapped orthologs are
also pairs of adjacent genes in the informative genomes.
PMID- 27896736
TI - Structural and Functional Annotation of Long Noncoding RNAs.
AB - Protein-coding RNAs represent only a small fraction of the transcriptional output
in higher eukaryotes. The remaining RNA species encompass a broad range of
molecular functions and regulatory roles, a consequence of the structural
polyvalence of RNA polymers. Albeit several classes of small noncoding RNAs are
relatively well characterized, the accessibility of affordable high-throughput
sequencing is generating a wealth of novel, unannotated transcripts, especially
long noncoding RNAs (lncRNAs) that are derived from genomic regions that are
antisense, intronic, intergenic, and overlapping protein-coding loci. Parsing and
characterizing the functions of noncoding RNAs-lncRNAs in particular-is one of
the great challenges of modern genome biology. Here we discuss concepts and
computational methods for the identification of structural domains in lncRNAs
from genomic and transcriptomic data. In the first part, we briefly review how to
identify RNA structural motifs in individual lncRNAs. In the second part, we
describe how to leverage the evolutionary dynamics of structured RNAs in a
computationally efficient screen to detect putative functional lncRNA motifs
using comparative genomics.
PMID- 27896737
TI - Construction of Functional Gene Networks Using Phylogenetic Profiles.
AB - Functional constraints between genes display similar patterns of gain or loss
during speciation. Similar phylogenetic profiles, therefore, can be an indication
of a functional association between genes. The phylogenetic profiling method has
been applied successfully to the reconstruction of gene pathways and the
inference of unknown gene functions. This method requires only sequence data to
generate phylogenetic profiles. This method therefore has the potential to take
advantage of the recent explosion in available sequence data to reveal a
significant number of functional associations between genes. Since the initial
development of phylogenetic profiling, many modifications to improve this method
have been proposed, including improvements in the measurement of profile
similarity and the selection of reference species. Here, we describe the existing
methods of phylogenetic profiling for the inference of functional associations
and discuss their technical limitations and caveats.
PMID- 27896738
TI - Inferring Genome-Wide Interaction Networks.
AB - The inference of gene regulatory networks is an important process that
contributes to a better understanding of biological and biomedical problems.
These networks aim to capture the causal molecular interactions of biological
processes and provide valuable information about normal cell physiology. In this
book chapter, we introduce GNI methods, namely C3NET, RN, ARACNE, CLR, and MRNET
and describe their components and working mechanisms. We present a comparison of
the performance of these algorithms using the results of our previously published
studies. According to the study results, which were obtained from simulated as
well as expression data sets, the inference algorithm C3NET provides consistently
better results than the other widely used methods.
PMID- 27896739
TI - Integrating Heterogeneous Datasets for Cancer Module Identification.
AB - The availability of multiple heterogeneous high-throughput datasets provides an
enabling resource for cancer systems biology. Types of data include: Gene
expression (GE), copy number aberration (CNA), miRNA expression, methylation, and
protein-protein Interactions (PPI). One important problem that can potentially be
solved using such data is to determine which of the possible pair-wise
interactions among genes contributes to a range of cancer-related events, from
tumorigenesis to metastasis. It has been shown by various studies that applying
integrated knowledge from multi-omics datasets elucidates such complex phenomena
with higher statistical significance than using a single type of dataset
individually. However, computational methods for processing multiple data types
simultaneously are needed. This chapter reviews some of the computational methods
that use integrated approaches to find cancer-related modules.
PMID- 27896740
TI - Metabolic Pathway Mining.
AB - Understanding metabolic pathways is one of the most important fields in
bioscience in the post-genomic era, but curating metabolic pathways requires
considerable man-power. As such there is a lack of reliable, experimentally
verified metabolic pathways in databases and databases are forced to predict all
but the most immediately useful pathways.Text-mining has the potential to solve
this problem, but while sophisticated text-mining methods have been developed to
assist the curation of many types of biomedical networks, such as protein-protein
interaction networks, the mining of metabolic pathways from the literature has
been largely neglected by the text-mining community. In this chapter we describe
a pipeline for the extraction of metabolic pathways built on freely available
open-source components and a heuristic metabolic reaction extraction algorithm.
PMID- 27896741
TI - Analysis of Genome-Wide Association Data.
AB - The last decade has seen substantial advances in the understanding of the
genetics of complex traits and disease. This has been largely driven by genome
wide association studies (GWAS), which have identified thousands of genetic loci
associated with these traits and disease. This chapter provides a guide on how to
perform GWAS on both binary (case-control) and quantitative traits. As poor data
quality, through both genotyping failures and unobserved population structure, is
a major cause of false-positive genetic associations, there is a particular focus
on the crucial steps required to prepare the SNP data prior to analysis. This is
followed by the methods used to perform the actual GWAS and visualization of the
results.
PMID- 27896742
TI - Adjusting for Familial Relatedness in the Analysis of GWAS Data.
AB - Relatedness within a sample can be of ancient (population stratification) or
recent (familial structure) origin, and can either be known (pedigree data) or
unknown (cryptic relatedness). All of these forms of familial relatedness have
the potential to confound the results of genome-wide association studies. This
chapter reviews the major methods available to researchers to adjust for the
biases introduced by relatedness and maximize power to detect associations. The
advantages and disadvantages of different methods are presented with reference to
elements of study design, population characteristics, and computational
requirements.
PMID- 27896743
TI - Analysis of Quantitative Trait Loci.
AB - Although the term quantitative trait locus (QTL) strictly refers merely to a
genetic variant that causes changes in a quantitative phenotype such as height,
QTL analysis more usually describes techniques used to study oligogenic or
polygenic traits where each identified locus contributes a relatively small
amount to the genetic determination of the trait, which may be categorical in
nature. Originally, too, it would be clear that it covered segregation and
genetic linkage analysis, but now genetic association analysis in a genome-wide
SNP or sequencing experiment would be the commonest application. The same
biometrical genetic statistical apparatus used in this setting-analysis of
variance, linear or generalized linear mixed models-can actually be applied to
categorical phenotypes, as well as to multiple traits simultaneously, dealing
with and taking advantage of genetic pleiotropy. Most recently, they are being
used to make inferences about population and evolutionary genetics, with
applications ranging from human disease to control of disease-causing organisms.
Several computer software packages make it relatively straightforward to fit
these statistically complex models to the large amounts of genotype and phenotype
data routinely collected today.
PMID- 27896744
TI - High-Dimensional Profiling for Computational Diagnosis.
AB - New technologies allow for high-dimensional profiling of patients. For instance,
genome-wide gene expression analysis in tumors or in blood is feasible with
microarrays, if all transcripts are known, or even without this restriction using
high-throughput RNA sequencing. Other technologies like NMR finger printing allow
for high-dimensional profiling of metabolites in blood or urine. Such
technologies for high-dimensional patient profiling represent novel possibilities
for molecular diagnostics. In clinical profiling studies, researchers aim to
predict disease type, survival, or treatment response for new patients using high
dimensional profiles. In this process, they encounter a series of obstacles and
pitfalls. We review fundamental issues from machine learning and recommend a
procedure for the computational aspects of a clinical profiling study.
PMID- 27896745
TI - Molecular Similarity Concepts for Informatics Applications.
AB - The assessment of small molecule similarity is a central task in chemoinformatics
and medicinal chemistry. A variety of molecular representations and metrics are
applied to computationally evaluate and quantify molecular similarity. A
critically important aspect of molecular similarity analysis in chemoinformatics
and pharmaceutical research is that one is typically not interested in
quantifying the degree of structural or chemical similarity between compounds per
se, but rather in extrapolating from molecular similarity to property similarity.
In other words, one assumes that there is a correlation between calculated
similarity and specific properties of small molecules including, first and
foremost, biological activities. Although similarity is a priori a subjective
concept, and difficult to quantify, it must computationally be assessed in a
formally consistent manner. Otherwise, there is little utility of similarity
calculations. Consistent treatment requires approximations to be made and the
consideration of alternative computational similarity concepts, as discussed
herein.
PMID- 27896746
TI - Compound Data Mining for Drug Discovery.
AB - In recent years, there has been unprecedented growth in compound activity data in
the public domain. These compound data provide an indispensable resource for drug
discovery in academic environments as well as in the pharmaceutical industry. To
handle large volumes of heterogeneous and complex compound data and extract
discovery-relevant knowledge from these data, advanced computational mining
approaches are required. Herein, major public compound data repositories are
introduced, data confidence criteria reviewed, and selected data mining
approaches discussed.
PMID- 27896747
TI - Studying Antibody Repertoires with Next-Generation Sequencing.
AB - Next-generation sequencing is making it possible to study the antibody repertoire
of an organism in unprecedented detail, and, by so doing, to characterize its
behavior in the response to infection and in pathological conditions such as
autoimmunity and cancer. The polymorphic nature of the repertoire poses unique
challenges that rule out the use of many commonly used NGS methods and require
tradeoffs to be made when considering experimental design.We outline the main
contexts in which antibody repertoire analysis has been used, and summarize the
key tools that are available. The humoral immune response to vaccination has been
a particular focus of repertoire analyses, and we review the key conclusions and
methods used in these studies.
PMID- 27896748
TI - Using the QAPgrid Visualization Approach for Biomarker Identification of Cell
Specific Transcriptomic Signatures.
AB - In this chapter, we illustrate the use of an integrated mathematical method for
joint clustering and visualization of large-scale datasets. In applying these
clustering methodologies to biological datasets, we aim to identify
differentially expressed genes according to cell type by building molecular
signatures supported by statistical scores. In doing so, we also aim to find a
global map of highly co-expressed clusters. Variations in these clusters may well
indicate other pathological trends and changes.
PMID- 27896749
TI - Computer-Aided Breast Cancer Diagnosis with Optimal Feature Sets: Reduction Rules
and Optimization Techniques.
AB - This chapter introduces a new method for knowledge extraction from databases for
the purpose of finding a discriminative set of features that is also a robust set
for within-class classification. Our method is generic and we introduce it here
in the field of breast cancer diagnosis from digital mammography data. The
mathematical formalism is based on a generalization of the k-Feature Set problem
called (alpha, beta)-k-Feature Set problem, introduced by Cotta and Moscato (J
Comput Syst Sci 67(4):686-690, 2003). This method proceeds in two steps: first,
an optimal (alpha, beta)-k-feature set of minimum cardinality is identified and
then, a set of classification rules using these features is obtained. We obtain
the (alpha, beta)-k-feature set in two phases; first a series of extremely
powerful reduction techniques, which do not lose the optimal solution, are
employed; and second, a metaheuristic search to identify the remaining features
to be considered or disregarded. Two algorithms were tested with a public domain
digital mammography dataset composed of 71 malignant and 75 benign cases. Based
on the results provided by the algorithms, we obtain classification rules that
employ only a subset of these features.
PMID- 27896750
TI - Inference Method for Developing Mathematical Models of Cell Signaling Pathways
Using Proteomic Datasets.
AB - The progress in proteomics technologies has led to a rapid accumulation of large
scale proteomic datasets in recent years, which provides an unprecedented
opportunity and valuable resources to understand how living organisms perform
necessary functions at systems levels. This work presents a computational method
for designing mathematical models based on proteomic datasets. Using the mitogen
activated protein (MAP) kinase pathway as the test system, we first develop a
mathematical model including the cytosolic and nuclear subsystems. A key step of
modeling is to apply a genetic algorithm to infer unknown model parameters. Then
the robustness property of mathematical models is used as a criterion to select
appropriate rate constants from the estimated candidates. Moreover, quantitative
information such as the absolute protein concentrations is used to further refine
the mathematical model. The successful application of this inference method to
the MAP kinase pathway suggests that it is a useful and powerful approach for
developing accurate mathematical models to gain important insights into the
regulatory mechanisms of cell signaling pathways.
PMID- 27896751
TI - Clustering.
AB - Clustering techniques are used to arrange genes in some natural way, that is, to
organize genes into groups or clusters with similar behavior across relevant
tissue samples (or cell lines). These techniques can also be applied to tissues
rather than genes. Methods such as hierarchical agglomerative clustering, k-means
clustering, the self-organizing map, and model-based methods have been used. Here
we focus on mixtures of normals to provide a model-based clustering of tissue
samples (gene signatures) and of gene profiles, including time-course gene
expression data.
PMID- 27896752
TI - Parameterized Algorithmics for Finding Exact Solutions of NP-Hard Biological
Problems.
AB - Fixed-parameter algorithms are designed to efficiently find optimal solutions to
some computationally hard (NP-hard) problems by identifying and exploiting
"small" problem-specific parameters. We survey practical techniques to develop
such algorithms. Each technique is introduced and supported by case studies of
applications to biological problems, with additional pointers to experimental
results.
PMID- 27896753
TI - Information Visualization for Biological Data.
AB - Visualization is a powerful method to present and explore a large amount of data.
It is increasingly important in the life sciences and is used for analyzing
different types of biological data, such as structural information, high
throughput data, and biochemical networks. This chapter gives a brief
introduction to visualization methods for bioinformatics, presents two commonly
used techniques in detail, and discusses a graphical standard for biological
networks and cellular processes.
PMID- 27896754
TI - Application of Multiplex Biomarker Approaches to Accelerate Drug Discovery and
Development.
AB - Multiplex biomarker tests are becoming an essential part of the drug development
process. This chapter explores the role of biomarker-based tests as effective
tools in improving preclinical research and clinical development, and the
challenges that this presents. The potential of incorporating biomarkers in the
clinical pipeline to improve decision making, accelerate drug development,
improve translation, and reduce development costs is discussed. This chapter also
discusses the latest biomarker technologies in use to make this possible and
details the next steps that must undertaken to keep driving this process
forwards.
PMID- 27896755
TI - The Application of Multiplex Biomarker Techniques for Improved Stratification and
Treatment of Schizophrenia Patients.
AB - In the case of major psychiatric disorders such as schizophrenia, shortcomings in
the conversion of scientific discoveries into newer and safer treatment options
has led to a loss of confidence and precipitated a crisis for large
pharmaceutical companies. This chapter describes how incorporation of multiplex
biomarker approaches into the clinical pipeline can lead to better patient
characterization, delivery of novel treatment approaches and help to renew
efforts in this important area. The development of specific biomarker test panels
for disease prediction should facilitate early intervention strategies, which may
help to slow disease development or progression. Furthermore, the development of
such tests using lab-on-a-chip and smartphone platforms will help to shift
diagnosis and treatment of this major disorder into a point-of-care setting for
improved patient outcomes.
PMID- 27896756
TI - Multiplex Biomarker Approaches in Type 2 Diabetes Mellitus Research.
AB - Type 2 diabetes mellitus is a multifactorial condition resulting in high fasting
blood glucose levels. Although its diagnosis is straightforward, there is not one
set of biomarkers or drug targets that can be used for classification or
personalized treatment of individuals who suffer from this condition. Instead,
the application of multiplex methods incorporating a systems biology approach is
essential in order to increase our understanding of this disease. This chapter
reviews the state of the art in biomarker studies of human type 2 diabetes from a
proteomic and metabolomic perspective. Our main focus was on biomarkers for
disease prediction as these could lead to early intervention strategies for the
best possible patient outcomes.
PMID- 27896757
TI - LC-MSE, Multiplex MS/MS, Ion Mobility, and Label-Free Quantitation in Clinical
Proteomics.
AB - Proteomic tools can only be implemented in clinical settings if high-throughput,
automated, sensitive, and accurate methods are developed. This has driven
researchers to the edge of mass spectrometry (MS)-based proteomics capacity. Here
we provide an overview of recent achievements in mass spectrometric technologies
and instruments. This includes development of high and ultra definition-MSE
(HDMSE and UDMSE) through implementation of ion mobility (IM) MS towards
sensitive and accurate label-free proteomics using ultra performance liquid
chromatography (UPLC). Label free UPLC-HDMSE is less expensive than labeled-based
quantitative proteomics and has no limits regarding the number of samples that
can be analyzed and compared, which is an important requirement for supporting
clinical applications.
PMID- 27896758
TI - Phenotyping Multiple Subsets of Immune Cells In Situ in FFPE Tissue Sections: An
Overview of Methodologies.
AB - The recent clinical success of new cancer immunotherapy agents and methods is
driving the need to understand the role of immune cells in solid tissues,
especially tumors. Immune cell phenotyping via flow cytometry, while a
cornerstone of immunology, is not spatially resolved and cannot analyze immune
cell subsets in situ in clinical biopsy sections or to determine their
interrelationships. To address this problem, a number of methodologies have been
developed in attempts to phenotype immune and other cells in images acquired from
tissue sections and to assess their organization in the tumor and its
microenvironment. This chapter review the staining and multiplex image analysis
methods that have been developed for phenotyping immune and other cells in
formalin-fixed, paraffin-embedded (FFPE) tissue sections.
PMID- 27896759
TI - Identification and Clinical Translation of Biomarker Signatures: Statistical
Considerations.
AB - Powerful machine learning tools exist to extract biological patterns for
diagnosis or prediction from high-dimensional datasets. Simultaneous advances in
high-throughput profiling technologies have led to a rapid acceleration of
biomarker discovery investigations across all areas of medicine. However, the
translation of biomarker signatures into clinically useful tools has thus far
been difficult. In this chapter, several important considerations are discussed
that influence such translation in the context of classifier design. These
include aspects of variable selection that go beyond classification accuracy, as
well as effects of variability on assay stability and sample size. The
consideration of such factors may lead to an adaptation of biomarker discovery
approaches, aimed at an optimal balance of performance and clinical
translatability.
PMID- 27896760
TI - Opportunities and Challenges of Multiplex Assays: A Machine Learning Perspective.
AB - Multiplex assays that allow the simultaneous measurement of multiple analytes in
small sample quantities have developed into a widely used technology. Their
implementation spans across multiple assay systems and can provide readouts of
similar quality as the respective single-plex measures, albeit at far higher
throughput. Multiplex assay systems are therefore an important element for
biomarker discovery and development strategies but analysis of the derived data
can face substantial challenges that may limit the possibility of identifying
meaningful biological markers. This chapter gives an overview of opportunities
and challenges of multiplexed biomarker analysis, in particular from the
perspective of machine learning aimed at identification of predictive biological
signatures.
PMID- 27896761
TI - Multiplex Analyses Using Real-Time Quantitative PCR.
AB - Quantitative polymerase chain reaction (qPCR) is a routinely used method for the
detection and quantitation of gene expression in real time. Multiplex qPCR
requires the use of probe-based assays, in which each probe is labeled with a
unique fluorescent dye, resulting in different observed colors for each assay.
The signal from each dye is used to quantitate the amount of each target
separately in the same tube or well. The availability to multiplex therefore
allows the measurement of the expression levels of several targets or genes of
interest quickly. Here, we describe a method using the SensiFAST and SensiFAST
One-Step probe kits which allows simultaneous real-time quantitation of up to 5
amplicons.
PMID- 27896762
TI - Multiplex Analysis Using cDNA Transcriptomic Profiling.
AB - DNA microarrays contain microscopic DNA spots attached to a solid surface. Each
spot contains picomolar levels of a specific DNA probe sequence and hybridization
to the corresponding gene products can be detected and quantitated through the
use of fluorescently labeled target DNA. In this format, DNA microarrays can be
used to measure the expression level of thousands of genes in a single
experiment. Here, we present a method to detect the mRNA transcriptional changes
in neuronal precursor cells following differentiation using high density cDNA
microarrays.
PMID- 27896763
TI - Multiplex Single Nucleotide Polymorphism Analyses.
AB - Quantitative polymerase chain reaction (qPCR) is a routinely used method for
detection and quantitation of gene expression in real time. This is achieved
through the incorporation and measurement of fluorescent reporter probes in the
amplified cDNA strands, since the fluorescent signals increase as the reaction
progresses. The availability of multiple probes that fluoresce at different
wavelengths allows for multiplexing as this gives rise to amplicons with unique
fluorescent signatures. Here, we describe a method using the SensiFAST and
SensiFAST One-Step probe kits which allows simultaneous real-time quantitation of
up to 5 amplicons.
PMID- 27896764
TI - Pulsed SILAC as a Approach for miRNA Targets Identification in Cell Culture.
AB - Pulsed stable isotope labeling by amino acids in cell culture (pSILAC) comprises
a variation of the classical SILAC proteomic methodology that enables the
identification of short-term proteomic responses such as those elicited by micro
RNAs (miRNAs). Here, we describe a detailed pSILAC protocol for global
identification and quantification of protein translation alterations induced by a
miRNA using 3T3-L1 pre-adipocytes as a model system.
PMID- 27896765
TI - Blood Bio-Sampling Procedures for Multiplex Biomarkers Studies.
AB - A major challenge in single or panel of biomarker discovery and validation is the
inherent biological complexity underlying disease heterogeneity and inconsistent
responses to treatment. Moreover, the lack of standardization in the sampling,
processing, and storage of biological fluids such as plasma and serum disrupts
the discovery and validation of blood-based biomarker tests in preclinical and
clinical settings. This chapter presents a reproducible sample collection and
handling procedure that aims to enhance analyte stability and ensure
compatibility with the corresponding multiplex biomarker profiling platforms. The
importance of defining bio-sample acquisition and processing, study design, and
profiling platform guidelines for blood-based biomarker measurements is paramount
for the success of personalized healthcare strategy and development of companion
diagnostics.
PMID- 27896766
TI - Multiplex Immunoassay Profiling.
AB - Multiplex immunoassays allow for the rapid profiling of biomarker proteins in
biological fluids, using less sample and labor than single immunoassays. This
chapter details the methods to develop and manufacture multiplex assays for the
Luminex(r) platform. Although assay development is not included here, the same
methods can be used to covalently couple antibodies to the Luminex beads and to
label antibodies for the screening of sandwich pairs, if needed. The assay
optimization, detection of cross-reactivity, and minimizing antibody interactions
and matrix interferences will be addressed.
PMID- 27896767
TI - Multiplex Sequential Immunoprecipitation of Insulin Secretory Granule Proteins
from Radiolabeled Pancreatic Islets.
AB - Pulse radiolabeling of cells with radioactive amino acids is a common method for
tracking the biosynthesis of proteins. Specific proteins can then be
immunoprecipitated and analyzed by electrophoresis and imaging techniques. This
chapter presents a protocol for the biosynthetic labeling of pancreatic islets
with 35S-methionine, followed by multiplex sequential immunoprecipitation of
insulin and three other secretory granule accessory proteins. This provided a
means of distinguishing those pancreatic islet proteins with different
biosynthetic rates in response to the media glucose concentrations.
PMID- 27896768
TI - Two Dimensional Gel Electrophoresis of Insulin Secretory Granule Proteins from
Biosynthetically-Labeled Pancreatic Islets.
AB - Pulse-chase radiolabeling of cells with radioactive amino acids is a common
method for tracking the biosynthesis of proteins. Radiolabeled newly synthesized
proteins can be analyzed by a number of techniques such as two dimensional gel
electrophoresis (2DE). This chapter presents a protocol for the biosynthetic
labeling of pancreatic islets with 35S-methionine in the presence of basal and
stimulatory concentrations of glucose, followed by subcellular fractionation to
produce a secretory granule fraction and analysis of the granule protein contents
by 2DE. This provides a means of determining whether or not the biosynthetic
rates of the entire granule constituents are coordinately regulated.
PMID- 27896769
TI - Depletion of Highly Abundant Proteins of the Human Blood Plasma: Applications in
Proteomics Studies of Psychiatric Disorders.
AB - Psychiatric disorders are complex diseases involving exogenous and endogenous
factors. Biomarkers for diagnosis or prediction of successful treatment are not
existent. In addition, the molecular basis of these diseases is still poorly
understood. Blood plasma represents the most complex proteome as it contains
subproteomes from several body tissues. However, the high abundance of some
little proteins can obscure the analysis of hundreds of low abundance proteins,
which are potential biomarkers. Therefore, removal of these high abundance
proteins is pivotal in any proteomic study of plasma. Here, we present a method
of depleting these proteins using immunoaffinity liquid chromatography.
PMID- 27896770
TI - Simultaneous Two-Dimensional Difference Gel Electrophoresis (2D-DIGE) Analysis of
Two Distinct Proteomes.
AB - This chapter describes the basics, applications, and limitations of two
dimensional gel electrophoresis (2DE) and two-dimensional difference gel
electrophoresis (2D-DIGE) for multiplex analysis of distinct proteomes. We also
propose a basic protocol for 2D-DIGE, technique that allows the analysis of
paired protein extracts, which are labeled with fluorescent Cy3 and Cy5 dyes and
electrophoresed with a Cy2-labeled standard extract on the same 2DE gels.
Scanning the gels at wavelengths specific for each dye allows direct overlay the
two different proteomes and the differences in abundance of specific protein
spots can be determined.
PMID- 27896771
TI - Selective Reaction Monitoring for Quantitation of Cellular Proteins.
AB - Proteins and proteomes are dynamic and complex. The accurate identification and
measurement of their properties such as abundance, location, and turnover are
challenging tasks. Even though high-throughput proteomics has significantly
evolved, the technique still lacks fully quantitative and reproducible qualities.
A mass spectrometry-based targeted proteomic strategy called selective reaction
monitoring (SRM) has emerged in recent years as an important multiplex platform
to precisely quantify sets of proteins in multiple samples. This has several
advantages in terms of sensitivity, reproducibility, and sample consumption
compared to classical methods including those based on antibodies. Here, we
present a detailed protocol for quantitation of panels of proteins from cell line
extracts using the SRM targeted proteomics approach.
PMID- 27896772
TI - Characterization of a Protein Interactome by Co-Immunoprecipitation and Shotgun
Mass Spectrometry.
AB - Identifying the partners of a given protein (the interactome) may provide leads
about the protein's function and the molecular mechanisms in which it is
involved. One of the alternative strategies used to characterize protein
interactomes consists of co-immunoprecipitation (co-IP) followed by shotgun mass
spectrometry. This enables the isolation and identification of a protein target
in its native state and its interactome from cells or tissue lysates under
physiological conditions. In this chapter, we describe a co-IP protocol for
interactome studies that uses an antibody against a protein of interest bound to
protein A/G plus agarose beads to isolate a protein complex. The interacting
proteins may be further fractionated by SDS-PAGE, followed by in-gel tryptic
digestion and nano liquid chromatography high-resolution tandem mass spectrometry
(nLC ESI-MS/MS) for identification purposes. The computational tools, strategy
for protein identification, and use of interactome databases also will be
described.
PMID- 27896773
TI - Using 15N-Metabolic Labeling for Quantitative Proteomic Analyses.
AB - Quantitative proteomics has benefited from the application of stable isotope
labeling-based approaches. Using stable isotopically labeled material as an
internal standard in proteomic comparisons allows an unbiased and accurate
quantification of protein expression level changes. Here, we describe the use of
in vivo 15N metabolic labeling to generate labeled protein standards from mice.
We then present a protocol including sample preparation, mass spectrometry, and
data analysis workflows using these standards to compare unlabeled proteomes. We
focus on mouse brain tissue and plasma samples, although this conceptual
framework can be applied to most organisms.
PMID- 27896774
TI - Multiplex Measurement of Serum Folate Vitamers by UPLC-MS/MS.
AB - The implementation of a liquid chromatography-tandem mass spectrometry (LC-MS/MS)
method to measure six folate vitamers in serum samples allows a more individual
and accurate measurement than the commonly used immunoassays. In the described
method, serum samples undergo solid phase extraction followed by liquid
chromatography coupled with electrospray ionization tandem mass spectrometry with
a run time of 3.5 min. Recovery is 95 % for the most important folate metabolite,
5-methyltetrahydrofolate (MTHF), and greater than 78 % for other minor folate
forms. The limit of detection ranges from 0.2 to 0.4 nmol/L with a intra-batch
imprecision of less than 7 % for all analytes and calibration ranges of 1-100
nmol/L for MTHF and 0.5-20 nmol/L for the minor folate forms, with greater than
0.99 R2 linearity.
PMID- 27896775
TI - UPLC-MS/MS Determination of Deuterated 25-Hydroxyvitamin D (d3-25OHD3) and Other
Vitamin D Metabolites for the Measurement of 25OHD Half-Life.
AB - Plasma 25-hydroxyvitamin D (25OHD) half-life (25OHDt 1/2) is a dynamic marker of
vitamin D metabolism that can be used to assess vitamin D expenditure and help
inform vitamin D requirements. Our group recently established an approach to
determine the 25OHDt 1/2 as an alternative biomarker of 25OHD expenditure in
humans. The approach uses a small oral dose of stable isotope labeled 25OHD3 [3
2H-25OHD3 (6,19,19-d3)] (d3-25OHD3) (tracer), which is distinguishable from
endogenous 25OHD by liquid chromatography tandem-mass spectrometry (LC-MS/MS). We
report here the method, which relies on protein precipitation, purification with
solid phase extraction, derivatization with 4-phenyl-1,2,4-triazoline-3,5-dione
(PTAD), and determination of the compounds by isotope-dilution UPLC-MS/MS. The
method proved to be rapid and sensitive (LOQ 0.2 nmol/L) for the quantification
of this tracer as well as the other vitamin D metabolites: 25OHD3, 25OHD2, and
24,25(OH)2D3 in human plasma.
PMID- 27896776
TI - iTRAQ-Based Shotgun Proteomics Approach for Relative Protein Quantification.
AB - Shotgun proteomics has a key role in quantitative estimation of proteins from
biological systems under different conditions, which is crucial in the
understanding of their functional roles. Isobaric tagging for relative and
absolute quantitation (iTRAQ) mass spectrometry is based on pre-labeling of
peptides with mass tags which allows the multiplex analysis of up to eight
proteomes simultaneously. We describe here a detailed protocol for sample
preparation and iTRAQ 4-plex labeling for relative quantification of multiple
samples from human and plant tissues. We also present two strategies for peptide
fractionation after the iTRAQ labeling protocol.
PMID- 27896778
TI - Lab-on-a-Chip Multiplex Assays.
AB - Lab-on-a-chip multiplex assays allow a rapid identification of multiple
parameters in an automated manner. Here we describe a lab-based preparation
followed by a rapid and fully automated DNA microarray hybridization and readout
in less than 10 min using the Fraunhofer in vitro diagnostics (ivD) platform to
enable rapid identification of bacterial species and detection of antibiotic
resistance. The use of DNA microarrays allows a fast adaptation of new biomarkers
enabling the identification of different genes as well as single-nucleotide
polymorphisms (SNPs) within these genes. In this protocol we describe a DNA
microarray developed for identification of Staphylococcus aureus and the mecA
resistance gene.
PMID- 27896777
TI - 1H NMR Metabolomic Profiling of Human and Animal Blood Serum Samples.
AB - Nuclear magnetic resonance (NMR) spectroscopy techniques allow the acquisition of
a large amount of data and when combined with multivariate statistical analysis,
it is possible to process and interpret the obtained NMR data in accordance with
the biological problem being investigated. In this chapter, the search for
biologically relevant biomarkers is addressed using NMR spectroscopy-based
metabolomics, due to their clinical relevance for either diagnosis or monitoring
of diseases and disorders.
PMID- 27896779
TI - Multiplex Smartphone Diagnostics.
AB - Increasing computing power in smartphones allows for their transformation into
point-of-care diagnostic devices. Mobile medical diagnostic applications enable
utilization of the processing capabilities of smartphones through their cameras.
Hardware attachments or stand-alone versions of smartphone diagnostics have the
capability to revolutionize quantitative readouts. Here, we describe a protocol
for quantifying commercial colorimetric diagnostic tests with a stand-alone
smartphone application. This approach can be used in the multiplexed analyses of
biomarker readouts.
PMID- 27896780
TI - Development of a User-Friendly App for Assisting Anticoagulation Treatment.
AB - Blood coagulation time is an important factor to consider for postoperative and
cardiac disorder patients who have been prescribed anticoagulant coagulant
medications. This chapter describes a patient self-management system for
assessment of blood coagulation times and determining appropriate anticoagulant
dosages using a test strip device and the Coagu app. This app can also be used as
a patient reminder of treatment times and to monitor treatment and effects over
time.
PMID- 27896781
TI - Multiplex Biomarker Approaches to Enable Point-of-Care Testing and Personalized
Medicine.
AB - This chapter describes how current and future innovations driven by application
of multiplex biomarker techniques can help in earlier and more efficacious
treatment of patients, suffering from the world's most devastating and costly
diseases. The application of new miniaturized biosensors and transducers will
enable point-of-care testing by facilitating analysis of a single drop of a blood
within the time span of a visit to the doctor's office. It is anticipated that
the scoring algorithms used with future tests will incorporate both biochemical
and clinical data, resulting in specific profiles for each patient or tested
subject to enable personalized medicine approaches.
PMID- 27896782
TI - Mixed method versus full top-down microcosting for organ recovery cost assessment
in a French hospital group.
AB - BACKGROUND: The costing method used can change the results of economic
evaluations. Choosing the appropriate method to assess the cost of organ recovery
is an issue of considerable interest to health economists, hospitals, financial
managers and policy makers in most developed countries. OBJECTIVES: The main
objective of this study was to compare a mixed method, combining top-down
microcosting and bottom-up microcosting versus full top-down microcosting to
assess the cost of organ recovery in a French hospital group. The secondary
objective was to describe the cost of kidney, liver and pancreas recovery from
French databases using the mixed method. METHODS: The resources consumed for each
donor were identified and valued using the proposed mixed method and compared to
the full top-down microcosting approach. Data on kidney, liver and pancreas
recovery were collected from a medico-administrative French database for the
years 2010 and 2011. Related cost data were recovered from the hospital cost
accounting system database for 2010 and 2011. Statistical significance was
evaluated at P < 0.05. RESULTS: All the median costs for organ recovery differ
significantly between the two costing methods (non-parametric test method; P <
0.01). Using the mixed method, the median cost for recovering kidneys was found
to be ?5155, liver recovery was ?2528 and pancreas recovery was ?1911. Using the
full top-down microcosting method, median costs were found to be 21-36% lower
than with the mixed method. CONCLUSION: The mixed method proposed appears to be a
trade-off between feasibility and accuracy for the identification and valuation
of cost components when calculating the cost of organ recovery in comparison to
the full top-down microcosting approach.
PMID- 27896783
TI - Post-clear corneal phacoemulsification endophthalmitis: profile and management
outcomes at a tertiary eye care center in western India.
AB - BACKGROUND: Infectious endophthalmitis is a serious sight threatening intraocular
inflammation that results from exogenous or endogenous spread of organisms into
the eye.A retrospective case series to study the profile of endophthalmitis
following clear corneal phacoemulsification in western India between years 2008
and 2014 was held in the National Institute of Ophthalmology, Pune, India. Cases
of endophthalmitis post-clear corneal phacoemulsification were reviewed
pertaining to demography, clinical history, surgeon experience, surgical
complications, time of onset following surgery, duration between onset of
symptoms and presentation to the center, presenting visual acuity and at follow
ups, slit-lamp examination and ultrasound findings, vitreous tap culture results,
treatment, and final functional and anatomical outcomes. RESULTS: Of 60 cases, 34
were operated in the tertiary center and 26 were referred. The incidence of
endophthalmitis post clear corneal phacoemulsification performed at the tertiary
center was 0.17%. Mean time delay between onset of symptoms and presentation to
the tertiary care center was 2.6 days. Fifty percent cases were culture +ve, of
which 80% were Gram +ve and 20% were Gram -ve, no fungal isolates. Coagulase -ve
staphylococcus was the most common causative organism; rare isolates included
Sphingomonas paucimobilis and Streptococcus mitis. Twenty-six eyes underwent
primary vitrectomy. Mean presenting visual acuity was 2.14 +/- 0.07 logMAR units
which improved to logMAR 0.98 +/- 0.12 at final follow-up. Presenting VA was
>20/200 in 13.3% and 65
years) completed 45 s trials of eyes open and eyes closed stability tests using
self-selected and narrow stances on two separate days (1.9 +/- .7 days). Average
medial-lateral center of pressure velocity was computed. RESULTS: The ICC results
ranged from .74 to .86, and no significant systematic changes (P < .05) occurred
between the testing sessions for any of the tests. The standard error of
measurement ranged from 15.9 to 23.6%. DISCUSSION: Reliability estimates were
similar between the two stances and visual conditions assessed. Slightly higher
coefficients were identified for the self-selected stances compared to the narrow
stances under both visual conditions; however, there were negligible differences
between the sessions. The within subject session-to-session variability provides
a basis for further research to consider differences between fallers and non
fallers. CONCLUSION: Reliability for eyes open and closed balance testing using
self-selected and narrow stances in older adults was established which should
provide a foundation for the development of fall risk screening tests.
PMID- 27896796
TI - Development and validation of a frailty index in the Longitudinal Aging Study
Amsterdam.
AB - BACKGROUND: Frailty is a state of increased vulnerability to adverse outcomes.
The frailty index (FI), defined by the deficit accumulation approach, is a
sensitive instrument to measure levels of frailty, and therefore important for
longitudinal studies of aging. AIMS: To develop an FI in the Longitudinal Aging
Study Amsterdam (LASA), and to examine the predictive validity of this FI for 19
year mortality. METHODS: LASA is an ongoing study among Dutch older adults, based
on a nationally representative sample. A 32-item FI (LASA-FI) was developed at
the second LASA measurement wave (1995-1996) among 2218 people aged 57-88 years.
An FI score between 0 and 1 was calculated for each individual. The LASA-FI
included health deficits from the physical, mental and cognitive domain and can
be constructed for most LASA measurement waves. Associations with 19-year
mortality were assessed using Kaplan-Meier curves and Cox proportional hazards
models. RESULTS: The mean LASA-FI score was 0.19 (SD = 0.12), with a 99% upper
limit of 0.53. Scores were higher in women than men (women = 0.20, SD = 0.13 vs.
men = 0.17, SD = 0.11, p < 0.001). The average age-related increase in the log
transformed LASA-FI score was 3.5% per year. In a model adjusted for age and sex,
the FI score was significantly associated with 19-year all-cause mortality (HR
per 0.01 = 1.03, 95% CI 1.03-1.04, p < 0.001). DISCUSSION/CONCLUSIONS: The key
characteristics of the LASA-FI were in line with findings from previous FI
studies in population-based samples of older people. The LASA-FI score was
associated with mortality and may serve as an internal and external reference
value.
PMID- 27896797
TI - A telephone-based intervention to promote physical activity during smoking
cessation: a randomized controlled proof-of-concept study.
AB - Smoking and physical inactivity contribute to disproportionate disease burden
among underserved adults. Telephone-based interventions (quitlines) are becoming
the standard care for addressing smoking. There is increasing interest to
determine whether quitlines can be utilized to administer interventions for other
unhealthy behaviors. This study aims to examine the proof-of-concept and
potential efficacy of a telephone-based behavioral counseling intervention to
boost daily low-to-moderate physical activity among low-income, physically
inactive smokers. Participants (N = 101) were randomized to receive 4 weeks of
counseling prior to their smoking quit day that included either standard smoking
cessation counseling (control) or the Step-up to Quit (SUTQ) intervention. SUTQ
promoted daily walking to foster physical activity as a primary smoking urge
management strategy and facilitate incremental increases in daily steps with the
goal of achieving 7500 steps/day by the quit day in week 4. Exploratory
structural equation modeling tested SUTQ effects on six measures of low-to
moderate physical activity (primary outcome) and smoking cue reactivity
(secondary outcome) simultaneously in a single multivariate model with
controlling variables. The sample was 51 % female and 77 % African-American, with
a mean age of 42.1 years (SD = 10.9). Compared to the control condition, SUTQ
intervention was associated with greater physical activity at week 4 (b = 0.51, z
= 1.71, p = 0.08), with between-group differences sustained at follow-up. At week
4, the SUTQ group had higher 7-day mean steps/day (M = 7,207.25, SD = 4,276.03)
than controls (M = 3,947.03, SD = 3,655.03) (t = 3.35; p < .01); and had more
participants reach the >7500 steps/day goal (49% vs. 11 %, c2 = 10.78; p < .01),
a difference that was sustained at 1-month follow-up (X2 = 9.04, p < .01) Effects
of SUTQ treatment on cue reactivity were in the hypothesized direction but not
significant (b = -0.29; z = -1.09, p = 0.27). To our knowledge, this is the first
study to promote physical activity using telephone counseling in an underserved
population of smokers known to have greater challenges with physical activity
adoption and smoking cessation. The SUTQ approach suggests that integration of
physical activity advice and support within the context of smoking cessation
treatment has the potential to promote physical activity among smokers intending
to quit.
PMID- 27896798
TI - Applicability of acceptance and commitment therapy-based mobile app in depression
nursing.
AB - Due to the high burden of depression, new models and methods of mental healthcare
need to be developed. Prior research has shown the potential benefits of using
technology tools such as mobile apps as self-help or combined with psychological
treatment. Therefore, professionals should acquaint themselves with evidence
based apps to be able to use them with clients and guide the clients in their
use. The purpose of this study was to explore how an acceptance and commitment
therapy-based mobile app was perceived as a self-management tool among nurses,
and how it could be applied in the prevention and treatment of depression and
other mental health issues. Sixteen Finnish nurses undergoing depression nurse
specialist education used the app for 5 weeks and participated in semistructured
focus group interviews. Interviews were analyzed by qualitative content analysis.
In general, the nurses found the app suitable as a self-management tool and
identified three models of using it in clinical practice. Having used the app
personally, the nurses were eager to take it into use with various client groups,
especially in occupational health but also in the treatment of mental health
problems. However, they also raised concerns about the effort needed in
familiarizing oneself with the content and pointed out specific client groups for
whom the benefits of the app should be carefully weighed against the potential
risks. Despite the small sample size, the findings suggest that involving
technology tools as part of the nurses' education could ease their adoption in
clinical practice. The degree of professional support in the app use should be
aligned to the severity of the mental health problems.
PMID- 27896800
TI - Principal component analysis in the evaluation of osteoarthritis.
AB - OBJECTIVES: The purpose of this study is to demonstrate advantages of principal
component analysis (PCA) as a standardized procedure in the evaluation of
osteoarthritis (OA) in a skeletal series to: (1) compute aggregate scores for
joint complexes that accurately capture pathological expression, (2) reveal which
variables describe the most sample variation in OA, (3) enable inter- and intra
sample comparison of results, and (4) formulate predictive models from component
based arthritic scores. MATERIALS AND METHODS: The sample (144 males, 145
females) is drawn from a large skeletal cemetery collection of modern Europeans
of known sex, age, and occupation. OA data was collected using standard ranked
categorical scoring. PCA was conducted separately on lumbar spine, pelvis, and
knee regions to generate composite OA scores from eigenequations of the first and
second principal components (PC). RESULTS: Results demonstrate that as severity
in OA increases, so does the distribution of OA within the joint surface. In each
region, PCA produced the same general pattern with eburnation scoring driving
significant changes in composite OA scores, representing earlier to later stages
of cartilage degeneration. The distribution of arthritic traits determined by PCA
produced an OA score that quantifies the expression of joint changes in varied
biological joint structures from most moveable to least mobile, the final stage
being joint fusion. OA scores are most highly variable in the lumbar region for
both males and females, as compared to the pelvis and knee. CONCLUSIONS: PCA is a
simple, non-parametric method of extracting relevant information from complex OA
datasets and summarizes variation based on correlated multi-attributes to reveal
a simplified structure of OA expression. Multivariate techniques like PCA should
be used to describe discrete OA samples, and are useful to compute population
specific representative measurements for idiopathic joint OA in a skeletal
sample.
PMID- 27896801
TI - From threat to gradual lifestyle changes: Iranians' experiences with chronic
illnesses.
AB - AIM: This study aimed to explore and describe the experience of making a healthy
lifestyle change among the patients with chronic illness. BACKGROUND: Despite the
existence of different evidence on the critical role of lifestyle in the
prevention and management of chronic diseases, many people face challenges in
terms of starting and maintaining a healthy lifestyle. METHODS: A descriptive
qualitative study with in-depth semi-structured interviews was carried out in
2015 in Iran. Thirty-four patients with common chronic illnesses were invited to
the study using purposive sampling. The collected data were analysed by content
analysis. FINDINGS: The main themes were: trying to remove the perceived threat,
considering and trying to do physical activities, considering and planning for a
healthy diet, striving to manage stress and having gradual acceptance of new
habits and coping with them. LIMITATIONS: The participants were selected from
among those with chronic illness. However, there is also a need to assess the
family and healthcare providers' perspectives. CONCLUSION AND IMPLICATIONS FOR
NURSING: The perceived threat of the disease plays an important role in the
process of changing to a healthy lifestyle in Iranian with chronic illnesses. It
is necessary for healthcare providers, especially nurses, to use this threat as a
golden opportunity to accelerate changes in patients' behaviours. IMPLICATIONS
FOR NURSING POLICY: Findings may help policy makers become aware of the need for
nurses to create community-based nursing in Iran. Community nurses can remind
patients of perceived threats to their health to motivate them for continued
healthy behaviours. Therefore, nursing curricula should be revised and
educational programs utilise a community-based health approach.
PMID- 27896802
TI - A method to characterize the roughness of 2-D line features: recrystallization
boundaries.
AB - A method is presented, which allows quantification of the roughness of nonplanar
boundaries of objects for which the neutral plane is not known. The method
provides quantitative descriptions of both the local and global characteristics.
How the method can be used to estimate the sizes of rough features and local
curvatures is also presented. The potential of the method is illustrated by
quantification of the roughness of two recrystallization boundaries in a pure Al
specimen characterized by scanning electron microscopy.
PMID- 27896799
TI - A Comparison of Sex Differences in Psychotropic Medication Use in Older People
with Alzheimer's Disease in the US and Finland.
AB - AIMS: Given the high prevalence of psychotropic medication use in people with
dementia and the potential for different prescribing practices in men and women,
our study aimed to investigate sex differences in psychotropic medication use in
older adults with Alzheimer's disease (AD) living in the US and Finland. METHODS:
We used data collected between 2005 and 2011 as part of the National Alzheimer's
Coordinating Center (NACC) in the US, and Medication use and Alzheimer's disease
(MEDALZ) cohorts in Finland. We evaluated psychotropic medication use
(antidepressant, antipsychotic, anxiolytic, sedative, or hypnotic) in
participants aged 65 years or older. We employed multivariable logistic
regression adjusted for demographics, co-morbidities, and other medications to
estimate the magnitude of the association (adjusted odds ratio [aOR] with 95%
confidence intervals [CIs]) according to sex. RESULTS: We included 1099 NACC
participants (502 [45.68%] men, 597 [54.32%] women), and 67,049 participants from
the MEDALZ cohort (22,961 [34.24%] men, 44,088 [65.75%] women). Women were more
likely than men to use psychotropic medications: US, 46.2% vs. 33.1%, p < 0.001;
Finland, 45.3% vs. 36.1%, p < 0.001; aOR was 2.06 (95% CI 1.58-2.70) in the US
cohort and 1.38 (95% CI 1.33-1.43) in the Finnish cohort. Similarly, of the
different psychotropic medications, women were more likely to use antidepressants
(aOR-US: 2.16 [1.44-3.25], Finland: 1.52 [1.45-1.58]) and anxiolytics (aOR-US:
2.16 [1.83-3.96], Finland: 1.17 [1.13-1.23]) than men. CONCLUSION: Older women
with AD are more likely to use psychotropic medications than older men,
regardless of study population and country. Approaches to mitigate psychotropic
medication use need to consider different prescribing habits observed in older
women vs. men with AD.
PMID- 27896803
TI - The effect of craniokinesis on the middle ear of domestic chickens (Gallus gallus
domesticus).
AB - The avian middle ear differs from that of mammalians and contains a tympanic
membrane, one ossicle (bony columella and cartilaginous extra-columella), some
ligaments and one muscle. The rim of the eardrum (closing the middle ear cavity)
is connected to the neurocranium and, by means of a broad ligament, to the otic
process of the quadrate. Due to the limited number of components in the avian
middle ear, the possibilities of attenuating the conduction of sound seem to be
limited to activity of the stapedius muscle. We investigate to what extent
craniokinesis may impact the components of the middle ear because of the
connection of the eardrum to the movable quadrate. The quadrate is a part of the
beak suspension and plays an important role in craniokinesis. Micro-computed
tomography was used to visualize morphology and the effect of craniokinesis on
the middle ear in the domestic chicken (Gallus gallus domesticus). Both hens and
roosters are considered because of their difference in vocalization capacity. It
is hypothesized that effects, if present, of craniokinesis on the middle ear will
be greater in roosters because of their louder vocalization. Maximal lower jaw
depression was comparable for hens and roosters (respectively 34.1 +/- 2.6
degrees and 32.7 +/- 2.5 degrees ). There is no overlap in ranges of maximal
upper jaw elevation between the sexes (respectively 12.7 +/- 2.5 degrees and
18.5 +/- 3.8 degrees ). Frontal rotation about the transversal quadrato
squamosal, and inward rotation about the squamosal-mandibular axes of the
quadrate were both considered to be greater in roosters (respectively 15.4 +/-
2.8 degrees and 11.1 +/- 2.5 degrees ). These quadrate rotations did not affect
the columellar position or orientation. In hens, an influence of the quadrate
movements on the shape of the eardrum could not be detected either; however,
craniokinesis caused slight stretching of the eardrum towards the caudal rim of
the otic process of the quadrate. In roosters, an inward displacement of the
conical tip of the tympanic membrane of 0.378 +/- 0.21 mm, as a result of
craniokinesis, was observed. This is linked to a flattening and slackening of the
eardrum. These changes most likely go along with a deformation of the extra
columella. Generally, in birds, larger beak opening is related to the intensity
of vocalization. The coupling between larger maximal upper jaw lifting in
roosters and the slackening of the eardrum suggest the presence of a passive
sound attenuation mechanism during self-vocalization.
PMID- 27896804
TI - Antiepileptic drugs and hyponatremia in older adults: Two population-based cohort
studies.
AB - OBJECTIVE: To examine the 30-day risk of hospitalization with hyponatremia
associated with carbamazepine, valproic acid (V), phenytoin (P), or topiramate
(T) use compared to nonuse in the outpatient setting among older adults. METHODS:
We conducted two population-based, retrospective cohort studies in Ontario,
Canada, between 2003 and 2015 using administrative health care databases of older
adults. The first study compared carbamazepine users to a propensity-score
matched group of antiepileptic drug nonusers, whereas the second compared V-P-T
users to a propensity-score matched group of antiepileptic nonusers. The primary
outcome was hospitalization with hyponatremia within 30 days of an antiepileptic
prescription. RESULTS: The baseline characteristics between matched groups were
similar in both cohorts. Carbamazepine use versus nonuse was associated with a
higher 30-day risk of hospitalization with hyponatremia (82/21,191 [0.39%] versus
30/63,573 [0.05%]; relative risk [RR] 8.20, 95% confidence interval [CI] 5.40
12.46). Similarly, V-P-T use versus nonuse was associated with a higher 30-day
risk of hospitalization with hyponatremia (34/20,155 [0.17%] versus 26/40,310
[0.06%]; RR 2.62, 95% CI 1.57-4.36). SIGNIFICANCE: Older adults prescribed
carbamazepine and V-P-T have a higher risk of being hospitalized with
hyponatremia compared to other adults with similar indicators of baseline health
who were not prescribed antiepileptic drugs. Physicians should be mindful of this
risk; when a patient presents to a hospital with symptomatic hyponatremia these
drugs should be considered as potential causes.
PMID- 27896805
TI - Key Elements of a Family Intervention for Schizophrenia: A Qualitative Analysis
of an RCT.
AB - Schizophrenia is a complex biopsychosocial condition in which expressed emotion
in family members is a robust predictor of relapse. Not surprisingly, family
interventions are remarkably effective and thus recommended in current treatment
guidelines. Their key elements seem to be common therapeutic factors, followed by
education and coping skills training. However, few studies have explored these
key elements and the process of the intervention itself. We conducted a
qualitative and quantitative analysis of the records from a pioneering family
intervention trial addressing expressed emotion, published by Leff and colleagues
four decades ago. Records were analyzed into categories and data explored using
descriptive statistics. This was complemented by a narrative evaluation using an
inductive approach based on emotional markers and markers of change. The most
used strategies in the intervention were addressing needs, followed by coping
skills enhancement, advice, and emotional support. Dealing with overinvolvement
and reframing were the next most frequent. Single-family home sessions seemed to
augment the therapeutic work conducted in family groups. Overall the intervention
seemed to promote cognitive and emotional change in the participants, and
therapists were sensitive to the emotional trajectory of each subject. On the
basis of our findings, we developed a longitudinal framework for better
understanding the process of this treatment approach.
PMID- 27896806
TI - Nitric oxide synthase during early embryonic development in silkworm Bombyx mori:
Gene expression, enzyme activity, and tissue distribution.
AB - To elucidate the mechanism for embryonic diapause or the breakdown of diapause in
Bombyx mori, we biochemically analyzed nitric oxide synthase (NOS) during the
embryogenesis of B. mori. The gene expression and enzyme activity of B. mori NOS
(BmNOS) were examined in diapause, non-diapause, and HCl-treated diapause eggs.
In the case of HCl-treated diapause eggs, the gene expression and enzyme activity
of BmNOS were induced by HCl treatment. However, in the case of diapause and non
diapause eggs during embryogenesis, changes in the BmNOS activity and gene
expressions did not coincide except 48-60 h after oviposition in diapause eggs.
The results imply that changes in BmNOS activity during the embryogenesis of
diapause and non-diapause eggs are regulated not only at the level of
transcription but also post-transcription. The distribution and localization of
BmNOS were also investigated with an immunohistochemical technique using
antibodies against the universal NOS; the localization of BmNOS was observed
mainly in the cytoplasm of yolk cells in diapause eggs and HCl-treated diapause
eggs. These data suggest that BmNOS has an important role in the early embryonic
development of the B. mori.
PMID- 27896808
TI - The effects of cracks on the quantification of the cancellous bone fabric tensor
in fossil and archaeological specimens: a simulation study.
AB - Cancellous bone is very sensitive to its prevailing mechanical environment, and
study of its architecture has previously aided interpretations of locomotor
biomechanics in extinct animals or archaeological populations. However,
quantification of architectural features may be compromised by poor preservation
in fossil and archaeological specimens, such as post mortem cracking or
fracturing. In this study, the effects of post mortem cracks on the
quantification of cancellous bone fabric were investigated through the simulation
of cracks in otherwise undamaged modern bone samples. The effect on both scalar
(degree of fabric anisotropy, fabric elongation index) and vector (principal
fabric directions) variables was assessed through comparing the results of
architectural analyses of cracked vs. non-cracked samples. Error was found to
decrease as the relative size of the crack decreased, and as the orientation of
the crack approached the orientation of the primary fabric direction. However,
even in the best-case scenario simulated, error remained substantial, with at
least 18% of simulations showing a > 10% error when scalar variables were
considered, and at least 6.7% of simulations showing a > 10 degrees error when
vector variables were considered. As a 10% (scalar) or 10 degrees (vector)
difference is probably too large for reliable interpretation of a fossil or
archaeological specimen, these results suggest that cracks should be avoided if
possible when analysing cancellous bone architecture in such specimens.
PMID- 27896807
TI - FDG PET-CT imaging of therapeutic response in granulomatous lymphocytic
interstitial lung disease (GLILD) in common variable immunodeficiency (CVID).
AB - Common variable immunodeficiency (CVID) is the most common severe adult primary
immunodeficiency and is characterized by a failure to produce antibodies leading
to recurrent predominantly sinopulmonary infections. Improvements in the
prevention and treatment of infection with immunoglobulin replacement and
antibiotics have resulted in malignancy, autoimmune, inflammatory and
lymphoproliferative disorders emerging as major clinical challenges in the
management of patients who have CVID. In a proportion of CVID patients,
inflammation manifests as granulomas that frequently involve the lungs, lymph
nodes, spleen and liver and may affect almost any organ. Granulomatous
lymphocytic interstitial lung disease (GLILD) is associated with a worse outcome.
Its underlying pathogenic mechanisms are poorly understood and there is limited
evidence to inform how best to monitor, treat or select patients to treat. We
describe the use of combined 2-[(18)F]-fluoro-2-deoxy-d-glucose positron emission
tomography and computed tomography (FDG PET-CT) scanning for the assessment and
monitoring of response to treatment in a patient with GLILD. This enabled a
synergistic combination of functional and anatomical imaging in GLILD and
demonstrated a widespread and high level of metabolic activity in the lungs and
lymph nodes. Following treatment with rituximab and mycophenolate there was
almost complete resolution of the previously identified high metabolic activity
alongside significant normalization in lymph node size and lung architecture. The
results support the view that GLILD represents one facet of a multi-systemic
metabolically highly active lymphoproliferative disorder and suggests potential
utility of this imaging modality in this subset of patients with CVID.
PMID- 27896809
TI - A2A-D2 receptor-receptor interaction modulates gliotransmitter release from
striatal astrocyte processes.
AB - Evidence for striatal A2A-D2 heterodimers has led to a new perspective on
molecular mechanisms involved in schizophrenia and Parkinson's disease. Despite
the increasing recognition of astrocytes' participation in neuropsychiatric
disease vulnerability, involvement of striatal astrocytes in A2A and D2 receptor
signal transmission has never been explored. Here, we investigated the presence
of D2 and A2A receptors in isolated astrocyte processes prepared from adult rat
striatum by confocal imaging; the effects of receptor activation were measured on
the 4-aminopyridine-evoked release of glutamate from the processes. Confocal
analysis showed that A2A and D2 receptors were co-expressed on the same astrocyte
processes. Evidence for A2A-D2 receptor-receptor interactions was obtained by
measuring the release of the gliotransmitter glutamate: D2 receptors inhibited
the glutamate release, while activation of A2A receptors, per se ineffective,
abolished the effect of D2 receptor activation. The synthetic D2 peptide
VLRRRRKRVN corresponding to the receptor region involved in electrostatic
interaction underlying A2A-D2 heteromerization abolished the ability of the A2A
receptor to antagonize the D2 receptor-mediated effect. Together, the findings
are consistent with heteromerization of native striatal astrocytic A2A-D2
receptors that via allosteric receptor-receptor interactions could play a role in
the control of striatal glutamatergic transmission. These new findings suggest
possible new pathogenic mechanisms and/or therapeutic approaches to
neuropsychiatric disorders.
PMID- 27896811
TI - Measuring changes of manual ability with ABILHAND-Kids following intensive
training for children with unilateral cerebral palsy.
AB - AIM: ABILHAND-Kids is a parent-reported questionnaire measuring manual ability in
children with cerebral palsy (CP). Its psychometric properties have been
established, with the exception of responsiveness, which is examined here.
METHOD: In this cohort study, 98 children (46 males, 52 females; range 6-19y,
mean 11y, standard deviation [SD] 3.3y) with unilateral CP underwent three
assessments of upper extremity function: at baseline (T1); after 80 to 90 hours
of intensive training (T2); and at follow-up (T3). The responsiveness was
analyzed using global, group (based on age and on Manual Ability Classification
System [MACS] level), and individual approaches during two time periods (T1-T2
and T2-T3). Effect size was used to quantify magnitude of changes. RESULTS: The
global approach showed significant improvements between T1 and T2 (p<0.001) but
not between T2 and T3 (p=0.222). In the group analyses, effect size and SRM
demonstrated large changes in younger children (6-12y, n=52, mean change=1.06
logit, effect size >0.8) and small changes in the older children (13-19y, n=46,
mean change=0.71 logit, effect size >0.4). Children in MACS level II demonstrated
larger changes than children in MACS level I or III. INTERPRETATION: The ABILHAND
Kids exhibited responsiveness in detecting changes after intensive training.
Therefore, this scale is potentially useful in assessing the functional status of
children with unilateral CP in clinical trials.
PMID- 27896812
TI - Completeness and correctness of cerebral palsy diagnoses in two health registers:
implications for estimating prevalence.
AB - AIM: To assess completeness and correctness of cerebral palsy (CP) diagnoses in
the Cerebral Palsy Register of Norway (CPRN) and the Norwegian Patient Register
(NPR), and to estimate CP prevalence. METHOD: Among 747 883 Norwegian residents
born from 1996 to 2007, 2231 had a diagnosis of CP in the NPR while 1441 were
registered in the CPRN. Children registered in the CPRN were considered to have a
valid CP diagnosis. For those with a diagnosis of CP only in the NPR, two
paediatricians reviewed the hospital records. The prevalence rate of CP with 95%
confidence intervals (CI) was calculated on the basis of the combined data sets.
RESULTS: One thousand three hundred and ninety-eight children were registered
with a diagnosis of CP in both registers, 43 children were only registered in the
CPRN, and 824 only in the NPR. The review of hospital records revealed that 464
(59.5%) had CP. Thus, the NPR was 98% complete, and for 86% the diagnosis was
correct. The completeness of the CPRN was 76%, while the diagnosis was considered
correct for all children (100%). The resulting prevalence of CP was 2.5 (95% CI
2.4-2.7) per 1000. INTERPRETATION: To gain accurate estimates of prevalence rates
of CP, it is essential to combine data sources and to validate register data.
PMID- 27896810
TI - Multiple pathways for elevating extracellular adenosine in the rat hippocampal
CA1 region characterized by adenosine sensor cells.
AB - Extracellular adenosine in the brain, which modulates various physiological and
pathological processes, fluctuates in a complicated manner that reflects the
circadian cycle, neuronal activity, metabolism, and disease states. The dynamics
of extracellular adenosine in the brain are not fully understood, largely because
of the lack of simple and reliable methods of measuring time-dependent changes in
tissue adenosine distribution. This study describes the development of a
biosensor, designated an adenosine sensor cell, expressing adenosine A1 receptor,
and a genetically modified G protein. This biosensor was used to characterize
extracellular adenosine elevation in brain tissue by measuring intracellular
calcium elevation in response to adenosine. Placement of adenosine sensor cells
below hippocampal slices successfully detected adenosine releases from these
slices in response to neuronal activity and astrocyte swelling by conventional
calcium imaging. Pharmacological analyses indicated that high-frequency
electrical stimulation-induced post-synaptic adenosine release in a manner
dependent on L-type calcium channels and calcium-induced calcium release.
Adenosine release following treatments that cause astrocyte swelling is
independent of calcium channels, but dependent on aquaporin 4, an astrocyte
specific water channel subtype. The ability of ectonucleotidase inhibitors to
inhibit adenosine release following astrocyte swelling, but not electrical
stimulation, suggests that the former reflects astrocytic ATP release and
subsequent enzymatic breakdown, whereas the latter reflects direct adenosine
release from neurons. These results suggest that distinct mechanisms are
responsible for extracellular adenosine elevations by neurons and astrocytes,
allowing exquisite regulation of extracellular adenosine in the brain.
PMID- 27896813
TI - Transcriptional and post-transcriptional regulation of histone variant H2A.Z
during sea urchin development.
AB - Histone variant H2A.Z promotes chromatin accessibility at transcriptional
regulatory elements and is developmentally regulated in metazoans. We
characterize the transcriptional and post-transcriptional regulation of H2A.Z in
the purple sea urchin Strongylocentrotus purpuratus. H2A.Z depletion by antisense
translation-blocking morpholino oligonucleotides during early development causes
developmental collapse, in agreement with its previously demonstrated general
role in transcriptional multipotency. During H2A.Z peak expression in 24-h
embryos, endogenous H2A.Z 3' UTR sequences stabilize GFP mRNAs relative to those
with SV40 3' UTR sequences, although the 3' UTR of H2A.Z does not determine the
spatial distribution of H2A.Z transcripts during embryonic and postembryonic
development. We elaborated an H2A.Z::GFP BAC reporter that reproduces embryonic
H2A.Z expression. Genome-wide chromatin accessibility analysis using ATAC-seq
revealed a cis-regulatory module (CRM) that, when deleted, causes a significant
decline of the H2A.Z reporter expression. In addition, the mutation of a Sox
transcription factor binding site motif and, more strongly, of a Myb motif cause
significant decline of reporter gene expression. Our results suggest that an
undetermined Myb-family transcription factor controls the transcriptional
regulation of H2A.Z.
PMID- 27896814
TI - Evaluation of swimming performance for fish passage of longnose dace Rhinichthys
cataractae using an experimental flume.
AB - The swimming performance of longnose dace Rhinichthys cataractae, the most widely
distributed minnow (Cyprinidae) in North America, was assessed in relation to
potential passage barriers. The study estimated passage success, maximum ascent
distances and maximum sprint speed in an open-channel flume over a range of water
velocities and temperatures (10.7, 15.3 and 19.3 degrees C). Rhinichthys
cataractae had high passage success (95%) in a 9.2 m flume section at mean test
velocities of 39 and 64 cm s-1 , but success rate dropped to 66% at 78 cm s-1 .
Only 20% of fish were able to ascend a 2.7 m section with a mean velocity of 122
cm s-1 . Rhinichthys cataractae actively selected low-velocity pathways located
along the bottom and corners of the flume at all test velocities and adopted
position-holding behaviour at higher water velocities. Mean volitional sprint
speed was 174 cm s-1 when fish volitionally sprinted in areas of high water
velocities. Swimming performance generally increased with water temperature and
fish length. Based on these results, fishways with mean velocities <64 cm s-1
should allow passage of most R. cataractae. Water velocities >100 cm s-1 within
structures should be limited to short distance (<1 m) and structures with
velocities >=158 cm s-1 would probably represent movement barriers. Study results
highlighted the advantages of evaluating a multitude of swimming performance
metrics in an open-channel flume, which can simulate the hydraulic features of
fishways and allow for behavioural observations that can facilitate the design of
effective passage structures.
PMID- 27896816
TI - Altered pharyngeal structure and dynamics among patients with cervical kyphosis.
AB - OBJECTIVES/HYPOTHESIS: Deformities of the anterior cervical spine are an
established cause of dysphagia. Whereas osteophytes and spinal fusion hardware
have been reported to alter bolus flow and contribute to swallowing dysfunction,
the relationship between abnormal spine curvature and swallowing dysfunction is
not established. The purpose of this investigation was to evaluate the
association between cervical kyphosis and objective measures of swallowing
dysfunction on videofluoroscopy. STUDY DESIGN: Case-control study of patients
presenting to tertiary dysphagia center. METHODS: All videofluoroscopic swallow
studies (VFSS) performed at our institution, between August 1, 2014, and August
1, 2015, were retrospectively reviewed to identify patients with abnormal
cervical kyphosis, according to Cobb and Jackson angle measurements. Patients
with kyphosis were age- and gender-matched to persons without kyphosis. VFSS and
demographic parameters were collected and compared between groups. RESULTS:
Thirty-six patients with cervical kyphosis exceeding two standard deviations (SD)
beyond established age-specific normal ranges were identified. The mean age of
the entire cohort was 61.6 (SD +/-19.1) years. Mean pharyngeal area was 3.34 cm2
greater in kyphosis patients compared to controls (95% confidence interval [CI]:
0.47-5.21 cm2 ; P = .0007). This was associated with increased hypopharyngeal
transit time (0.57 seconds, 95% CI: 0.045-1.09 seconds, P = .034), and higher
prevalence of penetration (P = .014). There was no significant difference in the
pharyngeal constriction ratio (PCR), a surrogate measure of pharyngeal strength
(P = .83). CONCLUSIONS: Patients with cervical spine kyphosis have a
significantly dilated pharynx (P = .0007), elongated hypopharyngeal transit time
(P = .034), and worsened penetration aspiration scores (P = .021). Absence of a
difference in PCR suggests adequate compensation as a group. LEVEL OF EVIDENCE:
3b. Laryngoscope, 127:1832-1837, 2017.
PMID- 27896815
TI - How and why are calcium currents curtailed in the skeletal muscle voltage-gated
calcium channels?
AB - Voltage-gated calcium channels represent the sole mechanism converting electrical
signals of excitable cells into cellular functions such as contraction, secretion
and gene regulation. Specific voltage-sensing domains detect changes in membrane
potential and control channel gating. Calcium ions entering through the channel
function as second messengers regulating cell functions, with the exception of
skeletal muscle, where CaV 1.1 essentially does not function as a channel but
activates calcium release from intracellular stores. It has long been known that
calcium currents are dispensable for skeletal muscle contraction. However, the
questions as to how and why the channel function of CaV 1.1 is curtailed remained
obscure until the recent discovery of a developmental CaV 1.1 splice variant with
normal channel functions. This discovery provided new means to study the
molecular mechanisms regulating the channel gating and led to the understanding
that in skeletal muscle, calcium currents need to be restricted to allow proper
regulation of fibre type specification and to prevent mitochondrial damage.
PMID- 27896817
TI - Lack of in vivo mutagenicity of 1,2-dichloropropane and dichloromethane in the
livers of gpt delta rats administered singly or in combination.
AB - 1,2-Dichloropropane (1,2-DCP) and dichloromethane (DCM) are possible causative
agents associated with the development of cholangiocarcinoma in employees working
in printing plant in Osaka, Japan. However, few reports have demonstrated an
association between these agents and cholangiocarcinoma in rodent carcinogenicity
studies. Moreover, the combined effects of these compounds have not been fully
elucidated. In the present study, we evaluated the in vivo mutagenicity of 1,2
DCP and DCM, alone or combined, in the livers of gpt delta rats. Six-week-old
male F344 gpt delta rats were treated with 1,2-DCP, DCM or 1,2-DCP + DCM by oral
administration for 4 weeks at the dose (200 mg kg-1 body weight 1,2-DCP and 500
mg kg-1 body weight DCM) used in the carcinogenesis study performed by the
National Toxicology Program. In vivo mutagenicity was analyzed by gpt
mutation/Spi- assays in the livers of rats. In addition, gene and protein
expression of CYP2E1 and GSTT1, the major enzymes responsible for the genotoxic
effects of 1,2-DCP and DCM, were analyzed by quantitative polymerase chain
reaction and western blotting. Gpt and Spi- mutation frequencies were not
increased by 1,2-DCP and/or DCM in any group. Additionally, there were no
significant changes in the gene and protein expression of CYP2E1 and GSTT1 in any
group. These results indicated that 1,2-DCP, DCM and 1,2-DCP + DCM had no
significant impact on mutagenicity in the livers of gpt delta rats under our
experimental conditions. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27896818
TI - Coupling immunity and programmed cell suicide in prokaryotes: Life-or-death
choices.
AB - Host-pathogen arms race is a universal, central aspect of the evolution of life.
Most organisms evolved several distinct yet interacting strategies of anti
pathogen defense including resistance to parasite invasion, innate and adaptive
immunity, and programmed cell death (PCD). The PCD is the means of last resort, a
suicidal response to infection that is activated when resistance and immunity
fail. An infected cell faces a decision between active defense and altruistic
suicide or dormancy induction, depending on whether immunity is "deemed" capable
of preventing parasite reproduction and consequent infection of other cells. In
bacteria and archaea, immunity genes typically colocalize with PCD modules, such
as toxins-antitoxins, suggestive of immunity-PCD coupling, likely mediated by
shared proteins that sense damage and "predict" the outcome of infections. In
type VI CRISPR-Cas systems, the same enzyme that inactivates the target RNA might
execute cell suicide, in a case of ultimate integration of immunity and PCD.
PMID- 27896819
TI - Extracorporeal Membrane Oxygenation Outcomes After the Comprehensive Stage II
Procedure in Patients With Single Ventricles.
AB - Outcomes for extracorporeal membrane oxygenation (ECMO) have been described for
patients with single ventricle physiology (SVP) undergoing cavopulmonary
connection (Glenn procedure). An alternative surgical pathway for patients with
SVP consists of an initial hybrid procedure followed by a comprehensive Stage II
procedure. No data exist describing the outcomes of patients requiring ECMO after
the comprehensive Stage II procedure. The goal of this study is to describe the
outcomes for patients who required ECMO after the comprehensive Stage II
procedure. Data from the Extracorporeal Life Support Organization (ELSO) registry
from 2001 to 2015 for children undergoing the comprehensive Stage II procedure
older than 3 months of age were retrospectively analyzed. Demographics and ECMO
characteristics were recorded. A total of six children required ECMO support
after the comprehensive Stage II procedure (2 males, 4 females). Four patients
had the diagnosis of hypoplastic left heart syndrome and two patients had the
diagnosis of an unbalanced atrioventricular septal defect. Bypass time was 242.8
+/- 110.9 min and cross-clamp time was 91.2 +/- 46.2 min for the surgical
procedure. Weight was 5.8 +/- 1.3 kg and age was 150.2 + 37.9 days at time of
ECMO. ECMO duration was 276.0 +/- 218.1 h. Complications during the ECMO run
included hemorrhage in four patients (67%), renal dysfunction in two patients
(33%), and neurologic injury in two patients (33%). Four patients (67%) were
discharged alive after ECMO decannulation. Despite being a much more extensive
surgical procedure, the morbidity and mortality after ECMO in patients undergoing
the comprehensive Stage II procedure are similar to those in patients undergoing
the Glenn procedure. If needed, ECMO support is reasonable for patients after the
comprehensive Stage II procedure.
PMID- 27896821
TI - Clinical symptom tool that raises the index of suspicion for eosinophilic
oesophagitis in adults and drives earlier biopsy for definitive diagnosis.
AB - BACKGROUND: Eosinophilic oesophagitis (EoE) and gastro-oesophageal reflux disease
(GERD) present with overlapping symptomatology and it is challenging to
distinguish EoE from GERD clinically before endoscopy. AIM: To investigate the
prognostic value of a set of clinical symptoms and laboratory values in patients
with EoE and GERD. METHODS: In this prospective, single-centre, observational
study, we compared clinical and laboratory data from 202 patients with EoE or
GERD (10 relevant characteristics). Those characteristics showing potential
significance in a univariate analysis were then included in a multivariate
analysis. RESULTS: The set of 10 characteristics (10-marker set) was able to
distinguish between EoE and GERD with good reliability (correct assignment, i.e.
agreement with subsequent EGD, of 94.4%). Reduction of the set to the six
statistically and clinically most relevant markers continued to give good
reliability (88.9%), and further stepwise reduction led to four-marker sets
comprising history of atopy, history of food impaction, proton pump inhibitor
refractory symptoms and either immunoglobulin E or peripheral eosinophilia, with
correct assignment rates of 91.3% and 85.1% respectively. CONCLUSIONS: We have
developed a simple and easily applicable clinical/laboratory marker set that
helps to distinguish EoE from GERD earlier in the treatment course, thus guiding
the endoscopist to perform biopsies from the oesophagus to ensure the diagnosis.
The application of the scoring system is expected to diagnose EoE earlier and
avoiding delay of adequate treatment.
PMID- 27896820
TI - PPARgamma targeted oral cancer treatment and additional utility of genomics
analytic techniques.
AB - OBJECTIVE: Peroxisome proliferator-activated receptor gamma (PPARgamma) agonists
have been shown to have anti-proliferative, anti-angiogenic, and proapoptotic
effects, leading to interest in their use as cancer therapeutics. Pioglitazone, a
U.S. Food and Drug Administration-approved type II diabetes medication and
PPARgamma agonist, may have a role in adjuvant head-and-neck squamous cell
carcinoma treatment or prevention. Therefore, the purpose of this study was: 1)
to treat oral cavity cancer cells with the PPARgamma activator, pioglitazone, to
analyze gene expression changes; and 2) to compare those changes with our
preexisting genomic data for development of hypothesis-driven additional basic
and clinical studies. STUDY DESIGN: Prospective in vitro. METHODS: We utilized
microarray technology, as well as OCPlus (Bioconductor open source software) and
Ingenuity Pathway Analysis (Qiagen, Redwood City, CA), to analyze differential
gene expression in tumor and pioglitazone-treated tumor cells on a genome-wide
level to demonstrate the feasibility of such an approach and determine
appropriate sample size for future investigations. RESULTS: We found that
approximately 35 samples are required to adequately power future studies. We next
discovered that pioglitazone significantly affects Inducible T-Cell Costimulator
(iCOS)-Ligand for the T-cell-specific cell surface receptor ICOS (iCOSL) and type
II diabetes mellitus pathways as a putative anti-cancer mechanism. CONCLUSION:
Genome-wide analysis is possible for the exploration of differential pathway
modulation and rapid hypothesis generation. Both inflammation and type II
diabetes pathways were significantly altered and therefore might provide unique
hypothesis-driven pharmacodynamic parameters for future in vitro or in vivo
studies utilizing thiazolidinediones. These techniques could be applied to
microarray or other high throughput data from a variety of hypothesis-generating
research scenarios in otolaryngology (e.g., middle ear proteomics, sinus
microbiome studies). LEVEL OF EVIDENCE: NA. Laryngoscope, 127:E124-E131, 2017.
PMID- 27896822
TI - Simeprevir in combination with sofosbuvir in treatment-naive and -experienced
patients with hepatitis C virus genotype 4 infection: a Phase III, open-label,
single-arm study (PLUTO).
AB - BACKGROUND: Hepatitis C virus (HCV) infection is a leading cause of liver
cirrhosis and subsequent hepatocellular carcinoma. HCV genotype 4 is found widely
in the Middle East, Egypt and Africa, and has also spread into Europe. There are
limited data available regarding the use of direct-acting antiviral agents in HCV
genotype 4-infected patients with cirrhosis. AIM: To evaluate in the phase III,
open-label, single-arm PLUTO study the efficacy and safety of 12 weeks of
simeprevir (HCV NS3/4A protease inhibitor) plus sofosbuvir (HCV nucleotide
analogue NS5B polymerase inhibitor) in treatment-naive and (peg)interferon +/-
ribavirin-experienced HCV genotype 4-infected patients, with or without
compensated cirrhosis. METHODS: Adult patients with chronic HCV genotype 4
infection received simeprevir 150 mg once-daily and sofosbuvir 400 mg once-daily
for 12 weeks. The primary efficacy endpoint was sustained virologic response 12
weeks after the end of treatment (SVR12). Safety was also assessed. RESULTS:
Forty patients received treatment; the majority were male (73%) and treatment
experienced (68%). Overall, 7/40 (18%) patients had compensated cirrhosis. All
patients achieved SVR12 [100% (Clopper-Pearson 95% confidence interval: 91
100%)]. Adverse events, all Grade 1 or 2, were reported in 20/40 (50%) patients.
No serious adverse events were reported and no patients discontinued study
treatment. Grade 3 treatment-emergent laboratory abnormalities were noted in 2/40
(5%) patients. CONCLUSIONS: Treatment with simeprevir plus sofosbuvir for 12
weeks resulted in SVR12 rates of 100% in treatment-naive and -experienced
patients with HCV genotype 4 infection with or without compensated cirrhosis, and
was well tolerated. [NCT02250807].
PMID- 27896823
TI - Estimation of state occupancy probabilities in multistate models with dependent
intermittent observation, with application to HIV viral rebounds.
AB - In follow-up studies on chronic disease cohorts, individuals are often observed
at irregular visit times that may be related to their previous disease history
and other factors. This can produce bias in standard methods of estimation.
Working in the context of multistate models, we consider a method of
nonparametric estimation for state occupancy probabilities that adjusts for
dependent follow-up through the use of inverse-intensity-of-visit weighted
estimating functions and smoothing. The methodology is applied to the estimation
of viral rebound probabilities in the Canadian Observational Cohort on HIV
positive persons. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27896825
TI - Is it time to reconsider lobectomy in low-risk paediatric thyroid cancer?
AB - OBJECTIVE: Current guidelines recommend total thyroidectomy for nearly all
children with well-differentiated thyroid cancer (WDTC). These guidelines,
however, derive from older data accrued prior to current high-resolution imaging.
We speculate that there is a subpopulation of children who may be adequately
treated with lobectomy. DESIGN: Retrospective analysis of prospectively
maintained database. PATIENTS: Seventy-three children with WDTC treated between
2004 and 2015. MEASUREMENTS: We applied two different risk-stratification
criteria to this population. First, we determined the number of patients meeting
American Thyroid Association (ATA) 'low-risk' criteria, defined as disease
grossly confined to the thyroid with either N0/Nx or incidental microscopic N1a
disease. Second, we defined a set of 'very-low-risk' histopathological criteria,
comprising unifocal tumours <=4 cm without predefined high-risk factors, and
determined the proportion of patients that met these criteria. RESULTS: Twenty
seven (37%) males and 46 (63%) females were included in this study, with a mean
age of 13.4 years. Ipsilateral- and contralateral multifocality were identified
in 27 (37.0%) and 19 (26.0%) of specimens. Thirty-seven (51%) patients had lymph
node metastasis (N1a = 18/N1b = 19). Pre-operative ultrasound identified all
cases with clinically significant nodal disease. Of the 73 patients, 39 (53.4%)
met ATA low-risk criteria and 16 (21.9%) met 'very-low-risk' criteria. All 'very
low-risk' patients demonstrated excellent response to initial therapy without
persistence/recurrence after a mean follow-up of 36.4 months. CONCLUSIONS:
Ultrasound and histopathology identify a substantial population that may be
candidates for lobectomy, avoiding the risks and potential medical and
psychosocial morbidity associated with total thyroidectomy. We propose a clinical
framework to stimulate discussion of lobectomy as an option for low-risk
patients.
PMID- 27896824
TI - Patients with the worst outcomes after paracetamol (acetaminophen)-induced liver
failure have an early monocytopenia.
AB - BACKGROUND: Acute liver failure (ALF) is associated with significant morbidity
and mortality. Studies have implicated the immune response, especially
monocyte/macrophages as being important in dictating outcome. AIM: To investigate
changes in the circulating monocytes and other immune cells serially in patients
with ALF, relate these with cytokine concentrations, monocyte gene expression and
patient outcome. METHODS: In a prospective case-control study in the Scottish
Liver Transplant Unit, Royal Infirmary Edinburgh, 35 consecutive patients
admitted with paracetamol-induced liver failure (POD-ALF), 10 patients with non
paracetamol causes of ALF and 16 controls were recruited. The peripheral blood
monocyte phenotype was analysed by flow cytometry, circulating cytokines
quantified by protein array and monocyte gene expression array performed and
related to outcome. RESULTS: On admission, patients with worst outcomes after POD
ALF had a significant monocytopenia, characterised by reduced classical and
expanded intermediate monocyte population. This was associated with reduced
circulating lymphocytes and natural killer cells, peripheral cytokine patterns
suggestive of a 'cytokine storm' and increased concentrations of cytokines
associated with monocyte egress from the bone marrow. Gene expression array did
not differentiate patient outcome. At day 4, there was no significant difference
in monocyte, lymphocyte or natural killer cells between survivors and the
patients with adverse outcomes. CONCLUSIONS: Severe paracetamol liver failure is
associated with profound changes in the peripheral blood compartment,
particularly in monocytes, related with worse outcomes. This is not seen in
patients with non-paracetamol-induced liver failure. Significant monocytopenia on
admission may allow earlier clarification of prognosis, and it highlights a
potential target for therapeutic intervention.
PMID- 27896826
TI - What is the preferred perioperative antibiotic choice and duration of use
following major head and neck surgery?
PMID- 27896828
TI - Addressing the occupational needs of refugees and asylum seekers.
PMID- 27896827
TI - LEAPing through the looking glass: secondary analysis of the effect of skin test
size and age of introduction on peanut tolerance after early peanut introduction.
AB - BACKGROUND: In the Learning Early About Peanut Allergy (LEAP) study, early peanut
introduction in high-risk 4- to 11-month-olds was associated with a significantly
decreased risk of developing peanut allergy. However, the influences of key
baseline high-risk factors on peanut tolerance are poorly understood. METHODS:
Secondary analysis was conducted on the publically available LEAP dataset,
exploring relationships between peanut tolerance, baseline peanut/egg
sensitization, eczema severity/duration, age of introduction, gender, and race.
RESULTS: A multiple logistic regression model predicting odds of successful oral
food challenge (OFC) at 60 months noted higher odds with early introduction (OR
9.2, P < 0.001, 95% CI 4.2-20.3), white race (OR 2.1, P = 0.04, 95% CI 1.1-3.9),
and advancing age (OR 4.8, P = 0.04, 95% CI 1.1-20.8). Odds of peanut tolerance
were lower with increasing peanut wheal size (OR 0.58, P < 0.001, 95% CI 0.46
0.74), increased baseline SCORAD score (OR 0.98, P = 0.04, 95% CI 0.97-1), and
increased kUA /l of egg serum IgE (sIgE) (OR 0.99, P = 0.04, 95% CI 0.98-1). The
probability of peanut tolerance in the early introduction group was 83% vs 43% in
the avoidance group with SPT wheal of <4 mm. The probability of a successful OFC
was significantly higher with peanut introduction between 6 and 11 months than at
4-6 months. Increasing eczema severity had limited impact on the probability of
peanut tolerance in the early introduction arm. CONCLUSION: Increasing peanut
wheal size predicted peanut tolerance only in the avoidance arm. Peanut
introduction between 6 and 11 months of age was associated with the highest rates
of peanut tolerance, questioning the 'urgency' of introduction before 6 months.
PMID- 27896829
TI - Immunomodulatory and Immunosuppressive Roles of 1alpha,25(OH)2D3 in Autoimmune
Diseases.
AB - Autoimmune diseases are pathological conditions characterized by abnormal
responses, accompanied by autoantibodies to self-molecules. The role of vitamin D
in autoimmune diseases has increased significantly in the recent past from its
functions in calcium and phosphate homoeostasis, and it is now involved in the
regulations and proliferations of Th1 and Th17 lymphocyte. 1alpha,25(OH)2D3 is
very important in ameliorations of inflammatory disorders arising from autoimmune
diseases, but the mechanism by which this is performed is still a bone of
contentions. This review aimed to highlight the existing facts about the roles of
Vitamin D in the treatment and management of autoimmune diseases. An extensive
online literature search was conducted using PubMed, MEDLINE and Scopus.
Accumulated bodies of research evidence are available which demonstrates that
Vitamin D has a very important part to play in the regulation of immune responses
in autoimmune diseases. Some of the authors suggested that Vitamin D3 carry-out
its immunosuppressive and immune modulatory action, through its actions on
antigen-presenting cells and activated T and B cells with the help of Vitamin D
receptors present on the each of these cells. Vitamin D supplementation assists
in autoimmune disorders by making qualitative and quantitative changes in the
immune system (downregulation of Th1 and upregulations of Th2 cells). This
resulted in the body to be more tolerant of self and less likely to mount
autoimmune responses.
PMID- 27896830
TI - Vagal nerve endings in visceral pleura and triangular ligaments of the rat lung.
AB - The inner thoracic cavity is lined by the parietal pleura, and the lung lobes are
covered by the visceral pleura. The parietal and visceral plurae form the pleural
cavity that has negative pressure within to enable normal respiration. The lung
tissues are bilaterally innervated by vagal and spinal nerves, including sensory
and motor components. This complicated innervation pattern has made it difficult
to discern the vagal vs. spinal processes in the pulmonary visceral pleura. With
and without vagotomy, we identified vagal nerve fibres and endings distributed
extensively in the visceral pleura ('P'-type nerve endings) and triangular
ligaments ('L'-type nerve endings) by injecting wheat germ agglutinin-horseradish
peroxidase as a tracer into the nucleus of solitary tract or nodose ganglion of
male Sprague-Dawley rats. We found the hilar and non-hilar vagal pulmonary
pleural innervation pathways. In the hilar pathway, vagal sub-branches enter the
hilum and follow the pleural sheet to give off the terminal arborizations. In the
non-hilar pathway, vagal sub-branches run caudally along the oesophagus and
either directly enter the ventral-middle-mediastinal left lobe or follow the
triangular ligaments to enter the left and inferior lobe. Both vagi innervate:
(i) the superior, middle and accessory lobes on the ventral surfaces that face
the heart; (ii) the dorsal-rostral superior lobe; (iii) the dorsal-caudal left
lobe; and (iv) the left triangular ligament. Innervated only by the left vagus
is: (i) the ventral-rostral and dorsal-rostral left lobe via the hilar pathway;
(ii) the ventral-middle-mediastinal left lobe and the dorsal accessory lobe that
face the left lobe via the non-hilar pathway; and (iii) the ventral-rostral
inferior lobe that faces the heart. Innervated only by the right vagus, via the
non-hilar pathway, is: (i) the inferior (ventral and dorsal) and left (ventral
only) lobe in the area near the triangular ligament; (ii) the dorsal-middle
mediastinal left lobe; and (iii) the right triangular ligament. Other regions
innervated with unknown vagal pathways include: (i) the middle lobe that faces
the superior and inferior lobe; (ii) the rostral-mediastinal inferior lobe that
faces the middle lobe; and (iii) the ventral accessory lobe that faces the
diaphragm. Our study demonstrated that most areas that face the dorsal thoracic
cavity have no vagal innervation, whereas the interlobar and heart-facing areas
are bilaterally or unilaterally innervated with a left-rostral vs. right-caudal
lateralized innervation pattern. This innervation pattern may account for the
fact that the respiratory regulation in rats has a lateralized right-side
dominant pattern.
PMID- 27896831
TI - Anomerization reaction of bare and microhydrated d-erythrose via explicitly
correlated coupled cluster approach. Two water molecules are optimal.
AB - We present a comprehensive benchmark computational study which has explored a
complete path of the anomerization reaction of bare d-erythrose involving a pair
of the low-energy alpha- and beta-furanose anomers, the former of which was
observed spectroscopically (Cabezas et al., Chem. Commun. 2013, 49, 10826). We
find that the ring opening of the alpha-anomer yields the most stable open-chain
tautomer which step is followed by the rotational interconversion of the open
chain rotamers and final ring closing to form the beta-anomer. Our results
indicate the flatness of the reaction's potential energy surface (PES)
corresponding to the rotational interconversion path and its sensitivity to the
computational level. By using the explicitly correlated coupled cluster CCSD(T)
F12/cc-pVTZ-F12 energies, we determine the free energy barrier for the alpha
furanose ring-opening (rate-determining) step as 170.3 kJ/mol. The question of
the number of water molecules (n) needed for optimal stabilization of the
erythrose anomerization reaction rate-determining transition state is addressed
by a systematic exploration of the PES of the ring opening in the alpha-anomer
(H2 O)n and various beta-anomer-(H2 O)n (n = 1-3) clusters using density
functional and CCSD(T)-F12 computations. These computations suggest the lowest
free energy barrier of the ring opening for doubly hydrated alpha-anomer,
achieved by a mechanism that involves water-mediated multiple proton transfer
coupled with the furanose C?O bond breakage. Among the methods used, the G4
performed best against the CCSD(T)-F12 reference at estimating the ring-opening
barrier heights for both the hydrated and bare erythrose conformers. Our results
for the hydrated species are most relevant to an experimental study of the
anomerization reaction of d-erythrose to be carried out in microsolvation
environment. (c) 2016 Wiley Periodicals, Inc.
PMID- 27896832
TI - Leaving foster or residential care: a participatory study of care leavers'
experiences of health and social care transitions.
AB - BACKGROUND: Young people in residential or foster care experience multiple
transitions around their 18th birthday without the long term and consistent
support from their family of origin that most of their peers can expect. We
report a mixed methods qualitative study of transitions across health and social
care services for children leaving care, providing narratives of what young
people described as positive, and what they and professionals think might be
improved. METHODS: Data were collected in participatory meetings and individual
interviews between young people and researchers (n = 24) and individual
interviews with practitioners (n = 11). In addition to discussion and interview
techniques, we used pictorial and other participatory methods. Interviews were
coded by three members of the team and differences resolved with a fourth. Our
analysis draws on thematic and framework approaches. RESULTS: Health was rarely
at the top of any young person's agenda, although gaps in health care and
exceptional care were both described. Housing, financial support and education
took priority. Young people and professionals alike emphasized the importance of
workers prepared to go the extra mile; of young people being able to contact
professionals; and professionals being able to contact one another. CONCLUSIONS:
Policy and practice aspirations for care leavers recommend gradual change but
transfer rather than transition continues to be described by care leavers. Our
data support the need for transition as a long-term process, with children and
young people having early opportunities to prepare for citizenship.
PMID- 27896833
TI - Exploiting the aggregation properties of alpha-synuclein for diagnostic purposes.
PMID- 27896834
TI - Histologic alterations in tetralogy of Fallot.
AB - BACKGROUND: This study was performed to investigate the pre-existing histologic
alterations at the time of complete repair in patients with tetralogy of Fallot
(TOF) and evaluate their effects on the early postoperative outcomes. METHODS:
Fourteen patients, seven with acyanotic TOF (SO2 > 90, group I) and seven with
cyanotic TOF (SO2 < 90, group II), undergoing complete repair, were enrolled.
Right ventricular biopsies were examined for cardiomyocyte injury and fibrosis by
light microscopy and mitochondrial injury by electron microscopy. The association
of the severity of histologic alterations and postoperative inotrope use,
intensive care unit, and in-hospital stays were evaluated. RESULTS: Compared with
group I, patients in group II had a higher inotrope score (p = 0.03) and longer
intensive care unit (p = 0.01) and in-hospital stays (p = 0.04). Cardiomyocyte
injury and mitochondrial damage scores were higher in group II (p = 0.01 and p =
0.02, respectively). Fibrosis was detected in all specimens but was more severe
in group II (p < 0.001). However, we could not demonstrate any correlation
between histologic alterations and early surgical outcomes. The history of spell
was significantly associated with worse early surgical outcomes (p < 0.05).
CONCLUSIONS: Pre-existing cardiomyocyte injury accompanied by mitochondrial
damage and fibrosis were more pronounced in cyanotic TOF patients. Early repair
may prevent the development of histopathologic alterations in these patients.
PMID- 27896835
TI - Contact sensitization to limonene and linalool hydroperoxides in Spain: a GEIDAC*
prospective study.
AB - BACKGROUND: Limonene and linalool are common fragrance terpenes widely used in
cosmetic, household and hygiene products. Their primary oxidation products formed
after air exposure, the hydroperoxides, have been recognized as important contact
haptens. OBJECTIVES: To investigate the prevalence of contact allergy to
hydroperoxides of limonene (Lim-OOHs) and hydroperoxides of linalool (Lin-OOHs)
in Spain, and to define the optimal concentration for screening in consecutive
patients. METHODS: Three different concentrations of Lim-OOHs (0.1%, 0.2% and
0.3% pet.) and Lin-OOHs (0.25%, 0.5% and 1.0% pet.) were simultaneously tested in
3639 consecutive patients at 22 departments of dermatology in Spain. RESULTS: Lim
OOHs at 0.1%, 0.2% and 0.3% yielded positive patch test reactions in 1.4%, 3.4%
and 5.1% of the tested patients, respectively; and Lin-OOHs at 0.25%, 0.5% and
1.0% yielded positive reactions in 1.3%, 2.9% and 4.9% of the tested patients,
respectively. Few irritant (1.5-1.9%) and doubtful reactions (0.4-0.5%) to both
terpene hydroperoxides were registered at the highest concentrations tested.
CONCLUSIONS: Lim-OOHs and Lin-OOHs can be considered as common causes of contact
allergy, and their inclusion in an extended baseline patch test series therefore
seems to be appropriate. The patch test preparations of Lim-OOHs 0.3% pet. and
Lin-OOHs 1.0% pet. are useful tools for screening of contact sensitization.
PMID- 27896836
TI - Radiosynthesis and biological evaluation of the new PDE10A radioligand [18
F]AQ28A.
AB - Cyclic nucleotide phosphodiesterase 10A (PDE10A) regulates the level of the
second messengers cAMP and cGMP in particular in brain regions assumed to be
associated with neurodegenerative and psychiatric diseases. A better
understanding of the pathophysiological role of the expression of PDE10A could be
obtained by quantitative imaging of the enzyme by positron emission tomography
(PET). Thus, in this study we developed, radiolabeled, and evaluated a new PDE10A
radioligand, 8-bromo-1-(6-[18 F]fluoropyridin-3-yl)-3,4-dimethylimidazo[1,5
a]quinoxaline ([18 F]AQ28A). [18 F]AQ28A was radiolabeled by both nucleophilic
bromo-to-fluoro or nitro-to-fluoro exchange using K[18 F]F-K2.2.2 -carbonate
complex with different yields. Using the superior nitro precursor, we developed
an automated synthesis on a Tracerlab FX F-N module and obtained [18 F]AQ28A with
high radiochemical yields (33 +/- 6%) and specific activities (96-145 GBq.MUmol-1
) for further evaluation. Initially, we investigated the binding of [18 F]AQ28A
to the brain of different species by autoradiography and observed the highest
density of binding sites in striatum, the brain region with the highest PDE10A
expression. Subsequent dynamic PET studies in mice revealed a region-specific
accumulation of [18 F]AQ28A in this region, which could be blocked by
preinjection of the selective PDE10A ligand MP-10. In conclusion, the data
suggest [18 F]AQ28A is a suitable candidate for imaging of PDE10A in rodent brain
by PET.
PMID- 27896837
TI - CD6 and Linker of Activated T Cells are Potential Interaction Partners for T Cell
Specific Adaptor Protein.
AB - The T cell-specific adaptor protein (TSAd) contains several protein interaction
domains, and is merging as a modulator of T cell activation. Several interaction
partners for the TSAd proline-rich region and phosphotyrosines have been
identified, including the Src and Tec family kinases lymphocyte-specific protein
tyrosine kinase and interleukin 2-inducible T cell kinase. Via its Src homology 2
(SH2) domain, TSAd may thus function as a link between these enzymes and other
signalling molecules. However, few binding partners to the TSAd SH2 domain in T
cells are hitherto known. Through the use of in silico ligand prediction, peptide
spot arrays, pull-down and immunoprecipitation experiments, we here report novel
interactions between the TSAd SH2 domain and CD6 phosphotyrosine (pTyr)629 and
linker of activated T cells (LAT) pTyr171 , pTyr191 and pTyr226 .
PMID- 27896838
TI - Children with medical complexity: a scoping review of interventions to support
caregiver stress.
AB - BACKGROUND: Caring for children with chronic and complex medical needs places
extraordinary stress on parents and other family members. A scoping review was
undertaken to identify and describe the full range of current interventions for
reducing caregiver stress. METHODS: Applying a broad definition of caregiver
stress, a systematic search of three scientific databases (CINAHL, Embase and
Ovid Medline), a general internet search and hand searching of key peer-reviewed
articles were conducted. Inclusion criteria were as follows: (i) published in
English between 2004-2016; (ii) focused on familial caregivers, defined as
parents, siblings or extended family; (iii) targeted children/youth with medical
complexity between the ages of 1-24 years; and (iv) described an intervention and
impact on caregiver stress. Data on type of intervention, study design and
methods, measures and overall findings were extracted. RESULTS: Forty-nine
studies were included from a list of 22 339 unique titles. Six domains of
interventions were found: care coordination models (n = 23); respite care (n =
8); telemedicine (n = 5); peer and emotional support (n = 6); insurance and
employment benefits (n = 4); and health and related supports (n = 3). Across
studies, there was a wide variety of designs, outcomes and measures used.
CONCLUSIONS: Positive findings of reductions in caregiver stress were noted
within an emerging body of evidence on effective interventions for families of
children with medical complexity. A commonality across domains was a significant
focus on streamlining services and reducing the burden of care related to varied
pressures experienced, including time, finances, care needs and service access,
among others. There was non-conclusive evidence however around which of the six
identified intervention domains or combination thereof are most effective for
reducing stress. These promising findings demonstrate that stress reduction is
possible with the right support and that multiple interventions may be effective
in reducing burdens of care experienced by families of children with medical
complexity.
PMID- 27896840
TI - Virtual angioscopy and 3D navigation of the aorta.
AB - The objective of this study is to demonstrate the applicability of virtual
angioscopy (VA) in different forms of aortic disease where the diagnosis is
unclear or uncertain. Five cases are presented where VA helped to establish a
correct diagnosis and to choose the best surgical strategy by providing an
intuitive image of the aorta.
PMID- 27896841
TI - Dental pain in the medical emergency department: a cross-sectional study.
AB - The aim of this study was to examine the number of patients attending a medical
emergency department (MED) with dental problems over a three-year period. This
cross-sectional study was carried out as part of a service evaluation. Data were
collected via a database search of patient attendances at the MED using free text
and the 10th revision of the International Statistical Classification of Diseases
and Related Health Problems (ICD-10) for oral and dental diagnoses. Data were
analysed using descriptive statistics, t-test and chi-squared tests. Over the
three-year period, there were 2504 visits to the MED for dental-related
complaints, accounting for 0.7% of all attendances. The majority of patients were
male (53.9%), with a mean age of 29 (s.d. 19.4) years for men, and 32 (s.d. 19.7)
years for females. The mean index of multiple deprivation per cent rank was
35.0%. The most common diagnosis was unspecified dental disorder. Ten per cent of
dental attendances to MED were repeat attendances by the same patients. In
conclusion, patient attendances at MED for dental problems account for 0.7% of
all attendances. MED may not be the most appropriate place for these patients to
attend, in terms of care pathways, and also for economic reasons. The reasons why
patients attend MED for dental problems clearly warrant further research.
PMID- 27896839
TI - Dopamine elevates and lowers astroglial Ca2+ through distinct pathways depending
on local synaptic circuitry.
AB - Whilst astrocytes in culture invariably respond to dopamine with cytosolic Ca2+
rises, the dopamine sensitivity of astroglia in situ and its physiological roles
remain unknown. To minimize effects of experimental manipulations on astroglial
physiology, here we monitored Ca2+ in cells connected via gap junctions to
astrocytes loaded whole-cell with cytosolic indicators in area CA1 of acute
hippocampal slices. Aiming at high sensitivity of [Ca2+ ] measurements, we also
employed life-time imaging of the Ca2+ indicator Oregon Green BAPTA-1. We found
that dopamine triggered a dose-dependent, bidirectional Ca2+ response in stratum
radiatum astroglia, a jagged elevation accompanied and followed by below-baseline
decreases. The elevation depended on D1/D2 receptors and engaged intracellular
Ca2+ storage and removal whereas the dopamine-induced [Ca2+ ] decrease involved
D2 receptors only and was sensitive to Ca2+ channel blockade. In contrast, the
stratum lacunosum moleculare astroglia generated higher-threshold dopamine
induced Ca2+ responses which did not depend on dopamine receptors and were
uncoupled from the prominent inhibitory action of dopamine on local perforant
path synapses. Our findings thus suggest that a single neurotransmitter-dopamine
could either elevate or decrease astrocyte [Ca2+ ] depending on the receptors
involved, that such actions are specific to the regional neural circuitry and
that they may be causally uncoupled from dopamine actions on local synapses. The
results also indicate that [Ca2+ ] elevations commonly detected in astroglia can
represent the variety of distinct mechanisms acting on the microscopic scale.
GLIA 2017;65:447-459.
PMID- 27896842
TI - IL-12B Gene Polymorphisms and IL-12 p70 Serum Levels Among Patients with
Rheumatoid Arthritis.
AB - Rheumatoid arthritis (RA) is one of the autoimmune diseases, where different
polymorphisms in cytokine genes play a pathogenic role. IL-12 is now recognized
as a critical cytokine in terms of regulating the balance between Th1 and Th2
cells. We investigated the role of single nucleotide polymorphisms (SNPs)
(rs3212227 (A/C) and rs17860508 (CTCTAA/GC)) of the IL-12B gene in the genetic
susceptibility to RA and in the severity of the disease. Six hundred and thirty
four Caucasian RA patients and 341 healthy matched controls were studied using
PCR-RFLP method and high-resolution melting analysis. Concentration of IL-12
cytokine level in serum was evaluated using ELISA. The genotype frequency did not
deviate from HWE in each examined group. Frequencies of the rs3212227 CC genotype
were statistically higher in patients with RA compared with the healthy control
group in both codominant and recessive models (P = 0.037; P = 0.04,
respectively). The frequency of rs3212227 C allele also showed similar tendency
(P = 0.07). IL-12 level in serum was significantly higher in RA group compared
with control (P < 0.0001). We observed that increased IL-12 serum level was
correlated with higher number of tender and swollen joints, ExRA presence and
higher levels of haemoglobin, CRP and PLT. Also higher IL-12 level in serum was
observed within RA patients with hypertension. Present findings indicated that IL
12p40 + 1188A/C polymorphism as well as IL-12p70 protein levels may be associated
with RA in the Polish population.
PMID- 27896843
TI - Impact of Clinical Presentation on Early Vascular Healing After Bioresorbable
Vascular Scaffold Implantation.
AB - BACKGROUND: Optimal strut coverage and early vascular healing are important
factors to reduce the risk of stent thrombosis. Data on early vascular healing
with the new bioresorbable vascular scaffolds (BVS) are lacking. Further, healing
response after BVS implantation in different clinical presentation has not been
fully investigated. METHODS AND RESULTS: We assessed with optical coherence
tomography (OCT) the early vascular response to BVS implantation in 26
consecutive patients presenting with acute coronary syndrome (ACS) or stable
angina (SA). Images from 16 BVSs (17,166 struts) in ACS patients and 17 BVSs
(23,045 struts) in SA patients were analyzed. The mean implanted BVS diameter and
length was 3.1 +/- 0.4 mm and 20.4 +/- 5.8 mm. At mean 47.6 +/- 6.3 days, overall
99% of BVS struts were covered. There were no differences between ACS and SA on
the amount of tissue coverage per strut (0.09 +/- 0.02 mm vs. 0.09 +/- 0.01 mm; P
= 0.86, respectively) and lumen area stenosis (24.2 +/- 19.3% vs. 22.3 +/- 22.0%;
P = 0.78, respectively). However, a numerically higher proportion of protruding
(ACS 1.2 +/- 2.7%; SA 4.2 +/- 6.5%; P = 0.11) and malapposed (ACS 0.4 +/- 0.5%;
SA 2.4 +/- 5.8%; P = 0.18) struts were observed in SA compared to ACS, with
trendy better healing score in ACS (1.87 +/- 1.67 vs. 5.28 +/- 7.28, P = 0.08).
CONCLUSION: Early after BVS implantation almost complete scaffold strut coverage
without any thrombi was observed by OCT, independent by the clinical presentation
at index coronary intervention. However, BVS in ACS lesions were associated with
easier strut penetration and a trendy better healing score compared with SA.
PMID- 27896844
TI - Unicuspid tricuspid valve.
PMID- 27896845
TI - STAT3 down-regulation induces mitochondria-dependent G2/M cell cycle arrest and
apoptosis in oesophageal carcinoma cells.
AB - STAT3 is persistently activated in a wide variety of human tumours, and aberrant
STAT3 activity promotes tumour growth, invasion and metastasis. To explore STAT3
down-regulation in human oesophageal cancer cells, cell proliferation, apoptosis
and mitochondrial mechanisms were explored in oesophageal carcinoma TE1 cell
cultures. We demonstrate for the first time that STAT3 down-regulation by RNAi is
sufficient to inhibit oesophageal cancer cell proliferation inducing cell
apoptosis. Further, we demonstrate that mitochondrial transmembrane potential is
impaired thereby leading to collapsed mitochondrial membrane potential, abnormal
mitochondrial membrane depolarization, nuclear DNA fragmentation and cell cycle
G2/M arrest under the conditions of STAT3 down-regulation. Thus, our results
suggest that STAT3 inhibition is a valid approach to induce oesophageal carcinoma
cell mitochondrial-dependent apoptosis in therapeutic strategies against
oesophageal cancers.
PMID- 27896846
TI - International study of medical school learning environments and their
relationship with student well-being and empathy.
AB - OBJECTIVE: To assess whether favourable perceptions of the learning environment
(LE) were associated with better quality of life, less burnout and more empathy
across three undergraduate medical education programmes in Israel, Malaysia and
China. METHODS: Cross-sectional surveys were administered at the end of the 2013
2014 academic year at three medical schools: Technion American Medical Students
Program (TAMS) in Israel, Perdana University-Royal College of Surgeons in Ireland
School of Medicine (PURCSI) in Malaysia and Peking Union Medical College (PUMC)
in China. LE perceptions were assessed using the Johns Hopkins Learning
Environment Scale (JHLES). Well-being was assessed using validated items for
quality of life and the depersonalisation and emotional exhaustion domains of
burnout. The 20-item Jefferson Empathy Scale assessed empathy. Statistical
analyses included bivariate regressions and multivariate regressions that
adjusted for gender, school, class year and perceived academic rank. RESULTS:
Overall, 400/622 (64.3%) students responded, with the following rates by site:
TAMS 92/121 (76.0%), PURCSI 160/198 (80.1%) and PUMC 148/303 (48.8%). In
multivariate models, favourable overall LE perceptions were associated with
higher odds of good quality of life (odds ratio [OR], 3.2; 95% confidence
interval [CI], 1.8-5.8; p < 0.001) and lower odds of emotional exhaustion (OR,
0.34; 95% CI, 0.24-0.50; p < 0.001) and depersonaliation (OR, 0.30; 95% CI, 0.24
0.37; p = 0.001). 'Community of Peers', one of seven factors in the JHLES, was
the only one to be independently associated with better quality of life and less
emotional exhaustion and depersonalisation. After adjusting for covariates, there
was not a statistically significant association between overall LE and empathy
(OR, 1.4; 95% CI, 0.91-2.2; p = 0.12). CONCLUSIONS: Students' LE perceptions are
closely associated with their well-being, and fostering peer community may hold
promise for enhancing quality of life and protecting against burnout. Across
these three settings, LE and empathy were not closely related, suggesting that
any influence of learning environment on empathy would be modest.
PMID- 27896847
TI - A case of leucocytoclastic vasculitis as a complication of IgG4-related skin
disease.
PMID- 27896848
TI - Composite endpoints and the distortion of risk-benefit analysis.
PMID- 27896849
TI - Cytoplasmic MSH2 immunoreactivity in a patient with Lynch syndrome with an EPCAM
MSH2 fusion.
AB - AIMS: Immunohistochemistry for mismatch repair (MMR) proteins is being
increasingly used to examine MMR status in tumours. The aim of the present
article was to report the case of a colon cancer patient with Lynch syndrome who
showed unusual cytoplasmic MMR protein localization. METHODS AND RESULTS:
Histologically, the colon cancer was diagnosed as medullary carcinoma associated
with prominent tumour-infiltrating lymphocytes and a Crohn's-like reaction.
Immunohistochemistry revealed cytoplasmic and nuclear expression of MSH2 in non
neoplastic cells, and exclusively cytoplasmic expression in tumour cells. MSH6
expression was nuclear in non-neoplastic cells, but was lost in tumour cells.
Nuclear expression of MLH1 and PMS2 was retained in both non-neoplastic and
tumour cells. The tumour was microsatellite instability-high, which is indicative
of defective MMR function. A subsequent germline mutation analysis identified a
genomic deletion spanning the 3' region of EPCAM and the 5' region of MSH2,
resulting in an in-frame fusion of EPCAM and MSH2. CONCLUSIONS: The unusual
cytoplasmic immunoreactivity of MSH2 was considered to be attributable to the non
functional EPCAM-MSH2 fusion product. The present case illustrates that not only
loss of expression, but also abnormal localization, of MMR proteins is indicative
of a defective MMR system.
PMID- 27896850
TI - Zebrafish larva as a reliable model for in vivo assessment of membrane remodeling
involvement in the hepatotoxicity of chemical agents.
AB - The easy-to-use in vivo model, zebrafish larva, is being increasingly used to
screen chemical-induced hepatotoxicity, with a good predictivity for various
mechanisms of liver injury. However, nothing is known about its applicability in
exploring the mechanism called membrane remodeling, depicted as changes in
membrane fluidity or lipid raft properties. The aim of this study was, therefore,
to substantiate the zebrafish larva as a suitable in vivo model in this context.
Ethanol was chosen as a prototype toxicant because it is largely described, both
in hepatocyte cultures and in rodents, as capable of inducing a membrane
remodeling leading to hepatocyte death and liver injury. The zebrafish larva
model was demonstrated to be fully relevant as membrane remodeling was maintained
even after a 1-week exposure without any adaptation as usually reported in
rodents and hepatocyte cultures. It was also proven to exhibit a high sensitivity
as it discriminated various levels of cytotoxicity depending on the extent of
changes in membrane remodeling. In this context, its sensitivity appeared higher
than that of WIF-B9 hepatic cells, which is suited for analyzing this kind of
hepatotoxicity. Finally, the protection afforded by a membrane stabilizer,
ursodeoxycholic acid (UDCA), or by a lipid raft disrupter, pravastatin,
definitely validated zebrafish larva as a reliable model to quickly assess
membrane remodeling involvement in chemical-induced hepatotoxicity. In
conclusion, this model, compatible with a high throughput screening, might be
adapted to seek hepatotoxicants via membrane remodeling, and also drugs targeting
membrane features to propose new preventive or therapeutic strategies in chemical
induced liver diseases. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27896852
TI - Efficient Functionalization of Additives at Supramolecular Material Surfaces.
AB - Selective surface modification reactions can be performed on additives that are
supramolecularly incorporated into supramolecular materials. Hereby, processing
of the material, that regularly requires harsh processing conditions (i.e., the
use of organic solvents and/or high temperatures), and functionalization can be
decoupled. Moreover, high-resolution depth profiling by time-of-flight (ToF)
secondary-ion mass spectrometry clearly shows distinct differences in surface and
bulk material composition.
PMID- 27896851
TI - Quantitating the lateral skin stiffness by a new and versatile electro-mechanical
instrument. Preliminary studies.
AB - BACKGROUND: A new electro-mechanical device for measuring the lateral stiffness
of the skin is now available. It basically allows to recording the forces that
the skin opposes to a lateral displacement (1-2 mm) of a pinching type movement.
Preliminary assays of this device to various skin sites and an artificial
substrate aimed at defining its major characteristics (sensitivity,
reproducibility, variations according to skin site). METHODS: The calibration of
the device (Khelometer(r) ) and assessment of its reproducibility were carried
out through the use of elastometer substrates of various stiffness's. The device
was then used, in vivo, at different skin sites (scalp, inner and outer forearms,
cheeks) of 213 healthy Japanese women of various ages. The short-time effect of a
hydrating regimen (7% glycerol) was recorded on the outer forearm. RESULTS: This
new device offers an appreciable reproducibility in vitro and in vivo
(coefficient of variation of 2-4% and 5-14%, respectively). Unlike other
biophysical methods, the Khelometer(r) can be easily applied onto the human scalp
that shows a higher stiffness than the two other skin sites, increasing with age
and presence of alopecia. In all the three studied skin sites, the impact of age
leads to significantly higher lateral skin stiffness (LSS, expressed as N/mm)
values. The latter were found significantly different between the two sides of
the forearms where the outer (sun-exposed) side showed statistically slightly
higher LSS, than the unexposed inner side. LSS values found on cheeks (~0.5 N/mm)
were about four times lower than those of the scalp (~2 N/mm) and about half
those of forearms (~1 N/mm). The effect of a 7% glycerol based formula was
recorded 20 min post application onto the forearm, leading to a slight drop in
LSS (approx. 15%) as compared to a vehicle-applied skin site. CONCLUSION: These
preliminary studies clearly indicate that this new device, applicable to any skin
site, offers appreciable assets such as sensitivity and reproducibility.
Accordingly, it appears as a new approach in the non-invasive biophysical
measurements of the skin surface, in both advanced and applied research
investigations.
PMID- 27896853
TI - Melanomas vs. nevi in high-risk patients under long-term monitoring with digital
dermatoscopy: do melanomas and nevi already differ at baseline?
AB - BACKGROUND: What lesions to select for a most efficient dermatoscopic monitoring
of patients with multiple nevi remains an unresolved issue. OBJECTIVE: To compare
the grade of atypia of melanomas and nevi of the same patient at baseline.
METHODS: Prospective observational study using 236 dermatoscopic baseline images
(59 quartets from 59 patients, each including one melanoma detected during follow
up and three nevi). Dermatologists (n = 26) were asked to assess the 'grade of
dermatoscopic atypia' on a numerical scale and to identify the melanomas.
RESULTS: On average, each dermatologist identified 24 of 59 melanomas (40%,
range: 11-37). The number of correct picks was greater for dermatologists with
moderate (mean: 28) or high (mean: 28) experience compared to beginners (mean 17;
P < 0.001). In three of the 59 sets, none of the 26 dermatologists identified the
melanoma. The mean grade of dermatoscopic atypia was 2.5 for nevi (95% CI: 2.4
2.6) and 3.0 for melanomas (95% CI: 2.9-3.1, P < 0.001). LIMITATIONS: Rating
dermatologists were informed that each quartet of images included one melanoma
creating substantial deviation from a real-life situation. CONCLUSION: A
significant proportion of melanomas detected during follow-up cannot be
differentiated from nevi at baseline. This necessitates the additional inclusion
of less atypical lesions for monitoring.
PMID- 27896855
TI - Enhancing CO2 Capture using Robust Superomniphobic Membranes.
AB - Superomniphobic membranes for post-combustion CO2 capture are introduced.
Concentrated aqueous amine solutions stay on the topmost part of the membranes,
providing a large liquid/CO2 interface. Wetting of the membrane, which reduces
the capture efficiency, is prevented. The CO2 capture rates using the chemically,
mechanically, and thermally stable superomniphobic membranes are enhanced by up
to 40% relative to commercial membranes.
PMID- 27896854
TI - Decreased graft survival in liver transplant recipients of donors with positive
blood cultures: a review of the United Network for Organ Sharing dataset.
AB - Liver transplantation using blood culture positive donors (BCPD) has allowed a
significant expansion of the donor pool. We aimed to characterize BCPD and assess
the outcomes of BCPD liver transplant recipients. We retrieved data from the
United Network for Organ Sharing (UNOS) registry on all adults who underwent
primary, single-organ deceased-donor liver transplantation in the USA between
2008 and 2013. Patients were classified into two cohorts: the BCPD cohort and the
non-BCPD cohort. One-year graft and patient survival were compared between
cohorts using Kaplan-Meier estimates and Cox models. A total of 28 961 patients
were included. There were 2316 (8.0%) recipients of BCPD. BCPD were more likely
to be older, female, black, diabetic, hypertensive, and obese compared to non
BCPD. Graft survival was significantly lower in BCPD recipients compared to non
BCPD recipients (Kaplan-Meier, 0.85 vs. 0.87; P = 0.009). Results remained
significant in propensity-matched analysis (P = 0.038). BCPD was independently
associated with decreased graft survival (adjusted HR; 1.10, 95% CI 1.01-1.20; P
= 0.04). There were no significant differences in patient survival between study
groups. BCPD was associated with decreased graft survival in liver transplant
recipients. Studies are needed to identify subgroups of BCPD with the highest
risk of graft failure and characterize the underlying pathogenic mechanisms.
PMID- 27896856
TI - Graphene-Based Biosensors: Going Simple.
AB - The main properties of graphene derivatives facilitating optical and electrical
biosensing platforms are discussed, along with how the integration of graphene
derivatives, plastic, and paper can lead to innovative devices in order to
simplify biosensing technology and manufacture easy-to-use, yet powerful
electrical or optical biosensors. Some crucial issues to be overcome in order to
bring graphene-based biosensors to the market are also underscored.
PMID- 27896857
TI - Former smoking and early and long-term graft outcome in renal transplant
recipients: a retrospective cohort study.
AB - Smoking is associated with unfavourable outcome in solid-organ transplant
recipients. Nicotine may predispose to kidney injury by increasing oxidative
stress. We hypothesized that former smoking negatively affects graft outcome in
kidney transplant recipients and especially those with delayed graft function
(DGF). We included adult recipients of a kidney transplant between 1 January 2003
and 1 October 2015 at Ghent University Hospital and recorded outcomes until 31
October 2015. We used Kaplan-Meier and multivariable Cox proportional hazard
analysis to examine the relationship between former smoking at the time of
transplantation and the incidence of 10-year graft loss with and without
censoring for death in 1013 participants. We evaluated mean differences in eGFR
over time by a random intercept and slope model, considering a linear time
effect. After adjusting for potential confounders, a history of smoking was
associated with an increased hazard of graft loss (adjusted hazard ratio (aHR)
1.60; 95% CI: 1.17-2.17; P = 0.003) and death-censored graft loss (aHR 2.29; 95%
CI: 1.41-3.72; P = 0.001). The linear time trend of eGFR was different between
former and never smokers (P = 0.001). To conclude, former smoking exerts long
lasting negative effects on graft outcome and this independent of DGF.
PMID- 27896858
TI - Efficacy and safety of simeprevir and sofosbuvir with and without ribavirin in
subjects with recurrent genotype 1 hepatitis C postorthotopic liver transplant:
the randomized GALAXY study.
AB - This prospective, randomized, phase 2 study in subjects with recurrent hepatitis
C virus (HCV) genotype 1 postorthotopic liver transplant evaluated once-daily
simeprevir 150 mg + sofosbuvir 400 mg, with and without ribavirin 1000 mg.
Primary endpoint was proportion of subjects with week 12 sustained virologic
response (SVR12). Thirty-three subjects without cirrhosis were randomized 1:1:1
into three arms (stratified by genotype/subtype and Q80K): Arm 1, simeprevir +
sofosbuvir + ribavirin, 12 weeks; Arm 2, simeprevir + sofosbuvir, 12 weeks; Arm
3, simeprevir + sofosbuvir, 24 weeks; 13 additional subjects (two with cirrhosis,
11 without cirrhosis) entered Arm 3. All 46 subjects received at least one dose
of study drug; median age, 60 years; 73.9% male; 80.4% White; 71.7%
genotype/subtype 1a [12 (36.4%) of these had Q80K]; median 4.5 years post
transplant. Among randomized subjects, SVR12 was achieved by 81.8% in Arm 1, 100%
in Arm 2, and 93.9% in Arm 3; two subjects did not achieve SVR12: one viral
relapse (follow-up week 4; Arm 1) and one missing follow-up week 12 data. In
total, five subjects had a serious adverse event, considered unrelated to
treatment per investigator. Simeprevir exposure was increased relative to the
nontransplant setting, but not considered clinically relevant. Simeprevir +
sofosbuvir treatment, with or without ribavirin, was efficacious and well
tolerated (ClinicalTrials.gov Identifier: NCT02165189).
PMID- 27896859
TI - Paraneoplastic pityriasis rubra pilaris: case report and literature review.
AB - Pityriasis rubra pilaris (PRP; MIM 173200) is an uncommon papulosquamous
inflammatory dermatosis. Only a few cases of PRP associated with an underlying
malignancy have been documented. We investigated a 59-year-old patient presenting
with a fulminant form of PRP recalcitrant to systemic retinoid therapy, in whom
the skin disease heralded a diagnosis of cholangiocarcinoma. We searched the
MEDLINE database to find articles reporting on similar associations of PRP with
malignancies. We identified 10 studies linking PRP and malignancies, but an
association between PRP and cholangiocarcinoma has not yet been reported.
PMID- 27896860
TI - Preference of goats (Capra hircus L.) for tanniniferous browse species available
in semi-arid areas in Ethiopia.
AB - The objectives were to determine browse species preference of goats using dry
matter intake (DMI) as a proxy, to compare preference when offered in combination
with polyethylene glycol (PEG) and to establish relationships between browse
species intake and chemical compositional data. Air-dried leaves of Acacia
etbaica, Cadaba farinosa, Capparis tomentosa, Dichrostachys cinerea, Dodonaea
angustifolia, Euclea racemosa, Maerua angolensis, Maytenus senegalensis, Rhus
natalensis and Senna singueana were used. Two cafeteria trials, each lasting 10
days, were conducted using four local mature male goats of 2-2.5 years receiving
a daily ration of grass hay (4% of body weight) and 200 g wheat bran. In trial 1,
goats were offered 25 g of each browse species for a total of 30 min with intake,
time spent on consumption and the number of visits to specific browse species
recorded at 10-min intervals. In trial 2, the same procedure was followed except
that 25 g of PEG 4000 was added to the daily wheat bran ration. Crude protein and
neutral detergent fibre in browse species ranged from 69.0-245.5 to 159.8-560.6
g/kg dry matter (DM) respectively. Total phenols and total tannins contents
ranged between 3.7-70.6 and 2.5-68.1 mg tannic acid equivalent/g DM,
respectively, and condensed tannins 1.7-18.4 Abs550 nm /g DM. Preference
indicators measured in the first 10 min of browse species intake differed
significantly among browse species and with PEG (p < 0.0001). Principal
components explained 69.9% of the total variation in browse species DMI. Despite
the high tannin levels, D. cinerea, R. natalensis and A. etbaica were the most
preferred species regardless of PEG presence. Tannin levels at the observed
browse species DMI did not determine preference, instead, preference appeared to
be based on hemicellulose. Determining browse species preference is essential to
exploit them to improve nutrient utilization and control parasites in goats.
PMID- 27896861
TI - Cyclosporine A for severe atopic dermatitis in children. efficacy and safety in a
retrospective study of 63 patients.
AB - BACKGROUND: Cyclosporine A (CSA) is an immunosuppressant agent widely used in
severe atopic dermatitis (AD). However, experience in children is limited.
OBJECTIVES: To assess the efficacy and adverse events of CSA therapy in children.
METHODS: Retrospective study of children with severe AD treated with CSA between
January 2009 and December 2015. RESULTS: Data from 63 patients were collected.
Mean age at the beginning of treatment was 8.4 years (+/-3.6). The median
starting dose was 4.27 (+/-0.61) mg/kg/day. After 4 weeks of treatment, the
outcome was excellent in 35% of cases, good in 29% and poor in 36% of the
patients. The response was better in patients without eosinophilia (P < 0.05).
The median duration of treatment was 4.6 months (range 1.5-21.6). Side-effects
were frequent but mild, being more common in patients after longer treatment
periods (P < 0.05). Mean time of follow-up was 19.4 months (+/-12.7). Prolonged
remission (>6 months) was observed in 13 patients (20%). LIMITS: This is a
retrospective review. The follow-up period is limited. CONCLUSIONS: Our data
confirm that CSA is efficacious and acts rapidly in the majority of children with
severe AD. CSA therapy can provide sustained remission in some patients. CSA
seems to be well tolerated in children, but strict monitoring is mandatory.
PMID- 27896862
TI - HCV and HEV recurrence after liver transplantation: one antiviral therapy for two
viruses.
PMID- 27896863
TI - One-Step Synthesis of Boron Nitride Quantum Dots: Simple Chemistry Meets Delicate
Nanotechnology.
AB - Herein, a conceptually new and straightforward aqueous route is described for the
synthesis of hydroxyl- and amino-functionalized boron nitride quantum dots
(BNQDs) with quantum yields (QY) as high as 18.3 % by using a facile bottom-up
approach, in which a mixture of boric acid and ammonia solution was
hydrothermally treated in one pot at 200 degrees C for 12 h. The functionalized
BNQDs, with excellent photoluminescence properties, could be easily dispersed in
an aqueous medium and applied as fluorescent probes for the detection of ferrous
(Fe2+ ) and ferric (Fe3+ ) ions with excellent selectivity and low detection
limits. The mechanisms for the hydrothermal reaction and fluorescence quenching
were also simulated by using density functional theory (DFT), which confirmed the
feasibility and advantages of this strategy. It provides a scalable and eco
friendly method for preparation of BNQDs with good dispersability and could also
be generalized to the synthesis of other 2D quantum dots and nanoplates.
PMID- 27896866
TI - Re-conceptualizing the organ trade: separating "trafficking" from "trade" and the
implications for law and policy.
PMID- 27896864
TI - Pyroprotein-Based Electronic Textiles with High Stability.
AB - Thermally reducible pyroprotein-based electronic textiles (e-textiles) are
fabricated using graphene oxide and a pyroprotein such as cocoon silk and spider
web without any chemical agents. The electrical conductivity of the e-textile is
11.63 S cm-1 , which is maintained even in bending, washing, and temperature
variation.
PMID- 27896865
TI - Sensing at the Surface of Graphene Field-Effect Transistors.
AB - Recent research trends now offer new opportunities for developing the next
generations of label-free biochemical sensors using graphene and other two
dimensional materials. While the physics of graphene transistors operated in
electrolyte is well grounded, important chemical challenges still remain to be
addressed, namely the impact of the chemical functionalizations of graphene on
the key electrical parameters and the sensing performances. In fact, graphene -
at least ideal graphene - is highly chemically inert. The functionalizations and
chemical alterations of the graphene surface - both covalently and non-covalently
- are crucial steps that define the sensitivity of graphene. The presence,
reactivity, adsorption of gas and ions, proteins, DNA, cells and tissues on
graphene have been successfully monitored with graphene. This review aims to
unify most of the work done so far on biochemical sensing at the surface of a
(chemically functionalized) graphene field-effect transistor and the challenges
that lie ahead. The authors are convinced that graphene biochemical sensors hold
great promise to meet the ever-increasing demand for sensitivity, especially
looking at the recent progresses suggesting that the obstacle of Debye screening
can be overcome.
PMID- 27896867
TI - Collective Syntheses of Icetexane Natural Products Based on Biogenetic
Hypotheses.
AB - A divergent synthesis of 10 icetexane natural products based on a proposed
biogenetic cationic ring expansion of a reduced carnosic acid derivative is
described. Of these icetexanes, (+)-salvicanol, (-)-cyclocoulterone, (-)
coulterone, (-)-obtusinone D, and (-)-obtusinone E have been synthesized for the
first time. In addition, the hypothesis for the non-enzymatic biogenesis of
benzo[1,3]dioxole natural products has been experimentally investigated.
Additional experimental evidence for the abiotic formation of the methylenedioxy
unit is provided, as photolysis of the quinone (+)-komaroviquinone resulted in
the formation of the [1,3]dioxole-containing natural product (-)-cyclocoulterone
and (+)-komarovispirone.
PMID- 27896868
TI - Crohn's disease should be considered in children with inflammatory oral lesions.
AB - : This systematic review presents the oral manifestations of paediatric Crohn's
disease. Our review of 28 papers published from 2000 to 2015 showed that the
prevalence of oral manifestations was 10-80%. Specific symptoms included mucosal
tags, swelling of the lips, cheeks and gingiva, and cobblestoning mucosa.
Nonspecific symptoms included aphthous-like ulcers, angular cheilitis, lip
fissuring and gingivitis. CONCLUSION: The oral manifestations of Crohn's disease
might precede intestinal inflammation or coincide with it. Crohn's disease should
be considered in children with multiple oral manifestations and paediatric
dentists, and gastroenterologists should be involved in their coordinated
evaluation and follow-up.
PMID- 27896870
TI - Tetracyclines and/or nicotinamide in blistering dermatosis.
PMID- 27896869
TI - Identifying the location of epidermal growth factor-responsive element involved
in the regulation of type IIb sodium-phosphate cotransporter expression in
porcine intestinal epithelial cells.
AB - Phosphate is an important mineral nutrient for both human and animals in growth
and physiological functions; thus, much effort in the past has been made to
clarify the mechanisms governing its absorption. Previous studies have found that
epidermal growth factor (EGF) inhibits phosphate absorption in human intestinal
cells via modulating the interaction of transcriptional factor c-myb with sodium
phosphate cotransporter (NaPi-IIb) gene promoter. This finding provoked our
interest in determining the effect of EGF on NaPi-IIb gene expression in
intestinal cells of pigs and the location of EGF-responsive element in the gene
promoter. Using quantitative PCR, it was observed that EGF significantly reduced
NaPi-IIb gene expression in porcine intestinal epithelial IPEC-J2 cells.
Transfection with a series of constructs that contain different lengths of the 5'
flanking promoter region of the NaPi-IIb gene manifested that EGF-responsive
element is located in the -1200 to -800 region. Further, c-myb was extracted from
the cell nucleus of IPEC cells that were exposed to EGF or not via
immunoprecipitation. The electrophoretic mobility shift assay showed a specific
binding of transcription factor c-myb to labelled probes encompassing DNA
sequence from -1092 to -1085 (-TCCAGTTG-). This protein-DNA complex was decreased
with cells exposed to EGF and abrogated when c-myb was pre-incubated with
excessive unlabelled competitive probes. Results from mutagenesis studies
demonstrated that the c-myb-binding site is the EGF-responsive element involved
in the regulation of NaPi-IIb expression. Identifying the location of EGF
responsive element contributes to understanding mechanisms underlying EGF down
regulated NaPi-IIb gene expression and provides a foundation for further
investigating EGF-regulatory functions in phosphate absorption in pig intestine.
PMID- 27896871
TI - The old reliables outperform new media in social marketing of Euromelanoma in
Ireland.
PMID- 27896872
TI - Sunbed use among Portuguese beach goers: a crave group while waiting sunbeds to
be abolished.
PMID- 27896873
TI - Identifying fallacious arguments in a qualitative study of antipsychotic
prescribing in dementia.
AB - BACKGROUND: Dementia can result in cognitive, noncognitive and behavioural
symptoms which are difficult to manage. Formal guidelines for the care and
management of dementia in the UK state that antipsychotics should only be
prescribed where fully justified. This is because inappropriate use, particularly
problematic in care-home settings, can produce severe side effects including
death. The aim of this study was to explore the use of fallacious arguments in
professionals' deliberations about antipsychotic prescribing in dementia in care
home settings. Fallacious arguments have the potential to become unremarkable
discourses that construct and validate practices which are counter to guidelines.
METHODS: This qualitative study involved interviews with 28 care-home managers
and health professionals involved in caring for patients with dementia.
Potentially fallacious arguments were identified using qualitative content
analysis and a coding framework constructed from existing explanatory models of
fallacious reasoning. KEY FINDINGS: Fallacious arguments were identified in a
range of explanations and reasons that participants gave for in answer to
questions about initiating, reducing doses of and stopping antipsychotics in
dementia. The dominant fallacy was false dichotomy. Appeal to popularity,
tradition, consequence, emotion, or fear, and the slippery slope argument was
also identified. CONCLUSIONS: Fallacious arguments were often formulated to
present convincing cases whereby prescribing antipsychotics or maintaining
existing doses (versus not starting medication or reducing the dose, for example)
appeared as the only acceptable decision but this is not always the case. The
findings could help health professionals to recognise and mitigate the effect of
logic-based errors in decisions about the prescribing of antipsychotics in
dementia.
PMID- 27896874
TI - Five myths about variable selection.
AB - Multivariable regression models are often used in transplantation research to
identify or to confirm baseline variables which have an independent association,
causally or only evidenced by statistical correlation, with transplantation
outcome. Although sound theory is lacking, variable selection is a popular
statistical method which seemingly reduces the complexity of such models.
However, in fact, variable selection often complicates analysis as it invalidates
common tools of statistical inference such as P-values and confidence intervals.
This is a particular problem in transplantation research where sample sizes are
often only small to moderate. Furthermore, variable selection requires computer
intensive stability investigations and a particularly cautious interpretation of
results. We discuss how five common misconceptions often lead to inappropriate
application of variable selection. We emphasize that variable selection and all
problems related with it can often be avoided by the use of expert knowledge.
PMID- 27896875
TI - Disseminated cobblestone-like skin lumps in a newborn.
PMID- 27896876
TI - Sites of recurrence in patients following clearance of psoriasis with biologic
therapy.
PMID- 27896877
TI - Flavonoids of Herba Epimedii Enhances Bone Repair in a Rabbit Model of Chronic
Osteomyelitis During Post-infection Treatment and Stimulates Osteoblast
Proliferation in Vitro.
AB - Flavonoids are the active component of the Herba Epimedii (H. Epimedii), which is
commonly used in Asia. This study is to investigate the effect of H. Epimedii on
bone repair after anti-infection treatment in vivo. The bioactive-composition
group of H. Epimedii (BCGE) contained four flavonoids with the total content of
43.34%. Rabbits with chronic osteomyelitis in response to injection with
Staphylococcus aureus were treated with BCGE of 242.70 mg/kg/day intragastrically
after vancomycin-calcium sulphate treatment. Micro-computerd tomography (CT),
morphology, blood biochemistry and osteocalcin levels were assessed for effect
evaluation. In addition, the rat calvarial osteoblasts infected with S. aureus
were treated with vancomycin and BCGE. Cell viability, alkaline phosphatase
activity, bone morphogenetic protein 2, Runt-related transcription factor 2,
osteoprotegerin, receptor activator of nuclear factor-kappaB ligand mRNA levels
and protein expression were assessed. Our results indicated that BCGE promoted
bone repair via increasing the bone mass, the volume of bone, promoting
osteocalcin secretion after vancomycin-calcium sulfate treatment. BCGE enhanced
the cell proliferation, by regulating bone morphogenetic protein 2, runt-related
transcription factor 2, and osteoprotegerin/receptor activator of nuclear factor
kappa-B ligand mRNA and protein expression to maintain the balance between bone
formation and bone resorption. Therefore, BCGE is a potential adjuvant herbal
remedy for the post-infection treatment of chronic osteomyelitis. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27896878
TI - The relationship between leadership style and nurse-to-nurse incivility: turning
the lens inward.
AB - AIM: The aim of this study was to examine the impact of leadership styles on the
reported rates of lateral hostility in nurses. BACKGROUND: Previous explanations
of horizontal incivility point to oppressed group behaviour and socialisation of
nurses. Leadership and organisational culture are known to have a profound impact
on workplace behaviour, yet few studies have examined the relationship between
leadership style and nurse-to-nurse incivility. METHODS: A survey was used to
assess the perceived levels of incivility and the leadership styles experienced
by 237 participants defined as 'staff nurses'. RESULTS: Transformational
leadership style had the strongest correlation with low levels of incivility.
Staff input and leader/staff teamwork also influence staff incivility.
CONCLUSIONS: Leadership style is not a definitive factor of incivility, but
leader behaviours impact the level of incivility between staff nurses. The
relationship between leaders and staff and the empowerment of staff have the
strongest impact on nurse incivility. IMPLICATIONS FOR NURSING MANAGEMENT: The
factors found to correlate with incivility in this study are under the influence
of nurse leaders. Relationships and interpersonal dynamics must be attended to.
Leaders can also instil the structures known to foster nurse empowerment, which
are discussed.
PMID- 27896879
TI - We need a media platform perspective on alcohol marketing: a reply to Lobstein et
al.
PMID- 27896880
TI - A community-based cross-sectional survey of medication utilization among chronic
disease patients in China.
AB - OBJECTIVES: To determine medication use patterns and associated health outcomes
in Chinese individuals with diabetes, hypertension or hyperlipidaemia. METHODS:
This community-based cross-sectional study was done in a north China city of 300
000 people. Participants were recruited by poster and phone call through
Community Health Centres. Data were collected on 638 Chinese individuals.
Interviews were done to screen for disease and health behaviours. Fasting blood
was collected and analyzed. KEY FINDINGS: Participants' average age was 52 years.
Self-reported prevalence of type 2 diabetes, hypertension and hyperlipidaemia was
9.6, 29.9 and 20.5% respectively. Medication adherence was found among 88, 60 and
81.5% of individuals with diabetes, hypertension and hyperlipidaemia
respectively. Treatment success, as defined by medication adherence and treatment
to goal was achieved by 21, 23 and 10% of diabetic, hypertensive and
hyperlipidaemic patients. CONCLUSIONS: A large proportion of individuals with
chronic disease in this China-based study were not being successfully treated,
putting them at high risk for poor health outcomes. In this urban China setting,
healthcare services need to be strengthened in order to achieve better treatment
outcomes among chronic disease patients. It is recommended that community
pharmacists contribute to improving these outcomes through participation in
patient education, medication reconciliation and disease state management.
PMID- 27896881
TI - Nympho-hymenal tear: a distinctive entity?
PMID- 27896882
TI - Quality of claims and references found in Australian pharmacy journal
advertisements.
AB - OBJECTIVES: To evaluate the quality of pharmaceutical advertisement claims and
supporting references in Australian pharmacy journals that target community
pharmacists. METHODS: All full-page advertisements for a medicinal product, found
in two Australian pharmacy journals from the year 2012 to 2015 were included.
Advertisement claims and references were evaluated by claim type (unambiguous to
immeasurable) and level of evidence (strong to irrelevant) in supporting
references. KEY FINDINGS: Two hundred and ninety distinct advertisements and 598
claims were identified, with a median of 2 claims per advertisement. Twenty-seven
percent of claims were unambiguous, 40% were vague, 16% were emotive/immeasurable
and 17% were non-clinical or other marketing claims. Half of all claims were
referenced. Although 68% of unambiguous claims were referenced, 63% of those were
supported by studies that were funded directly or indirectly by pharmaceutical
companies. Only 13% of claims were supported with strong or moderate independent
evidence. CONCLUSIONS: Pharmaceutical advertisements continue to present vague
and emotive claims with little independent supporting evidence. Pharmacists need
to be aware of these limitations when providing patient care. Increased awareness
of this issue among pharmaceutical companies, Australian pharmaceutical journal
publishers, regulators and pharmacists will assist in promoting optimised
healthcare outcomes for the Australian public.
PMID- 27896883
TI - Curcumin as a multifaceted compound against human papilloma virus infection and
cervical cancers: A review of chemistry, cellular, molecular, and preclinical
features.
AB - Curcumin, the bioactive polyphenolic ingredient of turmeric, has been extensively
studied for its effects on human papilloma virus (HPV) infection as well as
primary and malignant squamous cervical cancers. HPV infections, especially those
related to HPV 16 and 18 types, have been established as the leading cause of
cervical cancer; however, there are also additional contributory factors involved
in the etiopathogenesis of cervical cancers. Curcumin has emerged as having
promising chemopreventive and anticancer effects against both HPV-related and
nonrelated cervical cancers. In this review, we first discuss the biological
relevance of curcumin and both its pharmacological effects and pharmaceutical
considerations from a chemical point of view. Next, the signaling pathways that
are modulated by curcumin and are relevant to the elimination of HPV infection
and treatment of cervical cancer are discussed. We also present counter arguments
regarding the effects of curcumin on signaling pathways and molecular markers
dysregulated by benzo(a)pyrene (Bap), a carcinogen found in pathological cervical
lesions of women who smoke frequently, and estradiol, as two important risk
factors involved in persistent HPV-infection and cervical cancer. Finally,
various strategies to enhance the pharmacological activity and pharmacokinetic
characteristics of curcumin are discussed with examples of studies in
experimental models of cervical cancer. (c) 2016 BioFactors, 43(3):331-346, 2017.
PMID- 27896885
TI - Use of digital media for alcohol marketing: Response to Carah & Meurk.
PMID- 27896884
TI - Contact allergy to preservatives: ESSCA* results with the baseline series, 2009
2012.
AB - BACKGROUND: Allergic contact dermatitis caused by biocides is common and causes
significant patient morbidity. OBJECTIVE: To describe the current frequency and
pattern of patch test reactivity to biocide allergens included in the baseline
series of most European countries. METHODS: Data collected by the European
Surveillance System on Contact Allergies (ESSCA) network between 2009 and 2012
from 12 European countries were analysed. RESULTS: Methylisothiazolinone 0.2% aq.
produced the highest prevalence of sensitization during the study period, with an
overall prevalence of 4.5%. The mixture methylchloroisothiazolinone
/methylisothiazolinone tested at 0.02% aq. followed closely, with 4.1% of
positive reactions. Other preservatives with lower rates of sensitization, but
still over 1%, include methyldibromo glutaronitrile (MDBGN) 0.5% pet. and
iodopropynyl butylcarbamate (IPBC) 0.2% pet. Formaldehyde releasers and parabens
yielded less than 1% positive reactions during the study period. Some regional
differences in the prevalence of contact allergy to biocides among European
countries were observed. CONCLUSIONS: Contact allergy to biocides is common
throughout Europe, and regional differences could be explained by differences in
exposure or characteristics of the population tested. Timely regulatory action
for isothiazolinones is required. Although MDBGN is banned from cosmetics
products since 2005, sensitization prevalence has not appeared to plateau. IPBC
is an emerging allergen with an increasing prevalence over the last few years,
and its inclusion in the European baseline series may be appropriate.
PMID- 27896886
TI - Two-in-One: lambda-Orthogonal Photochemistry on a Radical Photoinitiating System.
AB - An alkyne functional radical photoinitiator, 2-(4-(2-hydroxy-2
methylpropanoyl)phenoxy)ethyl hex-5-ynoate, and evidence that both reactive
moieties - the alkyne and the photoinitiator terminus - can be independently
addressed with light of disparate wavelength (lambda-orthogonality) are
introduced. The alkyne functionality is subjected to a visible light (420 nm)
induced copper-catalyzed Huisgen reaction, which is employed for the selective
functionalization of the initiator with a poly(ethylene glycol) (PEG) chain. This
reaction proceeds completely lambda-orthogonal in the presence of the UV-reactive
photoinitiating moiety. Conversely, it is demonstrated that the alkyne
functionality of the photoinitiator is quantitatively orthogonal to UV
irradiation emitted by the pulsing action of an excimer laser (351 nm, pulsed
laser polymerization, PLP) and the generated radical species. In turn, the
PEGylated initiator can readily be employed as a macrophotoinitiator during PLP.
The introduced lambda-orthogonally addressable dual functional initiator can be
used in a wide range of applications, including surface lithography and post
synthetic modification of photocured materials.
PMID- 27896887
TI - Benefits and tensions in delivering public health in community pharmacies - a
qualitative study of healthy living pharmacy staff champions.
AB - OBJECTIVE: Healthy Living Pharmacies (HLP) were introduced in the United Kingdom
(UK) in a further attempt to deliver public health benefits in community pharmacy
settings. Central to the initiative are staff trained as Healthy Living Champions
(HLC) and this study sought to explore HLC perceptions of positive and negative
aspect of their work and the wider scheme. METHODS: A qualitative study was
undertaken with a purposive sample of HLCs working in pathfinder HCPs in the
Sheffield area in 2014. Participants were recruited by email to either a focus
group (n = 7) held at a training event or later semi-structured one-to-one
interviews in pharmacies (n = 6). Four stages of interpretative phenomenological
analysis were used to code and identify themes. KEY FINDINGS: Four main themes
emerged relating to the positive workforce development impact HLPs had upon HLCs
themselves and on perceived customer and patient engagement and benefits.
Tensions were identified with existing commercial business demands and negative
views overall of the pharmacy setting with a perceived lack of not only
integration with other services but also awareness among the public and health
care staff. HLCs felt empowered and more confident in initiating conversation
about health issues with patients, but identified barriers relating to workload,
a lack of time to perform their role, isolation, tensions with non-HLC staff and
logistical barriers such as poor Internet access. CONCLUSIONS: Delivering public
health activities through the HLC role in UK pharmacies is associated with
several perceived benefits for different stakeholders, but may be threatened by
well recognised barriers in UK pharmacies related to the commercial setting.
PMID- 27896888
TI - Response of Narrow QRS Tachycardia to Late Coupled PVC: What Is the Mechanism?
PMID- 27896889
TI - Haloperoxidase Mimicry by CeO2-x Nanorods Combats Biofouling.
AB - CeO2-x nanorods are functional mimics of natural haloperoxidases. They catalyze
the oxidative bromination of phenol red to bromophenol blue and of natural
signaling molecules involved in bacterial quorum sensing. Laboratory and field
tests with paint formulations containing 2 wt% of CeO2-x nanorods show a
reduction in biofouling comparable to Cu2 O, the most typical biocidal pigment.
PMID- 27896890
TI - Diterpenes as lead molecules against neglected tropical diseases.
AB - Nowadays, neglected tropical diseases (NTDs) are reported to be present
everywhere. Poor and developing areas in the world have received great attention
to NTDs. Drug resistance, safety profile, and various challenges stimulate the
search for alternative medications. Plant-based drugs are viewed with great
interest, as they are believed to be devoid of side effects. Diterpenes, a family
of essential oils, have showed attractive biological effects. A systematic review
of the literature was carried out to summarize available evidences of diterpenes
against NTDs. For this, databases were searched using specific search terms.
Among the 2338 collected reports, a total of 181 articles were included in this
review. Of them, 148 dealt with investigations using single organisms, and 33
used multiple organisms. No mechanisms of action were reported in the case of 164
reports. A total of 93.92% were related to nonclinical studies, and 4.42% and
1.66% dealt with preclinical and clinical studies, respectively. The review
displays that many diterpenes are effective upon Chagas disease, chikungunya,
echinococcosis, dengue, leishmaniasis, leprosy, lymphatic filariasis, malaria,
schistosomiasis, and tuberculosis. Indeed, diterpenes are amazing drug candidates
against NTDs. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27896892
TI - Recent advances in CKD and ESRD: A literature update.
AB - The past year has seen interesting publications in the fields of chronic kidney
disease and end stage renal disease. This review highlights some of these
important papers and places their findings in the context of clinical care.
PMID- 27896891
TI - STAT3 targeting by polyphenols: Novel therapeutic strategy for melanoma.
AB - Melanoma or malignant melanocytes appear with the low incidence rate, but very
high mortality rate worldwide. Epidemiological studies suggest that polyphenolic
compounds contribute for prevention or treatment of several cancers particularly
melanoma. Such findings motivate to dig out novel therapeutic strategies against
melanoma, including research toward the development of new chemotherapeutic and
biologic agents that can target the tumor cells by different mechanisms.
Recently, it has been found that signal transducer and activator of transcription
3 (STAT3) is activated in many cancer cases surprisingly. Different evidences
supply the aspect that STAT3 activation plays a vital role in the metastasis,
including proliferation of cells, survival, invasion, migration, and
angiogenesis. This significant feature plays a vital role in various cellular
processes, such as cell proliferation and survival. Here, we reviewed the
mechanisms of the STAT3 pathway regulation and their role in promoting melanoma.
Also, we have evaluated the emerging data on polyphenols (PPs) specifically their
contribution in melanoma therapies with an emphasis on their
regulatory/inhibitory actions in relation to STAT3 pathway and current progress
in the development of phytochemical therapeutic techniques. An understanding of
targeting STAT3 by PPs brings an opportunity to melanoma therapy. (c) 2016
BioFactors, 43(3):347-370, 2017.
PMID- 27896893
TI - An Acute Disseminated Encephalomyelitis-Like Illness in the Elderly: Neuroimaging
and Neuropathology Findings.
AB - INTRODUCTION: Acute disseminated encephalomyelitis (ADEM) is a rare demyelinating
disease of the central nervous system (CNS) that classically occurs in children
and adolescents. It characteristically presents with acute inflammation,
resulting in demyelination, often following an infectious disease. ADEM has been
described in adult patients, but the incidence in the adult and especially
elderly population is low. CASES: We describe five older adults (age 57 to 85)
who presented with acute neurological symptoms. Three patients presented with an
infectious illness preceding the event, 4 patients were encephalopathic, and
oligoclonal bands (OCBs) were negative in all tested cases. The clinical scenario
and imaging studies suggested alternative diagnoses, such as metastasis, primary
CNS tumor, or stroke. Two patients had contrast enhancing lesions, two other
patients had lesions with restricted diffusion on diffusion-weighted imaging.
Neuropathologic diagnostic from biopsy or autopsy was eventually conclusive,
showing perivascular zones of myelin loss with relative axonal sparing in all
five cases. CONCLUSION: Each of these patients was found to have pathological
findings of acute demyelination on tissue diagnosis, suggesting ADEM or ADEM-like
disease. The initial presentation and imaging was pointing toward other
diagnoses. Broad differential diagnosis is important, especially for older
patients, and pathological proof might be warranted for a conclusive diagnosis.
PMID- 27896894
TI - Perspectives of resettled African refugees on accessing medicines and pharmacy
services in Queensland, Australia.
AB - OBJECTIVES: The aim of this study was to explore the barriers to accessing
medicines and pharmacy services among refugees in Queensland, Australia, from the
perspectives of resettled African refugees. METHODS: A generic qualitative
approach was used in this study. Resettled African refugees were recruited via a
purposive snowball sampling method. The researcher collected data from different
African refugee communities, specifically those from Sudanese, Congolese and
Somalian communities. Participants were invited by a community health leader to
participate in the study; a community health leader is a trained member of the
refugee community who acts as a 'health information conduit' between refugees and
the health system. Invitations were done either face-to-face, telephonically or
by email. The focus groups were digitally recorded in English and transcribed
verbatim by the researcher. Transcripts were entered into NVIVO(c) 11 and the
data were analysed using inductive thematic analysis. KEY FINDINGS: Four focus
groups were conducted between October and November 2014 in the city of Brisbane
with African refugees, one with five Somali refugees, one with five Congolese
refugees, one with three refugee community health leaders from South Sudan,
Liberia and Eritrea and one with three refugee community health leaders from
Uganda, Burundi and South Sudan. Eleven sub-themes emerged through the coding
process, which resulted in four overarching themes: health system differences,
navigating the Australian health system, communication barriers and health care
seeking behaviour. With regard to accessing medicines and pharmacy services, this
study has shown that there is a gap between resettled refugees' expectations of
health services and the reality of the Australian health system. Access barriers
identified included language barriers, issues with the Translating and
Interpreter Service, a lack of professional communication and cultural beliefs
affecting health care-seeking behaviour. CONCLUSIONS: This exploratory study has
established a foundation for further research into the barriers to accessing
medicines and pharmacy services for resettled refugees. The findings are likely
to be applicable to a wider population.
PMID- 27896895
TI - Five-year efficacy and safety of tenofovir-based salvage therapy for patients
with chronic hepatitis B who previously failed LAM/ADV therapy.
AB - BACKGROUND: Multidrug-resistant HBV continues to be an important clinical
problem. The TDF-109 study demonstrated that TDF+/-LAM is an effective salvage
therapy through 96 weeks for LAM-resistant patients who previously failed ADV add
on or switch therapy. We evaluated the 5-year efficacy and safety outcomes in
patients receiving long-term TDF+/-LAM in the TDF-109 study. METHODS: A total of
59 patients completed the first phase of the TDF-109 study and 54/59 were rolled
over into a long-term prospective open-label study of TDF+/-LAM 300 mg daily.
RESULTS: Results are reported at the end of year 5 of treatment. At year 5, 75%
(45/59) had achieved viral suppression by intent-to-treat analysis. Per-protocol
assessment revealed 83% (45/54) were HBV DNA undetectable. Nine patients remained
HBV DNA detectable, however 8/9 had very low HBV DNA levels (<264IU/mL) and did
not meet virological criteria for virological breakthrough (VBT). One patient
experienced VBT, but this was in the setting of documented non-compliance. The
response was independent of baseline LAM therapy or mutations conferring ADV
resistance. Four patients discontinued TDF, one patient was lost to follow-up and
one died from hepatocellular carcinoma. CONCLUSIONS: Long-term TDF treatment
appears to be safe and effective in patients with prior failure of LAM and a
suboptimal response to ADV therapy. These findings confirm that TDF has a high
genetic barrier to resistance is active against multidrug-resistant HBV, and
should be the preferred oral anti-HBV agent in CHB patients who fail treatment
with LAM and ADV.
PMID- 27896896
TI - Presumed tuberculous uveitis in non-endemic country for tuberculosis: case series
from a New Zealand tertiary uveitis clinic.
AB - BACKGROUND: To describe the clinical spectrum of presumed tuberculous (TB)
uveitis in a developed, non-endemic country of high immigrant population. DESIGN:
Retrospective review of a consecutive case series. PARTICIPANTS: All 39 patients
diagnosed with presumed TB uveitis at the tertiary uveitis service in Auckland
from 2007 to 2014. METHODS: Clinical chart review. MAIN OUTCOME MEASURES: Patient
demographics, risk factors, ophthalmic manifestations, management and outcome.
RESULTS: The median age was 37 years (interquartile range [IQR] 31-52) and 56%
were female. The majority (97%) were born outside of New Zealand, and 77% had no
TB-related history. Radiological abnormalities consistent with TB were evident in
seven patients, including three who had culture positive pulmonary disease.
Anterior uveitis was diagnosed in ten patients (26%), anterior and intermediate
uveitis in eight (21%), posterior uveitis in 13 (33%) and panuveitis in eight
(21%). Sixteen (41%) had retinal vasculitis, and five (13%) had multifocal
serpiginoid choroiditis. Common complications included cataract (51%), ocular
hypertension (36%), broad posterior synechiae (33%) and cystoid macular oedema
(28%). Anti-TB treatment was initiated in 30 patients (76%). All but three
patients completed the intended course of six to 12 months. Following anti-TB
treatment, 67% remained in remission for at least 12 months, and all but two
patients successfully stopped systemic steroids. The median initial and final
visual acuity was 6/9 (IQR 6/6-6/18) and 6/6 (IQR 6/6-6/9), respectively.
CONCLUSIONS: Despite a wide range of ocular presentations and complications, our
cohort demonstrated good remission rate and visual prognosis following anti-TB
treatment in carefully selected patients.
PMID- 27896897
TI - Expanded clinical use of everolimus eluting bioresorbable vascular scaffolds for
treatment of coronary artery disease.
AB - BACKGROUND: Limited data are currently available on the performance of everolimus
eluting bioresorbable vascular scaffold (BVS) for treatment of complex coronary
lesions representative of daily practice. METHODS: This is a prospective, mono
center, single-arm study, reporting data after BVS implantation in patients
presenting with stable, unstable angina, or non-ST segment elevation myocardial
infarction caused by de novo stenotic lesions in native coronary arteries. No
restrictions were applied to lesion complexity. Procedural results and 12-month
clinical outcomes were reported. RESULTS: A total of 180 patients have been
evaluated in the present study, with 249 treated coronary lesions. Device Success
per lesion was 99.2%. A total of 119 calcified lesions were treated. Comparable
results were observed among severe, moderate and noncalcified lesions in term of
%diameter stenosis (%DS) (20.3 +/- 10.5%, 17.8 +/- 7.7%, 16.8 +/- 8.6%; P =
0.112) and acute gain (1.36 +/- 0.41 mm, 1.48 +/- 0.44 mm, 1.56 +/- 0.54 mm; P =
0.109). In bifurcations (54 lesions), side-branch ballooning after main vessel
treatment was often performed (33.3%) with low rate of side-branch impairment
(9.3%). A total of 29 cases with coronary total occlusions were treated. After
BVS implantation %DS was not different from other lesion types (17.2 +/- 9.4%,
vs. 17.7 +/- 8.6%; P = 0.780). At one year, all-cause mortality was reported in
three cases. The rate of target lesion revascularization and target vessel
revascularization was 3.3%. The rate of definite scaffold thrombosis was 2.6%.
CONCLUSIONS: The implantation of the everolimus eluting bioresorbable vascular
scaffold in an expanded range of coronary lesion types and clinical presentations
was observed to be feasible with promising angiographic results and mid-term
clinical outcomes. (c) 2016 Wiley Periodicals, Inc.
PMID- 27896898
TI - Assembling Polyoxo-Titanium Clusters and CdS Nanoparticles to a Porous Matrix for
Efficient and Tunable H2 -Evolution Activities with Visible Light.
AB - Polyoxo-titanium clusters (PTCs) are applied to construct highly efficient
ternary PTC/CdS/MIL-101 visible-light-range H2 -evolution photocatalysts. The
application of PTCs not only increases the photocatalytic activities but also
provides a molecular tool to tune them.
PMID- 27896899
TI - Characterization of a novel form of progressive retinal atrophy in Whippet dogs:
a clinical, electroretinographic, and breeding study.
AB - OBJECTIVE: To describe a form of progressive retinal atrophy (PRA) in Whippets
including clinical, electroretinographic, optical coherence tomographic changes
and pedigree analysis. ANIMALS STUDIED: Client-owned Whippet dogs (n = 51) living
in Brazil. PROCEDURES: All animals were submitted for routine ophthalmic
screening for presumed inherited ocular disease, which included the following:
visual tests, such as obstacle course tests, in scotopic and photopic conditions,
cotton ball test, dazzle reflex, ocular fundus evaluation by indirect
ophthalmoscopy followed by fundus photography. Additionally, electroretinography
(ERG) and optical coherence tomography (OCT) were performed in 24 and four dogs,
respectively. RESULTS: Sixteen dogs were diagnosed with PRA. Vision deficits in
dim light were detected in dogs examined at a young age associated with
nystagmus. Funduscopic changes included the development of multifocal retinal
bullae from 6 months of age. Retinal thinning became apparent later, at which
time the bullae were no longer detected. OCT examination of selected young dogs
revealed that the retinal bullae were due to separation between photoreceptors
and the retinal pigment epithelium, and of dogs with more advanced disease
confirmed the development of retinal thinning. Electroretinography in young dogs
revealed a negative ERG due to a lack of b-wave in both scotopic and photopic
recordings. With progression, the ERG became unrecordable. Pedigree analysis
suggested an autosomal recessive mode of inheritance. CONCLUSION: The retinal
dystrophy reported here in Whippet dogs has a unique phenotype of an initial lack
of ERG b-wave, development of retinal bullae then a progressive generalized
retinal degeneration.
PMID- 27896900
TI - Molecular features of copper binding proteins involved in copper homeostasis.
AB - Copper has a wide and important role in biological systems, determining
conformation and activity of many metalloproteins and enzymes, such as cytochrome
oxidase and superoxide dismutase . Furthermore, due to its possible reactivity
with nonspecific proteins and toxic effects, elaborate systems of absorption,
concentration buffering, delivery to specific protein sites and elimination,
require a complex system including small carriers, chaperones and active
transporters. The P-type copper ATPases ATP7A and ATP7B provide an important
system for acquisition, active transport, distribution and elimination of copper.
Relevance of copper metabolism to human diseases and therapy is already known. It
is quite certain that further studies will reveal detailed and useful information
on biochemical mechanisms and relevance to diseases. (c) 2016 IUBMB Life,
69(4):211-217, 2017.
PMID- 27896901
TI - Dynamics of intracellular processes in live-cell systems unveiled by fluorescence
correlation microscopy.
AB - Fluorescence fluctuation-based methods are non-invasive microscopy tools
especially suited for the study of dynamical aspects of biological processes.
These methods examine spontaneous intensity fluctuations produced by fluorescent
molecules moving through the small, femtoliter-sized observation volume defined
in confocal and multiphoton microscopes. The quantitative analysis of the
intensity trace provides information on the processes producing the fluctuations
that include diffusion, binding interactions, chemical reactions and
photophysical phenomena. In this review, we present the basic principles of the
most widespread fluctuation-based methods, discuss their implementation in
standard confocal microscopes and briefly revise some examples of their
applications to address relevant questions in living cells. The ultimate goal of
these methods in the Cell Biology field is to observe biomolecules as they move,
interact with targets and perform their biological action in the natural context.
(c) 2016 IUBMB Life, 69(1):8-15, 2017.
PMID- 27896902
TI - Substantial reduction in hospital stay of children and adolescents with diabetic
ketoacidosis after implementation of Clinical Practice Guidelines in a university
hospital in Saudi Arabia.
AB - RATIONALE, AIMS AND OBJECTIVES: We aimed to determine the effect of Clinical
Practice Guideline (CPG) implementation on length of hospital stay of children
and adolescents with diabetic ketoacidosis (DKA). METHODS: This was a 6-year
(2008-2014) case-control retrospective study conducted at King Khalid University
Hospital, Riyadh, that compared patients with DKA managed using CPG with those
treated before CPG implementation. RESULTS: There were 63 episodes of DKA in 41
patients managed using CPG compared with 40 episodes in 33 patients treated
before implementation of CPG. Baseline characteristics of the 2 groups were
similar (age, sex, newly diagnosed patients, recurrent DKA, DKA severity, and
mean glycosylated hemoglobin). The mean length of hospital stay (+/-SD) was 68.6
+/- 53.1 hours after implementation of CPG compared with 107.4 +/- 65.6 hours
before implementation (P < .001). The reduction in length of hospital stay equals
to 1700 bed days saved per year per 1000 patients. CONCLUSIONS: Implementation of
CPG for DKA decreased the length of hospital stay.
PMID- 27896903
TI - IMAGING DIAGNOSIS-MAGNETIC RESONANCE IMAGING OF A NEURONAL HETEROTOPIA IN THE
BRAIN OF A CAT.
AB - A domestic shorthair kitten was presented for evaluation and further treatment of
seizures. Magnetic resonance imaging of the brain revealed a large multilobulated
mass in the third ventricle extending into the right lateral ventricle with
secondary obstructive hydrocephalus. The mass was homogeneously isointense to
gray matter on T2W, T2-FLAIR, T2* W, T1W, and ADC images, and hyperintense on DW
EPI. There was no appreciable contrast enhancement. Seizures were managed
medically and with subsequent ventriculoperitoneal shunt placement. Clinical
status later deteriorated and the cat was euthanized. Histopathology confirmed
that the mass was the result of neuronal heterotopia. To the authors' knowledge
this is the first report of neuronal heterotopia in a cat.
PMID- 27896904
TI - Contrast-induced encephalopathy following cardiac catheterization.
AB - OBJECTIVES: To describe the epidemiology, pathophysiology, clinical presentation,
and management of contrast-induced encephalopathy (CIE) following cardiac
catheterization. BACKGROUND: CIE is an acute, reversible neurological disturbance
directly attributable to the intra-arterial administration of iodinated contrast
medium. METHODS: The PubMed database was searched and all cases in the literature
were retrieved and reviewed. RESULTS: 52 reports of CIE following cardiac
catheterization were found. Encephalopathy, motor and sensory disturbances,
vision disturbance, opthalmoplegia, aphasia, and seizures have been reported.
Transient cortical blindness is the most commonly reported neurological syndrome,
occurring in approximately 50% of cases. The putative mechanism involves
disruption of the blood brain barrier and direct neuronal injury. Contrast
induced transient vasoconstriction has also been implicated. Symptoms typically
appear within minutes to hours of contrast administration and resolve entirely
within 24-48 hr. Risk factors may include hypertension, diabetes mellitus, renal
impairment, the administration of large volumes of iodinated contrast,
percutaneous coronary intervention or selective angiography of internal mammary
grafts, and previous adverse reaction to iodinated contrast. Characteristic
findings on cerebral imaging include cortical and sub-cortical contrast
enhancement on computed tomography (CT). Imaging findings in CIE may mimic
subarachnoid hemorrhage or cerebral ischemia; the Hounsfield scale on CT and the
apparent diffusion coefficient on magnetic resonance imaging (MRI) are useful
imaging tools in distinguishing these entities. In some cases, brain imaging is
normal. Prognosis is excellent with supportive management alone. CIE tends to
recur, although re-challenge with iodinated contrast without adverse effects has
been documented. CONCLUSIONS: CIE is an important clinical entity to consider in
the differential diagnosis of stroke following cardiac catheterization. Given
that prognosis is excellent with supportive management only, physicians should be
aware of it, and consider it prior to initiating thrombolysis. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27896905
TI - Testing bidirectional associations among emotion regulation strategies and
substance use: a daily diary study.
AB - BACKGROUND AND AIMS: Alcohol and marijuana are widely used among college
students. Emotion regulation strategies have been linked to alcohol and marijuana
use, but little attention has been devoted to modeling the directionality of
these associations. The aims of the current study were to test whether (a)
daytime use of emotion regulation strategies influences the likelihood of evening
substance use and (b) evening substance use influences the likelihood of next-day
use of emotion regulation strategies. DESIGN: Longitudinal daily diary data were
collected for 30 days via on-line surveys. SETTING: Northeastern United States.
PARTICIPANTS: A total of 1640 college students (mean age = 19.2 years, 54%
female, 80% European American) were recruited each semester between Spring 2008
and Spring 2012. MEASUREMENTS: Daily diaries assessed emotion regulation
strategies (distraction, reappraisal, problem-solving, avoidance) and substance
use (any drinking, heavy drinking, marijuana use, co-use of any drinking/heavy
drinking and marijuana). Covariates included gender, age, race/ethnicity,
fraternity/sorority involvement and baseline depression. FINDINGS: Daytime
distraction [odds ratio (OR) = 0.95], reappraisal (OR = 0.95) and problem-solving
(OR = 0.94) predicted lower odds of evening marijuana use (P-values < 0.02).
Evening heavy drinking (OR = 0.90) and marijuana use (OR = 0.89) predicted lower
odds of next-day problem-solving, with heavy drinking also predicting higher odds
(OR = 1.08) of next-day avoidance and marijuana use also predicting higher odds
(OR = 1.08) of next-day reappraisal (P-values < 0.03). CONCLUSIONS: There appear
to be reciprocal relations among emotion regulation strategies and substance use:
greater daytime use of distraction, reappraisal, and problem solving predicts
lower evening substance use, while higher evening substance use predicts higher
next-day avoidance and reappraisal but lower next-day problem-solving.
PMID- 27896906
TI - Identifying patients for safe early hospital discharge following st elevation
myocardial infarction.
AB - OBJECTIVES: To examine whether the CADILLAC risk score is an effective method of
patient stratification for early discharge following ST elevation myocardial
infarction (STEMI). BACKGROUND: Patients with STEMI are typically hospitalized to
monitor for serious complications such as arrhythmias, heart failure, and
reinfarction. Optimal length of stay is unclear. Whether low risk patients can be
safely discharged before 72 hr of hospitalization is unclear. METHODS: Patients
with STEMI who underwent successful PCI were retrospectively stratified using
CADILLAC risk score to low risk (n = 123) and intermediate to high risk (n =
105). The primary outcome was adverse clinical events at day 3 or later.
Secondary outcomes were adverse clinical events on day 1 and mortality rates at
30 days and 31 to 365 days. RESULTS: Low risk patients had lower major adverse
clinical events at day 3 or later (0 vs. 11.4%, P = 0.0002) and lower total
mortality at 1 year (0 vs. 4.8%, P = 0.02) than patients with intermediate to
high risk. Low risk patients were also less likely to have a cardiovascular event
during the first 24 hr when compared to those with an intermediate to high risk
score (3.3% vs. 13.3%, P = 0.006). CONCLUSION: Low risk patients identified using
CADILLAC risk score with STEMI treated successfully with primary PCI have a low
adverse event rate on the third day or later of hospitalization suggesting that
an earlier discharge is safe in properly selected patients. Monitoring in a
noncritical care setting following primary PCI for STEMI may be feasible for
selected patients. (c) 2016 Wiley Periodicals, Inc.
PMID- 27896907
TI - Efficacy, acceptability and safety of guided imagery/hypnosis in fibromyalgia - A
systematic review and meta-analysis of randomized controlled trials.
AB - : This systematic review aimed at evaluating the efficacy, acceptability and
safety of guided imagery/hypnosis (GI/H) in fibromyalgia. Cochrane Library,
MEDLINE, PsycINFO and SCOPUS were screened through February 2016. Randomized
controlled trials (RCTs) comparing GI/H with controls were analysed. Primary
outcomes were >=50% pain relief, >=20% improvement of health-related quality of
life, psychological distress, disability, acceptability and safety at end of
therapy and 3-month follow-up. Effects were summarized by a random effects model
using risk differences (RD) or standardized mean differences (SMD) with 95%
confidence intervals (CI).Seven RCTs with 387 subjects were included into a
comparison of GI/H versus controls. There was a clinically relevant benefit of
GI/H compared to controls on >=50% pain relief [RD 0.18 (95% CI 0.02, 0.35)] and
psychological distress [SMD -0.40 (95% CI -0.70, -0.11)] at the end of therapy.
Acceptability at the end of treatment for GI/H was not significantly different to
the control. Two RCTs with 95 subjects were included in the comparison of
hypnosis combined with cognitive behavioural therapy (CBT) versus CBT alone.
Combined therapy was superior to CBT alone in reducing psychological distress at
the end of therapy [SMD -0.50 (95% CI -0.91, -0.09)]. There were no statistically
significant differences between combined therapy and CBT alone in other primary
outcomes at the end of treatment and follow-up. No study reported on safety. GI/H
hold promise in a multicomponent management of fibromyalgia. SIGNIFICANCE: We
provide a systematic review with meta-analysis on guided imagery and hypnosis for
fibromyalgia. Current analyses endorse the efficacy and tolerability of guided
imagery/hypnosis and of the combination of hypnosis with cognitive-behavioural
therapy in reducing key symptoms of fibromyalgia.
PMID- 27896908
TI - Bixalomer in Hyperphosphatemic Patients With Chronic Kidney Disease Not on
Dialysis: Phase 3 Randomized Trial.
AB - Currently, calcium- or metal-containing phosphate binders are available to treat
hyperphosphatemia in predialysis patients with chronic kidney disease. Bixalomer,
a non-calcium, metal-free phosphate binder, has not been studied in these
patients. We evaluated the efficacy and safety of bixalomer versus placebo for
treatment of hyperphosphatemia in Japanese predialysis patients with chronic
kidney disease. This multicenter, randomized, double-blind, phase 3 trial,
randomized eligible patients 1:1 to receive bixalomer or placebo for 12 weeks.
Bixalomer was started at 1500 mg/day and adjusted up to 7500 mg/day depending on
serum phosphorus concentrations. The primary endpoint was change in serum
phosphorus concentration from baseline to end of treatment. After a 4-week pre
investigational period, 163 patients (bixalomer: N = 81; placebo: N = 82) were
randomized. The adjusted mean change (95% confidence interval) from baseline to
end of treatment in serum phosphorus was significantly greater with bixalomer (
0.78 [-0.98, -0.57] mg/dL) versus placebo (0.20 [-0.00, 0.41] mg/dL); mean
difference: -0.98 (-1.27, -0.69), P < 0.001. At end of treatment, 57.5% of
bixalomer-treated patients achieved target serum phosphorus concentrations, mean
serum intact parathyroid hormone and fibroblast growth factor-23 decreased, and
there were no significant changes in corrected serum calcium. The safety and
tolerability of bixalomer was similar to placebo. The most common drug-related
adverse events were gastrointestinal (>24% patients per group). There was a
significant increase in bicarbonate concentrations with bixalomer versus placebo
(P = 0.003). Bixalomer was superior to placebo for hyperphosphatemia in Japanese
predialysis patients with chronic kidney disease and may constitute a new
treatment option.
PMID- 27896909
TI - Homeless patients' perceptions about using cell phones to manage medications and
attend appointments.
AB - OBJECTIVES: The objectives of this study were to (1) describe homeless persons'
access and use of cell phones and their perceptions about using cell phone alerts
to help manage medications and attend health care appointments and (2) identify
demographic characteristics, medication use and appointment history and
perceptions associated with interest in receiving cell phone alerts to manage
medications and appointments. METHODS: A cross-sectional survey was conducted in
2013 at a homeless clinic in Virginia. The questionnaire comprised items about
cell phone usage, ownership and functions such as text messaging. Participants
reported medication use and appointment history, perceptions about cell phone
alerts and interest in receiving alerts to manage medications and appointments.
Descriptive statistics for all variables are reported. Logistic regression was
used to examine predictors of interest in using a cell phone to manage
medications and appointments. KEY FINDINGS: A total of 290 participants completed
the survey; 89% had a cell phone. Seventy-seven percent were interested in
appointment reminders, whereas 66%, 60% and 54% were interested in refill
reminders, medication taking reminders and medication information messages
respectively. Those who believed reminders were helpful were more likely to be
interested in medication taking, refill and appointment reminder messages
compared to those who did not believe reminders were helpful. A history of
running out of medicine and forgetting appointments were predictors of interest
in refill and appointment reminders. CONCLUSIONS: Mobile technology is a feasible
method for communicating medication and appointment information to those
experiencing or at risk for homelessness.
PMID- 27896910
TI - Novel delivery technique for atrial septal defect closure in young children
utilizing the GORE(r) CARDIOFORM(r) septal occluder.
AB - OBJECTIVES: To develop a novel delivery technique to overcome vascular size
limitations for device closure of a secundum ASD in the young patient.
BACKGROUND: The efficacy, clinical utility, and safety of transcatheter closure
in comparison to surgical closure are well established; however, access vessel
size remains a potential limitation to device selection in the young patient.
METHODS: A retrospective medical record review of all cases of secundum ASD
closure between April 2015 and April 2016 utilizing a novel delivery method
described herein at two separate academic institutions. The device is
disassembled allowing the delivery sheath to serve as the introducer. It is
advanced to the RA with re-introduction of the device allowing for deployment in
the standard fashion. RESULTS: Overall, 10 patients underwent secundum ASD
closure via this novel delivery technique. There were six females (60%) and the
average age at time of the procedure was 4.2 years +/- 1.6 years (range 2-6
years). The majority of patients had an isolated secundum ASD (70%) with the
primary indication for closure being right ventricular volume overload (90%). All
patients had successful placement of a GORE(r) CARDIOFORM(r) Septal Occluder
(GCSO) with no or trivial residual shunt. No patients had vascular complications
related to the procedure. CONCLUSIONS: We describe a novel technique for ASD
closure using the GCSO delivery sheath as the access sheath, which reduces the
vascular access size requirement by 25%, thus addressing one common limitation
for percutaneous device closure of a secundum ASD in young patients. (c) 2016
Wiley Periodicals, Inc.
PMID- 27896911
TI - The timing and prevalence of intraoperative hypotension in infants undergoing
laparoscopic pyloromyotomy at a tertiary pediatric hospital.
AB - BACKGROUND: Intraoperative hypotension may be associated with adverse outcomes in
children undergoing surgery. Infants and neonates under 6 months of age have less
autoregulatory cerebral reserve than older infants, yet little information exists
regarding when and how often intraoperative hypotension occurs in infants. AIMS:
To better understand the epidemiology of intraoperative hypotension in infants,
we aimed to determine the prevalence of intraoperative hypotension in a generally
uniform population of infants undergoing laparoscopic pyloromyotomy. METHODS:
Vital sign data from electronic records of infants who underwent laparoscopic
pyloromyotomy with general anesthesia at a children's hospital between January 1,
1998 and October 4, 2013 were analyzed. Baseline blood pressure (BP) values and
intraoperative BPs were identified during eight perioperative stages based on
anesthesia event timestamps. We determined the occurrence of relative (systolic
BP <20% below baseline) and absolute (mean arterial BP <35 mmHg) intraoperative
hypotension within each stage. RESULTS: A total of 735 full-term infants and 82
preterm infants met the study criteria. Relative intraoperative hypotension
occurred in 77%, 72%, and 58% of infants in the 1-30, 31-60, and 61-90 days age
groups, respectively. Absolute intraoperative hypotension was seen in 21%, 12%,
and 4% of infants in the 1-30, 31-60, and 61-90 days age groups, respectively.
Intraoperative hypotension occurred primarily during surgical prep and throughout
the surgical procedure. Preterm infants had higher rates of absolute
intraoperative hypotension than full-term infants. CONCLUSIONS: Relative
intraoperative hypotension was routine and absolute intraoperative hypotension
was common in neonates and infants under 91 days of age. Preterm infants and
infants under 61 days of age experienced the highest rates of absolute and
relative intraoperative hypotension, particularly during surgical prep and
throughout surgery.
PMID- 27896912
TI - Balloon Valvuloplasty to Predict X-ray Projection Angles that are Perpendicular
to Cardiovascular Structures: A TAVI Patient Feasibility Study.
AB - OBJECTIVES: The purpose of this work is to describe methods to measure the 3D
angular orientation of cardiovascular structures based on a planar image of a
valvuloplasty balloon. These methods facilitate X-ray beam alignment with respect
to the anatomy of interest. BACKGROUND: X-ray beam projections which are
perpendicular to the long axis of cardiovascular structures are required to
support interventional procedures, including transcatheter aortic valve implant
(TAVI). METHODS: During the TAVI procedure, the 3D angular orientation of the
LVOT of 10 patients was measured from a single planar image of an aortic
valvuloplasty balloon and the continuous range of X-ray projection angles which
are aligned with the aortic valve plane were calculated (research method).
Misalignment of the X-ray beam and TAVI valve frame was measured from images of
the deployed valve. The accuracy of the research method was compared to clinical
standard method to determine appropriate X-ray projection angles, which utilized
CT and aortography. RESULTS: Using the clinical standard method, the median
misalignment of the X-ray beam and TAVI valve frame was 8.6 degrees (range 2.6
degrees to 21 degrees ). Misalignment was reduced to 2.5 degrees (range 0
degrees to 10 degrees ) using the research method. CONCLUSIONS: The 3D angular
orientation of cardiovascular structures can be measured accurately from a single
X-ray projection image of a known cardiovascular device contained within the
anatomy of interest. For TAVI procedures, improved X-ray beam alignment may help
facilitate procedural success. (c) 2016 Wiley Periodicals, Inc.
PMID- 27896914
TI - An innovative textbook: design and implementation.
AB - BACKGROUND: The 'flipped classroom' instructional strategy has gained much
momentum in educational discourse, yet no single educational offering exists in
postgraduate medical education (PME) to systematically replace the lecture
element of didactic sessions. This article describes the design and
implementation of Clinical Anesthesia Fundamentals, the first known textbook in
PME addressing this gap in resources through the integration of full-length
interactive multimedia-enhanced video lectures. The 'flipped classroom'
instructional strategy has gained much momentum in educational discourse
INNOVATION: The textbook was developed in alignment with the content outline for
the specialty provided by the American Board of Anesthesiology. Its accompanying
video lectures, produced at the Media Lab in the Department of Anesthesiology at
Boston University Medical Center (BUMC), cover the topics in each chapter of the
textbook and include review questions featuring explanatory feedback. EVALUATION:
Following a 5-month trial period at the BUMC Anesthesiology Residency Program,
the complete resident class (25 of 25; 100%) participated in an anonymous,
Institutional Review Board (IRB) -approved, five-point Likert-type scale survey
assessing an underlying variable of preference for the use of multimedia learning
tools. DISCUSSION: Survey results suggest that residents favour technology
enhanced learning environments in which textbooks are coupled with interactive
multimedia, and the 'flipped classroom' approach is employed. Although the
development of digital videos requires time and financial investment, the
improved accessibility and uniform delivery of didactic content are two positive
outcomes. CONCLUSION: This textbook provides an example for educators wishing to
learn how to capitalise on advances in technology to further enhance learning and
accessibility. Further studies could address the impact of this approach on the
academic performance of residents.
PMID- 27896913
TI - Nausea and vomiting in early pregnancy: Effects on food intake and diet quality.
AB - Experiences of nausea and/or vomiting in pregnancy (NVP) vary greatly, but the
paucity of studies with pre-pregnancy dietary data mean that little is known
about the effects of NVP on diet. Using an administered food frequency
questionnaire, diet was assessed before pregnancy and at 11 and 34 weeks'
gestation in 2270 participants in a UK birth cohort study (Southampton Women's
Survey). Experience of NVP in early pregnancy was graded as none, mild, moderate,
or severe. Participants reported their level of food consumption as more, the
same, or less than before pregnancy. "Prudent" diet scores (derived using
principal component analysis) were used to describe participants' diet quality
before, in early and late pregnancy. In early pregnancy, 89% of women were
nauseous, although most commonly, the NVP experienced was mild (48%) or moderate
(30%); 11% had severe NVP. A total of 39% of women reported an increase in their
level of food intake in early pregnancy; 34% reported a reduction. Increasing
severity of nausea was associated with changes in intake of a range of foods,
most notably reduced consumption of vegetables, tea/coffee, rice/pasta, breakfast
cereals, beans/pulses and citrus fruits/fruit juices and increased consumption of
white bread, and soft drinks. Increasing severity of nausea was also associated
with decreasing prudent diet score from before to early pregnancy, such that
women with severe nausea had prudent diet scores 0.29 SDs lower than those with
no nausea (P < 0.001). However, this was transient as NVP was not related to
change in diet quality from before to late pregnancy.
PMID- 27896915
TI - Evaluation of maternal and perinatal characteristics on childhood lymphoma risk:
A population-based case-control study.
AB - BACKGROUND: Lymphoma is one of the most common pediatric malignancies; however,
there are few well-established risk factors. Therefore, we investigated if
maternal and perinatal characteristics influenced the risk of childhood lymphoma.
PROCEDURE: Information on cases (n = 374) diagnosed with lymphoma and born in
Texas for the period 1995-2011 was obtained from the Texas Cancer Registry. Birth
certificate controls were randomly selected at a ratio of 10 controls per 1 case
for the same period of 1995-2011. Unconditional logistic regression was used to
generate unadjusted (OR) and adjusted odds ratios (aOR) and 95% confidence
intervals (CI) for the following histologic subtypes: Hodgkin (HL), Burkitt (BL),
and non-BL non-HLs (non-BL NHLs). RESULTS: Overall, our findings indicate
specific maternal and perinatal characteristics influence childhood lymphoma
risk. Mexico-born mothers were more likely to have offspring who developed BL
compared to mothers born in the United States (U.S.; aOR: 2.15; 95% CI: 1.06
4.36). Further, mothers who resided at time of delivery in a county on the U.S.
Mexico border were more likely to give birth to offspring who developed non-BL
NHL (aOR: 1.72; 95% CI: 1.11-2.67) compared to mothers not living on the U.S.
Mexico border at time of infant birth. Last, infants born large-for-gestational
age experienced a twofold increase in BL risk (aOR: 2.00; 95% CI: 1.10-3.65).
CONCLUSIONS: In this population-based assessment, we confirmed previously
reported risk predictors of childhood lymphoma, including sex of infant, while
highlighting novel risk factors that warrant assessment in future studies.
PMID- 27896916
TI - Dihydroartemisinin counteracts fibrotic portal hypertension via farnesoid X
receptor-dependent inhibition of hepatic stellate cell contraction.
AB - Portal hypertension is a frequent pathological symptom occurring especially in
hepatic fibrosis and cirrhosis. Current paradigms indicate that inhibition of
hepatic stellate cell (HSC) activation and contraction is anticipated to be an
attractive therapeutic strategy, because activated HSC dominantly facilitates an
increase in intrahepatic vein pressure through secreting extracellular matrix and
contracting. Our previous in vitro study indicated that dihydroartemisinin (DHA)
inhibited contractility of cultured HSC by activating intracellular farnesoid X
receptor (FXR). However, the effect of DHA on fibrosis-related portal
hypertension still requires clarification. In this study, gain- and loss-of
function models of FXR in HSC were established to investigate the mechanisms
underlying DHA protection against chronic CCl4 -caused hepatic fibrosis and
portal hypertension. Immunofluorescence staining visually showed a decrease in
FXR expression in CCl4 -administrated rat HSC but an increase in that in DHA
treated rat HSC. Serum diagnostics and morphological analyses consistently
indicated that DHA exhibited hepatoprotective effects on CCl4 -induced liver
injury. DHA also reduced CCl4 -caused inflammatory mediator expression and
inflammatory cell infiltration. These improvements were further enhanced by INT
747 but weakened by Z-guggulsterone. Noteworthily, DHA, analogous to INT-747,
significantly lowered portal vein pressure and suppressed fibrogenesis.
Experiments on mice using FXR shRNA lentivirus consolidated the results above.
Mechanistically, inhibition of HSC activation and contraction was found as a
cellular basis for DHA to relieve portal hypertension. These findings
demonstrated that DHA attenuated portal hypertension in fibrotic rodents possibly
by targeting HSC contraction via a FXR activation-dependent mechanism. FXR could
be a target molecule for reducing portal hypertension during hepatic fibrosis.
PMID- 27896918
TI - Finding the Right Assessment Measures for Young People with Intellectual
Disabilities Who Display Harmful Sexual Behaviour.
AB - BACKGROUND: Previous studies and national reports have all noted that a
significant proportion of the young people who display harmful sexual behaviours
have intellectual disabilities. However, research on the topic has been scarce.
This study presents a systematic review of the literature relating to clinical
instruments specifically developed or adapted for adolescents with intellectual
disabilities who display harmful sexual behaviours. METHOD: An electronic search
of databases was completed for published articles in English from the earliest
possible date to the end of 2013. RESULTS: No published articles met the full
search criteria. This confirmed the lack of published clinical measures, apart
from two risk assessment instruments. CONCLUSIONS: Given the lack of measures, it
is recommended that the focus of future research needs to be on developing or
adapting instruments that will offer researchers' and clinicians' empirical as
well as clinical data on this all-too-often-overlooked population of vulnerable
youth.
PMID- 27896917
TI - On the pathogenesis of mTOR inhibitor-associated stomatitis (mIAS)-studies using
an organotypic model of the oral mucosa.
AB - OBJECTIVE: mTOR inhibitor treatment of solid cancers is associated with mTOR
inhibitor-associated stomatitis (mIAS) a common, significant, dose-limiting
toxicity, with aphthous-like lesions. Our objective was to assess the utility of
a new organotypic model in defining mIAS' pathogenesis. MATERIALS AND METHODS:
The effect of everolimus on organotypic human oral mucosa was studied. Sterile
specimens were assessed 24 and 48 h after exposure to varying concentrations of
everolimus. Morphologic changes and measures of apoptosis, proliferation, and
levels of six Th1 and Th2 cytokines were studied. RESULTS: Following a 24-h
incubation, concentrations of 500 ng ml-1 of everolimus resulted in histological
changes consistent with epithelial injury, disorganization and pre- or early
apoptosis, increased TUNEL-positive staining (P < 0.05) and reduced PCNA-positive
staining cells (P < 0.001) and increased levels of IL-6 (P < 0.0001), IL-8 (P <
0.01), and IFN-gamma (P < 0.09). CONCLUSIONS: Everolimus elicited epithelial
damage manifest by morphologic changes, increased apoptosis, and decreased
proliferation with concurrent release of keratinocyte-derived pro-inflammatory
cytokines in the absence of bacteria. The extent of the effect was concentration
and time dependent. These results suggest that mIAS is likely initiated by direct
epithelial injury, independent of the microbiome. Keratinocyte cytokine release
could likely play a role in accelerating an inflammatory infiltrate.
PMID- 27896920
TI - Fusion of Ssm6a with a protein scaffold retains selectivity on NaV 1.7 and
improves its therapeutic potential against chronic pain.
AB - Voltage-gated sodium channel NaV 1.7 serves as an attractive target for chronic
pain treatment. Several venom peptides were found to selectively inhibit NaV 1.7
but with intrinsic problems. Among them, Ssm6a, a recently discovered centipede
venom peptide, shows the greatest selectivity against NaV 1.7, but dissociates
from the target too fast and loses bioactivity in synthetic forms. As a disulfide
rich venom peptide, it is difficult to optimize Ssm6a by artificial mutagenesis
and produce the peptide with common industrial manufacturing methods. Here, we
developed a novel protein scaffold fusion strategy to address these concerns.
Instead of directly mutating Ssm6a, we genetically fused Ssm6a with a protein
scaffold engineered from human muscle fatty acid-binding protein. The resultant
fusion protein, SP-TOX, maintained the selectivity and potency of Ssm6a upon NaV
1.7 but dissociated from target at least 10 times more slowly. SP-TOX
dramatically reduced inflammatory pain in a rat model through DRG-targeted
delivery. Importantly, SP-TOX can be expressed cytosolically in Escherichia coli
and purified in a cost-effective way. In summary, our study provided the first
example of cytosolically expressed fusion protein with high potency and
selectivity on NaV 1.7. Our protein scaffold fusion approach may have its broad
application in optimizing disulfide-rich venom peptides for therapeutic usage.
PMID- 27896919
TI - Duration of exclusive breastfeeding is a positive predictor of iron status in 6-
to 10-month-old infants in rural Kenya.
AB - The prevalence of iron-deficiency anemia (IDA) is high in infants in Sub-Saharan
Africa. Exclusive breastfeeding of infants to 6 months of age is recommended by
the World Health Organization, but breast milk is low in iron. Some studies
suggest exclusive breastfeeding, although beneficial for the infant, may increase
risk for IDA in resource-limited settings. The objective of this study was to
determine if duration of exclusive breastfeeding is associated with anemia and
iron deficiency in rural Kenyan infants. This was a cross-sectional study of 6-10
month-old infants (n = 134) in southern coastal Kenya. Anthropometrics,
hemoglobin (Hb), plasma ferritin (PF), soluble transferrin receptor (sTfR), and C
reactive protein were measured. Body iron stores were calculated from the sTfR/PF
ratio. Socioeconomic factors, duration of exclusive breastfeeding, nature of
complementary diet, and demographic characteristics were determined using a
questionnaire. Mean +/- SD age of the infants was 7.7 +/- 0.8 months. Prevalence
of anemia, ID, and IDA were 74.6%, 82.1%, and 64.9%, respectively. Months of
exclusive breastfeeding correlated positively with Hb (r = 0.187; p < .05) and
negatively with sTfR (r = -0.246; p < .05). sTfR concentrations were lower in
infants exclusively breastfed at least 6 months compared with those exclusively
breastfed for less than 6 months (7.6 (6.3, 9) vs. 8.9 (6.7, 13.4); p < .05).
Controlling for gender, birth weight, and inflammation, months spent exclusively
breastfeeding was a significant negative predictor of sTfR and a positive
predictor of Hb (p < .05). The IDA prevalence in rural Kenyan infants is high,
and greater duration of exclusive breastfeeding predicts better iron status and
higher Hb in this age group.
PMID- 27896921
TI - Effect of iron supplementation during lactation on maternal iron status and
oxidative stress: A randomized controlled trial.
AB - We examined the effect of iron-containing prenatal vitamin-mineral supplements
taken postpartum on biomarkers of iron status and oxidative stress. Lactating
women (n = 114) were randomly assigned to consume daily one iron-free prenatal
vitamin-mineral supplement plus either 27 mg of iron or placebo for approximately
3.5 months. The placebo group took the tablets between meals, while those given
iron took the tablets either with (Fe-W) or between meals (Fe-B). Blood and urine
samples were collected before and after the supplementation period to analyze
hemoglobin (Hb), ferritin, hepcidin, transferrin saturation (TfSat), total plasma
iron, and biomarkers of oxidative stress (isoprostane and 8-hydroxy-2
deoxyguanosine (8-OHdG)) and inflammation (C-reactive protein (CRP) and alpha-1
acid glycoprotein (AGP)). There was a trend toward a greater change in Hb among
women in the Fe-B group compared to placebo (+2.5 vs. -3.7 g/L, respectively, p =
0.063). When the iron groups were combined, there was a greater change in Hb
(+1.4 g/L) compared to placebo (p = 0.010). There were trends toward greater
changes in TfSat (p = 0.087) and total plasma iron (p = 0.065) in the iron groups
compared to placebo, yet no significant differences between the three groups in
change in hepcidin (p = 0.291), isoprostane (p = 0.319), or 8-OHdG (p = 0.659),
nor in change in ferritin among those with elevated CRP at baseline (60% of
women; p = 0.946); among those without elevated CRP (40% of women), ferritin
increased more in the iron groups compared to placebo (p = 0.001). Iron
consumption during lactation moderately increased iron status, particularly among
women without elevated CRP, and increased Hb, but did not significantly increase
oxidative stress.
PMID- 27896922
TI - (-)-Epigallocatechin-3-Gallate Antihyperalgesic Effect Associates With Reduced
CX3CL1 Chemokine Expression in Spinal Cord.
AB - (-)-Epigallocatechin-3-gallate (EGCG) is a major polyphenol in green tea with
beneficial effects on the neuropathic pain alleviation in animal models. Because
chemokine fractalkine (CX3CL1) has been suggested as an important signal during
neuropathic pain development, this study aimed to investigate whether CX3CL1
expression may be modulated by EGCG treatment reducing hyperalgesia in chronic
constriction injured mice. To this end, Balb/c mice were subjected to a chronic
constriction injury of sciatic nerve (CCI) and treated with EGCG or vehicle once
a day during the first week following surgery. Thermal hyperalgesia was tested at
7 and 14 days post-surgery, and the expression of CX3CL1 and its mRNA were
analyzed in spinal cord at the end of the experimental period. Results revealed
that EGCG treatment significantly reduced thermal hyperalgesia in CCI-injured
mice at short time, and this antihyperalgesic effect was associated with a down
regulation of CX3CL1 protein expression in the spinal cord. On the other hand,
EGCG treatment did not affect the CX3CL1 transcription. Overall, our results
suggest a new role of EGCG-treatment in an experimental model of neuropathic pain
as a mediator of nociceptive signaling cross talk between neurons and glial cells
in the dorsal horn of the spinal cord. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27896924
TI - Detailed tail proteomic analysis of axolotl (Ambystoma mexicanum) using an mRNA
seq reference database.
AB - Salamander axolotl has been emerging as an important model for stem cell research
due to its powerful regenerative capacity. Several advantages, such as the high
capability of advanced tissue, organ, and appendages regeneration, promote
axolotl as an ideal model system to extend our current understanding on the
mechanisms of regeneration. Acknowledging the common molecular pathways between
amphibians and mammals, there is a great potential to translate the messages from
axolotl research to mammalian studies. However, the utilization of axolotl is
hindered due to the lack of reference databases of genomic, transcriptomic, and
proteomic data. Here, we introduce the proteome analysis of the axolotl tail
section searched against an mRNA-seq database. We translated axolotl mRNA
sequences to protein sequences and annotated these to process the LC-MS/MS data
and identified 1001 nonredundant proteins. Functional classification of
identified proteins was performed by gene ontology searches. The presence of some
of the identified proteins was validated by in situ antibody labeling.
Furthermore, we have analyzed the proteome expressional changes postamputation at
three time points to evaluate the underlying mechanisms of the regeneration
process. Taken together, this work expands the proteomics data of axolotl to
contribute to its establishment as a fully utilized model.
PMID- 27896923
TI - Homocysteine modulates 5-lipoxygenase expression level via DNA methylation.
AB - Elevated levels of homocysteinemia (Hcy), a risk factor for late-onset
Alzheimer's disease (AD), have been associated with changes in cell methylation.
Alzheimer's disease is characterized by an upregulation of the 5-lipoxygenase
(5LO), whose promoter is regulated by methylation. However, whether Hcy activates
5LO enzymatic pathway by influencing the methylation status of its promoter
remains unknown. Brains from mice with high Hcy were assessed for the 5LO pathway
and neuronal cells exposed to Hcy implemented to study the mechanism(s)
regulating 5LO expression levels and the effect on amyloid beta formation. Diet-
and genetically induced high Hcy resulted in 5LO protein and mRNA upregulation,
which was associated with a significant increase of the S-adenosylhomocysteine
(SAH)/S-adenosylmethionine ratio, and reduced DNA methyltrasferases and
hypomethylation of 5-lipoxygenase DNA. In vitro studies confirmed these results
and demonstrated that the mechanism involved in the Hcy-dependent 5LO activation
and amyloid beta formation is DNA hypomethylation secondary to the elevated
levels of SAH. Taken together these findings represent the first demonstration
that Hcy directly influences 5LO expression levels and establish a previously
unknown cross talk between these two pathways, which is highly relevant for AD
pathogenesis. The discovery of such a novel link not only provides new
mechanistic insights in the neurobiology of Hcy, but most importantly new
therapeutic opportunities for the individuals bearing this risk factor for the
disease.
PMID- 27896925
TI - Design, synthesis and in vitro antiplasmodial activity of some bisquinolines
against chloroquine-resistant strain.
AB - A series of novel bisquinoline compounds comprising N1 -(7-chloroquinolin-4-yl)
ethane-1,2-diamine and 7-chloro-N-(2-(piperazin-1-yl)ethyl)quinolin-4-amine
connected with 7-chloro-4-aminoquinoline containing various amino acids is
described. We have bio-evaluated the compounds against both chloroquine-sensitive
(3D7) and chloroquine-resistant (K1) strains of Plasmodium falciparum in vitro.
Among the series, compounds 4 and 7 exhibited 1.8- and 10.6-fold superior
activity as compared to chloroquine (CQ; IC50 = 0.255 +/- 0.049 MUm) against the
K1 strain with IC50 values 0.137 +/- 0.014 and 0.026 +/- 0.007 MUm, respectively.
Furthermore, compound 7 also displayed promising activity against the 3D7 strain
(IC50 = 0.024 +/- 0.003 MUm) of P. falciparum when compared to CQ. All the
compounds in the series displayed resistance factor between 0.57 and 4.71 as
against 51 for CQ. These results suggest that bisquinolines can be explored for
further development as new antimalarial agents active against chloroquine
resistant P. falciparum.
PMID- 27896926
TI - (Z)-2-(3-Chlorobenzylidene)-3,4-dihydro-N-(2-methoxyethyl)-3-oxo-2H
benzo[b][1,4]oxazine-6-carboxamide as GSK-3beta inhibitor: Identification by
virtual screening and its validation in enzyme- and cell-based assay.
AB - Glycogen synthase kinase 3beta (GSK-3beta) is a widely investigated molecular
target for numerous diseases including Alzheimer's disease, cancer, and diabetes
mellitus. The present study was aimed to discover new scaffolds for GSK-3beta
inhibition, through protein structure-guided virtual screening approach. With the
availability of large number of GSK-3beta crystal structures with varying degree
of RMSD in protein backbone and RMSF in side chain geometry, herein appropriate
crystal structures were selected based on the characteristic ROC curve and
percentage enrichment of actives. The validated docking protocol was employed to
screen a library of 50,000 small molecules using molecular docking and binding
affinity calculations. Based on the GLIDE docking score, Prime MMGB/SA binding
affinity, and interaction pattern analysis, the top 50 ligands were selected for
GSK-3beta inhibition. (Z)-2-(3-chlorobenzylidene)-3,4-dihydro-N-(2-methoxyethyl)
3-oxo-2H-benzo[b][1,4]oxazine-6-carboxamide (F389-0663, 7) was identified as a
potent inhibitor of GSK-3beta with an IC50 value of 1.6 MUm. Further, GSK-3beta
inhibition activity was then investigated in cell-based assay. The treatment of
neuroblastoma N2a cells with 12.5 MUm of F389-0663 resulted in the significant
increase in GSK-3beta Ser9 levels, which is indicative of the GSK-3beta
inhibitory activity of a compound. The molecular dynamic simulations were carried
out to understand the interactions of F389-0663 with GSK-3beta protein.
PMID- 27896927
TI - A retrospective review of anesthesia and perioperative care in children with
medium-chain acyl-CoA dehydrogenase deficiency.
AB - BACKGROUND: Medium-chain acyl-CoA dehydrogenase deficiency is the most common
genetically determined disorder of mitochondrial fatty acid oxidation.
Decompensation can result in hypoglycemia, seizures, coma, and death but may be
prevented by ensuring glycogen stores do not become depleted. Perioperative care
is of interest as surgery, fasting, and infection may all trigger decompensation
and the safety of anesthetic agents has been questioned. Current guidelines from
the British Inherited Metabolic Disease Group advise on administering fluid
containing 10% glucose during the perioperative period. AIM: To review the
management of anesthesia and perioperative care for children with medium-chain
acyl-CoA dehydrogenase deficiency and determine the frequency and nature of any
complications. METHOD: A retrospective review of case notes of children with
medium-chain acyl-CoA dehydrogenase deficiency undergoing anesthesia between 1997
and 2014. RESULTS: Fourteen patients underwent 21 episodes of anesthesia. In 20
episodes, the patient received a glucose-containing fluid during their
perioperative fast, of which eight received fluid containing 10% dextrose
throughout the entire perioperative period. No episodes of hypoglycemia or
decompensation occurred, but perioperative hyperglycemia occurred in five
episodes. A propofol bolus was administered at induction in 16 episodes and
volatile agents were administered for maintenance of anesthesia in all episodes
without any observed complications. In one episode, delayed offset of atracurium
was reported. CONCLUSIONS: Perioperative metabolic decompensation and
hypoglycemia appear to be uncommon in children who are well and receive glucose
supplementation. Hyperglycemia may occur as a consequence of surgery and glucose
supplementation. Propofol boluses and volatile anesthetic agents were used
without any apparent complications. Prolonged action of atracurium was reported
in one case, suggesting that nondepolarizing muscle relaxants may have delayed
offset in this patient group. We do not recommend any particular approach to
anesthesia but would advise administering glucose supplementation according to
current guidelines, frequent monitoring of blood glucose perioperatively, and
monitoring of neuromuscular blockade.
PMID- 27896928
TI - Liddle syndrome: clinical and genetic profiles.
AB - Liddle syndrome is a rare autosomal dominant monogenic form of hypertension. The
authors analyzed clinical and genetic features of 12 cases of Liddle syndrome,
the largest sample size ever reported. Clinical data were studied
retrospectively. The exon 13 of the beta and gamma subunits of the epithelial
sodium channel were amplified and sequenced in the peripheral blood leukocytes of
the patients. The onset age of the 12 patients was 15.5+/-3.3 years. Their blood
pressures were poorly controlled, and serum potassium levels in most patients
were <3.0 mmol/L. Upright plasma renin activity and plasma aldosterone
concentration were suppressed in all patients. All patients were treated with
triamterene, and blood pressures were well controlled and serum potassium levels
returned to normal. The serum creatinine level rose to 124 and 161 MUmol/L,
respectively, in two patients upon triamterene treatment, and returned to normal
soon after treatment was discontinued. Eight mutation alleles were identified,
and three mutations were newly identified.
PMID- 27896930
TI - You are not alone.
PMID- 27896929
TI - Impact of inflammation and oxidative stress on carotid intima-media thickness in
obstructive sleep apnea patients without metabolic syndrome.
AB - Obstructive sleep apnea (OSA) increases the risk of cardiovascular diseases, and
carotid intima-media thickness (IMT) is a good indicator of the severity of
atherosclerotic disease. This study tested the hypothesis that inflammation and
oxidative stress determined carotid IMT in patients with OSA. The carotid IMT,
mean systolic and diastolic pressure (night and morning) were significantly
higher and the level of thiols and high-density lipoprotein were significantly
lower in our 121 OSA patients than in 27 controls (P < 0.05). The apnea/hypopnea
index was correlated positively with E-selectin (r = 0.222, P = 0.014), total
cholesterol (r = 0.185, P = 0.042), low-density lipoprotein (r = 0.264, P =
0.003) and HbA1c levels (r = 0.304, P = 0.001), but inversely with high-density
lipoprotein level (r = -0.203, P = 0.025) in the 121 patients with OSA. In OSA
subjects, multiple linear regression analysis revealed that age, systolic blood
pressure and intercellular cell adhesion molecule-1 level associated
independently with carotid IMT. Besides both age and systolic blood pressure, our
study demonstrated that intercellular cell adhesion molecule-1 level was
associated significantly with carotid IMT in those patients who had OSA but
without metabolic syndrome.
PMID- 27896931
TI - Risk of empyema in patients with chronic liver disease and cirrhosis: A
nationwide, population-based cohort study.
AB - BACKGROUND: Empyema is an important complication for patients with chronic liver
disease and cirrhosis (CLDC). However, no study has investigated this
relationship by using a population-based cohort study. METHODS: We used the
National Health Insurance Research Data of Taiwan to identify a cohort of 76 027
CLDC patients newly diagnosed in 2000-2010 and a comparison cohort without CLDC
of same size matched by age, gender and the year of diagnosis. The occurrence of
empyema was monitored until the end of 2011. The hazard ratios (HRs) of empyema
were estimated using the Cox model. RESULTS: The overall incidence of empyema was
66% greater in the CLDC group than in the non-CLDC group (3.85 vs 2.32/10 000
person-years, P<.001), with an adjusted HR of 1.54 (95% confidence interval
[CI]=1.24-1.90). Compared with those without CLDC, adjusted HRs of empyema were
4.96 (95% CI=3.40-7.24) for patients with cirrhosis and 4.75 (95% CI=3.11-7.24)
for patients with alcoholic CLDC. Further analyses revealed significant adjusted
HRs of empyema among CLDC patients with ascites (5.76, 95% CI=4.13-8.04) and with
gastrointestinal haemorrhage (1.60, 95% CI=1.03-2.48), compared to those without
the respective disorders. Analyses using propensity score matched CLDC and non
CLDC cohorts revealed similar results. CONCLUSION: The present study shows that
CLDC patients have an increased risk of empyema. These patients need timely
monitor for the risk of empyema, particularly for those with comorbid cirrhosis,
alcoholic disorder, gastrointestinal haemorrhage and ascites.
PMID- 27896932
TI - Mesoionic insecticides: a novel class of insecticides that modulate nicotinic
acetylcholine receptors.
AB - BACKGROUND: As the world population grows towards 9 billion by 2050, it is
projected that food production will need to increase by 60%. A critical part of
this growth includes the safe and effective use of insecticides to reduce the
estimated 20-49% loss of global crop yields owing to pests. The development of
new insecticides will help to sustain this protection and overcome insecticide
resistance. RESULTS: A novel class of mesoionic compounds has been discovered,
with exceptional insecticidal activity on a range of Hemiptera and Lepidoptera.
These compounds bind to the orthosteric site of the nicotinic acetylcholine
receptor and result in a highly potent inhibitory action at the receptor with
minimal agonism. The synthesis, biological activity, optimization and mode of
action will be discussed. CONCLUSION: Triflumezopyrim insect control will provide
a powerful tool for control of hopper species in rice throughout Asia.
Dicloromezotiaz can provide a useful control tool for lepidopteran pests, with an
underexploited mode of action among these pests. (c) 2016 Society of Chemical
Industry.
PMID- 27896933
TI - Feasibility and clinical integration of molecular profiling for target
identification in pediatric solid tumors.
AB - BACKGROUND: The role of tumor molecular profiling in directing targeted therapy
utilization remains to be defined for pediatric tumors. We aimed to evaluate the
feasibility of a sequencing and molecular biology tumor board (MBB) program, and
its clinical impact on children with solid tumors. PROCEDURE: We report on a
single-center MBB experience of 60 pediatric patients with a poor prognosis or
relapsed/refractory solid tumors screened between October 2014 and November 2015.
Tumor molecular profiling was performed with panel-based next-generation
sequencing and array comparative genomic hybridization. RESULTS: Mean age was 12
+/- 5.7 years (range 0.1-21.5); main tumor types were high-grade gliomas (n =
14), rare sarcomas (n = 9), and neuroblastomas (n = 8). The indication was a poor
prognosis tumor at diagnosis for 16 patients and relapsed (n = 26) or refractory
disease (n = 18) for the remaining 44 patients. Molecular profiling was feasible
in 58 patients. Twenty-three patients (40%) had a potentially actionable finding.
Patients with high-grade gliomas had the highest number of targetable alterations
(57%). Six of the 23 patients subsequently received a matched targeted therapy
for a period ranging from 16 days to 11 months. The main reasons for not
receiving targeted therapy were poor general condition (n = 5), pursuit of
conventional therapy (n = 6), or lack of pediatric trial (n = 4). CONCLUSIONS:
Pediatric molecular profiling is feasible, with more than a third of patients
being eligible to receive targeted therapy, yet only a small proportion were
treated with these therapies. Analysis at diagnosis may be useful for children
with very poor prognosis tumsors.
PMID- 27896935
TI - Sandcastle Worm-Inspired Blood-Resistant Bone Graft Binder Using a Sticky Mussel
Protein for Augmented In Vivo Bone Regeneration.
AB - Xenogenic bone substitutes are commonly used during orthopedic reconstructive
procedures to assist bone regeneration. However, huge amounts of blood
accompanied with massive bone loss usually increase the difficulty of placing the
xenograft into the bony defect. Additionally, the lack of an organic matrix leads
to a decrease in the mechanical strength of the bone-grafted site. For effective
bone grafting, this study aims at developing a mussel adhesion-employed bone
graft binder with great blood-resistance and enhanced mechanical properties. The
distinguishing water (or blood) resistance of the binder originates from
sandcastle worm-inspired complex coacervation using negatively charged hyaluronic
acid (HA) and a positively charged recombinant mussel adhesive protein (rMAP)
containing tyrosine residues. The rMAP/HA coacervate stabilizes the agglomerated
bone graft in the presence of blood. Moreover, the rMAP/HA composite binder
enhances the mechanical and hemostatic properties of the bone graft agglomerate.
These outstanding features improve the osteoconductivity of the agglomerate and
subsequently promote in vivo bone regeneration. Thus, the blood-resistant
coacervated mussel protein glue is a promising binding material for effective
bone grafting and can be successfully expanded to general bone tissue
engineering.
PMID- 27896934
TI - Increased identification of novel variants in type 2 diabetes, birth weight and
their pleiotropic loci.
AB - BACKGROUND: Clinical and epidemiological findings point to an association between
type 2 diabetes (T2D) and low birth weight. However, the nature of the
relationship is largely unknown. The aim of this study was to identify novel
single nucleotide polymorphisms (SNPs) in T2D and birth weight, and their
pleiotropic loci. METHODS: A pleiotropy-informed conditional false discovery rate
(cFDR) method was applied to two independent genome-wide association studies
(GWAS) summary statistics of T2D (n = 149 821) and birth weight (n = 26 836).
RESULTS: A conditional Q-Q plot showed strong enrichment of genetic variants in
T2D conditioned on different levels of association with birth weight. 133 T2D
associated SNPs, including 120 novel SNPs, were identified with a significance
threshold of cFDR < 0.05; 13 significant birth weight-associated SNPs, including
12 novel SNPs (cFDR < 0.05) were identified. Conjunctional cFDR (ccFDR) analysis
identified nine pleiotropic loci, including seven novel loci, shared by both T2D
and birth weight (ccFDR < 0.05). Two novel SNPs located at the CDK5 regulatory
subunit-associated protein 1-like 1 (CDKAL1; rs1012635; cFDR < 0.05) and
adenylate cyclase 5 (ADCY5; rs4677887; cFDR < 0.05) genes are of note. These two
genes increase the risk of T2D and low birth weight through the pathway of the
"fetal insulin hypothesis." CONCLUSION: Several pleiotropic loci were identified
between T2D and birth weight by leveraging GWAS results. The results make it
possible to explain a greater proportion of trait heritability and improve our
understanding of the shared pathophysiology between T2D and birth weight.
PMID- 27896936
TI - Health-related quality of life and adherence to hydroxyurea in adolescents and
young adults with sickle cell disease.
AB - BACKGROUND: Complications related to sickle cell disease (SCD) result in
significant declines in health-related quality of life (HRQOL). While hydroxyurea
reduces SCD complications, adherence remains suboptimal. The study's objectives
were to assess the feasibility of Internet-based electronic assessment of HRQOL
in SCD clinic and to examine the relationship between HRQOL and hydroxyurea
adherence in adolescents and young adults (AYAs) with SCD. PROCEDURE: A cross
sectional survey was administered on tablets to 34 AYAs (12-22 years old) in a
SCD clinic from January through December 2015. Study measures included Patient
Reported Outcomes Measurement Information System (PROMIS(r) ) computerized
adaptive testing and (c)Modified Morisky Adherence Scale 8-items ((c)MMAS-8).
RESULTS: Participants (59% male, 91% Black) had median age of 13.5 (range 12-18)
years. Ninety-one percent completed PROMIS(r) measures electronically in the
clinic, meeting our feasibility criterion of >=85% completion rate. (c)MMAS-8
scores positively correlated with fetal hemoglobin (HbF) (rs = 0.34, P = 0.04)
and mean corpuscular volume (MCV) (rs = 0.42, P = 0.01) and inversely correlated
with fatigue (rs = -0.45, P = 0.01), depression (rs = -0.3, P = 0.08), and social
isolation (rs = -0.78, P = 0.02). Low (c)MMAS-8 scores, indicating poor
adherence, were associated with worse fatigue (P = 0.001) and trended toward
significance for pain (P = 0.07) and depression (P = 0.06). Homozygous hemoglobin
S disease patients with low HbF (<16%) had worse social isolation (P = 0.04) and
those with low MCV (<102 fl) reported worse fatigue (P = 0.001), pain (P = 0.01),
mobility (P = 0.01), and social isolation (P = 0.04). CONCLUSIONS: HRQOL
assessment in the SCD clinic is feasible. SCD patients with low hydroxyurea
adherence and/or low HbF or MCV levels had worse HRQOL scores, particularly
fatigue. Future prospective studies examining the relationship between HRQOL and
hydroxyurea adherence are warranted.
PMID- 27896937
TI - Effect of tailored dietary guidance for pregnant women on nutritional status: A
double-cohort study.
AB - This double cohort study aimed to evaluate the effect of tailored dietary
guidance for pregnant women on dietary intake, nutritional status, and infant
birth weight. Healthy pregnant women were recruited at an antenatal clinic during
two phases over 2 years. The historical controls were analyzed a year prior to
the intervention group. In both groups, data were collected at 19-26 gestational
weeks (baseline) and at 34-37 gestational weeks (outcome measurement). The
intervention included the following: (a) assessments of maternal dietary
nutritional intake using the brief self-administered diet history questionnaire,
(b) individual feedback based on the assessments of maternal nutritional status,
(c) tailored guidance for a healthy diet, (d) original cooking recipes, and (e)
goal sharing. Mann-Whitney U test was used to compare the outcome data between
the groups. Of the 378 eligible women, 309 women had follow-up questionnaire
data. Blood samples were obtained from 202 women. Despite a lack of improvement
in reported dietary intake, plasma eicosapentaenoic acid (p = .002),
docosahexaenoic acid (p < .001), arachidonic acid (p < .001), and dihomo-gamma
linolenic acid (p < .001) concentrations as well as maternal weight gain (p =
.019) were significantly higher in the intervention group. However, serum folate
(p = .031) concentration was significantly lower in the intervention group, and
there were no significant differences between the groups in 25-hydroxy vitamin D
levels, blood count, average birth weight, and rate of low birth weight infants.
Assessment-based tailored guidance individualized to maternal dietary intake
might partially contribute to improved nutrition in pregnant women.
PMID- 27896939
TI - A multimodal study of impulsivity and body weight: Integrating behavioral,
cognitive, and neuroimaging approaches.
AB - OBJECTIVE: Dimensions of impulsivity are related to obesity. Accordingly,
characterization of impulsivity in individuals with overweight and obesity holds
promise for more targeted and effective intervention. METHODS: Forty-five
individuals (13 males, mean age = 32.6, mean body mass index [BMI] = 30.7) were
recruited to determine the role of impulsivity in elevated body weight by
evaluating: (1) trait impulsivity via impulsive sensation-seeking scale (ImpSS),
(2) state impulsivity via continuous performance test, and (3) activation and
integration of brain cognitive control regions via functional magnetic resonance
imaging during a response inhibition task (i.e., stop signal task). RESULTS:
Positive correlations were found between BMI and trait (ImpSS) as well as state
impulsivity (continuous performance test, beta). BMI was negatively correlated
with regional activation in the temporal lobe and insula during successful
response inhibition. Further, there was a positive association between BMI and
functional connectivity between the right inferior frontal gyrus and right middle
frontal gyrus during successful response inhibition. Mediation analyses revealed
that ImpSS mediated the relationship between BMI and neural response in the right
inferior frontal gyrus. CONCLUSIONS: This multimodal study provides concordant
evidence for behavioral, cognitive, and neural markers of impulsivity and
elevated BMI, highlighting the need to address inhibitory control mechanisms for
more effective weight management programs.
PMID- 27896938
TI - Population Pharmacokinetic/Pharmacodynamic Modeling of Tumor Size Dynamics in
Pembrolizumab-Treated Advanced Melanoma.
AB - Pembrolizumab is a potent immune-modulating antibody active in advanced melanoma,
as demonstrated in the KEYNOTE-001, -002, and -006 studies. Longitudinal tumor
size modeling was pursued to quantify exposure-response relationships for
efficacy. A mixture model was first developed based on an initial dataset from
KEYNOTE-001 to describe four patterns of tumor growth and shrinkage. For
subsequent analyses, tumor size measurements were adequately described by a
single consolidated model structure that captured continuous tumor size with a
combination of growth and regression terms, as well as a fraction of tumor
responsive to therapy. This revised model structure provided a framework to
efficiently evaluate the impact of covariates and pembrolizumab exposure. Both
models indicated that exposure to the drug was not a significant predictor of
tumor size response, demonstrating that the dose range evaluated (2 and 10 mg/kg
every 3 weeks) is likely near or at the plateau of maximal response.
PMID- 27896940
TI - Empowering women to breastfeed: Does the Baby Friendly Initiative make a
difference?
AB - The Baby-Friendly Hospital Initiative (BFI) is currently presented worldwide as
the gold standard model of care for promoting and supporting breastfeeding.
However, there is a lack of understanding about the ways in which health
services, including the BFI, address the cultural change from a disembodied
practice (formula feeding) to an embodied one (breastfeeding) in contexts where
formula feeding is the norm. We used a qualitative case study methodology to
compare the embodied experience of breastfeeding and the maternal experience of
breastfeeding promotion and support services between mothers receiving care from
institutions with low and high levels of BFI implementation in Quebec, Canada. A
total of 11 focus groups were conducted with mothers from six institutions-three
with high and three with low levels of BFI implementation. We found the flexible
approach to breastfeeding duration, characteristic of BFI services in our study,
helped to avoid maternal guilt and shame; the shift to focusing on potential
barriers and strategies for overcoming them empowered women to negotiate changes
in infant feeding with others and self by addressing the embodied experience of a
practice that may not feel natural at the beginning. Findings have implications
for the concept of habitus and the construction of the breastfeeding body; we
suggest that habitus can change if agents are provided with discursive tools to
negotiate this embodied change. Implications for BFI services include the need to
implement the 10 steps in a flexible, family-centred way that focuses on
empowering women rather than simply reaching outcomes.
PMID- 27896941
TI - Patient-reported neuropathic pain in adolescent and young adult cancer patients.
AB - BACKGROUND: Neuropathic pain, a known complication of cancer and its treatments,
negatively impacts quality of life. There are limited data using screening tools
to aid in the diagnosis of neuropathic pain in cancer patients. Our primary
objective was to determine the proportion of adolescent and young adult cancer
patients reporting neuropathic pain on a patient-completed, neuropathic pain
screening tool. PROCEDURES: This prospective, cohort study enrolled patients 14
39 years of age who were receiving therapy for primary cancer diagnosis, cancer
relapse, or had recently completed treatment. The painDETECT, a patient
completed, neuropathic pain screening tool used down to age 14, was administered
a maximum of three times in on-therapy patients and once in off-therapy patients.
Provider documentation of neuropathic pain at the corresponding visit was
abstracted from the medical record. RESULTS: Seventy-eight patients participated.
Median (interquartile range) age at study enrollment was 18.1 (16-19.4) years and
47% were female. Cancer diagnoses included 41% leukemia, 26% solid tumor, 23%
lymphoma, and 10% central nervous system tumor. The proportion of patients
reporting neuropathic pain was 26% (95% confidence interval [CI] 16-40%) in on
therapy patients and 11% (95% CI 3-27%) in off-therapy patients. In patients
reporting neuropathic pain, only 26% had a clinical diagnosis of neuropathic pain
documented in the medical record at the corresponding visit. CONCLUSIONS:
Neuropathic pain occurs in one in four adolescents and young adults receiving
cancer therapy. Use of screening tools may increase the detection of neuropathic
pain in adolescents and young adults receiving cancer therapy and could
ultimately improve pain treatment.
PMID- 27896942
TI - Mid-childhood outcomes of infant siblings at familial high-risk of autism
spectrum disorder.
AB - Almost 20% of infants with an older sibling with autism spectrum disorder (ASD)
exhibit ASD themselves by age 3 years. The longer-term outcomes of high-risk
infants are less clear. We examined symptoms of ASD, attention
deficit/hyperactivity disorder (ADHD) and anxiety, language, IQ, and adaptive
behaviour at age 7 years in high- and low-risk children prospectively studied
since the first year of life. Clinical outcomes were compared between high-risk
children who met diagnostic criteria for ASD at age 7 (HR-ASD-7 group, n = 15),
high-risk children without ASD (HR-Non-ASD-7 group, n = 24), and low-risk control
children (LR group, n = 37). Diagnostic stability between age 3 and 7 years was
moderate, with five children who did not meet diagnostic criteria for ASD at age
3 years being assigned the diagnosis at age 7, and three children showing the
opposite pattern. The HR-ASD-7 group showed elevated ADHD and anxiety symptoms
and had lower adaptive behaviour scores than LR controls. The HR-Non-ASD-7 group
had higher repetitive behaviour, lower adaptive functioning and elevated scores
on one anxiety subscale (Separation Anxiety) compared to LR controls, but
evidence for subclinical ASD symptoms (the broader autism phenotype, BAP) was
limited in the group as a whole, although we identified a subgroup with elevated
ASD traits. The difficulties experienced by high-risk siblings at school-age
extend beyond ASD symptoms. The pattern of difficulties exhibited by the HR-ASD-7
group may inform our understanding of developmental trajectories of co-occurring
psychopathology in ASD. Autism Res 2017, 10: 546-557. (c) 2016 International
Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27896943
TI - Angiotensin-(1-7) protects cardiomyocytes against high glucose-induced injuries
through inhibiting reactive oxygen species-activated leptin-p38 mitogen-activated
protein kinase/extracellular signal-regulated protein kinase 1/2 pathways, but
not the leptin-c-Jun N-terminal kinase pathway in vitro.
AB - AIMS/INTRODUCTION: Angiotensin-(1-7) (Ang-[1-7]), recognized as a new bioactive
peptide in the renin-angiotensin system, shows biological and pharmacological
properties in diabetic cardiovascular diseases. The leptin-induced p38 mitogen
activated protein kinase (MAPK) pathway has been reported to contribute to high
glucose (HG)-induced injury. In the present study, we showed the mechanism of how
Ang-(1-7) can protect against HG-stimulated injuries in H9c2 cells. MATERIALS AND
METHODS: H9c2 cells were treated with 35 mmol/L glucose (HG) for 24 h to
establish a model of HG-induced damage. Apoptotic cells were observed by Hoechst
33258 staining. Cell viability was analyzed by cell counter kit-8. The expression
of protein was detected by western blot. Reactive oxygen species was tested by
2',7'-dichlorodihydrofluorescein diacetate staining. Mitochondrial membrane
potential was measured by 5,5',6,6'-Tetrachloro-1,1',3,3'-tetraethyl
imidacarbocyanine iodide staining. RESULTS: The present results showed that
treating H9c2 cells with HG obviously enhanced the expressions of both the leptin
and phosphorylated (p)-MAPK pathway. However, the overexpression levels of leptin
and p-p38 MAPK/p-extracellular signal-regulated protein kinase 1/2 (ERK1/2), but
not p-c-Jun N-terminal kinase, were significantly suppressed by treatment of the
cells with Ang-(1-7). Additionally, leptin antagonist also markedly suppressed
the overexpressions of p38 and ERK1/2 induced by HG, whereas leptin antagonist
had no influence on the overexpression of c-Jun N-terminal kinase. More
remarkable, Ang-(1-7), leptin antagonist, SB203580 or SP600125, respectively,
significantly inhibited the injuries induced by HG, such as the increased cell
viability, decreased apoptotic rate, reduction of ROS production and increased
mitochondrial membrane potential. Furthermore, the overexpressions of p38 MAPK,
ERK1/2 and leptin were suppressed by N-actyl-L-cystine. CONCLUSIONS: The present
findings show that Ang-(1-7) protects from HG-stimulated damage as an inhibitor
of the reactive oxygen species-leptin-p38 MAPK/ERK1/2 pathways, but not the
leptin-c-Jun N-terminal kinase pathway in vitro.
PMID- 27896944
TI - Quantifying bias in survival estimates resulting from loss to follow-up among
children with lymphoma in Malawi.
AB - Pediatric lymphoma is common in sub-Saharan Africa, where survival estimates are
often based on limited follow-up with incomplete retention, introducing potential
for bias. We compared follow-up and overall survival (OS) between passive and
active tracing within a prospective cohort of children with lymphoma in Malawi.
Median follow-up times were 4.4 months (interquartile range [IQR] 2.0-9.4) and
10.8 months (IQR 6.2-20.6) in passive and active follow-up, respectively. Twelve
month overall survival (OS) was 69% (95% confidence interval [CI] 54-80) in
passive and 44% (95% CI 34-54) in active follow-up. Passive follow-up
significantly overestimated the OS and underestimated the mortality. Efforts to
improve retention in regional studies are needed.
PMID- 27896945
TI - A Fundamental Tandem Mass Spectrometry Study of the Collision-Activated
Dissociation of Small Deprotonated Molecules Related to Lignin.
AB - The collision-activated fragmentation pathways and reaction mechanisms of 34
deprotonated model compounds representative of lignin degradation products were
explored experimentally and computationally. The compounds were evaporated and
ionized by using negative-ion mode electrospray ionization doped with NaOH to
produce abundant deprotonated molecules. The ions were isolated and subjected to
collision-activated dissociation (CAD). Their fragment ions were then isolated
and also subjected to CAD. This was repeated until no further fragmentation was
observed (up to MS6 ). This approach enabled the identification of characteristic
reaction pathways and delineation of reasonable fragmentation mechanisms for
deprotonated molecules containing various functional groups. The varying
fragmentation patterns observed for different types of compounds allow for the
identification of the functionalities in these compounds. This information was
utilized to identify the presence of specific functionalities and their
combinations in molecules in an organosolv lignin sample.
PMID- 27896946
TI - Dynamic laser speckle angiography achieved by eigen-decomposition filtering.
AB - A new approach is proposed for statistically analysis of laser speckle signals
emerged from a living biological tissue based on eigen-decomposition to separate
the dynamic speckle signals due to moving blood cells from the static speckle
signals due to static tissue components, upon which to achieve angiography of the
interrogated tissue in vivo. The proposed approach is tested by imaging mouse ear
pinna in vivo, demonstrating its capability of providing detailed microvascular
networks with high contrast, and high temporal and spatial resolutions. It is
expected to provide further opportunities for laser speckle imaging in the
biomedical and clinical applications where microvascular response to certain
stimulus or tissue injury is of interest.
PMID- 27896948
TI - Acute partial sleep deprivation due to environmental noise increases weight gain
by reducing energy expenditure in rodents.
AB - OBJECTIVE: Chronic partial sleep deprivation (SD) by environmental noise exposure
increases weight gain and feeding in rodents, which contrasts weight loss after
acute SD by physical methods. This study tested whether acute environmental noise
exposure reduced sleep and its effect on weight gain, food intake, physical
activity, and energy expenditure (EE). It was hypothesized that acute exposure
would (1) increase weight gain and feeding and (2) reduce sleep, physical
activity, and EE (total and individual components); and (3) behavioral changes
would persist throughout recovery from SD. METHODS: Three-month old male Sprague
Dawley rats slept ad libitum, were noise exposed (12-h light cycle), and allowed
to recover (36 h). Weight gain, food intake, sleep/wake, physical activity, and
EE were measured. RESULTS: Acute environmental noise exposure had no effect on
feeding, increased weight gain (P < 0.01), and reduced sleep (P < 0.02), physical
activity (P < 0.03), total EE (P < 0.05), and several components (P < 0.05).
Reductions in EE and physical activity persisted during recovery. CONCLUSIONS:
Reductions in EE during sleep, rest, and physical activity reduce total EE and
contribute to weight gain during acute SD and recovery from SD. These data
emphasize the importance of increasing physical activity after SD to prevent
obesity.
PMID- 27896947
TI - Reduced modulation of thalamocortical connectivity during exposure to sensory
stimuli in ASD.
AB - Recent evidence for abnormal thalamic connectivity in autism spectrum disorders
(ASD) and sensory processing disorders suggests the thalamus may play a role in
sensory over-responsivity (SOR), an extreme negative response to sensory stimuli,
which is common in ASD. However, there is yet little understanding of changes in
thalamic connectivity during exposure to aversive sensory inputs in individuals
with ASD. In particular, the pulvinar nucleus of the thalamus is implicated in
atypical sensory processing given its role in selective attention, regulation,
and sensory integration. This study aimed to examine the role of pulvinar
connectivity in ASD during mildly aversive sensory input. Functional magnetic
resonance imaging was used to examine connectivity with the pulvinar during
exposure to mildly aversive auditory and tactile stimuli in 38 youth (age 9-17;
19 ASD, 19 IQ-matched typically developing (TD)). Parents rated children's SOR
severity on two standard scales. Compared to TD, ASD participants displayed
aberrant modulation of connectivity between pulvinar and cortex (including
sensory-motor and prefrontal regions) during sensory stimulation. In ASD
participants, pulvinar-amygdala connectivity was correlated with severity of SOR
symptoms. Deficits in modulation of thalamocortical connectivity in youth with
ASD may reflect reduced thalamo-cortical inhibition in response to sensory
stimulation, which could lead to difficulty filtering out and/or integrating
sensory information. An increase in amygdala connectivity with the pulvinar might
be partially responsible for deficits in selective attention as the amygdala
signals the brain to attend to distracting sensory stimuli. Autism Res 2017, 10:
801-809. (c) 2016 International Society for Autism Research, Wiley Periodicals,
Inc.
PMID- 27896949
TI - Sarcopenia predicts readmission and mortality in elderly patients in acute care
wards: a prospective study.
AB - BACKGROUND: The aim of this study is to assess the prevalence of sarcopenia and
investigate the associations between sarcopenia and long-term mortality and
readmission in a population of elderly inpatients in acute care wards. METHODS:
We conducted a prospective observational study in the acute care wards of a
teaching hospital in western China. The muscle mass was estimated according to a
previously validated anthropometric equation. Handgrip strength was measured with
a handheld dynamometer, and physical performance was measured via a 4 m walking
test. Sarcopenia was defined according to the recommended diagnostic algorithm of
the Asia Working Group for Sarcopenia. The survival status and readmission
information were obtained via telephone interviews at 12, 24, and 36 months
during the 3 year follow-up period following the baseline investigation. RESULTS:
Two hundred and eighty-eight participants (mean age: 81.1 +/- 6.6 years) were
included. Forty-nine participants (17.0%) were identified as having sarcopenia.
This condition was similar in men and women (16.9% vs. 17.5%, respectively, P =
0.915). During the 3 year follow-up period, 49 men (22.7%) and 9 women (16.4%)
died (P = 0.307). The mortality of sarcopenic participants was significantly
increased compared with non-sarcopenic participants (40.8% vs. 17.1%,
respectively, P < 0.001). After adjusting for age, sex and other confounders,
sarcopenia was an independent predictor of 3 year mortality (adjusted hazard
ratio: 2.49; 95% confidential interval: 1.25-4.95) and readmission (adjusted
hazard ratio: 1.81; 95% confidential interval: 1.17-2.80). CONCLUSIONS:
Sarcopenia, which is evaluated by a combination of anthropometric measures, gait
speed, and handgrip strength, is valuable to predict hospital readmission and
long-term mortality in elderly patients in acute care wards.
PMID- 27896951
TI - Hydrogen peroxide modulates energy metabolism and oxidative stress in cultures of
permanent human Muller cells MIO-M1.
AB - In this study the influence of hydrogen peroxide (H2 O2 ) on the redox state,
NADH protein binding, and mitochondrial membrane potential in Muller cells is
investigated. Cultures of permanent human Muller cells MIO-M1 were exposed to H2
O2 in 75 uM and 150 uM concentration for two hours. Fluorescence emission spectra
and lifetimes were measured by two-photon microscopy (excitation wavelength: 740
nm) at the mitochondria which were identified in the microscopic images by their
fluorescence properties (spectra and intensity). Two hours of H2 O2 exposure did
not impair viability of MIO-M1 cells in culture. Whereas the ratio of flavine- to
NADH fluorescence intensity did not change under either H2 O2 concentration, the
mean lifetime was significantly different between controls, not exposed to H2 O2
, and the 150 uM H2 O2 exposure (972 +/- 63 ps vs. 1152 +/- 64 ps, p = 0.014).
One hour after cessation of the H2 O2 exposure, the value retuned to that of the
control (983 +/- 36 ps). A hyperpolarization of the mitochondrial membrane under
150 uM H2 O2 was found. These findings suggest a shift form free to protein-bound
NADH in mitochondria as well as a hyperpolarization of their inner membrane which
could be related to an impairment of Muller cell function despite their preserved
viability. Exposure of human Muller cells to hydrogen peroxide for two hours
results in a reversible change of protein binding of mitochondrial NADH upon
unchanged redox ratio. The mitochondrial membrane potential is increased during
exposure.
PMID- 27896950
TI - PPARgamma agonists negatively regulate alphaIIbbeta3 integrin outside-in
signaling and platelet function through up-regulation of protein kinase A
activity.
AB - : Essentials peroxisome proliferator-activated receptor gamma (PPARgamma)
agonists inhibit platelet function. PPARgamma agonists negatively regulate
outside-in signaling via integrin alphaIIbbeta3. PPARgamma agonists disrupt the
interaction of Galpha13 with integrin beta3. This is attributed to an
upregulation of protein kinase A activity. SUMMARY: Background Agonists for the
peroxisome proliferator-activated receptor (PPARgamma) have been shown to have
inhibitory effects on platelet activity following stimulation by GPVI and GPCR
agonists. Objectives Profound effects on thrombus formation led us to suspect a
role for PPARgamma agonists in the regulation of integrin alphaIIbbeta3 mediated
signaling. Both GPVI and GPCR signaling pathways lead to alphaIIbbeta3
activation, and signaling through alphaIIbbeta3 plays a critical role in platelet
function and normal hemostasis. Methods The effects of PPARgamma agonists on the
regulation of alphaIIbbeta3 outside-in signaling was determined by monitoring the
ability of platelets to adhere and spread on fibrinogen and undergo clot
retraction. Effects on signaling components downstream of alphaIIbbeta3
activation were also determined following adhesion to fibrinogen by Western
blotting. Results Treatment of platelets with PPARgamma agonists inhibited
platelet adhesion and spreading on fibrinogen and diminished clot retraction. A
reduction in phosphorylation of several components of alphaIIbbeta3 signaling,
including the integrin beta3 subunit, Syk, PLCgamma2, focal adhesion kinase (FAK)
and Akt, was also observed as a result of reduced interaction of the integrin
beta3 subunit with Galpha13. Studies of VASP phosphorylation revealed that this
was because of an increase in PKA activity following treatment with PPARgamma
receptor agonists. Conclusions This study provides further evidence for
antiplatelet actions of PPARgamma agonists, identifies a negative regulatory role
for PPARgamma agonists in the control of integrin alphaIIbbeta3 outside-in
signaling, and provides a molecular basis by which the PPARgamma agonists
negatively regulate platelet activation and thrombus formation.
PMID- 27896953
TI - Highly Selective Upgrading of Biomass-Derived Alcohol Mixtures for Jet/Diesel
Fuel Components.
AB - In light of the increasing concern about the energy and environmental problems
caused by the combustion of petroleum-based fuels (e.g., jet and diesel fuels),
the development of new procedures for their sustainable production from renewable
biomass-derived platform compounds has attracted tremendous attention recently.
Long-chain ketones/alcohols are promising fuel components owing to the fuel
properties that closely resemble those of traditional fuels. The focus of this
report is the production of long-chain ketones/alcohols by direct upgrading of
biomass-derived short-chain alcohol mixtures (e.g., isopropanol-butanol-ethanol
mixtures) in pure water. An efficient Pd catalyst system was developed for these
highly selective transformations. Long-chain ketones/alcohols (C8 -C19 ), which
can be used as precursors for renewable jet/diesel fuel, were obtained in good-to
high selectivity (>90 %) by using the developed Pd catalyst.
PMID- 27896956
TI - COMPUTATIONAL APPROACHES TO UNDERSTANDING THE EVOLUTION OF MOLECULAR FUNCTION.
AB - The following sections are included:IntroductionOverview of
ContributionsReferences.
PMID- 27896955
TI - Adsorption and Reactive Desorption on Metal-Organic Frameworks: A Direct Strategy
for Lactic Acid Recovery.
AB - Biomass-derived lactic acid (LA) is an important platform chemical towards the
sustainable production of numerous materials. However, the fermentation process
currently in use is limited by the difficult recovery of the LA product from the
fermentation broth and results in the generation of stoichiometric amounts of
gypsum waste. Herein, we show that metal-organic frameworks (MOFs) of the UiO
66(Zr) type are effective adsorbents for the separation of LA from aqueous
(buffer) solutions. These frameworks based on zirconium clusters and terephthalic
acid derivatives display a tremendous uptake (up to 42 wt %) and a high affinity
for LA. The latter can further be tuned by changing the hydrogen-bonding
properties of the functional groups present on the organic ligand. A Rietveld
refinement disclosed the specific interaction of LA with the clusters of UiO
66(Zr) and a preferential adsorption on open zirconium sites. Taking advantage of
the catalytic activity of UiO-66(Zr), desorption of LA was performed in alcohols
to recover up to 73 % as ester. Applied to the recovery of LA, adsorption and
reactive desorption offer a direct and gypsum-free strategy as an alternative for
the current multi-step process.
PMID- 27896952
TI - Muscle strength in breast cancer patients receiving different treatment regimes.
AB - BACKGROUND: Muscle dysfunction and sarcopenia have been associated with poor
performance status, an increased mortality risk, and greater side effects in
oncologic patients. However, little is known about how performance is affected by
cancer therapy. We investigated muscle strength in breast cancer patients in
different adjuvant treatment settings and also compared it with data from healthy
individuals. METHODS: Breast cancer patients (N = 255) from two randomized
controlled exercise trials, staged 0-III and aged 54.4 +/- 9.4 years, were
categorized into four groups according to their treatment status. In a cross
sectional design, muscle function was assessed bilaterally by isokinetic
dynamometry (0 degrees , 60 degrees , 180 degrees /s) as maximal voluntary
isometric contraction (MVIC) and maximal isokinetic peak torque (MIPT) in
shoulder rotators and knee flexors and extensors. Additionally, muscular fatigue
index (FI%) and shoulder flexibility were evaluated. Healthy women (N = 26), aged
53.3 +/- 9.8 years, were tested using the same method. Analysis of covariance was
used to estimate the impact of different cancer treatments on skeletal muscle
function with adjustment for various clinical and socio-demographic factors.
RESULTS: Consistently, lower muscle strength was measured in shoulder and knee
strength in patients after chemotherapy. On average, patients had up to 25% lower
strength in lower extremities and 12-16% in upper extremities in MVIC and MIPT
during cancer treatment compared with healthy women. No substantial difference
between patient groups in shoulder strength, but significantly lower shoulder
flexibility in patients with radical mastectomy was measured. Chemotherapy
treated patients had consistently higher FI%. No serious adverse events were
reported. CONCLUSIONS: Breast cancer patients showed markedly impaired muscle
strength and joint dysfunctions before and after anticancer treatment. The
significant differences between patients and healthy individuals underline the
need of exercise therapy as early as possible in order to prevent or counteract
the loss of muscle function after curative surgery as well as the consequences of
neo-/adjuvant chemotherapy.
PMID- 27896957
TI - IDENTIFICATION AND ANALYSIS OF BACTERIAL GENOMIC METABOLIC SIGNATURES.
AB - With continued rapid growth in the number and quality of fully sequenced and
accurately annotated bacterial genomes, we have unprecedented opportunities to
understand metabolic diversity. We selected 101 diverse and representative
completely sequenced bacteria and implemented a manual curation effort to
identify 846 unique metabolic variants present in these bacteria. The presence or
absence of these variants act as a metabolic signature for each of the bacteria,
which can then be used to understand similarities and differences between and
across bacterial groups. We propose a novel and robust method of summarizing
metabolic diversity using metabolic signatures and use this method to generate a
metabolic tree, clustering metabolically similar organisms. Resulting analysis of
the metabolic tree confirms strong associations with well-established biological
results along with direct insight into particular metabolic variants which are
most predictive of metabolic diversity. The positive results of this manual
curation effort and novel method development suggest that future work is needed
to further expand the set of bacteria to which this approach is applied and use
the resulting tree to test broad questions about metabolic diversity and
complexity across the bacterial tree of life.
PMID- 27896954
TI - Effect of carbohydrate counting using bolus calculators on glycemic control in
type 1 diabetes patients during continuous subcutaneous insulin infusion.
AB - The present study examined the long-term efficacy of insulin pump therapy for
type 1 diabetes patients when carried out using carbohydrate counting with bolus
calculators for 1 year. A total of 22 type 1 diabetes patients who had just
started continuous subcutaneous insulin infusion were examined and divided into
two groups: one that was educated about carbohydrate counting using bolus
calculators (n = 14); and another that did not use bolus calculators (n = 8).
After 1 year, the hemoglobin A1c levels of the patient group that used bolus
calculators decreased persistently and significantly (P = 0.0297), whereas those
of the other group did not. The bodyweight, total daily dose of insulin and bolus
percentage of both groups did not change. Carbohydrate counting using bolus
calculators is necessary to achieve optimal and persistent glycemic control in
patients undergoing continuous subcutaneous insulin infusion.
PMID- 27896958
TI - WHEN SHOULD WE NOT TRANSFER FUNCTIONAL ANNOTATION BETWEEN SEQUENCE PARALOGS?
AB - Current automated computational methods to assign functional labels to unstudied
genes often involve transferring annotation from orthologous or paralogous genes,
however such genes can evolve divergent functions, making such transfer
inappropriate. We consider the problem of determining when it is correct to make
such an assignment between paralogs. We construct a benchmark dataset of two
types of similar paralogous pairs of genes in the well-studied model organism S.
cerevisiae: one set of pairs where single deletion mutants have very similar
phenotypes (implying similar functions), and another set of pairs where single
deletion mutants have very divergent phenotypes (implying different functions).
State of the art methods for this problem will determine the evolutionary history
of the paralogs with references to multiple related species. Here, we ask a first
and simpler question: we explore to what extent any computational method with
access only to data from a single species can solve this problem.We consider
divergence data (at both the amino acid and nucleotide levels), and network data
(based on the yeast protein-protein interaction network, as captured in BioGRID),
and ask if we can extract features from these data that can distinguish between
these sets of paralogous gene pairs. We find that the best features come from
measures of sequence divergence, however, simple network measures based on degree
or centrality or shortest path or diffusion state distance (DSD), or shared
neighborhood in the yeast protein-protein interaction (PPI) network also contain
some signal. One should, in general, not transfer function if sequence divergence
is too high. Further improvements in classification will need to come from more
computationally expensive but much more powerful evolutionary methods that
incorporate ancestral states and measure evolutionary divergence over multiple
species based on evolutionary trees.
PMID- 27896959
TI - PROSNET: INTEGRATING HOMOLOGY WITH MOLECULAR NETWORKS FOR PROTEIN FUNCTION
PREDICTION.
AB - Automated annotation of protein function has become a critical task in the post
genomic era. Network-based approaches and homology-based approaches have been
widely used and recently tested in large-scale community-wide assessment
experiments. It is natural to integrate network data with homology information to
further improve the predictive performance. However, integrating these two
heterogeneous, high-dimensional and noisy datasets is non-trivial. In this work,
we introduce a novel protein function prediction algorithm ProSNet. An integrated
heterogeneous network is first built to include molecular networks of multiple
species and link together homologous proteins across multiple species. Based on
this integrated network, a dimensionality reduction algorithm is introduced to
obtain compact low-dimensional vectors to encode proteins in the network.
Finally, we develop machine learning classification algorithms that take the
vectors as input and make predictions by transferring annotations both within
each species and across different species. Extensive experiments on five major
species demonstrate that our integration of homology with molecular networks
substantially improves the predictive performance over existing approaches.
PMID- 27896960
TI - ON THE POWER AND LIMITS OF SEQUENCE SIMILARITY BASED CLUSTERING OF PROTEINS INTO
FAMILIES.
AB - Over the last decades, we have observed an ongoing tremendous growth of available
sequencing data fueled by the advancements in wet-lab technology. The sequencing
information is only the beginning of the actual understanding of how organisms
survive and prosper. It is, for instance, equally important to also unravel the
proteomic repertoire of an organism. A classical computational approach for
detecting protein families is a sequence-based similarity calculation coupled
with a subsequent cluster analysis. In this work we have intensively analyzed
various clustering tools on a large scale. We used the data to investigate the
behavior of the tools' parameters underlining the diversity of the protein
families. Furthermore, we trained regression models for predicting the expected
performance of a clustering tool for an unknown data set and aimed to also
suggest optimal parameters in an automated fashion. Our analysis demonstrates the
benefits and limitations of the clustering of proteins with low sequence
similarity indicating that each protein family requires its own distinct set of
tools and parameters. All results, a tool prediction service, and additional
supporting material is also available online under
http://proteinclustering.compbio.sdu.dk.
PMID- 27896961
TI - IMAGING GENOMICS.
AB - Imaging genomics is an emerging research field, where integrative analysis of
imaging and omics data is performed to provide new insights into the phenotypic
characteristics and genetic mechanisms of normal and/or disordered biological
structures and functions, and to impact the development of new diagnostic,
therapeutic and preventive approaches. The Imaging Genomics Session at PSB 2017
aims to encourage discussion on fundamental concepts, new methods and innovative
applications in this young and rapidly evolving field.
PMID- 27896962
TI - ADAPTIVE TESTING OF SNP-BRAIN FUNCTIONAL CONNECTIVITY ASSOCIATION VIA A MODULAR
NETWORK ANALYSIS.
AB - Due to its high dimensionality and high noise levels, analysis of a large brain
functional network may not be powerful and easy to interpret; instead,
decomposition of a large network into smaller subcomponents called modules may be
more promising as suggested by some empirical evidence. For example, alteration
of brain modularity is observed in patients suffering from various types of brain
malfunctions. Although several methods exist for estimating brain functional
networks, such as the sample correlation matrix or graphical lasso for a sparse
precision matrix, it is still difficult to extract modules from such network
estimates. Motivated by these considerations, we adapt a weighted gene co
expression network analysis (WGCNA) framework to resting-state fMRI (rs-fMRI)
data to identify modular structures in brain functional networks. Modular
structures are identified by using topological overlap matrix (TOM) elements in
hierarchical clustering. We propose applying a new adaptive test built on the
proportional odds model (POM) that can be applied to a high-dimensional setting,
where the number of variables (p) can exceed the sample size (n) in addition to
the usual p < n setting. We applied our proposed methods to the ADNI data to test
for associations between a genetic variant and either the whole brain functional
network or its various subcomponents using various connectivity measures. We
uncovered several modules based on the control cohort, and some of them were
marginally associated with the APOE4 variant and several other SNPs; however, due
to the small sample size of the ADNI data, larger studies are needed.
PMID- 27896963
TI - EXPLORING BRAIN TRANSCRIPTOMIC PATTERNS: A TOPOLOGICAL ANALYSIS USING SPATIAL
EXPRESSION NETWORKS.
AB - Characterizing the transcriptome architecture of the human brain is fundamental
in gaining an understanding of brain function and disease. A number of recent
studies have investigated patterns of brain gene expression obtained from an
extensive anatomical coverage across the entire human brain using experimental
data generated by the Allen Human Brain Atlas (AHBA) project. In this paper, we
propose a new representation of a gene's transcription activity that explicitly
captures the pattern of spatial co-expression across different anatomical brain
regions. For each gene, we define a Spatial Expression Network (SEN), a network
quantifying co-expression patterns amongst several anatomical locations. Network
similarity measures are then employed to quantify the topological resemblance
between pairs of SENs and identify naturally occurring clusters. Using network
theoretical measures, three large clusters have been detected featuring distinct
topological properties. We then evaluate whether topological diversity of the
SENs reects significant differences in biological function through a gene
ontology analysis. We report on evidence suggesting that one of the three SEN
clusters consists of genes specifically involved in the nervous system, including
genes related to brain disorders, while the remaining two clusters are
representative of immunity, transcription and translation. These findings are
consistent with previous studies showing that brain gene clusters are generally
associated with one of these three major biological processes.
PMID- 27896964
TI - INTEGRATIVE ANALYSIS FOR LUNG ADENOCARCINOMA PREDICTS MORPHOLOGICAL FEATURES
ASSOCIATED WITH GENETIC VARIATIONS.
AB - Lung cancer is one of the most deadly cancers and lung adenocarcinoma (LUAD) is
the most common histological type of lung cancer. However, LUAD is highly
heterogeneous due to genetic difference as well as phenotypic differences such as
cellular and tissue morphology. In this paper, we systematically examine the
relationships between histological features and gene transcription. Specifically,
we calculated 283 morphological features from histology images for 201 LUAD
patients from TCGA project and identified the morphological feature with strong
correlation with patient outcome. We then modeled the morphology feature using
multiple co-expressed gene clusters using Lasso-regression. Many of the gene
clusters are highly associated with genetic variations, specifically DNA copy
number variations, implying that genetic variations play important roles in the
development cancer morphology. As far as we know, our finding is the first to
directly link the genetic variations and functional genomics to LUAD histology.
These observations will lead to new insight on lung cancer development and
potential new integrative biomarkers for prediction patient prognosis and
response to treatments.
PMID- 27896966
TI - ENFORCING CO-EXPRESSION IN MULTIMODAL REGRESSION FRAMEWORK.
AB - We consider the problem of multimodal data integration for the study of complex
neurological diseases (e.g. schizophrenia). Among the challenges arising in such
situation, estimating the link between genetic and neurological variability
within a population sample has been a promising direction. A wide variety of
statistical models arose from such applications. For example, Lasso regression
and its multitask extension are often used to fit a multivariate linear
relationship between given phenotype(s) and associated observations. Other
approaches, such as canonical correlation analysis (CCA), are widely used to
extract relationships between sets of variables from different modalities. In
this paper, we propose an exploratory multivariate method combining these two
methods. More Specifically, we rely on a 'CCA-type' formulation in order to
regularize the classical multimodal Lasso regression problem. The underlying
motivation is to extract discriminative variables that display are also co
expressed across modalities. We first evaluate the method on a simulated dataset,
and further validate it using Single Nucleotide Polymorphisms (SNP) and
functional Magnetic Resonance Imaging (fMRI) data for the study of schizophrenia.
PMID- 27896965
TI - IDENTIFICATION OF DISCRIMINATIVE IMAGING PROTEOMICS ASSOCIATIONS IN ALZHEIMER'S
DISEASE VIA A NOVEL SPARSE CORRELATION MODEL.
AB - Brain imaging and protein expression, from both cerebrospinal fluid and blood
plasma, have been found to provide complementary information in predicting the
clinical outcomes of Alzheimer's disease (AD). But the underlying associations
that contribute to such a complementary relationship have not been previously
studied yet. In this work, we will perform an imaging proteomics association
analysis to explore how they are related with each other. While traditional
association models, such as Sparse Canonical Correlation Analysis (SCCA), can not
guarantee the selection of only disease-relevant biomarkers and associations, we
propose a novel discriminative SCCA (denoted as DSCCA) model with new penalty
terms to account for the disease status information. Given brain imaging,
proteomic and diagnostic data, the proposed model can perform a joint association
and multi-class discrimination analysis, such that we can not only identify
disease-relevant multimodal biomarkers, but also reveal strong associations
between them. Based on a real imaging proteomic data set, the empirical results
show that DSCCA and traditional SCCA have comparable association performances.
But in a further classification analysis, canonical variables of imaging and
proteomic data obtained in DSCCA demonstrate much more discrimination power
toward multiple pairs of diagnosis groups than those obtained in SCCA.
PMID- 27896967
TI - METHODS TO ENSURE THE REPRODUCIBILITY OF BIOMEDICAL RESEARCH.
AB - Science is not done in a vacuum - across fields of biomedicine, scientists have
built on previous research and used data published in previous papers. A mainstay
of scientific inquiry is the publication of one's research and recognition for
this work is given in the form of citations and notoriety - ideally given in
proportion to the quality of the work. Academic incentives, however, may
encourage individual researchers to prioritize career ambitions over scientific
truth. Recently, the New England Journal of Medicine published a commentary
calling scientists who repurpose data "research parasites" who misuse data
generated by others to demonstrate alternative hypotheses. In our opinion, the
concept of data hoarding not only runs contrary to the spirit of, but also
hinders scientific progress. Scientific research is meant to seek objective
truth, rather than promote a personal agenda, and the only way to do so is
through maximum transparency and reproducibility, no matter who is using the
data....
PMID- 27896968
TI - EXPLORING THE REPRODUCIBILITY OF PROBABILISTIC CAUSAL MOLECULAR NETWORK MODELS.
AB - Network reconstruction algorithms are increasingly being employed in biomedical
and life sciences research to integrate large-scale, high-dimensional data
informing on living systems. One particular class of probabilistic causal
networks being applied to model the complexity and causal structure of biological
data is Bayesian networks (BNs). BNs provide an elegant mathematical framework
for not only inferring causal relationships among many different molecular and
higher order phenotypes, but also for incorporating highly diverse priors that
provide an efficient path for incorporating existing knowledge. While significant
methodological developments have broadly enabled the application of BNs to
generate and validate meaningful biological hypotheses, the reproducibility of
BNs in this context has not been systematically explored. In this study, we aim
to determine the criteria for generating reproducible BNs in the context of
transcription-based regulatory networks. We utilize two unique tissues from
independent datasets, whole blood from the GTEx Consortium and liver from the
Stockholm-Tartu Atherosclerosis Reverse Network Engineering Team (STARNET) study.
We evaluated the reproducibility of the BNs by creating networks on data
subsampled at different levels from each cohort and comparing these networks to
the BNs constructed using the complete data. To help validate our results, we
used simulated networks at varying sample sizes. Our study indicates that
reproducibility of BNs in biological research is an issue worthy of further
consideration, especially in light of the many publications that now employ
findings from such constructs without appropriate attention paid to
reproducibility. We find that while edge-to-edge reproducibility is strongly
dependent on sample size, identification of more highly connected key driver
nodes in BNs can be carried out with high confidence across a range of sample
sizes.
PMID- 27896969
TI - REPRODUCIBLE DRUG REPURPOSING: WHEN SIMILARITY DOES NOT SUFFICE.
AB - Repurposing existing drugs for new uses has attracted considerable attention over
the past years. To identify potential candidates that could be repositioned for a
new indication, many studies make use of chemical, target, and side effect
similarity between drugs to train classifiers. Despite promising prediction
accuracies of these supervised computational models, their use in practice, such
as for rare diseases, is hindered by the assumption that there are already known
and similar drugs for a given condition of interest. In this study, using
publicly available data sets, we question the prediction accuracies of supervised
approaches based on drug similarity when the drugs in the training and the test
set are completely disjoint. We first build a Python platform to generate
reproducible similarity-based drug repurposing models. Next, we show that, while
a simple chemical, target, and side effect similarity based machine learning
method can achieve good performance on the benchmark data set, the prediction
performance drops sharply when the drugs in the folds of the cross validation are
not overlapping and the similarity information within the training and test sets
are used independently. These intriguing results suggest revisiting the
assumptions underlying the validation scenarios of similarity-based methods and
underline the need for unsupervised approaches to identify novel drug uses inside
the unexplored pharmacological space. We make the digital notebook containing the
Python code to replicate our analysis that involves the drug repurposing platform
based on machine learning models and the proposed disjoint cross fold generation
method freely available at github.com/emreg00/repurpose.
PMID- 27896970
TI - EMPOWERING MULTI-COHORT GENE EXPRESSION ANALYSIS TO INCREASE REPRODUCIBILITY.
AB - A major contributor to the scientific reproducibility crisis has been that the
results from homogeneous, single-center studies do not generalize to
heterogeneous, real world populations. Multi-cohort gene expression analysis has
helped to increase reproducibility by aggregating data from diverse populations
into a single analysis. To make the multi-cohort analysis process more feasible,
we have assembled an analysis pipeline which implements rigorously studied meta
analysis best practices. We have compiled and made publicly available the results
of our own multi-cohort gene expression analysis of 103 diseases, spanning 615
studies and 36,915 samples, through a novel and interactive web application. As a
result, we have made both the process of and the results from multi-cohort gene
expression analysis more approachable for non-technical users.
PMID- 27896971
TI - RABIX: AN OPEN-SOURCE WORKFLOW EXECUTOR SUPPORTING RECOMPUTABILITY AND
INTEROPERABILITY OF WORKFLOW DESCRIPTIONS.
AB - As biomedical data has become increasingly easy to generate in large quantities,
the methods used to analyze it have proliferated rapidly. Reproducible and
reusable methods are required to learn from large volumes of data reliably. To
address this issue, numerous groups have developed workflow specifications or
execution engines, which provide a framework with which to perform a sequence of
analyses. One such specification is the Common Workflow Language, an emerging
standard which provides a robust and flexible framework for describing data
analysis tools and workflows. In addition, reproducibility can be furthered by
executors or workflow engines which interpret the specification and enable
additional features, such as error logging, file organization, optim1izations to
computation and job scheduling, and allow for easy computing on large volumes of
data. To this end, we have developed the Rabix Executor, an open-source workflow
engine for the purposes of improving reproducibility through reusability and
interoperability of workflow descriptions.
PMID- 27896972
TI - DATA SHARING AND REPRODUCIBLE CLINICAL GENETIC TESTING: SUCCESSES AND CHALLENGES.
AB - Open sharing of clinical genetic data promises to both monitor and eventually
improve the reproducibility of variant interpretation among clinical testing
laboratories. A significant public data resource has been developed by the NIH
ClinVar initiative, which includes submissions from hundreds of laboratories and
clinics worldwide. We analyzed a subset of ClinVar data focused on specific
clinical areas and we find high reproducibility (>90% concordance) among labs,
although challenges for the community are clearly identified in this dataset. We
further review results for the commonly tested BRCA1 and BRCA2 genes, which show
even higher concordance, although the significant fragmentation of data into
different silos presents an ongoing challenge now being addressed by the BRCA
Exchange. We encourage all laboratories and clinics to contribute to these
important resources.
PMID- 27896973
TI - PATTERNS IN BIOMEDICAL DATA-HOW DO WE FIND THEM?
AB - Given the exponential growth of biomedical data, researchers are faced with
numerous challenges in extracting and interpreting information from these large,
high-dimensional, incomplete, and often noisy data. To facilitate addressing this
growing concern, the "Patterns in Biomedical Data-How do we find them?" session
of the 2017 Pacific Symposium on Biocomputing (PSB) is devoted to exploring
pattern recognition using data-driven approaches for biomedical and precision
medicine applications. The papers selected for this session focus on novel
machine learning techniques as well as applications of established methods to
heterogeneous data. We also feature manuscripts aimed at addressing the current
challenges associated with the analysis of biomedical data.
PMID- 27896974
TI - LEARNING ATTRIBUTES OF DISEASE PROGRESSION FROM TRAJECTORIES OF SPARSE LAB
VALUES.
AB - There is heterogeneity in the manifestation of diseases, therefore it is
essential to understand the patterns of progression of a disease in a given
population for disease management as well as for clinical research. Disease
status is often summarized by repeated recordings of one or more physiological
measures. As a result, historical values of these physiological measures for a
population sample can be used to characterize disease progression patterns. We
use a method for clustering sparse functional data for identifying sub-groups
within a cohort of patients with chronic kidney disease (CKD), based on the
trajectories of their Creatinine measurements. We demonstrate through a proof-of
principle study how the two sub-groups that display distinct patterns of disease
progression may be compared on clinical attributes that correspond to the maximum
difference in progression patterns. The key attributes that distinguish the two
sub-groups appear to have support in published literature clinical practice
related to CKD.
PMID- 27896975
TI - COMPUTER AIDED IMAGE SEGMENTATION AND CLASSIFICATION FOR VIABLE AND NON-VIABLE
TUMOR IDENTIFICATION IN OSTEOSARCOMA.
AB - Osteosarcoma is one of the most common types of bone cancer in children. To gauge
the extent of cancer treatment response in the patient after surgical resection,
the H&E stained image slides are manually evaluated by pathologists to estimate
the percentage of necrosis, a time consuming process prone to observer bias and
inaccuracy. Digital image analysis is a potential method to automate this
process, thus saving time and providing a more accurate evaluation. The slides
are scanned in Aperio Scanscope, converted to digital Whole Slide Images (WSIs)
and stored in SVS format. These are high resolution images, of the order of 109
pixels, allowing up to 40X magnification factor. This paper proposes an image
segmentation and analysis technique for segmenting tumor and non-tumor regions in
histopathological WSIs of osteosarcoma datasets. Our approach is a combination of
pixel-based and object-based methods which utilize tumor properties such as
nuclei cluster, density, and circularity to classify tumor regions as viable and
non-viable. A K-Means clustering technique is used for tumor isolation using
color normalization, followed by multi-threshold Otsu segmentation technique to
further classify tumor region as viable and non-viable. Then a Flood-fill
algorithm is applied to cluster similar pixels into cellular objects and compute
cluster data for further analysis of regions under study. To the best of our
knowledge this is the first comprehensive solution that is able to produce such a
classification for Osteosarcoma cancer. The results are very conclusive in
identifying viable and non-viable tumor regions. In our experiments, the accuracy
of the discussed approach is 100% in viable tumor and coagulative necrosis
identification while it is around 90% for fibrosis and acellular/hypocellular
tumor osteoid, for all the sampled datasets used. We expect the developed
software to lead to a significant increase in accuracy and decrease in inter
observer variability in assessment of necrosis by the pathologists and a
reduction in the time spent by the pathologists in such assessments.
PMID- 27896977
TI - A DEEP LEARNING APPROACH FOR CANCER DETECTION AND RELEVANT GENE IDENTIFICATION.
AB - Cancer detection from gene expression data continues to pose a challenge due to
the high dimensionality and complexity of these data. After decades of research
there is still uncertainty in the clinical diagnosis of cancer and the
identification of tumor-specific markers. Here we present a deep learning
approach to cancer detection, and to the identification of genes critical for the
diagnosis of breast cancer. First, we used Stacked Denoising Autoencoder (SDAE)
to deeply extract functional features from high dimensional gene expression
profiles. Next, we evaluated the performance of the extracted representation
through supervised classification models to verify the usefulness of the new
features in cancer detection. Lastly, we identified a set of highly interactive
genes by analyzing the SDAE connectivity matrices. Our results and analysis
illustrate that these highly interactive genes could be useful cancer biomarkers
for the detection of breast cancer that deserve further studies.
PMID- 27896976
TI - MISSING DATA IMPUTATION IN THE ELECTRONIC HEALTH RECORD USING DEEPLY LEARNED
AUTOENCODERS.
AB - Electronic health records (EHRs) have become a vital source of patient outcome
data but the widespread prevalence of missing data presents a major challenge.
Different causes of missing data in the EHR data may introduce unintentional
bias. Here, we compare the effectiveness of popular multiple imputation
strategies with a deeply learned autoencoder using the Pooled Resource Open
Access ALS Clinical Trials Database (PRO-ACT). To evaluate performance, we
examined imputation accuracy for known values simulated to be either missing
completely at random or missing not at random. We also compared ALS disease
progression prediction across different imputation models. Autoencoders showed
strong performance for imputation accuracy and contributed to the strongest
disease progression predictor. Finally, we show that despite clinical
heterogeneity, ALS disease progression appears homogenous with time from onset
being the most important predictor.
PMID- 27896978
TI - DEVELOPMENT AND PERFORMANCE OF TEXT-MINING ALGORITHMS TO EXTRACT SOCIOECONOMIC
STATUS FROM DE-IDENTIFIED ELECTRONIC HEALTH RECORDS.
AB - Socioeconomic status (SES) is a fundamental contributor to health, and a key
factor underlying racial disparities in disease. However, SES data are rarely
included in genetic studies due in part to the difficultly of collecting these
data when studies were not originally designed for that purpose. The emergence of
large clinic-based biobanks linked to electronic health records (EHRs) provides
research access to large patient populations with longitudinal phenotype data
captured in structured fields as billing codes, procedure codes, and
prescriptions. SES data however, are often not explicitly recorded in structured
fields, but rather recorded in the free text of clinical notes and
communications. The content and completeness of these data vary widely by
practitioner. To enable gene-environment studies that consider SES as an
exposure, we sought to extract SES variables from racial/ethnic minority adult
patients (n=9,977) in BioVU, the Vanderbilt University Medical Center
biorepository linked to de-identified EHRs. We developed several measures of SES
using information available within the de-identified EHR, including broad
categories of occupation, education, insurance status, and homelessness. Two
hundred patients were randomly selected for manual review to develop a set of
seven algorithms for extracting SES information from de-identified EHRs. The
algorithms consist of 15 categories of information, with 830 unique search terms.
SES data extracted from manual review of 50 randomly selected records were
compared to data produced by the algorithm, resulting in positive predictive
values of 80.0% (education), 85.4% (occupation), 87.5% (unemployment), 63.6%
(retirement), 23.1% (uninsured), 81.8% (Medicaid), and 33.3% (homelessness),
suggesting some categories of SES data are easier to extract in this EHR than
others. The SES data extraction approach developed here will enable future EHR
based genetic studies to integrate SES information into statistical analyses.
Ultimately, incorporation of measures of SES into genetic studies will help
elucidate the impact of the social environment on disease risk and outcomes.
PMID- 27896980
TI - DEEP MOTIF DASHBOARD: VISUALIZING AND UNDERSTANDING GENOMIC SEQUENCES USING DEEP
NEURAL NETWORKS.
AB - Deep neural network (DNN) models have recently obtained state-of-the-art
prediction accuracy for the transcription factor binding (TFBS) site
classification task. However, it remains unclear how these approaches identify
meaningful DNA sequence signals and give insights as to why TFs bind to certain
locations. In this paper, we propose a toolkit called the Deep Motif Dashboard
(DeMo Dashboard) which provides a suite of visualization strategies to extract
motifs, or sequence patterns from deep neural network models for TFBS
classification. We demonstrate how to visualize and understand three important
DNN models: convolutional, recurrent, and convolutional-recurrent networks. Our
first visualization method is finding a test sequence's saliency map which uses
first-order derivatives to describe the importance of each nucleotide in making
the final prediction. Second, considering recurrent models make predictions in a
temporal manner (from one end of a TFBS sequence to the other), we introduce
temporal output scores, indicating the prediction score of a model over time for
a sequential input. Lastly, a class-specific visualization strategy finds the
optimal input sequence for a given TFBS positive class via stochastic gradient
optimization. Our experimental results indicate that a convolutional-recurrent
architecture performs the best among the three architectures. The visualization
techniques indicate that CNN-RNN makes predictions by modeling both motifs as
well as dependencies among them.
PMID- 27896979
TI - GENOME-WIDE INTERACTION WITH SELECTED TYPE 2 DIABETES LOCI REVEALS NOVEL LOCI FOR
TYPE 2 DIABETES IN AFRICAN AMERICANS.
AB - Type 2 diabetes (T2D) is the result of metabolic defects in insulin secretion and
insulin sensitivity, yet most T2D loci identified to date influence insulin
secretion. We hypothesized that T2D loci, particularly those affecting insulin
sensitivity, can be identified through interaction with known T2D loci implicated
in insulin secretion. To test this hypothesis, single nucleotide polymorphisms
(SNPs) nominally associated with acute insulin response to glucose (AIRg), a
dynamic measure of first-phase insulin secretion, and previously associated with
T2D in genome-wide association studies (GWAS) were identified in African
Americans from the Insulin Resistance Atherosclerosis Family Study (IRASFS; n=492
subjects). These SNPs were tested for interaction, individually and jointly as a
genetic risk score (GRS), using GWAS data from five cohorts (ARIC, CARDIA, JHS,
MESA, WFSM; n=2,725 cases, 4,167 controls) with T2D as the outcome. In single
variant analyses, suggestively significant (Pinteraction < 5*10-6) interactions
were observed at several loci including DGKB (rs978989), CDK18 (rs12126276),
CXCL12 (rs7921850), HCN1 (rs6895191), FAM98A (rs1900780), and MGMT (rs568530).
Notable beta-cell GRS interactions included two SNPs at the DGKB locus
(rs6976381; rs6962498). These data support the hypothesis that additional genetic
factors contributing to T2D risk can be identified by interactions with insulin
secretion loci.
PMID- 27896981
TI - META-ANALYSIS OF CONTINUOUS PHENOTYPES IDENTIFIES A GENE SIGNATURE THAT
CORRELATES WITH COPD DISEASE STATUS.
AB - The utility of multi-cohort two-class meta-analysis to identify robust
differentially expressed gene signatures has been well established. However, many
biomedical applications, such as gene signatures of disease progression, require
one-class analysis. Here we describe an R package, MetaCorrelator, that can
identify a reproducible transcriptional signature that is correlated with a
continuous disease phenotype across multiple datasets. We successfully applied
this framework to extract a pattern of gene expression that can predict lung
function in patients with chronic obstructive pulmonary disease (COPD) in both
peripheral blood mononuclear cells (PBMCs) and tissue. Our results point to a
disregulation in the oxidation state of the lungs of patients with COPD, as well
as underscore the classically recognized inammatory state that underlies this
disease.
PMID- 27896982
TI - PREDICTIVE MODELING OF HOSPITAL READMISSION RATES USING ELECTRONIC MEDICAL RECORD
WIDE MACHINE LEARNING: A CASE-STUDY USING MOUNT SINAI HEART FAILURE COHORT.
AB - Reduction of preventable hospital readmissions that result from chronic or acute
conditions like stroke, heart failure, myocardial infarction and pneumonia
remains a significant challenge for improving the outcomes and decreasing the
cost of healthcare delivery in the United States. Patient readmission rates are
relatively high for conditions like heart failure (HF) despite the implementation
of high-quality healthcare delivery operation guidelines created by regulatory
authorities. Multiple predictive models are currently available to evaluate
potential 30-day readmission rates of patients. Most of these models are
hypothesis driven and repetitively assess the predictive abilities of the same
set of biomarkers as predictive features. In this manuscript, we discuss our
attempt to develop a data-driven, electronic-medical record-wide (EMR-wide)
feature selection approach and subsequent machine learning to predict readmission
probabilities. We have assessed a large repertoire of variables from electronic
medical records of heart failure patients in a single center. The cohort included
1,068 patients with 178 patients were readmitted within a 30-day interval (16.66%
readmission rate). A total of 4,205 variables were extracted from EMR including
diagnosis codes (n=1,763), medications (n=1,028), laboratory measurements
(n=846), surgical procedures (n=564) and vital signs (n=4). We designed a
multistep modeling strategy using the Naive Bayes algorithm. In the first step,
we created individual models to classify the cases (readmitted) and controls (non
readmitted). In the second step, features contributing to predictive risk from
independent models were combined into a composite model using a correlation-based
feature selection (CFS) method. All models were trained and tested using a 5-fold
cross-validation method, with 70% of the cohort used for training and the
remaining 30% for testing. Compared to existing predictive models for HF
readmission rates (AUCs in the range of 0.6-0.7), results from our EMR-wide
predictive model (AUC=0.78; Accuracy=83.19%) and phenome-wide feature selection
strategies are encouraging and reveal the utility of such datadriven machine
learning. Fine tuning of the model, replication using multi-center cohorts and
prospective clinical trial to evaluate the clinical utility would help the
adoption of the model as a clinical decision system for evaluating readmission
status.
PMID- 27896983
TI - LEARNING PARSIMONIOUS ENSEMBLES FOR UNBALANCED COMPUTATIONAL GENOMICS PROBLEMS.
AB - Prediction problems in biomedical sciences are generally quite difficult,
partially due to incomplete knowledge of how the phenomenon of interest is
influenced by the variables and measurements used for prediction, as well as a
lack of consensus regarding the ideal predictor(s) for specific problems. In
these situations, a powerful approach to improving prediction performance is to
construct ensembles that combine the outputs of many individual base predictors,
which have been successful for many biomedical prediction tasks. Moreover,
selecting a parsimonious ensemble can be of even greater value for biomedical
sciences, where it is not only important to learn an accurate predictor, but also
to interpret what novel knowledge it can provide about the target problem.
Ensemble selection is a promising approach for this task because of its ability
to select a collectively predictive subset, often a relatively small one, of all
input base predictors. One of the most well-known algorithms for ensemble
selection, CES (Caruana et al.'s Ensemble Selection), generally performs well in
practice, but faces several challenges due to the difficulty of choosing the
right values of its various parameters. Since the choices made for these
parameters are usually ad-hoc, good performance of CES is difficult to guarantee
for a variety of problems or datasets. To address these challenges with CES and
other such algorithms, we propose a novel heterogeneous ensemble selection
approach based on the paradigm of reinforcement learning (RL), which offers a
more systematic and mathematically sound methodology for exploring the many
possible combinations of base predictors that can be selected into an ensemble.
We develop three RL-based strategies for constructing ensembles and analyze their
results on two unbalanced computational genomics problems, namely the prediction
of protein function and splice sites in eukaryotic genomes. We show that the
resultant ensembles are indeed substantially more parsimonious as compared to the
full set of base predictors, yet still offer almost the same classification
power, especially for larger datasets. The RL ensembles also yield a better
combination of parsimony and predictive performance as compared to CES.
PMID- 27896984
TI - METHODS FOR CLUSTERING TIME SERIES DATA ACQUIRED FROM MOBILE HEALTH APPS.
AB - In our recent Asthma Mobile Health Study (AMHS), thousands of asthma patients
across the country contributed medical data through the iPhone Asthma Health App
on a daily basis for an extended period of time. The collected data included
daily self-reported asthma symptoms, symptom triggers, and real time geographic
location information. The AMHS is just one of many studies occurring in the
context of now many thousands of mobile health apps aimed at improving wellness
and better managing chronic disease conditions, leveraging the passive and active
collection of data from mobile, handheld smart devices. The ability to identify
patient groups or patterns of symptoms that might predict adverse outcomes such
as asthma exacerbations or hospitalizations from these types of large,
prospectively collected data sets, would be of significant general interest.
However, conventional clustering methods cannot be applied to these types of
longitudinally collected data, especially survey data actively collected from app
users, given heterogeneous patterns of missing values due to: 1) varying survey
response rates among different users, 2) varying survey response rates over time
of each user, and 3) non-overlapping periods of enrollment among different users.
To handle such complicated missing data structure, we proposed a probability
imputation model to infer missing data. We also employed a consensus clustering
strategy in tandem with the multiple imputation procedure. Through simulation
studies under a range of scenarios reflecting real data conditions, we identified
favorable performance of the proposed method over other strategies that impute
the missing value through low-rank matrix completion. When applying the proposed
new method to study asthma triggers and symptoms collected as part of the AMHS,
we identified several patient groups with distinct phenotype patterns. Further
validation of the methods described in this paper might be used to identify
clinically important patterns in large data sets with complicated missing data
structure, improving the ability to use such data sets to identify at-risk
populations for potential intervention.
PMID- 27896985
TI - A NEW RELEVANCE ESTIMATOR FOR THE COMPILATION AND VISUALIZATION OF DISEASE
PATTERNS AND POTENTIAL DRUG TARGETS.
AB - A new computational method is presented to extract disease patterns from
heterogeneous and text-based data. For this study, 22 million PubMed records were
mined for co-occurrences of gene name synonyms and disease MeSH terms. The
resulting publication counts were transferred into a matrix Mdata. In this
matrix, a disease was represented by a row and a gene by a column. Each field in
the matrix represented the publication count for a co-occurring disease-gene
pair. A second matrix with identical dimensions Mrelevance was derived from
Mdata. To create Mrelevance the values from Mdata were normalized. The normalized
values were multiplied by the column-wise calculated Gini coefficient. This
multiplication resulted in a relevance estimator for every gene in relation to a
disease. From Mrelevance the similarities between all row vectors were
calculated. The resulting similarity matrix Srelevance related 5,000 diseases by
the relevance estimators calculated for 15,000 genes. Three diseases were
analyzed in detail for the validation of the disease patterns and the relevant
genes. Cytoscape was used to visualize and to analyze Mrelevance and Srelevance
together with the genes and diseases. Summarizing the results, it can be stated
that the relevance estimator introduced here was able to detect valid disease
patterns and to identify genes that encoded key proteins and potential targets
for drug discovery projects.
PMID- 27896986
TI - NETWORK MAP OF ADVERSE HEALTH EFFECTS AMONG VICTIMS OF INTIMATE PARTNER VIOLENCE.
AB - Intimate partner violence (IPV) is a serious problem with devastating health
consequences. Screening procedures may overlook relationships between IPV and
negative health effects. To identify IPV-associated women's health issues, we
mined national, aggregated de-identified electronic health record data and
compared female health issues of domestic abuse (DA) versus non-DA records,
identifying terms significantly more frequent for the DA group. After coding
these terms into 28 broad categories, we developed a network map to determine
strength of relationships between categories in the context of DA, finding that
acute conditions are strongly connected to cardiovascular, gastrointestinal,
gynecological, and neurological conditions among victims.
PMID- 27896987
TI - DISCOVERY OF FUNCTIONAL AND DISEASE PATHWAYS BY COMMUNITY DETECTION IN PROTEIN
PROTEIN INTERACTION NETWORKS.
AB - Advances in cellular, molecular, and disease biology depend on the comprehensive
characterization of gene interactions and pathways. Traditionally, these pathways
are curated manually, limiting their efficient annotation and, potentially,
reinforcing field-specific bias. Here, in order to test objective and automated
identification of functionally cooperative genes, we compared a novel algorithm
with three established methods to search for communities within gene interaction
networks. Communities identified by the novel approach and by one of the
established method overlapped significantly (q < 0.1) with control pathways. With
respect to disease, these communities were biased to genes with pathogenic
variants in ClinVar (p ? 0.01), and often genes from the same community were co
expressed, including in breast cancers. The interesting subset of novel
communities, defined by poor overlap to control pathways also contained co
expressed genes, consistent with a possible functional role. This work shows that
community detection based on topological features of networks suggests new,
biologically meaningful groupings of genes that, in turn, point to health and
disease relevant hypotheses.
PMID- 27896988
TI - PRECISION MEDICINE: DATA AND DISCOVERY FOR IMPROVED HEALTH AND THERAPY.
AB - The major goal of precision medicine is to improve human health. A feature that
unites much research in the field is the use of large datasets such as genomic
data and electronic health records. Research in this field includes examination
of variation in the core bases of DNA and their methylation status, through
variations in metabolic and signaling molecules, all the way up to broader
systems level changes in physiology and disease presentation. Intermediate goals
include understanding the individual drivers of disease that differentiate the
cause of disease in each individual. To match this development of approaches to
physical and activitybased measurements, computational approaches to using these
new streams of data to better understand improve human health are being rapidly
developed by the thriving biomedical informatics research community. This session
of the 2017 Pacific Symposium of Biocomputing presents some of the latest
advances in the capture, analysis and use of diverse biomedical data in precision
medicine.
PMID- 27896989
TI - OPENING THE DOOR TO THE LARGE SCALE USE OF CLINICAL LAB MEASURES FOR ASSOCIATION
TESTING: EXPLORING DIFFERENT METHODS FOR DEFINING PHENOTYPES.
AB - The past decade has seen exponential growth in the numbers of sequenced and
genotyped individuals and a corresponding increase in our ability of collect and
catalogue phenotypic data for use in the clinic. We now face the challenge of
integrating these diverse data in new ways new that can provide useful
diagnostics and precise medical interventions for individual patients. One of the
first steps in this process is to accurately map the phenotypic consequences of
the genetic variation in human populations. The most common approach for this is
the genome wide association study (GWAS). While this technique is relatively
simple to implement for a given phenotype, the choice of how to define a
phenotype is critical. It is becoming increasingly common for each individual in
a GWAS cohort to have a large profile of quantitative measures. The standard
approach is to test for associations with one measure at a time; however, there
are many justifiable ways to define a set of phenotypes, and the genetic
associations that are revealed will vary based on these definitions. Some
phenotypes may only show a significant genetic association signal when considered
together, such as through principle components analysis (PCA). Combining
correlated measures may increase the power to detect association by reducing the
noise present in individual variables and reduce the multiple hypothesis testing
burden. Here we show that PCA and k-means clustering are two complimentary
methods for identifying novel genotype-phenotype relationships within a set of
quantitative human traits derived from the Geisinger Health System electronic
health record (EHR). Using a diverse set of approaches for defining phenotype may
yield more insights into the genetic architecture of complex traits and the
findings presented here highlight a clear need for further investigation into
other methods for defining the most relevant phenotypes in a set of variables. As
the data of EHR continue to grow, addressing these issues will become
increasingly important in our efforts to use genomic data effectively in
medicine.
PMID- 27896991
TI - TEMPORAL ORDER OF DISEASE PAIRS AFFECTS SUBSEQUENT DISEASE TRAJECTORIES: THE CASE
OF DIABETES AND SLEEP APNEA.
AB - Most studies of disease etiologies focus on one disease only and not the full
spectrum of multimorbidities that many patients have. Some disease pairs have
shared causal origins, others represent common follow-on diseases, while yet
other co-occurring diseases may manifest themselves in random order of
appearance. We discuss these different types of disease co-occurrences, and use
the two diseases "sleep apnea" and "diabetes" to showcase the approach which
otherwise can be applied to any disease pair. We benefit from seven million
electronic medical records covering the entire population of Denmark for more
than 20 years. Sleep apnea is the most common sleep-related breathing disorder
and it has previously been shown to be bidirectionally linked to diabetes,
meaning that each disease increases the risk of acquiring the other. We confirm
that there is no significant temporal relationship, as approximately half of
patients with both diseases are diagnosed with diabetes first. However, we also
show that patients diagnosed with diabetes before sleep apnea have a higher
disease burden compared to patients diagnosed with sleep apnea before diabetes.
The study clearly demonstrates that it is not only the diagnoses in the patient's
disease history that are important, but also the specific order in which these
diagnosis are given that matters in terms of outcome. We suggest that this should
be considered for patient stratification.
PMID- 27896990
TI - A POWERFUL METHOD FOR INCLUDING GENOTYPE UNCERTAINTY IN TESTS OF HARDY-WEINBERG
EQUILIBRIUM.
AB - The use of posterior probabilities to summarize genotype uncertainty is pervasive
across genotype, sequencing and imputation platforms. Prior work in many contexts
has shown the utility of incorporating genotype uncertainty (posterior
probabilities) in downstream statistical tests. Typical approaches to
incorporating genotype uncertainty when testing Hardy-Weinberg equilibrium tend
to lack calibration in the type I error rate, especially as genotype uncertainty
increases. We propose a new approach in the spirit of genomic control that
properly calibrates the type I error rate, while yielding improved power to
detect deviations from Hardy-Weinberg Equilibrium. We demonstrate the improved
performance of our method on both simulated and real genotypes.
PMID- 27896992
TI - MICRORNA-AUGMENTED PATHWAYS (mirAP) AND THEIR APPLICATIONS TO PATHWAY ANALYSIS
AND DISEASE SUBTYPING.
AB - MicroRNAs play important roles in the development of many complex diseases.
Because of their importance, the analysis of signaling pathways including miRNA
interactions holds the potential for unveiling the mechanisms underlying such
diseases. However, current signaling pathway databases are limited to
interactions between genes and ignore miRNAs. Here, we use the information on
miRNA targets to build a database of miRNA-augmented pathways (mirAP), and we
show its application in the contexts of integrative pathway analysis and disease
subtyping. Our miRNA-mRNA integrative pathway analysis pipeline incorporates a
topology-aware approach that we previously implemented. Our integrative disease
subtyping pipeline takes into account survival data, gene and miRNA expression,
and knowledge of the interactions among genes. We demonstrate the advantages of
our approach by analyzing nine sample-matched datasets that provide both miRNA
and mRNA expression. We show that integrating miRNAs into pathway analysis
results in greater statistical power, and provides a more comprehensive view of
the underlying phenomena. We also compare our disease subtyping method with the
state-of-the-art integrative analysis by analyzing a colorectal cancer database
from TCGA. The colorectal cancer subtypes identified by our approach are
significantly different in terms of their survival expectation. These miRNA
augmented pathways offer a more comprehensive view and a deeper understanding of
biological pathways. A better understanding of the molecular processes associated
with patients' survival can help to a better prognosis and an appropriate
treatment for each subtype.
PMID- 27896994
TI - HUMAN KINASES DISPLAY MUTATIONAL HOTSPOTS AT COGNATE POSITIONS WITHIN CANCER.
AB - The discovery of driver genes is a major pursuit of cancer genomics, usually
based on observing the same mutation in different patients. But the heterogeneity
of cancer pathways plus the high background mutational frequency of tumor cells
often cloud the distinction between less frequent drivers and innocent passenger
mutations. Here, to overcome these disadvantages, we grouped together mutations
from close kinase paralogs under the hypothesis that cognate mutations may
functionally favor cancer cells in similar ways. Indeed, we find that kinase
paralogs often bear mutations to the same substituted amino acid at the same
aligned positions and with a large predicted Evolutionary Action. Functionally,
these high Evolutionary Action, non-random mutations affect known kinase motifs,
but strikingly, they do so differently among different kinase types and cancers,
consistent with differences in selective pressures. Taken together, these results
suggest that cancer pathways may flexibly distribute a dependence on a given
functional mutation among multiple close kinase paralogs. The recognition of this
"mutational delocalization" of cancer drivers among groups of paralogs is a new
phenomena that may help better identify relevant mechanisms and therefore
eventually guide personalized therapy.
PMID- 27896995
TI - MUSE: A MULTI-LOCUS SAMPLING-BASED EPISTASIS ALGORITHM FOR QUANTITATIVE GENETIC
TRAIT PREDICTION.
AB - Quantitative genetic trait prediction based on high-density genotyping arrays
plays an important role for plant and animal breeding, as well as genetic
epidemiology such as complex diseases. The prediction can be very helpful to
develop breeding strategies and is crucial to translate the findings in genetics
to precision medicine. Epistasis, the phenomena where the SNPs interact with each
other, has been studied extensively in Genome Wide Association Studies (GWAS) but
received relatively less attention for quantitative genetic trait prediction. As
the number of possible interactions is generally extremely large, even pairwise
interactions is very challenging. To our knowledge, there is no solid solution
yet to utilize epistasis to improve genetic trait prediction. In this work, we
studied the multi-locus epistasis problem where the interactions with more than
two SNPs are considered. We developed an effcient algorithm MUSE to improve the
genetic trait prediction with the help of multi-locus epistasis. MUSE is sampling
based and we proposed a few different sampling strategies. Our experiments on
real data showed that MUSE is not only effcient but also effective to improve the
genetic trait prediction. MUSE also achieved very significant improvements on a
real plant data set as well as a real human data set.
PMID- 27896993
TI - FREQUENT SUBGRAPH MINING OF PERSONALIZED SIGNALING PATHWAY NETWORKS GROUPS
PATIENTS WITH FREQUENTLY DYSREGULATED DISEASE PATHWAYS AND PREDICTS PROGNOSIS.
AB - MOTIVATION: Large scale genomics studies have generated comprehensive molecular
characterization of numerous cancer types. Subtypes for many tumor types have
been established; however, these classifications are based on molecular
characteristics of a small gene sets with limited power to detect dysregulation
at the patient level. We hypothesize that frequent graph mining of pathways to
gather pathways functionally relevant to tumors can characterize tumor types and
provide opportunities for personalized therapies. RESULTS: In this study we
present an integrative omics approach to group patients based on their altered
pathway characteristics and show prognostic differences within breast cancer (p <
9:57E - 10) and glioblastoma multiforme (p < 0:05) patients. We were able
validate this approach in secondary RNA-Seq datasets with p < 0:05 and p < 0:01
respectively. We also performed pathway enrichment analysis to further
investigate the biological relevance of dysregulated pathways. We compared our
approach with network-based classifier algorithms and showed that our
unsupervised approach generates more robust and biologically relevant clustering
whereas previous approaches failed to report specific functions for similar
patient groups or classify patients into prognostic groups. CONCLUSIONS: These
results could serve as a means to improve prognosis for future cancer patients,
and to provide opportunities for improved treatment options and personalized
interventions. The proposed novel graph mining approach is able to integrate PPI
networks with gene expression in a biologically sound approach and cluster
patients in to clinically distinct groups. We have utilized breast cancer and
glioblastoma multiforme datasets from microarray and RNA-Seq platforms and
identified disease mechanisms differentiating samples. SUPPLEMENTARY INFORMATION:
Supplementary methods, figures, tables and code are available at
https://github.com/bebeklab/dysprog.
PMID- 27896996
TI - CERNA SEARCH METHOD IDENTIFIED A MET-ACTIVATED SUBGROUP AMONG EGFR DNA AMPLIFIED
LUNG ADENOCARCINOMA PATIENTS.
AB - Given the diverse molecular pathways involved in tumorigenesis, identifying
subgroups among cancer patients is crucial in precision medicine. While most
targeted therapies rely on DNA mutation status in tumors, responses to such
therapies vary due to the many molecular processes involved in propagating DNA
changes to proteins (which constitute the usual drug targets). Though RNA
expressions have been extensively used to categorize tumors, identifying
clinically important subgroups remains challenging given the difficulty of
discerning subgroups within all possible RNA-RNA networks. It is thus essential
to incorporate multiple types of data. Recently, RNA was found to regulate other
RNA through a common microRNA (miR). These regulating and regulated RNAs are
referred to as competing endogenous RNAs (ceRNAs). However, global correlations
between mRNA and miR expressions across all samples have not reliably yielded
ceRNAs. In this study, we developed a ceRNA-based method to identify subgroups of
cancer patients combining DNA copy number variation, mRNA expression, and
microRNA (miR) expression data with biological knowledge. Clinical data is used
to validate identified subgroups and ceRNAs. Since ceRNAs are causal, ceRNA-based
subgroups may present clinical relevance. Using lung adenocarcinoma data from The
Cancer Genome Atlas (TCGA) as an example, we focused on EGFR amplification
status, since a targeted therapy for EGFR exists. We hypothesized that global
correlations between mRNA and miR expressions across all patients would not
reveal important subgroups and that clustering of potential ceRNAs might define
molecular pathway-relevant subgroups. Using experimentally validated miR-target
pairs, we identified EGFR and MET as potential ceRNAs for miR-133b in lung
adenocarcinoma. The EGFR-MET up and miR-133b down subgroup showed a higher death
rate than the EGFR-MET down and miR-133b up subgroup. Although transactivation
between MET and EGFR has been identified previously, our result is the first to
propose ceRNA as one of its underlying mechanisms. Furthermore, since MET
amplification was seen in the case of resistance to EGFR-targeted therapy, the
EGFR-MET up and miR-133b down subgroup may fall into the drug non-response group
and thus preclude EGFR target therapy.
PMID- 27896997
TI - IMPROVED PERFORMANCE OF GENE SET ANALYSIS ON GENOME-WIDE TRANSCRIPTOMICS DATA
WHEN USING GENE ACTIVITY STATE ESTIMATES.
AB - Gene set analysis methods continue to be a popular and powerful method of
evaluating genome-wide transcriptomics data. These approach require a priori
grouping of genes into biologically meaningful sets, and then conducting
downstream analyses at the set (instead of gene) level of analysis. Gene set
analysis methods have been shown to yield more powerful statistical conclusions
than single-gene analyses due to both reduced multiple testing penalties and
potentially larger observed effects due to the aggregation of effects across
multiple genes in the set. Traditionally, gene set analysis methods have been
applied directly to normalized, log-transformed, transcriptomics data. Recently,
efforts have been made to transform transcriptomics data to scales yielding more
biologically interpretable results. For example, recently proposed models
transform log-transformed transcriptomics data to a confidence metric (ranging
between 0 and 100%) that a gene is active (roughly speaking, that the gene
product is part of an active cellular mechanism). In this manuscript, we
demonstrate, on both real and simulated transcriptomics data, that tests for
differential expression between sets of genes using are typically more powerful
when using gene activity state estimates as opposed to log-transformed gene
expression data. Our analysis suggests further exploration of techniques to
transform transcriptomics data to meaningful quantities for improved downstream
inference.
PMID- 27896998
TI - methylDMV: SIMULTANEOUS DETECTION OF DIFFERENTIAL DNA METHYLATION AND VARIABILITY
WITH CONFOUNDER ADJUSTMENT.
AB - DNA methylation has emerged as promising epigenetic markers for disease
diagnosis. Both the differential mean (DM) and differential variability (DV) in
methylation have been shown to contribute to transcriptional aberration and
disease pathogenesis. The presence of confounding factors in large scale EWAS may
affect the methylation values and hamper accurate marker discovery. In this
paper, we propose a exible framework called methylDMV which allows for
confounding factors adjustment and enables simultaneous characterization and
identification of CpGs exhibiting DM only, DV only and both DM and DV. The
proposed framework also allows for prioritization and selection of candidate
features to be included in the prediction algorithm. We illustrate the utility of
methylDMV in several TCGA datasets. An R package methylDMV implementing our
proposed method is available at
http://www.ams.sunysb.edu/~pfkuan/softwares.html#methylDMV.
PMID- 27896999
TI - IDENTIFY CANCER DRIVER GENES THROUGH SHARED MENDELIAN DISEASE PATHOGENIC VARIANTS
AND CANCER SOMATIC MUTATIONS.
AB - Genomic sequencing studies in the past several years have yielded a large number
of cancer somatic mutations. There remains a major challenge in delineating a
small fraction of somatic mutations that are oncogenic drivers from a background
of predominantly passenger mutations. Although computational tools have been
developed to predict the functional impact of mutations, their utility is
limited. In this study, we applied an alternative approach to identify
potentially novel cancer drivers as those somatic mutations that overlap with
known pathogenic mutations in Mendelian diseases. We hypothesize that those
shared mutations are more likely to be cancer drivers because they have the
established molecular mechanisms to impact protein functions. We first show that
the overlap between somatic mutations in COSMIC and pathogenic genetic variants
in HGMD is associated with high mutation frequency in cancers and is enriched for
known cancer genes. We then attempted to identify putative tumor suppressors
based on the number of distinct HGMD/COSMIC overlapping mutations in a given
gene, and our results suggest that ion channels, collagens and Marfan syndrome
associated genes may represent new classes of tumor suppressors. To elucidate
potentially novel oncogenes, we identified those HGMD/COSMIC overlapping
mutations that are not only highly recurrent but also mutually exclusive from
previously characterized oncogenic mutations in each specific cancer type. Taken
together, our study represents a novel approach to discover new cancer genes from
the vast amount of cancer genome sequencing data.
PMID- 27897000
TI - IDENTIFYING CANCER SPECIFIC METABOLIC SIGNATURES USING CONSTRAINT-BASED MODELS.
AB - Cancer metabolism differs remarkably from the metabolism of healthy surrounding
tissues, and it is extremely heterogeneous across cancer types. While these
metabolic differences provide promising avenues for cancer treatments, much work
remains to be done in understanding how metabolism is rewired in malignant
tissues. To that end, constraint-based models provide a powerful computational
tool for the study of metabolism at the genome scale. To generate meaningful
predictions, however, these generalized human models must first be tailored for
specific cell or tissue sub-types. Here we first present two improved algorithms
for (1) the generation of these context-specific metabolic models based on omics
data, and (2) Monte-Carlo sampling of the metabolic model ux space. By applying
these methods to generate and analyze context-specific metabolic models of
diverse solid cancer cell line data, and primary leukemia pediatric patient
biopsies, we demonstrate how the methodology presented in this study can generate
insights into the rewiring differences across solid tumors and blood cancers.
PMID- 27897001
TI - DIFFERENTIAL PATHWAY DEPENDENCY DISCOVERY ASSOCIATED WITH DRUG RESPONSE ACROSS
CANCER CELL LINES.
AB - The effort to personalize treatment plans for cancer patients involves the
identification of drug treatments that can effectively target the disease while
minimizing the likelihood of adverse reactions. In this study, the gene
expression profile of 810 cancer cell lines and their response data to 368 small
molecules from the Cancer Therapeutics Research Portal (CTRP) are analyzed to
identify pathways with significant rewiring between genes, or differential gene
dependency, between sensitive and non-sensitive cell lines. Identified pathways
and their corresponding differential dependency networks are further analyzed to
discover essentiality and specificity mediators of cell line response to
drugs/compounds. For analysis we use the previously published method EDDY
(Evaluation of Differential DependencY). EDDY first constructs likelihood
distributions of gene-dependency networks, aided by known genegene interaction,
for two given conditions, for example, sensitive cell lines vs. non-sensitive
cell lines. These sets of networks yield a divergence value between two
distributions of network likelihoods that can be assessed for significance using
permutation tests. Resulting differential dependency networks are then further
analyzed to identify genes, termed mediators, which may play important roles in
biological signaling in certain cell lines that are sensitive or non-sensitive to
the drugs. Establishing statistical correspondence between compounds and
mediators can improve understanding of known gene dependencies associated with
drug response while also discovering new dependencies. Millions of compute hours
resulted in thousands of these statistical discoveries. EDDY identified 8,811
statistically significant pathways leading to 26,822 compound-pathway-mediator
triplets. By incorporating STITCH and STRING databases, we could construct
evidence networks for 14,415 compound-pathway-mediator triplets for support. The
results of this analysis are presented in a searchable website to aid researchers
in studying potential molecular mechanisms underlying cells' drug response as
well as in designing experiments for the purpose of personalized treatment
regimens.
PMID- 27897002
TI - A METHYLATION-TO-EXPRESSION FEATURE MODEL FOR GENERATING ACCURATE PROGNOSTIC RISK
SCORES AND IDENTIFYING DISEASE TARGETS IN CLEAR CELL KIDNEY CANCER.
AB - Many researchers now have available multiple high-dimensional molecular and
clinical datasets when studying a disease. As we enter this multi-omic era of
data analysis, new approaches that combine different levels of data (e.g. at the
genomic and epigenomic levels) are required to fully capitalize on this
opportunity. In this work, we outline a new approach to multi-omic data
integration, which combines molecular and clinical predictors as part of a single
analysis to create a prognostic risk score for clear cell renal cell carcinoma.
The approach integrates data in multiple ways and yet creates models that are
relatively straightforward to interpret and with a high level of performance.
Furthermore, the proposed process of data integration captures relationships in
the data that represent highly disease-relevant functions.